From ed55e3b48b4ce7639c470aa782a1878d2a76ddf5 Mon Sep 17 00:00:00 2001 From: Jack Gassett Date: Mon, 4 Feb 2013 16:32:17 -0700 Subject: [PATCH] housekeeping --- .../S6LX9/variants/retrocade/Makefile | 2 +- .../S6LX9/variants/retrocade/papilio_pro.map | 94 +- .../S6LX9/variants/retrocade/papilio_pro.mrp | 515 +- .../S6LX9/variants/retrocade/papilio_pro.ngm | 2 +- .../S6LX9/variants/retrocade/papilio_pro.prj | 1 + .../variants/retrocade/papilio_pro_routed.bin | Bin 340884 -> 340884 bytes .../variants/retrocade/papilio_pro_routed.cfi | 2 +- .../variants/retrocade/papilio_pro_routed.prm | 4 +- .../variants/retrocade/papilio_pro_top.vhd | 133 +- .../variants/arcade-megawing1.2/Makefile | 42 - .../variants/arcade-megawing1.2/clkgen.vhd | 205 - .../arcade-megawing1.2/papilio_one.edif | 99350 ---------------- .../arcade-megawing1.2/papilio_one.map | 178 - .../arcade-megawing1.2/papilio_one.mrp | 2549 - .../arcade-megawing1.2/papilio_one.ndf | 99350 ---------------- .../arcade-megawing1.2/papilio_one.ngm | 3 - .../arcade-megawing1.2/papilio_one.prj | 53 - .../arcade-megawing1.2/papilio_one.psr | 35 - .../arcade-megawing1.2/papilio_one.ucf | 80 - .../arcade-megawing1.2/papilio_one.ut | 21 - .../arcade-megawing1.2/papilio_one.xst | 58 - .../arcade-megawing1.2/papilio_one_routed.bin | Bin 283776 -> 0 bytes .../arcade-megawing1.2/papilio_one_routed.cfi | 10 - .../arcade-megawing1.2/papilio_one_routed.prm | 14 - .../arcade-megawing1.2/papilio_one_top.vhd | 934 - .../arcade-megawing1.2/papilio_one_vhdl.prj | 53 - .../variants/arcade-megawing1.2/planAhead.jou | 10 - .../arcade-megawing1.2/prom-generic-dp-32.vhd | 160 - .../arcade-megawing1.2/zpu_config.vhd | 66 - .../variants/hyperion/prom-generic-dp-32.vhd | 320 +- .../s3e500/variants/logicstart-old/Makefile | 42 - .../s3e500/variants/logicstart-old/clkgen.vhd | 205 - .../variants/logicstart-old/papilio_one.map | 211 - .../variants/logicstart-old/papilio_one.mrp | 2620 - .../variants/logicstart-old/papilio_one.ngm | 3 - .../variants/logicstart-old/papilio_one.psr | 35 - .../variants/logicstart-old/papilio_one.ucf | 80 - .../logicstart-old/papilio_one_routed.bin | Bin 283776 -> 0 bytes .../logicstart-old/papilio_one_routed.cfi | 10 - .../logicstart-old/papilio_one_routed.prm | 14 - .../logicstart-old/papilio_one_top.vhd | 899 - .../logicstart-old/prom-generic-dp-32.vhd | 160 - .../variants/logicstart-old/zpu_config.vhd | 65 - .../ise/iseconfig/ise.projectmgr | 10 +- zpu/hdl/zpuino/bootloader/bootloader.hex | 366 +- 45 files changed, 782 insertions(+), 208182 deletions(-) delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/Makefile delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/clkgen.vhd delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.edif delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.map delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.mrp delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ndf delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ngm delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.prj delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.psr delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ucf delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ut delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.xst delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_routed.bin delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_routed.cfi delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_routed.prm delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_top.vhd delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_vhdl.prj delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/planAhead.jou delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/prom-generic-dp-32.vhd delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/zpu_config.vhd delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/Makefile delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/clkgen.vhd delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.map delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.mrp delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.ngm delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.psr delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.ucf delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.bin delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.cfi delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.prm delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_top.vhd delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/prom-generic-dp-32.vhd delete mode 100644 zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/zpu_config.vhd diff --git a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/Makefile b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/Makefile index 130dcc16..d825bb4d 100644 --- a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/Makefile +++ b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/Makefile @@ -38,4 +38,4 @@ ${PROJECT}_routed.bin: ${PROJECT}_routed.bit clean: @rm -rf ${PROJECT}.{ngc,ngd,ncd,_routed.ncd,pcf,bit,_routed.bit} - $(MAKE) -C ../../../bootloader clean + #$(MAKE) -C ../../../bootloader clean diff --git a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.map b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.map index 5da71011..b44bd2cc 100644 --- a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.map +++ b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.map @@ -10,7 +10,7 @@ Target Device : xc6slx9 Target Package : tqg144 Target Speed : -2 Mapper Version : spartan6 -- $Revision: 1.55 $ -Mapped Date : Mon Jan 07 22:38:11 2013 +Mapped Date : Wed Jan 30 18:34:51 2013 Mapping design into LUTs... Running directed packing... @@ -41,57 +41,57 @@ WARNING:Timing:3402 - The Clock Modifying COMP, clkgen_inst/DCM_inst_1mhz, has t INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report (.mrp). Running timing-driven placement... -Total REAL time at the beginning of Placer: 25 secs -Total CPU time at the beginning of Placer: 20 secs +Total REAL time at the beginning of Placer: 26 secs +Total CPU time at the beginning of Placer: 19 secs Phase 1.1 Initial Placement Analysis -Phase 1.1 Initial Placement Analysis (Checksum:71956c79) REAL time: 28 secs +Phase 1.1 Initial Placement Analysis (Checksum:32ea211e) REAL time: 29 secs Phase 2.7 Design Feasibility Check -Phase 2.7 Design Feasibility Check (Checksum:71956c79) REAL time: 28 secs +Phase 2.7 Design Feasibility Check (Checksum:32ea211e) REAL time: 30 secs Phase 3.31 Local Placement Optimization -Phase 3.31 Local Placement Optimization (Checksum:71956c79) REAL time: 28 secs +Phase 3.31 Local Placement Optimization (Checksum:32ea211e) REAL time: 30 secs Phase 4.2 Initial Placement for Architecture Specific Features Phase 4.2 Initial Placement for Architecture Specific Features -(Checksum:b766d5bd) REAL time: 39 secs +(Checksum:56bd13be) REAL time: 39 secs Phase 5.36 Local Placement Optimization -Phase 5.36 Local Placement Optimization (Checksum:b766d5bd) REAL time: 39 secs +Phase 5.36 Local Placement Optimization (Checksum:56bd13be) REAL time: 39 secs Phase 6.30 Global Clock Region Assignment -Phase 6.30 Global Clock Region Assignment (Checksum:b766d5bd) REAL time: 39 secs +Phase 6.30 Global Clock Region Assignment (Checksum:56bd13be) REAL time: 39 secs Phase 7.3 Local Placement Optimization -Phase 7.3 Local Placement Optimization (Checksum:b766d5bd) REAL time: 39 secs +Phase 7.3 Local Placement Optimization (Checksum:56bd13be) REAL time: 39 secs Phase 8.5 Local Placement Optimization -Phase 8.5 Local Placement Optimization (Checksum:b766d5bd) REAL time: 39 secs +Phase 8.5 Local Placement Optimization (Checksum:56bd13be) REAL time: 40 secs Phase 9.8 Global Placement -............................ -.................................................................................................................................. -.................................................................................................................. -...................................................................................................................................................................................... -.................. -Phase 9.8 Global Placement (Checksum:c97034ca) REAL time: 2 mins 17 secs +........................... +............................................................................................................... +.............................................................................................................................................................................................................. +................................................................................................................................................................................................................ +............................................................................ +Phase 9.8 Global Placement (Checksum:b748467) REAL time: 3 mins 28 secs Phase 10.5 Local Placement Optimization -Phase 10.5 Local Placement Optimization (Checksum:c97034ca) REAL time: 2 mins 18 secs +Phase 10.5 Local Placement Optimization (Checksum:b748467) REAL time: 3 mins 28 secs Phase 11.18 Placement Optimization -Phase 11.18 Placement Optimization (Checksum:b32d6743) REAL time: 2 mins 44 secs +Phase 11.18 Placement Optimization (Checksum:55b9fd9f) REAL time: 3 mins 55 secs Phase 12.5 Local Placement Optimization -Phase 12.5 Local Placement Optimization (Checksum:b32d6743) REAL time: 2 mins 44 secs +Phase 12.5 Local Placement Optimization (Checksum:55b9fd9f) REAL time: 3 mins 55 secs Phase 13.34 Placement Validation -Phase 13.34 Placement Validation (Checksum:22d0a4d2) REAL time: 2 mins 45 secs +Phase 13.34 Placement Validation (Checksum:9aa08428) REAL time: 3 mins 55 secs -Total REAL time to Placer completion: 2 mins 45 secs -Total CPU time to Placer completion: 2 mins 35 secs +Total REAL time to Placer completion: 3 mins 56 secs +Total CPU time to Placer completion: 3 mins 46 secs Running physical synthesis... Physical synthesis completed. @@ -129,16 +129,16 @@ Design Summary: Number of errors: 0 Number of warnings: 10 Slice Logic Utilization: - Number of Slice Registers: 3,298 out of 11,440 28% - Number used as Flip Flops: 3,297 + Number of Slice Registers: 3,783 out of 11,440 33% + Number used as Flip Flops: 3,778 Number used as Latches: 0 Number used as Latch-thrus: 0 - Number used as AND/OR logics: 1 - Number of Slice LUTs: 3,833 out of 5,720 67% - Number used as logic: 3,724 out of 5,720 65% - Number using O6 output only: 2,608 - Number using O5 output only: 215 - Number using O5 and O6: 901 + Number used as AND/OR logics: 5 + Number of Slice LUTs: 3,737 out of 5,720 65% + Number used as logic: 3,625 out of 5,720 63% + Number using O6 output only: 2,713 + Number using O5 output only: 165 + Number using O5 and O6: 747 Number used as ROM: 0 Number used as Memory: 52 out of 1,440 3% Number used as Dual Port RAM: 8 @@ -150,21 +150,21 @@ Slice Logic Utilization: Number using O6 output only: 4 Number using O5 output only: 0 Number using O5 and O6: 40 - Number used exclusively as route-thrus: 57 - Number with same-slice register load: 34 - Number with same-slice carry load: 23 + Number used exclusively as route-thrus: 60 + Number with same-slice register load: 43 + Number with same-slice carry load: 17 Number with other load: 0 Slice Logic Distribution: - Number of occupied Slices: 1,343 out of 1,430 93% - Nummber of MUXCYs used: 1,000 out of 2,860 34% - Number of LUT Flip Flop pairs used: 4,444 - Number with an unused Flip Flop: 1,462 out of 4,444 32% - Number with an unused LUT: 611 out of 4,444 13% - Number of fully used LUT-FF pairs: 2,371 out of 4,444 53% - Number of unique control sets: 258 + Number of occupied Slices: 1,333 out of 1,430 93% + Nummber of MUXCYs used: 768 out of 2,860 26% + Number of LUT Flip Flop pairs used: 4,638 + Number with an unused Flip Flop: 1,147 out of 4,638 24% + Number with an unused LUT: 901 out of 4,638 19% + Number of fully used LUT-FF pairs: 2,590 out of 4,638 55% + Number of unique control sets: 332 Number of slice register sites lost - to control set restrictions: 747 out of 11,440 6% + to control set restrictions: 730 out of 11,440 6% A LUT Flip Flop pair for this architecture represents one LUT paired with one Flip Flop within a slice. A control set is a unique combination of @@ -203,7 +203,7 @@ Specific Feature Utilization: Number of BUFHs: 0 out of 128 0% Number of BUFPLLs: 0 out of 8 0% Number of BUFPLL_MCBs: 0 out of 4 0% - Number of DSP48A1s: 7 out of 16 43% + Number of DSP48A1s: 9 out of 16 56% Number of ICAPs: 0 out of 1 0% Number of MCBs: 0 out of 2 0% Number of PCILOGICSEs: 0 out of 2 0% @@ -212,11 +212,11 @@ Specific Feature Utilization: Number of STARTUPs: 0 out of 1 0% Number of SUSPEND_SYNCs: 0 out of 1 0% -Average Fanout of Non-Clock Nets: 3.57 +Average Fanout of Non-Clock Nets: 3.68 -Peak Memory Usage: 443 MB -Total REAL time to MAP completion: 2 mins 58 secs -Total CPU time to MAP completion: 2 mins 46 secs +Peak Memory Usage: 451 MB +Total REAL time to MAP completion: 4 mins 8 secs +Total CPU time to MAP completion: 3 mins 57 secs Mapping completed. See MAP report file "papilio_pro.mrp" for details. diff --git a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.mrp b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.mrp index f7e96bb2..fa4ed41e 100644 --- a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.mrp +++ b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.mrp @@ -10,23 +10,23 @@ Target Device : xc6slx9 Target Package : tqg144 Target Speed : -2 Mapper Version : spartan6 -- $Revision: 1.55 $ -Mapped Date : Mon Jan 07 22:38:11 2013 +Mapped Date : Wed Jan 30 18:34:51 2013 Design Summary -------------- Number of errors: 0 Number of warnings: 10 Slice Logic Utilization: - Number of Slice Registers: 3,298 out of 11,440 28% - Number used as Flip Flops: 3,297 + Number of Slice Registers: 3,783 out of 11,440 33% + Number used as Flip Flops: 3,778 Number used as Latches: 0 Number used as Latch-thrus: 0 - Number used as AND/OR logics: 1 - Number of Slice LUTs: 3,833 out of 5,720 67% - Number used as logic: 3,724 out of 5,720 65% - Number using O6 output only: 2,608 - Number using O5 output only: 215 - Number using O5 and O6: 901 + Number used as AND/OR logics: 5 + Number of Slice LUTs: 3,737 out of 5,720 65% + Number used as logic: 3,625 out of 5,720 63% + Number using O6 output only: 2,713 + Number using O5 output only: 165 + Number using O5 and O6: 747 Number used as ROM: 0 Number used as Memory: 52 out of 1,440 3% Number used as Dual Port RAM: 8 @@ -38,21 +38,21 @@ Slice Logic Utilization: Number using O6 output only: 4 Number using O5 output only: 0 Number using O5 and O6: 40 - Number used exclusively as route-thrus: 57 - Number with same-slice register load: 34 - Number with same-slice carry load: 23 + Number used exclusively as route-thrus: 60 + Number with same-slice register load: 43 + Number with same-slice carry load: 17 Number with other load: 0 Slice Logic Distribution: - Number of occupied Slices: 1,343 out of 1,430 93% - Nummber of MUXCYs used: 1,000 out of 2,860 34% - Number of LUT Flip Flop pairs used: 4,444 - Number with an unused Flip Flop: 1,462 out of 4,444 32% - Number with an unused LUT: 611 out of 4,444 13% - Number of fully used LUT-FF pairs: 2,371 out of 4,444 53% - Number of unique control sets: 258 + Number of occupied Slices: 1,333 out of 1,430 93% + Nummber of MUXCYs used: 768 out of 2,860 26% + Number of LUT Flip Flop pairs used: 4,638 + Number with an unused Flip Flop: 1,147 out of 4,638 24% + Number with an unused LUT: 901 out of 4,638 19% + Number of fully used LUT-FF pairs: 2,590 out of 4,638 55% + Number of unique control sets: 332 Number of slice register sites lost - to control set restrictions: 747 out of 11,440 6% + to control set restrictions: 730 out of 11,440 6% A LUT Flip Flop pair for this architecture represents one LUT paired with one Flip Flop within a slice. A control set is a unique combination of @@ -91,7 +91,7 @@ Specific Feature Utilization: Number of BUFHs: 0 out of 128 0% Number of BUFPLLs: 0 out of 8 0% Number of BUFPLL_MCBs: 0 out of 4 0% - Number of DSP48A1s: 7 out of 16 43% + Number of DSP48A1s: 9 out of 16 56% Number of ICAPs: 0 out of 1 0% Number of MCBs: 0 out of 2 0% Number of PCILOGICSEs: 0 out of 2 0% @@ -100,11 +100,11 @@ Specific Feature Utilization: Number of STARTUPs: 0 out of 1 0% Number of SUSPEND_SYNCs: 0 out of 1 0% -Average Fanout of Non-Clock Nets: 3.57 +Average Fanout of Non-Clock Nets: 3.68 -Peak Memory Usage: 443 MB -Total REAL time to MAP completion: 2 mins 58 secs -Total CPU time to MAP completion: 2 mins 46 secs +Peak Memory Usage: 451 MB +Total REAL time to MAP completion: 4 mins 8 secs +Total CPU time to MAP completion: 3 mins 57 secs Table of Contents ----------------- @@ -200,7 +200,7 @@ INFO:Pack:1650 - Map created a placed design. Section 4 - Removed Logic Summary --------------------------------- 2 block(s) optimized away - 234 Block(s) redundant + 177 Block(s) redundant Section 5 - Removed Logic ------------------------- @@ -212,36 +212,36 @@ VCC XST_VCC Redundant Block(s): TYPE BLOCK -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<30>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<29>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<28>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<27>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<26>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<25>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<24>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<23>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<22>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<21>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<20>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<19>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<18>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<17>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<16>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<15>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<14>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<13>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<12>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<11>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<10>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<9>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<8>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<7>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<6>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<5>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<4>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<3>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<2>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_cy<1>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<30>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<29>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<28>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<27>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<26>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<25>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<24>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<23>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<22>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<21>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<20>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<19>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<18>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<17>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<16>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<15>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<14>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<13>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<12>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<11>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<10>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<9>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<8>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<7>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<6>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<5>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<4>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<3>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<2>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_cy<1>_rt LUT1 zpuino/core/Madd_prefr_spnext[12]_GND_56_o_add_186_OUT_cy<9>_rt LUT1 zpuino/core/Madd_prefr_spnext[12]_GND_56_o_add_186_OUT_cy<8>_rt LUT1 zpuino/core/Madd_prefr_spnext[12]_GND_56_o_add_186_OUT_cy<7>_rt @@ -352,56 +352,6 @@ LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<1>_rt LUT1 slot11/rx_timer/Msub_GND_160_o_GND_160_o_sub_2_OUT<15:0>_cy<0>_rt LUT1 slot11/tx_timer/Msub_GND_160_o_GND_160_o_sub_2_OUT<15:0>_cy<0>_rt LUT1 slot11/rx_inst/baudgen/Msub_GND_160_o_GND_160_o_sub_2_OUT<15:0>_cy<0>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<10>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<9>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<8>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<7>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<6>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<5>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<4>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<3>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<2>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<1>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<10>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<9>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<8>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<7>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<6>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<5>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<4>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<3>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<2>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<1>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<14>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<13>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<12>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<11>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<10>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<9>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<8>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<7>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<6>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<5>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<4>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<3>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<2>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<1>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<10>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<9>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<8>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<7>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<6>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<5>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<4>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<3>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<2>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<1>_rt -LUT1 slot13/Maccum_audio_mix_cy<8>_rt -LUT1 slot13/Msub_GND_233_o_GND_233_o_sub_97_OUT<11:0>_cy<0>_rt -LUT1 slot13/Msub_GND_233_o_GND_233_o_sub_103_OUT<11:0>_cy<0>_rt -LUT1 slot13/Msub_GND_233_o_GND_233_o_sub_132_OUT<15:0>_cy<0>_rt -LUT1 slot13/Msub_GND_233_o_GND_233_o_sub_100_OUT<11:0>_cy<0>_rt -LUT1 slot13/Msub_predivcnt[31]_GND_233_o_sub_71_OUT<31:0>_cy<0>_rt LUT1 slot14/sid/sid_voice_3/Mcount_divider_counter_cy<0>_rt LUT1 slot14/sid/sid_voice_3/Maccum_accumulator_cy<22>_rt LUT1 slot14/sid/sid_voice_3/Maccum_accumulator_cy<21>_rt @@ -426,8 +376,7 @@ LUT1 slot14/sid/sid_voice_1/Maccum_accumulator_cy<19>_rt LUT1 slot14/sid/sid_voice_1/Maccum_accumulator_cy<18>_rt LUT1 slot14/sid/sid_voice_1/Maccum_accumulator_cy<17>_rt LUT1 slot14/sid/sid_voice_1/Maccum_accumulator_cy<16>_rt -LUT1 mixer/Maccum_audio_mix_cy<18>_rt -LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_199_o_add_22_OUT_xor<31>_rt +LUT1 sram_inst/ctrl/Madd_r_rf_counter[31]_GND_306_o_add_22_OUT_xor<31>_rt LUT1 zpuino/core/Madd_prefr_spnext[12]_GND_56_o_add_186_OUT_xor<10>_rt LUT1 zpuino/core/Madd_prefr_sp[12]_GND_56_o_add_227_OUT_xor<10>_rt LUT1 zpuino/core/Madd_pcnext_xor<22>_rt @@ -437,15 +386,9 @@ LUT1 uart_inst/fifo_instance/Mcount_rdaddr_xor<10>_rt LUT1 uart_inst/fifo_instance/Mcount_wraddr_xor<10>_rt LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<9>_rt LUT1 timers_inst/timer0_inst/Mcount_TSC_q_xor<31>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_xor<11>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_xor<11>_rt -LUT1 slot13/Mcount_env_gen_cnt_xor<15>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_xor<11>_rt -LUT1 slot13/Maccum_audio_mix_xor<9>_rt LUT1 slot14/sid/sid_voice_3/Maccum_accumulator_xor<23>_rt LUT1 slot14/sid/sid_voice_2/Maccum_accumulator_xor<23>_rt LUT1 slot14/sid/sid_voice_1/Maccum_accumulator_xor<23>_rt -LUT1 mixer/Maccum_audio_mix_xor<19>_rt INV sram_inst/ctrl/not_clock_100_delayed_3ns1_INV_0 Section 6 - IOB Properties @@ -740,13 +683,13 @@ Section 12 - Control Set Information +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | Clock Signal | Reset Signal | Set Signal | Enable Signal | Slice Load Count | Bel Load Count | +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| sysclk | | | | 98 | 309 | +| sysclk | | | | 93 | 251 | | sysclk | | | GLOBAL_LOGIC1 | 8 | 50 | | sysclk | | | crc16_inst/_n0135_inv | 1 | 8 | | sysclk | | | crc16_inst/_n0142_inv | 8 | 32 | | sysclk | | | crc16_inst/_n0155_inv | 3 | 16 | -| sysclk | | | crc16_inst/wb_rst_i_inv | 3 | 6 | -| sysclk | | | gpio_inst/_n1908_inv | 2 | 6 | +| sysclk | | | crc16_inst/wb_rst_i_inv | 10 | 21 | +| sysclk | | | gpio_inst/_n1908_inv | 1 | 6 | | sysclk | | | gpio_inst/_n1918_inv | 2 | 6 | | sysclk | | | gpio_inst/_n1928_inv | 2 | 6 | | sysclk | | | gpio_inst/_n1938_inv | 2 | 6 | @@ -755,11 +698,11 @@ Section 12 - Control Set Information | sysclk | | | gpio_inst/_n1968_inv | 2 | 6 | | sysclk | | | gpio_inst/_n1978_inv | 2 | 6 | | sysclk | | | gpio_inst/_n1988_inv | 2 | 6 | -| sysclk | | | gpio_inst/_n1998_inv | 1 | 6 | +| sysclk | | | gpio_inst/_n1998_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2008_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2018_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2028_inv | 2 | 6 | -| sysclk | | | gpio_inst/_n2038_inv | 1 | 6 | +| sysclk | | | gpio_inst/_n2038_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2048_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2058_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2068_inv | 2 | 6 | @@ -768,7 +711,7 @@ Section 12 - Control Set Information | sysclk | | | gpio_inst/_n2098_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2108_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2118_inv | 2 | 6 | -| sysclk | | | gpio_inst/_n2128_inv | 2 | 6 | +| sysclk | | | gpio_inst/_n2128_inv | 1 | 6 | | sysclk | | | gpio_inst/_n2138_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2148_inv | 2 | 6 | | sysclk | | | gpio_inst/_n2158_inv | 2 | 6 | @@ -798,15 +741,14 @@ Section 12 - Control Set Information | sysclk | | | gpio_inst/_n2398_inv | 2 | 7 | | sysclk | | | gpio_inst/gpio_q_0_ce | 8 | 32 | | sysclk | | | gpio_inst/gpio_q_32_ce | 5 | 18 | -| sysclk | | | mixer/cnt_div[1]_GND_243_o_equal_7_o | 5 | 19 | | sysclk | | | sigmadelta_inst/_n0209_inv | 1 | 1 | | sysclk | | | sigmadelta_inst/_n0212_inv | 5 | 18 | | sysclk | | | slot0/_n0092_inv | 1 | 2 | | sysclk | | | slot0/_n0098_inv | 3 | 5 | | sysclk | | | slot0/zspi/_n0085_inv | 8 | 32 | -| sysclk | | | slot0/zspi/_n0091_inv | 8 | 32 | +| sysclk | | | slot0/zspi/_n0091_inv | 7 | 32 | | sysclk | | | slot1/_n0092_inv | 1 | 2 | -| sysclk | | | slot1/_n0098_inv | 3 | 5 | +| sysclk | | | slot1/_n0098_inv | 2 | 5 | | sysclk | | | slot1/zspi/_n0085_inv | 8 | 32 | | sysclk | | | slot1/zspi/_n0091_inv | 8 | 32 | | sysclk | | | slot1/zspi/do_shift | 1 | 1 | @@ -820,17 +762,19 @@ Section 12 - Control Set Information | sysclk | | | slot11/tx_core/_n0094 | 2 | 8 | | sysclk | | | slot11/tx_core/_n0102_inv | 2 | 8 | | sysclk | | | slot11/tx_timer/_n0020_inv | 1 | 4 | -| sysclk | | | slot13/_n0455 | 5 | 17 | -| sysclk | | | slot13/_n0482 | 2 | 9 | -| sysclk | | | slot13/_n0516_inv | 1 | 1 | -| sysclk | | | slot13/divclken | 3 | 11 | -| sysclk | | | slot13/ena_div | 1 | 3 | +| sysclk | | | slot8/_n1271_inv | 1 | 4 | +| sysclk | | | slot8/current_output_q[1]_Decoder_549_OUT<0> | 4 | 13 | +| sysclk | | | slot8/current_output_q[1]_Decoder_549_OUT<1> | 4 | 13 | +| sysclk | | | slot8/current_output_q[1]_Decoder_549_OUT<2> | 4 | 13 | +| sysclk | | | slot8/current_output_q[1]_Decoder_549_OUT<3> | 4 | 13 | +| sysclk | | | slot8/flush_output | 2 | 8 | +| sysclk | | | slot8/stage_one_hold_INV_587_o | 1 | 4 | | sysclk | | | sram_inst/ctrl/_n0454_inv | 1 | 2 | -| sysclk | | | sram_inst/ctrl/_n0470_inv | 5 | 21 | +| sysclk | | | sram_inst/ctrl/_n0470_inv | 6 | 21 | | sysclk | | | sram_inst/ctrl/_n0476_inv | 9 | 36 | | sysclk | | | timers_inst/timer0_inst/_n0157_inv | 4 | 16 | | sysclk | | | timers_inst/timer0_inst/_n0160_inv | 1 | 2 | -| sysclk | | | timers_inst/timer0_inst/_n0168_inv | 8 | 32 | +| sysclk | | | timers_inst/timer0_inst/_n0168_inv | 6 | 32 | | sysclk | | | timers_inst/timer0_inst/_n0183_inv | 4 | 16 | | sysclk | | | timers_inst/timer0_inst/_n0190_inv | 4 | 16 | | sysclk | | | timers_inst/timer0_inst/_n0205_inv | 1 | 1 | @@ -839,15 +783,15 @@ Section 12 - Control Set Information | sysclk | | | timers_inst/timer1_inst/_n0143_inv | 2 | 8 | | sysclk | | | timers_inst/timer1_inst/_n0150_inv | 2 | 8 | | sysclk | | | timers_inst/timer1_inst/_n0165_inv | 1 | 1 | -| sysclk | | | uart_inst/_n0048_inv | 3 | 16 | +| sysclk | | | uart_inst/_n0048_inv | 4 | 16 | | sysclk | | | uart_inst/rx_inst/_n0101_inv | 1 | 3 | -| sysclk | | | uart_inst/rx_inst/_n0108_inv | 1 | 8 | +| sysclk | | | uart_inst/rx_inst/_n0108_inv | 2 | 8 | | sysclk | | | uart_inst/rx_inst/_n0115_inv | 2 | 8 | | sysclk | | | uart_inst/rx_inst/baudgen/_n0020_inv | 1 | 4 | | sysclk | | | uart_inst/tx_core/_n0094 | 2 | 8 | | sysclk | | | uart_inst/tx_core/_n0102_inv | 2 | 8 | | sysclk | | | uart_inst/tx_timer/_n0020_inv | 1 | 4 | -| sysclk | | | zpuino/core/_n1423 | 19 | 57 | +| sysclk | | | zpuino/core/_n1423 | 17 | 57 | | sysclk | | | zpuino/core/_n14231 | 10 | 32 | | sysclk | | | zpuino/core/_n1003_inv11 | 13 | 40 | | sysclk | | | zpuino/core/_n1003_inv12 | 1 | 7 | @@ -858,29 +802,30 @@ Section 12 - Control Set Information | sysclk | | | zpuino/core/lsu/_n0051_inv | 24 | 63 | | sysclk | | | zpuino/core/shl/_n0048_inv4 | 11 | 67 | | sysclk | | | zpuino/io/_n0193_inv | 1 | 1 | -| sysclk | | | zpuino/io/_n0197_inv | 4 | 14 | +| sysclk | | | zpuino/io/_n0197_inv | 6 | 14 | | sysclk | | | zpuino/io/_n0201_inv | 8 | 32 | | sysclk | | | zpuino/io/intr_inst/_n0402 | 1 | 2 | | sysclk | clkgen_inst/dcmlocked_rstin_OR_34_o | | | 2 | 2 | | sysclk | clkgen_inst/rst1_q | | rstgen/_n0021_inv | 8 | 26 | | sysclk | clkgen_inst/rst1_q | | rstgen/rx_inv | 1 | 1 | | sysclk | crc16_inst/_n0127 | | crc16_inst/wb_rst_i_inv | 1 | 3 | -| sysclk | mixer/cnt_div[1]_GND_243_o_equal_7_o | | | 5 | 20 | -| sysclk | rstgen/rstout1_1 | | | 38 | 62 | +| sysclk | rstgen/rstout1_1 | | | 37 | 62 | | sysclk | rstgen/rstout1_1 | | gpio_inst/gpio_tris_q_0_ce | 8 | 32 | | sysclk | rstgen/rstout1_1 | | gpio_inst/gpio_tris_q_32_ce | 4 | 16 | -| sysclk | rstgen/rstout1_1 | | gpio_inst/ppspin_q_0_ce | 7 | 24 | +| sysclk | rstgen/rstout1_1 | | gpio_inst/ppspin_q_0_ce | 8 | 32 | +| sysclk | rstgen/rstout1_1 | | gpio_inst/ppspin_q_32_ce | 8 | 32 | +| sysclk | rstgen/rstout1_1 | | gpio_inst/ppspin_q_64_ce | 3 | 10 | | sysclk | rstgen/rstout1_1 | | slot0/_n0095_inv | 2 | 2 | | sysclk | rstgen/rstout1_1 | | slot0/spi_clk_en | 1 | 1 | | sysclk | rstgen/rstout1_1 | | slot0/zspi/_n0100_inv | 2 | 6 | | sysclk | rstgen/rstout1_1 | | slot0/zspiclk/_n0045_inv | 1 | 3 | | sysclk | rstgen/rstout1_1 | | slot0/zspiclk/_n0049_inv | 1 | 1 | -| sysclk | rstgen/rstout1_1 | | slot1/_n0095_inv | 2 | 2 | +| sysclk | rstgen/rstout1_1 | | slot1/_n0095_inv | 1 | 2 | | sysclk | rstgen/rstout1_1 | | slot1/spi_clk_en | 1 | 1 | | sysclk | rstgen/rstout1_1 | | slot1/zspi/_n0100_inv | 2 | 6 | | sysclk | rstgen/rstout1_1 | | slot1/zspiclk/_n0045_inv | 1 | 3 | | sysclk | rstgen/rstout1_1 | | slot1/zspiclk/_n0049_inv | 1 | 1 | -| sysclk | rstgen/rstout1_1 | | sram_inst/ctrl/_n0339 | 4 | 16 | +| sysclk | rstgen/rstout1_1 | | sram_inst/ctrl/_n0341 | 4 | 16 | | sysclk | rstgen/rstout1_1 | | sram_inst/ctrl/_n0705_inv | 5 | 31 | | sysclk | rstgen/rstout1_1 | | sram_inst/ctrl/_n0714_inv | 1 | 1 | | sysclk | rstgen/rstout1_1 | | sram_inst/ctrl/_n0729_inv | 1 | 1 | @@ -890,94 +835,166 @@ Section 12 - Control Set Information | sysclk | rstgen/rstout1_1 | | uart_inst/rx_inst/rxmvfilter/_n0027_inv | 2 | 4 | | sysclk | rstgen/rstout1_1 | | uart_inst/tx_core/_n0129_inv | 1 | 1 | | sysclk | rstgen/rstout1_1 | | uart_inst/tx_timer/clkout | 2 | 4 | -| sysclk | rstgen/rstout1_1 | | zpuino/core/Mmux_cache_valid_GND_56_o_MUX_294_o1201 | 6 | 23 | +| sysclk | rstgen/rstout1_1 | | zpuino/core/Mmux_cache_valid_GND_56_o_MUX_294_o1201 | 9 | 23 | | sysclk | rstgen/rstout1_1 | | zpuino/core/_n1003_inv1 | 4 | 23 | | sysclk | rstgen/rstout1_1 | | zpuino/core/_n1259_inv | 1 | 1 | | sysclk | rstgen/rstout1_1 | | zpuino/core/_n1292_inv | 1 | 1 | | sysclk | rstgen/rstout1_1 | | zpuino/core/_n1407_inv | 1 | 1 | -| sysclk | rstgen/rstout1_1 | | zpuino/core/_n1422_inv | 6 | 11 | +| sysclk | rstgen/rstout1_1 | | zpuino/core/_n1422_inv | 5 | 11 | | sysclk | rstgen/rstout1_1 | | zpuino/core/cache/_n0218_inv | 1 | 5 | | sysclk | rstgen/rstout1_1 | | zpuino/core/cache/_n0230_inv | 2 | 7 | | sysclk | rstgen/rstout1_1 | | zpuino/core/cache/busy_enable_AND_1037_o | 1 | 1 | -| sysclk | rstgen/rstout1_1 | | zpuino/io/intr_inst/_n0413_inv | 5 | 18 | +| sysclk | rstgen/rstout1_1 | | zpuino/io/intr_inst/_n0413_inv | 4 | 18 | | sysclk | rstgen/rstout1_1 | | zpuino/io/intr_inst/_n0419_inv | 1 | 1 | | sysclk | rstgen/rstout1_1 | | zpuino/io/intr_inst/_n0423_inv | 1 | 2 | | sysclk | rstgen/rstout1_1 | | zpuino/io/intr_inst/_n0427_inv | 1 | 1 | -| sysclk | rstgen/rstout1_2 | | | 13 | 38 | +| sysclk | rstgen/rstout1_2 | | | 9 | 33 | | sysclk | rstgen/rstout1_2 | | crc16_inst/_n0162_inv | 4 | 16 | | sysclk | rstgen/rstout1_2 | | crc16_inst/_n0177_inv | 1 | 1 | | sysclk | rstgen/rstout1_2 | | gpio_inst/gpio_tris_q_32_ce | 1 | 2 | -| sysclk | rstgen/rstout1_2 | | gpio_inst/ppspin_q_0_ce | 3 | 8 | | sysclk | rstgen/rstout1_2 | | gpio_inst/ppspin_q_100_ce | 8 | 32 | -| sysclk | rstgen/rstout1_2 | | gpio_inst/ppspin_q_32_ce | 8 | 32 | -| sysclk | rstgen/rstout1_2 | | gpio_inst/ppspin_q_64_ce | 8 | 32 | +| sysclk | rstgen/rstout1_2 | | gpio_inst/ppspin_q_64_ce | 6 | 22 | | sysclk | rstgen/rstout1_2 | | sigmadelta_inst/_n0206_inv | 1 | 1 | | sysclk | rstgen/rstout1_2 | | sigmadelta_inst/sd_en_q<0> | 1 | 1 | -| sysclk | rstgen/rstout1_2 | | slot11/fifo_instance/rd_rdaddr[3]_AND_1675_o | 1 | 4 | -| sysclk | rstgen/rstout1_2 | | slot11/fifo_instance/wr_wraddr[3]_AND_1674_o | 1 | 4 | -| sysclk | rstgen/rstout1_2 | | slot11/rx_inst/rxmvfilter/_n0027_inv | 1 | 4 | -| sysclk | rstgen/rstout1_2 | | slot11/tx_core/_n0129_inv | 1 | 1 | -| sysclk | rstgen/rstout1_2 | | slot11/tx_timer/clkout | 2 | 5 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0529_inv | 1 | 4 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0533_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0537_inv | 1 | 4 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0541_inv | 1 | 8 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0545_inv | 1 | 4 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0549_inv | 2 | 5 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0553_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0557_inv | 2 | 5 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0561_inv | 1 | 5 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0565_inv | 2 | 5 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0569_inv | 1 | 8 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0573_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot13/_n0587_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot13/env_reset_rstpot | 1 | 4 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0433_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0440_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0454_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0461_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0468_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0475_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0482_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0489_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0503_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0510_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0517_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0524_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0531_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0538_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0552_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0559_inv | 2 | 8 | -| sysclk | rstgen/rstout1_2 | | slot14/sid/_n0566_inv | 2 | 6 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1303_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1312_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1321_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1330_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1339_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1348_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1357_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1366_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1375_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1384_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1393_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1402_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1411_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1420_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1429_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1438_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1447_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1456_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1465_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1474_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1483_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1492_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1501_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1510_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1519_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1528_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1537_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1546_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1555_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1564_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1573_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1582_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1591_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1600_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1609_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1618_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1627_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1636_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1645_inv | 3 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1654_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1663_inv | 2 | 8 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1672_inv | 2 | 5 | +| sysclk | rstgen/rstout1_2 | | slot8/_n1690_inv | 2 | 8 | | sysclk | rstgen/rstout1_2 | | timers_inst/timer0_inst/write_ctrl | 5 | 7 | -| sysclk | rstgen/rstout1_2 | | timers_inst/timer1_inst/write_ctrl | 3 | 7 | +| sysclk | rstgen/rstout1_2 | | timers_inst/timer1_inst/write_ctrl | 5 | 7 | +| sysclk | rstgen/rstout1_3 | | | 4 | 5 | +| sysclk | rstgen/rstout1_3 | | slot11/fifo_instance/rd_rdaddr[3]_AND_1944_o | 1 | 4 | +| sysclk | rstgen/rstout1_3 | | slot11/fifo_instance/wr_wraddr[3]_AND_1943_o | 1 | 4 | +| sysclk | rstgen/rstout1_3 | | slot11/rx_inst/rxmvfilter/_n0027_inv | 1 | 4 | +| sysclk | rstgen/rstout1_3 | | slot11/tx_core/_n0129_inv | 1 | 1 | +| sysclk | rstgen/rstout1_3 | | slot11/tx_timer/clkout | 3 | 5 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0529_inv | 1 | 4 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0533_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0537_inv | 1 | 4 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0541_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0545_inv | 1 | 4 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0549_inv | 2 | 5 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0553_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0557_inv | 2 | 5 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0561_inv | 2 | 5 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0565_inv | 2 | 5 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0569_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0573_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0577_inv | 1 | 4 | +| sysclk | rstgen/rstout1_3 | | slot13/_n0587_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot14/sid/_n0433_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot14/sid/_n0440_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot14/sid/_n0454_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot14/sid/_n0461_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot14/sid/_n0468_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot14/sid/_n0475_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot14/sid/_n0482_inv | 1 | 1 | +| sysclk | rstgen/rstout1_3 | | slot14/sid/_n0489_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1672_inv | 1 | 3 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1681_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1699_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1708_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1717_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1726_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1735_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1744_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1753_inv | 3 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1762_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1771_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1780_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1789_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1798_inv | 3 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1807_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1816_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1825_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1834_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1843_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1852_inv | 3 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1861_inv | 3 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1870_inv | 3 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1879_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1888_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1897_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1906_inv | 3 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1915_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1924_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1933_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1942_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1951_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1960_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1969_inv | 3 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1978_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1987_inv | 3 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n1996_inv | 3 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n2005_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/_n2014_inv | 2 | 8 | +| sysclk | rstgen/rstout1_3 | | slot8/stage_one_hold_INV_587_o | 2 | 4 | | sysclk | slot0/zspi/_n0091_inv1 | | slot0/zspi/_n00741 | 1 | 1 | | sysclk | slot0/zspiclk/prescale_reset | | | 9 | 24 | | sysclk | slot1/zspi/_n0091_inv1 | | slot1/zspi/_n00741 | 1 | 1 | | sysclk | slot1/zspiclk/prescale_reset | | | 7 | 24 | -| sysclk | slot13/_n0455 | | slot13/ena_div_noise | 1 | 5 | -| sysclk | slot13/_n0457 | | slot13/ena_div | 3 | 12 | -| sysclk | slot13/_n0459 | | slot13/ena_div | 3 | 12 | -| sysclk | slot13/_n0461 | | slot13/ena_div | 3 | 12 | -| sysclk | slot13/_n0468 | | | 3 | 5 | -| sysclk | slot13/_n0482 | | slot13/divclken | 3 | 10 | -| sysclk | slot13/divclken_inv | | | 2 | 2 | -| sysclk | slot13/env_ena_rstpot | | slot13/ena_div | 4 | 16 | | sysclk | slot14/sid/_n0426 | | crc16_inst/wb_rst_i_inv | 4 | 8 | -| sysclk | sysrst | | | 42 | 94 | -| sysclk | sysrst | | slot13/divclken | 1 | 8 | +| sysclk | sysrst | | | 37 | 75 | | sysclk | sysrst | | slot14/sid/_n0447_inv | 1 | 4 | +| sysclk | sysrst | | slot14/sid/_n0482_inv | 2 | 7 | | sysclk | sysrst | | slot14/sid/_n0496_inv | 1 | 4 | +| sysclk | sysrst | | slot14/sid/_n0503_inv | 2 | 8 | +| sysclk | sysrst | | slot14/sid/_n0510_inv | 2 | 8 | +| sysclk | sysrst | | slot14/sid/_n0517_inv | 2 | 8 | +| sysclk | sysrst | | slot14/sid/_n0524_inv | 1 | 8 | +| sysclk | sysrst | | slot14/sid/_n0531_inv | 1 | 8 | +| sysclk | sysrst | | slot14/sid/_n0538_inv | 2 | 8 | | sysclk | sysrst | | slot14/sid/_n0545_inv | 1 | 4 | -| sysclk | sysrst | | slot14/sid/_n0566_inv | 1 | 2 | +| sysclk | sysrst | | slot14/sid/_n0552_inv | 2 | 8 | +| sysclk | sysrst | | slot14/sid/_n0559_inv | 2 | 8 | +| sysclk | sysrst | | slot14/sid/_n0566_inv | 2 | 8 | | sysclk | sysrst | | slot14/sid/_n0573_inv | 1 | 4 | | sysclk | sysrst | | slot14/sid/_n0582_inv | 2 | 8 | -| sysclk | sysrst | | sram_inst/ctrl/_n0611_inv | 11 | 12 | +| sysclk | sysrst | | sram_inst/ctrl/_n0611_inv | 10 | 12 | | sysclk | sysrst | | sram_inst/ctrl/_n0701_inv | 2 | 2 | | sysclk | sysrst | | uart_inst/fifo_instance/wr_wraddr[10]_AND_1237_o | 3 | 11 | | sysclk | timers_inst/timer0_inst/_n0147 | | timers_inst/timer0_inst/_n0212_inv | 4 | 16 | | sysclk | timers_inst/timer0_inst/_n0152 | | timers_inst/timer0_inst/_n0220_inv | 1 | 1 | -| sysclk | timers_inst/timer0_inst/tmrr_presrst | | | 7 | 24 | +| sysclk | timers_inst/timer0_inst/tmrr_presrst | | | 9 | 24 | | sysclk | timers_inst/timer1_inst/_n0122 | | timers_inst/timer1_inst/_n0173_inv | 1 | 1 | | sysclk | timers_inst/timer1_inst/_n0129 | | timers_inst/timer1_inst/_n0181_inv | 2 | 8 | | sysclk | zpuino/core/Reset_OR_DriverANDClockEnable | | zpuino/core/_n1426_inv1 | 1 | 1 | @@ -985,19 +1002,19 @@ Section 12 - Control Set Information | sysclk | zpuino/io/_n0187 | | zpuino/io/_n0193_inv | 1 | 1 | | sysclk | zpuino/io/_n0190 | | zpuino/io/_n0193_inv | 1 | 1 | +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| sysclk_1mhz | | | | 43 | 118 | +| sysclk_1mhz | | | | 32 | 111 | | sysclk_1mhz | | | slot14/sid/sid_voice_1/_n0330_inv | 3 | 23 | | sysclk_1mhz | | | slot14/sid/sid_voice_2/_n0330_inv | 3 | 23 | | sysclk_1mhz | | | slot14/sid/sid_voice_3/_n0330_inv | 3 | 23 | -| sysclk_1mhz | slot14/sid/sid_voice_1/reset_Control[1]_OR_256_o | | | 6 | 24 | -| sysclk_1mhz | slot14/sid/sid_voice_1/reset_divider_rst_OR_313_o | | | 5 | 18 | -| sysclk_1mhz | slot14/sid/sid_voice_1/reset_env_cnt_clear_OR_311_o | | slot14/sid/sid_voice_1/env_count_hold_A_env_count_hold_B_OR_312_o_inv | 5 | 18 | -| sysclk_1mhz | slot14/sid/sid_voice_2/reset_Control[1]_OR_256_o | | | 6 | 24 | -| sysclk_1mhz | slot14/sid/sid_voice_2/reset_divider_rst_OR_313_o | | | 5 | 18 | -| sysclk_1mhz | slot14/sid/sid_voice_2/reset_env_cnt_clear_OR_311_o | | slot14/sid/sid_voice_2/env_count_hold_A_env_count_hold_B_OR_312_o_inv | 5 | 18 | -| sysclk_1mhz | slot14/sid/sid_voice_3/reset_Control[1]_OR_256_o | | | 6 | 24 | -| sysclk_1mhz | slot14/sid/sid_voice_3/reset_divider_rst_OR_313_o | | | 5 | 18 | -| sysclk_1mhz | slot14/sid/sid_voice_3/reset_env_cnt_clear_OR_311_o | | slot14/sid/sid_voice_3/env_count_hold_A_env_count_hold_B_OR_312_o_inv | 5 | 18 | +| sysclk_1mhz | slot14/sid/sid_voice_1/reset_Control[1]_OR_258_o | | | 6 | 24 | +| sysclk_1mhz | slot14/sid/sid_voice_1/reset_divider_rst_OR_315_o | | | 5 | 18 | +| sysclk_1mhz | slot14/sid/sid_voice_1/reset_env_cnt_clear_OR_313_o | | slot14/sid/sid_voice_1/env_count_hold_A_env_count_hold_B_OR_314_o_inv | 5 | 18 | +| sysclk_1mhz | slot14/sid/sid_voice_2/reset_Control[1]_OR_258_o | | | 6 | 24 | +| sysclk_1mhz | slot14/sid/sid_voice_2/reset_divider_rst_OR_315_o | | | 5 | 18 | +| sysclk_1mhz | slot14/sid/sid_voice_2/reset_env_cnt_clear_OR_313_o | | slot14/sid/sid_voice_2/env_count_hold_A_env_count_hold_B_OR_314_o_inv | 5 | 18 | +| sysclk_1mhz | slot14/sid/sid_voice_3/reset_Control[1]_OR_258_o | | | 6 | 24 | +| sysclk_1mhz | slot14/sid/sid_voice_3/reset_divider_rst_OR_315_o | | | 5 | 18 | +| sysclk_1mhz | slot14/sid/sid_voice_3/reset_env_cnt_clear_OR_313_o | | slot14/sid/sid_voice_3/env_count_hold_A_env_count_hold_B_OR_314_o_inv | 5 | 18 | | sysclk_1mhz | sysrst | | | 35 | 147 | +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -1006,18 +1023,16 @@ Section 13 - Utilization by Hierarchy +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | Module | Partition | Slices* | Slice Reg | LUTs | LUTRAM | BRAM/FIFO | DSP48A1 | BUFG | BUFIO | BUFR | DCM | PLL_ADV | Full Hierarchical Name | +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| papilio_pro_top/ | | 51/1930 | 0/3297 | 83/3833 | 0/52 | 0/12 | 0/7 | 0/4 | 0/0 | 0/0 | 0/1 | 0/1 | papilio_pro_top | -| +bootmux | | 12/12 | 0/0 | 17/17 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/bootmux | +| papilio_pro_top/ | | 61/2005 | 0/3778 | 77/3737 | 0/52 | 0/12 | 0/9 | 0/4 | 0/0 | 0/0 | 0/1 | 0/1 | papilio_pro_top | +| +bootmux | | 14/14 | 0/0 | 17/17 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/bootmux | | +clkgen_inst | | 3/3 | 2/2 | 1/1 | 0/0 | 0/0 | 0/0 | 4/4 | 0/0 | 0/0 | 1/1 | 1/1 | papilio_pro_top/clkgen_inst | -| +crc16_inst | | 31/31 | 76/76 | 50/50 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/crc16_inst | -| +gpio_inst | | 249/249 | 530/530 | 403/403 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/gpio_inst | +| +crc16_inst | | 26/26 | 76/76 | 50/50 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/crc16_inst | +| +gpio_inst | | 212/212 | 530/530 | 362/362 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/gpio_inst | | +ibufmiso | | 0/1 | 0/0 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/ibufmiso | | ++sync | | 1/1 | 0/0 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/ibufmiso/sync | | +ibufrx | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/ibufrx | | ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/ibufrx/sync | -| +memarb | | 8/8 | 2/2 | 15/15 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/memarb | -| +mixer | | 30/41 | 77/116 | 46/66 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/mixer | -| ++sdo | | 11/11 | 39/39 | 20/20 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/mixer/sdo | +| +memarb | | 10/10 | 2/2 | 15/15 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/memarb | | +npnadapt | | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/npnadapt | | +obuftx | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/obuftx | | +oled | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/oled | @@ -1122,53 +1137,55 @@ Section 13 - Utilization by Hierarchy | ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/pin47/sync | | +prom | | 3/3 | 2/2 | 4/4 | 0/0 | 0/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/prom | | ++rom | | 0/0 | 0/0 | 0/0 | 0/0 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/prom/rom | -| +rstgen | | 19/19 | 33/33 | 49/49 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/rstgen | +| +rstgen | | 20/20 | 33/33 | 50/50 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/rstgen | +| +sdo | | 6/6 | 19/19 | 10/10 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/sdo | | +sigmadelta_inst | | 24/24 | 53/53 | 46/46 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/sigmadelta_inst | -| +slot0 | | 12/55 | 12/116 | 5/72 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot0 | -| ++zspi | | 26/26 | 72/72 | 45/45 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot0/zspi | -| ++zspiclk | | 6/17 | 8/32 | 6/22 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot0/zspiclk | -| +++pr | | 11/11 | 24/24 | 16/16 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot0/zspiclk/pr | -| +slot1 | | 11/50 | 12/118 | 6/75 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot1 | -| ++zspi | | 23/23 | 73/73 | 45/45 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot1/zspi | -| ++zspiclk | | 7/16 | 9/33 | 6/24 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot1/zspiclk | -| +++pr | | 9/9 | 24/24 | 18/18 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot1/zspiclk/pr | -| +slot11 | | 7/55 | 18/114 | 1/101 | 0/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11 | -| ++fifo_instance | | 6/6 | 17/17 | 19/19 | 8/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/fifo_instance | -| ++rx_inst | | 12/18 | 23/33 | 12/25 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/rx_inst | +| +slot0 | | 13/54 | 12/116 | 5/75 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot0 | +| ++zspi | | 23/23 | 72/72 | 49/49 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot0/zspi | +| ++zspiclk | | 6/18 | 8/32 | 6/21 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot0/zspiclk | +| +++pr | | 12/12 | 24/24 | 15/15 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot0/zspiclk/pr | +| +slot1 | | 10/51 | 12/118 | 8/81 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot1 | +| ++zspi | | 25/25 | 73/73 | 48/48 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot1/zspi | +| ++zspiclk | | 7/16 | 9/33 | 6/25 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot1/zspiclk | +| +++pr | | 9/9 | 24/24 | 19/19 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot1/zspiclk/pr | +| +slot11 | | 7/57 | 18/114 | 1/102 | 0/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11 | +| ++fifo_instance | | 7/7 | 17/17 | 19/19 | 8/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/fifo_instance | +| ++rx_inst | | 12/18 | 23/33 | 13/26 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/rx_inst | | +++baudgen | | 4/4 | 5/5 | 9/9 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/rx_inst/baudgen | | +++rxmvfilter | | 2/2 | 5/5 | 4/4 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/rx_inst/rxmvfilter | | ++rx_timer | | 10/10 | 17/17 | 35/35 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/rx_timer | -| ++tx_core | | 10/10 | 24/24 | 13/13 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/tx_core | -| ++tx_timer | | 4/4 | 5/5 | 8/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/tx_timer | -| +slot13 | | 125/125 | 244/244 | 349/349 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot13 | -| +slot14 | | 1/325 | 1/683 | 1/784 | 0/0 | 0/0 | 0/3 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14 | -| ++sid | | 73/324 | 182/682 | 100/783 | 0/0 | 0/0 | 0/3 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14/sid | -| +++sid_voice_1 | | 83/83 | 164/164 | 228/228 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14/sid/sid_voice_1 | -| +++sid_voice_2 | | 80/80 | 164/164 | 226/226 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14/sid/sid_voice_2 | -| +++sid_voice_3 | | 88/88 | 172/172 | 229/229 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14/sid/sid_voice_3 | -| +sram_inst | | 9/112 | 33/199 | 0/189 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/sram_inst | -| ++ctrl | | 103/103 | 166/166 | 189/189 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/sram_inst/ctrl | -| +timers_inst | | 32/135 | 0/242 | 38/181 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/timers_inst | -| ++timer0_inst | | 67/76 | 174/198 | 92/110 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/timers_inst/timer0_inst | -| +++pr.tmr0prescale_inst | | 9/9 | 24/24 | 18/18 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/timers_inst/timer0_inst/pr.tmr0prescale_inst | -| ++timer1_inst | | 27/27 | 44/44 | 33/33 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/timers_inst/timer1_inst | -| +uart_inst | | 6/65 | 18/130 | 5/136 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst | -| ++fifo_instance | | 16/16 | 34/34 | 41/41 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/fifo_instance | -| ++rx_inst | | 9/16 | 23/33 | 13/26 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/rx_inst | +| ++tx_core | | 12/12 | 24/24 | 13/13 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/tx_core | +| ++tx_timer | | 3/3 | 5/5 | 8/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot11/tx_timer | +| +slot13 | | 34/34 | 84/84 | 40/40 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot13 | +| +slot14 | | 1/318 | 1/676 | 1/748 | 0/0 | 0/0 | 0/3 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14 | +| ++sid | | 62/317 | 176/675 | 69/747 | 0/0 | 0/0 | 0/3 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14/sid | +| +++sid_voice_1 | | 83/83 | 161/161 | 224/224 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14/sid/sid_voice_1 | +| +++sid_voice_2 | | 83/83 | 161/161 | 228/228 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14/sid/sid_voice_2 | +| +++sid_voice_3 | | 89/89 | 177/177 | 226/226 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot14/sid/sid_voice_3 | +| +slot8 | | 229/229 | 745/745 | 345/345 | 0/0 | 0/0 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/slot8 | +| +sram_inst | | 9/114 | 33/199 | 0/181 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/sram_inst | +| ++ctrl | | 105/105 | 166/166 | 181/181 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/sram_inst/ctrl | +| +timers_inst | | 29/130 | 0/242 | 38/190 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/timers_inst | +| ++timer0_inst | | 66/77 | 174/198 | 104/120 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/timers_inst/timer0_inst | +| +++pr.tmr0prescale_inst | | 11/11 | 24/24 | 16/16 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/timers_inst/timer0_inst/pr.tmr0prescale_inst | +| ++timer1_inst | | 24/24 | 44/44 | 32/32 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/timers_inst/timer1_inst | +| +uart_inst | | 7/67 | 18/130 | 1/124 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst | +| ++fifo_instance | | 19/19 | 34/34 | 45/45 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/fifo_instance | +| ++rx_inst | | 8/15 | 23/33 | 9/22 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/rx_inst | | +++baudgen | | 4/4 | 5/5 | 9/9 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/rx_inst/baudgen | | +++rxmvfilter | | 3/3 | 5/5 | 4/4 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/rx_inst/rxmvfilter | | ++rx_timer | | 10/10 | 17/17 | 35/35 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/rx_timer | -| ++tx_core | | 13/13 | 23/23 | 21/21 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/tx_core | -| ++tx_timer | | 4/4 | 5/5 | 8/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/tx_timer | -| +zpuino | | 4/516 | 0/587 | 4/1185 | 0/18 | 0/9 | 0/4 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino | -| ++core | | 356/442 | 325/473 | 965/1095 | 0/18 | 0/5 | 0/4 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/core | -| +++cache | | 34/34 | 46/46 | 70/70 | 0/0 | 0/5 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/core/cache | +| ++tx_core | | 13/13 | 23/23 | 13/13 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/tx_core | +| ++tx_timer | | 3/3 | 5/5 | 8/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/uart_inst/tx_timer | +| +zpuino | | 4/521 | 0/587 | 4/1192 | 0/18 | 0/9 | 0/4 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino | +| ++core | | 351/443 | 325/473 | 964/1094 | 0/18 | 0/5 | 0/4 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/core | +| +++cache | | 40/40 | 46/46 | 70/70 | 0/0 | 0/5 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/core/cache | | ++++cachemem | | 0/0 | 0/0 | 0/0 | 0/0 | 4/4 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/core/cache/cachemem | | ++++tagmem | | 0/0 | 0/0 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/core/cache/tagmem | | +++lsu | | 28/28 | 65/65 | 5/5 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/core/lsu | | +++shl | | 24/24 | 37/37 | 55/55 | 18/18 | 0/0 | 4/4 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/core/shl | -| ++io | | 49/69 | 83/114 | 71/85 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/io | -| +++intr_inst | | 20/20 | 31/31 | 14/14 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/io/intr_inst | +| ++io | | 56/73 | 83/114 | 75/93 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/io | +| +++intr_inst | | 17/17 | 31/31 | 18/18 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/io/intr_inst | | ++iomemmux | | 1/1 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/iomemmux | | ++stack | | 0/0 | 0/0 | 0/0 | 0/0 | 4/4 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_pro_top/zpuino/stack | +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ diff --git a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.ngm b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.ngm index 8b7f472c..58de7ad3 100644 --- a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.ngm +++ b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.ngm @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$461a~6<9;1/^hxfshmm>%7):<3+(?01;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4193456789:;<=>?0123456789:;<=>?16D2017791;:H=??18A350E7:?:;=9<>C9074>6789:;<=>?0123456789:;<=>?0126416789?:990@53<42739:;<=>?0123456789:;<=>?01234532:9:;<=>?0123456789:;<=>?0C63G5D7J=:0<=>?0123456789:;<=>?012345678K23<=>?0123456789:;<=>?0123456789:;8=5?0123456789:;<=>?0123456789:;N57?01234567<9:;N=L?51:;7507J0O;:=6;0:23456789:;<=>?0123456789:;<=>M9923456789:;<=>?0123456789:;<=>M9963?56789:;<=>?0123456789:;<=??00A5456789:;<=>?0123456788>?==?0123456789:;<=>?0471401589:;<=>?0123456789:;<=>?01234567<91;<=>?0123456789:;<=>?01233055<>9;<=>?0123056?99<?0123456789:;<=>?01234560=:8=;>>?01274536=8:>N112B55>68?=:<4:?;123456789:;<=>?012345678;:;==?0123456789:;>5:>03A1456789:;<=>?0123456789:;?=>>03A105=789:;<=>?0123456789:;<=><31336F4789:;<=>?0123456789:;<=>?0123456382:;<=>?0123456789:;<=>?0170146>08:;<=>?0123456789:;<=>?012345>68<>;7=>?0123456789:;<=>?012;7572K8I>O=>?0123456789:;<=>?0123456789:;<9>40123456789:;<=>?0123446788>:=N7L0123456789:;<=>?012345679=2:=N:=4193456789:;<=>?0123456799:;8=:?0@33456789:;<=>?0123456789:;<=>?01274>6789:;<=>?0123456789:?<=;<5026BC6789:;<=>?0123456789:;<=>?0123452739:;<=>?0123456789:;<<:;10A@F4E>K9:;<=>?006G057D:8IH>=<;10A;54E?:=:0<=>?0123456789:;<=>?15625FEE9J3H<=>?15F765268;2H?0120BC@789:8JKH?0123456789:;<=>?0123456789:;<=>;0:23456789:;<=>?012345>?IO:>?0123456789?:<99=2C63?56789:;<=>?012345678OLMJ=>?0GDEB56789:;<=>?0123456789:;<=>?01DFG16<89:;<=>?0123456788>:?0123456789:;<=>?03;75F>5991;<=>?0123456789;:<6>?0123456789:;?4528456789:;<=>?0523<46180:=<49?8026456789:;<=>?0123433480:=<49?802605=789:;<=>?012320468;K3?=;?61;325>789:;<=>?0123456789:>==:?9144650382:;<=>?01234573L=:94??01234573<8:9M=;7=>?0123456788=;4M93N:=7LF15BF5?5893<4<>40123456789:;>=>?4193456789:;<=>=913353C788L;<9?0123456789:;<=>?01224>6789:;<=>?01:7647739:;<=>?01234AEDK8:0<=>?01234567MLO;985?0123456788:;<<>?1032456698:;=<>?0132546688:;<<>>1032556688;:=<>>0123556788:;<=>?012355=789:;<=>?1123456382:;<=>?01224547?O:?<9?0123456789:;<=>>D53@@F7D0;;;7=>?012345CBMLONI<>401234567:9:;<=>?1193456789:8<5>?01224>6789:;<==70123457739:;<=>?0@CBECE488:0<=>?0123EG648::8==5?0123456AML?01334766:9:;==5?0123416389:?<9>7;123456?88:0<=>?01:3456789:;==5?01234D6781:;<=67;123456FK8:0<=>?01A@4567N9KJ==5?01234CC789:;>012355=789:;==>?1123456682:;<=>>013254769820<=>?032355=789:;>5>701:3456382:;<=><1522@F47KH8;8?01224566088;8<>=5E3@A27D1J20<=>?052355=789:;4=>?2123E56682:;<=>601;34<6789;;7=>?003215678401237C@A;OLM?KM?011E4467889J>=MI21625F5A9J:9=N>M3GAA47738;LMMO=8?B3@;6537>93;8=8:3433456789:;<=>:343EB53719K;:?O=AGD74>6789?:9<>:075131168?LM<8>90@53<46789:;<=>?0123456789:;<=>?012A<=7739:;8:0<=>?FG70BC@A89KH86>?00:8456689:;==5?0133456789:;<=>7;1235476911;<=678;:;<=>?0123457739:;>=>?0123654788:0<=>=01214565012J==5?0103<=4789:;45<>0:2341678=:;<9>?0133?567<=:;<=>?04664==789>?89:7;1230122<8:0<=>70123456789:;==5?01C345C789:;<=>>0:234GD78KH;NOL?BC684577991;<<>?0123547799;37=>>0023446<89;;==>?01235567991;<<>>0022446688::<6>?1133557799;:==:?;122156789:;<=>?01235567N9:;?>9>50F11567;9:;?=L?152845478;I9>=?00237@64888;?H><00034G07:9;??K:M3GAE55=78;:3>=>?03A;656382:;?>>?01237167899HO4L>A06:<12490?JNL8=D020@664K99>M8M;0GDEB5678OLMJ<>401764F3C89:;L11934=G781KJM5ON09C;?56BN9:;<<>401D345D7J9LI<=H?8:23B1678980<?0028446789:;<<=<011055=799:;<=??0133456?39;;<=>?8993556799;?<6>>0122@166?O;HO??L14030466<;;H4?>?0123456789:;<=>?0123455F88:9O???;133556799;;<=>?0028446688:;<=>?012355=799;;==??0123556382::J212125478;:9O?0E21G75D8L:9=9<8F113466B:8>0<?012;?57688::<<>40033557799;;==??4:22547739;:=KHIFGDEBC@AN8:0<<::00AG6622::IO8=5?14734036J>?;?5K?024345C7899;<=>?01C2=DD789K;:;;IF@F37AB7899;<==;0:22247D<88:<8LDB270F6A<::M8>?L6337E7661M:9=N6>=8:21456789;;7=4032347678;:9?11936547898;>=>?01224>658;:9?<=010365478=:0;26D15104:;L3>=H;21034C248O>8<<>:1B5@465A9J2946>=560112773983M98LE01:F01CN=:0?O<1541664F8;3?=IJ;020;6064:99;>?JK0C4151?2:98;8=5?2D50B=@599L;I?C8A1=425:K8;N;><51137367;=;9?9L;0:21A=64<8;?59=IC0215F47:9:M8>>I42205147:9;H<8C3:846678;:9==5?31337577;9;;?=>>0:2047658;::<=>?0163?5539;9?N<;<;B32555D1:8N>8:>262A4G06;?:8<>8?0262626E8:8:8>?K<15416F2E991;8=>?01234567<9:?<6>;012A4G@ANO:2<;>:822:<4@A?::=<5>?0123456789:=9?;056641228=>>886405674567<91;8KH?C950==62<<8OO>681673<026<1><<49?643;0=46<9:11315=7?89:3=56404:3<=67<91;:=?814;7<1@7L9>O;89<5136AF33N0::>IMI905:4=?B?MIH=:9LF632=G36<02?7=8ME993<56?01::<6>7092;4=GF81:3<5??;1:3<5>70OL;4NM?80284=4FNOLM9I9IFGDE0>6?01>0<5OJ4193=10AN9:;H?D16E56>5M93>>82:>:;9:>6FD674>6F8<2;9>=<1@43A3B1MH<93E636FC0MM:H4=>KD12355668M:J4O;701234A7739K;M=><3GDEB564;=:0M3E2G43>2098NM8D1;B56?8K:I<96?821G4G?A08:I9?9?0953354C9;3M8=5?B720BA25;H=:9<:>4863GAE5:9K;OIM?AB3105G581>:HL>?12D3F344:=H9<;?;0:2A24469=8;?=>>3G2A2555?L3G0747528::9>=>I4263?5D1:8N:8=L91267A436L:N3I?>;13A7F74A999:8??L4167G556K:2HN=LN10A:216<8K287?82:=<;;FG25<=6D09NH?I>K3E2G=56F<91;O58JA2:1A5?6:<8;988<36644=75M02:>8<=E@532070:>?<>?9:547442?AK:N?<6>K052B4F>68K2HD819O07:3B@@B1MK9?4640D2B4F67<91;I?>>C71376E6K;;;=8;?30A2G7779C3335037;8I:O???147200E7N=9?<6>I4221A75AM<;HHI>=0C36=0621J:N>=5B2E06649=;H9N:?;1D7774AM9H=>?==2202@74A08>=??>=10920>7789;;7<>?0023446789:;<<>41133557798;;==??1192446698:IMOOMBCC74>77992:<89<8250<477=:;;==??1133557799;;==??1133556789:;<=>;011E=37738::00224466811:<7711=;45662E0G336F=02?9:6?C127B=5?01:24<;=72DE53138193;9?=53:;5=><99HJ==??8:33BC7799;;711324>7688;;<=??0133457738;;=1GDFBC@AN11:=<>?11224>7698::==?>102345><98;:==>?1192547689::<=>>01224>7698;:=<>>0022457738;:=1022446788:0=1032557698;:==5>103650?7982O8>=7;032BC7AN8:0=<;>98F:543698?:==5>200205G688::8=>;;00BE46<9::8=>><122055679h1:?7GAPTV9EABUI^XJHI\31383:4g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w[)BBKE.:0/23456789:+)<95<1437?672:=18=8=;;23601=49?:65906666:2996D@_UU8GMD:493:5=?5<2;KMTPR=L@H7?<4?>01877586;2996B[[PTV9@JD;;80;2<>432103254;:9<;:=8119076?>;03=:;ML6BA24>54>>:;:;>?012345273:9<=<9<39@F7=6?8>3>;=:I93F;2=?29<=88:;8047072508OL9IKH>34763012:8;0?;4@UURVP?K;;<0;29>43GDE656A:8:M=98=15434G3D;O??<4O<15777CC79J8;>=<8>:059740753=;379??012751=3<=:?79:;10280123<9>?98;:5476<>23<=>?8=??;567A123<=>?89:;4997002788:379::4563046<<<>:<8>>45634167991?99:;45670123<=>379;;FGDEB46<<10BB][[:B>73?699:1?47GAPTV9TFOI4==1<3?>;5:9KPRW]]0[09950?36?1>=G\^[YY4_CNWW811=87;:794FNQWW>AOWI591<3?>;58JJUSS2MC[N1=50?33?1?0GDEB7=29=:09<>;8B2@4=31:M?;;89:8B2;1463;1?:4=9=3B274F368>=9==5:102244629<;>=<>>0:720527<9N28=M74133?0728L;N=0B3@516<=;?94=6?0@2@<4@5092;45>MAD0G<41409N;4=6?892A=5758J3?ML>M893116?D:2??46;;112345><==;;==??11960066<8:?89>?412;?022=99>455753414=;=;4>>97853BG5C=:LM>;H=13714034?;=:;?<827FG5735841960=740>?<::;:7470@5B40LI<04760AE0>1;;H=:781A3<665M1?;48:70D37?037<=1>99>7;47741638=1>98?>0:7614@2N8LMJKHIFG681033991>98:>0563446689::<6;:5567117699:;==6454764577991>98;;45270163<=::<6;:54725423<=>;<=??;4761076998>?09961032=<>:<6;:5476106689:;<=??;4761032=9;:<<>;40281032===>;052355=2=98;>0022546682?>98;:A8761032><2098;K012;<>32NO?><9<45763?002<1;9M::712341@@40:2<=:<70931E24?91<8H8?806:;7@G40<9>8<>852:45==2103=MLO>0:7:=D?F1H?294;69@31?07>58682?O;K>72@7G3C3C?O209K>J54275>0?3?8?89>;40282604>:<=:;8<674555=1;09H?>=6C7A0GFE53?>?7;:=00282121>?>=:9>=67210>03>?20:98965GF0>01:1>;7;6J81A;G4>29<>IJ46?5E6@724>L<839L6>095;G=5AN9:9<==?57:AG1@2>9:<:<68N94;62D?2>H3>:L:46@C10>0FIH20:LONA@C:<>0FIHKJML??;7CBEDGFIHKJMLON9595A@133?LM:559FG4EBC@68289;?8L90066532;92<=:>7417675>09>:38=;:31:4526?<9?>?=68162;0520<8:0;?9=73513715?;8<869=7D63?257K<<38?KN74:67C@6M9N<4=J?49:3G=5AN>8;O5>820366=D09:N;H46K91@B41668M2J;5371BC61?9:39<6<10;E=CB3<91:?8413G250;<2<9?9:292;47?60:93?<9:461425E?99?>98=<89745=6519??<69<53;G7=5?09=>;8;:541G4A6789:;<=>?012745628ML9?59>2D:7577581:IM=64725A270F02=>98J>>?0123B==0=>?8=>>>0:5630@0?:8>8<>;0:55146?=:K;4=HIFG1;BC>?81LMHKHI07554=1?8J;<9>>:70:83301>?<===58745EBA@5:98JM5O;;655A==0?>LMJHK;;6:;<1=0L;297:H>0:5E3C5E:H9I>L=M2@683C@A02=MJKHIFG33?2@ANOLMJ;KJEDGFA46?0133?=6789:;<=>?0123446<09:;<=>?0123456?9913<=>?012;<56789:?<66?0BC3=5>4;M=97K1:?JK;=822437170=2;49;>81503563NO285=??;921656>01:9>=>>0028<527:9;;<5>;032205=?8>83>>?8:J:4610<=>0>1:8J56:26640646822;4=6?812345>789;;75>N09ABBD6F8HLJJ9570@074>>7K98OJN6J2E:AG2738J3MM9O>AE71BG>38<2?<8??110G3735=:8O5K7L2E7759<82:4E=4>NOOH958<83G3=6?0:>;9H:>?8G:312468113>=>?09074>>38<:H;?9?85704F16?98=?;9693E1;316>08?9;<;:3550352731>;O5>LC9:3G=6DK18M:5=J?D9CB=5E?8=138??7;961556788:049<>0123456789:;==57433;077?<;;:>5:7;9:1<7G?88:0456?01:3EDG5:;K94667812;45><01234=>?119;<=>?89:3<=>701224>>?01234=6781:;<577312345678GAE<=>?011345O789224>>?I123M5ONA@:;E=77312J45ONA1214545:1134L6NA@C24>>?L123H5HIFG:;@=77312OJ=>:79:;E567:8:045H7CBD@<=@?01L3=>57:HLSQQ0::B<76F8;234=>70133?=G?01234=F022JMLJIFG0866K2::F0>>BLO804K??;9D3B5@7N1:;<=>?059;B=>630>05=>6119:45?789:;<=>601;24>?6192:4=?>112245><1?8??LOJ2:;;0>??99>;746<8414=2?AMOLMJKHM54C;62B00;OH=K:<81;;524?8;O3==6:33F;65G7K9I<>?56B528=G>50=:>5LO:527033611==;4=M7742@4=17NKLM4=6?742@10>3K=2;4=>L8EDE<>?D>J9HON??;8A:=:=<:?059:AC@530L:7L<4A1:8E5>?81:3==5N0@2B4D6FNHLJOLH:;@2QAB4<;@327>G6::1J=>=4A060?D72;2K::>5N1678E4TBO;1J><>4A3036547I;K9M?0:C1<5G509L78123<46L<>6O<2:C76>G2:2K=86O9D908E240M5F8;:34=670127?D>5M11J456=01224>G?01K345O7B9C;<=773H2J4L6N8123456F08:0M5O7A9C;E=67I1K3==5N8@:BF0K2J4L6>0:C;E=G?IHL3J5H7F@33?D>FIH234?>=232344649B8439=2K7=;08;@>23?69=2K7=:0;;@>2:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FI92:<6ON0@DE7GGF8HKJ7299BE74FI;::<6ON2@CB6D@A;KKJ>L:4A@7555=FI4A@:3<=>7012;456?119BED45I;8345>?8127?DGF08:0MLO7A@CBEDG?MHKJ46ONA9CBEG20:CBEDG5:;23456?01:8EDGFI123==5NA@CBE=4FIHKJM5>>0:CBEDGFI;KJML678133?DGFIHKJM=>?0122446???;@CBEDGFI?KJ5LONA028EDGFIHKJ4=>?012355=FIHKJMLO78923<56682KJMLONA@:;<=>789;;7LONA@CBEDGFI<<2M<>4A@CBEDGFIHKJ4LON119BEDGFIHKJMLONA@:;?DGFIHKJN<>4A@CBACCFIHKJ>=4A@CAEDGF89:8<=>?119BEDDBNKHMJHHJFGD;?DGFMHKJM<>4A@CFEDGF89:H<=>?8:CBFD6799;;7LOMA@CBE56489:;<<>4A@@BEDGFIHKJMLON119BEGGFIKKMJKHNACC24>GFM1KJILLJEB@FA@773HKNMLONA12@4567811JMHONADD1?DD682KIMLO7A@C;EDG?IH;;7LLNA@CBE55789:;2KOH_O?6:CG@WG6?2KOH_O>069B@ATF98=0MIJ]A004?DBCZH;8:6OKDSC12>GCL[K8:6OKDSC72>GCL[K>:6OKDSC52>GCL[K<:6OKDSC;2>GCL[K246OKDSC?4;?89B@ATF48;556OKDSC?578e3HNO^L2>3;2==>GCL[K7=>07;@FGVD:6611JHI\N<3<;?DBCZH68255NDEPB818?3HNO^L2:>99B@ATF4?437LJKR@>4:==FLMXJ0507;@FGVD:>6k1JHI\NWSCG@WeGCL[K\^LJKR0f8EABUI^XJHI\>0e9B@ATF_[KOH_?>d:CG@WGPZHNO^<n5NDEPBSWGCL[9h7LJKR@UQEABU1f:CG@WGPZHNO^1?>>038EABUI^XJHI\31383:c=FLMXJ[_OKDS>26;c379B@ATE:?1JHI\M379B@ATE?1JHI\M779B@ATE0?1JHI\M999B@ATE49427LJKRC>24;?>89B@ATE4885n6OKDS@?56<7601JHI\M<01=<>GCL[H7=364AEFQF94902KOH_L33?:8EABUJ5>546OKDS@?1;>GCL[H753l4AEFQFWBFLMXh7LJKRCPGEABU8j1JHI\MRECG@W7c3HNO^O\KAEFQ55bGCL[HYHLJKR3a8EABUJ[NJHI\o6OKDS@Q@DBCZ?i0MIJ]BSFB@AT0k2KOH_L]D@FGV=eGCL[HYHLJKR=2=b>GCL[HYHLJKR=33:c=FLMXI^IOKDS>25;763HNO^O\KAEFQ844=87l0MIJ]BSFB@AT;9;4n7LJKRCPGEABU484n7LJKRCPGEABU4;4n7LJKRCPGEABU4:4n7LJKRCPGEABU4=4n7LJKRCPGEABU4<4n7LJKRCPGEABU4?4n7LJKRCPGEABU4>4n7LJKRCPGEABU414n7LJKRCPGEABU404n7LJK_FNLFDJXX@D87LJ]4:CGV52GBIH:H<=??;@GBEDGFI9I;<=>?099BADC70HO:<6OJADCFED638=:?<=64ADCFBCGB02KNIL>;4133?D@7L9N;HLO?81:3<6=FDE90MCJ:;@QZJF7D6;2H:<>5M1018F4443K;8?6L>429A505OL04:034>5;:29;;>L2E:2G24C8J=?I>7991I?4?N292B6=6F:1::<6LAIJ2MM?24;3D;9:4>7O2>4?78F9726<1I0<817:@?52<76<1I0<914:@?5;2D;?7>0N1614:@?=;44B@DEFD@AJHLMNL??9:@BJAQNL]B:<6LMA@@0<=DEIHHIML64BCCAF=G?02HIN>ONA133?GDEIHHJM013344645M7;CAA0=4F0=1IOD@:;CAJJ53DDAG9>7OMFN578FFOI=<1IOD@95:@@MK123KIBB5;4BBKM=2=EK@D7<364BBKM846902HHEC2>1?:8FFOI488546LLIO>27;>DDAG6:;7>18:@@MK:6?7=0NNGA<0<4?GENF585;6LLIO>0:2=EK@D78394BBKM80803KIBB1817:@@MK:06>1IOD@38?58FFOI404>7OM@UU48FFIR\9<0NNAZT058FFIR\8:<7OM@UU323>DDG\^:>:5MCNWW561669AGJSS9><0NNAZT348FFIR\:<0NNAZT548FFIR\<<0NNAZT748FFIR\><0NNAZT948FFIR\020NNAZT=2==>DDG\^7==06;CALQQ:69730NNAZT=31:<=EKF__0<=19:@@KPR;9=427OM@UU>21;?c9AGJSS48=1<374BBMVP970611IOB[[<0<;?GEH]]69255MCNWW868?3KIDYY2;>99AGJSS4<437OM@UU>5:==EKF__0:07;CALQQ:?611IOB[[<8<;?G@EJHLJM85MIQCG7>DRN=1IYKIi;CWECZJNFBYT\AB\6:@VBLI502H^JDA=FC33?GSNDMU_M_IJT@P@L==E]ZUBBKA8;C]JJUSS92I97N>;;B2;416B0KKNN0B:3<46E8=2;>I6;C80G<=6?991HL0B2@4F6D9J::<6M?E@:3<5CFNOKJML<4C018G4643J;:?6M>229@56587N?:3:A226=D9>90O<6<;B3:6>E5;2I9<>5L2018G7443J88?6M=429@605=4C220?F56;2I8>>5L3218G6243J9>?6M<629@725E38:1H8<=4C500?F24;2I?8>5L4418G1043J><8=5L492;ED?5L1;348?:7453307?<8<<>=9730645=>4;>;:=>==5O=;B7;?F3D>49@8449=2I7=>0:;B>20;3285L<04=1>E;9>4>7N2>8?78G97>6=1H0<0:;B>14;3E;::4>7N2=4?78G9426<1H0?815:A?62823J6943;4C=0::1=D4;4>7N2<0?78G9566<1H0><15:A?76823J6883;4C=16:0=D4:<596M336<6?F:407?0O1=6>59@86823J6?<3;4C=62:0=D4=8596M342<6?F:3<7?0O1::>49@8109?2I78:4?>49@8119<2I783:4C=7=0>E;>7>0O1914:A?<;26MNb:ABTDEN\HDHI:5LASPZMKg0:A@G@23?0333?FBDK13349;;4133446?3:AF@6=DMD20OHA_MNFF7>EBX;1HE85LKCWE3>EKAYZNH<>4CMKST@BX\[KXDNOA3:AOO1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M227NBDAVP@HN2EKCOHDYY?9;BNHBGIR\VNN_FKX_@FIQVRc3JF@JOAZT^OVHQcEKC@D:S]K]INF5?FJLAG8o7NBDIO0\T@TNGM20OAEFNRGO54=DDBCESIGYIEG\F\Y5k2IGGD@PPDPJKA1>5:AOOJSS8VNN_FKX_@FIQVRb3JF@CXZ?_EKUMAC6:2IGGB[[0^FVP\YDQJFNh6MCKNWW4ZVOIZO<7NBDOTV250=DDBE^X?:;BNHKPR4WMOXGHYPAEHVWQcEKCF__8RJJSJGT[DBM]Z^n7NBDOTV7[AOQAMO:>6MCKNWW0ZBR\PUHUNBJd:AOOJSSEKCF__HNC?a:AOOJSSLJG:m6MCKNWW@FK5i2IGGB[[DBO0e>EKCF__HNC;a:AOOJSSLJG>=?5LLJMVPZVOIZOT_EGITb9@HNYAMLNIMNE<;BNQ=>EHEDC_XHJl;BMNT@HTI]CDB;5LOOAVV3=DGGLBK55LOOQWEKR692IDB^ZNNU]WVDUOKHD<7N\JAUGG0>EUMN90OYB:;BVOCJ1129G575MFGCA6A>EK>8O>I9;7572<6B709??4=9:81:34F11M:8O4OM8855305=C<9I;H5>?D16;@7B7>LIMJ?KIF0065=G?99?3J8<=D8D;507?IO2;N4HIE2F;06B?3M>?8IJK439G1==C=98;>0:F61032=<2;<=>?0133?A3?81:O9I;K592;47=C>;1O;95K79024>B0LMNOH:6=89:;<740H5672:F:0>B;87?0H1??>49G8479=2N7=?0:;E>27;3B;9?4<7I2>7;2=1>B;9>4?7I2>>59G87833M68295K<5<7?A:26=1O0;0;;E>4:1=C414?7I26>49GEABU>2NJHI\?6:FB@AT6>2NJHI\=6:FB@AT4>2NJHI\;8:FB@AT;8720HLJKR=3=<>BFLMX7>364D@FGV959i2NJHI\34;2=<>BFLMX783m4D@VB[ROC\AUJo6JNT@]TMAROWK;;7IMLCEFG@46789<>4DBDG74:F@HN0?099G@CBANOLo7IJ]_@NJCKKBF]30HHBNYLMGA6=CMGn0HH]DEV]B@OST\8:0HK;89C30G@210H:9==5KF@:6376?ION;>:;>0:FE@A30=<2J45>=0133?A@CLM?OH5O7892;<44BN9;>0HD?<4:FJ512?6JF229GM6587IG:3:FJ26=CA>90HD6<;EK:1>BN494=7IG311<5?AO;984=7IG313<5?AO;9:4=7IG315<;?AO;9<0;2;5KI=36:0=CA5;596JF<3<6?AO;;7?0HD2;>49GM939=2NB0;0:;EK?3;3BNI=1OEL>;;EKB50=CAH;;96JFA036?AOF9;?0HDO>349GMD73=2NBM<;:;EKB533BNI83?7IGN249GMD47=2NBM??:;EKB673BNI;?>7IGN2778@LG5?<1OEL<75:FJE7?33MCJ?85KI@131>BNI:;?7IGN459GMD333MCJ:95KI@57?AOF0=1OEL79;EKB85803MCJ0<>17:FJE9766>1OEL2>2?58@LG;9:4<7IGN<06=3>BNI5;>2:5KI@>22;19?2NBM1?6>79GMD:66>1OEL2=0?58@LG;:84<7IGN<30=3>BNI5882:5KI@>10;1808;EKB8709?2NBM1<8>69GMD:507=0HDO328<5?AOF4;4<7IGN<22==>BNI59:6=08;EKB8679>2NBM1=16:FJE929>2NBM1;16:FJE909>2NBM1916:FJE9>9>2NBM1715:FJEAO13MCJHD>9;EKB@L703MCJHD??7:FJEAO69>1OELJF1358@LGCA89<7IGNDH373>BNIMC:9;5KI@FJ63=CAHNB?;5KI@FJ03=CAHNB9;5KI@FJ23=CAHNB;;5KI@FJ<3=CAHNB555KI@FJ858>3MCJHD2>0?;8@LGCA5;:245KI@FJ844912NBMIG312<:?AOFL@6:83l4DHCGM97229427IGNDH>21;>BNIMC78364DHCGM93902NBMIG36?:8@LGCA5=546JFAEK?<;>BNJ8?>7IGM1778@LD6?<1OEO?75:FJF4?33MCI>85KIC031>BNJ;;>7IGM2378@LD5;<1OEO<;5:FJF7323MCI>;;4DH@130=CAK8396JFB3;7?AOE;<1OEO=?5:FJF6733MCI895KIC77?AOE>=1OEO9;;EKA<1=CAK3=7IGM<1<4?AOE48:5;6JFB=32:2=CAK6:>394DH@?56803MCI0<:17:FJF9726>1OEO2>6?58@LD;9>4<7IGM<0:=3>BNJ5;22;5KIC>2:2=CAK69<394DH@?64803MCI0?<17:FJF9446>1OEO2=4?58@LD;:<4<7IGM<34=3>BNJ58<2:5KIC>1<;1409;EKA87803MCI0>>19:FJF956294<7IGM<23=2>BNJ595:6JFB=6=2>BNJ5?5:6JFB=4=2>BNJ5=5:6JFB=:=2>BNJ53596JFBEK5?AOEL@:=7IGMDH34?AOEL@;;;6JFBEK252=CAKNB=?94DH@GM4503MCIHD?;7:FJFAO6=?1OEOJF279GMGBN;?1OEOJF479GMGBN=?1OEOJF679GMGBN??1OEOJF879GMGBN111OEOJF<1<:?AOEL@6:<374DH@GM976601OEOJF<00==>BNJMC7=>06;EKA@L:6<7h0HDLKI=36>58>3MCIHD2>5?:8@LDCA5;546JFBEK?6;>BNJMC7:364DH@GM91902NBNIG38?:8@LDCA53586JFP@78@LVF8<1OE]O>5:FJTD423MC[M>94DHRB85803MC[M1?17:FJTD:5601OE]O33;2=3>BNXH682:5KIQCGMU>BNXHNB\1?14:FJTG3BNXK8>7IG_B258@LVE494<7IG_B=3=3>BNXK69245KIQ@?7?69?2NB\O2<>69GMUDCAY20HD^MDHR3<>BNXKNB\BNZLI_:6JFVBNH`>BN^JF@SIGYIEG5?AOQAMOo7IGYIEG\F\VF[Z;97IBC_GPFUPCIKPUFCIK=;EM0?AI7;2ND=95KO027?AI69=1OC<<;;EM271=CG8>?7IA>529GK75BH1<1OC1>16:FL8469>2ND02ND0<=16:FL842902ND0<;50?48@J:6=7?0HB2>>49GK949=2ND0>0:;EM?0;3285KO=4=1>BH4>4>7IA38?78@J:>6:1OCL:4DNC30>BHI8?0HBO>049GKD76=2NDM<<:;EMB563BHI8<>7IAN1678@JG60<1OCL?64:FLE73BHI;8>7IAN2278@JG5<<1OCL<:5:FLE7023MEJ>:;4DNC1<0=CGH8286J@A278@JG48<1OCL=>4:FLE1286J@A768@JG0<2NDM5:4DNC:2>BHI5:5;6J@A=33:2=CGH6:=394DNC?57803MEJ0<=17:FLE9736>1OCL2>5?58@JG;9?4<7IAN<05=3>BHI5;32:5KO@>2=;01OCL2=3?58@JG;:=4<7IAN<37=3>BHI58=2:5KO@>13;1508;EMB87?9>2NDM1<17:FLE957601OCL2<1;2=3>BHI59:2;5KO@>0:3=CGH6?2;5KO@>6:3=CGH6=2;5KO@>4:3=CGH632;5KO@>::0=CGHND:6J@AEM32>BHIME:;6J@AEM242=CGHND=<94DNCGK4403MEJHB?<7:FLEAI6<>1OCLJ@1448@JGCG;<0HBOKO248@JGCG=<0HBOKO448@JGCG?<0HBOKO648@JGCG1<0HBOKO8:8@JGCG5:556J@AEM?558>3MEJHB2>1?;8@JGCG5;9245KO@FL845912NDMIA31519:FLEAI;9<437IANDN>2:==CGHND0?07;EMB@J:4611OCLJ@<5<;?AIFLF6>255KO@FL838?3MEJHB28>99GKDBH41437IANDN>::2=CGHUYIJ=4DN@7?AIE8=1OCO?:;EMA553BHJ89>7IAM1578@JD6=<1OCO?95:FLF4123MEI=5;4DN@2=1=CGK8>7IAM2178@JD59<1OCO<=5:FLF7523MEI>9;4DN@110=CGK8=96J@B356?AIE:1?0HBL=959GKG523MEI?=;4DN@051=CGK>?7IAM559GKG033MEI;95KOC:7?AIE1?1OCO2?>69GKG:687=0HBL310<4?AIE4885;6J@B=30:2=CGK6:8394DN@?50803MEI0<817:FLF9706>1OCO2>8?58@JD;904=7IAM<0<4?AIE4;:5;6J@B=02:2=CGK69>394DN@?66803MEI0?:17:FLF9426>1OCO2=6?58@JD;:>4<7IAM<3:=3>BHJ5822;5KOC>1:2=CGK68<374DN@?74<76>1OCO2<1?48@JD;;7<0HBL34?48@JD;=7<0HBL36?48@JD;?7<0HBL38?48@JD;17?0HBLKO79GKGBH8?1OCOJ@169GKGBH99=0HBLKO034?AIELF;9;6J@BEM272=CGKND=994DN@GK4313MEIHB<9;EMA@J513MEIHB:9;EMA@J313MEIHB89;EMA@J113MEIHB69;EMA@J??3MEIHB2?>89GKGBH48:556J@BEM?548>3MEIHB2>2?;8@JDCG5;8245KOCFL8429j2NDNIA31483:<=CGKND0<;18:FLFAI;9720HBLKO=0=<>BHJME7?364DN@GK92902NDNIA35?:8@JDCG5<546J@BEM?3;>BHXH:>7IA_A078@JVF:<1OC]O<7:FLTD:76>1OC]O31?58@JVF4;427IA_A=194;108;EMSEAIW02ND\LJ@P1:8@JVFLFZ:m6J@P@FLT969k2ND\LJ@P=394;g:;EMSF4385KOQ@03>BHXK6;2:5KOQ@?5;1a:FLTGBHX5:5o6J@PCFLT97=87k0HB^MDNR?5;4BWZH9h7I\NM^CG@W:76m1O^LCPAEFQ8469l2NYM@QNDEP?548a3MXJAROKDS>26?69l2NYM@QNDEP?578d3MXJAROKDS>2:f=CZHGTMIJ]<3<`?ATFEVKOH_2<>b9GVDKXIMNY090l;EPBIZGCL[6>2n5KR@O\EABU4?4h7I\NM^CG@W:06j1O^LCPAEFQ8=8d3MXJAROKDS>::464DSCN[DBCZV>TCO[I119GVDKXIMNYS8Q@BTD24>BUIDUJHI\P6^MAQC773MXJAROKDS]4[JDRN8:0H_OB_@FGVZ>XGK_M==5KR@O\EABUW0UDNXHn;EPBIZDF494h7I\NM^@B84<76h1O^LCPB@>2:`=CZHGTNLQ?_N@VB`=CZHGTNLQ>_N@VBd=CZHGTOL]PNg9GVDKXKHYTBRAMUG:8@WGJWJANh6J]AL]@O@YHJ\L37I\NM^AOOa=CZHGTOAEPOCWE=>BUIDUH_R@j;EPBIZETWGUDNXHn;EPBIZBV494i7I\NM^FR8469j2NYM@QKQ=32:g=CZHGTH\2>2?`8@WGJWM[7=>0m;EPBIZBV48>5h6J]AL]GU972294i7I\NM^FR8439i2NYM@QKQ=3=e>BUIDUO]1<1a:FQEHYCY595m6J]AL]GU929i2NYM@QKQ=7=e>BUIDUO]181a:FQEHYCY5=5m6J]AL]GU9>9i2NYM@QKQ=;=f>BUIDUO]@2?>e9GVDKXLXG7=7>1b:FQEHYCYD6:2k5KR@O\@TKX8VEIYKh4DSCN[AWJW8UDNXHi;EPBIZBVW9UBCO[I159GVDKXLXU;SDAMUG-JFP@6=2NYM@QKQ^2\MJDRN&EIYKZ>0:FQEHYCYV;;SDAMUG36?ATFEVNZS<>PIN@VB*OE]O;=7I\NM^FR[46XAFH^J"AMUGV24>BUIDUO]R?>_HMAQC723MXJARJ^_03\MJDRN&CIYK?9;EPBIZBVW8;TEBLZF.MAQCR682NYM@QKQ^31[LIE]O;>7I\NM^FR[44XAFH^J"GMUG35?ATFEVNZS<0:FQEHYCYV;?SDAMUG36?ATFEVNZS<:PIN@VB*OE]O;=7I\NM^FR[42XAFH^J"AMUGV24>BUIDUO]R?:_HMAQC723MXJARJ^_07\MJDRN&CIYK?9;EPBIZBVW8?TEBLZF.MAQCRa3MXJARJ^_0]JKGSA9=1O^LCPDP]2[LIE]O%BNXH>5:FQEHYCYV;TEBLZF.MAQCRa3MXJARJ^_3]JKGSA9=1O^LCPDP]1[LIE]O%BNXH>5:FQEHYCYV8TEBLZF.MAQCRa3MXJARJ^_2]JKGSA9=1O^LCPDP]0[LIE]O%BNXH>5:FQEHYCYV9TEBLZF.MAQCRa3MXJARJ^_5]JKGSA9=1O^LCPDP]7[LIE]O%BNXH>5:FQEHYCYV>TEBLZF.MAQCRa3MXJARJ^_4]JKGSA9=1O^LCPDP]6[LIE]O%BNXH>5:FQEHYCYV?TEBLZF.MAQCRa3MXJARJ^_7]JKGSA9=1O^LCPDP]5[LIE]O%BNXH>5:FQEHYCYV5:FQEHYCYV=TEBLZF.MAQCRa3MXJARJ^_9]JKGSA9=1O^LCPDP];[LIE]O%BNXH>5:FQEHYCYV2TEBLZF.MAQCRa3MXJARJ^_8]JKGSA9=1O^LCPDP]:[LIE]O%BNXH>5:FQEHYCYV3TEBLZF.MAQCRf3MXJAR\NS^Le?ATFEVXJ_R@POCWE=>BUIDU\IR@j;EPBIZQBWGUDNXHj;EP@[VSDKLYXJXB;;EPG]1=CZLM>7I\FVD58@VV30H;>7I]\EO:8@VUXEFNN46JZAMRLVQ723M__URMVCMG\GJTUMJ^BCC=4DVG2?@4?8<>4E5670123<=>?89:;119F0@2B4E71;<2C?1ON?9>9=39;E143581=;H=>N5C7A=65C??=<9<6M85516A>E?8?:>I6M8:GB0527<920ILON412355=BIHKJMLOL0123456682OJMLKNE@634527<920ILKNFGGB55=BILKMJHO;0526116682OINHKJED625123<=80IN64EB47E=47991NILOJE@CFGD6DK9::<6KJE@GFA@23<9>?8964EDGF6545991NIHK=0DGFA@C58;8?7HKJF59FACC682ONJHKJEDGFA@CBML>0IKOK8:GEAD22<9;;7HHJEBD@GD@FI9L;<95JFGD0?@HF?2OEMZ\JN29FJG1>?B528B56F8K:?5=>L81F3456789:;<=>?0123E5>?NOLM4NK=7=F5@@GA?3MHO;=LM?2B335637MH2H;=?LE62351>A;9:<;I;;052;B3G682L;9=H?51D355@78920J=MN01AB55=A8O:M?0133456?3O:M8K:I439E646KJ?0E33?C4A8J8H<><<01034162@53346?092;?I>K3E2G35@48??:4?7NO9O?I>KF4D;146E02L?89:;4533?C378O;;?F023B567991M9OHI5CDE3G@A=KL?7K;I4028B0@2LJ8H:D47655=A>M>I>4>J6B6B6=653O=:<6H8D4@1=5@0L@?<91M4?J7BB5415E?JL9<8?872066627?0929H5K844307200>9I::5887670<=D0;1:?7K67839E=16FN18O4OM8EE1;1113<2L2:K64F@21BD@502LJ>?<72@c8BDESG[STFK??;GCEFC6A;HKIN=><349EEIUB:2LI46HM38DE4A>@EJKHINOKNA@CBED24J:2;N=67;GAEBD>FI;1MH<>4FE2;4=6?81LOJIHK119E@76AL>?MH?>N83224>@C?0:DFA@@FIHLHONH?0133?CCBMOIHOKONAG234466HI119EB56AN9:;<=>?0137?C@7<=:0JK>9FG257632?9O>99;971:2<4731<93>H>632766@?C=;??;=6>5571G15??::3==5IF1:3<5>7092;4=67;GD2446688:0JK?M1C23BC@A9K;I==5IF31EB75AN;9MJ?>7;GD1<7>5011MJ>?IF22;?C@4;OL9<95IF577?C@3?8:0JK:IF567FG6EJ9:;==5IF43EB1638<;?<9>7;GD65C@AN=1MJ8:7;GD63C@AN8:0JK9;86HI8@68BC?F02LMM?HIF668BCG?02LMM5HIF968BCG><2LMMO??;GDBA037?0028BCB2NOI;JKJ:FGF655=ANM3NIN687435216682LMHHHID7DEB@@AN?>0JKJI119EBA@AN@AN:LMJKONA3CBED773OLM;:H87476543698:0JKH8DEF6EDG5012;==5IFG5FAD4ANO=JML<7;GDEA08:0JKH7FGDEBC@?NOL386HIF868BC@C<2LMJH64FGDFB@@B02LMJHHIFG33?C@AN9:;<=>?01334467991MJKH?FGDEBC@7NON37KHIF032446<199EBC@389::<6HIFG63452789>;<=64FGDE003202LMJK;>41:8BC@A==>?==5IFGD61522=9>>9=:>0:DEBC1ANOLMJKHIFG:8BC@A09:;==5IFGD;6=6?:1:3>5>>0:DEBC>50129456=8933?C@AN12M4KHIFC@EF46?J1237KHIF@0;446702LMJKO789:8BC@AIHK2==5IFGDBEDGANOLJ4L>>0:DEBCGFJH?>98>?11:8BC@AILK9==5IFGDBADGFMHKJILO7;GDEBGGFI8:0JKHIB@CBBC@A;9:;==5IFGDAFGDANOL34L67;GDEBG@AN11MJKHKBGD24>@ANONM88JI44FE00>@ANOOHONHIFGC345>@ANOL:=?N123==5IFGDE@ABFIHKJ4567;GDEBC@218:0JKHIFG5EBC@ANOLM46HIFGDEF@>682LMJKHIF8DEBC@ANO;;7KHIFGDEFD@EN>LJJ55IFGDEBCC682LMJKHIFD761032==;;7KHIFGDEB5678;:;<<>4FGDEBC@A89:;4=>?119EBC@ANOL;?01224>@ANOLMJK>?812345773OLMJKHIF133456788:0JKHIFGDE412789>;==5IFGDEBC@58;:9>?>>0:DEBC@ANO89;0028BC@ANOLM98;;103355=ANOLMJKH:5473513682LMJKHIFG76107699;;7KHIFGDEB032==>?<<>4FGDEBC@A09:;4?>=119EBC@ANOL34=6701224>@ANOLMJK6N8@:BFG773OLMJKHIF@0B6DGF:8:0JKHIFGDEE=G?I1:;==5IFGDEBC@F0HK3M>7>0:DEBC@ANOK3MLOIAG33?C@ANOLMJLO9A@C:E46709;;7KHIFGDEBF63818;><>4FGDEBC@AMHKJO=>?119EBC@ANOLNMHOJAG@24>@ANOLMJKHME@7205773OLMJKHIFGGEACCFI8:0JKHIFGDEBC33==??==5IFGDEBC@AN<>MJKK>0:DEBC@ANOLMIKHIFG33?C@ANOLMJKHI787BF464FGDEBC@ANOLMJKK8119EBC@ANOLMJKHIFG:24>@ANOLMJKHIFGDEB@5@UINUHCCHFG`9EVDAXKF_EX45IRDGYAA@T12LYIHTJDMN;?CTHEV_HJ<5H159DAKCUI]OO,]A\T,DOMKR6:2MNBH\NTDF#TJUS%N9;?6IAD49DVJSW12MYCX^[YQG21>NF[VYBA]\FM^MMH\YWAGY:7D<4I108M44<4I508M02OE]OUOIAOV_WCOQ@eOI;2CEM>5FNC:8MK@BZ[OOh6GAHDPJPZVUGYYn7D@GESKW[QUWMJYh7D@GESKW[Q_WM11BBDZ__12;?LHN\YU;=55FNHVS[54?3@DBX]Q?399JJLRWW9>37D@FTQ]31==NF@^[S=87;HLJPUY7?01BBDZ\T@VF3>OIA]U;<:5FNHV\441M7:KMMQY7K>1BBDZP0E58MKOSW9O<7D@FT^2E3>OIA]U:<:5FNHV\541469JJLRX9<=0ECG[_044?LHN\V;<;6GAIU]2<2=NF@^T=494IOKW[4G03@DBXR?M7:KMMQY6K>1BBDZP1E58MKOSW8O<7D@FT^3E3>OIA]U9<:5FNHV\641494IOKW[7G03@DBXR1BBDZP2E58MKOSW;O<7D@FT^0E3>OIA]U8<:5FNHV\741<8;HLJPZ54?2CEEYQ<469JJLRX;<=0ECG[_244?LHN\V9<;6GAIU]0<2=NF@^T?494IOKW[6G03@DBXR=M7:KMMQY4K>1BBDZP3E58MKOSW:O<7D@FT^1E2>OIA]UJ:6GAIU]A=>OIA]UMEAKi;HLPAWRBLVH^JDA=109JJVCU\LNTNXHFO3DA5g=NFZOYXRB__EDP[WCTM]UHE_MZIU18MKP?3@D]I_ZJD99JJSCU\LXo7D@YESV\MKVR\Zo0ECQAGE]BJAYEKM80EB=4IN@6?LIE]O?0EBMCK79JKFIJXh1BC^ZNNECQ@3=NG]S[I95FP@F;?LYNF_OYX>5CEEa8H@AFDV^J^JK[2:NJ3>JNJ[KYU?5CO79OKDBBL:1GCN84LNAHAA1JR\=1GYY?;;MWW61=K]]9?7A[[459OQQ333E__:85CVUVO5>K53D:97@?<;L337>K69:1F=?=4M010?H73;2G:9>5B1718I4143D;3?6C>939N66=J:990A??<;L017>K5;:1F>9=4M370?H41;2G9;>5B2918I7?53D987@=?3:O056=J;;90A>=<;L177>K4=;1F8?5B539N27=J?;1F4?5B959N85823D6:<3;4M=32:0=J488596C312<6?H:6<7?0A1?:>49N8409=2G7=:0:;L>2<;3>49N8749=2G7>>0:;L>10;3285B<34=1>K;:>4>7@2=8?78I94>6=1F0?0:;L>04;3K;;:4>7@2<4?58I952294>7@2<5?68I959<2G783:4M=7=0>K;>7>0A1914:O?<;25BUY78IP^DQ<1FYUH8c:ObnjtQm{ybccm4MhllvScu{`ee46C}al]nah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tB<'&'I3(J7,-N< # $D>%()|J6/.N<"C>%$%'I3(+M6-/A=!B9$'&)(+)M4/2>2E6$%&qI1(+,{O6"!"uE?$'(K0.L3.#@9"%&G=)()+M4,/v@8 $D<%I2+*-,/, @:!$sG>+)K2.L5.! #!rD:&459L9-./v@:!$%pF1+*+zL4- @> E8'&+H0*-..N9#"#rD<%I5+(M7/.! !#E=$F2(+)M6/3<2E6$%&qI1(+,{O6"!"uE?$'I5)J1,/,A;#"'%G>*)*}M7,N= !B>$'&)**J4/O5! B?$7;;N?+,-xN8#"#rD?%()|J6/xN< !#E?$'(K0.{O3!"C8%$'&+)K2.-xN:""B>'&qI2)+M6,N< #"%$'&+)K3.-.yA8 #rD<$(H0),-xN;#C?%&G<)(+*/-O6"!"uE?$'I2B}M1/.#@8"%$'&*K6-6>0C0&'(K3.-.yA; #$sG<*H6*/-O4"@?"%$%'I3(+zL5-A=#"%$%'I1(+,{O5"!"uE>$F4()+M6,N= #"'%G=*)K0/L2.! #"&D?&3g9L9-./v@:!$D?%(H0)M1/.!""B<'&'~H3),{O5"wC?%$%'I0(+M7,N; #"%$%F5(05?J;/ !tB<'&F1+*}M7,N; #"'%G?*K2-,-yA=#8m6A2()*}M5,/A8 #rD<%I4+*-..N8#"B='&'~H0)M0/, @8!rD=&)(+*/L2.:<1D1%&'~H2),L4-v@9"%&&F0+K2-,-/A= uE8'&249L9-./v@:!$D<$(K0.L2.! !#E=$F2(+)zL7.:h1D1%&'~H2),L5, @> E8'&)**J4/O4! #rD?%~H0*-6?,-.yA9 B=$%F0((+,{O5"!C8&%pF4+|J1,/.#!C9&%pF4+|J1,/.!8l0C0&'(K3.L4.#!C;&%G=+H1*-,,yA8#:56A2()*}M5,N; !#E=$F1(+CM7/512E6$%&qI1(J1,-/A9 #$sG=*H1*/-O5"@>"%$'OI0+06>I: !"uE=$qI0+(M5/- !tB>'pF3()+M7,/ @9!rD:&+K6-,/.:m1D1%&'~H2)zL3.#!C;&%&qI0(}M6/, @;!rD<&)(+(zL2.:01D1%&F0+*+zL7- wC9&D=&)**J5/O5! # $D:%I4+*6d=H5!"B<'&'~H3),{O5"wC?%$%'I0(+M7,N; #"%&G:)318K8./A9 #$sG>*H1*/-O6"@8"%$%'I5(J1,/6m2E6$%G?*)*}M4,N; !#E<$F2(+*/L2.::1D1%&F0+*J5/./v@8!E9'$(H0)M6/.! !B9$?j;N?+,L6- @;!$D<%(H1)zL2.! # E8'>e:M>,-O7"!C:&%G=*)|J7/O3! #"'D;&199L9-.N8#"B='&F2+K0-,/,A=#:i6A2()K3.-O6"wC9%$%'I2(+M1,N= #"=55@=)*J4/.N9IC9%$%'I2(J0,/5<2E6$%G?*)|J5/./v@8!E9'$(H0)M6/.! !B9$?i;N?+,L6- wC:&%G=*)K0.{O3! #"'D;&1g9L9-.N8#"uE<$'I3(+zL5-A=#"%$%F5(3e?J;/ @:!$sG>*)K1.{O4! # $D:%I4+*56=H5!"B<'G>)**J6/O4! ;?7B3'(H2)M4/, wC9&D=&)d9L9-.N8#C:%&G=)g9L9-.N8#C:%&pF2(g8K8./A9 B>$%F1(01?J;/ @:!rD?&+)|J6/.yA: #rD:%~H7*-,/a3F7#$D>%~H3*/L4.9o1D1%&F0AK2-../A;JB?$%'~H6(zL3.! ;27B3'(H2CM4/, !C9LD=&+K7-,743F7#$D>OI0+(,L4GA:#"=k5@=)*J4EO6!""uE?%'~H1(,L2,A<#"%$7B3'(H2CzL7."!C9LsG<)(3e?J;/ @:KrD?&+)K1/-O4#!C?'sG:)(+*51=H5!"B,-xN8#"#$sG>*)*}M7,/v@9!rD:&)**J6/.N;#C?%$'&+)K2.-xN:#"uE>$qI5+*-,-N= # $D>%()|J5/./v@8!$sG<*K7-,-/A; #E>$F4(+*-..N9#"uE?$'~H1)zL2.! #"%>l4O<*+zL6- !"uE<$'(K1.{O4!"C9%$%F1((J0,/, @:!$D?$(H0(zL5.! #jj6A2()|J4/./ wC:&%&qI2(+M1,N= # $D=%(K7/{O2! #"'%G>*)*}M6,/A=!B9$'$(H1),{O3"wC>%$'&)+K1-,-/A9 #$%pF1+*+zL5- wC?'sG:)()+M6,/A= B9$'&)**J5/./v@9!$sG;*K6-,-/A: #E9%F5(+*-,-yA;#"%8;4O<*+zL6- !"uE<$'I3(+zL5-v@>"%$%'I0(+M7,/v@9!E9'&)()J1,/, @:!$%G>@H6*/-xN:""B?&G:)(+*-62%()*}M4,/A; B?$'$(H3)M0/.#wC?%$%'I1(+M4,N= #"9n5@=)*}M5,/ !tB='G=)**J5/O4! #rD:%~H7*-,-/A9 #$%pF1+*+zL4-A=# E?'&+)K2.-.yA: B8$%F3(+*.{O2! #?o6A2()|J4/./ wC:&D<&+)K2.L5.!#"uE9$qI4+*-..N8#"#$sG>*K1-..N9#tB?$'$(H6(M0/.! >=7B3'(K3.-./v@;!E?'$I0+)M0/.#!C;&%&qI0(+zL4, wC8'D:&)()+M4,/v@9 E9'&)(+0g>I: !tB<'&'(K2.L4.#@;"&sG<)()+M5,/ wC:&%G=+K0-,-/A8 uE?'&)(12?J;/ wC;&%&'~H3)M7/,A8#!rD=&)**J4/./v@;!rD<&+H1*-,533F7#$sG?*)*+zL7-A:# $D?%(K1/-O5"@9"%$'%I4+*/-O7"@>"%894O<*+zL6- !"uE<$F3()+M4,/v@8 $D<%~H6*-,/-A<#"'%G?*)*}M4,yA=# $D?%()|J6..N:#tB8$'%I4+*-,/4;2E6$%pF0+*+,{O6"@9"'%G>*H0*-..N<"C>%$'$(H2),L2,A<#"%9k4O<*+zL6- !"uE<$F4()+M4,N= #!E?'&+)K3.-.yA8 #$sG=*H1*/-O5"@>"%$%'I0(+M7,N= #"%$=?;N?+,{O7"!"#rD?%~H0*/-O6"wC8%$%'I5)J1,/.#!C;&D;&)5a8K8./v@:!$%&qI0(}M7/, @;!rD;&)**J7.O3! # $D>%()*}M4,N: !#E<$F5(+),{O4"wC?%$'&429L9-.yA9 #$%pF2+K7-..N:#"#rD=%I5+(M6/.!#C>%$%'I1(+M4,/ @8!E>'$I5+*-,303F7#$sG?*)*J5/.N:#C8%$%F5(+(,L6- !tB='&F4*K6-,-/A8 #$sG=*)K7/L3.!""B>'&F3**J0.O2! #"%$'&2d9L9-.yA9 #$D?%(H1)M0/.#@>"%&&F0+*}M4-/A;!B?$'&)268K8./v@:!$%G>*)|J6/O4! !B8$'$(H2),{O6#!C9'%G<+H6*-,/.=11D1%&qI1(+,L7- wC9&D=&)*K6-,-/A9 #$sG>*)K7/L3.!""B='&'~H0),L5, @> E8'&)**J6/.N<"C>%$'&)(+10>I: !tB<'&'I0(J6,-/A: uE9'&)**J4/O2! 827B3'(K3.-.N9#C8%&pF2(+(,L6- @; $D<$I2+*-,303F7#$sG?*)*J5/O2!"C?%$%'I1(+,{O6"!"B>'G<)*K7-,-/A8 #$sG=*)K7/L3.!""B>'&F3**J0.O2! #"%$'&249L9-.yA9 #$D?%~H0*/L5.!""B<'&qI3(J0,/.:?1D1%&qI1(+,L7-v@8"'sG<)()+M5,/A8!uE?'&)3a8K8./v@:!$%G>*K0-.O5! !#E=$'~H3(,{O5"wC8%$'&549L9-.yA9 #$D?OI3+)M1/.#!C;&%&qI0(+,{O5"!C8&sG;)()+M7,/v@9 E9'&)()+M4,/A;!#E>NqI5+*-,/.:k1D1%&qI1(+,L7GA;# rD=&)**J4/.N9""uE?%qI2+*-,5a3F7#$sG?*)*J5ExN; #rD<%(H6)M0/.! !#E=$'(H3CzL5."!C9&%G;*H7*-,/.<:1D1%&qI1(+,L7Gv@9"&%pF2+*}M1,yA<#"%$%'I1(+,L7Gv@9"&%G=*)|J0/xN= #"%$=:;N?+,{O7"!"B=MpF3((+zL4-A=#"%&&F0+*+M4FyA:#!$D<%I5+*-,4e3F7#$sG?*)*J5ExN; uE?'&+)K3.-.N9ItB?$$F2(+*72=H5!"uE=$'(H0)M6/, @>!E8'&)**J4/.N9""#E?$F3()+M1,N= #"%$=n;N?+,{O7"!"B>'G<)**J0/xN= #"'%G?*)|J5../A; B?$%'I5(}M0/.! #956A2()|J4/./A; uE9'$I2+*/-O7"!C:'%G=+H1*-,/282E6$%pF0+*+M6,N< JuE8'&+)K3.-.yA8 #E?$'(H1)M1/Gv@?"%$%'I0(+zL4, !C8&D:&@K6-,/.! k97B3'(K3.-.yA8 #$%pF2+*}M6-/A: B8$'&+)K1.L2.!#C>%$%'I0(+,-xN:#tB?$%'I3(+zL5-A<#"%&G;)(+*/-O7"!"uE<$'(K1.-xN;""B8&G:)(+(,L4- wC8'D:&)(+(,L7- wC9'%pF3**J0.O2! #"%$'8f:M>,-xN8#"#rD?%()K1.L5.H@?"%&&F1+*+M7,N; JuE8'&)()+M5,/ wC:&%&qI3(J1,-/A; #$D=OI4+CM1/.! !#E<$'(K1.{O2!""B>'&'I2B}M0/GA=#"%$'&)458K8./v@:!$%pF1+*+M7,N= !B8$'$(H3),-xN:#"B?&G;)()+M7,/A:!#E9%F5(+*-,/.#!C;&%&F2+K6-.O3! #=?6A2()|J4/./v@;!$%G=*H7*/L2.!""B='&F2**}M6-N< #"%$%'I1(+,{O6"!"B>'G:)*K7-,-/A8 #E?%'(K0.L3.#@>"%$'&)7d8K8./v@:!$%pF1+*+M7,N= !uE>'&+)K2.-O5"@?"%$'$(H2),-xN9#"#rD<%(K0/L2.!""B>'&qI2)+M1-N= #"%$%'I0(+,L4-A<# E9'&)(+0e>I: !tB<'&'~H3),-O5H@9"&D:&)**J5/./A;JuE>'$~H6*-,/, @:!E8'&799L9-.yA9 #$sG>*)*J6ExN= #rD=%~H6*-,-/A8 #$D6A2()|J4/./v@;!$%pF2+*+,{O4"wC>%&G<)+|J0,/, @8!$%pF3+*J0ExN= # $D=%(K7/L3.! #"%&&F1+*+zL4- wC?&sG:)()+M7,/A=JuE8'&)(+*/-O7"!"#rD?%()|J7/xN= !B?$'$(H3)zL3.!#tB8$'&bb9L9-.yA9 #$sG>*)*}M7,/ @9KrD:&+H7*-..N:#"uE>%'~H6(M0/.! # $D?%()|J6/.N;#"uE9$qI4+*-..N:#"#$sG<*H7*/L5."wC?%$'&)()+M5,/ wC:&%pF3+*}M1,yA<#"%&&F1+*+zL4- wC8&%G;@H7*-,-/A; #E>$'~H6)zL3.! #"%$':e:M>,-xN8#"#rD?%()|J6/./v@9!$D:%I4+*/-O4"!C?LsG:)(+*/-O5"!C?&D;&)(+(,L7- @>!E8'&)()+M5,/A= B9$'&5`9L9-.yA9 #$sG>*)*}M7,/ wC8&%pF4+|J1,/, @9!E8'&)**J6/.N;#C>%$'&+)K2.-O4"@?"%$'$(H2),L5-A<#"%nm4O<*+zL6- !tB='&'~H0),-xN;#C>%&&F3+*}M1-yA<#"%$%'I3(+zL5, @> E8'&)(+(,L7- !tB>'&'I2BJ0,-N= # $D<%()|J7..N;#C?%$$F5(+*-,/, @:!$%pF1+*+zL4- !tB?'&qI5)J1,/, @9!E9'&)**J6/./A:JuE9'$I4+*-,-/A8 #E>%'~H6(M0/.! #"m?5@=)*}M5,/ wC:&%&qI3(+M6,/A= B9$'&+)K1.-.yA: #rD:$I4+*/-O4"!C?LD;&)(+*-..N9#"uE?$'I2(+M1,N= #"%$'$(H2),-xN9#"uE?$'I2(+zL2-A<#"%$%'I0(+zL4- !tB?'pF4()+M6,yA<#"%$'&)g;8K8./v@:!$%pF1+*+zL4- @9!$sG;*H7*-,-/A; #$%pF3+|J1,-N; uE9'&)()+M4,/ wC9&%&qI2(+M1,yA<#"'%G<*)K7DL3.! # $D<%()K0.{O3!"tB9$'&)(+(,L6- !tB='&'~H0),-.yA: B8$%F3((J1,/, @8!$%pF3+*J0ExN= # $D=%(K7/L3.! #"%&&F1+*+zL4- !C8&D;&+H6*-..N:#"B?&&F4*|J1,/.! #"%8j4O<*+zL6- !tB='&'~H0),L5- wC?'D;&)()+M7,/ @9!E8'$~H6*-,/, @;!rD:&)()+M5,/v@; $D<$(K0/{O3! #"%8>4O<*+zL6- !tB='&'~H0),L5-v@>"%&&F2+*J7.O3! #"'%G>*)K1.L2.! # $D>%(K2.-O4"wC?%$'&5e9L9-.yA9 #$sG>*)*}M7,/A:!#E9%F5(+*/-O5"@9"%$%'I0(J7,/.#!C;&%&qI0(+M7-/A:!#E9%F5(+*-..N9#C8%$'&5e9L9-.yA9 #$sG>*)*}M7,/A:!#E9%F5(+*/-O5"@>"%$%'I0(J0,/.#!C;&%&qI0(+M7-/A:!#E9%F5(+*-..N9#C?%$'&679L9-.yA9 #$sG>*)*}M7,/A:!uE9'&+)K1.L2.! !#E<$'I3(+zL2,A<#"%$'$(H2),-xN9#"B>'&qI5(J1,/.#!C:&%G=*K7-,/.!*)|J7/O2! #"'%G?*)|J5/./v@9 $D=%I5+*.L3.! #>;6A2()|J4/./v@;!$%pF2+*J0.O2! !#E?$'I2)+M1-N= #"%$%'I0(+,L4-A:# E9'&)()+M5,/ @8!E>'$I5+*-2>%()|J5/./v@8!$D:$~H7*-..N:#"uE>%'I5)}M0/.! # $D?%()K1.{O4!"C?%$'&+)K3.-O6#!"uE?$'I5)}M0/.#!C9&%pF3**J0.xN= #"%$'&849L9-.yA9 #$sG>*)*}M7,/v@9!$D:%~H7*-,-/A; #rD=$(H6)zL3.! #"'%G>*)K1.-xN;#"B8&pF5(+*-,/, @:!$%pF2+*}M6,/A= uE8'&)**J6/.yA:!#E9$qI4+*-,/.%()|J5/./v@8!$sG<*)K7/L3.! !#E?$'I2(+zL2-v@?"%$'&+)K2.-xN:#"#rD=%(K7.{O2! !#E>$'I5)J1,/.! #"'%G?*)*}M4,/ wC9&%G<*)|J0/xN= #"'%G=*)|J7/.N<"C>%$'&)**J5/.N:#"#rD=%(K7.{O2! !#E>$'I5)J1,/.! #"%884O<*+zL6- !tB='&'~H0),{O4"!tB8'pF5(+*/-O5"!tB?'pF4(+*-..N9#"uE>$qI5+*-,-/A9 #rD=%~H6*-,?e3F7#$sG?*)*}M4,/ wC9&%pF3+*}M1-N= #"'%G=*)|J7..yA=!B9$'&)()+M4,/A; #rD=%(K7.L3.! #"%&&F0+*}M4-/ wC9&%&qI2(+zL2,A<#"'%G<*)|J0/O2! #"'%G=*)|J7..yA=!B9$'&)(+*0d=H5!"uE=$'(K2.-.yA; #rD=%I5+*/-O5"!C8'D:&)(+(,L7- wC9&D=&)(+(,L6- @;!E8'&)918K8./v@:!$%pF1+*+zL4- wC8&D:&)**J6/O4! # $D?%()|J6/.yA:!B8$'$(H0),L5-v@>"%$'&)**J4/./v@;!$%&qI3(}M6/,A;#!E9'&+)K2.-xN:""B?MpF4(+*-,/>=2E6$%pF0+*+zL7- !tB>'&qI2(J1,/, @8!$%pF3+K6-..N;#C?%$'&)**J5/./v@8!$D=$I4+*/-O5"!"uE>$F5()+M6,N< #"%$'&+)K3.-.yA8 #E?$'~H1)M1/.!""B='&qI3)+M6-N< #"%$'72:M>,-xN8#"#rD?%()|J6/.yA: uE8'&+)K1.L5.! !#E<$'I3(+M6,N< #"%$%'I1(+,{O6"!"uE?$'~H1)zL3.!""B>'G<)(+(,L7- !tB>'pF3()+M7,/A: B8$'&)(+*01=H5!"uE=$'(K2.-.yA; B8$%'I3(J7,/.#!C:&D;&)()+M5,/ wC9&D:&+)K1.L5.! #mo6A2()|J4/./v@;!$%pF3+*J0/O2! !#E>$'~H6(zL3.! # $D?%()|J6/./v@9!$D:%I4+*/-O4"!tB8&pF5(+*-..N:#"#rD=%(K7/{O2! !#E>$'I5(J1,/.! #"%&&F0+*+zL7- !tB?'&qI5)}M0/.#!C8&%G;*H7*-,/, @;!$%pF2+*+zL5- wC?'sG:)()+M6,/A= B9$'&)**J6/./v@9!$D:%I4+*/-O4"!tB8&pF5(+*-,/.! 3i7B3'(K3.-.yA8 #$sG<*)|J0.xN= # $D=%(H6)zL3.! # $D?%(H6)zL3.! # $D>%()|J5/./v@8!$sG<+H6*-..N:#"#rD=%(K7/{O2! !#E>$'I5(}M0/.! #"'%G>*)*}M7-/A; uE8'&*H6*-,/.<:1D1%&qI1(+,{O6"!"uE>$F4()+M6,N= #"'%G>*)K1/-O4"@?"%$'&+)K3.-O4"@?"%$o?;N?+,{O7"!"uE<$'I3(+,{O4#!C8&D:&)+K6-,/, @;!$D<%()|J7/O2!""B?'pF4(+*-,/, @:!$%pF1+*+zL4- !tB?'G:)**J7/.N<#tB9$'&)**J6/./v@9!E8'$(H1)M1/.! # $D?%()K0.{O3!"C>%$'&)e28K8./v@:!$%pF1+*J6/.N;#"B8'G:)(+*/-O6"!"uE?$'~H1),{O3"@?"%$%'I3(+,L5Gv@>"&D;&)(+*-..N8#"#rD?%()|J6/.yA: #rD:%~H7*-,-/A; #$sG<*)|J0/xN= # $D=%(H6)M0/.! #"'%G>*)*}M7,/v@9!rD:&)**J6/./v@9!rD:&+)K0.-O3"@?"%$'&)(+*f6=H5!"uE=$'(K2.-O5"!C8&%pF4+|J1,/.!""B='&'~H0),{O4"!tB8'G:)(+(,L4- !C8LD;&*K7-,/.! !#E=$'(K2.-.yA; #rD=%(K7.{O2! # $D<%(K7.{O2! #"'%G>*)*+zL4-v@9"'%G=*)|J7..N;#tB9$'&)+|J0,/.! >37B3'(K3.-.yA8 #E?$'I2(+zL2-v@?"%$'$(H3),{O3"wC>%$'&+)K3.-O6"!tB8'pF5(+*-3g%()|J5/.N:#"B?'G;)(+(,L7- @8!$sG<+H6*-,/.#!C;&%&qI0(+,{O5"wC8%&&F2+*J7/O3! #"'%G>*)*J6/O3!"tB?$'&)(72?J;/ wC;&%&qI0(+M7,/A: uE8'&)**J5/./v@8!rD;&+)K1.{O4! #"%&&F0+*J5..N:""B?&pF4(+*-,153F7#$sG?*)*}M4,/A; #E>%F4(+*/-O6"!tB>'&F3+|J0,/.! !#E=$'(K2.-xN:#"#rD=$(H1)M1/."@?"%$%'I0(+zL4- wC8&%pF4+K6-,/.! #=<6A2()|J4/./v@;!$D<%(H6)zL3.! !#E<$'(K1.-O4"!C?&sG:)(+(,L4- @>!rD;&)(+*-..N8#"B=&&F2+*J0/xN= #"%$8=;N?+,{O7"!"uE<$'I3(+zL5- @>!rD;&)(+(,L7- @>!rD;&)(+(,L6- !tB='&qI3)+M6-/A= uE8'&)()+M4,/A= uE8'&)(+74>I: !tB<'&'~H3),L4- wC8&D:&)()+M4,/v@9!E9'&)()+M5,/A; #rD=%I5+*-,5e3F7#$sG?*)*}M4,/A; #rD=%~H6*-,-/A8 #rD<$(H1(M1/.! # $D>%I0+*2f=H5!"uE=$'(K2.-O5"@9"%&&F1+*+M7,N; !B8$'&)**J4/./v@;!$%G=*H1*/L3.!""B='&'~H0),L2,A<#"'%G=*)K0/-O3#@?"%$'&)(+5g>I: !tB<'&'~H3),L4-A:#"'%G>*)*J6/O4!"C>%$'&+)K3.-.yA8 #$D<%I2+(M1/.#!C:&%&qI3(+M1-N= # $D<%(H1(,L2,A<#"%$'&)(7a?J;/ wC;&%&qI0(+M7,N; # $D?%(K1.-xN;"C?%$'&)**J4/./v@;!$%G=@H1*/{O3! !#E<$'(H0)M6/Gv@>"%$'&579L9-.yA9 #$sG>*)K1.L5.!""B='&qI3)}M6/.! !#E=$'(K2.-O5"!C8LD:&)()+M4,/v@8 $D=O~H6*-,/.!<20C0&'~H2),-xN9#"B>'G<)()J0,/, @:!$%pF1+*+zL4- @> E8'&+)K1.-O4#!C?'D;&)(+*/-O6"!C?'D;&)(+*7<=H5!"uE=$'(K2.-O5"@?"%&&F1+K7-,/, @:!$%pF1+K0-..N9#C9%$'&359L9-.yA9 #$sG>*)K1.L3.!"C?%$%'I1(+,{O6"@9"'%G>*H0*-,/3>2E6$%pF0+*+zL7- @8!rD=&)**J5/./A; uE>'$(K7.L3.! #"'%G?*)K2/-O5"wC8%$'&449L9-.yA9 #$sG>*)K1.{O4! !#E<$'I3BJ7,/.!""B<'&'~H3)M6/, @;!$D<$~H1*-,/.<81D1%&qI1(+,{O6"!C9&sG<)()+M4,/A;JuE>'&)()+M5,/ wC:'%G>*K1-,,N; #"?i5@=)*}M5,/ wC:&%G=*K0-,-/A8 #rD<$~H1*-,/, @:!$sG>+)K1.{O4! #"?55@=)*}M5,/ wC:&%G=*K0-,-/A8 uE?'&)**J4/./v@;!rD<&+K0-,/5=2E6$%pF0+*+zL7- @8!rD=&)*K7-,-/A9 B9$'ma:M>,-xN8#"#rD?%(H0(,-xN;#"B8'pF5(+(,L5- @> rD;&)(+*/-O6"!C9&%&qI2(+M1,yA<#"'%G<*)K7/{O2! #"%$'$(H2),-xN9#"uE?$'(K0.-xN<"C>%$%'I2(+zL2-A<#"%$'$(H3),{O5#!"uE>$'~H6(M0/.#!C8&%pF4+K6-,/.! #"?55@=)*}M5,/ wC:&%G=+)K0/-O3#@?"%$'$(H3),L2,A<#"%$%'I1(J1,/412E6$%pF0+*+zL7- @8 $D=$I5+*-..N9#C8%$'$(H2),-xN9#C9%&G<)(+00>I: !tB<'&'~H3),L4, @9 E9'&)**J5/O3! # $D>%(K2.L4.! 8n7B3'(K3.-.yA8 #E?%'I2)J0,/.#!C:&D:&)()+M5,N< #<;6A2()|J4/./v@;!$D<$(H1(M0/.!""B='&F2**J7..N<"C>%$'&)()+M5,/ wC:&%&qI3(J1,-N; # $D?%()|J6/.N;""B8&G:)(+(,L4- @9 E9'&)(+*-65%()|J5/.N:""B?&G:)(+(,L7- @> E8'&)()+M5,N= #886A2()|J4/./v@;!$D<$(K0/L3.! !#E<$'I5)J1,/.!""B<'G:)(1g?J;/ wC;&%&qI0(+M7-N; # $D?%(H0(,L5,A=#"%$'$(H2),-O6"@>"'D<&)(1e?J;/ wC;&%&qI0(+M7FN; # $D?%(H0)zL5.! # $D>%(H3),L4- wC8&D:&)(+*0g=H5!"uE=$'(K2.-O5H@9"%&&F1+*}M7,yA:#"%$%'I1(+,{O6"!C9'sG<)()+M4,/A;JB?$'&)(4`?J;/ wC;&%&qI0(+M7FN< # $D?%()|J6/.yA:!uE9'&+)K1.-O4#@>"%$'&)**J4/./v@;!$%pF2**J6/xN; #!rD:&)**J5/.N;#C?%$'&)4a8K8./v@:!$%pF1+*J7/.N<#tB9$'&+)K2.-O4"wC>%$'&+)K3.-.yA8 #E>$'I5(}M0/.!""B='&qI3)+M6,yA<#"%$'&389L9-.yA9 #$sG>*)K0.L2.!""B='&'~H0)M1/, @8!$D=%I5+*-,/.#@?"?95@=)*}M5,/ wC:&%G<*H6*-..N9#C9%$'$(H2),L7- @9!rD;&)(+77>I: !tB<'&'~H3),L5, @>!E8'&)**J5/.yA;!#E>%'I5(J1,/.! # $D>%(H6)M0/.!?i0C0&'~H2),-xN9#"B?&&F4*|J1,/.#!C:&%&'~H1)zL3.#@9"&D:&)(+(,L6- !tB>'&F3**J0.xN= #"'%G=*)*+zL5-v@?"'D=&*H6*-,/.<01D1%&qI1(+,{O6"!C8'%G;+K6-,/, @;!$D<$(H1(,L2,v@?"%$'&)**J4/./A8 B>$%F3(+*7d=H5!"uE=$'(K2.-O4#@>"%&&F1+*}M7-/A:!B8$'&)()+M5,/v@9!E9'&)538K8./v@:!$%pF1+*J0/xN= # $D?%(H1),L2-v@?"%$'&+)K3.-xN9""uE?%F3(+*-3b%()|J5/.N<"C>%$%'I0(+,{O5"!C?'D;&)**J6/.N;""B8&G:)(+*-,/, @:!$sG>+)*}M7,/A=!B9$'$(H0),L5, @> E8'&)(+*-1c%()|J5/.N<"C>%$%'I0(+,{O5"!tB?&&F4*K6-,/, @8!$D:$I4+*-,/.#!C;&%G;+H7*-,5?3F7#$sG?*)*}M4,/A=!B9$'$(H3),L4, @9 $D:$I4+*-,/.#!C;&D;&)408K8./v@:!$%pF1+*}M7,/ wC8&D:&+)K0.L3.! # $D?%(H0(,-xN;#tB8$%'I2(}M0/.! #"'%G?*K2-,0>3F7#$sG?*)*}M4,/v@8!$%pF3**J7/O3! uE8'&)**J5/.yA; uE8'&)()+M5,/ !tB='&'~H1(,L5-A=#"&sG:)()+M4,yA<#"'D<&)(:1?J;/ wC;&%&qI0(+zL4- !tB?&&F3+|J1,/-v@>"%$%'I0(+zL4-v@>"%$'$(H2),-xN9#"#rD<%()|J7..N;#tB9$'%~H6*-..N:#"uE>%qI4+*-,-/A8 #E?%qI5+*-,/>>2E6$%pF0+*+zL7- wC9&%G<*)|J0/O2! #"'%G>*)*}M7,/A: #rD:%I4+*-..N:#"uE9$F5(+*-,/, @:!$%pF1+*J6..yA:!#E9%F5(+*-..N9#"#rD<%(K0/-O3#@?"%$%'I3(+M1-N= #"%$'&3c9L9-.yA9 #$sG>*)|J6/.N;#"uE9$qI4+*-,-/A8 B>$'&+)K3.-O6"@8"%$:i;N?+,{O7"!"uE<$'~H0),L5-v@>"%$%'I0(+zL4, wC8'sG;)(+*-..N8#"uE<%'I2(+zL2-v@?"%$'&419L9-.yA9 #$sG>*)|J6/.N;#tB8$'&+H7*-..N8#"B=&&F2**}M6-/A=!B9$'&)(+4<>I: !tB<'&'~H3),{O5"!tB?'&F4+|J1,/.!""B='&F2+*J7/.N<#tB9$'&)(+(,L6- !tB='&F2**J7..yA=!B9$'&)**J5/.yA;!#rD=$(K7/L3.! #"%$9:;N?+,{O7"!"uE<$'~H0),{O4"!tB8'G:)(+*/-O6"!tB>'&qI2(}M1/.! # $D>%()|J5/.N:""B?&&F4*K6-,/.#!C:&%pF2+*}M6,/v@>!rD;&)(+*-,1?3F7#$sG?*)*}M4,/v@8!$sG<*)|J0/xN= #"%&&F1+*J6/.N;#"B8'G:)(+*-,-/A9 #$sG>*)K1/-O4#!C?'D;&)(+(,L7- wC9'%pF3**}M1-yA<#"%$'&)2a8K8./v@:!$%pF1+*}M7,/v@9!E9'&)**J5/.yA: B8$'&)**J4/.yA: B8$'&639L9-.yA9 #$sG>*)|J6/.yA: uE9'&)**J5/.N:#"B?'G;)(+*-..N8#"#rD?%(H0(,L5,A=#"%&&F1+*}M7-/v@9 rD:&)(+*-16%()|J5/.yA; #rD=%~H6*-,-N= # $D>%(H3(,L4, @9 $D:$I4+*-,/.>o1D1%&qI1(+,{O6"!tB>'G<)()+M4,/ wC9&D=&+H7*-,/, @:!$%pF1+*+zL4-A:# E9'&+)K2.-.yA; #E>%'I5)J1,/.#!C9&%G;+H7*-,/.! 9?7B3'(K3.-.yA8 #rD<%I2+*/-O6"!C9'D=&)(+(,L6- @8!E9'&)3d8K8./v@:!$%pF1+*}M7,N; # $D?%(H0(M6/.! !#E=$F4(+1b>I: !tB<'&'~H3),{O5"@9"%&&F1+K7-,/, @:!$D?%I4+*-6`%()|J5/.yA; B?$'$(H6)M0/.!""B<'&F1**+zL4-A:# $D:%I4+*-,/4=2E6$%pF0+*+zL7- wC9&sG<)()J0,/, @:!$D?$(H0(,L5,A=#"%$'81:M>,-xN8#"#rD?%(K1/-.N;IC?%&G:)(+(,L7- !tB>'&F3**J0.xN= #"'%G=*)*J7/xN< !B9$'&)(+(,L6- wC:'%pF2**}M6-/A=!B9$'&)(+4a>I: !tB<'&'~H3),{O5#!C8'%G;+H7*-,/, @;!$%pF2+*J7..N<"C>%$'$(H0),L2,A<#"%$'&+)K3.-.yA8 #rD=$(H6(M0/.!""B='&F2**+M6FyA=# E8'&)(+*1g=H5!"uE=$'(K2.-xN:""B?&G;)(+(,L7- !tB>'pF4()+M7,N; #"%$%'I1(+,-xN9#"B>'G;)()+M4,yA;#"'D=&)(11?J;/ wC;&%&qI0(+zL4, @9 rD:&)()+M4,yA<#"%&&F0+|J1,/3=2E6$%pF0+*+zL7- wC9'%pF3**}M1-yA<#"%$%'I0(+zL2,v@?"%$'$(H2),{O3#wC>%$';c:M>,-xN8#"#rD?%(K1/L5.!""B='&F2*|J7,/.!""B<'&'~H3),L4,v@9"%&&F1+*}M7-N; #"%$96;N?+,{O7"!"uE<$'~H1),L2-v@?"%$%'I0(+,{O5"!tB?'&F4+|J1,/.#!C9&D:&)(+*/-O7"!"uE<$'(K0.L2.#wC>%$%'I0(+M7-/ wC8&D:&+K6-,/.! <;7B3'(K3.-.yA8 #rD=%(K7.L3.! !#E<$'I2)+M1-N= #"%$%'I1(+,{O5"!tB?'&qI5(J1,/.#!C9&%G<+)K7/L3.! #"%864O<*+zL6- !tB='&qI2(J1,/, @;!$%pF2+*+zL5-A<# rD:&)**J6/.yA: B9$'&)(+(,L6- @;!$sG=*K7-,/.>?1D1%&qI1(+,{O6"!tB?'G:)()+M4,/ wC9&%G<+H7*-..N:#"uE>$F5(+*-,/, @:!$%pF1+*}M6,N< # $D?%(K1/-O4#@>"%$'&)7`8K8./v@:!$%pF1+*}M6,yA=#"'D;&)**J4/./v@;!$%pF2+*+M6FN< !B9$'$(H0),{O4#!C?'D;&)(+*/-O6"!tB>&&F3**J0.O2! #"%$',-xN8#"#rD?%(K0.{O3! !B9$'$(H2),L7, wC9'%&qI2(}M1/,A<#"%$',-xN8#"#rD?%(K0/-O3#@?"%$%'I0(J0,/.#!C;&%&qI0(J6,-N< #":=5@=)*}M5,/ wC:&%pF3*K7-,-/A8 #$sG<+)K0.L2.!#C>%$'&+)K3.-.yA; #rD=$I5+*/-O5"!"uE>%'I2(J0,/-A<#"%$':c:M>,-xN8#"#rD?%(K7.L3.!""B='&F3+*}M1,N= #"%$%'I1(+,{O6"!tB>&&F3**}M1,N= #"%&&F1+*}M7-N; #"%$=?;N?+,{O7"!"uE<$F2()+M4,/A;!#rD=$~H6*-,/.#!C;&D<&)3;8K8./v@:!$%pF1+K1-..N9#C8%$'$(H2),L7-A=#"%?o4O<*+zL6- !tB='G=)**J5/O4! # $D>%(K2.L2.! 9?7B3'(K3.-.yA8 B>$%'~H1),L2,A<#"%$%'I1(+M6-/A=!B9$'&)3c8K8./v@:!$%pF1+K1-.O4! !#E=$'I0)+zL4,A:#"%$<6;N?+,{O7"!"uE<$F3()+M4,N: #"'%G?*)K2.L4.! ;m7B3'(K3.-.yA8 B?$%'I0(J6,/.#@>">;5@=)*}M5,/ wC:&D=&+)K2.{O5! # $D:%~H7*-7d%()|J5/O4!""B8'G:)(+(,L6- wC:'sG=)(+60>I: !tB<'&'~H3)M1/, @;!$%pF2+*J7/O2! !#E?$'~H1(M0/.! #"'%G?*)*}M4,N< !#E<$'I2(J1,/.! >:7B3'(K3.-.yA8 B8$%'I0(+M7,N; #"%&&F0+*+zL7-v@>"'%G>*)|J6.xN; #"%$=6;N?+,{O7"!"uE<$F4()+M4,/A;!B?$'&)**J4/./v@;!E>'$(H3)M0/.! 9:7B3'(K3.-.yA8 B8$%'I0(J6,/.#!C;&%pF1**}M7,yA:#"%$?i;N?+,{O7"!"uE<$F4()J6,/, @:!E>'&389L9-.yA9 #$sG>*H6*/L5.!""B<'&'~H3),L4, @9 E9'&)**J5/O4! #"?95@=)*}M5,/ wC:&D;&+)K2.L2.! !#E=$'(K2.L4.#!C:&D=&)(+01>I: !tB<'&'~H3)M0/, @;!E9'&)**J4/./v@;!E?'$(H3)zL5.! #896A2()|J4/./v@;!E8'$(H3)M1/.!""B<'&'~H3)zL4.#!C:&D=&)(+70>I: !tB<'&'~H3)M0/,A=#"'%G?*)K2/-.yA; #E9%F5(+(,L4- wC8'%G;+H7*-,/.! >87B3'(K3.-.yA8 uE?'$(H3)zL5.! !#E=$'(K2.-O4"wC>%$%'I0(+zL5-v@>"%$'&2`9L9-.yA9 #$sG>*K1-.O4! !#E=$'I0)+M7-N; #"%?m4O<*+zL6- !tB='pF2()}M6/.#!C;&%G>+)K1/{O4! #">i5@=)*}M5,/ wC:&sG;)**J5/xN; #"'%G?*)|J5.xN: #"?55@=)*}M5,/ wC:&sG:)**J5/xN< #"'%G?*)*}M4,yA;# $D?%~H1*-,/3k2E6$%pF0+*+zL7, @;!$%pF2+*+zL5-v@>"'D=&)*K1-,/-A<#"'%G?*)|J5..N:""B?&pF4(+*-,5?3F7#$sG?*)*}M7,/ wC8&sG:)**J7/xN< #"'%G=*)K0.{O3! #"'sG>)2c8K8./v@:!$%pF2+*J7/O3! !B9$'$(H2),{O6#!"uE?$'I2(J0,/,A<#"%$:6;N?+,{O7"!"uE?$'I5)J1,/, @8!$D=$(H6(M0/.! # $D>%(K2/-xN:""B?&&F4*K6-,/.! 9h7B3'(K3.-.yA; #rD=%(K7.{O2! # $D<%(H1(,L2,A<#"%$'$(H2)zL7.!;i0C0&'~H2),-xN:#tB8$%'I3(}M6/.!""B<'&qI0)J6,/.;?1D1%&qI1(+,{O5"wC?%&G:)()+M5,/A8!#E?%'~H1(,{O3#@?"%$'&)2d8K8./v@:!$%pF3+*}M1,N= # $D=%(H6(M0/.! !#E=$'(K2.L4.#!C:&D=&)(+10>I: !tB<'&'~H1)M0/GA=#"'%G?*)K2.L4.! 8j7B3'(K3.-O6"!"uE?$'I2(J0,/, @8!rD=&)(+(M0/2;2E6$%pF0+*J5/./v@8 $D<%(K0/-O4"wC?%$'&*K6-,/, @:!$%pF2**J6/.yA:!#E>$qI5+*-,,yA<#"%9o4O<*+zL6- @;!$%pF3+K6-.O3! # $D>%()|J5/.N:""uE>%F4(+*/-O6"!"uE>$F5()J0,/.! 9j7B3'(K3.-O6"!C9&%G<*)|J0/xN= #"%$%'I1(+M4-/A;!#E>%qI5+*-,/4?2E6$%pF0+*J5/.N:#"B?'G;)(+*/-O7"!"B='&F2+*J7/O3! # E8'&)248K8./v@:!$D?%(H0),L5-A=#"%$%'I1(+zL7, wC9'%pF3*|J0,/.! 8?7B3'(K3.-O6"!C9&%G<+K7-,/.#!C;&D;&)2;8K8./v@:!$D?%(H0),{O4"@>"%$'$(H2),-O6"!C9&%pF3+K7-,/,A<#"%?>4O<*+zL6- @;!$D<%(K0.L3.! # rD:&289L9-.yA9 #E<$'I3(J7,/.#!C;&%pF1**J6EO4! #">o5@=)*}M5,/A8 #E?$F3(+*/-O7"!tB=&&qI3)}M6/.! ;n7B3'(K3.-O6"!C9&D=&)()+M5,N< #:i6A2()|J4/.N9#"B>'G<)(+(,L2-A<#"=45@=)*}M5,/A8 #E?$F3(+*/L2.;?1D1%&qI1(+M4,/A; uE>'&)**J4/./v@;!$sG=+K0-,-/A8 B?$'&)218K8./v@:!$D?%(H0(,L5,v@>"%$'$(H2),L4- @9!$D:%I4+*-,/5<2E6$%pF0+*J5/.N:""B?&pF4(+*-..N8#C>%$*)K0.L2.! #:i6A2()|J4/.N9#"B>MG<)(+(,L6-A=#"?=5@=)*}M5,/A8 #E>$'~H6)M0/.! !#E=$'~H3(,L4,v@9"%$',-xN8#"B='&F3*K6-,/, @:!$sG>*)*}M7,/v@9 rD:&)**J6/O4! #"%;?4O<*+zL6- @;!$sG=*)K0.-xN<#C>%$'&)**J4/./v@;!$D<%(H1CzL2.! !#E<$'~H0),-xN;#C?%&&F3+*}M1,N= #"%$'&)2;8K8./v@:!$D?%(K1.-O4"@>"%$'$(H2),-O6"!tB>'&F3+K7-,/,A<#"%?:4O<*+zL6- @;!$sG=*)K0/L2.! # $D>%I4+*3<=H5!"uE=$'I0(+zL4- wC8&%G;*K6-,/.!""B<'&'~H3),-xN:#"B?'pF4(+(,L4- wC8&D:&)(+(,L7- !tB>'&qI2(+M1,yA<#"%&&F2+*J7/xN< #"%$'&369L9-.yA9 #E<$'~H0),{O4"!tB8'G:)(+*-..N8#"uE?$'~H1)M0/.! 9i7B3'(K3.-O6"!tB>'&qI2(J0,/.!""B<'&'I0(+zL4- wC8&D:&)()J1,/.<01D1%&qI1(+M4,/v@8!$sG<*K7-,/.#!C;&%&qI0(+M7,/v@9!rD:&)()+M4,/v@9!rD:&)(+*02=H5!"uE=$'I0(+zL4- wC8'D:&)(+(,L6- !tB='&qI2)J0,/, @;!$sG=+)|J7.O3! #"%$=n;N?+,{O7"!C:&%pF2+K0-,/, @:!$%&qI0(J0,-/A8 #E>%F4(+*.{O5! #9j6A2()|J4/.N9#"uE?$F3(+*/-O7"!"B='&qI3(J7,/,A=#"%?o4O<*+zL6- @;!$sG=*H1*-,-/A9 #rD?$(H0(M6/.! ;j7B3'(K3.-O6"!tB>'G<)(+(M1/4=2E6$%pF0+*J5/.yA; B9$'&+)K3.-xN9#"#rD<%I2+(,L4-A=#"%$'=c:M>,-xN8#"B='&qI3(}M6/.!""B<'&'I0(}M7/,v@9"%$=l;N?+,{O7"!C:&%pF2+|J7,/.#!C;&%&qI0(+M7-yA:#"'%G>*)|J6.O4! #"%?;4O<*+zL6- @;!$sG<*H6*-,-/A9 #rD?$I3+*-6g%(H3),{O3"@?"%$%'I1(+,{O6"!C9'D=&)**J5/.yA= B9$'&)(0b?J;/ wC;&%G>*H0*-..N8#"B='&F2+*}M6,yA=#"%$'>f:M>,-xN8#"B='G=)()+M5,/A8!uE?'&)0g8K8./v@:!$D?%I3+*/-O7"!C8&D:&)(03?J;/ wC;&%G>*H0*-..N8#"uE<%qI3+*-4g%(H3)M7/.#!C8&sG;)(71?J;/ wC;&%G>*H1*-..N8#"#rD?%()K1.L2.#@?"%&&F1+*+zL4- @9 E8'&+)K1.-O4#!C?'D;&)(+*-,/4=2E6$%pF0+*J5/O4! !#E=$'(K2.-O5#@9"%&&F1+*}M7,yA:#"%$'<6:M>,-xN8#"B='G<)()+M5,/ wC:&%pF2*|J7,/, @;!$D%(K2.L4.! 9?7B3'(K3.-O6"@>"%&&F0+*+zL7- @8 $sG<+H6*-,-/A8 B8$'&)2c8K8./v@:!$D?%I5+*/-O7"!"uE<$'~H0)M6/.#!C:&%&qI3(J7,-N< #"%$<6;N?+,{O7"!C:&D:&)**J4/./v@;!E?'$(H3)M6/.! 9;7B3'(K3.-O6"@?"%&&F0+*+zL7- wC9&sG<)()+M4,N< #"%?m4O<*+zL6- @;!rD<&)**J4/.N9#"uE?$'~H1)zL2.! #"=k5@=)*}M5,/A8 uE?'&+)K3.-O6#@8"%$5:M>,-xN8#"B='pF2(+(M6/5;2E6$%pF0+*J5../A; B?$%F4(+*/-O7"@>"%884O<*+zL6- @; $%pF2+*J7/xN< # $D<%(H1(zL2.! #"'%G?*)K2.-.yA; #E>$qI5+*/-O5"!C8'sG;)(+*-,0f3F7#$sG?*)K2/-.yA; #E>%'~H6)M0/.!""B>'&F3+*}M1,N= #"%$'$(H2),L7- !tB>'&F3**}M1,N= #"'%G=*)K0.-xN<#C>%$'&)(+10>I: !tB<'&F1**J6/.N;#C?%$'&+)K3.{O6! 997B3'(K3.-O6#!C9'%&F3+K7-.O2! #"'%G?*)*J7/O3!"C>%$';1:M>,-xN8#"B=&&F2**J7..N<"C>%$'&)**J4/.yA8!#rD<$(K0/-xN<"tB9$'&)(+17>I: !tB<'&F1**J6..N;"C?%$'&+)K3.L3.!;o0C0&'~H2),L7, @8 $D=$I4+*-,-/A9 #E>%'~H6(M0/.! 9;7B3'(K3.-O6#!C9'%pF3*|J0,/.!""B<'&qI3)+M6-N< #"%9:4O<*+zL6- @; $D<$I2+*-..N8#"#rD?%(K1/-O4#wC>%$'$(H3),L4, @9 E9'&)(+*5`=H5!"uE=$'I0)+M7-N; #"'%G?*H0*-4c%(H3(,L4,A:#"%&&F0+K0-,563F7#$sG?*)K2/-O4#@>"%$%'I1(+zL7, !tB>'pF3()}M1/.! >97B3'(K3.-O6#!tB>'&F3*|J0,/.!""B<'&'~H3),{O5"wC?%$%'I0(+M7-yA=#"%$'=b:M>,-xN8#"B=&&qI3(}M6/.!""B<'&qI0)+M7FN; #"%974O<*+zL6- @; $sG=+)K0/-O3"@?"%$'&+)K3.-./v@;!$sG=*H1*-..N9#C8%$%'I5(J1,/.!:o0C0&'~H2),L7, wC9'%G<+)K7/L3.! #"'%G?*)|J5..N:""B?&&F4*K6-,/.! 8>7B3'(K3.-O6#!tB>&&qI2)J0,/.!""B<'G;)(6;?J;/ wC;&%G>+)|J6..yA:!uE9'&)()+M5,/A8!#$sG=+)K1.-xN;""B?'pF4(+*-/xN= #"%?l4O<*+zL6- @; $sG=+H1*-,-/A9 #$sG>*K1-.O4! #:j6A2()|J4/.N9""uE?%F3(+*/-O7"@9"%?j4O<*+zL6- @; $sG=+K7-,/, @:!$%pF2+|J7,-yA=#"%?>4O<*+zL6- @; E?'&+)K3.-xN9#tB>$'&189L9-.yA9 #E<%F2(+(,L6-A:#">;5@=)*}M5,/A8!B?$'$(H2),{O6#!tB>'pF3(+*-7e%(H3(M0/.#!C;&%pF1**}M7-/v@9 rD:&)(+*5c=H5!"uE=$'I0BJ6,/, @:!$D?%~H1*-,5e3F7#$sG?*)K2D{O3! !#E=$'(K2.-O5"!C?&sG:)(+(,L7- wC9'D=&)(+*11=H5!"uE=$'I3(+M6,N< #"'%G?*)*}M4,/A;!#rD=$I5+*-..N9#"#rD<%(H1(zL2.!""B>'&F3+K7-,/.! #:j6A2()|J4/.N:#"B?'G;)(+(,L6-v@;"%984O<*+zL6- @8!$D=$~H6*-,-/A9 #$sG>*)|J6..N;"tB8$'&+)K2.-.N:#tB8$%F3(+*-,523F7#$sG?*)K1.L5.!""B<'&'~H3),L4-A:#"'%G>*)|J6.xN; #"%$?6;N?+,{O7"!C9&D=&)**J4/O6! 8i7B3'(K3.-O5"wC>%$%'I1(+M4-/A;!#rD=%~H6*-,/.:m1D1%&qI1(+M7-/A: B8$'&+)K3.-O6#!C9'%G<*H6*-,/.;>1D1%&qI1(+M7-/A:!#E9%F5(+*-..N8#"B=&&F2**J7..N<"C>%$'&)(1:?J;/ wC;&%G=+)K0/-xN<#C>%$'&+)K3.-O6#!C9'%G<+)|J0/O2! #"%$,-xN8#"B>MpF3(+(,L6- !tB='G;)**J5/.N:ItB?$'&)(11?J;/ wC;&%G<+)K7/L3.! !#E=$'I0)+M7-/A:!#E9%F5(+*-,/5<2E6$%pF0+*J7..yA= B9$'&+)K3.-O6#@8"%$<:;N?+,{O7"!C8'sG;)()+M5,/v@; $D<$I2+*-,7b3F7#$sG?*)K0DL2.!""B<'&F1AK1-,/5n2E6$%pF0+*J7ExN= # $D>%()|J5/.N:IC8%$%'I0(J0,/.!=30C0&'~H2),L2-A<#"'%G?*)*}M4,/ @8!E>'$(H6)M0/.!""B='&qI3)+zL5, @>!E8'&)(+*-1?%(H6)M0/.#!C;&%&qI0(+,{O5"@9"'%G;*H7*-,-/A8 #E?%'~H1(,L2-A<#"%$'&)278K8./v@:!$D:%~H7*-..N8#"#rD?%(H0(,{O4#@>"%$%'I0(J0,/.!;k0C0&'~H2),L2-v@?"%&&F0+*+zL7-A:# $D?%I3+*-,5c3F7#$sG?*)K7/L3.!""B<'&'~H3),L2,A<#"'%G>*)K1/-O4#!C?'D;&)(+*-,4d3F7#$sG?*)K7/{O2! !#E=$'(K2.{O4!""B='pF2(+*-1`%(K2.-.yA; #$sG<*K6-..N;#C?%$'$(H0),{O4"wC>%$'&)**J4/.yA8 #rD=%~H7*-,/382E6$%pF0+*}M4,/ wC9&%G<*)|J0/O2! # $D<%I2+*-,-/A9 #rD?%(H0)M6/.! >;7B3'(K3.-xN9#"#rD<%(H6(M0/.#!C9&D=&)(+(,L6- wC:&%pF2+*J0.O2! #"%864O<*+zL6- wC:&%&qI3(+zL5- @>!rD;&)()+M7,/v@9!$sG;*H7*-,/.!""B<'&qI0(+,L4GA=#!$D=%~H7*-,/.?91D1%&qI1(+zL7- !tB>'&qI2(+M1-yA<#"%&&F2+*}M6-/A=!uE8'&)(+*/-O7"!tB=&&'~H0),{O4"!C?'sG:)(+(,L4- wC8'%G;+K6-,/.! #886A2()|J4/.yA8 #$sG=*H1*/-O5"@>"%$'$(H2),L7- @8!E8'&)(0b?J;/ wC;&%pF1+*+zL4-A:# $D<%I5+*-,-/A9 B9$'<4:M>,-xN8#"uE<$'(K1.L2.#!C9&D=&)(+(,L6- @; $D<$I5+*-,213F7#$sG?*)|J5/.N:#"#$sG<*H6*/L5."@?"%$'$(H2),L7, wC9'%&qI2(}M1/,A<#"%$',-xN8#"uE<$'I3(+M6,/A= uE8'&)(+(,L6- !C:LD<&*)K0.-O3"wC>%$'&)2d8K8./v@:!$sG>*)K1.-O4"!C?&sG:)(+*-..N8#"#EI: !tB<'&qI0(+M7,/A: B8$'&)**J4/./v@;!$%pF2+*}M6,yA=#"'%G=*)K0.-O3"@?"%$'&+)K2.-.yA; #rD=$~H6*-..N:#"uE>$F5(+*-,/.;01D1%&qI1(+zL7- @8!$D=%I5+*-,-/A9 #$sG>*)K1.-O4"@>"%$%F5(+*71=H5!"uE=$'~H3),L4- @9!E9'&)()+M5,/A8!#rD<$(H1)M1/.! #856A2()|J4/.yA8 #E?$'I2(J1,/.!""B<'&F1**}M7-/A: #E9%F5(+*-,/382E6$%pF0+*}M4,/A; #rD=%(H6)zL3.! #"'%G?*)K2/-O5"!C8'%G;*K6-,/.! 9;7B3'(K3.-xN9#"B>'&qI2(+M1,yA<#"%$'$(H2),L7,A;#"%?m4O<*+zL6- wC:&%G=*)|J7/.yA= uE8'&)(+(,L6-A<#"?o5@=)*}M5,/v@;!$D<%(K0.L2.! # $D>%()|J5/.N:#"uE>$F4(+*/L3.! '&qI2(}M1/.! !#E=$'(K2.-xN;#C?%$%'I0(+,{O5"!tB?'&qI5(J1,/.#!C9&%&qI2(+zL2-A<#"'%G<*H6*-,/.! #9o6A2()|J4/.yA8 #E?$F3(+*/-O7"!"uE<$qI3+(zL5.! 8;7B3'(K3.-xN9#"B>'pF3(+*/-O7"@>"%?84O<*+zL6- wC:&%G=+)K0.{O3! #"'%G?*K6-,4a3F7#$sG?*)|J5/.N<#C>%$'$(H2),-xN9#C8%&&F1+K1-,/.;o1D1%&qI1(+zL7- wC9&%G<*)K7.L3.! #"'%G?*)K2/-O5#!tB?&&F4+K6-,/.! >:7B3'(K3.-xN9#"uE?$'I2(+zL2-A<#"%$'$(H2),L7, @8 $sG<+)|J0/O2! #"%$=m;N?+,{O7"!tB='&qI3(+M6,N< #"%&&F0+*+zL7- wC9&%G<*H6*-,-N= #"?:5@=)*}M5,/v@;!$sG=*)K0.L2.! # $D>%(H3),{O5"!tB?'pF4(+*-,503F7#$sG?*)|J5/.yA; #E>$F4(+*-..N8#"uE<$'~H0),L5,v@>"%$'&309L9-.yA9 #rD?%(K1.-O4"@>"%$'$(H2),{O6"!tB>'G:)(+*65=H5!"uE=$'~H3),{O5"!C8&D:&)(+(M0/4l2E6$%pF0+*}M4,/v@8!$sG<*H6*-,/, @:!$%pF1+*}M7,/v@9!E9'&)*K6-,/4=2E6$%pF0+*}M4,/v@8!$sG<*H6*-,/, @:!$D?$(H0(,L5,A=#"%$'<7:M>,-xN8#"uE<$'~H0),{O4"wC?%$'&+)K3.-O6#!C9'%G<+K7-,/.!:h0C0&'~H2),{O6"!tB>'G:)(+(,L6- !tB='&F2+K7-,-/A8 #rD<%I2+*-,/5j2E6$%pF0+*}M4,/v@8!rD=&)()+M5,/A8!#E?%F3(+*-0>%(K2.-xN:#tB9$'&+)K3.-.yA8 #$sG=*K6-..N:#"B?'&F4+|J1,/.! !#E<$'I2(+M1,yA<#"%$'&2b9L9-.yA9 #rD?%(K0.-xN<#C>%$'&+)K3.-O6#wC9%$'>f:M>,-xN8#"uE<$F2(+(,L6- @;!E>'&)328K8./v@:!$sG>*H0*-..N8#"uE>$F4(+*5d=H5!"uE=$'~H3)M7/.#!C;&D?&)328K8./v@:!$sG>*H0*-..N;#"uE9$F5(+*5d=H5!"uE=$'~H3)M7/.#!C8&D:&)0`8K8./v@:!$sG>*H0*-..yA: B8$';6:M>,-xN8#"uE<$F3(+(,L6- !tB='&F3**J0.xN= #"'%G>*)K1/-xN;""B8&pF5(+*-,/.;01D1%&qI1(+zL7-A=#"'%G?*)*}M4,/A;!#E>%F4(+*/-O6"!C9'D=&)(+*6d=H5!"uE=$'~H3)M1/.#!C;&%&qI0(J6,-/A8 B?$'&)328K8./v@:!$sG>*K1-,-/A9 #E<%F2(+*64=H5!"uE=$'~H3)zL4.!""B<'&F1*|J6,/.'G;)(+*.L3.! 9<7B3'(K3.-xN9""#rD<%(K0.{O3! !uE8'&)**J4/./A8 B>$%F5(+*6g=H5!"uE=$'~H3(,L4-v@9"%$%'I1(+M4,/v@>!E8'&)(67?J;/ wC;&%pF1**J6..N;"C?%$'&+)K3.-.yA8 #E>%F4(+(,L7- wC9'%G<+H6*-,/.!;h0C0&'~H2),{O6#!C9'%G<+K7-,/.#!C;&%G<+K7-,/492E6$%pF0+*}M4-/A;!#E>%qI4+*-,-/A9 #$D<%~H6*/{O2! #8:6A2()|J4/.yA8!#E?%'~H1(M1/.! !#E=$'I0)+zL4, wC8'D:&)(+*77=H5!"uE=$'~H3(,{O5#!tB?&&F4*|J1,/.! !#E=$'I5)}M0/.!<<0C0&'~H2),{O6#!tB>&&qI2)}M0/.! !#E=$'()|J5/xN< !#E<$'(K1.{O3!""B>'&qI2(}M1/.! # rD;&)(64?J;/ wC;&%pF1**}M7-N; #"'%G?*)*}M4,/A;!#rD=$(H6)zL3.! # $D?%(H0(zL5.! #">n5@=)*}M5,/v@; $sG<+K7-,/, @:!$D?$(K1/L5.! #9>6A2()|J4/.yA8!uE?'&+)K3.-xN9#tB>$'&3g9L9-.yA9 #rD<%(H1)M1/.!""B<'&F1+*+zL4- @9!rD:&)**J6/.N;IC?%$'&)(15?J;/ wC;&%pF2+K0-,-/A9 #$sG>*)K1.L5.!""B='&qI3)}M6/.! #9m6A2()|J4/.yA; B9$'$(H2),-O6"wC9%&&F3+K7-,/.<<1D1%&qI1(+zL4-A<#"'%G?*)*}M4,/v@8!E8'&+)K2.-.yA; B9$%'I3(+M6,N< #"%$'&5b9L9-.yA9 #rD<$(K0/L2.! !#E=$'(K2.-.yA; #E>%'I5)J1,/.#!C9&%pF3*K7-,/.#!C:&%pF2**}M6-N< #"%$':8:M>,-xN8#"uE>$'~H6)M0/.!""B<'&'~H3),{O5#!"uE>$'~H6)M0/.#!C8&D:&)(+(,L7- wC8&%pF4+K6-,/.! 9:7B3'(K3.-xN;#C>%$%'I1(+,{O6"!tB>'pF3(+(,L7-A=#"%$,-xN8#C:%&&F0+*+zL7- @8 rD=&)**J5/.yA: uE9'&)(+17>I: !tB<'G>)**J4/.N:#"B?'&F4+K6-,/.!;:0C0&'~H2)M4/, @:!$sG>*)K1/{O4! #"=l5@=)*}M5,N9 !#E=$'~H3)M7/.!;?0C0&'~H2)M4/, @:!$sG=*)|J7/.N<#C>%$'&)068K8./v@:!E<'$(H2)M7/.901D1%&qI1(J5,-/A; #E>$F4(+*51=H5!"uE=$F2()+M5,N9 #:i6A2()|J4/O4!""B<'&F1**J6.O4! #"=k5@=)*}M5,N; !#E=$'I0)+zL4,A:#"%$?6;N?+,{O7"@9"'%G?*)K2DL4.! ;j7B3'(K3.L5.#!C;&%G>@K1-,/4l2E6$%pF0+K7-..N8#"#rD?%(H0(,L2,A<#"%&&F1+*J6..N;""B8&G:)(+*-,/582E6$%pF0+K7-..N8#"B='&qI3(}M6/.! 887B3'(K3.L2.#!C;&%G>+)*J6/O4!"C?%$'&1g9L9-.yA9 B8$%'I1(+zL7- @8!E>'&)(1b?J;/ wC;&D;&+)K3.-.yA8 #$sG=*)|J7/O3! !#E?$F5(+*/-O6"@?"%$';8:M>,-xN8#C>%&&F0+*+zL7- !tB>'G:)**J6/.N;""B8&G:)(+*-..N9#"B?&&F4*K6-,/.! 9?7B3'(K3.L3.#!C;&%&qI0(+M7-/ @9!rD:&+H7*-,-/A8 B9$'&)5;8K8./v@:!E8'$(H2),-xN9#"B8&G:)()+M4,/ wC9&%G;+H7*-..N:#"uE>%'I5)J1,/.! #"%>o4O<*+zL6-A<# $D>%()|J5/.yA; #E>$F4(+*/-O6"!C9'%pF3*K7-,/.! 9j7B3'(K3.L3.#!C;&%&qI0(+zL4- @9KrD:&)()+M4,/A;!#E>NF4(+*-,/5i2E6$%pF0+K6-..N8#"#rD?%(K1.L5.!""B='G;)(+*70=H5!"uE=$F5()+M5,/ wC:&%pF2**}M6-/A=!B9$'&)**J5/O2! #">o5@=)*}M5,N= !#E=$'(K2.-xN:"C8%$%'I0(}M1/.! 827B3'(K3.L3.#!C;&%&qI0(J0,-/A8 #E?%F3(+*-,443F7#$sG?*H7*/-O7"!C:&%G=*)K0.L2.! #">>5@=)*}M5,N= !#E=$'I0)+,L4-A:# E9'&)(0;?J;/ wC;&D;&+)K3.-O6#!C9'%&F3+K7-.O2! #"%?o4O<*+zL6-A<# $D>%(K2/-O5#!"B?'pF4()J1,/.! 897B3'(K3.{O6!""#rD<%~H1*/-O5"wC?%$'>b:M>,-xN8#tB=$%'I1(+M4-yA;#"%+)K1/{O4! #">:5@=)*}M5,yA:# $D>%(K2.-xN:""B?'pF4(+*-,7e3F7#$sG?*K0-..N8#"uE<$F2(+*64=H5!"uE=$qI5+(,L6- @; $sG=+K0-,/.:<1D1%G?*)*+zL7-A;# E<'%()|J7/xN< !B9$'&259L9-O7"!"#rD?%I3+(M4/- @9 $sG;+H7*-,/5>2E6$D>%()*}M4,yA<# $D?%~H6*-/.yA; B?$'&229L9-O7"!"B='&'~H0)M6/, @8!E9'&)*K6-,7c3F7#E=$'(H3),L4- @9!E9'&)*K6-,7c3F7#E=$'(H3),L4-A:#"'%G;*H7*-,7a3F7#E=$'(H3),{O5"!C8&sG;)(+(M0/.9:1D1%G?*)*J5/O5!"C8%$?i;N?+M5,/ @;!rD<&+)K0.-O3"wC>%$'&159L9-O7"!"B='pF2()J7,/6i2E6$D>%()K2D{O5!#"B?MpF4(+*0c=H5!C;&%&qI0(+,{O5"!C8&sG;)()+M7,/A:JB8$'&)**J5/./v@8!$D=$I5+*/-O5"!C8LsG;)(+*-,253F7#E=$'(K2.-.yA; #E>%'I5)J1,/.#!C9&%G<+H6*-,/, @;!$D<$(H1(M1/.! #?n6A2(H2),-xN9#"#rD<%(H1CzL2.!"C>%$%'I0(+,{O5"!"B?MpF4((J1,/, @8!$D=O~H6*-,/.!:>0C0&F0+*+zL7- !tB>'&qI2(J0,/, @8!$D=$I5+*-,-/A8 B9$'&599L9-O7"!"uE<$'(K1.-xN;""B8'pF5(+*/-O5"!C8&sG:)(+*/-O6"!"#rD<%(H6)zL3.!""B>'pF5(+(zL5.! #8n6A2(H2),-xN9#"#rD<%(K0/-xN<"C>%$'$(H0),{O3#@?"%$'$(H3)M0/.!;l0C0&F0+*+zL7- !tB>'G;)**J6/O4! # $D?%(K1.L3.! #9n6A2(H2),-xN9#"#rD<%~H6*/-O5"wC8%$'$(H3)M0/.!:h0C0&F0+*+zL7- @8!$D=%(H6)M0/.! !#E<$'~H0(,{O4#!tB8&pF5(+*-,/4i2E6$D>%()|J5/.N:#"B?'G;)(+(,L7- !tB>'&qI2)}M1/.#!C9&D:&)(+*6<=H5!C;&%&qI0(+M7,/A: B8$'&+)K2.-xN:#C>%$'&319L9-O7"!"uE<$'I3(+M6,N< #"'%G>*)|J6..yA:!uE9'&)(+04>I: @:!$%pF1+*J6/.N;ItB8$'&+)K2.-xN:#"uE>$F4(+*-,353F7#E=$'(K2.-O5"!tB?'&qI5(J1,/.!""B='&'~H0),{O4"!tB8'pF5(+*/-O5"!tB?'&F4AK6-,/.! #996A2(H2),-xN9#"B>'G<)()+M4,/v@8 rD=&)(+2a>I: @:!$%pF1+*J6/O4! !#E<$F4(+*6`=H5!C;&%&qI0(+M7,N= # $D?%()|J6/O3!""B>'G<)(+*-0d%$'&)(+17>I: @:!$%pF1+*J6..N;"C?%$'$(H3)M6/.!::0C0&F0+*+zL7- @8 $sG<+H6*-,-/A8 #$sG=*K0-.O3! #">95@=)K3.-.yA8 #E?%F3(+(,L7- @8KrD=&)(+1<>I: @:!$%pF1+*J7/.N<#C>%$'$(H3),L4,A:#"%$<7;N?+M5,/ wC:&%G;+H7*-..N9#"B>&&F3*K7-,/.!?80C0&F0+*+zL7- wC9&%G<*)|J0/O2! #"'%G>*)*}M7,/v@9!$D:%~H7*-,-/A; #$sG<*)|J0/O2! !#E>$'I5(}M0/.! #"%$<;;N?+M5,/ wC:&%pF2+*J7/O3! # $D?%I4+*-60*)K1/-O4#@>"%$'&2g9L9-O7"!"uE<$'~H0)M0/.#!C:&%&qI3(J7,-/A; B8$'&)(04?J;/A9 #$sG>*)|J6/xN; # $D?%(K7.{O2! #"8l5@=)K3.-.yA8 #rD<$(H6(zL3.! !#E<$'(K1.-O4#!C?'D;&)()+M7,/A:!#E9NqI4+*-,/.!8l0C0&F0+*+zL7-A;# $D?%(K1.L5.! #:56A2(H2),-xN9#C9%&&F1+K0-,/582E6$D>%()|J5/O4!""B='&qI3)}M6/.! ;27B3'I1(+,{O6"@9"'%G>*H0*-,7a3F7#E=$'(K2.L2.#!C:&%pF2+K0-,/.::1D1%G?*)*}M4,N= !#E<$'(H0)M6/,A=#"%$=7;N?+M5,/ wC:&D;&+)K2.-.yA; #E9%F5(+(,L4- @9 $D:$I4+*-,/.!;30C0&F0+*+zL7-A<# $D?%()|J6/O4!""B>'G;)(+*-7g*K0-..N9#C9%$'<5:M>,L6- !tB='pF5()+M4,/ wC9&%G<*H6*-..N:#"uE>%F4(+*-,/6l2E6$D>%(H3),-O5"@9"'%G;*H7*-,/602E6$D>%(H3),-O5"@9"'D:&)(0f?J;/A9 #E<$'(K1.-O4"!C?&sG:)(+(,L4- @9!E9'&)(+01>I: @:!$D?%()|J6/.yA: #rD:%~H7*-,-/A; #E>$'I5(J1,/.! #:i6A2(H2),L7- !tB>'G<)**J6/O3! #"=k5@=)K3.-O6"!"uE?$F3()+M7,yA=#"%$?j;N?+M5,/A8 #E?$'(H1)zL2.#@?"%$'>d:M>,L6- @;!$D<%(H1),L2-A<#"%$'>8:M>,L6- @;!$D<%(H1)M1/.! ;m7B3'I1(+M4,/A; #rD=%(K7.L3.! #"=l5@=)K3.-O6"!C9&%pF3+|J0,/.!890C0&F0+*J5/.N:#C8%$'>d:M>,L6- @;!$D<$(H1(,L2-A<#"%$'>f:M>,L6- @;!$sG=*)|J7/.N<#C>%$'&)078K8.N8#"B='&qI3)}M6/.!l1D1%G?*)K2.L4.!820C0&F0+*J5../A; B?$%F4(+*5c=H5!C;&%G>+)*J6/xN; !#E9$qI4+*-,7f3F7#E=$'I0)+,L4-v@9"'sG;)(+2`>I: @:!$D?$(H0(,L5, @> E8'&)(+27>I: @:!$D?$(H0(M6/.!8l0C0&F0+*J5..yA;!#rD=$(H6(M0/.! #n7B3'I1(+M4-N: #n7B3'I1(+M4FN: #:j6A2(H2),{O6"!"B>'pF3()+M1,N= #"%?o4O<*J4/.yA8 #$sG=*)K0/L2.!""B>'&qI5(J1,/.! ;m7B3'I1(+zL7- !tB>'G<)**J6/O3! #"=k5@=)K3.-xN9#"B>'&F3+*J0/xN= #"%$%$'&)0;8K8.N8#"uE<$'I3(+M6,N< #"%>?4O<*J4/.yA8 #rD<%()|J7/.N<#tB9$'$(H1),L2,v@?"%$'&)3a8K8.N8#"uE<$'~H0),-xN;#C?%&&F3+*}M1,yA<#"%$'&249L9-O7"!tB='&qI3(+,{O4"@?"'%G<*H6*-,/.9h1D1%G?*)|J5/.yA; #E>$F4(+*-4dI: @:!$sG>*)|J6/.N;""B8'pF5(+*-,473F7#E=$'~H3),{O5"!tB?'&F4+K6-,/.!;80C0&F0+*}M4,/v@8!$sG<*)|J0/xN= #"%$?m;N?+M5,/v@;!$sG=*)|J7/O3! #">=5@=)K3.-xN9#"uE?%'I2(+M1,yA<#"%$'>0:M>,L6- wC:&sG=)(3e?J;/A9 #rD?$()|J6/.N;#C?%$%F5(+*53=H5!C;&%pF1**}M7-yA:#"%k5@=)K3.-xN9"C9%$??;N?+M5,/v@; rD<&)89L9-O7"@;"m6A2(H2)zL7.:<1D1%G?+)*+zL7- wC8&sG;)()+M4,N: # E8'&1e9L9-O7#!"B='&F2+K0-,-/A= B9$'&199L9-O7#!"B='&F2+K0-,-N< #:i6A2(H2(,-O6"!tB>'G<)()+M1,N= #"=45@=)K3/-.N9#C9%&&F3+|J0,/.9h1D1%G?+)*J5/xN: !#E>$qI5+*-4>l5@=)K3/-.yA8 #$sG=*H1*/L2.!""B='&qI3(J7,/.!;h0C0&F0**+zL7- !tB>'G<)*K7-,-/A8 #rD<%~H7*-,/4l2E6$D>$()|J5/./v@8!E8'$(H0),L5, @> E8'&)(+(,L7- @9 $D:$I4+*-,/612E6$D>$()|J5/.N:#C8%$%F4(+14>I: @: $%pF1+*J6/xN; # $D:%~H7*-,473F7#E=%'(K2.-xN:#"B?'pF4(+*/L3.!:20C0&F0**+zL7- wC9&%pF3+|J0,/.#!C:&%&'~H0)zL2.#@8"&sG<)(+*5<=H5!C;'%&qI0(J7,-/A8 B>$'&229L9-O7#!"uE<$F5()+M4,/A; #E>$F4(+*-,723F7#E=%'(K2.{O5!"C8%$?n;N?+M5-/A8 #rD<%(K0.L2.! #:56A2(H2(,L7, !tB>'G<)*K7-,/6m2E6$D>$(H3(,L4- wC8&%G;*H7*-,/.9:1D1%G?+)K2/-O5"@9"%$?;;N?+M5-/A8!#E?$qI2+*-4c%$'&)0:8K8.N8""B=&&F2**J7.O3! #"=45@=)K3/-O6#!C9'%G<+K7-,/.9h1D1%G?+)K2/-O5#!tB?'pF4(+*-45I: @: $D?$(K1/-O4#!tB8&G:)(+*-4?'&qI2(}M1/.! l0C0&F0**}M4,N: #:<6A2(H2(,{O6"wC9%$?j;N?+M5-/v@; $D<%(H1),L2-A<#"%$'>f:M>,L6, wC:'%pF2**J7..N<"C>%$'&)0`8K8.N8""uE<%'~H0(,{O4#@>"%$'i;N?+M5-/v@; E?'&9:M>,L6,A8#j7B3'I1)}M4/a3F7#E=N'I0B}M7/.12E6$D>OI0+b?J;/A9JuE<';0:M>,{O7"!"#rD?%()|J6/.N;#C?%$%'I3(+M6FN< #"%&&F1+*}M6,yA=#"%&G:)(17?J;/v@:!$%&qI0(+M7,/A: B8$'&+)K2.-xN:#"B?MG;)(+*/L3.!;;0C0&qI1(+,-xN9#"uE>%qI5+*/L7."@8"%9:M>,{O7"!"B='G=)**J7/O3! #:86A2(K3.-.N9#C9%&G<)(36?J;/v@:!$%G>*K1-.O4! ;i7B3'~H2),-O6HwC9%'&F3A|J0,/.=;1D1%pF0+*+zL7- !"uE>$F5()J7,,yA=#"'%G>*)*}M7,/ @9KE8'%~H6*-..N:#"B?'&qI5(}M0/.! #"%8o4O<*}M5,/ wC:&%&qI3(+M6,/A= B9$'&+)K1.-.yA: B8$%'I2(+zL2,A<#"%$'&+)K2.-.yA:!#E>$F4(+)M0/.! 9>7B3'~H2),-xN9#"#rD<%(H1)M1/.#!C9&%pF3*K7-,/.#!C:&D;&)(6a?J;/v@:!$%pF1+*+zL4- @9 $D:%~H7*-,-/A; #E>$F5(+*-..N9#"B>'&qI2(+zL2-A<#"%$'&429L9-xN8#"#rD?%()|J6/.N<"C>%$%'I3(+M6-/A=!B9$'&)()+M4,/ @8!E>'$I5+*-,573F7#rD>%()|J5/./v@8!E>'$(H0)M1/.!""B='&qI3(J1,/.!;i0C0&qI1(+,{O6"!"uE?$qI2+(M1/.#!C:&%pF2+K6-,/.;91D1%pF0+*+zL7- @8!$sG<*H6*-,-/A8 #rD<$(H1(M1/.! #8?6A2(K3.-.yA8 #E?$'~H1)zL2.! !#E<$'(K1.{O4!"tB8$'&)768K8.yA9 #$sG>*)K1.-xN<#tB9$'&+)K2.-.yA; #$sG<*)K7.L3.!""B?'&qI5(}M0/.! !#E?$'()|J7/xN= !B?$$qI5+*-,/.:o1D1%pF0+*+zL7- @8!E8'&+)K2.-.yA; B?$%'I3(J0,/.! 8;7B3'~H2),-xN9#"B>'pF3(+(,L7-A=#"%*)K1.-.yA: #E9$qI4+*/-O4"!C?'sG:)(+*-,/5=2E6$sG?*)*}M4,/A: #E9$F5(+*/-O6"wC9%$'=6:M>,{O7"!"uE<$'I2(+M1,yA<#"%&&F1+|J6,/.:l1D1%pF0+*+zL7- @>!E8'&+)K2.-O5#!C8'%G;*H7*-,/.!?80C0&qI1(+,{O6"!tB>'&'~H1),{O3"wC>%$%'I2(+zL2,v@?"%$'&+)K2.-xN:""#rD=%(K7.{O2! !#E>$'~H6(zL3.! #"%$*)|J6/O2! !#E<$'(K1.L5.#!C9&D:&)(+*63=H5!tB<'&'~H3),{O5"wC8%$%'I0(+M7,N; #"%?84O<*}M5,/ wC:&%pF2+|J7,/, @;!$D<$I2+*-,7a3F7#rD>%()|J5/O5!""B='&F3+K7-,/.:=1D1%pF0+*+zL7-A<# $D?%()K1.L5.#@>"%$'>b:M>,{O7"!"uE<$qI2+(,L7-A;#"%?m4O<*}M5,/ wC:&sG:)**J5/.N:""uE>%'I5)}M0/.! #">n5@=)|J4/.N9#"#rD<%(K0.{O3! !#E?$'I2)}M1/.! #:o6A2(K3.-O6"!"uE?$qI2+(zL2.! 8;7B3'~H2),L7- !tB>&&F2+|J7,/-A=#"%?84O<*}M5,/A8 #E?$'(K0.{O3!""B?'pF5(+*-,733F7#rD>%(H3),L4-A:#"%<74O<*}M5,/A8 #E?%'I2)J0,/.!8>0C0&qI1(+M4,/A;!B?$'&149L9-xN8#"B='&F2*|J7,/.:91D1%pF0+*J5/.yA; #E>$'I5B}M0/.! #:n6A2(K3.-O6"!tB>'&F3+|J0,/.!;:0C0&qI1(+M4,/v@8!$sG<*)K7/L3.! #">?5@=)|J4/.N9#"uE?$'~H1),{O3"wC>%$'&)g9L9-xN8#"B='G=)(3:?J;/v@:!$D?$(H0(,L5,A=#"%$?m;N?+zL6- @; $D<$(K0/{O3! #"=95@=)|J4/.N9""B>&G<)(+2b>I: wC;&%G>+)|J6/.N;#"B8'G:)(+*-c=H5!tB<'&F1*K1-,773F7#rD>%(H3CzL4.!::0C0&qI1(+zL7- !"uE?$'I2(J1,/, @8!$sG<*H7*-,-N< #"=k5@=)|J4/.yA8 #$D<%I2+(,L2-A<#"%$?n;N?+zL6- wC:&%&F2+K0-.O3! #?=6A2(K3.-xN9#"#rD<%()|J7/.yA= B9$'$(H1),L2,A<#"%$%'I3(+zL5-A=#"%$'<7:M>,{O7"!tB='&'~H0),L5- @>!E8'&)**J6/.yA:!#rD:$~H7*-,/.!:30C0&qI1(+zL7- !tB>'&F3+*}M1,yA<#"%&&F2+*}M6-/v@> rD;&)(+*-7e'&F3**J0.O2! # $D<%I4+*-,423F7#rD>%(K2.-.yA; #E9$F5(+(,L4-A:#"%$4O<*}M5,/v@;!$%pF2+K0-..N:#C?%$'&2`9L9-xN8#"uE<$'(K1.L3.#!C9&%G<+)K7/L3.! #"%'&)048K8.yA9 #rD?%(H0)zL5.! 8;7B3'~H2),{O6"!C9'%G<*)K7.{O2! #"%??4O<*}M5,/v@;!$D<$(K0/-xN<"C>%$'&)078K8.yA9 #rD?%(H0CM6/.!;<0C0&qI1(+zL7- wC9&%&'~H1)M1/,A:#!E8'&)(02?J;/v@:!$sG>*)|J6/./A: uE9'$I4+*-,553F7#rD>%(K2.-xN:#"#rD=%(H6)zL3.!""B?'&qI5(J1,/.! #8<6A2(K3.-xN9#"uE?$'(K0.-O3H@?"%&&F3+*J0/O2! #"%$<9;N?+zL6- wC:&%pF2+*+zL5-A<# $D=%I5+*-,/582E6$sG?*)|J5/.yA; #E>$'I5(J1,/.! 8:7B3'~H2),{O6"!tB>'&F3+*J0/xN= #"%$%$'&)0a8K8.yA9 #rD?%(K1.-O4"wC?%$'&1b9L9-xN8#"uE<$'~H0),L5,v@>"%$'=1:M>,{O7"!tB='&qI3(+zL5- @>!E8'&)(+17>I: wC;&%pF1+*}M7,/v@9!$sG;*K6-,/.!8i0C0&qI1(+zL7- wC9&%pF3+K7-,/.9m1D1%pF0+*}M4,/v@8!$sG<*K7-,/.9>1D1%pF0+*}M4,/v@8!rD=&)(35?J;/v@:!$sG>*)|J6.O4! #:;6A2(K3.-xN9#"uE?%qI2+*-47'&)028K8.yA9 #rD?$I3+*e>I: wC;&D?&b:M>,{O7"wC:%?74O<*}M5-/ !tB='G;)**J5/.N;""B8&G:)(+*.L4.!?:0C0&qI1)+,{O6"!C9'%&qI2(+zL2-v@?"%&&F3+*}M1-yA<#"%$'$(H3),L4- !tB?'&qI5(}M0/.#!C8&%pF4*|J1,/.! #">n5@=)|J4../v@;!$sG=+)K0/{O3! # $D?%(H1(zL2.! #:56A2(K3/-O6"!C9&%G<*H6*-,/6j2E6$sG?+)K2/-.N:#tB?$%qI5+*-42=5@=)|J4..N9""uE?%'I2)+M1-yA<#"%$'>a:M>,{O7#!C:'%pF2**J7.O3! #"=85@=)|J4..N9""uE?%F3(+*53=H5!tB<&&qI0)+M7-yA:#"%??4O<*}M5-/v@; $sG=+)K0/-O3#wC>%$'&)308K8.yA9!#rD?$(K1/-xN;""B8&pF5(+*-,443F7#rD>$(K2/-xN:""uE>%'~H6(zL3.! #"=i5@=)|J4..yA8!#rD<$(K0/{O3! #"=:5@=)|J4..yA8!#rD<$~H1*-,773F7#rD>$(K2/L4.!8;0C0&qI1)+zL7,v@8"%l5@=)|J4.O6!k1D1%pF0*|J5,3IWEFNN<:5@PLMGA413FZFCIK31?;8KUKHLL69245@PLMGA95912E[ABJJ<5<:?JVJGMO79374OQOL@@:16k1D\@AKE=594;?89LTHICM[OL56A_THOJ^@Bd3FXBKOBD_U[SA6=H]];0\;5_.3:{`==W&;2shRB=;Q21?U743Y;;86^>0168T466<2Z:<8=4P037?U76:=1[=<:;;Q3211=W98759S54>33Y;:5>5_1368T447<2Z:><:4P0000>V6:=>0\<<94:R26256;;Q30=6=W9=>0\<:?4:R2042986^>4268T423;2Z:9>5_1718T4143Y;3?6^>939S66=W:990\??<;Q017>V5;:1[>9=4P370?U41;2Z9;>5_2918T7?53Y987]=?3:R056=W;;90\>=<;Q177>V4=:1[?;=4P250?U5?;2Z85?5_429S055V3?:1[85<4P418T0643Y?:?6^:529S135:1[:==4P730?U05;2Z=8>5_6418T3043Y<V00:1[;4<4P918T=643Y2:?6^7229S<6587]6:3:R;36=W0180\4=4P800?U?4;2Z28>5_9418T<143Y33?6^6959S85823Y6:<3;4P=32:0=W488596^312<6?U:6<7?0\1?:>49S8409=2Z7=:0:;Q>2<;3>49S8749=2Z7>>0:;Q>10;3285_<34=1>V;:>4>7]2=8?78T94>6=1[0?0:;Q>04;3V;;:4>7]2<4?78T9526<1[0>815:R?72823Y6843;4P=1::1=W4:4>7]2;0?78T9266<1[09<15:R?06823Y6?83;4P=66:0=W4=<5;6^34683:0=W4==586^34?68T939<2Z7:3:4P=5=0>V;07>0\1713:RB@1=WI[^?7]MFN49SGLH7=2ZHEC?9;QAJJ4613YIBB13YIBB<7:;QAJJ70=84PBKM640?84PBKM660984PBKM600;84PBKM620584PBKM6<3VDAG>;:6^LIO622>VDAG>9:6^LIO602>VDAG>?:6^LIO662>VDAG>=:6^LIO641>VDAG?>7]MFN778TFOI?<1[OD@75:R@MK?03YIBB1>18:R@MK:68720\NGA<03=<>VDAG6:>364PBKM845902ZHEC2>4?:8TFOI48?546^LIO>22;>VDAG6:255_CHL?658?3YIBB1<>>99SGLH;:;437]MFN=00:==WK@D7>907;QAJJ942611[OD@327<;?UENF58<255_CHL?6=8?3YIBB1<6>69SGLH;:720\NGA<22=<>VDAG68=364PBKM864902ZHEC2<3?:8TFOI4:>546^LIO>01;>818:R@MK:4?720\NGA<2:=<>VDAG685394PBKM868?3YIBB1:?>99SGLH;<8437]MFN=61:==WK@D78>07;QAJJ923611[OD@344<;?UENF5>=2l5_CHL?02<7611[OD@346<4?UENF5>5;6^LIO>6:2=WK@D7:394PBKM82803YIBB1617:R@MK:>6<1[OB[[6:R@KPR7>2ZHCXZ>7:R@KPR68>1[OB[[1058TFIR\88<7]M@UU303>VDG\^:8:5_CNWW501869SGJSS90<0\NAZT358TFIR\;:<7]M@UU023>VDG\^9>:5_CNWW661=0\NAZT3:4?UEH]]82:6^LOTV03>VDG\^8<:5_CNWW741<8;QALQQ54?2ZHCXZ<469SGJSS;<=0\NAZT244?UEH]]9<;6^LOTV0<2=WKF__?484PBMVP118;QALQQ26?2ZHCXZ;269SGJSS<:=0\NAZT564?UEH]]>>;6^LOTV722=WKF__8:84PBMVP0019:R@KPR;99427]M@UU>25;?89SGJSS489556^LOTV?518>3YIDYY2>5?;8TFIR\5;=245_CNWW841912ZHCXZ319<:?UEH]]6:5364PBMVP97912ZHCXZ321<:?UEH]]69=374PBMVP945601[OB[[<31==>VDG\^7>906;QALQQ:5=730\NAZT=05:<=WKF__0?919:R@KPR;:1427]M@UU>1=;>05;?89SGJSS4:9556^LOTV?718>3YIDYY2<5?;8TFIR\59=245_CNWW861912ZHCXZ339<:?UEH]]685364PBMVP95912ZHCXZ341<:?UEH]]6?=374PBMVP925601[OB[[<51==>VDG\^78906;QALQQ:3=730\NAZT=65:g=WKF__09950?;8TFIR\5><255_CNWW818?3YIDYY2:>99SGJSS4?437]M@UU>4:==WKF__0507;QALQQ:>6?1[I_G@Dc9SLDUBWZBBJY64PI[PMFGKi2ZBBRLZSHF[f>VNFVH^_COBEc9SMKYN[JFDOFo4PJ]AMKBNFN30\FQGLTVMI==WCVF^XCCi;QNO[DBCWEOJGLIJ7:ROHZGC^8;0\ABPAWFG[FIJXVYNX<>4PMN\GIGJXVHR\L]\109SHIYDDHG[S_KI_RGOe>VKDVIGG=CWa:ROHZEKC8GSm6^CL^AOO7K_i2ZG@RMCK2O[e>VKDVIGG9CWa:ROHZEKC3:ROHZEKCK_Y_YQJN@@OAf=WDEUH@FMATSNa?UJKWJF@JOCW119SHIYDDBLICXZ=_EV26>VKDVIGGKL@UU0\AAAB991[@AQLLJDAKPR5WA^:<6^CL^AOOCDH]]8T@Y?:;QNO[FJLNKE^X?QAOBMVJQ`0:ROHZEKCF__=RKKGDg8TIJXKEADYY?PEOg8TIJXKEADYY?PHUg8TIJXKEADYY?PLU30?UJKWJF@CXZ>_OM@KPHSm2ZG@RMCKNWW5ZVJm2ZG@RMCKNWW6ZBS991[@AQLLJMVP7YBLNOn7]BC_BNHKPR5WLDn7]BC_BNHKPR5WA^n7]BC_BNHKPR5WE^:?6^CL^AOOJSS:VDDOB[ATd9SHIYDDBE^X?Q_Md9SHIYDDBE^X>QKT028TIJXKEADYY=PEEEFa>VKDVIGGB[[3^GMa>VKDVIGGB[[3^JWa>VKDVIGGB[[3^NW56=WDEUH@FAZT2]MKFIRF]o0\ABPCMILQQ5XXDo0\ABPCMILQQ2XL];;7]BC_BNHKPR3WLNLIh5_LM]@HNIR\=UNBh5_LM]@HNIR\=UCXh5_LM]@HNIR\=UGX<=4PMN\GIMH]]>TBBM@UOVf?UJKWJF@CXZ;_QOf?UJKWJF@CXZ:_EV24>VKDVIGGB[[5^GGC@c5_LM]@HNYKGZ^THHZJCU48TIJXKY;=7]BC_BR\FLGTW]XB\R]GIGVa?UJKWJZT^H^Ca:ROHZEWW[OX=85_LM]GMWCD\VZJXEQLNUPO`>VKDVNBZNBD_EVe?UJKWMC]OAEPEEEF`>VKDVNBZNBD_IVg?UJKWMC]OAEPLU31?UJKWMC]OAEPNNALQKR692ZG@RJYDE]@KHVX[L^=7]BC_DL`?UJKWLDTOCZ]L`9SHIYBFVNGUh5_LM]FJZJBIBKLIn5_LM]FJZRDDB:h7]BC_DL\PFJL9j1[@AQJN^V@HN4d3YFGSH@PTBNH7g=WDEUNBRXLO1`8TIJXMGU]OB?m;QNO[@HX^JE9n6^CL^GM[SEH;k1[@AQJN^T@K1d7]]LLJ48TVBHFL>0\^KA8:RPMKECMJ<0\XBCUQ38U6=V9<;0^?5]039Q57=U:;1Y?95]AEP6?WGCZ9?0^LJ]149QEAT5=2XJH_=7;SCN53^6Lh1YM@8;X0FSK==UIDTBOJOJ:6\JGBGAf>TBOJOI^HILE29QAI10:PFIJPBLV^YM^FLAOg8V@VKIJOT\L\JNU33?WCUMZEGZHQ_ASCNW43TT\FZFCIK;;SQWT2=U[]^R\H??;SQW[ACF[ZOYXRMCKd9QWQYWZ@EYEYWPAd9QWQYWZ@EYEYWPB29Q\A>UFNL=0_NOAOTV0?VCKk2YN^IK\SUPLF@5<[L^37^K[RDQFP6=TNO;:7^F]EF]F\QTFK]UEKNk4SIPFCZKNFVYBVH74SHE\GJSI\8:0_DC_RHO\KKJ^WYCE=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOH64SHO\IJBBi2Y@IZMCKHL2e>ULM^IGGD@=6:QHAROI?2Y@IZAZT69PO@QU[]=0_FKXSU@;?VMNXVZBB95\LDU0?VHL:2Y[=;5\P7]AQCOH:VCE_H\[_QNO574<[YKLPPMN16>UW>VCE_RLZFHM1BGYWDEUF@RLZFHM1BGY5981X\;QBASI\FP@@WYFG46]_ASVBJ30<[YCTO^64SQK\ILUHl2Y[ERCFSN]JFP@a3ZZBS@G\O^RVHISW02Y[ERC@SH58WUOX[JA87^^@3:QQGa=TZJUCEH\NRBJZ3>UUDJ;=I95\RRV5?VTSQYO<7^\YAM]B3>UU^HFTN95\SDV27>USIGUISYAPBBKM[FGTKj1XXL\[UQ]TELR13Z^JXX]8;RVBPPU7?2Y_MY[\169PPDRR[;=0_YO[UR14?VRF\\Y?;6][AUWP12=T\H^^_;94SUCWQV1>3Z^JXX]30?;8WQGS]Z6:245\T@VVW94912Y_MY[\<2<:?VRF\\Y78374SUCWQV:2601XXLZZS=4=f>USI]_X0:4?>89PPDRR[5=546][S@FQW5><[]YJH_]>8:QWWDBU[;20_Y]NDSQ0<>US[HNY_964SUW@OZGS<2YRBN74SXL@[DRSZ880_T][EL]P]KEOZFDDY^?4Tg9WEWAB\VZJ^YZVPD68PGSA;2^O\55[ESOJJDJ33]OXX85[ERV31>RB[];=7YK\T025?QCT\8;=7YK\T005?QCT\89=7YK\T065?QCT\8?=7YK\T045?QCT\8==7YK\T0:5?QCT\83>7YK\T348P@US:9<0XH][2048P@US:;<0XH][2248P@US:=<0XH][2448P@US:?<0XH][2648P@US:1?0XH][349WAVR3=2^N_Y;:;UGPP33<\LY_;85[ERV;1>RB[]3<7YK\T=2=<>RB[]6:<364TDQW847902^N_Y2>2?:8P@US489546ZJSU>20;><\LY_0<;18:VFWQ:6>720XH][<05=<>RB[]6:4364TDQW84?9?2^N_Y2>>99WAVR;:9437YK\T=02:==SMZ^7>?07;UGPP944611_I^Z325<;?QCT\58>255[ERV?638?3]OXX1<8>`9WAVR;:10;255[ERV?6=803]OXX1<17:VFWQ:46>1_I^Z34?58P@US4<4<7YK\T=4=3>RB[]6<2:5[ERV?<;1<\LY_0409;UKFKC@6;2^YMC]IOSOBPLIIW[_GIo5[R@LPTDTBF]90X_G7;UPJWQGSM=1_^XK6;UQ\T@TNGM90XUJ;;U[SA3=Sp\dbx>5ZCG48QKSTMMi0Y^K]_WKPMGJBj2_XIRJ@UCNFV6=QKJ;87[K]Y^JJCMYAZL[^ICMV9:TJARYSQYO97Z>=;V31?R453^9?7ZOKR49TEAT7=2]JH_?:;VCGV73<_HNY?95XCMI1?RC43^OJ86YJA168S@G6<2]NM?:4WDC02>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WDCTAI1<_LK\IA>8;VGBS@J612]NMZKC<119:UFERCK48487ZKM4:UFF52<_LH:86YJB368S@D4>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?48S@DPM\=0[HLXET24?RCE_L_:56YJBVGV858e3^OI[H[31;2==>QBJ^O^0<06;VKMCZG;87k0[D@H_@>24;g<_@DLSL2>1?c8SLH@WH6:>3o4WHLD[D:6;7k0[D@H_@>20;e<_@DLSL2>5;2=e>QNFNUJ0<;19:UJJBYF48427ZGAG^C?6;?<_@DLSL2<>89TMKAXI5>556YFNF]B808>3^CEKRO36?;8SLH@WH6<245XIOE\E9>912]BBJQN<8QNFNUJS=QFOCWE+LDRN8>0[D@H_@]3[LIE]O%DNXH[f:UJJBYFW8:TEBLZF068SLH@WHU:RG@BTD,MGSA9<1\ECIPA^31[LIE]O%DNXH[f:UJJBYFW89TEBLZF068SLH@WHU:?RG@BTD,MGSA9<1\ECIPA^30[LIE]O%DNXH[f:UJJBYFW8>TEBLZF068SLH@WHU:8RG@BTD,MGSA9<1\ECIPA^37[LIE]O%DNXH[f:UJJBYFW8?TEBLZF068SLH@WHU:9RG@BTD,MGSA9<1\ECIPA^36[LIE]O%DNXH[e:UJJBYFW8UBCO[I129TMKAXIV;TEBLZF.KAQC733^CEKROP1^KLFP@(GK_MXh5XIOE\EZ4XAFH^J<=4WHLD[DY5W@EIYK!FBTD20>QNFNUJS?QFOCWE+JDRN]o0[D@H_@]0[LIE]O;87ZGAG^C\7ZOHJ\L$EO[I159TMKAXIV9TEBLZF.MAQCRb3^CEKROP4^KLFP@6;2]BBJQN_5]JKGSA'@H^J<:4WHLD[DY3W@EIYK!@BTDWa>QNFNUJS8QFOCWE56=PAGMTMR;PIN@VB*OE]O;?7ZGAG^C\1ZOHJ\L$CO[ITd9TMKAXIV1a:UJJBYE48:5m6YFNF]A8479i2]BBJQM<00=e>QNFNUI0<=1a:UJJBYE48>5o6YFNF]A843=87k0[D@H_C>21;?<_@DLSO2>>89TMKAXJ58556YFNF]A868>3^CEKRL34?;8SLH@WK6>245XIOE\F90912]BBJQM<6<:?ROIOVH74374WHLD[G:>6l1\ECIPB^2\MJDRN890[D@H_C]3[LIE]O%BNXH>4:UJJBYEW9UBCO[I/N@VBQ`<_@DLSOQ>0^KLFP@6<2]BBJQM_02\MJDRN&CIYK?:;VKMCZDX99UBCO[I/N@VBQ`<_@DLSOQ>1^KLFP@6<2]BBJQM_03\MJDRN&CIYK?:;VKMCZDX98UBCO[I/N@VBQ`<_@DLSOQ>2^KLFP@6<2]BBJQM_00\MJDRN&CIYK?:;VKMCZDX9;UBCO[I/N@VBQ`<_@DLSOQ>3^KLFP@6<2]BBJQM_01\MJDRN&CIYK?:;VKMCZDX9:UBCO[I/N@VBQ`<_@DLSOQ>4^KLFP@6<2]BBJQM_06\MJDRN&CIYK?:;VKMCZDX9=UBCO[I/N@VBQ`<_@DLSOQ>5^KLFP@6<2]BBJQM_07\MJDRN&CIYK?:;VKMCZDX9_HMAQC743^CEKRLP1^KLFP@(AK_M=95XIOE\FZ7XAFH^J"AMUGVf?ROIOVHT>RG@BTD27>QNFNUIS?QFOCWE+LDRN8>0[D@H_C]1[LIE]O%DNXH[e:UJJBYEW:UBCO[I129TMKAXJV9TEBLZF.KAQC733^CEKRLP3^KLFP@(GK_MXh5XIOE\FZ2XAFH^J<=4WHLD[GY3W@EIYK!FBTD20>QNFNUIS9QFOCWE+JDRN]o0[D@H_C]6[LIE]O;87ZGAG^@\1ZOHJ\L$EO[I159TMKAXJV?TEBLZF.MAQCRb3^CEKRLP6^KLFP@6;2]BBJQM_7]JKGSA'@H^J<:4WHLD[GY1W@EIYK!@BTDWa>QNFNUIS:QFOCWE56=PAGMTNR9PIN@VB*OE]O;?7ZGAG^@\3ZOHJ\L$CO[ITd9TMKAXJV2TEBLZF018SLH@WKU3SDAMUG-JFP@6<2]BBJQM_9]JKGSA'FH^JYk4WHLD[GY>W@EIYK?<;VKMCZDX1VCDNXH ICWE51=PAGMTNR7PIN@VB*IE]O^27ZGAG^A?4;g<_@DLSN2>0?c8SLH@WJ6:=3o4WHLD[F:6:7k0[D@H_B>27;g<_@DLSN2>4?a8SLH@WJ6:97>1a:UJJBYD48?556YFNF]@848>3^CEKRM32?;8SLH@WJ68245XIOE\G92912]BBJQL<4<:?ROIOVI7:374WHLD[F:0601\ECIPC=:==>QNFNUH040j;VKMCZEX8VCDNXH>3:UJJBYDW9UBCO[I/H@VB42<_@DLSNQ?_HMAQC)HJ\L_j6YFNF]@[46XAFH^J<:4WHLD[FY68VCDNXH ICWE50=PAGMTOR??_HMAQC)HJ\L_j6YFNF]@[47XAFH^J<:4WHLD[FY69VCDNXH ICWE50=PAGMTOR?>_HMAQC)HJ\L_j6YFNF]@[44XAFH^J<:4WHLD[FY6:VCDNXH ICWE50=PAGMTOR?=_HMAQC)HJ\L_j6YFNF]@[45XAFH^J<:4WHLD[FY6;VCDNXH ICWE50=PAGMTOR?<_HMAQC)HJ\L_j6YFNF]@[42XAFH^J<:4WHLD[FY65XIOE\GZ7XAFH^J"GMUG37?ROIOVIT=RG@BTD,KGSA\l1\ECIPC^0\MJDRN890[D@H_B]1[LIE]O%BNXH>4:UJJBYDW;UBCO[I/N@VBQc<_@DLSNQ<_HMAQC743^CEKRMP3^KLFP@(AK_M=95XIOE\GZ5XAFH^J"AMUGVf?ROIOVIT8RG@BTD27>QNFNUHS9QFOCWE+LDRN8>0[D@H_B]7[LIE]O%DNXH[e:UJJBYDWQNFNUHS;QFOCWE+JDRN]o0[D@H_B]4[LIE]O;87ZGAG^A\3ZOHJ\L$EO[I159TMKAXKV=TEBLZF.MAQCRb3^CEKRMP8^KLFP@6;2]BBJQL_9]JKGSA'@H^J<:4WHLD[FY?W@EIYK!@BTDWa>QNFNUHS4QFOCWE56=PAGMTOR7PIN@VB*OE]O;?7ZGAG^A\=ZOHJ\L$CO[ITc9TVLRBWOCY_Ym4WSKWAZKHLLUJo6Y]IUG\IJBBWK;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@90TB\:;YMQG\05g9[WQKFK[ET^LCP173:?]USWHN]MCMJD^OBGWIX9VG^@Y?6;YQW[DBQIGINHRCNCSM\6ZKRD]8o7U][_@FUEKEBLVGJO_APABAV[GGTAJUFMN\@_@FGWPDXNO;m7U][_@FUEKEBLVGJO_APABAV[HgclVLM=k5WSU]B@SGIKLNTALM]O^C@GPYJ{|hTJK94XRV\CKB03QY_S[ML8:Z\VDKC9?20TR\NMR352>^cjVCoi6Vkh^AlvwDkgjaxi6Vkh^AlvwOi~lx==5Wdi]@kwtIm}}d~f}l;Yfk[FiuzYce<94Xej\IdvDg{xH`d~eeVqevn602RodRCnpBmqvFii{}kexY|nsi30?]boWDk{Ob|}MdpdaaRh9>1SheQBaqAlvwTbef|nhY|nsi`8\anXX{cfEivm;Yfk[Utne@d}o6Vkh^RqmhR~xll0TifPPsknR`ttafd<7Ujg_Ucdf>^ceVHbbigag028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh43Qy;6V|t^Ao5==_{}UH`<>7;Yqw[Fj6911SyQLl00;?]usWJf:?55Wsu]@h42?3QySNb>599[wqYDd8<37U}{_Bn23==_{}UH`<67;Yqw[Fj61>1SyQLl3:8\vrXKe8;46V|t^Ao64>^t|VIg>864Xrv\Gi4102RxxRMc26:8\vrXKe8346V|t^Ao6<155Wsu]@h65?3QySNb<499[wqYDd:?37U}{_Bn02==_{}UH`>97;Yqw[Fj4011SyQLl2;4?]usWJf?46V|t^Ao05>8:ZppZEk<;20T~zPCm60<>^t|VIg8964Xrv\Gi2202RxxRMc47:8\vrXKe><46V|t^Ao0=1?:Qfnw316>YXWQFEARQP113\[Zukg};8#R`?561\mkp6:;1TSRVCNL]\[465WVUx`bz>3.]m40>0W`d}=?<4_^][HKKXWV;;?RQPsmmw56)Xf9?95Rgav006?ZYXPEDFSRQ>05]\[vrage$eb!Pn13:3Zoi~89?7RQPXMLN[ZY683VUTTA@B_^]242YXWdofml Mlw{[v6XkUhunQ`100a?ZYXPEDFSRQ>09]\[vrage$ob|j/^l26<4Xag|?S^Y>289\[Z^KFDUTS<>6_^]pvdkXagy#nz}l.]m4=73414:>n5P_^ZOJHYXW8;;SRQ|r`o\mkus'j~y`"Qa0732[lhq:8;?>6QP_YNMIZYX98;TSR}cou30+HtfeVMEHR<<3^m\TRTX:8;UDYY=>429\[Z^KFDUTS6QP_YNMIZYX98>TSR}cou30+HtfeVMEHR<<3^m\TRTX:8;UDYY9>3e9\[Z^KFDUTS3e9\[Z^KFDUTS289\[Z^KFDUTS414:8o5P_^ZOJHYXW8;3SRQ|lnv20*unl&ybhRx`ibg\5*Kj}qUGJ^\T23_\CKBX::3TcRczx^35[JSS98>i7RQPXMLN[ZY690UTS~b`t06,wlb({`nTzbgle^0,IhsWELX^V<=]^EM@Z441VeTaxvP17]LQQ764.qj`*unlV|denkP3.Onq}YKNZXP>?SPGOF\66?XgVg~tR?9_NWW54233VUTTA@B_^]264YXWzfdx<: shf,wlbX~fchiR? rdqfpZci~ViexRmce`p\KWY498Ud=9:4_^][HKKXWV;9>RQPsmmw51)tam%xeiQyohaf[7)umzoSh`y_blw[fjbi{UD^R=>1^m201=XWVRGB@QP_000[ZYtdf~:8"}fd.qj`ZphajoT?"|jsdv\akpXkg~Toaknr^MQ[676Wf;8>6QP_YNMIZYX9;>TSR}cou37+voc'zcoS{afcd]2+Zh7;::Tecx>339\[Z^KFDUTS<<:_^]phjr6<&ybh"}fd^tlmfcX:&Ue<>=?_hlu564!Pn1104Zoi~88i7RQPXMLN[ZY6:>UTS~ghm`ffhqgXagy#R`?214\mkp6:>1TSRVCNL]\[44?WVUh~n?9_hlpp*Yi889>Sd`y1011?ZYXPEDFSRQ>28]\[qojm{yTec}{/uknaw7Xagy#z|ftd]`jq74?2UTSUBAM^]\566XWV~bah||_hlpp*rnelx;Sd`|t.uqmqcXkg~Tecx>13d8[ZY_DGGTSR?<1^]\~usnff%hck Mlw{[iurWmkmR}jl331b>YXWQFEARQP120\[Z|w}`dd#na}e.Onq}Yk{|UomyoPsdn05625P_^ZOJHYXW89?SRQ{ilgqwZoi{}%e`k}1^kmwq)Xf9;??Rgav010?ZYXPEDFSRQ>34]\[qojm{yTec}{/uknaw7Xagy#R`?142\mkp6:?1TSRVCNL]\[451WVUl|daPioqw+Zh6192Tecx912c8[ZY_DGGTSR?<7^]\wiis9=%xei!ndepX0XY@FMU9?8Q`_lw{[01XG\^7:3=n;^]\\IHJWVU:?5QP_rnlp42({`n$mij}[5_\CKBX::?TcRczx^74[JSS4=48m6QP_YNMIZYX9:3TSR}cou37+voc'hno~V:R_FLG[752WfUfyuQ:7^MVP949;h1TSRVCNL]\[427WVUx`bz>4.qj`*gcl{Q?QRIAD^001ZiXe|rT9:Q@UU>3:71Ubb{?=a:]\[]JIEVUT=9l5P_^ZOJHYXW8>8SRQuptkmk*ehzl%FaxvPw^vlw4639;=0SRQWLOO\[Z73?45305>YXWQFEARQP157\[Z|w}`dd#na}e.Onq}YpW`dBbyk}rtrw5475j2UTSUBAM^]\510XWVp{yd``/bmqa*Yi99:8Sd`y1^QT41g064?ZYXPEDFSRQ>49]\[vjh|8;$~uQfnrv,fdscold$KCJP172\kZei|R;>QRk~u`n\5Zi;9<4:>:5P_^ZOJHYXW8>2SRQlrb35[lht|&Ue<<98_hlu544a3VUTTA@B_^]215YXWzfdx4.qj`*unlV|denkP1.OqehYCmjUyiaU<]^RTVZ45?:Po^uj``Yj}qUTcRyfdd]nq}Y11VE^X1468[ZY_DGGTSR?:5^]\wiis9=%xei!|ie]uklebW8%F~lcPAuv\``e\?TU[[_Q=25]l[rocmVg~tR86_NWW5746==1TSRVCNL]\[431WVUx`bz>4.qj`*unlV|denkP2.OqehYF|}UoinU8]^RTVZ455RQPsmmw51)tam%xeiQyohaf[6)JzhgTMyzPddaX3XYW_[U9>9Q`_vkgaZkrpV<2SB[[130217=XWVRGB@QP_043[ZYtdf~:8"}fd.qj`ZphajoT="C}al]GafYumeQ8QR^XR^010ZiX`nnS`{w_61\KPR39<80SRQWLOO\[Z719VUTaa{15-pma)tamU}cdmj_0-NvdkXLliT~hbT3\]SSWY5:=UdSzgke^ov|Z14WF__9<;=;^]\\IHJWVU::?QP_rnlp42({`n$djPvnk`aZ7(E{kfSIkl_sgo_6[XX^XT>?:Po^uj``Yj}qUYXWQFEARQP171\[Zukg};?#~gk/rkg[sinklU:#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ779<80SRQWLOO\[Z71?:Po^uj``Yj}qUYXWQFEARQP174\[Zukg};?#~gk/rkg[sinklU9#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ36=;1TSRVCNL]\[400WVUx`bz>4.qj`*unlV|denkP2.OqehYCmjUyiaU<]^RTVZ459Q`_vkgaZkrpV=8SB[[11366>YXWQFEARQP17;\[Zukg};?#~gk/rkg[sinklU9#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ75=;1TSRVCNL]\[417WVUx`bz>4.qj`*unlV|denkP3.OqehYCmjUyiaU<]^RTVZ459Q`_vkgaZkrpV=8SB[[5071?ZYXPEDFSRQ>73]\[vjh|8>$dj shf\rjodmV9$Aob_Eg`[wckS:WT\Z\P236\kZqnllUfyuQ83^MVP=72;2UTSUBAM^]\525XWVygcy?;/rkg+vocWebohQ9Q`_vkgaZkrpV=8SB[[1371?ZYXPEDFSRQ>74]\[vjh|8>$dj shf\rjodmV;$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP372:2UTSUBAM^]\520XWVygcy?;/rkg+vocWebohQ>/LpbiZGs|VnnoV9R_QUQ[743WfU|eikPmtz\2:9?5P_^ZOJHYXW8=>6QP_YNMIZYX91:TSR}cou37+voc'zcoS{afcd]1+HtfeVKxRjjcZ5^[UQUW;8?SbQxieg\ip~X>0UDYY:>539\[Z^KFDUTS<6>_^]phjr6<&ybh"}fd^tlmfcX:&Gym`QNtu]gaf]0UVZ\^R<=4^m\slbbWdsS;7POTV4504TcRyfdd]nq}Y11VE^X;?:2:]\[]JIEVUT=5:P_^qokq73'zco#~gk_wmjg`Y4'DxjaRO{t^ffg^1ZWY]YS?<;_n]tmacXe|rT:4Q@UU6217=XWVRGB@QP_0:6[ZYtdf~:8"}fd.qj`ZphajoT?"C}al]BpqYcmjQVUTaa{15-pma)tamU}cdmj_2-NvdkXI}~ThhmT7\]SSWY5:=UdSzgke^ov|Z0>WF__9<:j;^]\\IHJWVU:4:QP_tcqpZoi{}%tRm`rd-Nip~X\qZycn miurlw^5ZWNDOS<9<_n]nq}Y6;VE^XQ`_lw{[45XG\^:=9:4_^][HKKXWV;35RQPu`pw[lht|&xsSd`|t.p{is`nd}oy#@m`uov\gjsi|V{Ttb|31?3204=XWVRGB@QP_0;3[ZYtdf~:="|w_hlpp*telb`yk}/LalqkrXkfexRPxnp?5;76;l1TSRVCNL]\[4?6WVUq|xgao.alv`)dijbn#@m`uov\kc`df}U|~dzj_ymq86869:i0SRQWLOO\[Z7>:VUTaa{1.xptlekc&MEHR?:5^m\CKBX95P_^ZOJHYXW833SRQzasv\mkus'}rTxdcjr.EM@Z718VeToczT14_\atsfdV;Tc1?:>00f?ZYXPEDFSRQ>98]\[pgu|Vcey!{x^alv`)Xf9;95Rgav037a>YXWQFEARQP212\[Z|w}`dd#na}e.Onq}YwzllyS~~aeyvX57[X{yUgcljT13_\ip~X91?TCXZ;_RU305=XWVRGB@QP_322[ZYtdf~:="zw_uknaw)@FMU::=Q`_blw_43ZWl{~maQ>_n>21;75j2UTSUBAM^]\654XWVygcy?>/uz\gjtb'Vd;=?7Piot251e76]LQQ:683:5Snw38?3260=XWVRGB@QP_327[ZY}x|cec"g`/^l35<5Xag|:8n5P_^ZOJHYXW;:>SRQ|lnv20*unl&ybhRx`ibg\5*Kj}qUGJ^\T23_\CKBX::3TcRczx^35[JSS9;;?o6QP_YNMIZYX:9h7RQPXMLN[ZY58>UTS~b`t06,wlb({`nTzbgle^3,IhsWELX^V<=]^EM@Z441VeTaxvP17]LQQ719=i0SRQWLOO\[Z470VUTaa{15-pma)tamU}cdmj_0-Nip~XDOYYW?WfUfyuQ>6^MVP4>6WVUx`bz>4.qj`*unlV|denkP1.Onq}YKNZXP>?SPGOF\66?XgVg~tR?9_NWW6473k2UTSUBAM^]\646XWVygcy?;/rkg+vocWebohQ>/Lov|ZJA[[Q9>PQHNE]17"Cbuy]OBVT\:;WTKCJP22;\kZkrpV;=SB[[1737g>YXWQFEARQP206\[Zukg};?#~gk/rkg[sinklU9#@czx^NEWW]5:TULBIQ=38]l[hsW8806`?ZYXPEDFSRQ=14]\[vjh|8>$dj shf\rjodmV8$A`{w_MDPV^45UVMEHR<<9^m\ip~X9?UDYY<>15a8[ZY_DGGTSR<>6^]\wiis9=%xei!|ie]uklebW;%FaxvPLGQQ_74ZWNDOS?=6_n]nq}Y6>VE^X?=>4b9\[Z^KFDUTS??8_^]phjr6<&ybh"}fd^tlmfcX;&GfyuQCFRPX67[XOGNT>>7Po^ov|Z71WF__=??;c:]\[]JIEVUT><6P_^qokq73'zco#~gk_wmjg`Y4'Dg~tRBISSY16XY@FMU9?4Q`_lw{[40XG\^:8<:l;^]\\IHJWVU9=4QP_rnlp42({`n$djPvnk`aZ5(EdsSAH\RZ01YZAILV885RaPmtz\53YH]];==9m4_^][HKKXWV89i7RQPXMLN[ZY5::UTS~b`t06,wlb({`nTzbgle^3,IhsWELX^V<=]^EM@Z441VeTaxvP17]LQQ564.qj`*unlV|denkP1.Onq}YKNZXP>?SPGOF\66?XgVg~tR?9_NWW142e3VUTTA@B_^]160YXWzfdx<: shf,wlbX~fchiR? Mlw{[I@TZR89QRIAD^00=ZiXe|rT=;Q@UU520g=XWVRGB@QP_305[ZYtdf~:8"}fd.qj`ZphajoT="Cbuy]OBVT\:;WTKCJP22;\kZkrpV;=SB[[906a?ZYXPEDFSRQ=26]\[vjh|8>$dj shf\rjodmV8$A`{w_MDPV^45UVMEHR<<9^m\ip~X9?UDYY=>4c9\[Z^KFDUTS?<7_^]phjr6<&ybh"}fd^tlmfcX:&GfyuQCFRPX67[XOGNT>>7Po^ov|Z71WF__9<:m;^]\\IHJWVU9>4QP_rnlp42({`n$djPvnk`aZ4(EdsSAH\RZ01YZAILV885RaPmtz\53YH]]=:8o5P_^ZOJHYXW;9;SRQ|lnv20*unl&ybhRx`ibg\6*Kj}qUGJ^\T23_\CKBX::3TcRczx^35[JSS18>i7RQPXMLN[ZY5;8UTS~b`t06,wlb({`nTzbgle^1,IhsWELX^V<=]^EM@Z441VeTaxvP17]LQQ564.qj`*unlV|denkP3.Onq}YKNZXP>?SPGOF\66?XgVg~tR?9_NWW142e3VUTTA@B_^]176YXWzfdx<: shf,wlbX~fchiR= Mlw{[I@TZR89QRIAD^00=ZiXe|rT=;Q@UU520g=XWVRGB@QP_317[ZYtdf~:8"}fd.qj`ZphajoT?"Cbuy]OBVT\:;WTKCJP22;\kZkrpV;=SB[[9066?ZYXPEDFSRQ=34]\[pgu|Vcey!{x^vji`t(EdsSn`{[07^[firf}Q:9PQbuy]7[JSS18>>7RQPXMLN[ZY5;?UTSxo}t^kmwq)spV~bah| Mlw{[fhsS8?VSnaznuY21XYj}qU?SB[[1061?ZYXPEDFSRQ=36]\[vjh|8;$xuQ{ilgq+HkrpViexV?:]^alqkr\9XWVygcy?>/uz\plkbz&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]];:8<5P_^ZOJHYXW;92SRQ|lnv27*Kj}qU{~hjfvblw_67ZWNDOS?=<_n]nq}Y0:VE^X?7>409\[Z^KFDUTS?:?_^]phjr6;&GfyuQrdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT23204=XWVRGB@QP_362[ZYtdf~:?"Cbuy]sv`bn~jdW>?R_FLG[754WfUfyuQ82^MVP466<81TSRVCNL]\[725WVUx`bz>3.Onq}Ywzlnbzn`{[23^[BHCW;98SbQbuy]46ZIR\;<:8<5P_^ZOJHYXW;>8SRQ|lnv27*Kj}qU{~hjfvblw_67ZWNDOS?=<_n]nq}Y0:VE^X?>>409\[Z^KFDUTS?:;_^]phjr6;&GfyuQrdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT01204=XWVRGB@QP_366[ZYtdf~:?"Cbuy]sv`bn~jdW>?R_FLG[754WfUfyuQ82^MVP436<81TSRVCNL]\[721WVUx`bz>3.Onq}Ywzlnbzn`{[23^[BHCW;98SbQbuy]46ZIR\8=:8<5P_^ZOJHYXW;>409\[Z^KFDUTS?:7_^]phjr6;&GfyuQrdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT30204=XWVRGB@QP_36:[ZYtdf~:?"Cbuy]sv`bn~jdW>?R_FLG[754WfUfyuQ82^MVP736<91TSRVCNL]\[737WVUx`bz>3.Onq}Ywzlnbzn`{[23^[BHCW;98SbQbuy]46ZIR\;;?<6QP_YNMIZYX:<;TSR}cou30+HkrpVzyiigycovX74[XOGNT>>=Po^ov|Z15WF__8<:?;^]\\IHJWVU99?QP_rnlp45(EdsS}|jdht`jq]49TULBIQ=32]l[hsW>8TCXZ91528[ZY_DGGTSR<:3^]\wiis9:%FaxvPpsggmsei|R9:QRIAD^007ZiXe|rT;?Q@UU5205=XWVRGB@QP_377[ZYtdf~:?"Cbuy]sv`bn~jdW>?R_FLG[754WfUfyuQ82^MVP<7502UTSUBAM^]\603XWVp{yd``/bmqa*Yi99:8Sd`y2004?ZYXPEDFSRQ=57]\[vjh|Vkohk|s=2=8709W>82>:5P_^ZOJHYXW;?269\[Z^KFDUTS?8?_^]phjr6<&ybh"Qa041;[lhq98>>7RQPXMLN[ZY5>8UTSw~ziom,gjtb'Dg~tRjjcs]ppdrbS8WThhm}_cpfenYJ}qU:9?Q`101;?ZYXPEDFSRQ=63]\[vrage$ob|j/bc`l`)t|h~nSK]B_GDg7(Oi=VY\Sd`y1016?ZYXPEDFSRQ=65]\[qojm{yTec}{/uknaw6Xagy#@czx^u\qubw988h7RQPXMLN[ZY5>VUTxdcjrr]jjvr(EdsSzlPd`v\k726:j1TSRVCNL]\[700WVUe`k}s^kmwq)Je|rT{oQkau]l6475k2UTSUBAM^]\63>XWV~bah||_hlpp*Kj}qU|nRjnt^m2<44d3VUTTA@B_^]12YXWQFEARQP260\[ZrnelxxSd`|t.Onq}YpjVnjxRa>000`?ZYXPEDFSRQ=72]\[vrage$ob|j/Lov|ZqX|fy:<4?>13c8[ZY_DGGTSR<84^]\~usnff%hck Mlw{[rYsgz;>=<<<;^]\\IHJWVU9;8QP_rnlp5)Xf9:2>Rgav33266=XWVRGB@QP_355[ZYtdf~:#R`?080\mkp5988=7RQPXMLN[ZY5?>UTSw~ziom,gjtb'Dg~tR``s6312>YXWQFEARQP26:\[Z|w}`dd#na}e.Onq}Yigz<:>;5P_^ZOJHYXW;=2SRQuptkmk*ehzl%FaxvPnnq65715?P_^xsqlhh'jeyi"Cbuy]mkv?6:>1TSRVCNL]\[7>5WVUq|xgao.alv`)Je|rTbb}>5004?ZYXPEDFSRQ=82]\[vrage$ob|j/Lov|Zhh{8>:>:5P_^ZOJHYXW;2?SRQuptkmk*ehzl%FaxvPnnq274553VUTTA@B_^]1<0YXWzxjaRgasu-`pwj(zz~jxhQISL]EBa7*Ag;9h6QP_YNMIZYX:12408[ZY_DGGTSR<60^]\wiis9=%xei!|ie]uklebW;%F~lcPAuv\``e\?TU[[_Q=25]l[rocmVg~tR86_NWW57353VUTTA@B_^]1=4YXWzfdx<: shf,wlbX~fchiR= Mscn[DrsWmohW:SPPVP\672XgV}bhhQbuy]5=ZIR\88><6QP_YNMIZYX:08TSR}cou37+voc'zcoS{afcd]2+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\5;:2<;?;^]\\IHJWVU95>QP_rnlp42({`n$djPvnk`aZ4(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__0;:42a3VUTTA@B_^]1=3YXWzfdx<: shf,wlbX~fchiR? P@]NWGYnfVkhoxczl`vlv^44UVg~tR?=_NWW83864.qj`*unlV|denkP1.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:368>m7RQPXMLN[ZY511UTS~b`t06,wlb({`nTzbgle^3,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS4;4:8k5P_^ZOJHYXW;32SRQ|lnv20*unl&ybhRx`ibg\5*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]6;2<:i;^]\\IHJWVU8<=QP_rnlp42({`n$djPvnk`aZ4(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__050>4g9\[Z^KFDUTS>>>_^]phjr6<&ybh"}fd^tlmfcX:&ZJS@]M_hl\efere|fjxb|T22_\ip~X9;UDYY29>06e?ZYXPEDFSRQ<03]\[vjh|8>$dj shf\rjodmV8$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<5<20c=XWVRGB@QP_220[ZYtdf~:8"}fd.qj`ZphajoT>"^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>1:42a3VUTTA@B_^]041YXWzfdx<: shf,wlbX~fchiR< P@]NWGYnfVkhoxczl`vlv^44UVg~tR?=_NWW85864.qj`*unlV|denkP3.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:?68>m7RQPXMLN[ZY48?UTS~b`t06,wlb({`nTzbgle^1,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS4?4:8k5P_^ZOJHYXW::>SPmtz\57YH]]6?2<:i;^]\\IHJWVU8<5QP_rnlp42({`n$djPvnk`aZ5(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__0?0>4g9\[Z^KFDUTS>>6_^]phjr6<&ybh"}fd^tlmfcX;&ZJS@]M_hl\efere|fjxb|T22_\ip~X9;UDYY2?>06`?ZYXPEDFSRQ<11]\[pgu|Vcey!}x^kmwq)ei|nlic!Bmtz\gkr\9TCXZ615a8[ZY_DGGTSR=>1^]\qdtsW`dxx"|w_hlpp*df}mmnb"Cbuy]`jq]6=TUhcx`{[07^[hsW=UDYY?>489\[Z^KFDUTS>?=_^]phjr69&xsSd`|t.`bqaabf&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]]2:8l5P_^ZOJHYXW:;8SRQ|lnv25*tW`dxx"lnueefj*Kj}qUhbyU>5\]`kphsS8?VS`{w_5]LQQ779=k0SRQWLOO\[Z56YXWQFEARQP304\[Zukg};?#~gk/rkg[sinklU:#y|faoeoa^5ZWgebhU<]^MQ[7>3WfUX[<:7;^]\\IHJWVU8=:QP_rnlp42({`n$djPvnk`aZ7(|{cjbjbj[5_\jjotmR>VSB\P293\kZUP9=20SRQWLOO\[Z560VUTaa{15-pma)tamU}cdmj_0-wvlgioeoP:PQaohqf_3[XG[U9;8Q`_RU20==XWVRGB@QP_23:[ZYtdf~:8"}fd.qj`ZphajoT="z}i`ldh`]?UVdde~kT8\]LVZ411VeT_Z?;a:]\[]JIEVUT??>P_^qokq73'zco#~gk_wmjg`Y6'}xbmciceZ32YZhhazoP=VScafsdY7YZIUW;2:SbQ\W06;?ZYXPEDFSRQ<22]\[vjh|8>$dj shf\rjodmV8$xgnnfnf_3[XffcxiV8R_NP\623XgVY\=964_^][HKKXWV998RQPsmmw51)tam%xeiQyohaf[7)sz`kekakT8\]mklubS1WTC_Q=68]l[VQ64.qj`*unlV|denkP2.vqmdh`dlQ:=PQaohqf_47ZWFXT>;>Po^QT51>!{rhcmcic\;TUecd}j[2_\KWY50=UdS^Y>4`9\[Z^KFDUTS><8_^]phjr6<&ybh"}fd^tlmfcX;&~yel`hldY24XYig`ynW<>R_NP\635XgVY\=9o4_^][HKKXWV994RQPsheneack|hUbb~z Mlw{[agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW50YT_9>37RQPXMLN[ZY4:0UTS~b`t06,wlb({`nTzbgle^1,pwoffnfnW9SPnnkpa^2ZWFXT>5?Po^QT51>!{rhcmcic\>TUecd}j[7_\KWY5?499\[Z^KFDUTS>=>_^]phjr6<&ybh"}fd^tlmfcX;&~yel`hldY;YZhhazoP4PQ@R^05=ZiX[^;?m6QP_YNMIZYX;:8TSR}cou37+voc'zcoS{afcd]0+qtnigmgiV?>]^llmvc\98WTC_Q=61]l[VQ6<01TSRVCNL]\[654WVUxejcnddnweZoi{}%FaxvPd`v\u4]6?TULBIQ>94]l[hsW8?TCXZ<_RU30<=XWVRGB@QP_217[ZYtangjhhb{a^kmwq)Je|rThlzPq0Y23XY@FMU:58Q`_lw{[43XG\^?S^Y?489\[Z^KFDUTS>=:_^]pmbkfllfmRgasu-Nip~Xlh~T}7\]DJAY6194]l[hsW8?TCXZ6_RU30<=XWVRGB@QP_21;[ZYtangjhhb{a^kmwq)Je|rThlzPq0Y23XY@FMU:58Q`_lw{[43XG\^3S^Y?3c9\[Z^KFDUTS>=6_^]phjr69&lbjbQfnrvbjfc(Eje~byQ}d`fgvZ~hz585=<=m;^]\\IHJWVU88=QP_rnlp47(n`ldSd`|t`l`a*Kdg|dSz|ndep\|jt;:7;:?o5P_^ZOJHYXW:>:SRQzasv\mkus'{rTec}{/LalqkrXlh~job{at^zlv94998937RQPXMLN[ZY4<;UTS~b`t03,v}Ynfz~$Anaznu]geqgdg|dSua}<3<257gUTSw~ziom,gjtb'Dg~tRyPtnq0=446:k1TSRVCNL]\[62?WVUh~n?9_hlpp*Kdg|dSnaznu]r54553VUTTA@B_^]007;9m6QP_YNMIZYX;<:TSRtuhll+fium&GfyuQx_ump5721:k1TSRVCNL]\[636WVUq|xgao.alv`)Je|rT{Rz`s022554c3VUTTA@B_^]017YXWsz~eca cnpf+HkrpV}Txb}>346254373VUTTA@B_^]016YXWzfdx<: shf,wlbX~fchiR? eot\gjsi|lxP=:SPGOF\66?XgVozylbP22]l8419;<:0SRQWLOO\[Z527\]DJAY5;0UdShzam]17Zi;9>48995P_^ZOJHYXW:?>SRQ|lnv20*unl&ybhRx`ibg\5*AILV885RaPdhtj``tXkfexh|T16_\atsfdV?:Sb2>7?660>YXWQFEARQP344\[Zukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQlotlwaw]6?TUn}xoc_43\k9706=??7RQPXMLN[ZY4=>UTS~b`t06,wlb({`nTzbgle^1,CKBX::3TcRjfvhffvZeh}g~n~V?8]^grqdjX=8Ud0<9143c8[ZY_DGGTSR=:8^]\~usnff%hck Mlw{[rYsgz8;=<<6;^]\\IHJWVU894QP_rpbiZoi{}%hxb n^`bjn:6688i7RQPXMLN[ZY4>9UTSw~ziom,gjtb'Dg~tRyPtnq0=456:k1TSRVCNL]\[606WVUq|xgao.alv`)Je|rT{Rz`s2;214263VUTTA@B_^]027YXWsz~eca cnpf+fgd`l%FaxvPsucwa^4ZWQU98RaPMtz\62Yh988=7RQPXMLN[ZY4>:UTS~b`t06,wlb(Wg:>9?Qfnw31b>YXWQFEARQP376\[ZuuidUbb~z cupo+Zh7<<>Tecx>5^QT471$dj _o267=Ynf8>h6QP_YNMIZYX;?2TSRtuhll+fium&GfyuQkebp\wqgdcFzn~lzfooY2YZvumoxT}`jxuY26XYpamoTaxvP16;\KPR69;;946QP_YNMIZYX;?3TSRtuhll+fium&Ue=?7=_hlu54453VUTTA@B_^]035YXWzfdx<= _o2653Ynf;8o6QP_YNMIZYX;>;TSR}cou30+HkrpVMEHR<<3^m\jjotmVnbzRCZX^3729TSRtuhll+fium&GfyuQ|t`ah[dYflmx:<>?=2:]\[]JIEVUT?::P_^qokq73'zco#R`?434207=XWVRGB@QP_256[ZYdzj;=Sd`|t.Onq}YwgesT}V?:]^RTVZ47:VeTaxvP23]LQQ739=80SRQWLOO\[Z50>VUTom>6^kmwq)Je|rT|bbv_pY21XYW_[U9<:=;^]\\IHJWVU8;:QP_bp`53Ynfz~$A`{w_qmo}Zw\9YXWQFEARQP36:\[Zeuk8=_n]nq}Y5:VE^X>?;1:]\[]JIEVUT?5?P_^aqg40Xagy#@czx^rlh|YvS8?VS]Y]_321[jYj}qU9>RAZT050a>YXWQFEARQP390\[Zukg};8#@czx^EM@Z44;VeTKCJP221\kZkrpV;;?RAZT0227a=XWVRGB@QP_2:0[ZYtdf~:?"Cbuy]DJAY5;:UdSJ@K_310[jYj}qU:<>Q@UU627a=XWVRGB@QP_2:7[ZYtdf~:8"}fd.qj`ZphajoT="mzr^qweqcXNZGTJKj=-Hl17a=XWVRGB@QP_2:6[ZYtdf~:8"}fd.qj`ZphajoT>"mzr^qweqcXNZGTJKj=-Hl17a=XWVRGB@QP_2:5[ZYtdf~:8"}fd.qj`ZphajoT?"mzr^qweqcXNZGTJKj>-Hl06<=XWVRGB@QP_2:4[ZY}x|cec"m`rd-Nip~XV~d?;;2b9\[Z^KFDUTS>67_^]ytpoig&id~h!Bmtz\sZrh{89>;9?=b:]\[]JIEVUT?57P_^xsqlhh'jeyi"b|u.]m4536W`d}=?k4_^][HKKXWV922c9\[Z^KFDUTS>7=_^]phjr69&~sSygbes-\j5658Vcez<<:;^]\\IHJWVU85>QP_{rvmki(af%Tb=<=4_^][HKKXWV92;RQPsscn[lht|&i~a!Bmtz\jZtaWje~byk}10307>YXWQFEARQP38:\[ZuuidUbb~z cupo+HkrpVdT~kQlotlwaw749:90SRQWLOO\[Z5>1VUTob_hlpp*esze%FaxvPn^pe[firf}oy=8?<3:]\[]JIEVUT8=>P_^qqehYnfz~$oy|c/Lov|ZhXzoUhcx`{es345651218[ZY_DGGTSR:?3^]\wwgjW`dxx"m{rm-Nip~XfVxmSnaznugq6674;2UTSUBAM^]\052XWVyym`Qfnrv,gqtk'Dg~tR`Prg]`kphsm{8>=>=4_^][HKKXWV>;9RQPsscn[lht|&i~a!Bmtz\jZtaWje~byk}26307>YXWQFEARQP414\[ZuuidUbb~z cupo+HkrpVdT~kQlotlwaw4>9:90SRQWLOO\[Z27?VUTob_hlpp*esze%FaxvPn^pe[firf}oy?YXWQFEARQP400\[ZuuidUbb~z cupo+HkrpVdT~kQlotlwaw559:>0SRQWLOO\[Z26;VUTxdcjrr]jjvr(|`gn~=Qfnrv,[k66<>;=T_Z><0:]\[]JIEVUT8?>P_^xsqlhh'jeyi"jjcs]ptJ`a{l~T=Rjou04?ZYXPEDFSRQ;20]\[vrage$ob|j/Lov|Zhh{;8:>:5P_^ZOJHYXW=89SRQuptkmk*ehzl%FaxvPnnq224403VUTTA@B_^]766YXWsz~eca cnpf+HkrpVdd??>269\[Z^KFDUTS9<;_^]ytpoig&id~h!Bmtz\jju5888<7RQPXMLN[ZY3::QP_{rvmki(kfxn#@czx^llw416:>1TSRVCNL]\[14?WVUq|xgao.alv`)Je|rTbb}=401f?ZYXPEDFSRQ;28]\[vjh|89$A`{w_FLG[754WfULBIQ=32]l[hsW8:;SB[[1330`>YXWQFEARQP422\[Zukg};8#@czx^EM@Z44;VeTKCJP221\kZkrpV;;YXWQFEARQP423\[Zukg};8#@czx^EM@Z44;VeTKCJP221\kZkrpV;;YXWQFEARQP425\[Zukg};8#@czx^EM@Z44;VeTKCJP221\kZkrpV3YXWQFEARQP42:\[Zukg};8#@czx^EM@Z44;VeTKCJP221\kZkrpV3UDYY?>2`9\[Z^KFDUTS9:>_^]ytpoig&id~h!Bmtz\sZrh{<;:=?94_^][HKKXWV>?>RQPsmmw56)Je|rT{oQkau]l[=2402UTSUBAM^]\015XWVygcy? zrrjgim(OGNT=8;Po^aooZoXE\RT;:?Po01;?ZYXPEDFSRQ;45]\[vjh|9%q}gllj-DJAY6=?9RQPsmmw56)i893=?>64_^][HKKXWV>?:RQPu`pw[lht|&xsSd`|t.p{is`nd}oy#R`?035\mkp6;<1TSRVCNL]\[120WVUx`bz>1.p{[lht|&xsa{hflugq+Zh78;=Tecx>529\[Z^KFDUTS9:7_^]phjr6<&ybh"}fd^tlmfcX9&Gym`QKeb]qai]4UVZ\^R<=4^m\slbbWdsS:=POTV264343VUTTA@B_^]70SPPVP\672XgV}bhhQbuy]47ZIR\88:9>5P_^ZOJHYXW=?;SRQ|lnv20*unl&ybhRx`ibg\7*KuidUOinQ}emY0YZVPZV898RaPwhff[hsW>9TCXZ>2073?ZYXPEDFSRQ;50]\[vjh|8>$dj shf\rjodmV;$KCJP22;\kZci~VidyczjrZ34YZJb{z^cmcQ91^m05064.qj`*unlV|denkP3.EM@Z441VeTicxPcnwmp`t\9>WT@h}|Ticm[37Xg:;?n6QP_YNMIZYX<<>TSRtuhll+fium&GfyuQrddq[vvimq~P=?SPsq]okdb\9;WTaxvP197\KPR?::o0SRQWLOO\[Z22=VUTv}{fnn-`kwc(khici"Clotlw[j`akg~T{g{e^zlv979989j7RQPXMLN[ZY3=?UTSw~ziom,gjtb'jkhdh!BcnwmpZ`k}zbhbyQwos>2:474l2UTSUBAM^]\001XWVp{yd``/bmqa*efkao$Anaznu]ehpuokg~Ttb|36?3\WR6402UTSUBAM^]\00>XWVp{yd``/bmqa*efkao$Anaznu]lbcei|Vrd~1?11017?ZYXPEDFSRQ;58]\[vjh|89$Anaznu]mklubWnoeSn`{_ymq87869:;0SRQWLOO\[Z218VUTyl|{_hlpp*`nnfUbb~znnbg,ahvsqV|3=>:4_^][HKKXWV>==RQPsscn[lht|&i~a!}sucwaZ@TEVLMh4#Fn23075=XWVRGB@QP_541[ZYtdf~:#w}i.O`kphsWje~byQwos>2:47482UTSUBAM^]\035XWVygcy> zrrj+Heh}g~Tob{at^zlv979988n7RQPXMLN[ZY3>=UTS~|nm^kmwq)d|{f$A`{w_o]bgqYei8;8:6QP_YNMIZYXPdqmw73=XWVRGB@QP_545[ZY}x|cec"m`rd-gaftX|fyXcx|le^6\FWD6Wmzdx?o4_^][HKKXWV>=;RQPzqwjjj)dg{o$A`{w_v]wkv771<8j7RQPXMLN[ZY3>1UTSw~ziom,gjtb'Dg~tRyPtnq25:7=3?=7:]\[]JIEVUT8:?P_^qqehYnfz~$oy|c/qgm`lh`9:n0SRQWLOO\[Z20:VUTaa{10-emciXagymcmj/vp\swgcl{Q8QROAD^3531Yh9:k0SRQWLOO\[Z20;VUTv}{fnn-jk*oi|{Ubb~z m`qhaaYn~lix0<950?>0:4553VUTTA@B_^]731YXWzxjaRgasu-`pwj(zz~jxhQISL]EBa2*Ag>8<6QP_YNMIZYX<>?TSR}}al]jjvr(k}xg#@czx^l\eabumzy?><=7;^]\\IHJWVU?;;QP_lk{aw)df}Uoe{U>]^EM@Z43;VeTi|{nl^5\k9799;80SRQWLOO\[Z20?VUTaa{1.xptl)j}q;:<<<=;^]\\IHJWVU?;5QP_rnlp5)}{yc$axv>1131a>YXWQFEARQP46;\[Zukg};8#@m`uov\gkrXl`|Ttb|31?3267=XWVRGB@QP_5:3[ZYu{}mnb"Qa0102[lhq9:<0SRQWLOO\[Z2?9VUTv}{fnn-jk*Kj}qUbcR|jae]paicd|ln9;?Q\W104?ZYXPEDFSRQ;83]\[vrage$ob|j/Lov|Zhh{88:>:5P_^ZOJHYXW=28SRQuptkmk*ehzl%FaxvPnnq044403VUTTA@B_^]7<1YXWsz~eca cnpf+HkrpVdd?=>269\[Z^KFDUTS96:_^]ytpoig&id~h!Bmtz\jju4988<7RQPXMLN[ZY30?UTSw~ziom,gjtb'Dg~tR``s3726f=XWVRGB@QP_5:4[ZYsadoyRgasu-Nip~XkUomyQ`13100>YXWQFEARQP49:\[Z|w}`dd#na}e.Onq}Yt|hi`SlQndep247YT_9837RQPXMLN[ZY300UTSw~ziom,gjtb'Dg~tRyPtnq667dYXWQFEARQP483\[Z|w}`dd#na}e.ffgwYwkV8Th}a{2c9\[Z^KFDUTS97=_^]ytpoig&id~h!kebp\tfY60Vn{cyQP_{rvmki(kfxn#iklr^r`[40Xlye>o5P_^ZOJHYXW=3?SRQuptkmk*ehzl%oin|Ppb]20Zbwg}8i7RQPXMLN[ZY310^fskq4f3VUTTA@B_^]7=2YXWsz~eca cnpf+acdzVzhS5Qkpnv1e>YXWQFEARQP48:\[Z|w}`dd#na}e.ffgwYwkVTc697\kZoi~88i7RQPXMLN[ZY28=UTSw~ziom,gjtb'Dg~tRyPtnq20376:11TSRVCNL]\[062WVUfi`o}b.Onq}Yt8V}iSzkPo0375>YXWQFEARQP514\[Zukg};8#@czx^EM@Z44;VeTicxPvnnX0XYj}qU::2:44392UTSUBAM^]\151XWVygcy?60]LQQ:4688:i6QP_YNMIZYX=92TSR}cou30+Zh7P_^aqg40Xagy#@czx^fbpdYvS>WTKCJP184\kZkrpV8;SB[[4063?ZYXPEDFSRQ:10]\[ftd9?Ubb~z Mlw{[agsiV{P;PQHNE]2=3YhWdsS?>POTV1516>VeTaxvP21]LQQ76;;1TSRVCNL]\[074WVUq|xgao.kl+HkrpVcdSknd^qfh`esmm9:=>?4_^][HKKXWV?:8RQPzqwjjj)ng&GfyuQfo^pfeaYtmeohxhj71248[ZY_DGGTSR;>5^]\~usnff%hck ddaq[qit[fyohQ>_CPA6Zbwg}9=7RQPXMLN[ZY29?UTSw~ziom,gjtb'moh~Rz`sRmvvfcX:VHYN?Qkpnv02>YXWQFEARQP505\[Z|w}`dd#na}e.ffgwYsgzYdymj_2]AVG5Xlye?;5P_^ZOJHYXW<;3SRQuptkmk*ehzl%oin|PtnqPkptdmV>TN_L<_erlp60>=QP_{rvmki(kfxn#iklr^vlwVirzjoT2UTSUBAM^]\177XWVp{yd``/bmqa*bbk{UxxlmdOqgqeqohfV:Th}a{309\[Z^KFDUTS8<=_^]ytpoig&cd#@czx^kl[wcflVyn`hm{ee4274=XWVRGB@QP_400[ZY}x|cec"g`/Lov|ZohW{ojhR}jldawaa26;81TSRVCNL]\[043WVUq|xgao.kl+HkrpVcdSknd^qfh`esmm8:?85P_^ZOJHYXW<8>SRQuptkmk*ehzl%oin|Pddal``bHxjeoiR>Pdqmw6c=XWVRGB@QP_405[ZY}x|cec"m`rd-Nip~X{}khgRlPaefq043f3VUTTA@B_^]662YXW}cfi}Pioqw+qojm{;Tec}{/uoqvZvpe{Q;QRmbpmmt_2[X|dxySn`{[6_\H`ut\akeS?9Po3306>YXWQFEARQP53:\[ZuuidUbb~z cupo+wusi}oTJ^CPFGf7)Lh1:m1TSRVCNL]\[04>WVUx~lcPioqw+frud&GfyuQPn16;3436:m1TSRVCNL]\[057WVUx~lcPioqw+frud&GfyuQPn16;3456:m1TSRVCNL]\[056WVUx~lcPioqw+frud&GfyuQPn16;3476:j1TSRVCNL]\[055WVUx~lcPioqw+frud&GfyuQPn16;3<75k2UTSUBAM^]\165XWVyym`Qfnrv,gqtk'Dg~tRQa05:4344d3VUTTA@B_^]671YXWzxjaRgasu-`pwj(EdsSR`?495657e;86126f=XWVRGB@QP_415[ZYtzhgTec}{/bvqh*Kj}qUTb=:770504>YXWQFEARQP525\[Z|w}`dd#na}e.ffgwYtxFlmhzP3^fskq573VUTTA@B_^]67=YXWsz~eca cnpf+acdzVy{Ckh|eu]3[avh|;k0SRQWLOO\[Z341VUTahcnrc-Nip~X{9U|nRokr^m1444f3VUTTA@B_^]605YXWdofml Mlw{[v6XkUjhQ`1931e>YXWQFEARQP553\[Zkbehxi#@czx^q3[rdXimxTc<8>2`9\[Z^KFDUTS8:=_^]nahguj&GfyuQ|0^ua[dbuWf;9=?o4_^][HKKXWV???RQPmdobvg)Je|rT=Qxb^cgvZi6888j7RQPXMLN[ZY2<=UTS`kbas`,IhsWz:T{oQnds]l5465i2UTSUBAM^]\113XWVhdcyczx.Onq}YjW~hThlzPo0326d=XWVRGB@QP_465[ZYegf~fyu!Bmtz\iZqeWmkSb?<13c8[ZY_DGGTSR;;7^]\fjise|r$A`{w_l]tfZbf|Ve:9<85QP_cmlphs'Dg~tRcPwc]geqYh9>;9m6QP_YNMIZYX==3TSRl`ouov|*Kj}qUfSzlPd`v\k4?6:h1TSRVCNL]\[037WVUicbzbuy-Nip~XeV}iSio{_n0257g8?=a:]\[]JIEVUT98=P_^`lkqkrp&GfyuQb_v`\`drXg;=:>l5P_^ZOJHYXW9;k0SRQWLOO\[Z32=VUTnba{mtz,IhsWdU|nRjnt^m0544b3VUTTA@B_^]613YXWsz~eca cnpf+acdzVe{objj_4]gtjr5m2UTSUBAM^]\101XWVp{yd``/bmqa*bbk{Ud|nake^1\`uis:l1TSRVCNL]\[03?WVUq|xgao.alv`)cmjxTc}m`dd]2[avh|;k0SRQWLOO\[Z321VUTv}{fnn-`kwc(EdsSzQ{or33644>3VUTTA@B_^]625YXWdofml Mlw{[v6XkUjhQ`800:?ZYXPEDFSRQ:60]\[hcji{h$A`{w_r2\sgYfl{Ud:<<6;^]\\IHJWVU>:?QP_lgnewd(EdsS~>Pwc]b`wYh<8827RQPXMLN[ZY2>:UTS`kbas`,IhsWz:T{oQnds]l674>3VUTTA@B_^]621YXWdofml Mlw{[v6XkUxiaQ`300:?ZYXPEDFSRQ:64]\[hcji{h$A`{w_r2\sgYtmeUd=<<6;^]\\IHJWVU>:;QP_cmlphs'Dg~tRcPwc]geqYh;8827RQPXMLN[ZY2>>UTSoa`tlw{+HkrpVgT{oQkau]l144>3VUTTA@B_^]62=YXWkedx`{w/Lov|ZkXkUomyQ`700:?ZYXPEDFSRQ:68]\[gih|ds#@czx^o\sgYci}Ud5<;=QP_cmlphs'Dg~tRcPwc]geqYh;;;9h6QP_YNMIZYX=>;TSRtuhll+fium&GfyuQx_ump7<>X[^:9:6QP_YNMIZYX=>8TSR}cou2,IhsW~hThlzPo23271=XWVRGB@QP_450[ZYri{~Tec}{/sz\mkus'z~jxhQISL]EBa7*Ag;886QP_YNMIZYX=>>TSRtuhll+fium&ijoek sucwaZ@TEVLMh?#Fn012?ZYXPEDFSRQ:74]\[vjh|8;$~uQfnrv,wqgsmVLXARHId0/Jj44>3VUTTA@B_^]633YXWzfdx<= O^CV@LIX9VmgyhQ|eu0:?ZYXPEDFSRQ:76]\[vjh|89$CROZDHM\7Zak}lUxiy<6;^]\\IHJWVU>;5QP_rnlp45(GVK^HDAP5^eoq`Ytm}827RQPXMLN[ZY2?0UTS~b`t01,KZGRL@ET;Ricud]paq3d3VUTTA@B_^]6<5YXWsz~eca cnpf+HkrpVnnoQ|t`ahKucui}cdbV?R_qpfbwYtxgosxV?=]^uj``Yj}qU:;4Q@UU;157d!jnw]jjfY`d|oThzPSV212>YXWQFEARQP590\[Zukg};8#@czx^ua[agsWf88??5P_^ZOJHYXW<28SRQlrb35[lht|&Ghcx`{_bmvjqYvWqey0=0>10`8[ZY_DGGTSR6?_^]phjrXkpi7>3?>c:]\[]JIEVUT4RQPsmmw[fd4>4:=o5P_^ZOJHYXW19TSR}cou]`}f:1688n7RQPXMLN[ZY?VUTk}g`_hlpp*vw{yceS|Q>01]`a47512UTSUBAM^]\<2YXWnzbcRgasu-stvvnfV{T:9Qle0312>YXWQFEARQP89]\[bvngVcey!hphm\uZ6Xkl9:>85P_^ZOJHYXW13TSR}cou37+voc'Vd;9<9Piot260=XWVRGB@QP_82\[Zukg};?#~gk/^l30=?Xag|:>85P_^ZOJHYXW0;TSR}cou37+voc'Vd;8;?Piot260=XWVRGB@QP_80\[Zukg};?#~gk/^l3011Xag|:>85P_^ZOJHYXW09TSR}cou37+voc'Vd;9==Piot260=XWVRGB@QP_86\[Zukg};?#~gk/^l3172Xag|:>85P_^ZOJHYXW0?TSR}cou37+voc'Vd;8:;Piot260=XWVRGB@QP_84\[Zukg};?#~gk/^l30<0Xag|:><5P_^ZOJHYXW0=TSR}cou30+Zh7==?Tecx>209\[Z^KFDUTS46P_^qokq74'Vd;989Piot264=XWVRGB@QP_8;\[Zukg};8#R`?57;\mkp6991icbzco`ffvZbwW:837oa`tlw{+4?10c8fjise|r$A`{w_l]tfZbf|Ve:<803b?gih|ds#@czx^o\sgYci}Ud=4?>a:`lkqkrp&GfyuQb_v`\`drXg;::=45monvnq})Je|rTaRym_ecw[j469h1icbzbuy-Nip~XeV}iSio{_n0254g>?>a:`lkqkrp&GfyuQb_v`\`drXg;>:=l5monvnq})Je|rTaRym_ecw[j4298k0nba{mtz,IhsWdU|nRjnt^m1247f3kedx`{w/Lov|ZkXkUomyQ`2632e>dhg}g~t"Cbuy]n[rdXlh~Tc?6>1`9akjrj}q%FaxvPm^ua[agsWf82=dhg}g~t"Cbuy]n[rdXlh~Tc><>189akjrj}q%FaxvPm^ua[agsWf>:=45monvnq})Je|rTaRym_ecw[j36901icbzbuy-Nip~XeV}iSio{_n425<=egf~fyu!Bmtz\iZqeWmkSb9>189akjrj}q%FaxvPm^ua[agsWf2:=45monvnq})Je|rTaRym_ecw[j?6>2iggjkac:aoobciW`dxx"?6;bnhc`hXagy#IMB_hlppZ7j`s8<7nbdgdl\mkus'MIFSd`|t^3nlYJDVDN[RJFVBNH55=ddbmnbRgasu-`hn6682iggjka_hlpp*ekc8;>7nbdgdl\mkus'jf`=Rgasu32?fjloldTec}{/bnhbg713jf`kh`Pioqw+fjlnkUbb~z>6:aoobciW`dxx"mckgz\mkus9k1h`fijn^kmwq)ddblsSd`|t^3nl743jf`kh`Pioqw+fjlagUb=;5lljefjZoi{}%h`fga_hlpp42ekcnoeSd`|t.f`iiidclnT~~zfn^MQ[62Xg8;27nbdgdl\mkus'yfgSoo|e^kmwq403jf`kh`Pioqw+ujkWkkxiRgasu]NHZHB_VNBZNBD239`hnabfVcey!lm]aevcXagyS@BPNDU\K44Rm;bp`53Ynfz~$=45lrb35[lht|&Ghcx`{_bmvjqYv9h1h~n?9_hlpp*Kdg|dSnaznu]r54deuk8<<=;bp`53Ynfz~$Anaznu]`kphsWxUsc2?>0305>euk8;bp`53Ynfz~$A`{w_bp`[t]6=TULBIQ>97]l[hsW83TCXZ>2012?ftd9?Ubb~z Mlw{[ftdWxQ:9PQHNE]2=3YhWdsS<7POTV274563jxh=;Qfnrv,IhsWjxhS|U>5\]DJAY61?UdS`{w_0;\KPR6<89:7n|l17]jjvr(EdsSn|l_pY21XY@FMU:5;Q`_lw{[4?XG\^:9<=>;bp`53Ynfz~$A`{w_bp`[t]6=TULBIQ>97]l[hsW83TCXZ>6013?ftd9?Ubb~z Mlw{[ftdWxQ:9PQHNE]2=3YhWdsS<7POTV1566>VeTaxvP18]LQQ56;91h~n?9_hlpp*Kj}qUh~nQ~[07^[BHCW83=SbQbuy]2=ZIR\=;8<6m}c04\mkus'Dg~tRm}c^sX50[XOGNT=48Po^ov|Z7>WF__9<=?;bp`53Ynfz~$A`{w_bp`[t]6=TULBIQ>97]l[hsW83TCXZ91228gwe6>Vcey!Bmtz\gweXyR;>QRIAD^3:2ZiXe|rT=4Q@UU5275=dzj;=Sd`|t.Onq}YdzjUzW<;R_FLG[4?1WfUfyuQ>9^MVP=7482iyo<8Pioqw+HkrpViyoRT14_\CKBX90>4csa22Zoi{}%FaxvPd`vb[t]0UVMEHR?66^m\ip~X:9UDYY<>319`vf71W`dxx"Cbuy]geqgXyR=VSJ@K_0;5[jYj}qU9euk86^kmwq)Je|rThlzn_pY4YZAILV;2:RaPmtz\65YH]]<:?=5lrb35[lht|&GfyuQkauc\u^1ZWNDOS<79_n]nq}Y58VE^X:?<0:aqg40Xagy#@czx^fbpdYvS>WTKCJP184\kZkrpV8;SB[[8011?ftd9?Ubb~z Mlw{[uikqV{P=8SPPVP\654XgVg~tR<=_NWW5574:2iyo<8Pioqw+HkrpVzd`tQ~[07^[UQUW;:9SbQbuy]16ZIR\8;:??5lrb35[lht|&GfyuQom{\u^72UVZ\^RVcey!Bmtz\tjj~WxQ:9PQ_WS]147YhWdsS?6m}c04\mkus'Dg~tR~`lx]r_43ZWY]YS?>=_n]nq}Y5:VE^X<;>339`vf71W`dxx"Cbuy]skiXyR;>QR^XR^036ZiXe|rT>?Q@UU355675\]SSWY58;UdS`{w_30\KPR6?:;0om>6^kmwq)Je|rT|bbv_pY21XYW_[U9?4csa22Zoi{}%FaxvPpnnz[t]6=TU[[_Q=03]l[hsW;8TCXZ;1238gwe6>Vcey!Bmtz\tjj~WxQ:9PQ_WS]147YhWdsS?5\]SSWY58;UdS`{w_30\KPR19:;0om>6^kmwq)Je|rT|bbv_pY21XYW_[U9?4csa22Zoi{}%FaxvPpnnz[t]6=TU[[_Q=03]l[hsW;8TCXZ71238gwe6>Vcey!Bmtz\tjj~WxQ:9PQ_WS]147YhWdsS?euk81c9`vf71W`dxx"Cbuy]tfZbf|Ve:=Vcey!Bmtz\sgYci}Ud?a:aqg40Xagy#@czx^ua[agsWf3:=<5lrb35[lht|&Ue<<<8129`vf71W`dxx"Qa000454743jxh=;Qfnrv,[k66:>9:=;5lrb35[lht|&Ue<<<8_cfg6437:aqg40Xagy#R`?150\mkp698?0om>6^kmwq)Xf9;>9Rgav058gwe6>Vcey!Pn1361Zoi~;;:;6m}c04\mkus'Vd;=8;Piot054g3?;;bp`53Ynfz~$ob{at^s\442euk87n|l17]jjvr(k{iJS|2>0?36?ftd9?Ubb~z csaB[t:697;>7n|l17]jjvr(k{iJS|2>2?36?ftd9?Ubb~z csaB[t:6;7;>7n|l17]jjvr(k{iJS|2>4?36?ftd9?Ubb~z csaB[t:6=7;?7n|l17]jjvr(k{iJS|2>>068gwe6>Vcey!lrbC\u9499=1h~n?9_hlpp*eukHUz0>0>4:aqg40Xagy#n|lA^s?0;733jxh=;Qfnrv,gweFWx6>2<:4csa22Zoi{}%h~nOPq=4=51=dzj;=Sd`|t.aqgDYv4>4:86m}c04\mkus'jxhMR38?37?ftd9?Ubb~z csaB[t:>6890om>6^kmwq)dzjKT}R>>3:aqg40Xagy#n|lA^s\542euk83068gwe6>Vcey!lrbC\uZ739=1h~n?9_hlpp*eukHUzS<;>3:aqg40Xagy#n|lA^s\645Vcey!lrbC\uZ36;2iyo<8Pioqw+ftdIV{T:<=4csa22Zoi{}%h~nOPq^527>euk86^kmwq)dzjKT}R7>4:aqg40Xagy#n|lB^s?4;723jxh=;Qfnrv,gweEWx6:<3?:;bp`53Ynfz~$omM_p>25;723jxh=;Qfnrv,gweEWx6:>3?:;bp`53Ynfz~$omM_p>27;723jxh=;Qfnrv,gweEWx6:83?:;bp`53Ynfz~$omM_p>21;733jxh=;Qfnrv,gweEWx6:2<:4csa22Zoi{}%h~nLPq=0=51=dzj;=Sd`|t.aqgGYv4:4:86m}c04\mkus'jxhNR34?37?ftd9?Ubb~z csaA[t:268>0om>6^kmwq)dzjHT}181159`vf71W`dxx"m}cC]r8286<2iyo<8Pioqw+ftdJV{743?;;bp`53Ynfz~$omM_p>::45Vcey!lrb@\uZ779=1h~n?9_hlpp*eukKUzS4:aqg40Xagy#n|lB^s\57733jxh=;Qfnrv,gweEWxU:?<:4csa22Zoi{}%h~nLPq^3751=dzj;=Sd`|t.aqgGYvW8?:?6m}c04\mkus'jxhNRP2018gwe6>Vcey!lrb@\uZ56;2iyo<8Pioqw+ftdJV{T8<=4csa22Zoi{}%h~nLPq^727>euk8890om>6^kmwq)dzjHT}R9>3:aqg40Xagy#n|lB^s\<45068gwe6>Vcey!lrb]r84699=1h~n?9_hlpp*eukV{7=<0>4:aqg40Xagy#n|l_p>26;733jxh=;Qfnrv,gweXy5;82<:4csa22Zoi{}%h~nQ~<06=51=dzj;=Sd`|t.aqgZw;9<4:?6m}c04\mkus'jxhS|2>>018gwe6>Vcey!lrb]r8786;2iyo<8Pioqw+ftdWx682<=4csa22Zoi{}%h~nQ~<5<27>euk86^kmwq)dzjUz0;0>3:aqg40Xagy#n|l_p>4:453a8gwe6>Vcey!lrb]r_43ZWNDOS<79_n]nq}Y61VE^X1>12e9`vf71W`dxx"m}c^sX50[XOGNT=48Po^ov|Z7>WF__0<>12e9`vf71W`dxx"m}c^sX50[XOGNT=48Po^ov|Z7>WF__0WF__0<<12e9`vf71W`dxx"m}c^sX50[XOGNT=48Po^ov|Z7>WF__0<=12e9`vf71W`dxx"m}c^sX50[XOGNT=48Po^ov|Z7>WF__0<:12e9`vf71W`dxx"m}c^sX50[XOGNT=48Po^ov|Z7>WF__0<;12b9`vf71W`dxx"m}c^sX50[XOGNT=48Po^ov|Z7>WF__0<0=c:aqg40Xagy#n|l_pY21XY@FMU:5;Q`_lw{[4?XG\^7>35>n5lrb35[lht|&iyoRT14_\CKBX905\]DJAY61?UdS`{w_0;\KPR;>78h7n|l17]jjvr(k{iT}V?:]^EM@Z7>>VeTaxvP18]LQQ:06;i0om>6^kmwq)dzjUzW<;R_FLG[4?1WfUfyuQ>9^MVP9>9:j1h~n?9_hlpp*eukV{P=8SPGOF\5<0XgVg~tR?6_NWW8<86:2iyo<8Pioqw+ftdWxU;=?5lrb35[lht|&iyoRP1018gwe6>Vcey!lrb]r[466;2iyo<8Pioqw+ftdWxU:=<=4csa22Zoi{}%h~nQ~_0027>euk86^kmwq)dzjUzS<:>3:aqg40Xagy#n|l_p]21442:aqg40Xagy#n|l_p]757=dzj;=Sd`|t.aqgZwX=880om>6^kmwq)dzjUzS;?=;bp`53Ynfz~$omPq^526>euk80om>6^kmwq)ci}kT}1:1159`vf71W`dxx"jnt`]r8086<2iyo<8Pioqw+agsiV{7:3?;;bp`53Ynfz~$hlzn_p>4:7eWTKCJP184\kZkrpV8;SB[[<0<1g>euk897]l[hsW;:TCXZ32?0`?ftd9?Ubb~z d`vb[t]0UVMEHR?66^m\ip~X:9UDYY2<>3a8gwe6>Vcey!kauc\u^1ZWNDOS<79_n]nq}Y58VE^X1:12b9`vf71W`dxx"jnt`]r_2[XOGNT=48Po^ov|Z47WF__080=c:aqg40Xagy#io{a^sX3XY@FMU:5;Q`_lw{[76XG\^7:3euk86^kmwq)ci}kT}R<>3:aqg40Xagy#io{a^s\745:?6m}c04\mkus'mkmRP5018gwe6>Vcey!kauc\uZ06;2iyo<8Pioqw+agsiV{T;<:4csa22Zoi{}%{cawPq=2=50=dzj;=Sd`|t.rlh|Yv48:5=85lrb35[lht|&zd`tQ~<03=50=dzj;=Sd`|t.rlh|Yv4885=85lrb35[lht|&zd`tQ~<01=50=dzj;=Sd`|t.rlh|Yv48>5=85lrb35[lht|&zd`tQ~<07=51=dzj;=Sd`|t.rlh|Yv484:86m}c04\mkus'yeguR32?37?ftd9?Ubb~z pnnz[t:468>0om>6^kmwq)wgesT}1:1159`vf71W`dxx"~`lx]r8086<2iyo<8Pioqw+uikqV{7:3?;;bp`53Ynfz~$|bbv_p>4:42euk8euk8RAZT=31:7cQR^XR^036ZiXe|rT>?Q@UU>27;4b3jxh=;Qfnrv,tjj~WxQ:9PQ_WS]147YhWdsS?9:m1h~n?9_hlpp*vhdpUzW<;R_QUQ[765WfUfyuQ=2^MVP9?99:1h~n?9_hlpp*vhdpUzS=?<;bp`53Ynfz~$|bbv_p]251=dzj;=Sd`|t.rlh|YvW8::86m}c04\mkus'yeguRP1037?ftd9?Ubb~z pnnz[tY6:8>0om>6^kmwq)wgesT}R?<159`vf71W`dxx"~`lx]r[426<2iyo<8Pioqw+uikqV{T=8?<;bp`53Ynfz~$|bbv_p]156=dzj;=Sd`|t.rlh|YvW:;87n|l17]jjvr(xffrS|Q;129`vf71W`dxx"~`lx]r[0743jxh=;Qfnrv,tjj~WxU==>5lrb35[lht|&zd`tQ~_630?ftd9?Ubb~z pnnz[tY?9:1h~n?9_hlpp*vhdpUzS4?=;bp`53Ynfz~$~hoky^s11>euk89Ve9:6m}c04\mkus'{ojhtQ~_sgb`|YvWD_SS<=61^m252=dzj;=Sd`|t.ua[wusW`Ubb{74ctp\wqgsm:1oh`o4ddaq[vrf|l30iu|Psucwa3=aaoeT=;5iigm\6463nzbcRgasu-Nip~XoycdS|U?]^kmtprXehz{iQ~[1_X1XYJ]QU39;Q`151:?bvngVcey!Bmtz\cuohWxQ;QRgaptv\idvwm{UzW=ST5\]NQ]Y?=?Ud=8=n;frjkZoi{}%FaxvPgqkl[t]68TUbb}{{_lcst`tXyR;;QV;R_LW[[=11Wf;8n6iin]jjvr(EdsSj~fo^sX55[Xagz~xRcnpqgq[t]68TQ>QRCZX^:42Zi69:h0k}g`_hlpp*Kj}qUl|daPqZ33YZoix|~Tal~es]r_46ZSawafUbb~z Mlw{[bvngV{P==SPiorvpZkfxyoyS|U>0\Y6YZKRPV2<:Ra>52c8cuohW`dxx"Cbuy]dtliXyR;:QRgaptv\idvwm{UzW2Tc<=m;frjkZoi{}%FaxvPgqkl[t]69TUbb}{{_lcst`tXyR;:QV;R_LW[[=1?Wf;:?o5hphm\mkus'Dg~tRiin]r_47ZW`d{yyQbaqrfvZw\98WP9PQBUY];3=Yh9;9i7j~fo^kmwq)Je|rTk}g`_pY25XYnfyS`opdp\u^76UR?VS@[W_95;[j74;k1l|daPioqw+HkrpVm{ebQ~[03^[lhw}}Ufm}~jr^sX54[\=TUFYUQ779]l515e3nzbcRgasu-Nip~XoycdS|U>1\]jjussWdk{|h|PqZ32Y^3ZWD_SS597_n367d=`x`eTec}{/Lov|ZawafUzW<PU:]^OV\Z>?8Ve:>>l4gqkl[lht|&GfyuQhphm\u^75UVce|xzPm`rsawYvS88VW8SPMTZ\<=6Xg898n6iin]jjvr(EdsSj~fo^sX57[Xagz~xRcnpqgq[t]6:TQ>QRCZX^:;4Zi6<:h0k}g`_hlpp*Kj}qUl|daPqZ31YZoix|~Tal~es]r_44ZSST5\]NQ]Y?0;Ud=>=m;frjkZoi{}%FaxvPgqkl[t]6;TUbb}{{_lcst`tXyR;8QV;R_LW[[=>5Wf;??o5hphm\mkus'Dg~tRiin]r_45ZW`d{yyQbaqrfvZw\9:WP9PQBUY];<7Yh9<9j7j~fo^kmwq)Je|rTk}g`_pY20XYnfyS`opdp\u^73UR?VS@[W_9:7[j74j2m{ebQfnrv,IhsWnzbcRT15_\mkvr|Vgj|}k}_pY20X]2UVG^TR674^m256dawafUbb~z Mlw{[bvngV{P=9SPiorvpZkfxyoyS|U>4\Y6YZKRPV238Ra>32`8cuohW`dxx"Cbuy]dtliXyR;?QRgaptv\idvwm{UzW<:R[4_\IP^X01>Tc<:?o4gqkl[lht|&GfyuQhphm\u^72UVce|xzPm`rsawYvS8?VW8SPMTZ\<=0Xg89i7j~fo^kmwq)Je|rTk}g`_pY21XYnfyS`opdp\u^72UR?VS@[W_9:5[j76;k1l|daPioqw+HkrpVm{ebQ~[07^[lhw}}Ufm}~jr^sX50[\=TUFYUQ787]l575e3nzbcRgasu-Nip~XoycdS|U>5\]jjussWdk{|h|PqZ36Y^3ZWD_SS569_n307g=`x`eTec}{/Lov|ZawafUzW<;R_hlsqqYjiyzn~RT14_X1XYJ]QU34;Q`151a?bvngVcey!Bmtz\cuohWxQ:9PQfnqww[hgwxlxT}V?:]Z7^[HS_W12=Sb?:3`9dtliXagy#@czx^esmjYvS8l4gqkl[lht|&GfyuQhphm\u^71UVce|xzPm`rsawYvS8Xg8;8n6iin]jjvr(EdsSj~fo^sX53[Xagz~xRcnpqgq[t]6>TQ>QRCZX^:;awafUbb~z Mlw{[bvngV{P=:SPiorvpZkfxyoyS|U>7\Y6YZKRPV223c9dtliXagy#@czx^esmjYvS8=VSd`uu]neuvbzV{P=:ST5\]NQ]Y?19Ud=<=m;frjkZoi{}%FaxvPgqkl[t]6?TUbb}{{_lcst`tXyR;WP9PQBUY];=5Yh9:9i7j~fo^kmwq)Je|rTk}g`_pY23XYnfyS`opdp\u^70UR?VS@[W_9;3[j73;k1l|daPioqw+HkrpVm{ebQ~[05^[lhw}}Ufm}~jr^sX52[\=TUFYUQ791]l505f3nzbcRgasu-Nip~XoycdS|U>8\]jjussWdk{|h|PqZ3;Y^3ZWD_SS57=_n30f>awafUbb~z Mlw{[bvngV{P=5SPiorvpZkfxyoyS|U>8\Y6YZKRPV22>Ra>12`8cuohW`dxx"Cbuy]dtliXyR;3QRgaptv\idvwm{UzW<6R[4_\IP^X008Tc<<>:Ve:?>l4gqkl[lht|&GfyuQhphm\u^7?UVce|xzPm`rsawYvS82VW8SPMTZ\<<4Xg8>8n6iin]jjvr(EdsSj~fo^sX5=[Xagz~xRcnpqgq[t]60TQ>QRCZX^::6Zi6=:k0k}g`_hlpp*Kj}qUl|daPqZ3:YZoix|~Tal~es]r_4?ZS9\]jjussWdk{|h|PqZ3:Y^3ZWD_SS57;_n327g=`x`eTec}{/Lov|ZawafUzW<7R_hlsqqYjiyzn~RT18_X1XYJ]QU359Q`131a?bvngVcey!Bmtz\cuohWxQ:5PQfnqww[hgwxlxT}V?6]Z7^[HS_W13?Sb?<3c9dtliXagy#@czx^esmjYvS83VSd`uu]neuvbzV{P=4ST5\]NQ]Y?1=Ud=9=m;frjkZoi{}%FaxvPgqkl[t]61TUbb}{{_lcst`tXyR;2QV;R_LW[[=?3Wf;>?55hphm\mkus'Dg~tRiin]r_4[Xagz~xRcnpqgq[t]6UR?VS@[W_97;[j7412m{ebQfnrv,IhsWnzbcRT1\]jjussWdk{|h|PqZ3^_0[XE\RT486Po030=>awafUbb~z Mlw{[bvngV{P=PQfnqww[hgwxlxT}V?R[4_\IP^X0<2Tc<<<9:esmjYnfz~$A`{w_frjkZw\9TUbb}{{_lcst`tXyR;VW8SPMTZ\<0>Xg89856iin]jjvr(EdsSj~fo^sX5XYnfyS`opdp\u^7ZSawafUbb~z Mlw{[bvngV{P>=SPiorvpZkfxyoyS|U=0\Y6YZKRPV22:Ra>3c9dtliXagy#@czx^esmjYvS;:VSd`uu]neuvbzV{P>=ST5\]NQ]Y?1?Ud=<=m;frjkZoi{}%FaxvPgqkl[t]58TUbb}{{_lcst`tXyR8;QV;R_LW[[=?1Wf;9?o5hphm\mkus'Dg~tRiin]r_76ZW`d{yyQbaqrfvZw\:9WP9PQBUY];=3Yh9:9i7j~fo^kmwq)Je|rTk}g`_pY14XYnfyS`opdp\u^47UR?VS@[W_9;5[j73;k1l|daPioqw+HkrpVm{ebQ~[32^[lhw}}Ufm}~jr^sX65[\=TUFYUQ797]l505f3nzbcRgasu-Nip~XoycdS|U=1\]jjussWdk{|h|PqZ02Y^3ZWD_SS577_n30f>awafUbb~z Mlw{[bvngV{P>12`8cuohW`dxx"Cbuy]dtliXyR8:QRgaptv\idvwm{UzW??R[4_\IP^X002Tc<<>0Ve:?>l4gqkl[lht|&GfyuQhphm\u^46UVce|xzPm`rsawYvS;;VW8SPMTZ\<<>Xg8>8n6iin]jjvr(EdsSj~fo^sX64[Xagz~xRcnpqgq[t]59TQ>QRCZX^::?_n327g=`x`eTec}{/Lov|ZawafUzW?PQfnqww[hgwxlxT}V<=]Z7^[HS_W0:;Sb?<3c9dtliXagy#@czx^esmjYvS;8VSd`uu]neuvbzV{P>?ST5\]NQ]Y>89Ud=9=m;frjkZoi{}%FaxvPgqkl[t]5:TUbb}{{_lcst`tXyR89QV;R_LW[[<67Wf;>?l5hphm\mkus'Dg~tRiin]r_75ZW`d{yyQbaqrfvZw\::WP9PQBUY]:47Yh9:h0k}g`_hlpp*Kj}qUl|daPqZ00YZoix|~Tal~es]r_75ZSawafUbb~z Mlw{[bvngV{P>>SPiorvpZkfxyoyS|U=3\Y6YZKRPV3;>Ra>42`8cuohW`dxx"Cbuy]dtliXyR88QRgaptv\idvwm{UzW?=R[4_\IP^X198Tc<;;_n377g=`x`eTec}{/Lov|ZawafUzW?:R_hlsqqYjiyzn~RT25_X1XYJ]QU2<9Q`141b?bvngVcey!Bmtz\cuohWxQ99PQfnqww[hgwxlxT}V<:]Z7^[HS_W0:=Sb?Ve:=>l4gqkl[lht|&GfyuQhphm\u^42UVce|xzPm`rsawYvS;?VW8SPMTZ\=50Xg888n6iin]jjvr(EdsSj~fo^sX60[Xagz~xRcnpqgq[t]5=TQ>QRCZX^;32Zi6;:h0k}g`_hlpp*Kj}qUl|daPqZ06YZoix|~Tal~es]r_73ZS3c9dtliXagy#@czx^esmjYvS;;ST5\]NQ]Y>81Ud=?=m;frjkZoi{}%FaxvPgqkl[t]5>TUbb}{{_lcst`tXyR8=QV;R_LW[[<6?Wf;8?o5hphm\mkus'Dg~tRiin]r_70ZW`d{yyQbaqrfvZw\:?WP9PQBUY]:4=Yh9=9i7j~fo^kmwq)Je|rTk}g`_pY12XYnfyS`opdp\u^41UR?VS@[W_82;[j72;h1l|daPioqw+HkrpVm{ebQ~[35^[lhw}}Ufm}~jr^sX62[\=TUFYUQ611]l56dPo030f>awafUbb~z Mlw{[bvngV{P>:SPiorvpZkfxyoyS|U=7\Y6YZKRPV3:22`8cuohW`dxx"Cbuy]dtliXyR8WTec~zt^obtucuWxQ9;PU:]^OV\Z?68Ve:8>l4gqkl[lht|&GfyuQhphm\u^40UVce|xzPm`rsawYvS;=VW8SPMTZ\=46Xg8?8m6iin]jjvr(EdsSj~fo^sX6=[Xagz~xRcnpqgq[t]50TQ>QRCZX^;26Zi6;k1l|daPioqw+HkrpVm{ebQ~[3:^[lhw}}Ufm}~jr^sX6=[\=TUFYUQ613]l545e3nzbcRgasu-Nip~XoycdS|U=8\]jjussWdk{|h|PqZ0;Y^3ZWD_SS4?=_n317g=`x`eTec}{/Lov|ZawafUzW?6R_hlsqqYjiyzn~RT29_X1XYJ]QU2=?Q`121a?bvngVcey!Bmtz\cuohWxQ94PQfnqww[hgwxlxT}V<7]Z7^[HS_W0;9Sb?;3c9dtliXagy#@czx^esmjYvS;2VSd`uu]neuvbzV{P>5ST5\]NQ]Y>9;Ud=8=n;frjkZoi{}%FaxvPgqkl[t]51TUbb}{{_lcst`tXyR82QV;R_LW[[<73Wf;8n6iin]jjvr(EdsSj~fo^sX6<[Xagz~xRcnpqgq[t]51TQ>QRCZX^;20Zi69:h0k}g`_hlpp*Kj}qUl|daPqZ0:YZoix|~Tal~es]r_7?ZS4^m276dawafUbb~z Mlw{[bvngV{P>4SPiorvpZkfxyoyS|U=9\Y6YZKRPV3:8Ra>52:8cuohW`dxx"Cbuy]dtliXyR8VSd`uu]neuvbzV{P>PU:]^OV\Z>18Ve:?45hphm\mkus'Dg~tRiin]r_7[Xagz~xRcnpqgq[t]5UR?VS@[W_943[j76;01l|daPioqw+HkrpVm{ebQ~[3_\mkvr|Vgj|}k}_pY1Y^3ZWD_SS58?_n317<=`x`eTec}{/Lov|ZawafUzW?SPiorvpZkfxyoyS|U=]Z7^[HS_W1<;Sb?<389dtliXagy#@czx^esmjYvS;WTec~zt^obtucuWxQ9QV;R_LW[[=07Wf;??45hphm\mkus'Dg~tRiin]r_7[Xagz~xRcnpqgq[t]5UR?VS@[W_943[j72;h1l|daPioqw+HkrpVm{ebQ~[22^[lhw}}Ufm}~jr^sX75[\=TUFYUQ617]l56dawafUbb~z Mlw{[bvngV{P?=SPiorvpZkfxyoyS|U<0\Y6YZKRPV3::Ra>22`8cuohW`dxx"Cbuy]dtliXyR9;QRgaptv\idvwm{UzW>>R[4_\IP^X18Ve:8>l4gqkl[lht|&GfyuQhphm\u^57UVce|xzPm`rsawYvS::VW8SPMTZ\=40Xg8?8m6iin]jjvr(EdsSj~fo^sX74[Xagz~xRcnpqgq[t]49TQ>QRCZX^;2?R_hlsqqYjiyzn~RT30_X1XYJ]QU2=5Q`121a?bvngVcey!Bmtz\cuohWxQ8=PQfnqww[hgwxlxT}V=>]Z7^[HS_W0;3Sb?;3c9dtliXagy#@czx^esmjYvS:;VSd`uu]neuvbzV{P?91Ud=8=n;frjkZoi{}%FaxvPgqkl[t]4:TUbb}{{_lcst`tXyR99QV;R_LW[[<47Wf;8n6iin]jjvr(EdsSj~fo^sX77[Xagz~xRcnpqgq[t]4:TQ>QRCZX^;14Zi69:h0k}g`_hlpp*Kj}qUl|daPqZ11YZoix|~Tal~es]r_64ZSPo060f>awafUbb~z Mlw{[bvngV{P??SPiorvpZkfxyoyS|U<2\Y6YZKRPV3952c8cuohW`dxx"Cbuy]dtliXyR98QRgaptv\idvwm{UzW>=R[4_\IP^X1;8Tc<=m;frjkZoi{}%FaxvPgqkl[t]4;TUbb}{{_lcst`tXyR98QV;R_LW[[<45Wf;:?o5hphm\mkus'Dg~tRiin]r_65ZW`d{yyQbaqrfvZw\;:WP9PQBUY]:67Yh9;9i7j~fo^kmwq)Je|rTk}g`_pY07XYnfyS`opdp\u^54UR?VS@[W_801[j74;k1l|daPioqw+HkrpVm{ebQ~[21^[lhw}}Ufm}~jr^sX76[\=TUFYUQ623]l515e3nzbcRgasu-Nip~XoycdS|U<3\]jjussWdk{|h|PqZ10Y^3ZWD_SS4<=_n367d=`x`eTec}{/Lov|ZawafUzW>:R_hlsqqYjiyzn~RT35_X1XYJ]QU2>9Q`12`8cuohW`dxx"Cbuy]dtliXyR9?QRgaptv\idvwm{UzW>:R[4_\IP^X1;>Tc>l4gqkl[lht|&GfyuQhphm\u^53UVce|xzPm`rsawYvS:>VW8SPMTZ\=72Xg898n6iin]jjvr(EdsSj~fo^sX71[Xagz~xRcnpqgq[t]4QRCZX^;10Zi6<:h0k}g`_hlpp*Kj}qUl|daPqZ17YZoix|~Tal~es]r_62ZS;R_hlsqqYjiyzn~RT34_X1XYJ]QU2>;Q`101a?bvngVcey!Bmtz\cuohWxQ89PQfnqww[hgwxlxT}V=:]Z7^[HS_W08=Sb?=3c9dtliXagy#@czx^esmjYvS:?VSd`uu]neuvbzV{P?8ST5\]NQ]Y>:?Ud=>=m;frjkZoi{}%FaxvPgqkl[t]4=TUbb}{{_lcst`tXyR9>QV;R_LW[[<41Wf;??o5hphm\mkus'Dg~tRiin]r_63ZW`d{yyQbaqrfvZw\;awafUbb~z Mlw{[bvngV{P?;SPiorvpZkfxyoyS|U<6\Y6YZKRPV394Ra>32`8cuohW`dxx"Cbuy]dtliXyR9=QRgaptv\idvwm{UzW>8R[4_\IP^X1;2Tc<:o4gqkl[lht|&GfyuQhphm\u^50UVce|xzPm`rsawYvS:=VW8SPMTZ\=66Xg89i7j~fo^kmwq)Je|rTk}g`_pY03XYnfyS`opdp\u^50UR?VS@[W_813[j76;k1l|daPioqw+HkrpVm{ebQ~[25^[lhw}}Ufm}~jr^sX72[\=TUFYUQ631]l575e3nzbcRgasu-Nip~XoycdS|U<7\]jjussWdk{|h|PqZ14Y^3ZWD_SS4=?_n307g=`x`eTec}{/Lov|ZawafUzW>9R_hlsqqYjiyzn~RT36_X1XYJ]QU2?=Q`151a?bvngVcey!Bmtz\cuohWxQ8;PQfnqww[hgwxlxT}V=8]Z7^[HS_W09;Sb?:3`9dtliXagy#@czx^esmjYvS:2VSd`uu]neuvbzV{P?5ST5\]NQ]Y>;;Ud=>l4gqkl[lht|&GfyuQhphm\u^5?UVce|xzPm`rsawYvS:2VW8SPMTZ\=64Xg8;8n6iin]jjvr(EdsSj~fo^sX7=[Xagz~xRcnpqgq[t]40TQ>QRCZX^;06Zi6::h0k}g`_hlpp*Kj}qUl|daPqZ1;YZoix|~Tal~es]r_6>ZSawafUbb~z Mlw{[bvngV{P?4SPiorvpZkfxyoyS|U<9\Y6YZKRPV388Ra>3c9dtliXagy#@czx^esmjYvS:3VSd`uu]neuvbzV{P?4ST5\]NQ]Y>;=Ud=<=m;frjkZoi{}%FaxvPgqkl[t]41TUbb}{{_lcst`tXyR92QV;R_LW[[<53Wf;9?o5hphm\mkus'Dg~tRiin]r_6?ZW`d{yyQbaqrfvZw\;0WP9PQBUY]:71Yh9:9i7j~fo^kmwq)Je|rTk}g`_pY0=XYnfyS`opdp\u^5>UR?VS@[W_817[j73;k1l|daPioqw+HkrpVm{ebQ~[2;^[lhw}}Ufm}~jr^sX7<[\=TUFYUQ635]l505?3nzbcRgasu-Nip~XoycdS|U<]^kmtprXehz{iQ~[2_X1XYJ]QU3:?Q`12;8cuohW`dxx"Cbuy]dtliXyR9VSd`uu]neuvbzV{P?PU:]^OV\Z>1:Ve:=>74gqkl[lht|&GfyuQhphm\u^5ZW`d{yyQbaqrfvZw\;TQ>QRCZX^:56Zi6::30k}g`_hlpp*Kj}qUl|daPqZ1^[lhw}}Ufm}~jr^sX7X]2UVG^TR692^m276?Ra>42;8cuohW`dxx"Cbuy]dtliXyR9VSd`uu]neuvbzV{P?PU:]^OV\Z>1:Ve:9>o4gqkl[lht|&GfyuQhphm\u^27UVce|xzPm`rsawYvS=:VW8SPMTZ\=60Xg89i7j~fo^kmwq)Je|rTk}g`_pY74XYnfyS`opdp\u^27UR?VS@[W_815[j76;k1l|daPioqw+HkrpVm{ebQ~[52^[lhw}}Ufm}~jr^sX05[\=TUFYUQ637]l575e3nzbcRgasu-Nip~XoycdS|U;0\]jjussWdk{|h|PqZ63Y^3ZWD_SS4=9_n307g=`x`eTec}{/Lov|ZawafUzW9>R_hlsqqYjiyzn~RT41_X1XYJ]QU2?;Q`151a?bvngVcey!Bmtz\cuohWxQ?;1Ud=>l4gqkl[lht|&GfyuQhphm\u^26UVce|xzPm`rsawYvS=;VW8SPMTZ\=6>Xg8;8n6iin]jjvr(EdsSj~fo^sX04[Xagz~xRcnpqgq[t]39TQ>QRCZX^;0]^kmtprXehz{iQ~[53^_0[XE\RT5>6Po070e>awafUbb~z Mlw{[bvngV{P8?SPiorvpZkfxyoyS|U;2\Y6YZKRPV3?3c9dtliXagy#@czx^esmjYvS=8VSd`uu]neuvbzV{P8?ST5\]NQ]Y><9Ud=<=m;frjkZoi{}%FaxvPgqkl[t]3:TUbb}{{_lcst`tXyR>9QV;R_LW[[<27Wf;9?o5hphm\mkus'Dg~tRiin]r_14ZW`d{yyQbaqrfvZw\<;WP9PQBUY]:05Yh9:9i7j~fo^kmwq)Je|rTk}g`_pY76XYnfyS`opdp\u^25UR?VS@[W_863[j73;k1l|daPioqw+HkrpVm{ebQ~[50^[lhw}}Ufm}~jr^sX07[\=TUFYUQ641]l505f3nzbcRgasu-Nip~XoycdS|U;3\]jjussWdk{|h|PqZ60Y^3ZWD_SS4:=_n30f>awafUbb~z Mlw{[bvngV{P8>SPiorvpZkfxyoyS|U;3\Y6YZKRPV3?>Ra>12`8cuohW`dxx"Cbuy]dtliXyR>8QRgaptv\idvwm{UzW9=R[4_\IP^X1=8Tc<<l4gqkl[lht|&GfyuQhphm\u^24UVce|xzPm`rsawYvS=9VW8SPMTZ\=14Xg8>8n6iin]jjvr(EdsSj~fo^sX06[Xagz~xRcnpqgq[t]3;TQ>QRCZX^;76Zi6=:k0k}g`_hlpp*Kj}qUl|daPqZ67YZoix|~Tal~es]r_12ZS?Sb?<3c9dtliXagy#@czx^esmjYvS=>VSd`uu]neuvbzV{P89ST5\]NQ]Y><=Ud=9=m;frjkZoi{}%FaxvPgqkl[t]3?QV;R_LW[[<23Wf;>?l5hphm\mkus'Dg~tRiin]r_13ZW`d{yyQbaqrfvZw\<awafUbb~z Mlw{[bvngV{P88SPiorvpZkfxyoyS|U;5\Y6YZKRPV3?:Ra>42`8cuohW`dxx"Cbuy]dtliXyR>>QRgaptv\idvwm{UzW9;R[4_\IP^X1=WTec~zt^obtucuWxQ?;PU:]^OV\Z?28Ve:=>l4gqkl[lht|&GfyuQhphm\u^20UVce|xzPm`rsawYvS==VW8SPMTZ\=06Xg888n6iin]jjvr(EdsSj~fo^sX02[Xagz~xRcnpqgq[t]3?TQ>QRCZX^;64Zi6;:h0k}g`_hlpp*Kj}qUl|daPqZ64YZoix|~Tal~es]r_11ZS389dtliXagy#@czx^esmjYvS=WTec~zt^obtucuWxQ?QV;R_LW[[=03Wf;:?45hphm\mkus'Dg~tRiin]r_1[Xagz~xRcnpqgq[t]3UR?VS@[W_947[j75;01l|daPioqw+HkrpVm{ebQ~[5_\mkvr|Vgj|}k}_pY7Y^3ZWD_SS58;_n307<=`x`eTec}{/Lov|ZawafUzW9SPiorvpZkfxyoyS|U;]Z7^[HS_W1?55hphm\mkus'Dg~tRiin]r_0[Xagz~xRcnpqgq[t]2UR?VS@[W_945[j7412m{ebQfnrv,IhsWnzbcRT5\]jjussWdk{|h|PqZ7^_0[XE\RT4;8Po030=>awafUbb~z Mlw{[bvngV{P9PQfnqww[hgwxlxT}V;R[4_\IP^X0?awafUbb~z Mlw{[bvngV{P:PQfnqww[hgwxlxT}V8R[4_\IP^X0?2Tc<=6;frjkZoi{}%FaxvPgqkl[t]1UVce|xzPm`rsawYvS?WP9PQBUY];2=Yh98927j~fo^kmwq)Je|rTk}g`_pY5YZoix|~Tal~es]r_3[\=TUFYUQ769]l575>3nzbcRgasu-Nip~XoycdS|U9]^kmtprXehz{iQ~[7_X1XYJ]QU3:5Q`121:?bvngVcey!Bmtz\cuohWxQ=QRgaptv\idvwm{UzW;ST5\]NQ]Y?>1Ud=9=6;frjkZoi{}%FaxvPgqkl[t]1UVce|xzPm`rsawYvS?WP9PQBUY];2=Yh9<937j~fo^kmwq)Je|rTk}g`_pY4YZoix|~Tal~es]r_2[\=TUFYUQ771]l56?12;8cuohW`dxx"Cbuy]dtliXyR=VSd`uu]neuvbzV{P;PU:]^OV\Z>08Ve:>>74gqkl[lht|&GfyuQhphm\u^1ZW`d{yyQbaqrfvZw\?TQ>QRCZX^:44Zi6;:30k}g`_hlpp*Kj}qUl|daPqZ5^[lhw}}Ufm}~jr^sX3X]2UVG^TR680^m206?52:8cuohW`dxx"Cbuy]dtliXyR2VSd`uu]neuvbzV{P4PU:]^OV\Z>0:Ve:?45hphm\mkus'Dg~tRiin]r_=[Xagz~xRcnpqgq[t]?UR?VS@[W_951[j76;01l|daPioqw+HkrpVm{ebQ~[9_\mkvr|Vgj|}k}_pY;Y^3ZWD_SS59=_n317<=`x`eTec}{/Lov|ZawafUzW5SPiorvpZkfxyoyS|U7]Z7^[HS_W1=9Sb?<389dtliXagy#@czx^esmjYvS1WTec~zt^obtucuWxQ3QV;R_LW[[=15Wf;??45hphm\mkus'Dg~tRiin]r_=[Xagz~xRcnpqgq[t]?UR?VS@[W_951[j72;11l|daPioqw+HkrpVm{ebQ~[8_\mkvr|Vgj|}k}_pY:Y^3ZWD_SS59;_n30=>awafUbb~z Mlw{[bvngV{P5PQfnqww[hgwxlxT}V7R[4_\IP^X0>>Tc4^E0=>awafUbb~z Mlw{[bvngV{P5PQfnqww[hgwxlxT}V7R[4_\IP^X0>>Tc<;>8:esmjYnfz~$A`{w_v`\`drXg8;27j~fo^kmwq)Je|rT{oQkau]l557c3nzbcRgasu-Nip~XkUomyQ`11]PS57>3nzbcRgasu-Nip~XkUomyQ`103g?bvngVcey!Bmtz\sgYci}Ud=>8:esmjYnfz~$A`{w_v`\`drXg:;h7j~fo^kmwq)Je|rT{oQkau]l7ZUP8820k}g`_hlpp*Kj}qU|nRjnt^m75f=`x`eTec}{/Lov|ZqeWmkSb:PSV22<>awafUbb~z Mlw{[rdXlh~Tc8?l;frjkZoi{}%FaxvPwc]geqYh=VY\<<64gqkl[lht|&GfyuQxb^fbpZi19j1l|daPioqw+HkrpV}iSio{_n4\WR6602m{ebQfnrv,IhsW~hThlzPo63`?bvngVcey!Bmtz\sgYci}Ud;R]X00:8cuohW`dxx"Cbuy]tfZbf|Ve3=n5hphm\mkus'Dg~tRym_ecw[j>X[^::46iin]jjvr(EdsSzlPd`v\k<7d3nzbcRgasu-Nip~XkUomyQ`9^QT44cU:>f:esmjYnfz~$A`{w_v`\`drXgV8Tj:Q>40d8cuohW`dxx"Cbuy]tfZbf|VeT>Rh8_072b>awafUbb~z Mlw{[rdXlh~TcR?>1c9dtliXagy#@czx^ua[agsWfU8=??m;frjkZoi{}%FaxvPwc]geqYhW:;8=o5hphm\mkus'Dg~tRym_ecw[jY49=;i7j~fo^kmwq)Je|rT{oQkau]l[6729k1l|daPioqw+HkrpV}iSio{_n]0537e3nzbcRgasu-Nip~XkUomyQ`_2345d=`x`eTec}{/Lov|ZqeWmkSbQ<20c8cuohW`dxx"Cbuy]tfZbf|VeT?>?n;frjkZoi{}%FaxvPwc]geqYhW:>:m6iin]jjvr(EdsSzlPd`v\kZ529h1l|daPioqw+HkrpV}iSio{_n]024g6>a:esmjYnfz~$A`{w_v`\`drXgV92=45hphm\mkus'Dg~tRym_ecw[jY39h1l|daPioqw+HkrpV}iSio{_n]754dawafUbb~z Mlw{[rdXlh~TcR:>10`8cuohW`dxx"Cbuy]tfZbf|VeT8<<>b:esmjYnfz~$A`{w_v`\`drXgV>:?:n6iin]jjvr(EdsSzlPd`v\kZ26=8h0k}g`_hlpp*Kj}qU|nRjnt^m\0406j2m{ebQfnrv,IhsW~hThlzPo^6234ga:esmjYnfz~$A`{w_v`\`drXgV>?=l5hphm\mkus'Dg~tRym_ecw[jY3=8k0k}g`_hlpp*Kj}qU|nRjnt^m\037f3nzbcRgasu-Nip~XkUomyQ`_552e>awafUbb~z Mlw{[rdXlh~TcR:71`9dtliXagy#@czx^ua[agsWfU?5<:4gqkl[lht|&Ue=4>7_hlu50=`x`eTec}{/^l2=5>Xag|:=;5hphm\mkus'Vd:5=6Piot55405:esmjYnfz~$Sc?619]jjs76<2m{ebQfnrv,[k7>:1Ubb{?:;frjkZoi{}%Tb<7=8^kmr4733nzbcRgasu-\j4?40Vcez<;4gqkl[lht|&Ue=4=7_hlu542Xag|==4Rgav078cuohW`dxx"Qa187;[lhq98>0k}g`_hlpp*Yi90<3Sd`y149dtliXagy#R`>97:\mkp69=1l|daPioqw+Zh61>2Tecx>5:esmjYnfz~$Sc?679]jjs76<2m{ebQfnrv,[k7>01Ubb{?:;frjkZoi{}%Tb<778^kmr4733nzbcRgasu-\j4?>0Vcez<;4gqkl[lht|&Ue=477_hlu542Xag|:96iin]jjvr(Wg8;=5Qfnw320>awafUbb~z _o036=Ynf;>7j~fo^kmwq)Xf;:94Rgav037?bvngVcey!Pn320159dtliXagy#R`=04:\mkp6=2m{ebQfnrv,[k47=1Ubb{?>4:esmjYnfz~$Sc88^kmr43=67_hlu50=`x`eTec}{/^l14=>Xag|:=95hphm\mkus'Vd9<46Piot21>awafUbb~z _o03==Ynf;:86iin]jjvr(Wg8:<5Qfnw36?bvngVcey!Pn3330k}g`_hlpp*Yi:883Sd`y149dtliXagy#R`=13:\mkp69=1l|daPioqw+Zh59:2Tecx>5:esmjYnfz~$Sc<>39]jjs76<2m{ebQfnrv,[k46<1Ubb{?:;frjkZoi{}%Tb??;8^kmr4733nzbcRgasu-\j7720Vcez<;4gqkl[lht|&Ue><;7_hlu542Xag|:96iin]jjvr(Wg8:;5Qfnw320>awafUbb~z _o02<=Ynf;>7j~fo^kmwq)Xf;;34Rgav037?bvngVcey!Pn33:159dtliXagy#R`=20:\mkp6=2m{ebQfnrv,[k4591Ubb{?>4:esmjYnfz~$Sc<=29]jjs723nzbcRgasu-\j7450Vcez?:7_hlu50=`x`eTec}{/^l161>Xag|:=95hphm\mkus'Vd9>86Piot21>awafUbb~z _o011=Ynf;:86iin]jjvr(Wg89:5Qfnw36?bvngVcey!Pn3050k}g`_hlpp*Yi:;23Sd`y149dtliXagy#R`=29:\mkp69=1l|daPioqw+Zh5:02Tecx>5:esmjYnfz~$Sc<=99]jjs76<2m{ebQfnrv,[k4481Ubb{?:;frjkZoi{}%Tb?=?8^kmr4733nzbcRgasu-\j7560Vcez<;4gqkl[lht|&Ue>>?7_hlu542Xag|:96iin]jjvr(Wg88?5Qfnw320>awafUbb~z _o000=Ynf;>7j~fo^kmwq)Xf;9?4Rgav037?bvngVcey!Pn316159dtliXagy#R`=36:\mkp6=2m{ebQfnrv,[k44?1Ubb{?>4:esmjYnfz~$Sc<<89]jjs723nzbcRgasu-\j75?0VcezXag|:96iin]jjvr(Wg8855Qfnw326>awafUbb~z gqkl[jY79;1l|daPioqw+bvngVeT=<=4gqkl[lht|&m{ebQ`_0227>awafUbb~z gqkl[jY69890k}g`_hlpp*awafUdS<<>3:esmjYnfz~$k}g`_n]2745:?6iin]jjvr(oycdSbQ>5018cuohW`dxx"iin]l[406;2m{ebQfnrv,cuohWfU:;<=4gqkl[lht|&m{ebQ`_0:27>awafUbb~z gqkl[jY61880k}g`_hlpp*awafUdS??<;frjkZoi{}%l|daPo^0356=`x`eTec}{/frjkZiX:8;87j~fo^kmwq)`x`eTcR<=129dtliXagy#j~fo^m\66743nzbcRgasu-dtliXgV8?=>5hphm\mkus'nzbcRaP2430?bvngVcey!hphm\kZ419:1l|daPioqw+bvngVeT>:?<;frjkZoi{}%l|daPo^0;56=`x`eTec}{/frjkZiX:0;97j~fo^kmwq)`x`eTcR=>3:esmjYnfz~$k}g`_n]0445awafUbb~z gqkl[jY4>890k}g`_hlpp*awafUdS>9>3:esmjYnfz~$k}g`_n]0<456iin]jjvr(oycdSbQ;129dtliXagy#j~fo^m\05743nzbcRgasu-dtliXgV>:=>5hphm\mkus'nzbcRaP4330?bvngVcey!hphm\kZ249:1l|daPioqw+bvngVeT89?<;frjkZoi{}%l|daPo^6656=`x`eTec}{/frjkZiX2=?5hphm\mkus'nzbcRaP5008cuohW`dxx"iin]l[3753nzbcRgasu-dtliXgV=:>6iin]jjvr(oycdSbQ7139dtliXagy#j~fo^m\=450?37?bvngVcey!hphm\u97668>0k}g`_hlpp*awafUz0<<1159dtliXagy#j~fo^s?5686<2m{ebQfnrv,cuohWx6:83?;;frjkZoi{}%l|daPq=36:42awafUbb~z gqkl[t:607;?7j~fo^kmwq)`x`eT}1?6>018cuohW`dxx"iin]r8486<2m{ebQfnrv,cuohWx69<3?;;frjkZoi{}%l|daPq=02:42awafUbb~z gqkl[t:5<7;?7j~fo^kmwq)`x`eT}1<:>068cuohW`dxx"iin]r87099=1l|daPioqw+bvngV{7>:0>4:esmjYnfz~$k}g`_p>1<;733nzbcRgasu-dtliXy5822<=4gqkl[lht|&m{ebQ~<3<20>awafUbb~z gqkl[t:487;?7j~fo^kmwq)`x`eT}1=>>068cuohW`dxx"iin]r86499=1l|daPioqw+bvngV{7?>0>4:esmjYnfz~$k}g`_p>00;733nzbcRgasu-dtliXy59>2<:4gqkl[lht|&m{ebQ~<24=51=`x`eTec}{/frjkZw;;>4:86iin]jjvr(oycdS|2<8?37?bvngVcey!hphm\u95>6890k}g`_hlpp*awafUz0>0>4:esmjYnfz~$k}g`_p>74;733nzbcRgasu-dtliXy5>:2<:4gqkl[lht|&m{ebQ~<50=51=`x`eTec}{/frjkZw;<:4:86iin]jjvr(oycdS|2;4?37?bvngVcey!hphm\u92268>0k}g`_hlpp*awafUz0981159dtliXagy#j~fo^s?0286<2m{ebQfnrv,cuohWx6?43?;;frjkZoi{}%l|daPq=6::45018cuohW`dxx"iin]r8386;2m{ebQfnrv,cuohWx6<2<=4gqkl[lht|&m{ebQ~<9<27>awafUbb~z gqkl[t:>6:80k}g`_hlpp*awafUzW=SPiorvpZkfxyoyS|U?]Z7^[HS_W1?=Sb=;;frjkZoi{}%l|daPqZ33YZoix|~Tal~es]r_46ZSawafUbb~z gqkl[t]6;TUbb}{{_lcst`tXyR;8QV;R_LW[[=>5Wf9?7j~fo^kmwq)`x`eT}V?;]^kmtprXehz{iQ~[06^_0[XE\RT45:Po268cuohW`dxx"iin]r_43ZW`d{yyQbaqrfvZw\96\Y6YZKRPV234Ra<4:esmjYnfz~$k}g`_pY23XYnfyS`opdp\u^70UR?VS@[W_9;3[j533nzbcRgasu-dtliXyR;3QRgaptv\idvwm{UzW<6R[4_\IP^X008Tc>:4gqkl[lht|&m{ebQ~[0;^[lhw}}Ufm}~jr^sX5<[\=TUFYUQ795]l77=`x`eTec}{/frjkZw\9TUbb}{{_lcst`tXyR;VW8SPMTZ\<0>Xg:>0k}g`_hlpp*awafUzW?>R_hlsqqYjiyzn~RT21_X1XYJ]QU35;Q`359dtliXagy#j~fo^sX64[Xagz~xRcnpqgq[t]59TQ>QRCZX^::PQfnqww[hgwxlxT}V<=]Z7^[HS_W0:;Sb=;;frjkZoi{}%l|daPqZ00YZoix|~Tal~es]r_75ZSVSd`uu]neuvbzV{P>9ST5\]NQ]Y>8=Ud?95hphm\mkus'nzbcRT24_\mkvr|Vgj|}k}_pY11X]2UVG^TR7?6^m00>awafUbb~z gqkl[t]5>TUbb}{{_lcst`tXyR8=QV;R_LW[[<6?Wf9?7j~fo^kmwq)`x`eT}V<8]^kmtprXehz{iQ~[35^_0[XE\RT5<>Po268cuohW`dxx"iin]r_7>ZW`d{yyQbaqrfvZw\:1WP9PQBUY]:57Yh;=1l|daPioqw+bvngV{P>4SPiorvpZkfxyoyS|U=9\Y6YZKRPV3:8Ra<2:esmjYnfz~$k}g`_pY1YZoix|~Tal~es]r_7[\=TUFYUQ761]l71=`x`eTec}{/frjkZw\;9WTec~zt^obtucuWxQ8Ve886iin]jjvr(oycdS|U<1\]jjussWdk{|h|PqZ12Y^3ZWD_SS4?7_n17?bvngVcey!hphm\u^55UVce|xzPm`rsawYvS:8VW8SPMTZ\=76Xg:>0k}g`_hlpp*awafUzW>=R_hlsqqYjiyzn~RT32_X1XYJ]QU2>?Q`359dtliXagy#j~fo^sX71[Xagz~xRcnpqgq[t]4QRCZX^;10Zi4<2m{ebQfnrv,cuohWxQ89PQfnqww[hgwxlxT}V=:]Z7^[HS_W08=Sb=;;frjkZoi{}%l|daPqZ15YZoix|~Tal~es]r_60ZS;9Ud?95hphm\mkus'nzbcRT39_\mkvr|Vgj|}k}_pY0awafUbb~z gqkl[t]41TUbb}{{_lcst`tXyR92QV;R_LW[[<53Wf997j~fo^kmwq)`x`eT}V=R_hlsqqYjiyzn~RT3\Y6YZKRPV2=>Ra<4:esmjYnfz~$k}g`_pY74XYnfyS`opdp\u^27UR?VS@[W_815[j533nzbcRgasu-dtliXyR>:QRgaptv\idvwm{UzW9?R[4_\IP^X1:2Tc>:4gqkl[lht|&m{ebQ~[50^[lhw}}Ufm}~jr^sX07[\=TUFYUQ641]l71=`x`eTec}{/frjkZw\<:WTec~zt^obtucuWxQ??PU:]^OV\Z?3:Ve886iin]jjvr(oycdS|U;4\]jjussWdk{|h|PqZ67Y^3ZWD_SS4:;_n17?bvngVcey!hphm\u^22UVce|xzPm`rsawYvS=?VW8SPMTZ\=10Xg:>0k}g`_hlpp*awafUzW98R_hlsqqYjiyzn~RT47_X1XYJ]QU285Q`359dtliXagy#j~fo^sX02[Xagz~xRcnpqgq[t]3?TQ>QRCZX^;64Zi4:2m{ebQfnrv,cuohWxQ?QRgaptv\idvwm{UzW9ST5\]NQ]Y?>=Ud??5hphm\mkus'nzbcRT5\]jjussWdk{|h|PqZ7^_0[XE\RT4;8Po208cuohW`dxx"iin]r_3[Xagz~xRcnpqgq[t]1UR?VS@[W_94;[j553nzbcRgasu-dtliXyR=VSd`uu]neuvbzV{P;PU:]^OV\Z>08Ve8>6iin]jjvr(oycdS|U7]^kmtprXehz{iQ~[9_X1XYJ]QU3;?Q`339dtliXagy#j~fo^sX=XYnfyS`opdp\u^?ZS7j~fo^kmwq)`x`eT}R>Pcd34?bvngVcey!hphm\uZ6Xkl9:=:5hphm\mkus'nzbcRP0^af347f3nzbcRgasu-dtliXyV:TohQmde426>awafUbb~z gqkl[tY69:1l|daPioqw+bvngV{T==?<;frjkZoi{}%l|daPq^3256=`x`eTec}{/frjkZwX9;;87j~fo^kmwq)`x`eT}R?<129dtliXagy#j~fo^s\51743nzbcRgasu-dtliXyV;>=>5hphm\mkus'nzbcRP1730?bvngVcey!hphm\uZ709:1l|daPioqw+bvngV{T=5?<;frjkZoi{}%l|daPq^3:57=`x`eTec}{/frjkZwX:890k}g`_hlpp*awafUzS?>>3:esmjYnfz~$k}g`_p]1545awafUbb~z gqkl[tY5?890k}g`_hlpp*awafUzS?6>3:esmjYnfz~$k}g`_p]1=44129dtliXagy#j~fo^s\76743nzbcRgasu-dtliXyV9?=>5hphm\mkus'nzbcRP3430?bvngVcey!hphm\uZ519:1l|daPioqw+bvngV{T?:?<;frjkZoi{}%l|daPq^1;56=`x`eTec}{/frjkZwX;0;97j~fo^kmwq)`x`eT}R:>3:esmjYnfz~$k}g`_p]7445awafUbb~z gqkl[tY3>890k}g`_hlpp*awafUzS99>3:esmjYnfz~$k}g`_p]7<456iin]jjvr(oycdS|Q:139dtliXagy#j~fo^s\244;97j~fo^kmwq)`x`eT}R6>2:esmjYnfz~$k}g`_p]:5==`x`eTec}{/frjkZruazUz0=0>9:esmjYnfz~$k}g`_upjwZw;994:56iin]jjvr(oycdSy|fs^s?548612m{ebQfnrv,cuohW}xbR313<2=>awafUbb~z gqkl[qtn{V{7=>0>9:esmjYnfz~$k}g`_upjwZw;9=4:56iin]jjvr(oycdSy|fs^s?508612m{ebQfnrv,cuohW}xbR317<2=>awafUbb~z gqkl[qtn{V{7=:0>9:esmjYnfz~$k}g`_upjwZw;914:56iin]jjvr(oycdSy|fs^s?5<8602m{ebQfnrv,cuohW}xbR31?3:?bvngVcey!hphm\pwotWx69<3?6;frjkZoi{}%l|daPtskp[t:597;27j~fo^kmwq)`x`eTxg|_p>16;7>3nzbcRgasu-dtliX|{cxS|2=3?3:?bvngVcey!hphm\pwotWx6983?6;frjkZoi{}%l|daPtskp[t:5=7;27j~fo^kmwq)`x`eTxg|_p>12;7>3nzbcRgasu-dtliX|{cxS|2=7?3:?bvngVcey!hphm\pwotWx6943?6;frjkZoi{}%l|daPtskp[t:517;37j~fo^kmwq)`x`eTxg|_p>1:4?0;8cuohW`dxx"iin]wvluXy59:2<74gqkl[lht|&m{ebQ{rhq\u9556830k}g`_hlpp*awafU~d}Pq=10:4?0;8cuohW`dxx"iin]wvluXy59>2<74gqkl[lht|&m{ebQ{rhq\u9516830k}g`_hlpp*awafU~d}Pq=14:4?0;8cuohW`dxx"iin]wvluXy5922<64gqkl[lht|&m{ebQ{rhq\u959901l|daPioqw+bvngV~ye~Q~<52=5<=`x`eTec}{/frjkZruazUz09?1189dtliXagy#j~fo^vqmvYv4=85=45hphm\mkus'nzbcRz}ir]r8159901l|daPioqw+bvngV~ye~Q~<56=5<=`x`eTec}{/frjkZruazUz09;1189dtliXagy#j~fo^vqmvYv4=<5=45hphm\mkus'nzbcRz}ir]r8119901l|daPioqw+bvngV~ye~Q~<5:=5<=`x`eTec}{/frjkZruazUz0971199dtliXagy#j~fo^vqmvYv4=4:46iin]jjvr(oycdSy|fs^s?1;7?3nzbcRgasu-dtliX|{cxS|29>0:8cuohW`dxx"iin]wvluXy5=5=55hphm\mkus'nzbcRz}ir]r8=8602m{ebQfnrv,cuohW}xbR39?34?bvngVcey!hphm\pwotWxU;=l5hphm\mkus'nzbcRz}ir]r[5Ydm8i0k}g`_hlpp*awafU~d}Pq^2\g`469>1l|daPioqw+bvngV~ye~Q~_03;?bvngVcey!hphm\pwotWxU:<<64gqkl[lht|&m{ebQ{rhq\uZ76911l|daPioqw+bvngV~ye~Q~_002<>awafUbb~z gqkl[qtn{V{T=>?7;frjkZoi{}%l|daPtskp[tY6<820k}g`_hlpp*awafU~d}Pq^365==`x`eTec}{/frjkZruazUzS<8>8:esmjYnfz~$k}g`_upjwZwX9>;37j~fo^kmwq)`x`eTxg|_p]2<4>199dtliXagy#j~fo^vqmvYvW;8:46iin]jjvr(oycdSy|fs^s\667?3nzbcRgasu-dtliX|{cxS|Q=40:8cuohW`dxx"iin]wvluXyV8>=55hphm\mkus'nzbcRz}ir]r[70602m{ebQfnrv,cuohW}xbRP263;?bvngVcey!hphm\pwotWxU94<64gqkl[lht|&m{ebQ{rhq\uZ4>9>1l|daPioqw+bvngV~ye~Q~_23;?bvngVcey!hphm\pwotWxU8<<64gqkl[lht|&m{ebQ{rhq\uZ56911l|daPioqw+bvngV~ye~Q~_202f>awafUbb~z gqkl[qtn{V{T??Qle0f8cuohW`dxx"iin]wvluXyV99Snk>10:8cuohW`dxx"iin]wvluXyV98=55hphm\mkus'nzbcRz}ir]r[62602m{ebQfnrv,cuohW}xbRP343;?bvngVcey!hphm\pwotWxU8:<64gqkl[lht|&m{ebQ{rhq\uZ50911l|daPioqw+bvngV~ye~Q~_2:2<>awafUbb~z gqkl[qtn{V{T?4?8;frjkZoi{}%l|daPtskp[tY3911l|daPioqw+bvngV~ye~Q~_522<>awafUbb~z gqkl[qtn{V{T88:esmjYnfz~$k}g`_upjwZwX<<;37j~fo^kmwq)`x`eTxg|_p]724>9m1l|daPioqw+lhw}}Ufm}~jr^s\49699m1l|daPioqw+lhw}}Ufm}~jr^s\49799m1l|daPioqw+lhw}}Ufm}~jr^s\49499m1l|daPioqw+lhw}}Ufm}~jr^s\49599m1l|daPioqw+lhw}}Ufm}~jr^s\49299m1l|daPioqw+lhw}}Ufm}~jr^s\49399j1l|daPioqw+lhw}}Ufm}~jr^s\4Z66k2m{ebQfnrv,mkvr|Vgj|}k}_p]3[47d3nzbcRgasu-jjussWdk{|h|Pq^2\64eawafUbb~z iorvpZkfxyoyS|Q?_43f?bvngVcey!fnqww[hgwxlxT}R??<1<2a>awafUbb~z iorvpZkfxyoyS|Q>0=3=5`=`x`eTec}{/hlsqqYjiyzn~RP11>1:4c?k;frjkZoi{}%bb}{{_lcst`tXyV;:S9?k;frjkZoi{}%bb}{{_lcst`tXyV;:S8?j;frjkZoi{}%bb}{{_lcst`tXyV;90=0>e:esmjYnfz~$ec~zt^obtucuWxU:>1?11d9dtliXagy#d`uu]neuvbzV{T=?2=>0g8cuohW`dxx"gaptv\idvwm{UzS<<33?3f?bvngVcey!fnqww[hgwxlxT}R?=<5<2a>awafUbb~z iorvpZkfxyoyS|Q>2=7=5a=`x`eTec}{/hlsqqYjiyzn~RP13]35a=`x`eTec}{/hlsqqYjiyzn~RP13]25a=`x`eTec}{/hlsqqYjiyzn~RP13]15a=`x`eTec}{/hlsqqYjiyzn~RP13]05a=`x`eTec}{/hlsqqYjiyzn~RP13]75a=`x`eTec}{/hlsqqYjiyzn~RP13]65`=`x`eTec}{/hlsqqYjiyzn~RP12>3:4c7?3?j;frjkZoi{}%bb}{{_lcst`tXyV;?090>e:esmjYnfz~$ec~zt^obtucuWxU:81;11e9dtliXagy#d`uu]neuvbzV{T=9Q?1e9dtliXagy#d`uu]neuvbzV{T=9Q>1e9dtliXagy#d`uu]neuvbzV{T=9Q=1e9dtliXagy#d`uu]neuvbzV{T=9Q<1e9dtliXagy#d`uu]neuvbzV{T=9Q;1e9dtliXagy#d`uu]neuvbzV{T=9Q:1d9dtliXagy#d`uu]neuvbzV{T=82?>0g8cuohW`dxx"gaptv\idvwm{UzS<;31?3f?bvngVcey!fnqww[hgwxlxT}R?:<3<2a>awafUbb~z iorvpZkfxyoyS|Q>5=1=5`=`x`eTec}{/hlsqqYjiyzn~RP14>7:4c5?5=i5hphm\mkus'`d{yyQbaqrfvZwX9?U;=i5hphm\mkus'`d{yyQbaqrfvZwX9?U:=i5hphm\mkus'`d{yyQbaqrfvZwX9?U9=i5hphm\mkus'`d{yyQbaqrfvZwX9?U8=i5hphm\mkus'`d{yyQbaqrfvZwX9?U?=i5hphm\mkus'`d{yyQbaqrfvZwX9?U>=h5hphm\mkus'`d{yyQbaqrfvZwX9>6;2e:esmjYnfz~$ec~zt^obtucuWxU:;1=11d9dtliXagy#d`uu]neuvbzV{T=:2;>0g8cuohW`dxx"gaptv\idvwm{UzS<935?3g?bvngVcey!fnqww[hgwxlxT}R?8_13g?bvngVcey!fnqww[hgwxlxT}R?8_03g?bvngVcey!fnqww[hgwxlxT}R?8_33g?bvngVcey!fnqww[hgwxlxT}R?8_23g?bvngVcey!fnqww[hgwxlxT}R?8_53g?bvngVcey!fnqww[hgwxlxT}R?8_43f?bvngVcey!fnqww[hgwxlxT}R?7<1<2a>awafUbb~z iorvpZkfxyoyS|Q>8=3=5`=`x`eTec}{/hlsqqYjiyzn~RP19>1:4c4;4:i6iin]jjvr(agz~xRcnpqgq[tY61595=h5hphm\mkus'`d{yyQbaqrfvZwX906?2?k;frjkZoi{}%bb}{{_lcst`tXyV;2S9?k;frjkZoi{}%bb}{{_lcst`tXyV;2S8?k;frjkZoi{}%bb}{{_lcst`tXyV;7<3?k;frjkZoi{}%bb}{{_lcst`tXyV;7=3?k;frjkZoi{}%bb}{{_lcst`tXyV;7>3?k;frjkZoi{}%bb}{{_lcst`tXyV;7?3?k;frjkZoi{}%bb}{{_lcst`tXyV;783?k;frjkZoi{}%bb}{{_lcst`tXyV;793?l;frjkZoi{}%bb}{{_lcst`tXyV;T<?k;frjkZoi{}%bb}{{_lcst`tXyV8;S9?k;frjkZoi{}%bb}{{_lcst`tXyV8;S8?j;frjkZoi{}%bb}{{_lcst`tXyV8:0=0>e:esmjYnfz~$ec~zt^obtucuWxU9=1?11d9dtliXagy#d`uu]neuvbzV{T><2=>0g8cuohW`dxx"gaptv\idvwm{UzS??33?3f?bvngVcey!fnqww[hgwxlxT}R<><5<2a>awafUbb~z iorvpZkfxyoyS|Q=1=7=5a=`x`eTec}{/hlsqqYjiyzn~RP20]35a=`x`eTec}{/hlsqqYjiyzn~RP20]25a=`x`eTec}{/hlsqqYjiyzn~RP20]15a=`x`eTec}{/hlsqqYjiyzn~RP20]05a=`x`eTec}{/hlsqqYjiyzn~RP20]75a=`x`eTec}{/hlsqqYjiyzn~RP20]65`=`x`eTec}{/hlsqqYjiyzn~RP23>3:4ce:esmjYnfz~$ec~zt^obtucuWxU9?1;11e9dtliXagy#d`uu]neuvbzV{T>>Q?1e9dtliXagy#d`uu]neuvbzV{T>>Q>1e9dtliXagy#d`uu]neuvbzV{T>>Q=1e9dtliXagy#d`uu]neuvbzV{T>>Q<1e9dtliXagy#d`uu]neuvbzV{T>>Q;1e9dtliXagy#d`uu]neuvbzV{T>>Q:1d9dtliXagy#d`uu]neuvbzV{T>92?>0g8cuohW`dxx"gaptv\idvwm{UzS?:31?3f?bvngVcey!fnqww[hgwxlxT}R<;<3<2a>awafUbb~z iorvpZkfxyoyS|Q=4=1=5`=`x`eTec}{/hlsqqYjiyzn~RP25>7:4c=h5hphm\mkus'`d{yyQbaqrfvZwX:?6;2e:esmjYnfz~$ec~zt^obtucuWxU9:1=11d9dtliXagy#d`uu]neuvbzV{T>;2;>0g8cuohW`dxx"gaptv\idvwm{UzS?835?3g?bvngVcey!fnqww[hgwxlxT}R<9_13g?bvngVcey!fnqww[hgwxlxT}R<9_03g?bvngVcey!fnqww[hgwxlxT}R<9_33g?bvngVcey!fnqww[hgwxlxT}R<9_23g?bvngVcey!fnqww[hgwxlxT}R<9_53g?bvngVcey!fnqww[hgwxlxT}R<9_43f?bvngVcey!fnqww[hgwxlxT}R<8<1<2a>awafUbb~z iorvpZkfxyoyS|Q=7=3=5`=`x`eTec}{/hlsqqYjiyzn~RP26>1:4c;97;n7j~fo^kmwq)nfyS`opdp\uZ4?4;4:i6iin]jjvr(agz~xRcnpqgq[tY50595=h5hphm\mkus'`d{yyQbaqrfvZwX:16?2?k;frjkZoi{}%bb}{{_lcst`tXyV83S9?k;frjkZoi{}%bb}{{_lcst`tXyV83S8?j;frjkZoi{}%bb}{{_lcst`tXyV820=0>e:esmjYnfz~$ec~zt^obtucuWxU951?11d9dtliXagy#d`uu]neuvbzV{T>42=>0g8cuohW`dxx"gaptv\idvwm{UzS?733?3f?bvngVcey!fnqww[hgwxlxT}R<6<5<2a>awafUbb~z iorvpZkfxyoyS|Q=9=7=5a=`x`eTec}{/hlsqqYjiyzn~RP28]35a=`x`eTec}{/hlsqqYjiyzn~RP28]25a=`x`eTec}{/hlsqqYjiyzn~RP28]15a=`x`eTec}{/hlsqqYjiyzn~RP28]05a=`x`eTec}{/hlsqqYjiyzn~RP28]75a=`x`eTec}{/hlsqqYjiyzn~RP28]65a=`x`eTec}{/hlsqqYjiyzn~RP2=2=5a=`x`eTec}{/hlsqqYjiyzn~RP2=3=5a=`x`eTec}{/hlsqqYjiyzn~RP2=0=5a=`x`eTec}{/hlsqqYjiyzn~RP2=1=5a=`x`eTec}{/hlsqqYjiyzn~RP2=6=5a=`x`eTec}{/hlsqqYjiyzn~RP2=7=5f=`x`eTec}{/hlsqqYjiyzn~RP2^22g>awafUbb~z iorvpZkfxyoyS|Q=_03`?bvngVcey!fnqww[hgwxlxT}RR:>c:esmjYnfz~$ec~zt^obtucuWxU9S8?j;frjkZoi{}%bb}{{_lcst`tXyV9;0=0>e:esmjYnfz~$ec~zt^obtucuWxU8<1?11d9dtliXagy#d`uu]neuvbzV{T?=2=>0g8cuohW`dxx"gaptv\idvwm{UzS>>33?3f?bvngVcey!fnqww[hgwxlxT}R=?<5<2a>awafUbb~z iorvpZkfxyoyS|Q<0=7=5a=`x`eTec}{/hlsqqYjiyzn~RP31]35a=`x`eTec}{/hlsqqYjiyzn~RP31]25a=`x`eTec}{/hlsqqYjiyzn~RP31]15a=`x`eTec}{/hlsqqYjiyzn~RP31]05a=`x`eTec}{/hlsqqYjiyzn~RP31]75a=`x`eTec}{/hlsqqYjiyzn~RP31]65`=`x`eTec}{/hlsqqYjiyzn~RP30>3:4ce:esmjYnfz~$ec~zt^obtucuWxU8>1;11e9dtliXagy#d`uu]neuvbzV{T??Q?1e9dtliXagy#d`uu]neuvbzV{T??Q>1e9dtliXagy#d`uu]neuvbzV{T??Q=1e9dtliXagy#d`uu]neuvbzV{T??Q<1e9dtliXagy#d`uu]neuvbzV{T??Q;1e9dtliXagy#d`uu]neuvbzV{T??Q:1d9dtliXagy#d`uu]neuvbzV{T?>2?>0g8cuohW`dxx"gaptv\idvwm{UzS>=31?3f?bvngVcey!fnqww[hgwxlxT}R=<<3<2a>awafUbb~z iorvpZkfxyoyS|Q<3=1=5`=`x`eTec}{/hlsqqYjiyzn~RP32>7:4c=h5hphm\mkus'`d{yyQbaqrfvZwX;<6;20?0>e:esmjYnfz~$ec~zt^obtucuWxU891=11d9dtliXagy#d`uu]neuvbzV{T?82;>0g8cuohW`dxx"gaptv\idvwm{UzS>;35?3g?bvngVcey!fnqww[hgwxlxT}R=:_13g?bvngVcey!fnqww[hgwxlxT}R=:_03g?bvngVcey!fnqww[hgwxlxT}R=:_33g?bvngVcey!fnqww[hgwxlxT}R=:_23g?bvngVcey!fnqww[hgwxlxT}R=:_53g?bvngVcey!fnqww[hgwxlxT}R=:_43f?bvngVcey!fnqww[hgwxlxT}R=9<1<2a>awafUbb~z iorvpZkfxyoyS|Q<6=3=5`=`x`eTec}{/hlsqqYjiyzn~RP37>1:4c6?2?k;frjkZoi{}%bb}{{_lcst`tXyV9e:esmjYnfz~$ec~zt^obtucuWxU841?11d9dtliXagy#d`uu]neuvbzV{T?52=>0g8cuohW`dxx"gaptv\idvwm{UzS>633?3f?bvngVcey!fnqww[hgwxlxT}R=7<5<2a>awafUbb~z iorvpZkfxyoyS|Q<8=7=5a=`x`eTec}{/hlsqqYjiyzn~RP39]35a=`x`eTec}{/hlsqqYjiyzn~RP39]25a=`x`eTec}{/hlsqqYjiyzn~RP39]15a=`x`eTec}{/hlsqqYjiyzn~RP39]05a=`x`eTec}{/hlsqqYjiyzn~RP39]75a=`x`eTec}{/hlsqqYjiyzn~RP39]65`=`x`eTec}{/hlsqqYjiyzn~RP38>3:4cW9;o7j~fo^kmwq)nfyS`opdp\uZ5>W8;o7j~fo^kmwq)nfyS`opdp\uZ5>W;;o7j~fo^kmwq)nfyS`opdp\uZ5>W:;o7j~fo^kmwq)nfyS`opdp\uZ5>W=;o7j~fo^kmwq)nfyS`opdp\uZ5>W<;o7j~fo^kmwq)nfyS`opdp\uZ5;87;o7j~fo^kmwq)nfyS`opdp\uZ5;97;o7j~fo^kmwq)nfyS`opdp\uZ5;:7;o7j~fo^kmwq)nfyS`opdp\uZ5;;7;o7j~fo^kmwq)nfyS`opdp\uZ5;<7;o7j~fo^kmwq)nfyS`opdp\uZ5;=7;h7j~fo^kmwq)nfyS`opdp\uZ5X88i0k}g`_hlpp*oix|~Tal~es]r[6Y69j1l|daPioqw+lhw}}Ufm}~jr^s\7Z46k2m{ebQfnrv,mkvr|Vgj|}k}_p]0[67d3nzbcRgasu-jjussWdk{|h|Pq^1\04e3:4c:090>e:esmjYnfz~$ec~zt^obtucuWxU?=1;11e9dtliXagy#d`uu]neuvbzV{T81e9dtliXagy#d`uu]neuvbzV{T80g8cuohW`dxx"gaptv\idvwm{UzS9<31?3f?bvngVcey!fnqww[hgwxlxT}R:=<3<2a>awafUbb~z iorvpZkfxyoyS|Q;2=1=5`=`x`eTec}{/hlsqqYjiyzn~RP43>7:4c=h5hphm\mkus'`d{yyQbaqrfvZwX<=6;27=3?j;frjkZoi{}%bb}{{_lcst`tXyV>?0?0>e:esmjYnfz~$ec~zt^obtucuWxU?81=11d9dtliXagy#d`uu]neuvbzV{T892;>0g8cuohW`dxx"gaptv\idvwm{UzS9:35?3g?bvngVcey!fnqww[hgwxlxT}R:;_13g?bvngVcey!fnqww[hgwxlxT}R:;_03g?bvngVcey!fnqww[hgwxlxT}R:;_33g?bvngVcey!fnqww[hgwxlxT}R:;_23g?bvngVcey!fnqww[hgwxlxT}R:;_53g?bvngVcey!fnqww[hgwxlxT}R:;_43f?bvngVcey!fnqww[hgwxlxT}R::<1<2a>awafUbb~z iorvpZkfxyoyS|Q;5=3=5`=`x`eTec}{/hlsqqYjiyzn~RP44>1:4c595=h5hphm\mkus'`d{yyQbaqrfvZwX=S=?k;frjkZoi{}%bb}{{_lcst`tXyV>=S=S??k;frjkZoi{}%bb}{{_lcst`tXyV>=S>?k;frjkZoi{}%bb}{{_lcst`tXyV>=S9?k;frjkZoi{}%bb}{{_lcst`tXyV>=S8?j;frjkZoi{}%bb}{{_lcst`tXyV><0=0>e:esmjYnfz~$ec~zt^obtucuWxU?;1?11d9dtliXagy#d`uu]neuvbzV{T8:2=>0g8cuohW`dxx"gaptv\idvwm{UzS9933?3f?bvngVcey!fnqww[hgwxlxT}R:8<5<2a>awafUbb~z iorvpZkfxyoyS|Q;7=7=5a=`x`eTec}{/hlsqqYjiyzn~RP46]35a=`x`eTec}{/hlsqqYjiyzn~RP46]25a=`x`eTec}{/hlsqqYjiyzn~RP46]15a=`x`eTec}{/hlsqqYjiyzn~RP46]05a=`x`eTec}{/hlsqqYjiyzn~RP46]75a=`x`eTec}{/hlsqqYjiyzn~RP46]65a=`x`eTec}{/hlsqqYjiyzn~RP4=2=5a=`x`eTec}{/hlsqqYjiyzn~RP4=3=5a=`x`eTec}{/hlsqqYjiyzn~RP4=0=5a=`x`eTec}{/hlsqqYjiyzn~RP4=1=5a=`x`eTec}{/hlsqqYjiyzn~RP4=6=5a=`x`eTec}{/hlsqqYjiyzn~RP4=7=5f=`x`eTec}{/hlsqqYjiyzn~RP4^22g>awafUbb~z iorvpZkfxyoyS|Q;_03`?bvngVcey!fnqww[hgwxlxT}R:P20a8cuohW`dxx"gaptv\idvwm{UzS9Q<1b9dtliXagy#d`uu]neuvbzV{T8R:>c:esmjYnfz~$ec~zt^obtucuWxU?S8?k;frjkZoi{}%bb}{{_lcst`tXyV?7<3?k;frjkZoi{}%bb}{{_lcst`tXyV?7=3?k;frjkZoi{}%bb}{{_lcst`tXyV?7>3?k;frjkZoi{}%bb}{{_lcst`tXyV?7?3?k;frjkZoi{}%bb}{{_lcst`tXyV?783?k;frjkZoi{}%bb}{{_lcst`tXyV?793?l;frjkZoi{}%bb}{{_lcst`tXyV?T<awafUbb~z iorvpZkfxyoyS|Q9_43g?bvngVcey!fnqww[hgwxlxT}R930?3g?bvngVcey!fnqww[hgwxlxT}R931?3g?bvngVcey!fnqww[hgwxlxT}R932?3g?bvngVcey!fnqww[hgwxlxT}R933?3g?bvngVcey!fnqww[hgwxlxT}R934?3g?bvngVcey!fnqww[hgwxlxT}R935?3`?bvngVcey!fnqww[hgwxlxT}R9P00a8cuohW`dxx"gaptv\idvwm{UzS:Q>1b9dtliXagy#d`uu]neuvbzV{T;R<>c:esmjYnfz~$ec~zt^obtucuWxU?l;frjkZoi{}%bb}{{_lcst`tXyV=T8U>=i5hphm\mkus'`d{yyQbaqrfvZwX05:5=i5hphm\mkus'`d{yyQbaqrfvZwX05;5=i5hphm\mkus'`d{yyQbaqrfvZwX0585=i5hphm\mkus'`d{yyQbaqrfvZwX0595=i5hphm\mkus'`d{yyQbaqrfvZwX05>5=i5hphm\mkus'`d{yyQbaqrfvZwX05?5=n5hphm\mkus'`d{yyQbaqrfvZwX0V::o6iin]jjvr(agz~xRcnpqgq[tY?W8;h7j~fo^kmwq)nfyS`opdp\uZ>X:8i0k}g`_hlpp*oix|~Tal~es]r[=Y49j1l|daPioqw+lhw}}Ufm}~jr^s\awafUbb~z iorvpZkfxyoyS|Q6_23`?bvngVcey!fnqww[hgwxlxT}R7P40a8cuohW`dxx"gaptv\idvwm{UzS4Q:1d9dtliXagy#b{{ptv\idvwm{UzS=2?>0g8cuohW`dxx"aztqww[hgwxlxT}R>31?3f?bvngVcey!`uurvpZkfxyoyS|Q?<3<2a>awafUbb~z otvsqqYjiyzn~RP0=1=5`=`x`eTec}{/nwwtprXehz{iQ~_1>7:4c5=h5hphm\mkus'f|xzPm`rsawYvW86>2?k;frjkZoi{}%dyy~zt^obtucuWxU:S9?k;frjkZoi{}%dyy~zt^obtucuWxU:S8?k;frjkZoi{}%dyy~zt^obtucuWxU:S;?:;frjkZoi{}%{|~~fn^s?4;703nzbcRgasu-stvvnfV{7==>1169dtliXagy#}~|phl\u97797;<7j~fo^kmwq)wxzzbbR3110=52=`x`eTec}{/qrptlhXy5;;?3?8;frjkZoi{}%{|~~fn^s?55299>1l|daPioqw+uvtx`dT}1??5?34?bvngVcey!prrjjZw;99<5=:5hphm\mkus'yzx|d`Pq=333;703nzbcRgasu-stvvnfV{7==61169dtliXagy#}~|phl\u97717;=7j~fo^kmwq)wxzzbbR311<23>awafUbb~z pqqsmkYv48;;2<94gqkl[lht|&z{}ga_p>25486?2m{ebQfnrv,tuuwagUz0058cuohW`dxx"~sqkm[t:69:4:;6iin]jjvr(xyy{ecQ~<037:417:esmjYnfz~$|}}io]r847168=0k}g`_hlpp*vw{yceS|2>16<23>awafUbb~z pqqsmkYv48;32<94gqkl[lht|&z{}ga_p>25<86>2m{ebQfnrv,tuuwagUz03?8;frjkZoi{}%{|~~fn^s?57599>1l|daPioqw+uvtx`dT}1?=4?34?bvngVcey!prrjjZw;9;?5=:5hphm\mkus'yzx|d`Pq=312;703nzbcRgasu-stvvnfV{7=?91179dtliXagy#}~|phl\u97568<0k}g`_hlpp*vw{yceS|2>3?35?bvngVcey!prrjjZw;9=4::6iin]jjvr(xyy{ecQ~<07=53=`x`eTec}{/qrptlhXy5;=2<84gqkl[lht|&z{}ga_p>23;713nzbcRgasu-stvvnfV{7=50>6:esmjYnfz~$|}}io]r84?99<1l|daPioqw+uvtx`dT}1?1179dtliXagy#}~|phl\u94768<0k}g`_hlpp*vw{yceS|2=1?35?bvngVcey!prrjjZw;:;4::6iin]jjvr(xyy{ecQ~<31=53=`x`eTec}{/qrptlhXy58?2<84gqkl[lht|&z{}ga_p>11;713nzbcRgasu-stvvnfV{7>;0>6:esmjYnfz~$|}}io]r87199?1l|daPioqw+uvtx`dT}1<7>048cuohW`dxx"~sqkm[t:517;>7j~fo^kmwq)wxzzbbR32?35?bvngVcey!prrjjZw;;94::6iin]jjvr(xyy{ecQ~<23=53=`x`eTec}{/qrptlhXy5992<84gqkl[lht|&z{}ga_p>07;713nzbcRgasu-stvvnfV{7?90>6:esmjYnfz~$|}}io]r86399?1l|daPioqw+uvtx`dT}1=9>048cuohW`dxx"~sqkm[t:4?7;=7j~fo^kmwq)wxzzbbR339<22>awafUbb~z pqqsmkYv4:35=85hphm\mkus'yzx|d`Pq=1=53=`x`eTec}{/qrptlhXy5>;2<84gqkl[lht|&z{}ga_p>75;713nzbcRgasu-stvvnfV{78?0>6:esmjYnfz~$|}}io]r81599?1l|daPioqw+uvtx`dT}1:;>048cuohW`dxx"~sqkm[t:3=7;=7j~fo^kmwq)wxzzbbR347<22>awafUbb~z pqqsmkYv4==5=;5hphm\mkus'yzx|d`Pq=6;:406:esmjYnfz~$|}}io]r80799?1l|daPioqw+uvtx`dT}1;=>048cuohW`dxx"~sqkm[t:2;7;=7j~fo^kmwq)wxzzbbR355<22>awafUbb~z pqqsmkYv4;3?9;frjkZoi{}%{|~~fn^s?1=86>2m{ebQfnrv,tuuwagUz0871149dtliXagy#}~|phl\u9399?1l|daPioqw+uvtx`dT}18?>048cuohW`dxx"~sqkm[t:197;=7j~fo^kmwq)wxzzbbR363<22>awafUbb~z pqqsmkYv4?95=;5hphm\mkus'yzx|d`Pq=47:402m{ebQfnrv,tuuwagUz0;91179dtliXagy#}~|phl\u90?68<0k}g`_hlpp*vw{yceS|299?36?bvngVcey!prrjjZw;>7;=7j~fo^kmwq)wxzzbbR371<22>awafUbb~z pqqsmkYv4>;5=;5hphm\mkus'yzx|d`Pq=51:402m{ebQfnrv,tuuwagUz0:;1179dtliXagy#}~|phl\u91168<0k}g`_hlpp*vw{yceS|287?35?bvngVcey!prrjjZw;?14::6iin]jjvr(xyy{ecQ~<6;=50=`x`eTec}{/qrptlhXy5=5=;5hphm\mkus'yzx|d`Pq=:3:402m{ebQfnrv,tuuwagUz05=1179dtliXagy#}~|phl\u9>368<0k}g`_hlpp*vw{yceS|275?35?bvngVcey!prrjjZw;0?4::6iin]jjvr(xyy{ecQ~<95=53=`x`eTec}{/qrptlhXy5232<84gqkl[lht|&z{}ga_p>;=;723nzbcRgasu-stvvnfV{743?9;frjkZoi{}%{|~~fn^s?=586>2m{ebQfnrv,tuuwagUz04?1179dtliXagy#}~|phl\u9?568<0k}g`_hlpp*vw{yceS|263?35?bvngVcey!prrjjZw;1=4::6iin]jjvr(xyy{ecQ~<87=53=`x`eTec}{/qrptlhXy53=2<84gqkl[lht|&z{}ga_p>:3;713nzbcRgasu-stvvnfV{7550>6:esmjYnfz~$|}}io]r86:esmjYnfz~$|}}io]r[4669?1l|daPioqw+uvtx`dT}R??2048cuohW`dxx"~sqkm[tY68:;=7j~fo^kmwq)wxzzbbRP11622>awafUbb~z pqqsmkYvW8:>=;5hphm\mkus'yzx|d`Pq^332406>2m{ebQfnrv,tuuwagUzS<>6149dtliXagy#}~|phl\uZ769?1l|daPioqw+uvtx`dT}R?>0048cuohW`dxx"~sqkm[tY698;=7j~fo^kmwq)wxzzbbRP10022>awafUbb~z pqqsmkYvW8;8=;5hphm\mkus'yzx|d`Pq^320402m{ebQfnrv,tuuwagUzS1836?bvngVcey!prrjjZwX9;;=7j~fo^kmwq)wxzzbbRP13222>awafUbb~z pqqsmkYvW88:=;5hphm\mkus'yzx|d`Pq^31640>?9;frjkZoi{}%{|~~fn^s\5726>2m{ebQfnrv,tuuwagUzS<<:179dtliXagy#}~|phl\uZ75>8<0k}g`_hlpp*vw{yceS|Q>2636?bvngVcey!prrjjZwX9:;>7j~fo^kmwq)wxzzbbRP1536?bvngVcey!prrjjZwX9<;>7j~fo^kmwq)wxzzbbRP1736?bvngVcey!prrjjZwX9>;>7j~fo^kmwq)wxzzbbRP1936?bvngVcey!prrjjZwX90;?7j~fo^kmwq)wxzzbbRP2078cuohW`dxx"~sqkm[tY588?0k}g`_hlpp*vw{yceS|Q=1078cuohW`dxx"~sqkm[tY5:8?0k}g`_hlpp*vw{yceS|Q=3078cuohW`dxx"~sqkm[tY5<8?0k}g`_hlpp*vw{yceS|Q=5078cuohW`dxx"~sqkm[tY5>8?0k}g`_hlpp*vw{yceS|Q=7078cuohW`dxx"~sqkm[tY508?0k}g`_hlpp*vw{yceS|Q=9068cuohW`dxx"~sqkm[tY49<1l|daPioqw+uvtx`dT}R=?149dtliXagy#}~|phl\uZ569<1l|daPioqw+uvtx`dT}R==199dtliXagy#}~|phl\uZ55Wjo:m6iin]jjvr(xyy{ecQ~_20\g`769<1l|daPioqw+uvtx`dT}R=<149dtliXagy#}~|phl\uZ539<1l|daPioqw+uvtx`dT}R=:149dtliXagy#}~|phl\uZ519<1l|daPioqw+uvtx`dT}R=8149dtliXagy#}~|phl\uZ5?9<1l|daPioqw+uvtx`dT}R=6159dtliXagy#}~|phl\uZ26=2m{ebQfnrv,tuuwagUzS9>>5:esmjYnfz~$|}}io]r[176=2m{ebQfnrv,tuuwagUzS9<>5:esmjYnfz~$|}}io]r[156=2m{ebQfnrv,tuuwagUzS9:>5:esmjYnfz~$|}}io]r[136=2m{ebQfnrv,tuuwagUzS98>5:esmjYnfz~$|}}io]r[116=2m{ebQfnrv,tuuwagUzS96>5:esmjYnfz~$|}}io]r[1?6<2m{ebQfnrv,tuuwagUzS8?:;frjkZoi{}%{|~~fn^s\15723nzbcRgasu-stvvnfV{T9?:;frjkZoi{}%{|~~fn^s\11723nzbcRgasu-stvvnfV{T98?:;frjkZoi{}%{|~~fn^s\13723nzbcRgasu-stvvnfV{T9:?:;frjkZoi{}%{|~~fn^s\1=723nzbcRgasu-stvvnfV{T94?;;frjkZoi{}%{|~~fn^s\243<;4gqkl[lht|&z{}ga_p]5743awafUbb~z pqqsmkYvW1;:96iin]jjvr(xyy{ecQ~_9021>awafUbb~z pqqsmkYvW19:96iin]jjvr(xyy{ecQ~_9621>awafUbb~z pqqsmkYvW1?:96iin]jjvr(xyy{ecQ~_9421>awafUbb~z pqqsmkYvW1=:96iin]jjvr(xyy{ecQ~_9:21>awafUbb~z pqqsmkYvW13:86iin]jjvr(xyy{ecQ~_836?bvngVcey!prrjjZwX19;>7j~fo^kmwq)wxzzbbRP9036?bvngVcey!prrjjZwX1;;>7j~fo^kmwq)wxzzbbRP9236?bvngVcey!prrjjZwX1=;>7j~fo^kmwq)wxzzbbRP9436?bvngVcey!prrjjZwX1?;>7j~fo^kmwq)wxzzbbRP9636?bvngVcey!prrjjZwX11;>7j~fo^kmwq)wxzzbbRP9836?bvngVcey!|pq]qadb;87;=7j~fo^kmwq)txyUyilj30?323>awafUbb~z sqr\v`gc494:<<94gqkl[lht|&y{|R|jae>3:476?2m{ebQfnrv,wuvXzlko0=0>2058cuohW`dxx"}p^pfea:7689:;6iin]jjvr({yzT~hok<1<20417:esmjYnfz~$}~Prdcg8586>8=0k}g`_hlpp*uwxVxnmi2?>0522>awafUbb~z sqr\v`gc4949=;5hphm\mkus'zz{Sknd=2=7402m{ebQfnrv,wuvXzlko0=09179dtliXagy#~~_sgb`969?8<0k}g`_hlpp*uwxVxnmi2?>935?bvngVcey!|pq]qadb;873:96iin]jjvr({yzT~hok<0<22>awafUbb~z sqr\v`gc484:=:5hphm\mkus'zz{Sknd=3=55703nzbcRgasu-ptuYumhn7=3?>169dtliXagy#~~_sgb`9799;;<7j~fo^kmwq)txyUyilj31?3752=`x`eTec}{/rrs[wcfl5;5=8?6;frjkZoi{}%x|}Q}e`f?5;72WO;27j~fo^kmwq)txyUyilj31?36[B703nzbcRgasu-ptuYumhn7=3?9169dtliXagy#~~_sgb`9799>;=7j~fo^kmwq)txyUyilj31?022>awafUbb~z sqr\v`gc4848=;5hphm\mkus'zz{Sknd=3=0402m{ebQfnrv,wuvXzlko0<08179dtliXagy#~~_sgb`97908<0k}g`_hlpp*uwxVxnmi2>>833?bvngVy{|R|jae>3:46oe}ogbb!|yoa,bc4d3`h~jv sxl`+405baq58i`kfzk%:m6cjm`pa+HkrpVy;SzlPaep\k4669h1fi`o}b.Onq}Yt8V}iSlj}_n3244g103b?hcji{h$A`{w_r2\sgYfl{Ud=??>a:ofidte'Dg~tR}?_v`\eatXg89:=l5belcqf*Kj}qUxkbehxi#@czx^q3[rdXimxTc<9>1`9nahguj&GfyuQ|0^ua[dbuWf;3=189nahguj&GfyuQ|0^ua[dbuWf=:=45belcqf*Kj}qUx6901fi`o}b.Onq}Yt8V}iSlj}_n;25<=jmdkyn"Cbuy]p4ZqeWjshSb?>189nahguj&GfyuQ|0^ua[vckWf;:=45belcqf*Kj}qUx189nahguj&GfyuQ|0^ua[vreWf;:=55belcqf*Kj}qUx7:ofidte'jy~h`{_lcpp`tX98o0ahcnrc-`qwtbf}Ufm~zjr^eoq`Ytm}<0advjr.3`?hom{%Fmnmzm^cv`liXe`rTot2?>0f8il~bz&Gjon{b_`wgmjYjaqUhu1??>0f8il~bz&Gjon{b_`wgmjYjaqUhu1?>>0f8il~bz&Gjon{b_`wgmjYjaqUhu1?=>0f8il~bz&Gjon{b_`wgmjYjaqUhu1?<>0f8il~bz&Gjon{b_`wgmjYjaqUhu1?;>0f8il~bz&Gjon{b_`wgmjYjaqUhu1?:>0f8il~bz&Gjon{b_`wgmjYjaqUhu1?9>0f8il~bz&Gjon{b_`wgmjYjaqUhu1?8>0f8il~bz&Gjon{b_`wgmjYjaqUhu1?7>328il~bz&Gjon{b_`wgmjYjaqUhu1?7>^pw5f=jaqoy#@olcto\epbngVgbtRmv<0<2g>knplx$Almlul]bqaohWdcsSnw32?3`?hom{%Fmnmzm^cv`liXe`rTot2<>0a8il~bz&Gjon{b_`wgmjYjaqUhu1:11b9nm}cu'DkhoxcPatfjkZknpVir080>c:oj|`t(Ehihy`Qnuekl[hoWjs7:3?l;lk{aw)Jiji~aRozdhm\il~Xkp6<23?j;lk{aw)Jiji~aRozdhm\il~Xd|~7=>0>e:oj|`t(Ehihy`Qnuekl[hoWe0<:11d9nm}cu'DkhoxcPatfjkZknpVf~x1?:>0g8il~bz&Gjon{b_`wgmjYjaqUgyy2>6?3f?hom{%Fmnmzm^cv`liXe`rT`xz316<2`>knplx$Almlul]bqaohWdcsSa{{<0<2`>knplx$Almlul]bqaohWdcsSa{{<3<2`>knplx$Almlul]bqaohWdcsSa{{<2<2`>knplx$Almlul]bqaohWdcsSa{{<5<2`>knplx$Almlul]bqaohWdcsSa{{<4<2`>knplx$Almlul]bqaohWdcsSa{{<7<2`>knplx$Almlul]bqaohWdcsSa{{<6<2`>knplx$Almlul]bqaohWdcsSa{{<9<2`>knplx$Almlul]bqaohWdcsSa{{<8<2`>knplx$Almlul]bqaohWdcsSua}<1<2a>knplx$Almlul]bqaohWdcsSua}<02=5`=jaqoy#@olcto\epbngVgbtRv`r=32:4c26;7b3dcsi!BabaviZgrl`eTadvPxnp?5686m2gbth| M`a`qhYf}mcdS`gw_ymq84299l1feuk}/Lc`gpkXi|nbcRcfx^zlv97268o0advjr.ObgfsjWhoebQbiy]{kw:6>7;n7`gwes-NefereVk~hdaPmhz\|jt;9>4:i6cfxdp,Ided}dUjyig`_lk{[}iu4825=h5biygq+Hgdk|gTmxjfo^oj|Z~hz5;22??4mhzfv*KfkjfSl{kin]nm}Yg{6:53Q}t0f8il~bz&Gjon{b_`wgmjYjaqUsc2>>0f8il~bz&Gjon{b_`wgmjYjaqUsc2=>0f8il~bz&Gjon{b_`wgmjYjaqUsc2<>0f8il~bz&Gjon{b_`wgmjYjaqUsc2;>0f8il~bz&Gjon{b_`wgmjYjaqUsc2:>0f8il~bz&Gjon{b_`wgmjYjaqUsc29>0f8il~bz&Gjon{b_`wgmjYjaqUsc28>0f8il~bz&Gjon{b_`wgmjYjaqUsc27>0f8il~bz&Gjon{b_`wgmjYjaqUsc26>028il~bz&Xnxb{<1<255=jaqoy#_k|umv?558682gbth| Rdqvhq:697;;7`gwes-Qavsk|5;92<>4mhzfv*Tb{|f0<=1119nm}cu'[oxyaz315<24>knplx$^h}zlu>21;773dcsi!]erwop97168:0advjr.Pfwpjs48=5==5biygq+Wct}e~7=50>0:oj|`t(Zly~`y2>9?33?hom{%Yi~{ct=3=5c=jaqoy#_k|umv?6;`7l0advjr.Pfwpjs4>4m7`gwes-Qavsk|525j6cfxdp,V`urd}622<;4mhzfv*grl`eTjd`nl=33:432<;4mhzfv*grl`eTjd`nl=35:430advjr.cv`liXn`dj`1;1159nm}cu'hoebQiioco8386<2gbth| atfjkZ`nfhf7;3?;;lk{aw)f}mcdSkgaam>;:425biygq+dscafUmecoc_037?hom{%jyig`_gkmeiY688>0advjr.cv`liXn`dj`R?>159nm}cu'hoebQiioco[446<2gbth| atfjkZ`nfhfT=>?;;lk{aw)f}mcdSkgaam]2042=95biygq+dscafUmecoc_0420>knplx$mxjfo^djjdjX9>;?7`gwes-bqaohWocemaQ>8068il~bz&k~hdaPfhlbhZ7>9:1feuk}/`wgmjYaagkgS??<;lk{aw)f}mcdSkgaam]056=jaqoy#l{kin]emkgkW=;87`gwes-bqaohWocemaQ:129nm}cu'hoebQiioco[3743dcsi!nuekl[coiieU<=>5biygq+dscafUmecoc_930?hom{%jyig`_gkmeiY>9;1feuk}/`wgmjYjaq6;2<=4mhzfv*grl`eTadv311<27>knplx$mxjfo^oj|9766890advjr.cv`liXe`r7=?0>3:oj|`t(i|nbcRcfx=30:45018il~bz&k~hdaPmhz?5386;2gbth| atfjkZknp5;<2<=4mhzfv*grl`eTadv319<27>knplx$mxjfo^oj|97>6880advjr.cv`liXe`r7=3?=;lk{aw)f}mcdS`gw<3<26>knplx$mxjfo^oj|9599;1feuk}/`wgmjYjaq6?2<<4mhzfv*grl`eTadv35?31?hom{%jyig`_lk{8386:2gbth| atfjkZknp5=5=?5biygq+dscafUfeu27>008il~bz&k~hdaPmhz?=;763dcsi!nuekl[hoW9;:7`gwes-bqaohWdcsSknplx$mxjfo^oj|Z769;1feuk}/`wgmjYjaqU:><<4mhzfv*grl`eTadvP1231?hom{%jyig`_lk{[426:2gbth| atfjkZknpV;>=?5biygq+dscafUfeuQ>6008il~bz&k~hdaPmhz\52753dcsi!nuekl[hoW82:>6cfxdp,epbngVgbtR?6109nm}cu'hoebQbiy]154=jaqoy#l{kin]nm}Y4981feuk}/`wgmjYjaqU?=<5biygq+dscafUfeuQ:109nm}cu'hoebQbiy]554=jaqoy#l{kin]nm}Y0981feuk}/`wgmjYjaqU3=<5biygq+dscafUfeuQ6119nm}cu'jdSigy<1<24>knplx$oczPdht?5;433dcsi!lnu]gms]6UVMEHR<;3^m\atsfdV=Tc?64mhzfv*ei|VnbzV?R_FLG[724WfUn}xoc_6]l8486n2gbth| cov\`lpX8820advjr.ampZbn~V:Tka{j_rgw5`=jaqoy#n`{_eku[5Y`d|oThzPIOT\4c=jaqoy#n`{_eku[47?3dcsi!lnu]gmsY6Wnf~iR}jt038il~bz&njxlQ`uu>3:442:oj|`t(lh~jSb{{<00=57=jaqoy#io{a^mvp9746880advjr.fbpdYh}}6:83?=;lk{aw)ci}kTcxz314<26>knplx$hlzn_nww84099;1feuk}/ecweZir|5;<2>038il~bz&njxlQ`uu>1:47038il~bz&njxlQ`uu>5:47078il~bz&njxlQ`uu]3[GTE88?0advjr.fbpdYh}}U;SO\M10;8il~bz&njxlQ`uu]3[bjrmVynx<84mhzfv*bf|hUdyyQ>0^@QF5713dcsi!kauc\kprX99UI^O?>a:oj|`t(lh~jSb{{_02\cisbWzo=;5biygq+agsiVe~xR?>_CPA4402^eoq`Ytm};=7`gwes-geqgXg|~T=>QMRC222>knplx$hlzn_nww[45XJ[H:=l5biygq+agsiVe~xR?<_fnvaZub|8<0advjr.fbpdYh}}U:8RL]B135?hom{%omyoPotv\51YEZK;:m6cfxdp,`drfWfS<:Pgmwf[vcs9?1feuk}/ecweZir|V;>SO\M0048il~bz&njxlQ`uu]21ZDUJ8;j7`gwes-geqgXg|~T=8Qhltg\w`r6>2gbth| d`vb[jssW8UI^O>>6:oj|`t(lh~jSb{{_05\FWD69h1feuk}/ecweZir|V;_CPA54?WKXI<<;4mhzfv*bf|hUdyyQ6_CPA54?Wnf~iR}jt`9nm}cu'znd#<;4mhzfv*ucg&OzbR>Pm`lg5401^obja76>2gbth| sem,AthX9;Ufmcj>179nm}cu'znd#Ha_01\idhc98<0advjr.qgk*CvfV;?S`oad035?hom{%xhb!Jqo]21Zkffm;::6cfxdp,wai(MxdT=;Qbaof253=jaqoy#~j`/Dsm[41Xehdo=<84mhzfv*ucg&OzbR?7_lcm`4723dcsi!|dn-FukY6WdkehWdkeh<<=;lk{aw)tlf%Fmnmzm^qjchgXdh~hdRmv<1<17>knplx$ia M`a`qhYtangjSao{ci]`}9776;90advjr.qgk*KfkjfS~ghm`]oeqeoWjs7=<0=3:oj|`t({me$Almlul]pmbkfWekoeQly=31:75318il~bz&yoc"Cncbwn[vo`ehUgmymg_b{?5085;2gbth| sem,Ided}dUxejcn_mcwgmYdq5;=2?=4mhzfv*ucg&Gjon{b_rkdidYki}icSnw316<17>knplx$ia M`a`qhYtangjSao{ci]`}97?6;80advjr.qgk*KfkjfS~ghm`]oeqeoWjs7=3<=;lk{aw)tlf%Fmnmzm^qjchgXdh~hdRmv<3<16>knplx$ia M`a`qhYtangjSao{ci]`}959:;1feuk}/rfl+Hgdk|gTdiba^nbpfnXkp6?2?<4mhzfv*ucg&Gjon{b_rkdidYki}icSnw35?01?hom{%xhb!BabaviZunodkT`lzlh^az8385:2gbth| sem,Ided}dUxejcn_mcwgmYdq5=5>?5biygq+vbh'DkhoxcPsheneZjf|jbTot27>308il~bz&yoc"Cncbwn[vo`ehUgmymg_b{?=;443dcsi!|dn-NefereVybk`oPl`v`lZjr|5:5>95biygq+vbh'DkhoxcPsheneZjf|jbT`xz311<10>knplx$ia M`a`qhYtangjSao{ci]oqq:6978?7`gwes-p`j)Jiji~aR}fglc\hdrd`Vf~x1?=>368il~bz&yoc"Cncbwn[vo`ehUgmymg_mww8459:=1feuk}/rfl+Hgdk|gTdiba^nbpfnXd|~7=90=4:oj|`t({me$Almlul]pmbkfWekoeQcuu>21;433dcsi!|dn-NefereVybk`oPl`v`lZjr|5;=2?:4mhzfv*ucg&Gjon{b_rkdidYki}icSa{{<05=61=jaqoy#~j`/Lc`gpkX{`mfmRbntbj\hpr;914986cfxdp,wai(Ehihy`Q|ifob[igskaUgyy2>9?00?hom{%xhb!BabaviZunodkT`lzlh^nvp979::1feuk}/rfl+Hgdk|gTdiba^nbpfnXd|~7>3<<;lk{aw)tlf%Fmnmzm^qjchgXdh~hdRbzt=1=66=jaqoy#~j`/Lc`gpkX{`mfmRbntbj\hpr;<7887`gwes-p`j)Jiji~aR}fglc\hdrd`Vf~x1;1229nm}cu'znd#@olcto\wlajiVfjxnfPltv?2;443dcsi!|dn-NefereVybk`oPl`v`lZjr|5=5>>5biygq+vbh'DkhoxcPsheneZjf|jbT`xz38?00?hom{%xhb!BabaviZunodkT`lzlh^nvp9?9::1feuk}/rfl+Hgdk|gTdiba^nbpfnXpfx7<3<;;lk{aw)tlf%Fmnmzm^qjchgXdh~hdRv`r=33:7295biygq+vbh'DkhoxcPsheneZjf|jbTtb|313<10>knplx$ia M`a`qhYtangjSao{ci]{kw:6;78?7`gwes-p`j)Jiji~aR}fglc\hdrd`Vrd~1?;>368il~bz&yoc"Cncbwn[vo`ehUgmymg_ymq8439:=1feuk}/rfl+Hgdk|gTdiba^nbpfnXpfx7=;0=4:oj|`t({me$Almlul]pmbkfWekoeQwos>23;433dcsi!|dn-NefereVybk`oPl`v`lZ~hz5;32?:4mhzfv*ucg&Gjon{b_rkdidYki}icSua}<0;=66=jaqoy#~j`/Lc`gpkX{`mfmRbntbj\|jt;97887`gwes-p`j)Jiji~aR}fglc\hdrd`Vrd~1<1229nm}cu'znd#@olcto\wlajiVfjxnfPxnp?7;443dcsi!|dn-NefereVybk`oPl`v`lZ~hz5>5>>5biygq+vbh'DkhoxcPsheneZjf|jbTtb|35?00?hom{%xhb!BabaviZunodkT`lzlh^zlv909::1feuk}/rfl+Hgdk|gTdiba^nbpfnXpfx7;3<<;lk{aw)tlf%Fmnmzm^qjchgXdh~hdRv`r=:=66=jaqoy#~j`/Lc`gpkX{`mfmRbntbj\|jt;17;87`gwes-p`j)Umzgx1>1159nm}cu'znd#_k|umv?5586<2gbth| sem,V`urd}6:=3?;;lk{aw)tlf%Yi~{ct=31:42knplx$ia Rdqvhq:6=7;?7`gwes-p`j)Umzgx1?9>068il~bz&yoc"\jstnw84199=1feuk}/rfl+Wct}e~7=50>4:oj|`t({me$^h}zlu>2=;743dcsi!|dn-Qavsk|5;5=>5biygq+vbh'[oxyaz32?30?hom{%xhb!]erwop9599:1feuk}/rfl+Wct}e~783?<;lk{aw)tlf%Yi~{ct=7=56=jaqoy#~j`/Sgpqir;>7;87`gwes-p`j)Umzgx191129nm}cu'znd#_k|umv?<;743dcsi!|dn-Qavsk|535=<5biygq+vbh'mkS|Q?109nm}cu'znd#io{_p]257=jaqoy#~j`/ecw[tY68880advjr.qgk*bf|V{T=knplx$ia d`v\uZ749;1feuk}/rfl+agsWxU:8<<4mhzfv*ucg&njxRP1431?hom{%xhb!kau]r[406:2gbth| sem,`drXyV;<=<5biygq+vbh'mkS|Q=109nm}cu'znd#io{_p]054=jaqoy#~j`/ecw[tY3981feuk}/rfl+agsWxU>=<5biygq+vbh'mkS|Q9109nm}cu'znd#io{_p]454=jaqoy#~j`/ecw[tY?981feuk}/rfl+agsWxU2=?5biygq+vbh'mkmRazt0:8il~bz&yoc"}fglc\hdrd`5:5=45biygq+vbh'zclalQcauak8469901feuk}/rfl+vo`ehUgmymg<03=5<=jaqoy#~j`/rkdidYki}ic0<<1189nm}cu'znd#~ghm`]oeqeo4895=45biygq+vbh'zclalQcauak8429901feuk}/rfl+vo`ehUgmymg<07=5<=jaqoy#~j`/rkdidYki}ic0<81189nm}cu'znd#~ghm`]oeqeo48=5=45biygq+vbh'zclalQcauak84>9901feuk}/rfl+vo`ehUgmymg<0;=5==jaqoy#~j`/rkdidYki}ic0<0>8:oj|`t({me$diba^nbpfn;:7;37`gwes-p`j)tangjSao{ci>0:4>0:8il~bz&yoc"}fglc\hdrd`525=55biygq+vbh'zclalQcauak8<86?2gbth| sem,wlajiVfjxnfP0058il~bz&yoc"}fglc\hdrd`V;:46cfxdp,wai({`mfmRbntbj\557?3dcsi!|dn-pmbkfWekoeQ>10:8il~bz&yoc"}fglc\hdrd`V;9=55biygq+vbh'zclalQcauak[45602gbth| sem,wlajiVfjxnfP153;?hom{%xhb!|ifob[igskaU:9<64mhzfv*ucg&ybk`oPl`v`lZ71911feuk}/rfl+vo`ehUgmymg_052<>knplx$ia sheneZjf|jbT=5?7;lk{aw)tlf%xejcn_mcwgmY618=0advjr.qgk*unodkT`lzlh^023>knplx$ia sheneZjf|jbT?<94mhzfv*ucg&ybk`oPl`v`lZ26?2gbth| sem,wlajiVfjxnfP5058il~bz&yoc"}fglc\hdrd`V<:;6cfxdp,wai({`mfmRbntbj\3417:oj|`t({me$diba^nbpfnX111ekilzimf:?kviimk{x"k4nqlb`dvs'z~jxh?8;ormeagw|&ymykPgmwf[vcs?2eiykzw/b9lfp`sp&eiykg:;nnf`*gvoqzchmaQhrnws[fjloldTec}{/eangiidc;20|ew|ibco[bth}yUh`fijn^kmwq)ckdigcneP1ljy6`=w`pybolbPgsmvtZ|w}`dd#na}e.npll`sm{Udyy~zt=64:2bR^LIO]73g=wag:;#~wac.36?uoi89%xucm MrjqabYan;o0|d`?0.qzjf)an;h0|d`?1.qzjf)6=2zbb=? sxl`+HuozlmTjkvnf98$t`l/gd1f>vnf99$t`l/078tlh7;&yrbn!BsipfcZ`a:l1{ec>;/r{mg*723yce<9!|yoa,IvnumnUmj?k4phl30*u~fj%mj?l4phl31*u~fj%:96~fn17,w|hd'Dyc~hiPfg0f?uoi8<%xucm fg0a?uoi8?%xucm 149smk61'zseo"C|hsgd[c`5m2zbb=8 sxl`+c`5j2zbb=9 sxl`+43h5io24+vik&lm>o5io2;+vik&;>7}ga09-p}ke(EzbyijQif3g8tlh70&yrbn!if3`8tlh71&yrbn!>5:rjj5?({pdh#@}grde\bc4b3yce<4!|yoa,bc4e3yce==!|yoa,50=wag;;#~wac.Oplwc`Wol9i6~fn02,w|hd'ol9n6~fn03,w|hd'8?0|d`>1.qzjf)J{axnkRhi2d9smk76'zseo"hi2c9smk75'zseo"?:;qkm57)tqgi$A~f}ef]eb7c"}vnb-eb7dvnf89$t`l/Lqkv`aXno8n7}ga12-p}ke(no8i7}ga15-p}ke(9<1{ec?;/r{mg*Kt`{olSkh=e:rjj42({pdh#kh=b:rjj43({pdh#<;4phl21*u~fj%Fe|jg^de6`=wag;>#~wac.de6g=wag;=#~wac.36?uoi9?%xucm MrjqabYan;o0|d`>6.qzjf)an;h0|d`>7.qzjf)6=2zbb<9 sxl`+HuozlmTjkvnf82$t`l/gd1f>vnf83$t`l/078tlh61&yrbn!BsipfcZ`a:l1{ec?6/r{mg*`a:k1{ec=!|yoa,IvnumnUmj?k4phl14*u~fj%mj?l4phl15*u~fj%:96~fn33,w|hd'Dyc~hiPfg0f?uoi:8%xucm fg0a?uoi:;%xucm 149smk45'zseo"C|hsgd[c`5m2zbb?< sxl`+c`5j2zbb?= sxl`+43h5io00+vik&lm>o5io07+vik&;>7}ga25-p}ke(EzbyijQif3g8tlh5<&yrbn!if3`8tlh5=&yrbn!>5:rjj73({pdh#@}grde\bc4b3yce>8!|yoa,bc4e3yce>;!|yoa,50=wag8=#~wac.Oplwc`Wol9i6~fn34,w|hd'ol9n6~fn35,w|hd'8?0|d`=7.qzjf)J{axnkRhi2d9smk40'zseo"hi2c9smk4?'zseo"?:;qkm6=)tqgi$A~f}ef]eb7cvnf;3$t`l/Lqkv`aXno8n7}ga28-p}ke(no8i7}ga31-p}ke(9<1{ec=?/r{mg*Kt`{olSkh=e:rjj66({pdh#kh=b:rjj67({pdh#<;4phl05*u~fj%Fe|jg^de6`=wag9:#~wac.de6g=wag99#~wac.36?uoi;;%xucm MrjqabYan;o0|d`<2.qzjf)an;h0|d`<3.qzjf)6=2zbb>= sxl`+HuozlmTjkvnf:>$t`l/gd1f>vnf:?$t`l/078tlh4=&yrbn!BsipfcZ`a:l1{ec=:/r{mg*`a:k1{ec=9/r{mg*723yce?;!|yoa,IvnumnUmj?k4phl02*u~fj%mj?l4phl03*u~fj%:96~fn25,w|hd'Dyc~hiPfg0f?uoi;>%xucm fg0a?uoi;1%xucm 149smk5?'zseo"C|hsgd[c`5m2zbb>6 sxl`+c`5j2zbb>7 sxl`+43h5io1:+vik&lm>o5io63+vik&;>7}ga41-p}ke(EzbyijQif3g8tlh38&yrbn!if3`8tlh39&yrbn!>5:rjj17({pdh#@}grde\bc4b3yce89#~wac.Oplwc`Wol9i6~fn50,w|hd'ol9n6~fn51,w|hd'8?0|d`;3.qzjf)J{axnkRhi2d9smk24'zseo"hi2c9smk23'zseo"?:;qkm01)tqgi$A~f}ef]eb7cvnf=?$t`l/Lqkv`aXno8n7}ga44-p}ke(no8i7}ga47-p}ke(9<1{ec:9/r{mg*Kt`{olSkh=e:rjj10({pdh#kh=b:rjj11({pdh#<;4phl73*u~fj%Fe|jg^de6`=wag><#~wac.de6<=wzlyhmak}5:rqkh)?3yxda"olk89svjk(ija9==5rno,efm5W{y|bzi;qpli*gdcVxxx}a{7:rqkh)bf11{~bc eo3;?uthe&oe>l5rno,ak45901{~bc rno,57=wzfg$~bc Mscn[WGJ98i0|ab/smn+HtfeVXJAvugdUyc`Qxb^fbpZi;994:96~}ol]qkhYpjVnjxRa310<21>vugdUyc`Qxb^fbpZi;9;4:96~}ol]qkhYpjVnjxRa312<21>vugdUyc`Qxb^fbpZi;9=4:96~}ol]qkhYpjVnjxRa314<21>vugdUyc`Qxb^fbpZi;9?4:96~}ol]qkhYpjVnjxRa316<21>vugdUyc`Qxb^fbpZi;914:96~}ol]qkhYpjVnjxRa318<20>vugdUyc`Qxb^fbpZi;97;>7}|`m^pliZqeWmkSb2=0?36?utheVxdaRym_ecw[j:597;>7}|`m^pliZqeWmkSb2=2?36?utheVxdaRym_ecw[j:5;7;>7}|`m^pliZqeWmkSb2=4?36?utheVxdaRym_ecw[j:5=7;>7}|`m^pliZqeWmkSb2=6?36?utheVxdaRym_ecw[j:5?7;>7}|`m^pliZqeWmkSb2=8?36?utheVxdaRym_ecw[j:517;?7}|`m^pliZqeWmkSb2=>078twijW{efSzlPd`v\k95768?0|ab_smn[rdXlh~Tc1=>>068twijW{efSzlPd`v\k9599=1{~bcPrno\sgYci}Ud090>4:rqkhYugdU|nRjnt^m?1;733yxdaR|`m^ua[agsWf6=2<:4psmn[wijW~hThlzPo=5=51=wzfgT~bcPwc]geqYh414:86~}ol]qkhYpjVnjxRa39?;8trkX{mkoo45wl]p`agd?2xTyo{eb9qkhYpjVkhgRai;smn[rdXlh~Tc1>1119qkhYpjVnjxRa311<24>theV}iSio{_n>25;773{efSzlPd`v\k97568:0~bcPwc]geqYh4895==5}ol]tfZbf|Ve7=90>0:pliZqeWmkSb2>5?33?wijW~hThlzPo=35:460:pliZqeWmkSb2=0?33?wijW~hThlzPo=02:46028vjkXkUomyQ`<34=55=ugdU|nRjnt^m?628682xdaRym_ecw[j:507;;7ab_v`\`drXg5822k5}ol]tfZbf|Ve7>3??;smn[rdXlh~Tc1=?>028vjkXkUomyQ`<23=b>theV}iSio{_n>0:c=ugdU|nRjnt^m?0;`8:pppbci'DidyczPrrv`kphs99;37}{gdl,Ifirf}Uyym`uov254>50:8vvr`mg%Fob{at^pppfirf};==55}suefj*Kdg|dS}{cnwmp41602xxxjka/LalqkrXzz~hcx`{193;?wusold$Anaznu]qwqeh}g~:5<94rrvdak)JkfexR||tbmvjq4602xxxjka/LalqkrXzz~hcx`{213;?wusold$Anaznu]qwqeh}g~9=<64rrvdak)JkfexR||tbmvjq45911yyijn.O`kphsW{yob{at312<>tt|noe#@m`uov\vvrdg|d>9?7;sqwc`h(Eje~byQ}sualqkr5=820~~zheo-Ngjsi|Vxxxnaznu055==u{}mnb"Clotlw[wuskfex?9>8:pppbci'DidyczPrrv`kphs:1;37}{gdl,Ifirf}Uyym`uov1=418:pppbci'DidyczPrrv`kphs;9;37}{gdl,Ifirf}Uyym`uov05417:pppbci'DidyczPrrv`kphs=8=0~~zheo-Ngjsi|Vxxxnaznu423>tt|noe#@m`uov\vvrdg|d;<94rrvdak)JkfexR||tbmvjq>6?2xxxjka/LalqkrXzz~hcx`{90a8vvr`mg%Fob{at^pppfirf}Uhu1>11e9qwqabf&Ghcx`{_sqwgjsi|Vir0<>11e9qwqabf&Ghcx`{_sqwgjsi|Vir0d:pppbci'DidyczPrrv`kphsWjs7>=0>d:pppbci'DidyczPrrv`kphsWjs7><0>d:pppbci'DidyczPrrv`kphsWjs7>?0>d:pppbci'DidyczPrrv`kphsWjs7>>0>d:pppbci'DidyczPrrv`kphsWjs7>90>d:pppbci'DidyczPrrv`kphsWjs7>80>d:pppbci'DidyczPrrv`kphsWjs7>;0>d:pppbci'DidyczPrrv`kphsWjs7>:0>d:pppbci'DidyczPrrv`kphsWjs7>50>d:pppbci'DidyczPrrv`kphsWjs7>40>c:pppbci'DidyczPrrv`kphsWjs7>3?k;sqwc`h(Eje~byQ}sualqkrXkp68<3?l;sqwc`h(Eje~byQ}sualqkrXkp6825=n5}suefj*Kdg|dS}{cnwmpZe~4<4:o6||tfgm+Heh}g~T~~zlotlw[f;>7;h7}{gdl,Ifirf}Uyym`uov\g|:068i0~~zheo-Ngjsi|Vxxxnaznu]`}9>99j1yyijn.O`kphsW{yob{at^az8<86l2xxxjka/LalqkrXzz~hcx`{_mww8586m2xxxjka/LalqkrXzz~hcx`{_mww84699l1yyijn.O`kphsW{yob{at^nvp97668o0~~zheo-Ngjsi|Vxxxnaznu]oqq:6:7;n7}{gdl,Ifirf}Uyym`uov\hpr;9:4:i6||tfgm+Heh}g~T~~zlotlw[iss48>5=h5}suefj*Kdg|dS}{cnwmpZjr|5;>2e:pppbci'DidyczPrrv`kphsWe0<611d9qwqabf&Ghcx`{_sqwgjsi|Vf~x1?6>0f8vvr`mg%Fob{at^pppfirf}Ugyy2>>0g8vvr`mg%Fob{at^pppfirf}Ugyy2=0?3f?wusold$Anaznu]qwqeh}g~T`xz320<2a>tt|noe#@m`uov\vvrdg|dSa{{<30=5`=u{}mnb"Clotlw[wuskfexRbzt=00:4c10;7b3{ykh` MbmvjqYu{}idyczPltv?6086m2xxxjka/LalqkrXzz~hcx`{_mww87099l1yyijn.O`kphsW{yob{at^nvp94068o0~~zheo-Ngjsi|Vxxxnaznu]oqq:507;n7}{gdl,Ifirf}Uyym`uov\hpr;:04:h6||tfgm+Heh}g~T~~zlotlw[iss4;4:i6||tfgm+Heh}g~T~~zlotlw[iss4::5=h5}suefj*Kdg|dS}{cnwmpZjr|59:22e:pppbci'DidyczPrrv`kphsWqey0<<11d9qwqabf&Ghcx`{_sqwgjsi|Vrd~1?<>0g8vvr`mg%Fob{at^pppfirf}Usc2>4?3f?wusold$Anaznu]qwqeh}g~Ttb|314<2a>tt|noe#@m`uov\vvrdg|dSua}<04=5`=u{}mnb"Clotlw[wuskfexRv`r=34:4c2<;7b3{ykh` MbmvjqYu{}idyczPxnp?5<86l2xxxjka/LalqkrXzz~hcx`{_ymq8486m2xxxjka/LalqkrXzz~hcx`{_ymq87699l1yyijn.O`kphsW{yob{at^zlv94668o0~~zheo-Ngjsi|Vxxxnaznu]{kw:5:7;n7}{gdl,Ifirf}Uyym`uov\|jt;::4:i6||tfgm+Heh}g~T~~zlotlw[}iu4;>5=h5}suefj*Kdg|dS}{cnwmpZ~hz58>2:0>e:pppbci'DidyczPrrv`kphsWqey0?611d9qwqabf&Ghcx`{_sqwgjsi|Vrd~1<6>0f8vvr`mg%Fob{at^pppfirf}Usc2=>0g8vvr`mg%Fob{at^pppfirf}Usc2<0?3f?wusold$Anaznu]qwqeh}g~Ttb|330<2`>tt|noe#@m`uov\vvrdg|dSua}<2<2`>tt|noe#@m`uov\vvrdg|dSua}<5<2`>tt|noe#@m`uov\vvrdg|dSua}<4<2`>tt|noe#@m`uov\vvrdg|dSua}<7<2`>tt|noe#@m`uov\vvrdg|dSua}<6<2`>tt|noe#@m`uov\vvrdg|dSua}<9<2`>tt|noe#@m`uov\vvrdg|dSua}<8?203e?wusold$Sc>?2032b>tt|noe#R`?03326c=u{}mnb"Qa010256`o1yyijn.]m45469>;:7}{gdl,[k67:8Ubb{?=;sqwc`h(Wg:;>tt|noe#}{cnwmp9699:1yyijn.pppfirf}6:<3?<;sqwc`h(zz~hcx`{<03=56=u{}mnb"||tbmvjq:6:7;87}{gdl,vvrdg|d0<=1129qwqabf&xxxnaznu>20;743{ykh` rrv`kphs48?5=>5}suefj*tt|je~by2>6?30?wusold$~~zlotlw84199:1yyijn.pppfirf}6:43?<;sqwc`h(zz~hcx`{<0;=57=u{}mnb"||tbmvjq:66890~~zheo-qwqeh}g~7>=0>3:pppbci'{yob{at=02:45018vvr`mg%yym`uov?6186;2xxxjka/sqwgjsi|58>2<=4rrvdak)u{}idycz327<27>tt|noe#}{cnwmp9406890~~zheo-qwqeh}g~7>50>3:pppbci'{yob{at=0::44>1129qwqabf&xxxnaznu>05;753{ykh` rrv`kphs4:4:>6||tfgm+wuskfex1:1139qwqabf&xxxnaznu>6:447;97}{gdl,vvrdg|d0:0>2:pppbci'{yob{at=:=57=u{}mnb"||tbmvjq:>68;0~~zheo-qwqeh}g~T<6||tfgm+wuskfexR?=1c9qwqabf&xxxnaznu]26Zak}lUxiy?=;sqwc`h(zz~hcx`{_0126>tt|noe#}{cnwmpZ739;1yyijn.pppfirf}U:9<<4rrvdak)u{}idyczP173a?wusold$~~zlotlw[40XoenS~k{139qwqabf&xxxnaznu]234dUl`xkPsdv26>tt|noe#}{cnwmpZ7?9k1yyijn.pppfirf}U:4Ricud]paq753{ykh` rrv`kphsW83:=6||tfgm+wuskfexR<>2:pppbci'{yob{at^0357=u{}mnb"||tbmvjqY598h0~~zheo-qwqeh}g~T>6||tfgm+wuskfexR<9139qwqabf&xxxnaznu]13441:pppbci'{yob{at^126>tt|noe#}{cnwmpZ579;1yyijn.pppfirf}U8=1:pppbci'{yob{at^725>tt|noe#}{cnwmpZ0692xxxjka/sqwgjsi|V=:=6||tfgm+wuskfexR6>1:pppbci'{yob{at^;22>tt|noe#}{cnwmpZ|bzfUzi6||tfgm+wusg|~:==5}suefj*tt|f=R?>0:pppbci'{ycxz>_3f8vvr`mg%ytRgav068vvr`mg%ytRgav0]JJSY7991xhob_bmmpwikdlxj7~j}al]`pwj03zco:86>139pmaYf}mcdSio{a=33:44<{`nTmxjfo^fbpd:697;97~gk_`wgmjYci}k7=?0>2:qj`Zgrl`eThlzn<01=57=tamUjyig`_ecwe9736880djPatfjkZbf|h6:93?=;rkg[dscafUomyo317<26>unlVk~hdaPd`vb8419981xeiQnuekl[agsi5;5=<5|ie]bqaohWmkm1<1109pmaYf}mcdSio{a=1=54=tamUjyig`_ecwe929981xeiQnuekl[agsi5?5=<5|ie]bqaohWmkm181109pmaYf}mcdSio{a=5=54=tamUjyig`_ecwe9>9981xeiQnuekl[agsi53556}fd^tlmfc682ybk`okemvb[lht|&;i7~ghm`ffhqgXagy#Ha_1]nekb69j1xejcnddnweZoi{}%N}cQ>0^obja76k2ybk`okemvb[lht|&OzbR?>_lcm`47d3zclaljjluc\mkus'L{eS<Qbaof25f=tangjhhb{a^kmwq)BygU:8Rcnne32g>unodkoiazn_hlpp*CvfV;>S`oad03`?vo`ehnn`yoPioqw+@wiW8b:qjchgcme~jSd`|t.GrjZ4Xehdo=unodkoiazn_hlpp*CvfV2Tal`k10`8wlajimogxlQfnrv,AthX1Vgjbi?=9:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Ze~4949m6}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg1^az8469:h1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd2?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6Wjs7=>0=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Ze~48>5>l5|ifob``jsiVcey!BabaviZunodkT`lzlh0]`}9726;k0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pcx>22;4>3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Snw31?0:?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6Wjs7>3<6;rkdidbbd}kTec}{/Lc`gpkX{`mfmRbntbj2[f;;7827~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_b{?0;4>3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Snw35?0:?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6Wjs7:3<6;rkdidbbd}kTec}{/Lc`gpkX{`mfmRbntbj2[f;?7827~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_b{?<;4>3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Snw39?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6We0=0=b:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Zjr|5;;2?l4sheneack|hUbb~z M`a`qhYtangjSao{ci3\hpr;9849n6}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg1^nvp9756;h0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pltv?5685j2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rbzt=37:7d<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;T`xz314<1f>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vf~x1?9>3`8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xd|~7=:0=c:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Zjr|5;<2<0:7g<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;T`xz34?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6We080=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Zjr|5<5>l5|ifob``jsiVcey!BabaviZunodkT`lzlh0]oqq:06;k0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pltv?<;4f3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Sa{{<8<1e>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vrd~1>12c9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Yg{6:<3o5|ifob``jsiVcey!BabaviZunodkT`lzlh0]{kw:6:78i7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_ymq8459:k1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd20;4e3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Sua}<07=6g=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`8Usc2>6?0a?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6Wqey0<912`9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Yg{6:2?o4sheneack|hUbb~z M`a`qhYtangjSao{ci3\|jt;:78j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_ymq8685i2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rv`r=6=6d=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`8Usc2:>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xpfx7:349m6}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg1^zlv9>9:h1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd::63<{`mfmikct`]jjvr(EdsSio{_p3X52[XOGNT=4;Po^ov|Z72WF__=>84sheneack|hUbb~z Mlw{[agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW555f3zclaljjluc\mkus'Dg~tRjnt^s2_41ZWNDOS<7:_n]nq}Y6=VE^X<>PSV202>unodkoiazn_hlpp*Kj}qUomyQ~1Z34YZAILV;29RaPmtz\50YH]];:?l5|ifob``jsiVcey!Bmtz\`drXy8Q:;PQHNE]2=0YhWdsS<;POTV25ZUP8:<0dibaegopdYnfz~$A`{w_ecw[t7\9>WTKCJP187\kZkrpV;>SB[[131b?vo`ehnn`yoPioqw+HkrpVnjxR>[05^[BHCW83>SbQbuy]21ZIR\88T_Z><6:qjchgcme~jSd`|t.Onq}Yci}Uz=V?8]^EM@Z7>=VeTaxvP14]LQQ74;h1xejcnddnweZoi{}%FaxvPd`v\u4]6?TULBIQ>94]l[hsW8?TCXZ>3^QT460<{`mfmikct`]jjvr(EdsSio{_p3X52[XOGNT=4;Po^ov|Z72WF__=9=n;rkdidbbd}kTec}{/Lov|Zbf|V{:W<9R_FLG[4?2WfUfyuQ>5^MVP42X[^:8:6}fglcgairfW`dxx"Cbuy]geqYv9R;2ybk`okemvb[lht|&GfyuQkau]r5^70UVMEHR?65^m\ip~X97\]DJAY61unodkoiazn_hlpp*Kj}qUomyQ~1Z34YZAILV;29RaPmtz\50YH]];2=>74sheneack|hUbb~z Mlw{[agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW5ZUP8:?0dibaegopdYnfz~$A`{w_ecw[t7\9>WTKCJP187\kZkrpV;>SB[[22;8wlajimogxlQfnrv,IhsWmkS|?T16_\CKBX90?TcRczx^36[JSS:VY\<>;4sheneack|hUbb~z Mlw{[agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW76?<{`mfmikct`]jjvr(EdsSio{_p3X52[XOGNT=4;Po^ov|Z72WF__?R]X0278wlajimogxlQfnrv,IhsWmkS|?T16_\CKBX90?TcRczx^36[JSS<:30dibaegopdYnfz~$A`{w_ecw[t7\9>WTKCJP187\kZkrpV;>SB[[4^QT463<{`mfmikct`]jjvr(EdsSio{_p3X52[XOGNT=4;Po^ov|Z72WF__9>74sheneack|hUbb~z Mlw{[agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW1ZUP8:?0dibaegopdYnfz~$A`{w_ecw[t7\9>WTKCJP187\kZkrpV;>SB[[62;8wlajimogxlQfnrv,IhsWmkS|?T16_\CKBX90?TcRczx^36[JSS>VY\<>84sheneack|hUbb~z Mlw{[agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW34523zclaljjluc\mkus'Dg~tRjnt^s2_41ZWNDOS<7:_n]nq}Y6=VE^X5=6;rkdidbbd}kTec}{/Lov|Zbf|V{:W<9R_FLG[4?2WfUfyuQ>5^MVP=YT_99>7~ghm`ffhqgXagy#@czx^fbpZw6S8=VSJ@K_0;6[jYj}qU:9RAZT81:?vo`ehnn`yoPioqw+HkrpVnjxR>[05^[BHCW83>SbQbuy]21ZIR\0UX[=?6;rkdidbbd}kTec}{/Sgpqir;87;j7~ghm`ffhqgXagy#_k|umv?5586i2ybk`okemvb[lht|&Xnxb{<03=5d=tangjhhb{a^kmwq)Umzgx1?=>0c8wlajimogxlQfnrv,V`urd}6:?3?n;rkdidbbd}kTec}{/Sgpqir;9=4:m6}fglcgairfW`dxx"\jstnw84399h1xejcnddnweZoi{}%Yi~{ct=35:4g<{`mfmikct`]jjvr(Zly~`y2>7?3:?vo`ehnn`yoPioqw+Wct}e~7=3?6;rkdidbbd}kTec}{/Sgpqir;:7;27~ghm`ffhqgXagy#_k|umv?7;7>3zclaljjluc\mkus'[oxyaz34?3:?vo`ehnn`yoPioqw+Wct}e~793?6;rkdidbbd}kTec}{/Sgpqir;>7;27~ghm`ffhqgXagy#_k|umv?3;7>3zclaljjluc\mkus'[oxyaz38?3:?vo`ehnn`yoPioqw+Wct}e~753?n;rkdidbbd}kTec}{/^l3650Xag|:n6}fglcgairfW`dxx"Qa0325[lhq98k0dibaegopdYnfz~$Sc>=08]jjs7e3zclaljjluc\mkus'Vd;>=7Piot25d=tangjhhb{a^kmwq)Xf98:>Rgav0`8wlajimogxlQfnrv,[k659;Ubb{?>9:qjchgcme~jSd`|t.fbpZw6494:m6}fglcgairfW`dxx"jnt^s284699h1xejcnddnweZoi{}%omyQ~1=32:4g<{`mfmikct`]jjvr(lh~T}<2>2?3b?vo`ehnn`yoPioqw+agsWx;7=>0>a:qjchgcme~jSd`|t.fbpZw648>5=l5|ifob``jsiVcey!kau]r59726830dibaegopdYnfz~$hlzPq0>2:4?<{`mfmikct`]jjvr(lh~T}<2=>0;8wlajimogxlQfnrv,`drXy8682<74sheneack|hUbb~z d`v\u4:36830dibaegopdYnfz~$hlzPq0>6:4?<{`mfmikct`]jjvr(lh~T}<29>0;8wlajimogxlQfnrv,`drXy86<2<74sheneack|hUbb~z d`v\u4:?6830dibaegopdYnfz~$hlzPq0>::64<{`mfmikct`]jjvr(lh~T}7\]DJAY611329pmbkfllfmRgasu-geqYv9R;25;543zclaljjluc\mkus'mkS|?T16_\CKBX90?TcRczx^36[JSS4885?>5|ifob``jsiVcey!kau]r5^70UVMEHR?65^m\ip~X93?10?vo`ehnn`yoPioqw+agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW8429;:1xejcnddnweZoi{}%omyQ~1Z34YZAILV;29RaPmtz\50YH]]6:93==;rkdidbbd}kTec}{/ecw[t7\9>WTKCJP187\kZkrpV;>SB[[<0<06>unodkoiazn_hlpp*bf|V{:W<9R_FLG[4?2WfUfyuQ>5^MVP949;;1xejcnddnweZoi{}%omyQ~1Z34YZAILV;29RaPmtz\50YH]]682><4sheneack|hUbb~z d`v\u4]6?TULBIQ>94]l[hsW8?TCXZ34?11?vo`ehnn`yoPioqw+agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW8084:2ybk`okemvb[lht|&njxR>[05^[BHCW83>SbQbuy]21ZIR\5<5??5|ifob``jsiVcey!kau]r5^70UVMEHR?65^m\ip~X9208wlajimogxlQfnrv,`drXy8Q:;PQHNE]2=0YhWdsS<;POTV?<;553zclaljjluc\mkus'mkS|?T16_\CKBX90?TcRczx^36[JSS404:46}fglcgairfW`dxx"jnt^s2[57?3zclaljjluc\mkus'mkS|?P10;8wlajimogxlQfnrv,`drXy8U:<<74sheneack|hUbb~z d`v\u4Y69830dibaegopdYnfz~$hlzPq0]264?<{`mfmikct`]jjvr(lh~T}30;8wlajimogxlQfnrv,`drXy8U:8<74sheneack|hUbb~z d`v\u4Y6=820dibaegopdYnfz~$hlzPq0]15==tangjhhb{a^kmwq)ci}Uz=R=>8:qjchgcme~jSd`|t.fbpZw6W=;37~ghm`ffhqgXagy#io{_p3\14><{`mfmikct`]jjvr(lh~T}3:4?<{`mfmikct`]jjvr({mUnbRP00:8wlajimogxlQfnrv,wair|5:5=:5|ifob``jsiVcey!|dnww[57a3zclaljjluc\mkus'zclalQcauak5969:91xejcnddnweZoi{}%xejcn_mcwgm7;9949<6}fglcgairfW`dxx"}fglc\hdrd`86:=3328wlajimogxlQfnrv,wlajiVfjxnf><01=65=tangjhhb{a^kmwq)tangjSao{ci3?518582ybk`okemvb[lht|&ybk`oPl`v`l4:6=78;7~ghm`ffhqgXagy#~ghm`]oeqeo95;=2?>4sheneack|hUbb~z sheneZjf|jb:0<911g9pmbkfllfmRgasu-pmbkfWekoe?31?3e?vo`ehnn`yoPioqw+vo`ehUgmymg1=0=5c=tangjhhb{a^kmwq)tangjSao{ci3?7;7a3zclaljjluc\mkus'zclalQcauak59299o1xejcnddnweZoi{}%xejcn_mcwgm7;=7;m7~ghm`ffhqgXagy#~ghm`]oeqeo95<5=k5|ifob``jsiVcey!|ifob[igska;7;3?i;rkdidbbd}kTec}{/rkdidYki}ic=1611g9pmbkfllfmRgasu-pmbkfWekoe?39?3f?vo`ehnn`yoPioqw+vo`ehUgmymg1^22a>unodkoiazn_hlpp*unodkT`lzlh0]25c=tangjhhb{a^kmwq)tangjSao{ci3\557a3zclaljjluc\mkus'zclalQcauak5Z769o1xejcnddnweZoi{}%xejcn_mcwgm7X9;;m7~ghm`ffhqgXagy#~ghm`]oeqeo9V;8=k5|ifob``jsiVcey!|ifob[igska;T=9?i;rkdidbbd}kTec}{/rkdidYki}ic=R?:1g9pmbkfllfmRgasu-pmbkfWekoe?P173e?vo`ehnn`yoPioqw+vo`ehUgmymg1^345`=tangjhhb{a^kmwq)tangjSao{ci3\64c<{`mfmikct`]jjvr({`mfmRbntbj2[67b3zclaljjluc\mkus'zclalQcauak5Z26m2ybk`okemvb[lht|&ybk`oPl`v`l4Y29l1xejcnddnweZoi{}%xejcn_mcwgm7X>8o0dibaegopdYnfz~$diba^nbpfn6W>;n7~ghm`ffhqgXagy#~ghm`]oeqeo9V2:i6}fglcgairfW`dxx"}fglc\hdrd`8U2=h5|ifob``jsiVcey!|yoa\`drXy86;2f:qjchgcme~jSd`|t.qzjfYci}Uz=1?>>0d8wlajimogxlQfnrv,w|hdWmkS|?313<2b>unodkoiazn_hlpp*u~fjUomyQ~1=30:4`<{`mfmikct`]jjvr({pdhSio{_p3?5186n2ybk`okemvb[lht|&yrbnQkau]r597268o0dibaegopdYnfz~$t`l_ecw[t7;97;n7~ghm`ffhqgXagy#~wac^fbpZw64;4:i6}fglcgairfW`dxx"}vnb]geqYv9595=h5|ifob``jsiVcey!|yoa\`drXy86?2e:qjchgcme~jSd`|t.qzjfYci}Uz=1911d9pmbkfllfmRgasu-p}keXlh~T}<27>0g8wlajimogxlQfnrv,w|hdWmkS|?39?3g?vo`ehnn`yoPioqw+vikVnjxR>_13g?vo`ehnn`yoPioqw+vikVnjxR>_03f?vo`ehnn`yoPioqw+vikVnjxR>_022a>unodkoiazn_hlpp*u~fjUomyQ~1^325`=tangjhhb{a^kmwq)tqgiThlzPq0]264c<{`mfmikct`]jjvr({pdhSio{_p3\567b3zclaljjluc\mkus'zseoRjnt^s2[426m2ybk`okemvb[lht|&yrbnQkau]r5Z729m1xejcnddnweZoi{}%xucmPd`v\u4Y59m1xejcnddnweZoi{}%xucmPd`v\u4Y49m1xejcnddnweZoi{}%xucmPd`v\u4Y39m1xejcnddnweZoi{}%xucmPd`v\u4Y29m1xejcnddnweZoi{}%xucmPd`v\u4Y19m1xejcnddnweZoi{}%xucmPd`v\u4Y09m1xejcnddnweZoi{}%xucmPd`v\u4Y?9m1xejcnddnweZoi{}%xucmPd`v\u4Y>981xe`~ce^qjchgcme~j:6}cou2,66=tdf~;#J@K_070[jY@FMU:9>Q`_LW[[2?6Wf8?7~b`t1-DJAY6=:UdSJ@K_070[jYJ]QU<5;rnlp5)Xf9:2>Rgav330?vjh|9%Tb=>62^kmr776991x`bz?/^l34<3Xag|:>6}cou2,[k671119phjr7'Vd;<46Piot26>ukg}:$Sc>?99]jjs76i2ygcy> cqmo55=tdf~;#~~f_bnh[`h6=2ygcy> sqk\gimXx{ox0=0>5:qokq6({ycToaePpsgp8486=2ygcy> sqk\gimXx{ox0?0>4:qokq6({ycToaePpsgp[5733zfdx=!|ph]`hnYwzlyT=<:4smmw4*uwaViggR~}er]1g>ukg}:$}gPeo31?vjh|9%x|dQjn``oaZw6:2ygcy> sqk\wdkwz`yn=o5|lnv3+vvnW}xjb~hjrrkyaZw;87;i7~b`t1-ptlYszhdxjh||i{g\u9799h1x`bz?/rrj[qtffzln~~gue^s\44g<{ee<"}i^vqekuam{ybvhQ~_030?vjh|9%x|dQ{xcnlgnYvj2ygcy> tscmw`=tdf~;#zlPabi\kg=tdf~;#w}i.34?vjh|9%q}g MbmvjqYdg|d=55|lnv3+uwa&Ghcx`{_bmvjq7602ygcy> zrrj+Heh}g~Tob{at33;?vjh|9%q}g MbmvjqYdg|d?<74smmw4*|tx`%Fob{at^alqkr49820aa{0.xptl)JkfexRm`uov75<=tdf~;#w}i.O`kphsWje~by:>1`9phjr7'sy{e"Clotlw[firf}>:=3:47582ygcy> zrrj+Heh}g~Tob{at^zlv979988;7~b`t1-ywuo(Eje~byQlotlw[}iu4;4:=>74smmw4*|tx`%FaxvPtscmwccu{`pnW?753250=tdf~;#w}i.]m45>2W`d}=;5|lnv3+uwa&Ue<=6:_hlu543<{ee<"t|ph-\j56>9Vcez<84smmw4*|tx`%Tb=>61^kmr4703zfdx=!usqk,[k6718Ubb{?>149phjr7'sy{e"Qa0023[lhq9>1x`bz?/{qsm*Yi88:;Sd`y2031?vjh|9%q}g cmi\ak76;2ygcy> zrrj+firf}6;2<=4smmw4*|tx`%hcx`{<0<27>ukg}:$v~~f/bmvjq:56890aa{0.xptl)dg|d0>0>3:qokq6(rzzb#naznu>7:45<{ee<"t|ph-`kphs4<4:>6}cou2,~vvn'je~byQ?139phjr7'sy{e"m`uov\544<{ee<"t|ph-`kphsW;;97~b`t1-ywuo(kfexR=>2:qokq6(rzzb#naznu]757=tdf~;#w}i.alqkrX=890aa{0.xptl)cgVycekz>4:qokq6(rzzb#iaPsikep47f3zfdx=!usqk,mbhhzlUxm`~ce^se?vjh|9%q}g mnqj57=tdf~;#w}i.ov|4669880aa{0.xptl)j}q;:<103257=tdf~;#w}i.ov|476:880aa{0.xptl)j}q;9=30326>ukg}:$v~~f/lw{51769;1x`bz?/{qsm*krp8?:=<<4smmw4*|tx`%fyu?91031?vjh|9%q}g mtz23476:2ygcy> zrrj+hs91;:=?5|lnv3+uwa&g~t<7>1008wiis8&px|d!buy0354743zfdx=!usqk,ip~598;:=?5|lnv3+uwa&g~t??>2008wiis8&px|d!buy0154753zfdx=!usqk,ip~5;8;:>6}cou2,~vvn'ds>9?>139phjr7'sy{e"czx372544<{ee<"t|ph-nq}4198;97~b`t1-ywuo(e|r9;2:qokq6(rzzb#`{w293257=tdf~;#w}i.ov|7?69880aa{0.xptl)j}q9;=:=1038wiis8&px|d!buy42547<{ee<"t|ph-nq}1698;0aa{0.xptl)j}q2:=10:8wiis8&px|d!}e`f\v`aXy5:5=45|lnv3+uwa&xnmiQ}ef]r8469901x`bz?/{qsm*tbimUyijQ~<03=5<=tdf~;#w}i.pfeaYumnUz0<<1189phjr7'sy{e"|jae]qabYv4895=45|lnv3+uwa&xnmiQ}ef]r8429901x`bz?/{qsm*tbimUyijQ~<07=5<=tdf~;#w}i.pfeaYumnUz0<81189phjr7'sy{e"|jae]qabYv48=5=45|lnv3+uwa&xnmiQ}ef]r84>9901x`bz?/{qsm*tbimUyijQ~<0;=5==tdf~;#w}i.pfeaYumnUz0<0>9:qokq6(rzzb#knd^pfcZw;:94:56}cou2,~vvn'{ojhR|jg^s?648612ygcy> zrrj+wcflVxnkR323<2=>ukg}:$v~~f/sgb`ZtboV{7>>0>9:qokq6(rzzb#knd^pfcZw;:=4:56}cou2,~vvn'{ojhR|jg^s?608612ygcy> zrrj+wcflVxnkR327<2=>ukg}:$v~~f/sgb`ZtboV{7>:0>9:qokq6(rzzb#knd^pfcZw;:14:56}cou2,~vvn'{ojhR|jg^s?6<8602ygcy> zrrj+wcflVxnkR32?3:?vjh|9%q}g rdcg[wc`Wx68<3?6;rnlp5)}{yc$~hok_sgd[t:497;37~b`t1-ywuo(zlkoSkh_p>0:4><{ee<"t|ph-qadbXzlmT}1:1199phjr7'sy{e"|jae]qabYv4<4:46}cou2,~vvn'{ojhR|jg^s?2;7?3zfdx=!usqk,v`gcW{olS|28>0:8wiis8&px|d!}e`f\v`aXy525=55|lnv3+uwa&xnmiQ}ef]r8<86?2ygcy> zrrj+wcflVxnkRP0058wiis8&px|d!}e`f\v`aXyV;:46}cou2,~vvn'{ojhR|jg^s\557?3zfdx=!usqk,v`gcW{olS|Q>10:8wiis8&px|d!}e`f\v`aXyV;9=55|lnv3+uwa&xnmiQ}ef]r[45602ygcy> zrrj+wcflVxnkRP153;?vjh|9%q}g rdcg[wc`WxU:9<64smmw4*|tx`%yiljPrde\uZ71911x`bz?/{qsm*tbimUyijQ~_052<>ukg}:$v~~f/sgb`ZtboV{T=5?7;rnlp5)}{yc$~hok_sgd[tY618=0aa{0.xptl)umhnT~hiPq^02<>ukg}:$v~~f/sgb`ZtboV{T>=?7;rnlp5)}{yc$~hok_sgd[tY59820aa{0.xptl)umhnT~hiPq^015==tdf~;#w}i.pfeaYumnUzS?=>8:qokq6(rzzb#knd^pfcZwX:=;37~b`t1-ywuo(zlkoSkh_p]114><{ee<"t|ph-qadbXzlmT}R<9199phjr7'sy{e"|jae]qabYvW;=:46}cou2,~vvn'{ojhR|jg^s\6=7?3zfdx=!usqk,v`gcW{olS|Q=9058wiis8&px|d!}e`f\v`aXyV9:46}cou2,~vvn'{ojhR|jg^s\757?3zfdx=!usqk,v`gcW{olS|Q<1058wiis8&px|d!}e`f\v`aXyV>:;6}cou2,~vvn'{ojhR|jg^s\141<{ee<"t|ph-qadbXzlmT}R8>7:qokq6(rzzb#knd^pfcZwX?8=0aa{0.xptl)umhnT~hiPq^:23>ukg}:$v~~f/sgb`ZtboV{T5<<4smmw4*|tx`%yiljv_p3a?vjh|9%q}g rdcg}ZwXoenS}{339phjr7'sy{e"z}aoqeawunrlQ:QRy}iug\v`aXyR9:QRCzx^3\k4?<{ee<"t|ph-tvlrbW{olS|2?>0c8wiis8&px|d!xrhvf[wc`Wx6:<3?n;rnlp5)}{yc${g{e^pfcZw;984:m6}cou2,~vvn'~xbxhQ}ef]r84499h1x`bz?/{qsm*qua}oT~hiPq=30:4g<{ee<"t|ph-tvlrbW{olS|2>4?3b?vjh|9%q}g wskwaZtboV{7=80>a:qokq6(rzzb#z|ftd]qabYv48<5=l5|lnv3+uwa&}yeykPrde\u97068k0aa{0.xptl)pz`~nSkh_p>2<;7f3zfdx=!usqk,swosmVxnkR318<2=>ukg}:$v~~f/vpjp`YumnUz0<0>a:qokq6(rzzb#z|ftd]qabYv4;:5=l5|lnv3+uwa&}yeykPrde\u94668k0aa{0.xptl)pz`~nSkh_p>16;7f3zfdx=!usqk,swosmVxnkR322<2e>ukg}:$v~~f/vpjp`YumnUz0?:11`9phjr7'sy{e"y}iug\v`aXy58>27;j7~b`t1-ywuo({ciR|jg^s?6286i2ygcy> zrrj+rtn|lUyijQ~<3:=5d=tdf~;#w}i.uqmqcXzlmT}1<6>0;8wiis8&px|d!xrhvf[wc`Wx692 zrrj+rtn|lUyijQ~<2<2=>ukg}:$v~~f/vpjp`YumnUz090>9:qokq6(rzzb#z|ftd]qabYv4<4:56}cou2,~vvn'~xbxhQ}ef]r838612ygcy> zrrj+rtn|lUyijQ~<6<2=>ukg}:$v~~f/vpjp`YumnUz050>9:qokq6(rzzb#z|ftd]qabYv404846}cou2,~vvn'~xbxhQ}ef]r_67ZW~xbxhQ}ef]r_66ZWdsS<:POTV?4;5>3zfdx=!usqk,swosmVxnkRT30_\swosmVxnkRT31_\ip~X9=UDYY2>0?1:?vjh|9%q}g wskwaZtboV{P?27;5>3zfdx=!usqk,swosmVxnkRT30_\swosmVxnkRT31_\ip~X9=UDYY2>4?1:?vjh|9%q}g wskwaZtboV{P?7927~b`t1-ywuo({ciR|jg^sX74[X{ciR|jg^sX75[Xe|rT=9Q@UU>23;5>3zfdx=!usqk,swosmVxnkRT30_\swosmVxnkRT31_\ip~X9=UDYY2>8?1:?vjh|9%q}g wskwaZtboV{P?>2;8wiis8&px|d!xrhvf[wc`WxQ8=PQxrhvf[wc`WxQ874smmw4*|tx`%|~dzj_sgd[t]49TU|~dzj_sgd[t]48TUfyuQ>4^MVP9446:30aa{0.xptl)pz`~nSkh_pY05XYpz`~nSkh_pY04XYj}qU:8RAZT=07:6?<{ee<"t|ph-tvlrbW{olS|U<1\]tvlrbW{olS|U<0\]nq}Y62;8wiis8&px|d!xrhvf[wc`WxQ8=PQxrhvf[wc`WxQ874smmw4*|tx`%|~dzj_sgd[t]49TU|~dzj_sgd[t]48TUfyuQ>4^MVP9406:30aa{0.xptl)pz`~nSkh_pY05XYpz`~nSkh_pY04XYj}qU:8RAZT=0;:6?<{ee<"t|ph-tvlrbW{olS|U<1\]tvlrbW{olS|U<0\]nq}Y62:8wiis8&px|d!xrhvf[wc`WxQ8=PQxrhvf[wc`WxQ8]^uqmqcXzlmT}V=?]^ov|Z73WF__0>0<8:qokq6(rzzb#z|ftd]qabYvS:;VSz|ftd]qabYvS::VS`{w_06\KPR;<7937~b`t1-ywuo({ciR|jg^sX74[X{ciR|jg^sX75[Xe|rT=9Q@UU>6:6><{ee<"t|ph-tvlrbW{olS|U<1\]tvlrbW{olS|U<0\]nq}Y64846}cou2,~vvn'~xbxhQ}ef]r_67ZW~xbxhQ}ef]r_66ZWdsS<:POTV?<;5?3zfdx=!usqk,swosmVxnkRT30_\swosmVxnkRT31_\ip~X9=UDYY26>0:8wiis8&px|d!xrhvf[wc`WxU;=55|lnv3+uwa&}yeykPrde\uZ7612ygcy> zrrj+rtn|lUyijQ~_022=>ukg}:$v~~f/vpjp`YumnUzS9:qokq6(rzzb#z|ftd]qabYvW88:56}cou2,~vvn'~xbxhQ}ef]r[45612ygcy> zrrj+rtn|lUyijQ~_062=>ukg}:$v~~f/vpjp`YumnUzS<;>9:qokq6(rzzb#z|ftd]qabYvW8<:56}cou2,~vvn'~xbxhQ}ef]r[41612ygcy> zrrj+rtn|lUyijQ~_0:2=>ukg}:$v~~f/vpjp`YumnUzS<7>8:qokq6(rzzb#z|ftd]qabYvW;;27~b`t1-ywuo({ciR|jg^s\657>3zfdx=!usqk,swosmVxnkRP203:?vjh|9%q}g wskwaZtboV{T>??6;rnlp5)}{yc${g{e^pfcZwX::;27~b`t1-ywuo({ciR|jg^s\617>3zfdx=!usqk,swosmVxnkRP243:?vjh|9%q}g wskwaZtboV{T>;?6;rnlp5)}{yc${g{e^pfcZwX:>;27~b`t1-ywuo({ciR|jg^s\6=7>3zfdx=!usqk,swosmVxnkRP283;?vjh|9%q}g wskwaZtboV{T?<74smmw4*|tx`%|~dzj_sgd[tY48830aa{0.xptl)pz`~nSkh_p]054><{ee<"t|ph-tvlrbW{olS|Q;199phjr7'sy{e"y}iug\v`aXyV?:46}cou2,~vvn'~xbxhQ}ef]r[37?3zfdx=!usqk,swosmVxnkRP70:8wiis8&px|d!xrhvf[wc`WxU3=55|lnv3+uwa&}yeykPrde\uZ?b3zfdx=!usqk`hn)5j2ygcy> zrrjgim(OGNT=8;Po^EM@Z72=VeTAXVP760\k7e<{ee<"t|phaoo*AILV;>9RaPGOF\503XgVG^TR982^m26g=tdf~;#w}ibnh+BHCW8?>SbQHNE]210YhWD_SS:9<_n0`?vjh|9%q}gllj-DJAY6=Q`1358wiis8&px|dmck.EM@Z72=VeToaePi^OV\Z109Ve946}cou2,~vvnkea$KCJP147\kZekcVcTAXVP763\k47?3zfdx=!usqk`hn)Xf9:?>Rgav0c8wiis8&px|dmck.]m4525W`d}=<{ee<"t|phaoo*Yi89>2Sd`y1`9phjr7'sy{enbd/^l341?Xag|:=<=4smmw4*|tx`igg"mck^k23>ukg}:$v~~fcmi,gimaiefTe<94smmw4*|tx`igg"mckskpaZo692ygcy> zrrjgim(x{%996}cou2,~vvnkea$|!BcnwmpZeh}g~n~Rmv<1<11>ukg}:$v~~fcmi,tw)JkfexRm`uovfvZe~484946}cou2,~vvnkea$|!BcnwmpZeh}g~n~Rmv<0<\vq423zfdx=!usqk`hn)wz&Ghcx`{_bmvjqcuWjs7>3<7;rnlp5)}{ych`f!r.O`kphsWje~byk}_b{?6;Yu|;?0aa{0.xptlekc&zy#@m`uov\gjsi|lxTot2<>3:8wiis8&px|dmck.rq+Heh}g~Tob{atdp\g|:46Vx>85|lnv3+uwajf`#}| MbmvjqYdg|diQly=6=6==tdf~;#w}ibnh+ut(Eje~byQlotlwawYdq5>5Sz=5:qokq6(rzzboae ps-Ngjsi|Vidyczjr^az808502ygcy> zrrjgim(x{%Fob{at^alqkrbzVir080Pru06?vjh|9%q}gllj-sv*Kdg|dSnaznugq[f;>7837~b`t1-ywuoddb%{~"Clotlw[firf}oySnw36?]qp73<{ee<"t|phaoo*vu'DidyczPcnwmp`tXkp6<2?64smmw4*|tx`igg"~}/LalqkrXkfexh|Pcx>4:Zts:<1x`bz?/{qsmfjl'yx$Anaznu]`kphsm{Uhu161299phjr7'sy{enbd/qp,Ifirf}Uhcx`{es]`}9>9W{~9:6}cou2,~vvnkea$|!BcnwmpZeh}g~n~Rbzt=2=6f=tdf~;#w}ibnh+ut(Eje~byQlotlwawYk}}6;2RGAV^212>ukg}:$v~~fcmi,tw)JkfexRm`uovfvZ~hz5:5>;5|lnv3+uwajf`#}| MbmvjqYdg|diQwos>2:70<{ee<"t|phaoo*vu'DidyczPcnwmp`tXpfx7>3<9;rnlp5)}{ych`f!r.O`kphsWje~byk}_ymq8685>2ygcy> zrrjgim(x{%Fob{at^alqkrbzVrd~1:1279phjr7'sy{enbd/qp,Ifirf}Uhcx`{es]{kw:26;<0aa{0.xptlekc&zy#@m`uov\gjsi|lxTtb|36?05?vjh|9%q}gllj-sv*Kdg|dSnaznugq[}iu4>49:6}cou2,~vvnkea$|!BcnwmpZeh}g~n~Rv`r=:=63=tdf~;#w}ibnh+ut(Eje~byQlotlwawYg{622?74smmw4*|tx`igg"~}/LalqkrXkfexh|Pxnp?=;Yu|8o0aa{0.xptlekc&zy#@czx^guakrXa8;m7~b`t1-ywuoddb%{~"Cbuy]fr`hsW`;:=k5|lnv3+uwajf`#}| Mlw{[`pbf}Ub=??i;rnlp5)}{ych`f!r.Onq}Yb~ldSd?<1g9phjr7'sy{enbd/qp,IhsWl|nbyQf153e?vjh|9%q}gllj-sv*Kj}qUnzh`{_h365`=tdf~;#w}ibnh+ut(Zly~`y2?>^DQF4c<{ee<"t|phaoo*vu'[oxyaz31?]EVG7b3zfdx=!usqk`hn)wz&Xnxb{<3<\BWD6m2ygcy> zrrjgim(x{%Yi~{ct=1=[CTE9l1x`bz?/{qsmfjl'yx$^h}zlu>7:Z@UJ8o0aa{0.xptlekc&zy#_k|umv?1;YAZK;n7~b`t1-ywuoddb%{~"\jstnw838XN[H:i6}cou2,~vvnkea$|!]erwop919WOXI=h5|lnv3+uwajf`#}| Rdqvhq:?6VLYN:R>6:qokq6(rzzboae ps-`o7Yv9?1x`bz?/{qsmfjl'yx$of:Pq058wiis8&px|dmck.rq+fm13?m;rnlp5)}{ych`f!r.alqkrbz595=o5|lnv3+uwajf`#}| cnwmp`t;=7;i7~b`t1-ywuoddb%{~"m`uovfv9199k1x`bz?/{qsmfjl'yx$ob{atdp?=;7f3zfdx=!usqk`hn)wz&idyczjr^22e>ukg}:$v~~fcmi,tw)dg|diQ>1`9phjr7'sy{enbd/qp,gjsi|lxT> zrrjgim(x{%hcx`{es]45d=tdf~;#w}ibnh+ut(kfexh|P90a8wiis8&px|dmck.rqavefdlUnzh`{1c9phjr7'sy{enbd/qpfwfgkmV{7<3?m;rnlp5)}{ych`f!rdq`eicXy5;5=o5|lnv3+uwajf`#}|jsbcoaZw;:7;j7~b`t1-ywuoddb%{~h}lamg\uZ66i2ygcy> zrrjgim(x{oxolbj_p]25d=tdf~;#w}ibnh+utb{jkgiRP20a8wiis8&px|dmck.rqavefdlUyi~k{169phjr7'sy{enbd/swmjlh`Wx8:7~b`t1-ywuoddb%yyc`fnf]r[LHQW:=;Sb<7;rnlp5)}{ych`f!}uoljjbYvW@D]S>9?_n3\MKPX88>0aa{0.xptlekc&y{enbd229phjr7'sy{enbd/rrjgimXkyegS@[W_647[j433zfdx=!usqk`hn)tx`iggRmom]NQ]Y0>=Ud=;5|lnv2+75<{ee="IAD^367ZiXOGNT=8=Po^OV\Z1>9Ve986}cou3,CKBX9<9TcRIAD^367ZiXE\RT;4?Po006?vjh|8%LBIQ>52]l[BHCW8?8SbQBUY]4=4Yh98837~b`t0-DJAY6=:UdSJ@K_070[jYJ]QU<5Rgav008wiis9&Ue<=7=_hlu54763zfdx:Vcez??>119phjr6'Vd;<4;Piot26>ukg};$Sc>?94]jjs76991x`bz>/^l34<>Xag|:>6}cou3,[k6711Ubb{?>a:qokq7(kyeg==5|lnv2+vvnWjf`Sh`>5:qokq7({ycToaePpsgp8586=2ygcy? sqk\gimXx{ox0<0>5:qokq7({ycToaePpsgp8786<2ygcy? sqk\gimXx{oxS=?;;rnlp4)tx`Uh`fQrdq\542<{ee="}i^aooZvumzU9o6}cou3,wuoXmg;97~b`t0-ptlYbfhhgiR>2:qokq7({ycTlcrhqf5g=tdf~:#~~f_upbjv`bzzcqiR30?3a?vjh|8%x|dQ{r`lpb`ttasoT}1?11`9phjr6'zzbSy|nnrdfvvo}mV{T<8:qokq7(rzzb#@m`uov\gjsi|;;37~b`t0-ywuo(Eje~byQlotlw74?<{ee="t|ph-Ngjsi|Vidycz<10:8wiis9&px|d!BcnwmpZeh}g~?=45|lnv2+uwa&Ghcx`{_bmvjq269h1x`bz>/{qsm*Kdg|dSnaznu6254b<{ee="t|ph-Ngjsi|Vidycz;_cfg44><{ee="t|ph-Ngjsi|Vidycz:189phjr6'sy{e"Clotlw[firf}?:>=5|lnv2+uwa&Ghcx`{_bmvjqYg{6;21008wiis9&px|d!Pn12404743zfdx2W`d}=<;4smmw5*|tx`%Tb=>61^kmr40<{ee="t|ph-\j56>9Vcez?90]jjs769<1x`bz>/{qsm*Yi88:;Sd`y169phjr6'sy{e"Qa0023[lhq:8;97~b`t0-ywuo(keaTic?>3:qokq7(rzzb#naznu>3:45<{ee="t|ph-`kphs484:?6}cou3,~vvn'je~by2=>018wiis9&px|d!lotlw8686;2ygcy? zrrj+firf}6?2<=4smmw5*|tx`%hcx`{<4<26>ukg};$v~~f/bmvjqY79;1x`bz>/{qsm*eh}g~T=<<4smmw5*|tx`%hcx`{_331?vjh|8%q}g cnwmpZ56:2ygcy? zrrj+firf}U?=?5|lnv2+uwa&idyczP5018wiis9&px|d!ko^qkmcr6<2ygcy? zrrj+aiX{acmx>1008wiis9&px|d!buy3244743zfdx2008wiis9&px|d!buy3154753zfdx6}cou3,~vvn'ds=9?>139phjr6'sy{e"czx072544<{ee="t|ph-nq}7198;97~b`t0-ywuo(e|r:;2:qokq7(rzzb#`{w193257=tdf~:#w}i.ov|4?69880aa{1.xptl)j}q8;=ukg};$v~~f/lw{61769;1x`bz>/{qsm*krp;?:=<<4smmw5*|tx`%fyu<91031?vjh|8%q}g mtz13476:2ygcy? zrrj+hs:1;:=?5|lnv2+uwa&g~t?7>1008wiis9&px|d!buy1354743zfdx?>2038wiis9&px|d!buy62547<{ee="t|ph-nq}3698;0aa{1.xptl)j}q<:=1038wiis9&px|d!buy:2547<{ee="t|ph-nq}?69820aa{1.xptl)umhnT~hiPq=2=5<=tdf~:#w}i.pfeaYumnUz0<>1189phjr6'sy{e"|jae]qabYv48;5=45|lnv2+uwa&xnmiQ}ef]r8449901x`bz>/{qsm*tbimUyijQ~<01=5<=tdf~:#w}i.pfeaYumnUz0<:1189phjr6'sy{e"|jae]qabYv48?5=45|lnv2+uwa&xnmiQ}ef]r8409901x`bz>/{qsm*tbimUyijQ~<05=5<=tdf~:#w}i.pfeaYumnUz0<61189phjr6'sy{e"|jae]qabYv4835=55|lnv2+uwa&xnmiQ}ef]r848612ygcy? zrrj+wcflVxnkR321<2=>ukg};$v~~f/sgb`ZtboV{7><0>9:qokq7(rzzb#knd^pfcZw;:;4:56}cou3,~vvn'{ojhR|jg^s?668612ygcy? zrrj+wcflVxnkR325<2=>ukg};$v~~f/sgb`ZtboV{7>80>9:qokq7(rzzb#knd^pfcZw;:?4:56}cou3,~vvn'{ojhR|jg^s?628612ygcy? zrrj+wcflVxnkR329<2=>ukg};$v~~f/sgb`ZtboV{7>40>8:qokq7(rzzb#knd^pfcZw;:7;27~b`t0-ywuo(zlkoSkh_p>04;7>3zfdx/{qsm*tbimUyijQ~<4<2<>ukg};$v~~f/sgb`ZtboV{7:3?7;rnlp4)}{yc$~hok_sgd[t:06820aa{1.xptl)umhnT~hiPq=:=5==tdf~:#w}i.pfeaYumnUz040>7:qokq7(rzzb#knd^pfcZwX88=0aa{1.xptl)umhnT~hiPq^32<>ukg};$v~~f/sgb`ZtboV{T==?7;rnlp4)}{yc$~hok_sgd[tY69820aa{1.xptl)umhnT~hiPq^315==tdf~:#w}i.pfeaYumnUzS<=>8:qokq7(rzzb#knd^pfcZwX9=;37~b`t0-ywuo(zlkoSkh_p]214><{ee="t|ph-qadbXzlmT}R?9199phjr6'sy{e"|jae]qabYvW8=:46}cou3,~vvn'{ojhR|jg^s\5=7?3zfdx9058wiis9&px|d!}e`f\v`aXyV8:46}cou3,~vvn'{ojhR|jg^s\657?3zfdx/{qsm*tbimUyijQ~_352<>ukg};$v~~f/sgb`ZtboV{T>5?7;rnlp4)}{yc$~hok_sgd[tY518=0aa{1.xptl)umhnT~hiPq^12<>ukg};$v~~f/sgb`ZtboV{T?=?7;rnlp4)}{yc$~hok_sgd[tY498=0aa{1.xptl)umhnT~hiPq^623>ukg};$v~~f/sgb`ZtboV{T9<94smmw5*|tx`%yiljPrde\uZ06?2ygcy? zrrj+wcflVxnkRP7058wiis9&px|d!}e`f\v`aXyV2:;6}cou3,~vvn'{ojhR|jg^s\=44<{ee="t|ph-qadb~Wx;i7~b`t0-ywuo(zlkouRPgmwf[wus;;1x`bz>/{qsm*ruigymi}fzdY2YZqua}oT~hiPqZ12YZKrpV;Tc<74smmw5*|tx`%|~dzj_sgd[t:768k0aa{1.xptl)pz`~nSkh_p>24;7f3zfdxukg};$v~~f/vpjp`YumnUz0<<11`9phjr6'sy{e"y}iug\v`aXy5;820c8wiis9&px|d!xrhvf[wc`Wx6:43?n;rnlp4)}{yc${g{e^pfcZw;904:56}cou3,~vvn'~xbxhQ}ef]r8486i2ygcy? zrrj+rtn|lUyijQ~<32=5d=tdf~:#w}i.uqmqcXzlmT}1<>>0c8wiis9&px|d!xrhvf[wc`Wx69>3?n;rnlp4)}{yc${g{e^pfcZw;::4:m6}cou3,~vvn'~xbxhQ}ef]r87299h1x`bz>/{qsm*qua}oT~hiPq=06:4g<{ee="t|ph-tvlrbW{olS|2=6?3b?vjh|8%q}g wskwaZtboV{7>:0>a:qokq7(rzzb#z|ftd]qabYv4;25=l5|lnv2+uwa&}yeykPrde\u94>6830aa{1.xptl)pz`~nSkh_p>1:4g<{ee="t|ph-tvlrbW{olS|2<0?3b?vjh|8%q}g wskwaZtboV{7?<0>9:qokq7(rzzb#z|ftd]qabYv4:4:56}cou3,~vvn'~xbxhQ}ef]r818612ygcy? zrrj+rtn|lUyijQ~<4<2=>ukg};$v~~f/vpjp`YumnUz0;0>9:qokq7(rzzb#z|ftd]qabYv4>4:56}cou3,~vvn'~xbxhQ}ef]r8=8612ygcy? zrrj+rtn|lUyijQ~<8<0<>ukg};$v~~f/vpjp`YumnUzW>?R_vpjp`YumnUzW>>R_lw{[42XG\^7<3=6;rnlp4)}{yc${g{e^pfcZw\;8WT{g{e^pfcZw\;9WTaxvP15]LQQ:687927~b`t0-ywuo({ciR|jg^sX74[X{ciR|jg^sX75[Xe|rT=9Q@UU>25;5>3zfdx2?1:?vjh|8%q}g wskwaZtboV{P?21;5>3zfdx6?1:?vjh|8%q}g wskwaZtboV{P?2=;5?3zfdx>2;8wiis9&px|d!xrhvf[wc`WxQ8=PQxrhvf[wc`WxQ874smmw5*|tx`%|~dzj_sgd[t]49TU|~dzj_sgd[t]48TUfyuQ>4^MVP9466:30aa{1.xptl)pz`~nSkh_pY05XYpz`~nSkh_pY04XYj}qU:8RAZT=01:6?<{ee="t|ph-tvlrbW{olS|U<1\]tvlrbW{olS|U<0\]nq}Y62;8wiis9&px|d!xrhvf[wc`WxQ8=PQxrhvf[wc`WxQ874smmw5*|tx`%|~dzj_sgd[t]49TU|~dzj_sgd[t]48TUfyuQ>4^MVP9426:30aa{1.xptl)pz`~nSkh_pY05XYpz`~nSkh_pY04XYj}qU:8RAZT=05:6?<{ee="t|ph-tvlrbW{olS|U<1\]tvlrbW{olS|U<0\]nq}Y62;8wiis9&px|d!xrhvf[wc`WxQ8=PQxrhvf[wc`WxQ874smmw5*|tx`%|~dzj_sgd[t]49TU|~dzj_sgd[t]48TUfyuQ>4^MVP94>6:20aa{1.xptl)pz`~nSkh_pY05XYpz`~nSkh_pY04XYj}qU:8RAZT=0=7<=tdf~:#w}i.uqmqcXzlmT}V=>]^uqmqcXzlmT}V=?]^ov|Z73WF__0>>1389phjr6'sy{e"y}iug\v`aXyR9:QRy}iug\v`aXyR9;QRczx^37[JSS4:;5?55|lnv2+uwa&}yeykPrde\u^56UV}yeykPrde\u^57UVg~tR?;_NWW868402ygcy? zrrj+rtn|lUyijQ~[23^[rtn|lUyijQ~[22^[hsW8>TCXZ34?1;?vjh|8%q}g wskwaZtboV{P?2>64smmw5*|tx`%|~dzj_sgd[t]49TU|~dzj_sgd[t]48TUfyuQ>4^MVP909;11x`bz>/{qsm*qua}oT~hiPqZ12YZqua}oT~hiPqZ13YZkrpV;?SB[[<6<0<>ukg};$v~~f/vpjp`YumnUzW>?R_vpjp`YumnUzW>>R_lw{[42XG\^743=7;rnlp4)}{yc${g{e^pfcZw\;8WT{g{e^pfcZw\;9WTaxvP15]LQQ:>6820aa{1.xptl)pz`~nSkh_p]35==tdf~:#w}i.uqmqcXzlmT}R?>9:qokq7(rzzb#z|ftd]qabYvW8::56}cou3,~vvn'~xbxhQ}ef]r[47612ygcy? zrrj+rtn|lUyijQ~_002=>ukg};$v~~f/vpjp`YumnUzS<=>9:qokq7(rzzb#z|ftd]qabYvW8>:56}cou3,~vvn'~xbxhQ}ef]r[43612ygcy? zrrj+rtn|lUyijQ~_042=>ukg};$v~~f/vpjp`YumnUzS<9>9:qokq7(rzzb#z|ftd]qabYvW82:56}cou3,~vvn'~xbxhQ}ef]r[4?602ygcy? zrrj+rtn|lUyijQ~_33:?vjh|8%q}g wskwaZtboV{T>=?6;rnlp4)}{yc${g{e^pfcZwX:8;27~b`t0-ywuo({ciR|jg^s\677>3zfdx9?6;rnlp4)}{yc${g{e^pfcZwX:<;27~b`t0-ywuo({ciR|jg^s\637>3zfdx5?6;rnlp4)}{yc${g{e^pfcZwX:0;37~b`t0-ywuo({ciR|jg^s\74?<{ee="t|ph-tvlrbW{olS|Q<00;8wiis9&px|d!xrhvf[wc`WxU8=<64smmw5*|tx`%|~dzj_sgd[tY3911x`bz>/{qsm*qua}oT~hiPq^72<>ukg};$v~~f/vpjp`YumnUzS;?7;rnlp4)}{yc${g{e^pfcZwX?820aa{1.xptl)pz`~nSkh_p];5==tdf~:#w}i.uqmqcXzlmT}R7j;rnlp4)}{ych`f!=b:qokq7(rzzboae GOF\503XgVMEHR?:5^m\IP^X?>8Tc?m4smmw5*|tx`igg"IAD^361ZiXOGNT=8;Po^OV\Z10:Ve:>o5|lnv2+uwajf`#J@K_076[jY@FMU:98Q`_LW[[214Wf8h7~b`t0-ywuoddb%LBIQ>54]l[BHCW8?>SbQBUY]436Yh9;=0aa{1.xptlekc&MEHR?:5^m\gimXaVG^TR981^m1<>ukg};$v~~fcmi,CKBX9;Tc/{qsmfjl'Vd;<97Piot2545<{ee="t|phaoo*ekcVc:;6}cou3,~vvnkea$oaeiamn\m41<{ee="t|phaoo*ekc{cxiRg>1:qokq7(rzzboae ps-11>ukg};$v~~fcmi,tw)JkfexRm`uovfvZe~494996}cou3,~vvnkea$|!BcnwmpZeh}g~n~Rmv<0<1<>ukg};$v~~fcmi,tw)JkfexRm`uovfvZe~484T~y<:;rnlp4)}{ych`f!r.O`kphsWje~byk}_b{?6;4?3zfdx3Q}t378wiis9&px|dmck.rq+Heh}g~Tob{atdp\g|:46;20aa{1.xptlekc&zy#@m`uov\gjsi|lxTot2<>^pw60=tdf~:#w}ibnh+ut(Eje~byQlotlwawYdq5>5>55|lnv2+uwajf`#}| MbmvjqYdg|diQly=6=[wr5=2ygcy? zrrjgim(x{%Fob{at^alqkrbzVir080=8:qokq7(rzzboae ps-Ngjsi|Vidyczjr^az808Xz}8>7~b`t0-ywuoddb%{~"Clotlw[firf}oySnw36?0;?vjh|8%q}gllj-sv*Kdg|dSnaznugq[f;>7Uyx?;4smmw5*|tx`igg"~}/LalqkrXkfexh|Pcx>4:7><{ee="t|phaoo*vu'DidyczPcnwmp`tXkp6<2R|{249phjr6'sy{enbd/qp,Ifirf}Uhcx`{es]`}9>9:11x`bz>/{qsmfjl'yx$Anaznu]`kphsm{Uhu161_sv12>ukg};$v~~fcmi,tw)JkfexRm`uovfvZjr|5:5>n5|lnv2+uwajf`#}| MbmvjqYdg|diQcuu>3:ZOI^V:9:6}cou3,~vvnkea$|!BcnwmpZeh}g~n~Rv`r=2=63=tdf~:#w}ibnh+ut(Eje~byQlotlwawYg{6:2?84smmw5*|tx`igg"~}/LalqkrXkfexh|Pxnp?6;413zfdx0=6:qokq7(rzzboae ps-Ngjsi|Vidyczjr^zlv929:?1x`bz>/{qsmfjl'yx$Anaznu]`kphsm{Usc2:>348wiis9&px|dmck.rq+Heh}g~Tob{atdp\|jt;>78=7~b`t0-ywuoddb%{~"Clotlw[firf}oySua}<6<12>ukg};$v~~fcmi,tw)JkfexRm`uovfvZ~hz525>;5|lnv2+uwajf`#}| MbmvjqYdg|diQwos>::7?<{ee="t|phaoo*vu'DidyczPcnwmp`tXpfx753Q}t0g8wiis9&px|dmck.rq+HkrpVo}iczPi03e?vjh|8%q}gllj-sv*Kj}qUnzh`{_h325c=tdf~:#w}ibnh+ut(EdsShxjnu]j577a3zfdx/{qsmfjl'yx$A`{w_dtfjqYn9=;m7~b`t0-ywuoddb%{~"Cbuy]fr`hsW`;>=h5|lnv2+uwajf`#}| Rdqvhq:76VLYNe:qokq7(rzzboae ps-Qavsk|595SK\M1d9phjr6'sy{enbd/qp,V`urd}6?2RH]B0g8wiis9&px|dmck.rq+Wct}e~793QIRC3f?vjh|8%q}gllj-sv*Tb{|f0;0PFS@2a>ukg};$v~~fcmi,tw)Umzgx191_GPA5`=tdf~:#w}ibnh+ut(Zly~`y27>^DQF4c<{ee="t|phaoo*vu'[oxyaz39?]EVG7>3zfdx2ygcy? zrrjgim(x{%hg?Q~179phjr6'sy{enbd/qp,gn2Xy8=0aa{1.xptlekc&zy#ne94^s22>ukg};$v~~fcmi,tw)dc1Uz=o5|lnv2+uwajf`#}| cnwmp`t;87;i7~b`t0-ywuoddb%{~"m`uovfv9799k1x`bz>/{qsmfjl'yx$ob{atdp?6;7e3zfdx/{qsmfjl'yx$ob{atdp\64g<{ee="t|phaoo*vu'je~byk}_23b?vjh|8%q}gllj-sv*eh}g~n~R;>a:qokq7(rzzboae ps-`kphsm{U<=l5|lnv2+uwajf`#}| cnwmp`tX18i0aa{1.xptlekc&zyi~mnld]fr`hs9k1x`bz>/{qsmfjl'yxnnoce^s?4;7e3zfdx>a:qokq7(rzzboae psgpgdjbWxU:=l5|lnv2+uwajf`#}|jsbcoaZwX:8i0aa{1.xptlekc&zyi~mnld]qavcs9>1x`bz>/{qsmfjl'{ebd`h_p02?vjh|8%q}gllj-qqkhnfnUzSD@Y_253[j4?3zfdx/{qsmfjl'zzboaePcqmo[HS_W>;rnlp47(Wg:;85Qfnw31?vjh|8;$Sc>?49]jjs76?2ygcy?>/ecweZtbimsThawPq048wiis98%oe{gkes]q|Zw;87;<7~b`t03,`lpnllxT~uQ~<02=52=tdf~:="jfvhffvZtWx6:=3?8;rnlp47(l`|bhh|Pry]r84499>1x`bz>1.fjrlbbzVxsS|2>3?34?vjh|8;$hdxfddp\v}Yv48>5=:5|lnv25*bn~`nn~R|w_p>21;713zfdx6:qokq76'mc}eik}_sz\u9499?1x`bz>1.fjrlbbzVxsS|2<>048wiis98%oe{gkes]q|Zw;<7;=7~b`t03,`lpnllxT~uQ~<4<22>ukg};:#igyiegq[w~Xy5<5=;5|lnv25*bn~`nn~R|w_p>4:40<{ee=/ekumacuW{rT}R>>5:qokq76'mc}eik}_sz\uZ76>2ygcy?>/ekumacuW{rT}R??179phjr69&nbzdjjr^p{[tY698<0aa{10-gmsocm{UytRP1335?vjh|8;$hdxfddp\v}YvW89::6}cou32+aoqamoySvPq^3753=tdf~:="jfvhffvZtWxU:9<;4smmw54)cacoiQ}x^s\643<{ee=/gkekZoi{}keoh!>d:qokq76'ocmcRgasucmg`)E]ZU;<<9<1:qokq76'ocmcRgasucmg`)JkfexR|kaefq[}iu494:=RGAV^21f>ukg};:#kgio^kmwqgikl%Fob{at^pgeabuWqey0<0>13`8wiis98%mekaPioqwekeb'DidyczPrecg`wYg{692?4smmw54)aaoeTec}{aoaf+Heh}g~T{okds]{kw:768;TECXP03`8wiis98%mekaPioqwekeb'DidyczPwscg`wYg{6:2<>;rnlp47(n`ldSd`|t`l`a*KuidUfi`a}y502?vjh|8;$jdh`_hlppdhdm&Gym`Qbelmq}0463zfdx;rnlp47(n`ldSd`|t`l`a*KuidUfi`a}y93f?vjh|8;$jdh`_hlppdhdm&Xnxb{<1<2b>ukg};:#kgio^kmwqgikl%Yi~{ct=2=54c<{ee=1.djbjYnfz~jbnk Rdqvhq:568l0aa{10-emciXagymcmj/Sgpqir;:7;:i6}cou32+coagVceyoacd-Qavsk|595=k5|lnv25*`nnfUbb~znnbg,V`urd}682e:qokq76'ocmcRgasucmg`)Xf9:851?11d9phjr69&lbjbQfnrvbjfc(Wg:;?42=>0g8wiis98%mekaPioqwekeb'Vd;<>733?3f?vjh|8;$jdh`_hlppdhdm&Ue<==6<5<2a>ukg};:#kgio^kmwqgikl%Tb=><9=7=5`=tdf~:="hffn]jjvrffjo$Sc>?38>5:4c<{ee=ukg};:#kgio^kmwqgikl%na}zv_w3f?vjh|8;$jdh`_hlppdhdm&of|ywPv532b>ukg};:#kgio^kmwqgikl%na}zv_w6254d<{ee=ukg};:#kgio^kmwqgikl%myabPv503?vjh|8;$jdh`_hlppdhdm&l~`aQy4^QT47e<{ee=3:4c<{ee=/gkekZoi{}keoh!}d`fgv9599m1x`bz>1.djbjYnfz~jbnk recg`wY79m1x`bz>1.djbjYnfz~jbnk recg`wY69m1x`bz>1.djbjYnfz~jbnk recg`wY59m1x`bz>1.djbjYnfz~jbnk recg`wY49j1x`bz>1.djbjYnfz~jbnk rdcg8586k2ygcy?>/gkekZoi{}keoh!}e`f?5;7d3zfdx1:4e<{ee=ukg};:#kgio^kmwqgikl%yilj35?3`?vjh|8;$jdh`_hlppdhdm&xnmi29>0a8wiis98%mekaPioqwekeb'{ojh1911c9phjr69&lbjbQfnrvbjfc(zlkoS=?m;rnlp47(n`ldSd`|t`l`a*tbimU:=o5|lnv25*`nnfUbb~znnbg,v`gcW;;i7~b`t03,bl`hW`dxxl`le.pfeaY49k1x`bz>1.djbjYnfz~jbnk rdcg[17e3zfdxe:qokq76'ocmcRgasucmg`)pzhno~1>11d9phjr69&lbjbQfnrvbjfc({koh2>>0g8wiis98%mekaPioqwekeb'~xjhi|32?3f?vjh|8;$jdh`_hlppdhdm&}ymij}<2<2`>ukg};:#kgio^kmwqgikl%|~ljkr^22`>ukg};:#kgio^kmwqgikl%|~ljkr^32`>ukg};:#kgio^kmwqgikl%|~ljkr^02`>ukg};:#kgio^kmwqgikl%|~ljkr^1e?vjh|8;$~uQfnrv,5c=tdf~:="|w_hlpp*Kdg|dSio{abmvjq473zfdx55|lnv25*tW`dxx"Clotlw[agsije~byQwos>3:47502ygcy?>/sz\mkus'DidyczPd`vbgjsi|Vrd~1?1100;?vjh|8;$~uQfnrv,Ifirf}Uomyolotlw[}iu4;4:=<74smmw54)upVcey!Pn1335Zoi~8k0aa{10-q|Zoi{}%Tb=??1^kmr47>3zfdx9:qokq76'{rTec}{/^l3543Xag|:n6}cou32+w~Xagy#R`?107\mkp598=0aa{10-q|Zoi{}%imxjheo-03>ukg};:#vPioqw+ggrlnoe#J@K_043[jY@FMU::=Q`_rwa[7YH]]6;2>94smmw54)upVcey!matfdak)@FMU::=Q`_FLG[407WfUxyoQ=_NWW8484?2ygcy?>/sz\mkus'kk~hjka/FLG[407WfULBIQ>61]l[vseW;UDYY2=>258wiis98%ytRgasu-aepb`mg%LBIQ>61]l[BHCW8<;SbQ|uc]1[JSS4:48>6}cou32+w~Xagy#oozdfgm+BHCW8<;SbQlnuY21XYby|kgS/sz\mkus'kk~hjka/Lov|Zei|R;>QRm`uovX50[Xe|rT8RAZT030=>ukg};:#vPioqw+ggrlnoe#@czx^amp^72UVidyczT14_\ip~X5\]`kphsS8?VS`{w_5]LQQ>6;01x`bz>1.p{[lht|&hjyiijn.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU;200=tdf~:="|w_hlpp*df}mmnb"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Ydq5:5855|lnv25*tW`dxx"lnueefj*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qly=2=[wr3=2ygcy?>/sz\mkus'kk~hjka/LqvfZAILV;=Po^qvfZ4XG\^7=84?>^az8783>2ygcy?>/sz\mkus'kk~hjka/LqvfZAILV;=;6:qokq76'{rTec}{/ccv`bci'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp9491.p{[lht|&hjyiijn.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Ugyy2=>^KMRZ63>2ygcy?>/sz\mkus'kk~hjka/LqvfZAILV;=;6:qokq76'{rTec}{/ccv`bci'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^zlv9691.p{[lht|&hjyiijn.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Usc2>>548wiis98%ytRgasu-aepb`mg%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;:7>=7~b`t03,v}Ynfz~$nl{kgdl,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sua}<2<15>ukg};:#vPioqw+ggrlnoe#R`?032\mkp5:2ygcy?>/sz\mkus'kk~hjka/^l3476Xag|:=i5|lnv25*tW`dxx"lnueefj*ekcf>95|lnv25*tW`dxx"lnueefj*ekcfS}{pnv2`>ukg};:#vPioqw+ggrlnoe#n`{<1<2`>ukg};:#vPioqw+ggrlnoe#n`{<0<2`>ukg};:#vPioqw+ggrlnoe#n`{<3<2`>ukg};:#vPioqw+ggrlnoe#n`{<2<01>ukg};:#vPioqw+ggrlnoe#n`{[07^[firf}Q:9PQbuy]7[JSS494896}cou32+w~Xagy#oozdfgm+fhsS8?VSnaznuY21XYj}qU?SB[[<0<01>ukg};:#vPioqw+ggrlnoe#n`{[07^[firf}Q:9PQbuy]7[JSS4;4896}cou32+w~Xagy#oozdfgm+fhsS8?VSnaznuY21XYj}qU?SB[[<2<2g>ukg};:#vPioqw+ggrlnoe#n`{_13`?vjh|8;$~uQfnrv,fdscold$oczP10a8wiis98%ytRgasu-aepb`mg%hbyQ=1b9phjr69&xsSd`|t.`bqaabf&iexR=>8:qokq76'{rTec}{/ccv`wctm};m7~b`t03,v}Ynfz~$nl{krdqfpZtt|ye=o5|lnv25*tW`dxx"jnt`alqkr;87;i7~b`t03,v}Ynfz~$hlzncnwmp9799k1x`bz>1.p{[lht|&njxlm`uov?6;7f3zfdxukg};:#vPioqw+agsije~byQ>1`9phjr69&xsSd`|t.fbpdeh}g~T><94smmw54)upVcey!kaucl8586?2ygcy?>/sz\mkus'mkmb2>>058wiis98%ytRgasu-geqgh4;4:;6}cou32+w~Xagy#io{an>0:41<{ee=7:qokq76'{rTec}{/ecwej:268=0aa{10-q|Zoi{}%omyo`<7<23>ukg};:#vPioqw+agsif6<2<84smmw54)upVcey!kaucl[5713zfdx6:qokq76'{rTec}{/ecwejY59?1x`bz>1.p{[lht|&njxlaP3048wiis98%ytRgasu-geqghW=;=7~b`t03,v}Ynfz~$hlzno^722>ukg};:#vPioqw+agsifU==;5|lnv25*tW`dxx"jnt`m\34><{ee=5=85|lnv25*tW`dxx"|wd=7=50=tdf~:="|w_hlpp*tl5<5=85|lnv25*tW`dxx"|wd=5=51=tdf~:="|w_hlpp*tlV::86}cou32+w~Xagy#vk_037?vjh|8;$~uQfnrv,v}bX:8>0aa{10-q|Zoi{}%ytiQ<159phjr69&xsSd`|t.p{`Z26<2ygcy?>/sz\mkus'{roS8?;;rnlp47(zqUbb~z ryf\242<{ee=289phjr69&xsSd`|t.p{is`nd}oy#@m`uov\gjsi|V{9>45|lnv25*tW`dxx"|wmwdjhqcu'DidyczPcnwmpZw4;81x`bz>1.p{[lht|&xsa{hflugq+Heh}g~Tob{at^s\|jt;87;:?<5|lnv25*tW`dxx"|wmwdjhqcu'DidyczPcnwmpZwXpfx7=3?>309phjr69&xsSd`|t.p{is`nd}oy#@m`uov\gjsi|V{Ttb|32?3274=tdf~:="|w_hlpp*telb`yk}/LalqkrXkfexRPxnp?7;76:=1x`bz>1.p{[lht|&xsa{hflugq+Zh78;=Tecx=5:qokq76'{rTec}{/sznrcok|lx$Sc>?26]jjs75<2ygcy?>/sz\mkus'{rfzkgctdp,gjsi|V{7<3<;;rnlp47(zqUbb~z ryoubljsm{%hcx`{_p>2:72<{ee=95|lnv25*tW`dxx"|wmwdjhqcu'je~byQ~<2<17>ukg};:#vPioqw+w~j~ocgxh| cnwmpZwX8;90aa{10-q|Zoi{}%yt`xiimvfv*eh}g~T}R?=3:qokq76'{rTec}{/sznrcok|lx$ob{at^s\675<{ee=:5|lnv25*tW`dxx"|wmwdjhqcu'ze~xRicud]paq7c3zfdx?>4smmw54)upVcey!|t`vf[CUJWOLo> Ga259phjr69&xsSd`|t.qweqcXNZGTJKj=-Hl\WR6682ygcy?>/sz\plkbz&9;7~b`t03,v}Ysadoy#J@K_043[jY@FMU::=Q`_rwa[7YH]]6;2>?4smmw54)upV~bah| GOF\536XgVMEHR?90^m\wpdX:VE^X1??>238wiis98%ytRzfmdp,CKBX9?:TcRIAD^354ZiX{|hT>RAZT=32:67<{ee=Po^EM@Z718VeTxlP2^MVP9756:;0aa{10-q|Zrnelx$KCJP172\kZAILV;=?4smmw54)upV~bah| GOF\536XgVMEHR?90^m\wpdX:VE^X1?;>238wiis98%ytRzfmdp,CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36:66<{ee=Po^EM@Z718VeTxlP2^MVP979;91x`bz>1.p{[qojm{%LBIQ>61]l[BHCW8<;SbQ|uc]1[JSS4;48<6}cou32+w~X|`gn~"IAD^354ZiXOGNT=;>Po^qvfZ4XG\^7?3=?;rnlp47(zqUe`k}/FLG[407WfULBIQ>61]l[vseW;UDYY2;>228wiis98%ytRzfmdp,CKBX9?:TcRIAD^354ZiX{|hT>RAZT=7=75=tdf~:="|w_uknaw)@FMU::=Q`_FLG[407WfUxyoQ=_NWW838482ygcy?>/sz\plkbz&MEHR?90^m\CKBX9?:TcR}zb^0\KPR;?79;7~b`t03,v}Ysadoy#J@K_043[jY@FMU::=Q`_rwa[7YH]]632>>4smmw54)upV~bah| GOF\536XgVMEHR?90^m\wpdX:VE^X1712c9phjr69&xsSygbes-DJAY6>9UdSn`{[07^[`wrieU:Sb5\]fupgkW8Ud0<;1319phjr69&xsSygbes-DJAY6>9UdSn`{[07^[`wrieU:Sb2>5?304>ukg};:#vPthofv*AILV;=5\]nq}Y3WF__==?<2:qokq76'{rTxdcjr.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU3276=tdf~:="|w_uknaw)Je|rToczT14_\gjsi|R;>QRczx^6\KPR698987~b`t03,v}Ysadoy#@czx^amp^72UVidyczT14_\ip~X329phjr69&xsSygbes-Nip~Xkg~P=8SPcnwmp^72UVg~tR:POTV274543zfdx:?>5|lnv25*tW}cfi!Bmtz\gkr\9TCXZ>5010?vjh|8;$~uQ{ilgq+HkrpViexV?:]^alqkr\91.p{[qojm{%FaxvPcovX50[XkfexV?:]^ov|Z2XG\^9=><4smmw54)upV~bah| Mlw{[fhsS8?VSnaznuY21XYj}qU?SB[[3011?vjh|8;$~uQ{ilgq+HkrpViexV?:]^alqkr\9/sz\plkbz&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]]?:??5|lnv25*tW}cfi!Bmtz\gkr\9TCXZ91208wiis98%ytRzfmdp,IhsWjdW<;R_bmvjq]6=TUfyuQ;_NWW34553zfdx6}cou32+w~X|`gn~"Cbuy]`jq]6=TUhcx`{[07^[hsW=UDYY7>3d9phjr69&xsSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294Tot2?>538wiis98%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Snw30?]qp6`<{ee=1_b{?5584n2ygcy?>/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]`}9766:l0aa{10-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[f;9;48j6}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Ydq5;82>h4smmw54)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wjs7=90Po^EM@Z718VeTxlP2^MVP972294Tot2=>2g8wiis98%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Snw33?1f?vjh|8;$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rmv<5<0a>ukg};:#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qly=7=7`=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pcx>5:6c<{ee=1_b{?3;5b3zfdxPo^qvfZ4XG\^7=84?>^az8=84m2ygcy?>/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]`}9?9<91x`bz>1.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;994?:6}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yk}}6:<3QFNW]305=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pltv?5483>2ygcy?>/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:697UBB[Q?419phjr69&xsSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294T`xz313<72>ukg};:#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>26;YNF_U;8=5|lnv25*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xd|~7=>0;6:qokq76'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Ugyy2>3?]JJSY7<91x`bz>1.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;9=4?:6}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yk}}6:83QFNW]305=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pltv?5083>2ygcy?>/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:6=7UBB[Q?3g9phjr69&xsSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294T`xz31?66?vjh|8;$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rbzt=3=[LHQW99m7~b`t03,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Zjr|585885|lnv25*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xd|~7>3QFNW]37c=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pltv?7;223zfdxPo^qvfZ4XG\^7=84?>^nvp959W@D]S==i;rnlp47(zqUe`k}/LqvfZAILV;=Po^EM@Z718VeTxlP2^MVP972294T`xz34?]JJSY7;o1x`bz>1.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;=7>>7~b`t03,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Zjr|5?5SD@Y_11e?vjh|8;$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rbzt=4=00=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pltv?2;YNF_U;?k5|lnv25*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xd|~7;3::;rnlp47(zqUe`k}/LqvfZAILV;=Po^qvfZ4XG\^7=84?>^nvp9>9<<1x`bz>1.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;07UBB[Q?3g9phjr69&xsSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294T`xz39?66?vjh|8;$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rbzt=;=[LHQW99m7~b`t03,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Z~hz5:58=5|lnv25*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xpfx7==0;0:qokq76'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Usc2>1?63?vjh|8;$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rv`r=31:16<{ee=1_ymq8459<91x`bz>1.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;9=4?<6}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yg{6:93=i;rnlp47(zqUe`k}/LqvfZAILV;=Po^EM@Z718VeTxlP2^MVP972294Ttb|32?1e?vjh|8;$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rv`r=1=7c=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pxnp?0;5a3zfdxPo^qvfZ4XG\^7=84?>^zlv939;o1x`bz>1.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;>79m7~b`t03,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Z~hz5=5?k5|lnv25*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xpfx743=i;rnlp47(zqUe`k}/LqvfZAILV;=25;703zfdx2ygcy?>/sz\plkbz&iex1<1179phjr69&xsSygbes-`jq:468<0aa{10-q|Zrnelx$ocz34?35?vjh|8;$~uQ{ilgq+fhs4<4::6}cou32+w~X|`gn~"mat=4=53=tdf~:="|w_uknaw)df}6<2<84smmw54)upV~bah| cov?<;713zfdx12g9phjr69&xsSygbes-`jq]6=TUhcx`{[07^[hsW=UDYY2>0?0e?vjh|8;$~uQ{ilgq+fhsS8?VSnaznuY21XYj}qU?SB[[<03=6c=tdf~:="|w_uknaw)df}Q:9PQlotlw_43ZWdsS9Q@UU>26;4a3zfdx1.p{[qojm{%hbyU>5\]`kphsS8?VS`{w_5]LQQ:6<78m7~b`t03,v}Ysadoy#n`{[07^[firf}Q:9PQbuy]7[JSS48?5>h5|lnv25*tW}cfi!lnuY21XYdg|dW<;R_lw{[1YH]]6:2?k4smmw54)upV~bah| covX50[XkfexV?:]^ov|Z2XG\^7>35\]nq}Y3WF__0>0=e:qokq76'{rTxdcjr.amp^72UVidyczT14_\ip~X3g8wiis98%ytRzfmdp,gkr\9TCXZ36?0f?vjh|8;$~uQ{ilgq+fhsS8?VSnaznuY21XYj}qU?SB[[<6<1a>ukg};:#vPthofv*ei|R;>QRm`uovX50[Xe|rT8RAZT=:=6`=tdf~:="|w_uknaw)df}Q:9PQlotlw_43ZWdsS9Q@UU>::43<{ee=2ygcy?>/sz\plkbz&iexR?=179phjr69&xsSygbes-`jqY6;8<0aa{10-q|Zrnelx$oczP1535?vjh|8;$~uQ{ilgq+fhsW8?:96}cou32+w~X|`gn~"mat^021>ukg};:#vPthofv*ei|V9:96}cou32+w~X|`gn~"mat^621>ukg};:#vPthofv*ei|V?:96}cou32+w~X|`gn~"mat^421>ukg};:#vPthofv*ei|V=:96}cou32+w~X|`gn~"mat^:21>ukg};:#vPthofv*ei|V3m7~b`t03,p}Ydg{o$>:5|lnv25*rWjeyi"IAD^347ZiX|VxP;PQBuy]7[j563zfdx987~b`t03,p}Ydg{o$A`{w_FLG[414WfUSU8]^Ov|Z2XgV8Tj:QI329phjr69&~sSna}e.Onq}Y@FMU:;>Q`_u]q_2[XE|rT8RaP2^d4[B5?3zfdx12`8wiis98%tRm`rd-Nip~X\qZycn miurlw^5ZWNDOS<9<_n]nq}Y6;VE^XQ`_lw{[45XG\^9=>l4smmw54)spVid~h!Bmtz\P}Vugj$iey~`sZ1^[BHCW8=8SbQbuy]27ZIR\:;8n6}cou32+q~Xkfxn#@czx^V{Twid&kc|b}T3\]DJAY6?:UdS`{w_01\KPR39:30aa{10-w|Zehzl%FaxvPlncgaaYuW]r[~bm!bhvskv]4UVG^TR6;3^m254`<{ee=/uz\gjtb']r[~bm!bhvskv:668l0aa{10-w|Zehzl%_t]|`c/`jpuit4;4:j6}cou32+q~Xkfxn#Yv_rna-flrwgz682>?4smmw54)spVid~h![xQplg+dn|yexW>SPGOF\525XgVG~tR8Po258wiis98%tRm`rd-W|Uthk'hbx}a|[2_\CKBX9>9TcRczx^30[JSS4948;6}cou32+q~Xkfxn#Yv_rna-flrwgzQ8QRIAD^347ZiXe|rT=>Q@UU>2:61<{ee=>03]jjs7612ygcy?>/uz\gjtb'Vd;=?7Piot2e>ukg};:#yvPcnpf+Zh79;3Tecx>1c9phjr69&~sSna}e.]m444>W`d}=>36]jjs7612ygcy?>/uz\gjtb'Vd;=9:Piot2e>ukg};:#yvPcnpf+Zh79=>Tecx>129phjr69&~sSna}e.kgh`7d3zfdx1.v{[fium&fdmikk_s]W|Uthk'hbx}a|[2_\IP^X0=9Tc<;4smmw54)spVid~h!{_s>3:43<{ee=1:43<{ee=7:43<{ee=2<;4smmw54)spVid~h!{_s>5:43<{ee=0aa{10-w|Zehzl%SQ;159phjr69&~sSna}e.v\vZ36<2ygcy?>/uz\gjtb'}UyS;?;;rnlp47(|qUhck t^p\34?<{ee=0;8wiis98%tRm`rd-wfp`aW{6:2<74smmw54)spVid~h!{btde[w:56830aa{10-w|Zehzl%nxhi_s>0:4?<{ee=0;8wiis98%tRm`rd-wfp`aW{6>2<74smmw54)spVid~h!{btde[w:16830aa{10-w|Zehzl%nxhi_s>4:4><{ee=/uz\gjtb'}h~jkQ}_73;?vjh|8;$xuQlosg,pgsanVxT;<:4smmw54)spVid~h!{xe]q55=tdf~:="zw_uknaw)5l2ygcy?>/uz\plkbz&MEHR?90^m\CKBX9?:TcRCZX^:1=Zi482ygcy?>/uz\plkbz&MEHR?90^m\CKBX9?:TcR}zb^0\KPR;879;7~b`t03,p}Ysadoy#J@K_043[jY@FMU::=Q`_rwa[7YH]]6:2>>4smmw54)spV~bah| GOF\536XgVMEHR?90^m\wpdX:VE^X1<1319phjr69&~sSygbes-DJAY6>9UdSJ@K_043[jYt}kU9SB[[<2<1f>ukg};:#yvPthofv*AILV;=6}cou32+q~X|`gn~"Cbuy]`jq]6=TUhcx`{[07^[hsW=UDYY7>3d9phjr69&~sSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294Tot2?>538wiis98%tRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Snw30?]qp6c<{ee=1_b{?5;5b3zfdxPo^qvfZ4XG\^7=84?>^az8784n2ygcy?>/uz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:66=?0aa{10-w|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[iss484TECXP02d8wiis98%tRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<3<71>ukg};:#yvPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>1:ZOI^V:8j6}cou32+q~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yk}}6829;4smmw54)spV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69We0>0PIOT\46`<{ee=1_ymq8584n2ygcy?>/uz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]{kw:66:l0aa{10-w|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[}iu4;48j6}cou32+q~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yg{6821.v{[qojm{%h`fazt048wiis98%tRzfmdp,gkr;87;=7~b`t03,p}Ysadoy#n`{<0<22>ukg};:#yvPthofv*ei|585=;5|lnv25*rW}cfi!lnu>0:7c<{ee=/uz\plkbz&iexV?:]^alqkr\91.v{[qojm{%hbyU>5\]`kphsS8?VS`{w_5]LQQ:468?0aa{10-w|Zrnelx$oczP0078wiis98%tRzfmdp,gkrX98?0aa{10-w|Zrnelx$oczP2078wiis98%tRzfmdp,gkrX;>1x`bz>3.0:?vjh|89$KCJP221\kZAILV88?RaPmtz\556XG\^7<3>=Po^EM@Z44;VeTaxvP112\KPR;9949m6}cou30+BHCW;98SbQHNE]176YhWdsS<>?_NWW8479:01x`bz>3.EM@Z44;VeTKCJP221\kZkrpV;;>=Po^ov|Z778VE^X1<1289phjr6;&MEHR<<3^m\CKBX::9TcRczx^334ZIR\595>45|lnv27*AILV88?RaPGOF\665XgVg~tR??0^MVP929:01x`bz>3.EM@Z44;VeTKCJP221\kZkrpV;;>=Po^ov|Z778VE^X181289phjr6;&MEHR<<3^m\CKBX::9TcRczx^334ZIR\5=5>45|lnv27*AILV88?RaPGOF\665XgVg~tR??0^MVP9>9:01x`bz>3.EM@Z44;VeTKCJP221\kZkrpV;;>=Po^ov|Z77;VE^X1>1289phjr6;&MEHR<<3^m\CKBX::9TcRczx^337ZIR\5;5>45|lnv27*AILV88?RaPGOF\665XgVg~tR??3^MVP949:01x`bz>3.EM@Z44;VeTKCJP221\kZkrpV;;?RAZT=1=6<=tdf~:?"IAD^007ZiXOGNT>>=Po^ov|Z77;VE^X1:1289phjr6;&MEHR<<3^m\CKBX::9TcRczx^337ZIR\5?5>45|lnv27*AILV88?RaPGOF\665XgVg~tR??3^MVP909:01x`bz>3.EM@Z44;VeTKCJP221\kZkrpV;;?RAZT=5=6<=tdf~:?"IAD^007ZiXOGNT>>=Po^ov|Z77;VE^X161289phjr6;&MEHR<<3^m\CKBX::9TcRczx^337ZIR\535>45|lnv27*AILV88?RaPGOF\665XgVg~tR?<2^MVP969:h1x`bz>3.EM@Z44;VeTKCJP221\kZkrpV;8>RAZT=33:7g<{ee=>!HNE]176YhWNDOS?=<_n]nq}Y6;;UDYY2>1?0b?vjh|89$KCJP221\kZAILV88?RaPmtz\564XG\^7=?0=a:qokq74'NDOS?=<_n]DJAY5;:UdS`{w_011[JSS4895>45|lnv27*AILV88?RaPGOF\665XgVg~tR?<2^MVP979:01x`bz>3.EM@Z44;VeTKCJP221\kZkrpV;8>RAZT=0=6<=tdf~:?"IAD^007ZiXOGNT>>=Po^ov|Z74:VE^X1=1289phjr6;&MEHR<<3^m\CKBX::9TcRczx^306ZIR\5>5>45|lnv27*AILV88?RaPGOF\665XgVg~tR?<2^MVP939:01x`bz>3.EM@Z44;VeTKCJP221\kZkrpV;8>RAZT=4=6<=tdf~:?"IAD^007ZiXOGNT>>=Po^ov|Z74:VE^X191289phjr6;&MEHR<<3^m\CKBX::9TcRczx^306ZIR\525>45|lnv27*AILV88?RaPGOF\665XgVg~tR?<2^MVP9?9:11x`bz>3.EM@Z44;VeTKCJP221\kZkrpV3ukg};8#J@K_310[jY@FMU9?>Q`_lw{[<1XG\^7==0=9:qokq74'NDOS?=<_n]DJAY5;:UdS`{w_85\KPR;984946}cou30+BHCW;98SbQHNE]176YhWdsS49POTV?5;4?3zfdx<= GOF\665XgVMEHR<<3^m\ip~X1>UDYY2=>3:8wiis9:%LBIQ=32]l[BHCW;98SbQbuy]:3ZIR\595>55|lnv27*AILV88?RaPGOF\665XgVg~tR78_NWW818502ygcy?Q`_FLG[754WfUfyuQ67^MVP919:11x`bz>3.EM@Z44;VeTKCJP221\kZkrpV3ukg};8#J@K_310[jY@FMU9?>Q`_lw{[<1XG\^753<6;rnlp45(OGNT>>=Po^EM@Z44;VeTxlP112\KPR;878j7~b`t01,CKBX::9TcRIAD^007ZiX{|hT==>POTV?5585i2ygcy?>=Po^qvfZ778VE^X1?1289phjr6;&MEHR<<3^m\CKBX::9TcR}zb^334ZIR\585>45|lnv27*AILV88?RaPGOF\665XgVy~nR??0^MVP959:01x`bz>3.EM@Z44;VeTKCJP221\kZurjV;;>=Po^qvfZ778VE^X1;1289phjr6;&MEHR<<3^m\CKBX::9TcR}zb^334ZIR\5<5>45|lnv27*AILV88?RaPGOF\665XgVy~nR??0^MVP919:01x`bz>3.EM@Z44;VeTKCJP221\kZurjV;;>=Po^qvfZ778VE^X171289phjr6;&MEHR<<3^m\CKBX::9TcR}zb^337ZIR\5:5>l5|lnv27*AILV88?RaPGOF\665XgVy~nR??3^MVP9776;k0aa{12-DJAY5;:UdSJ@K_310[jYt}kU:<>Q@UU>25;4>3zfdx<= GOF\665XgVMEHR<<3^m\wpdX999TCXZ31?0:?vjh|89$KCJP221\kZAILV88?RaPst`\555XG\^7>3<6;rnlp45(OGNT>>=Po^EM@Z44;VeTxlP111\KPR;;7827~b`t01,CKBX::9TcRIAD^007ZiX{|hT===POTV?0;4>3zfdx<= GOF\665XgVMEHR<<3^m\wpdX999TCXZ35?0:?vjh|89$KCJP221\kZAILV88?RaPst`\555XG\^7:3<6;rnlp45(OGNT>>=Po^EM@Z44;VeTxlP111\KPR;?7827~b`t01,CKBX::9TcRIAD^007ZiX{|hT===POTV?<;4>3zfdx<= GOF\665XgVMEHR<<3^m\wpdX999TCXZ39?0:?vjh|89$KCJP221\kZAILV88?RaPst`\564XG\^7<3>=Po^EM@Z44;VeTxlP120\KPR;9949m6}cou30+BHCW;98SbQHNE]176YhWziS<==_NWW8479:h1x`bz>3.EM@Z44;VeTKCJP221\kZurjV;8>RAZT=31:7g<{ee=>!HNE]176YhWNDOS?=<_n]pqgY6;;UDYY2>3?0b?vjh|89$KCJP221\kZAILV88?RaPst`\564XG\^7=90=a:qokq74'NDOS?=<_n]DJAY5;:UdS~{m_011[JSS48?5>45|lnv27*AILV88?RaPGOF\665XgVy~nR?<2^MVP979:01x`bz>3.EM@Z44;VeTKCJP221\kZurjV;8>RAZT=0=6<=tdf~:?"IAD^007ZiXOGNT>>=Po^qvfZ74:VE^X1=1289phjr6;&MEHR<<3^m\CKBX::9TcR}zb^306ZIR\5>5>45|lnv27*AILV88?RaPGOF\665XgVy~nR?<2^MVP939:01x`bz>3.EM@Z44;VeTKCJP221\kZurjV;8>RAZT=4=6<=tdf~:?"IAD^007ZiXOGNT>>=Po^qvfZ74:VE^X191289phjr6;&MEHR<<3^m\CKBX::9TcR}zb^306ZIR\525>45|lnv27*AILV88?RaPGOF\665XgVy~nR?<2^MVP9?9:11x`bz>3.EM@Z44;VeTKCJP221\kZurjV3ukg};8#J@K_310[jY@FMU9?>Q`_rwa[<1XG\^7==0=9:qokq74'NDOS?=<_n]DJAY5;:UdS~{m_85\KPR;984946}cou30+BHCW;98SbQHNE]176YhWziS49POTV?5;4?3zfdx<= GOF\665XgVMEHR<<3^m\wpdX1>UDYY2=>3:8wiis9:%LBIQ=32]l[BHCW;98SbQ|uc]:3ZIR\595>55|lnv27*AILV88?RaPGOF\665XgVy~nR78_NWW818502ygcy?Q`_FLG[754WfUxyoQ67^MVP919:11x`bz>3.EM@Z44;VeTKCJP221\kZurjV3ukg};8#J@K_310[jY@FMU9?>Q`_rwa[<1XG\^753>=Po^gmrZabfViexV?:]^NfwvRoigU:?9Q`2`9phjr6;&MEHR<<3^m\akpX~ffP8PQbuy]224YH]]6;2?o4smmw56)@FMU9?>Q`_dlu[sikS=WTaxvP173\KPR;978j7~b`t01,CKBX::9TcRkav^tlh^2ZWdsS<8>_NWW8785i2ygcy?8UDYY2;>378wiis9:%LBIQ=32]l[kin{lUoe{QBUY]203?Xg;30aa{12-DJAY5;:UdS}|jdht`jq]49TUn}xoc_62\k7b<{ee=>!HNE]176YhWyxnhdxlnuY05XYby|kgS:>Po=12:7c<{ee=>!HNE]176YhWyxnhdxlnuY05XYby|kgS:>Po=12:44b3zfdx<= GOF\665XgVzyiigycovX74[Xmxj`R9?_n>05;45m2ygcy?3.EM@Z44;VeT|kkiwamp^56UVozylbP71]l8679<;o0aa{12-DJAY5;:UdS}|jdht`jq]49TUn}xoc_62\k9566<8n7~b`t01,CKBX::9TcR~}eekugkr\;8WTi|{nl^53[j:497<9i6}cou30+BHCW;98SbQrdfjrfhsS:;VShzam]44Zi;;845|lnv27*AILV88?RaPtnlf[bciWjdW>ST10_\H`ut\akeS!BabaviZgrl`eTadvPltv?4;7b3zfdx<= M`a`qhYf}mcdS`gw_mww8486m2ygcy?3.ObgfsjWhoebQbiy]oqq:468o0aa{12-NefereVk~hdaPmhz\hpr;<7;n7~b`t01,Ided}dUjyig`_lk{[iss4<4:i6}cou30+Hgdk|gTmxjfo^oj|Zjr|5<5=h5|lnv27*KfkjfSl{kin]nm}Yk}}6<2e:qokq74'DkhoxcPatfjkZknpVrd~1<11d9phjr6;&Gjon{b_`wgmjYjaqUsc2<>0g8wiis9:%Fmnmzm^cv`liXe`rTtb|34?3f?vjh|89$Almlul]bqaohWdcsSua}<4<2a>ukg};8#@olcto\epbngVgbtRv`r=4=5`=tdf~:?"Cncbwn[dscafUfeuQwos>4:4c<{ee=>!BabaviZgrl`eTadvPxnp?<;7b3zfdx<= M`a`qhYf}mcdS`gw_ymq8<8592ygcy?48i6}cou30+HeheykySJ@K_310[jYbfUlicQlnuY21XYKmzy_dl`P126\kZjr|5:5?h5|lnv27*Kdgdzj~RIAD^007ZiXmg|Tkh`PcovX50[XDlyxXeoa_017[jYk}}6:2>k4smmw56)Jkfg{mQHNE]176YhWld}Sjka_blw_43ZWEoxYfnn^300ZiXd|~7>3=j;rnlp45(Ejef|l|PGOF\665XgVoezRijn^amp^72UVFn~Zgao]271YhWe0>02g8wiis9:%Fobcas]DJAY5;:UdSh`y_fgm[fhsS8?VSAk|sUjbjZ74QRBjsrVkekY6;=UdSa{{dh1f?vjh|89$Anabp`p\CKBX::9TcRkav^efjZei|R;>QRBjsrVkekY6;=UdSa{{dh30a>ukg};8#@m`mqcq[BHCW;98SbQjnw]dakYdf}Q:9PQCerqWldhX9:>TcRbztek17`=tdf~:?"ClolrbvZAILV88?RaPeot\c`hXkg~P=8SPLdqpPmgiW89?SbQcuufj76c<{ee=>!BcnosewY@FMU9?>Q`_dlu[bciWjdW<;R_MgpwQnffV;88RaPltvgm15b3zfdx<= MbmntdtXOGNT>>=Po^gmrZabfViexV?:]^NfwvRoigU:?9Q`_mww`l34m2ygcy?5\]OavuS`hdT=>:Po^nvpao1<81x`bz>3.O`khvfzVMEHR<<3^m\pjhbWnoeSn`{[0_X54[XDlyxXeoa_026[jYdq5:58<5|lnv27*Kdgdzj~RIAD^007ZiX|fdnSjka_blw_4[\98WT@h}|Ticm[462WfUhu1?1409phjr6;&Ghc`~nr^EM@Z44;VeTxb`j_fgm[fhsS8WP=SbQly=0=04=tdf~:?"ClolrbvZAILV88?RaPtnlf[bciWjdW:_n]`}959<81x`bz>3.O`khvfzVMEHR<<3^m\pjhbWnoeSn`{[0_X54[XDlyxXeoa_026[jYdq5>58?5|lnv27*Kdgdzj~RIAD^007ZiX|fdnSjka_blw_4[\98WT@h}|Ticm[462WfUgyy2?>508wiis9:%Fobcas]DJAY5;:UdSyaae^efjZei|R;VW>=Po^vlj`Y`mgUhbyU>]Z32YZJb{z^cmcQ>04]l[iss4;4?>6}cou30+HeheykySJ@K_310[jYsggoTkh`PcovX5X]69TUGi~}[h`l\553XgVf~x1=1439phjr6;&Ghc`~nr^EM@Z44;VeTxb`j_fgm[fhsS8WP=SbQcuu>7:17<{ee=>!BcnosewY@FMU9?>Q`_ummaZabfViexV?R[03^[Ict{]bjbR??5^m\hprca=80aa{12-Ngjkwi{ULBIQ=32]l[qiimVmnbRmatZ3^_47ZWEoxYfnn^331ZiXd|~oe<:=;rnlp45(Ejef|l|PGOF\665XgV~dbhQheo]`jq]6UR;:QRBjsrVkekY68ukg};8#@m`mqcq[BHCW;98SbQ{oog\c`hXkg~P=PU>1\]OavuS`hdT==;Po^nvpao4<;1x`bz>3.O`khvfzVMEHR<<3^m\pjhbWnoeSn`{[0_X54[XDlyxXeoa_026[jYk}}nb89?4smmw56)Jkfg{mQHNE]176YhW}eeiRijn^amp^4ZS8;VSAk|sUjbjZ771VeTot2?>538wiis9:%Fobcas]DJAY5;:UdSyaae^efjZei|R8VW2:17<{ee=>!BcnosewY@FMU9?>Q`_ummaZabfViexV508wiis9:%Fobcas]DJAY5;:UdSyaae^efjZei|R8VW>=Po^vlj`Y`mgUhbyU=]Z32YZJb{z^cmcQ>08]l[iss484?>6}cou30+HeheykySJ@K_310[jYsggoTkh`PcovX6X]69TUGi~}[h`l\55?XgVf~x1<1439phjr6;&Ghc`~nr^EM@Z44;VeTxb`j_fgm[fhsS;WP=0:14<{ee=>!BcnosewY@FMU9?>Q`_ummaZabfViexV:7~b`t01,IfijxhxTKCJP221\kZrhflUlicQlnuY1Y^76UVFn~Zgao]24ukg};8#@m`mqcq[BHCW;98SbQ{oog\c`hXkg~P>PU>1\]OavuS`hdT==7Po^nvpao5<;1x`bz>3.O`khvfzVMEHR<<3^m\pjhbWnoeSn`{[3_X54[XDlyxXeoa_02:[jYk}}nb?9<4smmw56)Jkfg{mQHNE]176YhW}eeiRijn^amp^4ZS8;VSAk|sUjbjZ771VeT`xzki562?vjh|89$Anabp`p\CKBX::9TcRz`nd]dakYdf}Q8QV?>]^NfwvRoigU:=>Q`_b{?4;263zfdx<= MbmntdtXOGNT>>=Po^vlj`Y`mgUhbyU<]Z32YZJb{z^cmcQ>12]l[f;97>:7~b`t01,IfijxhxTKCJP221\kZrhflUlicQlnuY0Y^76UVFn~Zgao]256YhWjs7>3:>;rnlp45(Ejef|l|PGOF\665XgV~dbhQheo]`jq]4UR;:QRBjsrVkekY69:UdSnw33?62?vjh|89$Anabp`p\CKBX::9TcRz`nd]dakYdf}Q8QV?>]^NfwvRoigU:=>Q`_b{?0;263zfdx<= MbmntdtXOGNT>>=Po^vlj`Y`mgUhbyU<]Z32YZJb{z^cmcQ>12]l[f;=7>87~b`t01,IfijxhxTKCJP221\kZrhflUlicQlnuY0Y^76UVFn~Zgao]256YhWjs793QI429phjr6;&Ghc`~nr^EM@Z44;VeTxb`j_fgm[fhsS:WP=>=Po^vlj`Y`mgUhbyU<]Z32YZJb{z^cmcQ>12]l[iss494?>6}cou30+HeheykySJ@K_310[jYsggoTkh`PcovX7X]69TUGi~}[h`l\545XgVf~x1?1439phjr6;&Ghc`~nr^EM@Z44;VeTxb`j_fgm[fhsS:WP=1:14<{ee=>!BcnosewY@FMU9?>Q`_ummaZabfViexV=R[03^[Ict{]bjbR?>3^m\hpr;;7>97~b`t01,IfijxhxTKCJP221\kZrhflUlicQlnuY0Y^76UVFn~Zgao]256YhWe090;1:qokq74'Dida}o}_FLG[754WfUcckPgdl\gkr\;TQ:=PQCerqWldhX989TcRbztek76>ukg};8#@m`mqcq[BHCW;98SbQ{oog\c`hXkg~P?PU>1\]OavuS`hdT=<=Po^nvpao6<;1x`bz>3.O`khvfzVMEHR<<3^m\pjhbWnoeSn`{[2_X54[XDlyxXeoa_030[jYk}}nb>9<4smmw56)Jkfg{mQHNE]176YhW}eeiRijn^amp^5ZS8;VSAk|sUjbjZ76;VeT`xzki261?vjh|89$Anabp`p\CKBX::9TcRz`nd]dakYdf}Q8QV?>]^NfwvRoigU:=>Q`_mww`l26=2ygcy?6:qokq74'DidyczPcov\`lp69?1x`bz>3.O`kphsWjdSigy2368wiis9:%Fob{at^ampZbn~Vrd~1>110]JJSY79l1x`bz>3.O`kphsWjdSigy_ymq848698o0aa{12-Ngjsi|ViexRjfv^zlv94998;m7~b`t01,Ifirf}Unb{Qheo]`jqYdq5:5>=5|lnv27*Kdg|dSh`y_fgm[fhsWjs7==0=3:qokq74'DidyczPeot\c`hXkg~Tot2>0?]qp76<{ee=>!BcnwmpZci~VmnbRmat^az8479::1x`bz>3.O`kphsWld}Sjka_blw[f;984T~y318wiis9:%Fob{at^gmrZabfViexRmv<00=[wr582ygcy?ukg};8#@m`uov\akpXoldToczPcx>20;Yu|8l0aa{12-Ngjsi|VoezRijn^ampZe~4849>6}cou30+Heh}g~TicxPgdl\gkrXkp6:2R|{1g9phjr6;&Ghcx`{_dlu[bciWjdSnw32?01?vjh|89$Anaznu]fjsY`mgUhbyQly=0=[wr6n2ygcy?!BcnwmpZci~VmnbRmat^az8085:2ygcy?ukg};8#@m`uov\akpXoldToczPcx>4:74<{ee=>!BcnwmpZci~VmnbRmat^az828Xz};m7~b`t01,Ifirf}Unb{Qheo]`jqYdq525>?5|lnv27*Kdg|dSh`y_fgm[fhsWjs743Q}t0d8wiis9:%Fob{at^gmrZabfViexRmv<8<16>ukg};8#@m`uov\akpXoldToczPcx>::Zts:91x`bz>3.O`kphsWld}Sjka_blw[iss4949:6}cou30+Heh}g~TicxPgdl\gkrXd|~7<3QFNW]365=tdf~:?"Clotlw[`hqWnoeSn`{_ymq858592ygcy?ukg};8#@m`uov\akpXoldToczPxnp?568592ygcy?=5|lnv27*Kdg|dSh`y_fgm[fhsWqey0>0=0:qokq74'DidyczPeot\c`hXkg~Ttb|34?03?vjh|89$Anaznu]fjsY`mgUhbyQwos>6:76<{ee=>!BcnwmpZci~VmnbRmat^zlv909:91x`bz>3.O`kphsWld}Sjka_blw[}iu4>49<6}cou30+Heh}g~TicxPgdl\gkrXpfx743048wiis9:%Fob{at^gmrZphd:;=7~b`t01,Ifirf}Unb{Qyom62a>ukg};8#@m`uov\akpX~ffTtb|33?325`=tdf~:?"Clotlw[`hqWegSua}<5<257g<{ee=>!BcnwmpZhhazoTkh`Pcov\|jt;87;:SD@Y_107?vjh|89$Anaznu]mklubWnoeSn`{_ymq84869;>0aa{12-Ngjsi|Vdde~kPgdl\gkrXpfx7>3?>259phjr6;&Ghcx`{_omjw`Y`mgUhbyQwos>0:475<2ygcy?5=<<=;rnlp45(Eje~byQ{oog\c`hXkg~T=Rmv<1<17>ukg};8#@m`uov\pjhbWnoeSn`{_0]`}9776;<0aa{12-Ngjsi|V~dbhQheo]`jqY6Wjs7==0Pru01?vjh|89$Anaznu]wkkcXoldToczP1^az8485=2ygcy??5|lnv27*Kdg|dSyaae^efjZei|V;Tot2<>378wiis9:%Fob{at^vlj`Y`mgUhbyQ>_b{?7;Yu|;80aa{12-Ngjsi|V~dbhQheo]`jqY6Wjs783<:;rnlp45(Eje~byQ{oog\c`hXkg~T=Rmv<5<\vq453zfdx<= MbmvjqYsggoTkh`Pcov\5Ze~4<4996}cou30+Heh}g~Txb`j_fgm[fhsW8Uhu1;1_sv16>ukg};8#@m`uov\pjhbWnoeSn`{_0]`}909:<1x`bz>3.O`kphsW}eeiRijn^ampZ7Xkp6=2R|{239phjr6;&Ghcx`{_ummaZabfViexR?Pcx>4:73<{ee=>!BcnwmpZrhflUlicQlnu]2[f;?7Uyx?<4smmw56)JkfexRz`nd]dakYdf}U:Snw38?06?vjh|89$Anaznu]wkkcXoldToczP1^az8=8Xz}897~b`t01,Ifirf}UcckPgdl\gkrX9Vir040=5:qokq74'DidyczPtnlf[bciWjdS24;433zfdx<= MbmvjqYsggoTkh`Pcov\5Z~hz5;:2?94smmw56)JkfexRz`nd]dakYdf}U:Sua}<03=[wr5;2ygcy?ukg};8#@m`uov\pjhbWnoeSn`{_0]{kw:46;90aa{12-Ngjsi|V~dbhQheo]`jqY6Wqey090=3:qokq74'DidyczPtnlf[bciWjdS6:75<{ee=>!BcnwmpZrhflUlicQlnu]2[}iu4?49?6}cou30+Heh}g~Txb`j_fgm[fhsW8Usc28>318wiis9:%Fob{at^vlj`Y`mgUhbyQ>_ymq8=85;2ygcy?3.O`kphsW}eeiRijn^ampZ4Xkp6:<3Q}t308wiis9:%Fob{at^vlj`Y`mgUhbyQ=_b{?5;423zfdx<= MbmvjqYsggoTkh`Pcov\6Ze~484T~y<=;rnlp45(Eje~byQ{oog\c`hXkg~T>Rmv<3<11>ukg};8#@m`uov\pjhbWnoeSn`{_3]`}949W{~9>6}cou30+Heh}g~Txb`j_fgm[fhsW;Uhu1=1249phjr6;&Ghcx`{_ummaZabfViexR0:Zts:;1x`bz>3.O`kphsW}eeiRijn^ampZ4Xkp6?2?;4smmw56)JkfexRz`nd]dakYdf}U9Snw34?]qp74<{ee=>!BcnwmpZrhflUlicQlnu]1[f;=78>7~b`t01,Ifirf}UcckPgdl\gkrX:Vir080Pru01?vjh|89$Anaznu]wkkcXoldToczP2^az8385=2ygcy??5|lnv27*Kdg|dSyaae^efjZei|V8Tot27>378wiis9:%Fob{at^vlj`Y`mgUhbyQ=_b{?<;Yu|;80aa{12-Ngjsi|V~dbhQheo]`jqY5Wjs753<:;rnlp45(Eje~byQ{oog\c`hXkg~T>Rmv<8<\vq443zfdx<= MbmvjqYsggoTkh`Pcov\6Zjr|5:5>45|lnv27*Kdg|dSyaae^efjZei|V8T`xz30?]JJSY7::1x`bz>3.O`kphsW}eeiRijn^ampZ4Xpfx7<3<;;rnlp45(Eje~byQ{oog\c`hXkg~T>Rv`r=33:72<{ee=>!BcnwmpZrhflUlicQlnu]1[}iu48;5>:5|lnv27*Kdg|dSyaae^efjZei|V8Ttb|310<\vq443zfdx<= MbmvjqYsggoTkh`Pcov\6Z~hz5;5>>5|lnv27*Kdg|dSyaae^efjZei|V8Ttb|32?00?vjh|89$Anaznu]wkkcXoldToczP2^zlv959::1x`bz>3.O`kphsW}eeiRijn^ampZ4Xpfx783<<;rnlp45(Eje~byQ{oog\c`hXkg~T>Rv`r=7=66=tdf~:?"Clotlw[qiimVmnbRmat^0\|jt;>7887~b`t01,Ifirf}UcckPgdl\gkrX:Vrd~191229phjr6;&Ghcx`{_ummaZabfViexR?5|lnv27*Kdg|dSyaae^efjZei|V9Tot2?>318wiis9:%Fob{at^vlj`Y`mgUhbyQ<_b{?5585>2ygcy?2:73<{ee=>!BcnwmpZrhflUlicQlnu]0[f;97Uyx?<4smmw56)JkfexRz`nd]dakYdf}U8Snw32?06?vjh|89$Anaznu]wkkcXoldToczP3^az878Xz}897~b`t01,Ifirf}UcckPgdl\gkrX;Vir0>0=5:qokq74'DidyczPtnlf[bciWjdS>Qly=1=[wr5:2ygcy?5>85|lnv27*Kdg|dSyaae^efjZei|V9Tot2;>^pw67=tdf~:?"Clotlw[qiimVmnbRmat^1\g|:26;?0aa{12-Ngjsi|V~dbhQheo]`jqY4Wjs793Q}t308wiis9:%Fob{at^vlj`Y`mgUhbyQ<_b{?2;423zfdx<= MbmvjqYsggoTkh`Pcov\7Ze~4?4T~y<=;rnlp45(Eje~byQ{oog\c`hXkg~T?Rmv<6<11>ukg};8#@m`uov\pjhbWnoeSn`{_2]`}919W{~9>6}cou30+Heh}g~Txb`j_fgm[fhsW:Uhu161249phjr6;&Ghcx`{_ummaZabfViexR=Pcx>;:Zts:;1x`bz>3.O`kphsW}eeiRijn^ampZ5Xkp622?;4smmw56)JkfexRz`nd]dakYdf}U8Snw39?]qp75<{ee=>!BcnwmpZrhflUlicQlnu]0[iss494956}cou30+Heh}g~Txb`j_fgm[fhsW:Ugyy2?>^KMRZ65;2ygcy?1?]qp75<{ee=>!BcnwmpZrhflUlicQlnu]0[}iu4849?6}cou30+Heh}g~Txb`j_fgm[fhsW:Usc2=>318wiis9:%Fob{at^vlj`Y`mgUhbyQ<_ymq8685;2ygcy?ukg};8#@m`uov\pjhbWnoeSn`{_2]{kw:16;90aa{12-Ngjsi|V~dbhQheo]`jqY4Wqey0:0=3:qokq74'DidyczPtnlf[bciWjdS>Qwos>;:75<{ee=>!BcnwmpZrhflUlicQlnu]0[}iu4049i6}cou30+HkrpVMEHR<<3^m\CKBX::9TcRczx^334ZIR\8::>i5|lnv27*Kj}qULBIQ=32]l[BHCW;98SbQbuy]245YH]];:>h5|lnv27*Kj}qULBIQ=32]l[BHCW;98SbQbuy]245YH]];:=?k4smmw56)Je|rTKCJP221\kZAILV88?RaPmtz\556XG\^:><Q`_lw{[467WF__><Q`_lw{[467WF__?<Q`_lw{[467WF__8<Q`_lw{[467WF__9<Q`_lw{[467WF__:<Q`_lw{[467WF__;<Q`_lw{[467WF__4<Q`_lw{[467WF__5<Q`_lw{[464WF__==?=d:qokq74'Dg~tRIAD^007ZiXOGNT>>=Po^ov|Z77;VE^X>=Po^ov|Z77;VE^X2d9phjr6;&GfyuQHNE]176YhWNDOS?=<_n]nq}Y68:UDYY?=13f8wiis9:%FaxvPGOF\665XgVMEHR<<3^m\ip~X999TCXZ;13f8wiis9:%FaxvPGOF\665XgVMEHR<<3^m\ip~X999TCXZ:13f8wiis9:%FaxvPGOF\665XgVMEHR<<3^m\ip~X999TCXZ913f8wiis9:%FaxvPGOF\665XgVMEHR<<3^m\ip~X999TCXZ813f8wiis9:%FaxvPGOF\665XgVMEHR<<3^m\ip~X999TCXZ713f8wiis9:%FaxvPGOF\665XgVMEHR<<3^m\ip~X999TCXZ613g8wiis9:%FaxvPGOF\665XgVMEHR<<3^m\ip~X9:8TCXZ>000g?vjh|89$A`{w_FLG[754WfULBIQ=32]l[hsW899SB[[100f?vjh|89$A`{w_FLG[754WfULBIQ=32]l[hsW899SB[[1031a>ukg};8#@czx^EM@Z44;VeTKCJP221\kZkrpV;8>RAZT0026`=tdf~:?"Cbuy]DJAY5;:UdSJ@K_310[jYj}qU:??Q@UU3057c<{ee=>!Bmtz\CKBX::9TcRIAD^007ZiXe|rT=>3.Onq}Y@FMU9?>Q`_FLG[754WfUfyuQ>33]LQQ46:m1x`bz>3.Onq}Y@FMU9?>Q`_FLG[754WfUfyuQ>33]LQQ56:m1x`bz>3.Onq}Y@FMU9?>Q`_FLG[754WfUfyuQ>33]LQQ26:m1x`bz>3.Onq}Y@FMU9?>Q`_FLG[754WfUfyuQ>33]LQQ36:m1x`bz>3.Onq}Y@FMU9?>Q`_FLG[754WfUfyuQ>33]LQQ>6:m1x`bz>3.Onq}Y@FMU9?>Q`_FLG[754WfUfyuQ>33]LQQ?6:m1x`bz>3.Onq}Y@FMU9?>Q`_FLG[754WfUfyuQ67^MVP466:j1x`bz>3.Onq}Y@FMU9?>Q`_FLG[754WfUfyuQ67^MVP475l2ygcy?!Bmtz\CKBX::9TcRIAD^007ZiXe|rT5:Q@UU626f=tdf~:?"Cbuy]DJAY5;:UdSJ@K_310[jYj}qU2;RAZT431g>ukg};8#@czx^EM@Z44;VeTKCJP221\kZkrpV3UDYY6>2b9phjr6;&GfyuQHNE]176YhWNDOS?=<_n]nq}Y>?VE^X4?<0:qokq74'Dg~tRIAD^007ZiXmg|TzbbT4\]nq}Y6>8UDYY2?>012?vjh|89$A`{w_FLG[754WfUnb{QyomY7YZkrpV;==RAZT=2=54563zfdx<= Mlw{[BHCW;98SbQjnw]uki]3UVg~tR?91^MVP9699;9:7~b`t01,IhsWNDOS?=<_n]fjsYqgeQ?QRczx^355ZIR\5:5=>=>;rnlp45(EdsSJ@K_310[jYbfU}caU;]^ov|Z719VE^X1>11513?vjh|89$A`{w_FLG[754WfUnb{QyomY7YZkrpV;==RAZT=3=567<{ee=>!Bmtz\CKBX::9TcRkav^tlh^2ZWdsS<8>_NWW84869:;0aa{12-Nip~XOGNT>>=Po^gmrZphdR>VS`{w_042[JSS484:>>?4smmw56)Je|rTKCJP221\kZci~V|d`V:R_lw{[406WF__0<0>3228wiis9:%FaxvPGOF\665XgVoezRx`lZ6^[hsW8<:SB[[<3<274=tdf~:?"Cbuy]DJAY5;:UdSh`y_wmo_1[Xe|rT=;?POTV?6;76;81x`bz>3.Onq}Y@FMU9?>Q`_dlu[sikS=WTaxvP173\KPR;:7;9?<5|lnv27*Kj}qULBIQ=32]l[`hqWegW9SPmtz\537XG\^7>3?<319phjr6;&GfyuQHNE]176YhWld}S{ac[5_\ip~X9?;TCXZ33?305>ukg};8#@czx^EM@Z44;VeTicxPvnnX0XYj}qU::0:47492ygcy?60]LQQ:46888=6}cou30+HkrpVMEHR<<3^m\akpX~ffP8PQbuy]224YH]]682<=<0:qokq74'Dg~tRIAD^007ZiXmg|TzbbT4\]nq}Y6>8UDYY2;>012?vjh|89$A`{w_FLG[754WfUnb{QyomY7YZkrpV;==RAZT=6=54563zfdx<= Mlw{[BHCW;98SbQjnw]uki]3UVg~tR?91^MVP9299;9:7~b`t01,IhsWNDOS?=<_n]fjsYqgeQ?QRczx^355ZIR\5>5=>?4smmw56)Je|rT|kkiwamp^56UVMEHR<<3^m\ip~X?;UDYY??1228wiis9:%FaxvPpsggmsei|R9:QRIAD^007ZiXe|rT;?Q@UU3274=tdf~:?"Cbuy]sv`bn~jdW>?R_FLG[754WfUfyuQ82^MVP476;81x`bz>3.Onq}Ywzlnbzn`{[23^[BHCW;98SbQbuy]46ZIR\88:?<5|lnv27*Kj}qU{~hjfvblw_67ZWNDOS?=<_n]nq}Y0:VE^X<=>309phjr6;&GfyuQrdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT06274=tdf~:?"Cbuy]sv`bn~jdW>?R_FLG[754WfUfyuQ82^MVP436;81x`bz>3.Onq}Ywzlnbzn`{[23^[BHCW;98SbQbuy]46ZIR\8<:?<5|lnv27*Kj}qU{~hjfvblw_67ZWNDOS?=<_n]nq}Y0:VE^X<9>309phjr6;&GfyuQrdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT0:274=tdf~:?"Cbuy]sv`bn~jdW>?R_FLG[754WfUfyuQ82^MVP4?6;81x`bz>3.Onq}Ywzlnbzn`{[23^[BHCW;98SbQbuy]46ZIR\;::?=5|lnv27*Kj}qU{~hjfvblw_67ZWNDOS?=<_n]nq}Y0:VE^X??<1:qokq74'Dg~tR~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[20305>ukg};8#@czx^rqaaoqkg~P?>=Po^ov|Z15WF__>9?<1:qokq74'Dg~tR~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[24305>ukg};8#@czx^rqaaoqkg~P?;8=6}cou30+HkrpVzyiigycovX74[XOGNT>>=Po^ov|Z15WF__>5?<1:qokq74'Dg~tR~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[28305>ukg};8#@czx^rqaaoqkg~P?Q`_lw{[24XG\^8=<=>;rnlp45(EdsS}|jdht`jq]49TULBIQ=32]l[hsW>8TCXZ<2013?vjh|89$A`{w_qpf`lpdf}Q8=PQHNE]176YhWdsS:!Bmtz\twccaiexV=>]^EM@Z44;VeTaxvP73]LQQ36;91x`bz>3.Onq}Ywzlnbzn`{[23^[BHCW;98SbQbuy]46ZIR\?;8<6}cou30+HkrpVzyiigycovX74[XOGNT>>=Po^ov|Z15WF__;<=?;rnlp45(EdsS}|jdht`jq]49TULBIQ=32]l[hsW>8TCXZ71228wiis9:%FaxvPpsggmsei|R9:QRIAD^007ZiXe|rT;?Q@UU;273=tdf~:?"Cbuy]wkkcXoldTc}U>]^vlj`Y`mgUd|V?R_LW[[4368Ve:=>84smmw56)Je|rTxb`j_fgm[jv\:TUcckPgdl\ku]5UVG^TR?:13]l54513zfdx<= Mlw{[qiimVmnbRa[2_\pjhbWnoeSb~T3\]NQ]Y6=8>Tc5:qokq74'Dg~tRym_ecw[j46>2ygcy?179phjr6;&GfyuQxb^fbpZi5:8<0aa{12-Nip~XkUomyQ`2236?vjh|89$A`{w_v`\`drXg=;=7~b`t01,IhsW~hThlzPo5322>ukg};8#@czx^ua[agsWf>9=;5|lnv27*Kj}qU|nRjnt^m7740<{ee=>!Bmtz\sgYci}Ud:>?7;rnlp45(EdsSzlPd`v\k35XN820aa{12-Nip~XkUomyQ`62]D53=tdf~:?"Cbuy]tfZbf|VeT:<94smmw56)Je|rT{oQkau]l[376?2ygcy?!Bmtz\sgYci}UdS:?8;rnlp45(EdsSzlPd`v\kZ169>1x`bz>3.Onq}YpjVnjxRaP7334?vjh|89$A`{w_v`\`drXgV=8=:5|lnv27*Kj}qU|nRjnt^m\31703zfdx<= Mlw{[rdXlh~TcR9:169phjr6;&GfyuQxb^fbpZiX??;<7~b`t01,IhsW~hThlzPo^5452=tdf~:?"Cbuy]tfZbf|VeT;5?8;rnlp45(EdsSzlPd`v\kZ1>9?1x`bz>3.Onq}YpjVnjxRaP8058wiis9:%FaxvPwc]geqYhW1;:;6}cou30+HkrpV}iSio{_n];641<{ee=>!Bmtz\sgYci}UdS5=>7:qokq74'Dg~tRym_ecw[jY?<::0aa{12-NvdkXOGNT>>=Po^RTVZ46>VeT{djj_lw{[405WF__?<5|lnv27*KuidULBIQ=32]l[UQUW;;=SbQxieg\ip~X9?8TCXZ>339phjr6;&Gym`QHNE]176YhWY]YS??9_n]tmacXe|rT=;!Br`o\CKBX::9TcR^XR^022ZiX`nnS`{w_041[JSS98;8=6}cou30+HtfeVMEHR<<3^m\TRTX:8;UDYY<<2:qokq74'DxjaRIAD^007ZiXX^XT><8Po^uj``Yj}qU::?Q@UU0274=tdf~:?"C}al]DJAY5;:UdS]Y]_335[jYpamoTaxvP170\KPR4;;1x`bz>3.OqehY@FMU9?>Q`_QUQ[771WfU|eikPmtz\534XG\^8=>?4smmw56)JzhgTKCJP221\kZVPZV8::RaPwhff[hsW8<9SB[[4208wiis9:%F~lcPGOF\665XgVZ\^R<>6^m\slbbWdsS<8=_NWW04563zfdx<= Mscn[BHCW;98SbQ_WS]153YhW~coiRczx^356ZIR\<997~b`t01,IwgjWNDOS?=<_n]SSWY59?UdSzgke^ov|Z71:VE^X8?<1:qokq74'DxjaRIAD^007ZiXX^XT><8Po^uj``Yj}qU::?Q@UU406>ukg};8#@|nm^EM@Z44;VeT\Z\P204\kZqnllUfyuQ>63]LQQ06;81x`bz>3.OqehY@FMU9?>Q`_QUQ[771WfU|eikPmtz\534XG\^3:Zts;11x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>01]LQQ:693:5Snw311<03>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;;58Xkp6:2>94smmw56)J{|hTKCJP221\kZAILV88?RaPst`\556XG\^7=<4?>^az8784?2ygcy?258wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX99:TCXZ31083:Ze~4=48;6}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^334ZIR\5;:6=0Pcx>6:61<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT==>POTV?54<76Vir0;0<7:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ778VE^X1?>:1<\g|:06:=0aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP112\KPR;980;2Rmv<9<03>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;;58Xkp622>74smmw56)J{|hTKCJP221\kZAILV88?RaPst`\556XG\^7=<4?>^nvp9776:l0aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP112\KPR;980;2Rbzt=33:ZOI^V:856}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^334ZIR\5;:6=0Pltv?5484n2ygcy?>=Po^EM@Z44;VeTxlP112\KPR;980;2Rbzt=3=7`=tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU:<=Q@UU>25?69We0<0PIOT\46><{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT==>POTV?54<76Vf~x1<13d9phjr6;&GxyoQHNE]176YhWNDOS?=<_n]pqgY689UDYY2>1;2=[iss4;4TECXP02:8wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX99:TCXZ31083:Zjr|595?h5|lnv27*Kt}kULBIQ=32]l[BHCW;98SbQ|uc]245YH]]6:=7>1_mww868XAG\T<>64smmw56)J{|hTKCJP221\kZAILV88?RaPst`\556XG\^7=<4?>^nvp929;l1x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>01]LQQ:693:5Sa{{<5<\MKPX8:20aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP112\KPR;980;2Rbzt=7=7`=tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU:<=Q@UU>25?69We080PIOT\46><{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT==>POTV?54<76Vf~x1813d9phjr6;&GxyoQHNE]176YhWNDOS?=<_n]pqgY689UDYY2>1;2=[iss4?4TECXP02:8wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX99:TCXZ31083:Zjr|5=5?h5|lnv27*Kt}kULBIQ=32]l[BHCW;98SbQ|uc]245YH]]6:=7>1_mww828XAG\T<>64smmw56)J{|hTKCJP221\kZAILV88?RaPst`\556XG\^7=<4?>^nvp9>9;l1x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>01]LQQ:693:5Sa{{<9<\MKPX8:20aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP112\KPR;980;2Rbzt=;=7`=tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU:<=Q@UU>25?69We040PIOT\46><{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT==>POTV?54<76Vrd~1>1389phjr6;&GxyoQHNE]176YhWNDOS?=<_n]pqgY689UDYY2>1;2=[}iu48:5?45|lnv27*Kt}kULBIQ=32]l[BHCW;98SbQ|uc]245YH]]6:=7>1_ymq8479;11x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>01]LQQ:693:5Sua}<0<0<>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;;58Xpfx7>3=7;rnlp45(EziSJ@K_310[jY@FMU9?>Q`_rwa[467WF__0>=Po^EM@Z44;VeTxlP112\KPR;980;2Rv`r=6=7==tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU:<=Q@UU>25?69Wqey080<8:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ778VE^X1?>:1<\|jt;>7937~b`t01,IvseWNDOS?=<_n]DJAY5;:UdS~{m_023[JSS48;1<3Qwos>4:6><{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT==>POTV?54<76Vrd~161399phjr6;&GxyoQHNE]176YhWNDOS?=<_n]pqgY689UDYY2>1;2=[}iu4048;6}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^337ZIR\5;:6=0Pcx>3:6g<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT===POTV?54<76Vir0=0Pru1;?vjh|89$A~{m_FLG[754WfULBIQ=32]l[vseW8:8SB[[<0394;Ydq5;;2>94smmw56)J{|hTKCJP221\kZAILV88?RaPst`\555XG\^7=<4?>^az8484?2ygcy?258wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX999TCXZ31083:Ze~4:48;6}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^337ZIR\5;:6=0Pcx>7:61<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT===POTV?54<76Vir080<7:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ77;VE^X1?>:1<\g|:16:=0aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP111\KPR;980;2Rmv<6<03>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;;?RAZT=32>58Xkp632>94smmw56)J{|hTKCJP221\kZAILV88?RaPst`\555XG\^7=<4?>^az8<8412ygcy?ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;;?RAZT=32>58Xd|~7==0PIOT\46?<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT===POTV?54<76Vf~x1?>>2d8wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX999TCXZ31083:Zjr|5;:2RGAV^20<>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;;?RAZT=32>58Xd|~7=3=j;rnlp45(EziSJ@K_310[jY@FMU9?>Q`_rwa[464WF__0<8:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ77;VE^X1?>:1<\hpr;:79n7~b`t01,IvseWNDOS?=<_n]DJAY5;:UdS~{m_020[JSS48;1<3Qcuu>1:ZOI^V:846}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^337ZIR\5;:6=0Pltv?7;5b3zfdx<= Mrwa[BHCW;98SbQHNE]176YhWziS<><_NWW847=87Ugyy2<>^KMRZ6402ygcy?ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;;?RAZT=32>58Xd|~793=j;rnlp45(EziSJ@K_310[jY@FMU9?>Q`_rwa[464WF__0<8:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ77;VE^X1?>:1<\hpr;>79n7~b`t01,IvseWNDOS?=<_n]DJAY5;:UdS~{m_020[JSS48;1<3Qcuu>5:ZOI^V:846}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^337ZIR\5;:6=0Pltv?3;5b3zfdx<= Mrwa[BHCW;98SbQHNE]176YhWziS<><_NWW847=87Ugyy28>^KMRZ6402ygcy?ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;;?RAZT=32>58Xd|~753=j;rnlp45(EziSJ@K_310[jY@FMU9?>Q`_rwa[464WF__06VCEZR><8:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ77;VE^X1?>:1<\|jt;87927~b`t01,IvseWNDOS?=<_n]DJAY5;:UdS~{m_020[JSS48;1<3Qwos>24;5>3zfdx<= Mrwa[BHCW;98SbQHNE]176YhWziS<><_NWW847=87Usc2>1?1;?vjh|89$A~{m_FLG[754WfULBIQ=32]l[vseW8:8SB[[<0394;Yg{6:2>64smmw56)J{|hTKCJP221\kZAILV88?RaPst`\555XG\^7=<4?>^zlv949;11x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>02]LQQ:693:5Sua}<2<0<>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;;?RAZT=32>58Xpfx783=7;rnlp45(EziSJ@K_310[jY@FMU9?>Q`_rwa[464WF__0>=Po^EM@Z44;VeTxlP111\KPR;980;2Rv`r=4=7==tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU:<>Q@UU>25?69Wqey0:0<8:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ77;VE^X1?>:1<\|jt;07937~b`t01,IvseWNDOS?=<_n]DJAY5;:UdS~{m_020[JSS48;1<3Qwos>::61<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT=>>=Po^qvfZ74:VE^X1?::1<\g|:76Vx?55|lnv27*Kt}kULBIQ=32]l[BHCW;98SbQ|uc]277YH]]6:97>1_b{?558402ygcy?1?1;?vjh|89$A~{m_FLG[754WfULBIQ=32]l[vseW899SB[[<0794;Ydq5;92>64smmw56)J{|hTKCJP221\kZAILV88?RaPst`\564XG\^7=84?>^az8459;11x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>33]LQQ:6=3:5Snw315<03>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;8>RAZT=36>58Xkp6:2>94smmw56)J{|hTKCJP221\kZAILV88?RaPst`\564XG\^7=84?>^az8784?2ygcy?258wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX9:8TCXZ31483:Ze~4=48;6}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^306ZIR\5;>6=0Pcx>6:61<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT=>>=Po^qvfZ74:VE^X1?::1<\g|:06:=0aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP120\KPR;9<0;2Rmv<9<03>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;8>RAZT=36>58Xkp622>74smmw56)J{|hTKCJP221\kZAILV88?RaPst`\564XG\^7=84?>^nvp9776:l0aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP120\KPR;9<0;2Rbzt=33:ZOI^V:856}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^306ZIR\5;>6=0Pltv?5484n2ygcy?>=Po^EM@Z44;VeTxlP120\KPR;9<0;2Rbzt=31:6`<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT=>^KMRZ6412ygcy?ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;8>RAZT=36>58Xd|~7=>0PIOT\46?<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT=>2d8wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX9:8TCXZ31483:Zjr|5;?2RGAV^20=>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV;8>RAZT=36>58Xd|~7=80>=Po^qvfZ74:VE^X1?::1<\hpr;9<4TECXP02:8wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX9:8TCXZ31483:Zjr|5;5?h5|lnv27*Kt}kULBIQ=32]l[BHCW;98SbQ|uc]277YH]]6:97>1_mww848XAG\T<>64smmw56)J{|hTKCJP221\kZAILV88?RaPst`\564XG\^7=84?>^nvp949;l1x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>33]LQQ:6=3:5Sa{{<3<\MKPX8:20aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP120\KPR;9<0;2Rbzt=1=7`=tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU:??Q@UU>21?69We0>0PIOT\46><{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT=>5;2=[iss4=4TECXP02:8wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX9:8TCXZ31483:Zjr|5?5?h5|lnv27*Kt}kULBIQ=32]l[BHCW;98SbQ|uc]277YH]]6:97>1_mww808XAG\T<>64smmw56)J{|hTKCJP221\kZAILV88?RaPst`\564XG\^7=84?>^nvp909;l1x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>33]LQQ:6=3:5Sa{{<7<\MKPX8:20aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP120\KPR;9<0;2Rbzt=5=7`=tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU:??Q@UU>21?69We0:0PIOT\46><{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT=>5;2=[iss414TECXP02:8wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX9:8TCXZ31483:Zjr|535?h5|lnv27*Kt}kULBIQ=32]l[BHCW;98SbQ|uc]277YH]]6:97>1_mww8<8XAG\T<>64smmw56)J{|hTKCJP221\kZAILV88?RaPst`\564XG\^7=84?>^zlv969;01x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>33]LQQ:6=3:5Sua}<02=7<=tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU:??Q@UU>21?69Wqey05;2=[}iu4885?45|lnv27*Kt}kULBIQ=32]l[BHCW;98SbQ|uc]277YH]]6:97>1_ymq8459;01x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>33]LQQ:6=3:5Sua}<06=7<=tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU:??Q@UU>21?69Wqey0<;1399phjr6;&GxyoQHNE]176YhWNDOS?=<_n]pqgY6;;UDYY2>5;2=[}iu484846}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^306ZIR\5;>6=0Pxnp?6;5?3zfdx<= Mrwa[BHCW;98SbQHNE]176YhWziS<==_NWW843=87Usc2<>2:8wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX9:8TCXZ31483:Z~hz5>5?55|lnv27*Kt}kULBIQ=32]l[BHCW;98SbQ|uc]277YH]]6:97>1_ymq808402ygcy?64smmw56)J{|hTKCJP221\kZAILV88?RaPst`\564XG\^7=84?>^zlv9>9;11x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ>33]LQQ:6=3:5Sua}<8<02>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV33:Zts;>1x`bz>3.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ67^MVP976294Tot2>0?15?vjh|89$A~{m_FLG[754WfULBIQ=32]l[vseW0=TCXZ31083:Ze~4848:6}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^;4[JSS48;1<3Qly=0=73=tdf~:?"C|uc]DJAY5;:UdSJ@K_310[jYt}kU2;RAZT=32>58Xkp682>84smmw56)J{|hTKCJP221\kZAILV88?RaPst`\=2YH]]6:=7>1_b{?0;513zfdx<= Mrwa[BHCW;98SbQHNE]176YhWziS49POTV?54<76Vir080<6:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ?0WF__03.OpqgY@FMU9?>Q`_FLG[754WfUxyoQ67^MVP976294Tot28>248wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX1>UDYY2>1;2=[f;079=7~b`t01,IvseWNDOS?=<_n]DJAY5;:UdS~{m_85\KPR;980;2Rmv<8<0<>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV3Q`_rwa[<1XG\^7=<4?>^nvp9776VCEZR><8:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ?0WF__02:6b<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT5:Q@UU>25?69We0<0PIOT\461<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT5:Q@UU>25?69We0?0>=Po^qvfZ?0WF__0<7:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ?0WF__0>=Po^EM@Z44;VeTxlP96]LQQ:693:5Sa{{<2<\MKPX8:=0aa{12-NwpdXOGNT>>=Po^EM@Z44;VeTxlP96]LQQ:693:5Sa{{<5<0`>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV3ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV32>j4smmw56)J{|hTKCJP221\kZAILV88?RaPst`\=2YH]]6:=7>1_mww808XAG\T<>94smmw56)J{|hTKCJP221\kZAILV88?RaPst`\=2YH]]6:=7>1_mww8384l2ygcy?^KMRZ64?2ygcy?2f8wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX1>UDYY2>1;2=[iss4>4TECXP0258wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX1>UDYY2>1;2=[iss4148h6}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^;4[JSS48;1<3Qcuu>;:ZOI^V:8;6}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^;4[JSS48;1<3Qcuu>::6b<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT5:Q@UU>25?69We040PIOT\461<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT5:Q@UU>25?69Wqey0=0<8:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ?0WF__0!Bst`\CKBX::9TcRIAD^007ZiX{|hT5:Q@UU>25?69Wqey0<0<7:qokq74'Dy~nRIAD^007ZiXOGNT>>=Po^qvfZ?0WF__0>=Po^EM@Z44;VeTxlP96]LQQ:693:5Sua}<2<03>ukg};8#@}zb^EM@Z44;VeTKCJP221\kZurjV394smmw56)J{|hTKCJP221\kZAILV88?RaPst`\=2YH]]6:=7>1_ymq8084?2ygcy?258wiis9:%FxlPGOF\665XgVMEHR<<3^m\wpdX1>UDYY2>1;2=[}iu4>48;6}cou30+HurjVMEHR<<3^m\CKBX::9TcR}zb^;4[JSS48;1<3Qwos>;:61<{ee=>!Bst`\CKBX::9TcRIAD^007ZiX{|hT5:Q@UU>25?69Wqey040:1<\g|:76Vx?o5|lnv27*Kt}kU{~hjfvblw_67ZWNDOS?=<_n]pqgY09VE^X1=>:1<\g|:6879i7~b`t01,IvseWyxnhdxlnuY05XY@FMU9?>Q`_rwa[27XG\^7?<4?>^az8479;k1x`bz>3.OpqgYwzlnbzn`{[23^[BHCW;98SbQ|uc]45ZIR\59:6=0Pcx>26;5e3zfdx<= Mrwa[utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;;80;2Rmv<01=7g=tdf~:?"C|uc]sv`bn~jdW>?R_FLG[754WfUxyoQ81^MVP956294Tot2>4?1a?vjh|89$A~{m_qpf`lpdf}Q8=PQHNE]176YhWziS:?POTV?74<76Vir0<;13c9phjr6;&GxyoQrdfjrfhsS:;VSJ@K_310[jYt}kU<=RAZT=12>58Xkp6::3=m;rnlp45(EziS}|jdht`jq]49TULBIQ=32]l[vseW>;TCXZ33083:Ze~48=5?o5|lnv27*Kt}kU{~hjfvblw_67ZWNDOS?=<_n]pqgY09VE^X1=>:1<\g|:6079i7~b`t01,IvseWyxnhdxlnuY05XY@FMU9?>Q`_rwa[27XG\^7?<4?>^az84?9;h1x`bz>3.OpqgYwzlnbzn`{[23^[BHCW;98SbQ|uc]45ZIR\59:6=0Pcx>2:6d<{ee=>!Bst`\twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:493:5Snw321<0f>ukg};8#@}zb^rqaaoqkg~P?_NWW867=87Uhu1<>>2`8wiis9:%FxlPpsggmsei|R9:QRIAD^007ZiX{|hT;05?69Wjs7>?0l4smmw56)J{|hT|kkiwamp^56UVMEHR<<3^m\wpdX?8UDYY2<1;2=[f;:=48n6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]`}9426:h0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_b{?6384j2ygcy?!Bst`\twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:493:5Snw329<0f>ukg};8#@}zb^rqaaoqkg~P?_NWW867=87Uhu1<6>2c8wiis9:%FxlPpsggmsei|R9:QRIAD^007ZiX{|hT;05?69Wjs7>3=m;rnlp45(EziS}|jdht`jq]49TULBIQ=32]l[vseW>;TCXZ33083:Ze~4::5?l5|lnv27*Kt}kU{~hjfvblw_67ZWNDOS?=<_n]pqgY09VE^X1=>:1<\g|:46:k0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_b{?0;5f3zfdx<= Mrwa[utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;;80;2Rmv<4<0e>ukg};8#@}zb^rqaaoqkg~P?_NWW867=87Uhu1813`9phjr6;&GxyoQrdfjrfhsS:;VSJ@K_310[jYt}kU<=RAZT=12>58Xkp6<2>o4smmw56)J{|hT|kkiwamp^56UVMEHR<<3^m\wpdX?8UDYY2<1;2=[f;079j7~b`t01,IvseWyxnhdxlnuY05XY@FMU9?>Q`_rwa[27XG\^7?<4?>^az8<84k2ygcy?24;253zfdx<= Mrwa[utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;;80;2Rbzt=33:ZOI^V:8o6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]oqq:697>97~b`t01,IvseWyxnhdxlnuY05XY@FMU9?>Q`_rwa[27XG\^7?<4?>^nvp9766VCEZR>3:=;rnlp45(EziS}|jdht`jq]49TULBIQ=32]l[vseW>;TCXZ33083:Zjr|5;92RGAV^20g>ukg};8#@}zb^rqaaoqkg~P?_NWW867=87Ugyy2>3?61?vjh|89$A~{m_qpf`lpdf}Q8=PQHNE]176YhWziS:?POTV?74<76Vf~x1?<>^KMRZ64k2ygcy?20;253zfdx<= Mrwa[utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;;80;2Rbzt=37:ZOI^V:8o6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]oqq:6=7>97~b`t01,IvseWyxnhdxlnuY05XY@FMU9?>Q`_rwa[27XG\^7?<4?>^nvp9726VCEZR>;TCXZ33083:Zjr|5;=2RGAV^20g>ukg};8#@}zb^rqaaoqkg~P?_NWW867=87Ugyy2>7?61?vjh|89$A~{m_qpf`lpdf}Q8=PQHNE]176YhWziS:?POTV?74<76Vf~x1?8>^KMRZ64k2ygcy?2<;253zfdx<= Mrwa[utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;;80;2Rbzt=3;:ZOI^V:8o6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]oqq:617>97~b`t01,IvseWyxnhdxlnuY05XY@FMU9?>Q`_rwa[27XG\^7?<4?>^nvp97>6VCEZR>05?69We0?>1439phjr6;&GxyoQrdfjrfhsS:;VSJ@K_310[jYt}kU<=RAZT=12>58Xd|~7>=0PIOT\46e<{ee=>!Bst`\twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:493:5Sa{{<33=07=tdf~:?"C|uc]sv`bn~jdW>?R_FLG[754WfUxyoQ81^MVP956294T`xz320<\MKPX8:i0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_mww8749<;1x`bz>3.OpqgYwzlnbzn`{[23^[BHCW;98SbQ|uc]45ZIR\59:6=0Pltv?678XAG\T<>m4smmw56)J{|hT|kkiwamp^56UVMEHR<<3^m\wpdX?8UDYY2<1;2=[iss4;958?5|lnv27*Kt}kU{~hjfvblw_67ZWNDOS?=<_n]pqgY09VE^X1=>:1<\hpr;::4TECXP02a8wiis9:%FxlPpsggmsei|R9:QRIAD^007ZiX{|hT;05?69We0?:1439phjr6;&GxyoQrdfjrfhsS:;VSJ@K_310[jYt}kU<=RAZT=12>58Xd|~7>90PIOT\46e<{ee=>!Bst`\twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:493:5Sa{{<37=07=tdf~:?"C|uc]sv`bn~jdW>?R_FLG[754WfUxyoQ81^MVP956294T`xz324<\MKPX8:i0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_mww8709<;1x`bz>3.OpqgYwzlnbzn`{[23^[BHCW;98SbQ|uc]45ZIR\59:6=0Pltv?638XAG\T<>m4smmw56)J{|hT|kkiwamp^56UVMEHR<<3^m\wpdX?8UDYY2<1;2=[iss4;=58?5|lnv27*Kt}kU{~hjfvblw_67ZWNDOS?=<_n]pqgY09VE^X1=>:1<\hpr;:>4TECXP02a8wiis9:%FxlPpsggmsei|R9:QRIAD^007ZiX{|hT;05?69We0?61439phjr6;&GxyoQrdfjrfhsS:;VSJ@K_310[jYt}kU<=RAZT=12>58Xd|~7>50PIOT\46e<{ee=>!Bst`\twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:493:5Sa{{<3;=07=tdf~:?"C|uc]sv`bn~jdW>?R_FLG[754WfUxyoQ81^MVP956294T`xz328<\MKPX8:h0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_mww878392ygcy?1:ZOI^V:8o6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]oqq:487>97~b`t01,IvseWyxnhdxlnuY05XY@FMU9?>Q`_rwa[27XG\^7?<4?>^nvp9576VCEZR>;TCXZ33083:Zjr|59:2RGAV^20f>ukg};8#@}zb^rqaaoqkg~P?_NWW867=87Ugyy2<>538wiis9:%FxlPpsggmsei|R9:QRIAD^007ZiX{|hT;05?69We0>0PIOT\46d<{ee=>!Bst`\twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:493:5Sa{{<5<75>ukg};8#@}zb^rqaaoqkg~P?_NWW867=87Ugyy2;>^KMRZ64j2ygcy?6:17<{ee=>!Bst`\twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:493:5Sa{{<4<\MKPX8:h0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_mww838392ygcy?5:ZOI^V:8n6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]oqq:06=;0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_mww828XAG\T<>l4smmw56)J{|hT|kkiwamp^56UVMEHR<<3^m\wpdX?8UDYY2<1;2=[iss414?=6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]oqq:?6VCEZR>05?69Wqey0=0;TCXZ33083:Z~hz5;:2>m4smmw56)J{|hT|kkiwamp^56UVMEHR<<3^m\wpdX?8UDYY2<1;2=[}iu4885?n5|lnv27*Kt}kU{~hjfvblw_67ZWNDOS?=<_n]pqgY09VE^X1=>:1<\|jt;9:48o6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]{kw:6<79h7~b`t01,IvseWyxnhdxlnuY05XY@FMU9?>Q`_rwa[27XG\^7?<4?>^zlv9726:i0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_ymq8409;j1x`bz>3.OpqgYwzlnbzn`{[23^[BHCW;98SbQ|uc]45ZIR\59:6=0Pxnp?5284k2ygcy?2<;5d3zfdx<= Mrwa[utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;;80;2Rv`r=3::6d<{ee=>!Bst`\twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:493:5Sua}<0<0g>ukg};8#@}zb^rqaaoqkg~P?_NWW867=87Usc2=0?1`?vjh|89$A~{m_qpf`lpdf}Q8=PQHNE]176YhWziS:?POTV?74<76Vrd~1<>>2a8wiis9:%FxlPpsggmsei|R9:QRIAD^007ZiX{|hT;05?69Wqey0?<13b9phjr6;&GxyoQrdfjrfhsS:;VSJ@K_310[jYt}kU<=RAZT=12>58Xpfx7>>0;TCXZ33083:Z~hz58>2>m4smmw56)J{|hT|kkiwamp^56UVMEHR<<3^m\wpdX?8UDYY2<1;2=[}iu4;<5?n5|lnv27*Kt}kU{~hjfvblw_67ZWNDOS?=<_n]pqgY09VE^X1=>:1<\|jt;:>48o6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]{kw:5079h7~b`t01,IvseWyxnhdxlnuY05XY@FMU9?>Q`_rwa[27XG\^7?<4?>^zlv94>6:h0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_ymq8784k2ygcy?04;5d3zfdx<= Mrwa[utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;;80;2Rv`r=12:6d<{ee=>!Bst`\twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:493:5Sua}<2<0f>ukg};8#@}zb^rqaaoqkg~P?_NWW867=87Usc2;>2`8wiis9:%FxlPpsggmsei|R9:QRIAD^007ZiX{|hT;05?69Wqey080l4smmw56)J{|hT|kkiwamp^56UVMEHR<<3^m\wpdX?8UDYY2<1;2=[}iu4>48n6}cou30+HurjVzyiigycovX74[XOGNT>>=Po^qvfZ16WF__0>?50?]{kw:?6:h0aa{12-NwpdXx{ooe{matZ12YZAILV88?RaPst`\34YH]]68=7>1_ymq8<8482ygcy?TUSC_Q=74]l[}i;879:7~b`t01,I}iuWyegu<9T0\]ski6?R;=QRV@R^041ZiXpf6;2<=>;rnlp45(EqeyS}acy05X4XYwges:;V?9]^ZLVZ40=VeTtb2?>312?vjh|89$Aua}_qmo}41\8TU{caw>7Z35YZ^HZV8<9RaPxn>3:6563zfdx<= Mymq[uikq8=P;rnlp45(GVK^HDA31?32?vjh|89$CROZDHM?6;763zfdx<= O^CV@LI;;7;:7~b`t01,KZGRL@E783?>;rnlp45(GVK^HDA35?32?vjh|89$CROZDHM?2;763zfdx<= O^CV@LI;?7;;7~b`t01,KZGRL@ET<<74smmw56)HWH_OEBQ?_fnvaZub|8:0aa{12-L[DSCAFU:=45|lnv27*IXI\NBCR?Pgmwf[vcs991x`bz>3.M\EPBNGV8:56}cou30+JYF]MCDS?Qhltg\w`r682ygcy?!@_@WGMJY2901x`bz>3.M\EPBNGV?Tka{j_rgw55=tdf~:?"APATFJKZ0612ygcy?ukg};8#BQNUEKL[27>3zfdx<= O^CV@LIX?VmgyhQ|eu33?vjh|89$^h}zlu>3:47<{ee=>!]erwop96998;0aa{12-Qavsk|5:5>13038wiis9:%Yi~{ct=2=047<{ee=>!]erwop969=8;0aa{12-Qavsk|5;;2<<4smmw56)Umzgx1??>031?vjh|89$^h}zlu>24;46:2ygcy?!]erwop9766;;97~b`t01,V`urd}6:=3=>1:qokq74'[oxyaz313<25>ukg};8#_k|umv?568692ygcy?0:qokq74'[oxyaz31?32?vjh|89$^h}zlu>2:4763zfdx<= Rdqvhq:66;;:7~b`t01,V`urd}6:2>?>;rnlp45(Zly~`y2>>532?vjh|89$^h}zlu>2:0773zfdx<= Rdqvhq:568;0aa{12-Qavsk|585=!]erwop949<8;0aa{12-Qavsk|5859<>4smmw56)Umzgx1=1109phjr6;&Xnxb{<2<254=tdf~:?"\jstnw8685981x`bz>3.Pfwpjs4:48=<5|lnv27*Tb{|f0>0;109phjr6;&Xnxb{<2<655=tdf~:?"\jstnw818692ygcy?1:qokq74'[oxyaz34?125>ukg};8#_k|umv?0;2692ygcy?;rnlp45(Zly~`y2:>032?vjh|89$^h}zlu>6:7763zfdx<= Rdqvhq:26:;:7~b`t01,V`urd}6>29??;rnlp45(Zly~`y29>038wiis9:%Yi~{ct=4=547<{ee=>!]erwop909:8;0aa{12-Qavsk|5<5?3.Pfwpjs4>49=<5|lnv27*Tb{|f0:0<109phjr6;&Xnxb{<6<755=tdf~:?"\jstnw8=8692ygcy?1:qokq74'[oxyaz38?125>ukg};8#_k|umv?<;2682ygcy?;rnlp45(Zly~`y26>332?vjh|89$^h}zlu>::6763zfdx<= Rdqvhq:>6=?;7~b`t01,[ZY_DGGTSR:7_^]Ngjkwi{ULBIQ=32]l[qiimVmnbRmatZ3^_47ZWEoxYfnn^331ZiXd|~oe8?4smmw56)XWVRGB@QP_5;\[ZKdgdzj~RIAD^007ZiX|fdnSjka_blw_4[\98WT@h}|Ticm[462WfUgyyjf1438wiis9:%TSRVCNL]\[06XWVGhc`~nr^EM@Z44;VeTxb`j_fgm[fhsS8WP=SbQcuufj607<{ee=>!P_^ZOJHYXW<;TSRClolrbvZAILV88?RaPtnlf[bciWjdW:_n]oqqbn;<;0aa{12-\[Z^KFDUTS84smmw56)XWVRGB@QP_46\[ZKdgdzj~RIAD^007ZiX|fdnSjka_blw_7[\98WT@h}|Ticm[46>WfUgyyjf509phjr6;&UTSUBAM^]\10YXWDida}o}_FLG[754WfUcckPgdl\gkr\:TQ:=PQCerqWldhX993TcRbztek214=tdf~:?"QP_YNMIZYX=?UTS@m`mqcq[BHCW;98SbQ{oog\c`hXkg~P>PU>1\]OavuS`hdT==7Po^nvpao5=81x`bz>3.]\[]JIEVUT9:QP_LaliuguWNDOS?=<_n]wkkcXoldToczT2\Y25XYKmzy_dl`P11;\kZjr|mc89<5|lnv27*YXWQFEARQP59]\[HeheykySJ@K_310[jYsggoTkh`PcovX6X]69TUGi~}[h`l\55?XgVf~xig;519phjr6;&UTSUBAM^]\25YXWDida}o}_FLG[754WfUcckPgdl\gkr\;TQ:=PQCerqWldhX989TcRbztek65>ukg};8#RQPXMLN[ZY19VUTAnabp`p\CKBX::9TcRz`nd]dakYdf}Q8QV?>]^NfwvRoigU:=>Q`_mww`l7292ygcy?RQPMbmntdtXOGNT>>=Po^vlj`Y`mgUhbyU<]Z32YZJb{z^cmcQ>12]l[issl`8>=6}cou30+ZYXPEDFSRQ93^]\IfijxhxTKCJP221\kZrhflUlicQlnuY0Y^76UVFn~Zgao]256YhWehd=:1:qokq74'VUTTA@B_^]50ZYXEjef|l|PGOF\665XgV~dbhQheo]`jq]4UR;:QRBjsrVkekY69:UdSa{{dh67g>ukg};8#RQPXMLN[ZY1>VUTAnabp`p\CKBX::9TcRkav^efjZei|R;>QRBjsrVkekY6;=UdSa{{dh6g?vjh|89$SRQWLOO\[Z00WVUFobcas]DJAY5;:UdSh`y_fgm[fhsS8?VSAk|sUjbjZ74WVUFobcas]DJAY5;:UdSh`y_fgm[fhsS8?VSAk|sUjbjZ74d:qokq74'Vd;887j;rnlp45(Wg:?94?k;rnlp45(Wg:?:===8=4h5|lnv27*Yi8=<3=i5|lnv27*Yi8=2;=<5|lnv27*Yi8=2;0=0>109phjr6;&Ue<96?<1<157=tdf~:?"Qa05:385859m1x`bz>3.]m41>5m2ygcy?3.]m4071W`d}=?5|lnv27*Yi8<;=Sd`y1038wiis9:%Tb=;=9^kmr44<{ee=>!Pn171=Zoi~8;:7~b`t01,[k62;:Ubb{?=;rnlp45(Wg:>?>Qfnw325>ukg};8#R`?525\mkp6:2ygcy?:Sd`y139phjr6;&Ue<8:>_hlu547<{ee=>!Pn1771Zoi~880aa{12-\j533=Vcez;rnlp45(Wg:>84Qfnw31?vjh|89$Sc>:48]jjs7692ygcy?6}cou30+Zh7=<9Tecx>109phjr6;&Ue<8;8_hlu57=tdf~:?"Qa0474[lhq98;0aa{12-\j5319Vcez<<4smmw56)Xf9?==Rgav032?vjh|89$Sc>:64]jjs753zfdx<= _o2620Ynf;:=6}cou30+Zh7=?3Tecx>2:qokq74'Vd;9;7Piot254=tdf~:?"Qa0450[lhq9;1x`bz>3.]m4014W`d}=<<4smmw56)Xf9?<;Rgav032?vjh|89$Sc>:86]jjs753zfdx<= _o26<2Ynf;:=6}cou30+Zh7>=2Tecx>2:qokq74'Vd;:96Piot257=tdf~:?"Qa076;[lhq;890aa{12-\j5030Vcez>?>5:qokq74'hoebQiioco8486=2ygcy?5:qokq74'hoebQiioco8686=2ygcy?5:qokq74'hoebQiioco8086=2ygcy?5:qokq74'hoebQiioco8286=2ygcy?5:qokq74'hoebQiioco8<86<2ygcy?!nuekl[coiieU8=95|lnv27*grl`eTjd`nl^620>ukg};8#l{kin]emkgkW<;?7~b`t01,epbngVlbblbP6068wiis9:%jyig`_gkmeiY09=1x`bz>3.cv`liXn`dj`R6>4:qokq74'hoebQiioco[<743zfdx<= atfjkZknp5:5=>5|lnv27*grl`eTadv31?30?vjh|89$mxjfo^oj|9499:1x`bz>3.cv`liXe`r7?3?<;rnlp45(i|nbcRcfx=6=56=tdf~:?"ozdhm\il~;=7;87~b`t01,epbngVgbt181129phjr6;&k~hdaPmhz?3;743zfdx<= atfjkZknp525=>5|lnv27*grl`eTadv39?31?vjh|89$mxjfo^oj|Z66:2ygcy?:>6}cou30+dscafUfeuQ:139phjr6;&k~hdaPmhz\244<{ee=>!nuekl[hoW>;97~b`t01,epbngVgbtR6>2:qokq74'hoebQbiy]:54=tdf~:?"mat^fjr969981x`bz>3.ampZbn~5;5=<5|lnv27*ei|Vnbz1<1279phjr6;&iexRjfvZ1^[BHCW;98SbQjqtco[23Xg;k0aa{12-`jqYcaQ8QRIAD^007ZiXmxj`R9:_n>0:4773zfdx<= cov\`lpX88:0aa{12-`jqYcaU:==5|lnv27*ei|VnbzR<>1:qokq74'mkhSlc<1<25>ukg};8#iol_`os848692ygcy?0>1:qokq74'mkhSlc<5<25>ukg};8#iol_`os808692ygcy?0:qokq74'mkhSlc_133?vjh|89$hlmPalr\546<{ee=>!kab]biuY5991x`bz>3.fbgZgjxV9:<6}cou30+agdWhg{S9??;rnlp45(lhiTm`~P5028wiis9:%omnQnmq]555=tdf~:?"jnc^cntZ1a3zfdx<= dht`hnci9:1x`bz>3.fjrfjlmgUbb{?n;rnlp45(l`|h`fka_hlu5ZOI^V:n7~b`t01,akgXl`|:86}cou30+`hfWmc}Scafsdg8wiis9:%nb{Qjn`36?vjh|89$icxPeoc\vvrwg};>7~b`t01,akpXoldTocz30?35?vjh|89$icxPgdl\gkr;994::6}cou30+`hqWnoeSn`{<03=53=tdf~:?"kav^efjZei|5;92<84smmw56)bfUlicQlnu>27;713zfdx<= eot\c`hXkg~7=90>6:qokq74'ld}Sjka_blw84399<1x`bz>3.gmrZabfViex1?1149phjr6;&oezRijn^amp9499<1x`bz>3.gmrZabfViex1=1149phjr6;&oezRijn^amp9299<1x`bz>3.gmrZabfViex1;1149phjr6;&oezRijn^amp9099<1x`bz>3.gmrZabfViex191149phjr6;&oezRijn^amp9>99<1x`bz>3.gmrZabfViex171159phjr6;&oezRijn^ampZ66<2ygcy??:;rnlp45(mg|Tkh`Pcov\51723zfdx<= eot\c`hXkg~T=8?;;rnlp45(mg|Tkh`Pcov\642<{ee=>!jnw]dakYdf}U8=95|lnv27*ci~VmnbRmat^620>ukg};8#h`y_fgm[fhsW<;?7~b`t01,akpXoldToczP6068wiis9:%nb{Qheo]`jqY09=1x`bz>3.gmrZabfViexR6>4:qokq74'ld}Sjka_blw[<`<{ee=>!jnw]kkib602ygcy?1:qokq74'ld}S{ac<3<25>ukg};8#h`y_wmo868692ygcy?_fnvaZub|8:0aa{12-fjsYqgeU9=55|lnv27*ci~V|d`Rukg};8#h`y_wmo[67>3zfdx<= eot\rjjX;VmgyhQ|eu33?vjh|89$icxPvnn\04?<{ee=>!jnw]ukiY3Wnf~iR}jtb9phjr6;&d;<48k;rnlp45(f9:2:i5|lnv27*h780<8==5|lnv27*hhazoThdx>a:qokq74'gebhQkiw]JJSY1<5|lnv27*hhazoThdxPIOT\210Xg8UBB[Q?169phjr6;&dde~kPgdl\gkr;87;<7~b`t01,jjotmVmnbRmat=3=52=tdf~:?"``irg\c`hXkg~7>3?8;rnlp45(ffcxiRijn^amp9599>1x`bz>3.llmvcXoldTocz34?35?vjh|89$bbg|e^efjZei|V:::6}cou30+kin{lUlicQlnu]253=tdf~:?"``irg\c`hXkg~T><84smmw56)ig`ynSjka_blw[6713zfdx<= nnkpaZabfViexR:>0:qokq74'yegu<930?32?vjh|89$|bbv16>24;763zfdx<= pnnz52:697;:7~b`t01,tjj~9>6:>3?>;rnlp45(xffr=:2>3?32?vjh|89$|bbv16>20;763zfdx<= pnnz52:6=7;:7~b`t01,tjj~9>6::3??;rnlp45(xffr=:2>>028wiis9:%{caw>7=0=55=tdf~:?"~`lx34868682ygcy?6>2<>4smmw56)wges:;181119phjr6;&zd`t?8<6<24>ukg};8#}acy05?<;773zfdx<= pnnz52:>6;?0aa{12-ski6?R:VS}acy05X53[XPFXT>:;Pog9phjr6;&zd`t?8_1d8wiis9:%{caw>7^324>ukg};8#}acy05\55773zfdx<= pnnz52Y698:0aa{12-ski6?V;9==5|lnv27*vhdp;0:qokq74'yegu<9P1533?vjh|89$|bbv16]2146<{ee=>!om{23Z71n2ygcy?3.rlh|70W:l0aa{12-ski6?V>m7~b`t01,tjj~9>U>j6}cou30+uikq8=T:k5|lnv27*vhdp;3?37?vjh|89$|kkiwamp97368>0aa{12-sv`bn~jd0<;1159phjr6;&zyiigycov?5386<2ygcy?!rdfjrfhs4835=>5|lnv27*vummc}ocz31?37?vjh|89$|kkiwamp94768>0aa{12-sv`bn~jd0??1159phjr6;&zyiigycov?6786<2ygcy?!rdfjrfhs4;?5=95|lnv27*vummc}ocz327<20>ukg};8#}|jdht`jq:5?7;?7~b`t01,twccaiex1<7>068wiis9:%{~hjfvblw87?99:1x`bz>3.rqaaoqkg~7>3?;;rnlp45(x{ooe{mat=13:42<{ee=>!rdfjrfhs4:;5=>5|lnv27*vummc}ocz33?30?vjh|89$|kkiwamp9299:1x`bz>3.rqaaoqkg~793?<;rnlp45(x{ooe{mat=4=56=tdf~:?"~}eekugkr;?7;87~b`t01,twccaiex161129phjr6;&zyiigycov?=;4d3zfdx<= psggmsei|R9:QRIAD^007ZiXe|rT;?Q@UU>3:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=33:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=32:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=31:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=30:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=37:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=36:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=35:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=34:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=3;:7b<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=3::7e<{ee=>!rdfjrfhsS:;VSJ@K_310[jYj}qU<>RAZT=3=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<32=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<33=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<30=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<31=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<36=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<37=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<34=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<35=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<3:=6a=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<3;=6f=tdf~:?"~}eekugkr\;8WTKCJP221\kZkrpV=9SB[[<3<1`>ukg};8#}|jdht`jq]49TULBIQ=32]l[hsW>8TCXZ331<1`>ukg};8#}|jdht`jq]49TULBIQ=32]l[hsW>8TCXZ330<1g>ukg};8#}|jdht`jq]49TULBIQ=32]l[hsW>8TCXZ33?0`?vjh|89$|kkiwamp^56UVMEHR<<3^m\ip~X?;UDYY2;>3a8wiis9:%{~hjfvblw_67ZWNDOS?=<_n]nq}Y0:VE^X1;12b9phjr6;&zyiigycovX74[XOGNT>>=Po^ov|Z15WF__0;0=c:qokq74'yxnhdxlnuY05XY@FMU9?>Q`_lw{[24XG\^7;3n5|lnv27*vummc}oczT30_\CKBX::9TcR}zb^52[JSS4949h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9949h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9849h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9;49h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9:49h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9=49h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9<49h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9?49h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9>49h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9149h6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;9049o6}cou30+utbl`|hbyU<1\]DJAY5;:UdS~{m_63\KPR;978o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5878o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5978o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5:78o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5;78o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5<78o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5=78o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5>78o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5?78o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5078o7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:5178h7~b`t01,twccaiexV=>]^EM@Z44;VeTxlP70]LQQ:56;n0aa{12-sv`bn~jdW>?R_FLG[754WfUxyoQ81^MVP9576;n0aa{12-sv`bn~jdW>?R_FLG[754WfUxyoQ81^MVP9566;i0aa{12-sv`bn~jdW>?R_FLG[754WfUxyoQ81^MVP959:j1x`bz>3.rqaaoqkg~P?_NWW8185k2ygcy?5:7e<{ee=>!rdfjrfhsS:;VSJ@K_310[jYt}kU<=RAZT=5=6f=tdf~:?"~}eekugkr\;8WTKCJP221\kZurjV=:SB[[<9<1g>ukg};8#}|jdht`jq]49TULBIQ=32]l[vseW>;TCXZ39?31?vjh|89$|kkiwampZ66:2ygcy?5|lnv27*vummc}oczP1130?vjh|89$|kkiwampZ769:1x`bz>3.rqaaoqkg~T=??<;rnlp45(x{ooe{mat^3056=tdf~:?"~}eekugkrX9=;87~b`t01,twccaiexR?:129phjr6;&zyiigycov\53743zfdx<= psggmsei|V;<=>5|lnv27*vummc}oczP1930?vjh|89$|kkiwampZ7>9;1x`bz>3.rqaaoqkg~T><=4smmw56)wzlnbzn`{_3227>ukg};8#}|jdht`jqY59890aa{12-sv`bn~jdS?<>3:qokq74'yxnhdxlnu]1745<{ee=>!rdfjrfhsW;>:?6}cou30+utbl`|hbyQ=5018wiis9:%{~hjfvblw[706;2ygcy?ukg};8#}|jdht`jqY51880aa{12-sv`bn~jdS>?<;rnlp45(x{ooe{mat^1356=tdf~:?"~}eekugkrX;8;97~b`t01,twccaiexR:>2:qokq74'yxnhdxlnu]657=tdf~:?"~}eekugkrX>880aa{12-sv`bn~jdS:?=;rnlp45(x{ooe{mat^:26>ukg};8#}|jdht`jqY>:>1x`bz>3.pfc^75UR=VSJ@K_310[jYby|kgS<=>_n0b?vjh|89$~hiT13_X3XY@FMU9?>Q`_dsveiY6;8Ud0:0=b:qokq74'{olW<PU8]^EM@Z44;VeTi|{nl^305Zi;?789n6}cou30+wc`S88VW:SPGOF\665XgVozylbP123\k919;;20aa{12-qab]6UR9VSJ@K_310[jYby|kgS48Po=1=6<=tdf~:?"|jgZ3^_6[XOGNT>>=Po^grqdjX1?Ud0>0>289phjr6;&xnkV?R[2_\CKBX::9TcRk~u`n\=3Yh4:49>55|lnv27*tboR9VW>SPGOF\665XgVozylbP98]l868512ygcy?ukg};8#kh[2_X7XY@FMU9?>Q`_dsveiY>1Ve7?3<=9:qokq74'{olW8ST3\]DJAY5;:UdShzam]247Yh4:49m6}cou30+wc`S03]l8686:h1x`bz>3.pfc^3ZS:WTKCJP221\kZcv}hfT==!}ef]3848a3zfdx<= rde\4949n2ygcy?3.pfcZ6;<7l0aa{12-qabY74<4m7~b`t01,v`aX85<5j6}cou30+wc`W96<2h5|lnv27*tboV:Th5|lnv27*tboV:T?h5|lnv27*tboV:T8h5|lnv27*tboV:T9h5|lnv27*tboV:T:h5|lnv27*tboV:T;<>4smmw56)umnU:<1>1119phjr6;&xnkR??<0<24>ukg};8#kh_02?6;773zfdx<= rde\55:468:0aa{12-qabY685>5j6}cou30+wc`W8:TP4028wiis9:%yijQ>1=2=55=tdf~:?"|jg^32848682ygcy?4smmw56)umnU:=1:1119phjr6;&xnkR?><4<24>ukg};8#kh_03?2;773zfdx<= rde\54:06o1x`bz>3.pfcZ76W9l0aa{12-qabY69V;m7~b`t01,v`aX98U9j6}cou30+wc`W8;T?k5|lnv27*tboV;:S9h4smmw56)umnU:=R;i;rnlp45(zlmT=2=2=55=tdf~:?"|jg^31848682ygcy?4smmw56)umnU:>1:1119phjr6;&xnkR?=<4<24>ukg};8#kh_00?2;773zfdx<= rde\57:06o1x`bz>3.pfcZ75W9l0aa{12-qabY6:V;m7~b`t01,v`aX9;U9j6}cou30+wc`W88T?k5|lnv27*tboV;9S9h4smmw56)umnU:>R;i;rnlp45(zlmT=?Q9f:qokq74'{olS<3=2=55=tdf~:?"|jg^30848682ygcy?Q=f:qokq74'{olS<=P3g9phjr6;&xnkR?30?d8wiis9:%yijQ><0ukg};8#kh_0>0:`=tdf~:?"|jg^3\4`=tdf~:?"|jg^3\5`=tdf~:?"|jg^3\6`=tdf~:?"|jg^3\7c=tdf~:?"|jg^0?4;`<{ee=>!}ef]1848a3zfdx<= rde\6949n2ygcy?3.pfcZ4;<7l0aa{12-qabY54<4m7~b`t01,v`aX:5<5j6}cou30+wc`W;6<2h5|lnv27*tboV8Th5|lnv27*tboV8T?h5|lnv27*tboV8T8h5|lnv27*tboV8T9h5|lnv27*tboV8T:h5|lnv27*tboV8T;k5|lnv27*tboV97<3h4smmw56)umnU80<0i;rnlp45(zlmT?1<1f:qokq74'{olS>2<>d9phjr6;&xnkR=P0d9phjr6;&xnkR=P1d9phjr6;&xnkR=P2d9phjr6;&xnkR=P3g9phjr6;&xnkR:30?d8wiis9:%yijQ;<0ukg};8#kh_5>0:c=tdf~:?"|jg^6?0;`<{ee=>!}ef]7808a3zfdx<= rde\0909n2ygcy?3.pfcZ2X8l1x`bz>3.pfcZ2X9l1x`bz>3.pfcZ2X:l1x`bz>3.pfcZ2X;l1x`bz>3.pfcZ2X3.pfcZ2X=l1x`bz>3.pfcZ2X>l1x`bz>3.pfcZ2X?o1x`bz>3.pfcZ3;87l0aa{12-qabY2484m7~b`t01,v`aX=585j6}cou30+wc`W<682h5|lnv27*tboV?Th5|lnv27*tboV?T?k5|lnv27*tboV<7<3h4smmw56)umnU=0<0i;rnlp45(zlmT:1<1f:qokq74'{olS;2<>g9phjr6;&xnkR834?g8wiis9:%yijQ9_1g8wiis9:%yijQ9_0g8wiis9:%yijQ9_3g8wiis9:%yijQ9_2g8wiis9:%yijQ9_5d8wiis9:%yijQ8<1ukg};8#kh_6>1:c=tdf~:?"|jg^5?7;`<{ee=>!}ef]4818a3zfdx<= rde\3939n2ygcy?3.pfcZ1;?7o0aa{12-qabY0W9o0aa{12-qabY0W8o0aa{12-qabY0W;o0aa{12-qabY0W:o0aa{12-qabY0W=o0aa{12-qabY0Wl0aa{12-qabY?494m7~b`t01,v`aX05;5j6}cou30+wc`W1692k5|lnv27*tboV27?3h4smmw56)umnU3090j;rnlp45(zlmT4R>j;rnlp45(zlmT4R?j;rnlp45(zlmT4R1f:qokq74'{olS42>>g9phjr6;&xnkR732?d8wiis9:%yijQ6<2ukg};8#kh_8]3a>ukg};8#kh_8]2a>ukg};8#kh_8]1a>ukg};8#kh_8]0a>ukg};8#kh_8]76==tdf~:?"z`nd]fjdYkWgebhQjn`]o[DHCW8=9;Ra=9:qokq74'}eeiRkaa^n\jjotmVoemRbPAOF\5240Wf;956}cou30+qiimVoemRbPnnkpaZciiVfTMCJP1604[j25j2ygcy?!{oog\akgXdVdde~kPeoc\hZGILV;<>:Q`4^E2<>ukg};8#yaae^efjZei|V;7<3?6;rnlp45(|fdnSjka_blw[4:687;27~b`t01,pjhbWnoeSn`{_0>25;7?3zfdx<= tnlf[bciWjdS<2>>0:8wiis9:%cckPgdl\gkrX9585=55|lnv27*rhflUlicQlnu]2868602ygcy?2<64smmw56)sggoTkh`Pcov\5909911x`bz>3.vlj`Y`mgUhbyQ><6<2<>ukg};8#yaae^efjZei|V;743?7;rnlp45(|fdnSjka_blw[4:>68=0aa{12-wkkcXoldToczP1^223>ukg};8#yaae^efjZei|V;T=<64smmw56)sggoTkh`Pcov\5Z77911x`bz>3.vlj`Y`mgUhbyQ>_0323>ukg};8#yaae^efjZei|V;T><94smmw56)sggoTkh`Pcov\5Z56?2ygcy?!{oog\c`hXkg~T=R9>7:qokq74'}eeiRijn^ampZ7X08=0aa{12-wkkcXoldToczP1^;2<>ukg};8#yaae^efjZei|V87<3?6;rnlp45(|fdnSjka_blw[7:687;27~b`t01,pjhbWnoeSn`{_3>25;7?3zfdx<= tnlf[bciWjdS?2>>0:8wiis9:%cckPgdl\gkrX:585=55|lnv27*rhflUlicQlnu]1868602ygcy?2<64smmw56)sggoTkh`Pcov\6909911x`bz>3.vlj`Y`mgUhbyQ=<6<2<>ukg};8#yaae^efjZei|V8743?7;rnlp45(|fdnSjka_blw[7:>68=0aa{12-wkkcXoldToczP2^223>ukg};8#yaae^efjZei|V8T=<64smmw56)sggoTkh`Pcov\6Z77911x`bz>3.vlj`Y`mgUhbyQ=_0323>ukg};8#yaae^efjZei|V8T><94smmw56)sggoTkh`Pcov\6Z56?2ygcy?!{oog\c`hXkg~T>R9>7:qokq74'}eeiRijn^ampZ4X08=0aa{12-wkkcXoldToczP2^;2<>ukg};8#yaae^efjZei|V97<3?6;rnlp45(|fdnSjka_blw[6:687;27~b`t01,pjhbWnoeSn`{_2>25;7?3zfdx<= tnlf[bciWjdS>2>>0:8wiis9:%cckPgdl\gkrX;585=55|lnv27*rhflUlicQlnu]0868602ygcy?2<64smmw56)sggoTkh`Pcov\7909911x`bz>3.vlj`Y`mgUhbyQ<<6<2<>ukg};8#yaae^efjZei|V9743?7;rnlp45(|fdnSjka_blw[6:>68=0aa{12-wkkcXoldToczP3^223>ukg};8#yaae^efjZei|V9T=<64smmw56)sggoTkh`Pcov\7Z77911x`bz>3.vlj`Y`mgUhbyQ<_0323>ukg};8#yaae^efjZei|V9T><94smmw56)sggoTkh`Pcov\7Z56?2ygcy?!{oog\c`hXkg~T?R9>7:qokq74'}eeiRijn^ampZ5X08=0aa{12-wkkcXoldToczP3^;21>ukg};8#yaae^efjZiw484:96}cou30+qiimVmnbRa<3<21>ukg};8#yaae^efjZiw4:49j6}cou30+qiimVmnbRa[0_\pjhbWnoeSb~T1\]NQ]Y6=8:Tc?h4smmw56)sggoTkh`PoqY1YZrhflUlicQ`pZ0^[HS_W8?:>Ra=f:qokq74'}eeiRijn^ms_6[X|fdnSjka_nrX7XYJ]QU:9<:Po068wiis9:%cckPgdl\kuY69=1x`bz>3.vlj`Y`mgUd|R<>4:qokq74'}eeiRijn^ms[6743zfdx<= wc]b`wYn4<4:=95|lnv27*qeWhnySd2:>0320>ukg};8#zlPaep\m939:8;?7~b`t01,sgYfl{Ub080<1068wiis9:%|nRokr^k?1;26:>1x`bz>3.ua[fdW`U|nR}{b^k\EKBX9?2>SbQfnw0;?vjh|89${oQlyb]j[rdX{}hTeROAD^35<0YhW`d}=:5|lnv20*e<{ee=9!ncj]j=>ukg};?#n}n;rnlp42(kz9n7~b`t06,gv5X[^:i7~b`t06,wlb(9m1x`bz>4.qj`*@nd}oyS@ake^Tlh9699m1x`bz>4.qj`*@nd}oyS@ake^Tlh9799m1x`bz>4.qj`*@nd}oyS@ake^Tlh9499m1x`bz>4.qj`*@nd}oyS@ake^Tlh9599j1x`bz>4.qj`*@nd}oyS@ake^TlhZ66k2ygcy?;/rkg+Cok|lxTAbjj_Wmo[47d3zfdx<: shf,Bljsm{UFcikPVnn\64e<{ee=9!|ie-EmirbzVGdhhQYom]05g=tdf~:8"}fd.Ob`aYi8:;:Snw30?3`?vjh|8>$dj M`fg[k6498Uhu1??>0a8wiis9=%xei!Baef\j5569Vir0<10]`}9299k1x`bz>4.qj`*KflmUe<>?>_b{?1;7e3zfdx<: shf,IdbcWg:8=$dj M`fg[k6498Uhu1611c9phjr6<&ybh"Cnde]m4676Wjs753?l;rnlp42({`n$Aljk_o2054Yk}}6;2<10]oqq:368i0aa{15-pma)JimnTb==>1^nvp9399j1x`bz>4.qj`*KflmUe<>?>_mww8386k2ygcy?;/rkg+HgclVd;?;:4e<{ee=9!|ie-NeabXf99:=Rbzt=;=5f=tdf~:8"}fd.Ob`aYi8:;:Sua}<1<2`>ukg};?#~gk/Lcg`Zh7;8;Ttb|311<2`>ukg};?#~gk/Lcg`Zh7;8;Ttb|310<2g>ukg};?#~gk/Lcg`Zh7;8;Ttb|31?3`?vjh|8>$dj M`fg[k6498Usc2=>0a8wiis9=%xei!Baef\j5569Vrd~1=11b9phjr6<&ybh"Cnde]m4676Wqey090>c:qokq73'zco#@okd^l3747Xpfx793?l;rnlp42({`n$Aljk_o2054Yg{6=24.qj`*KflmU}cdmj_lk{aa76l2ygcy?;/rkg+HgclV|denkPmhzf`466k2ygcy?;/rkg+HgclV|denkPmhzf`77d3zfdx<: shf,IdbcWebohQbiygg74e<{ee=9!|ie-NeabX~fchiRcfxdf75f=tdf~:8"}fd.Ob`aYqg`inS`gwee72g>ukg};?#~gk/Lcg`ZphajoTadvjd73`?vjh|8>$dj M`fg[sinklUfeukk70a8wiis9=%xei!Baef\rjodmVgbthj71b9phjr6<&ybh"Cnde]uklebWdcsii7=1:qokq73'zco#@okd^tlmfcXe`rnhRmv<1<16>ukg};?#~gk/Lcg`ZphajoTadvjd^az8586::1x`bz>4.qj`*KflmU}cdmj_lk{aaYdq5:5==<<;rnlp42({`n$Aljk_wmjg`YjaqooSnw30?3267=tdf~:8"}fd.Ob`aYqg`inS`gwee]`}969:;80aa{15-pma)JimnTzbgle^oj|`bXkp6;2><=;rnlp42({`n$Aljk_wmjg`YjaqooSnw30?616>ukg};?#~gk/Lcg`ZphajoTadvjd^az8582:;1x`bz>4.qj`*KflmU}cdmj_lk{aaYdq5:5:?<4smmw51)tam%FmijPvnk`aZknplnTot2?>601?vjh|8>$dj M`fg[sinklUfeukk_b{?4;>5:2ygcy?;/rkg+HgclV|denkPmhzf`Ze~4942>>5|lnv20*unl&GjhiQyohaf[hommUhu1>1_100?vjh|8>$dj M`fg[sinklUfeukk_b{?4;Y6:=1x`bz>4.qj`*KflmU}cdmj_lk{aaYdq5:5S<>=4:qokq73'zco#@okd^tlmfcXe`rnhRmv<1<\54443zfdx<: shf,IdbcWebohQbiygg[f;87U9>>5|lnv20*unl&GjhiQyohaf[hommUhu1>1_200?vjh|8>$dj M`fg[sinklUfeukk_b{?4;Y3::1x`bz>4.qj`*KflmU}cdmj_lk{aaYdq5:5S8<<;rnlp42({`n$Aljk_wmjg`YjaqooSnw30?]566=tdf~:8"}fd.Ob`aYqg`inS`gwee]`}969W>887~b`t06,wlb(EhnoS{afcd]nm}ccWjs7<3Q7229phjr6<&ybh"Cnde]uklebWdcsiiQly=2=[<453zfdx<: shf,IdbcWebohQbiygg[iss4949?6}cou37+voc'DkohRx`ibg\il~blVf~x1>11368wiis9=%xei!Baef\rjodmVgbthjPltv?4;77:=1x`bz>4.qj`*KflmU}cdmj_lk{aaYk}}6;2>5|lnv20*unl&GjhiQyohaf[hommUgyy2?>200?vjh|8>$dj M`fg[sinklUfeukk_mww8583::1x`bz>4.qj`*KflmU}cdmj_lk{aaYk}}6;28<<;rnlp42({`n$Aljk_wmjg`YjaqooSa{{<1<566=tdf~:8"}fd.Ob`aYqg`inS`gwee]oqq:76>887~b`t06,wlb(EhnoS{afcd]nm}ccWe0=07229phjr6<&ybh"Cnde]uklebWdcsiiQcuu>3:<453zfdx<: shf,IdbcWebohQbiygg[}iu494986}cou37+voc'DkohRx`ibg\il~blVrd~1>1_107?vjh|8>$dj M`fg[sinklUfeukk_ymq858X9;?0aa{15-pma)JimnTzbgle^oj|`bXpfx7<3Q>0378wiis9=%xei!Baef\rjodmVgbthjPxnp?4;Y69;?0aa{15-pma)JimnTzbgle^oj|`bXpfx7<3Q>2368wiis9=%xei!Baef\rjodmVgbthjPxnp?4;Y5:=1x`bz>4.qj`*KflmU}cdmj_lk{aaYg{6;2R==4:qokq73'zco#@okd^tlmfcXe`rnhRv`r=2=[1433zfdx<: shf,IdbcWebohQbiygg[}iu494T9?:4smmw51)tam%FmijPvnk`aZknplnTtb|30?]561=tdf~:8"}fd.Ob`aYqg`inS`gwee]{kw:76V=986}cou37+voc'DkohRx`ibg\il~blVrd~1>1_907?vjh|8>$dj M`fg[sinklUfeukk_ymq858X1=o0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PFhnwawYJgmoTZbb30?]{[sinklUfeukk<02=[hgil8>n7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Gkop`tXEfnnS[ac<1<\|ZphajoTadvjd=32:Zkffm;?i6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^DjhqcuWDeoiRX`l=2=[}Yqg`inS`gwee>26;Yjign:8h5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]EmirbzVGdhhQYom>3:Z~X~fchiRcfxdf?568Xehdo=9j4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Bljsm{UFcikPVnn?4;YWebohQbiygg868Xehdo=9j4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Bljsm{UFcikPVnn?4;YWebohQbiygg818Xehdo=9j4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Bljsm{UFcikPVnn?4;YWebohQbiygg808Xehdo=9j4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Bljsm{UFcikPVnn?4;YWebohQbiygg838Xehdo=9j4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Bljsm{UFcikPVnn?4;YWebohQbiygg828Xehdo=9j4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Bljsm{UFcikPVnn?4;YWebohQbiygg8=8Xehdo=9j4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Bljsm{UFcikPVnn?4;YWebohQbiygg8<8Xehdo=9j4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Bljsm{UFcikPVnn?5;YWebohQbiygg858Xehdo=9k4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Bljsm{UFcikPVnn?5;YWebohQbiygg8469Wdkeh<:j;rnlp42({`n$A`{ct^tlmfcX~ff~ah2>7;2=[Cok|lxTAbjj_Wmo848XpV|denkPmhzf`9766Vgjbi?;e:qokq73'zco#@czlu]uklebWegy`k31683:Z@nd}oyS@ake^Tlh979WqU}cdmj_lk{aa:6:7Ufmcj>4d9phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YAae~n~RC`dd]Uki:66VrTzbgle^oj|`b;9:4Tal`k15f8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;97UsS{afcd]nm}cc484Tal`k15f8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;97UsS{afcd]nm}cc4;4Tal`k15f8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;97UsS{afcd]nm}cc4:4Tal`k15f8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;97UsS{afcd]nm}cc4=4Tal`k15f8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;97UsS{afcd]nm}cc4<4Tal`k15f8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;97UsS{afcd]nm}cc4?4Tal`k15f8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;97UsS{afcd]nm}cc4>4Tal`k15f8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;97UsS{afcd]nm}cc414Tal`k15f8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;97UsS{afcd]nm}cc404Tal`k15:8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;:7UsS{afcd]nm}cc4895845|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]EmirbzVGdhhQYom>1:Z~X~fchiRcfxdf?5686<11x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QIimvfvZKhllU]ca2<>^z\rjodmVgbthj310<7=>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VLb`yk}_LmgaZPhd595SuQyohaf[homm6:=3?;8:qokq73'zco#@czlu]uklebWegy`k31683:Z@nd}oyS@ake^Tlh959WqU}cdmj_lk{aa:6:7>27~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Gkop`tXEfnnS[ac<2<\|ZphajoTadvjd=31:42?3zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5SKgctdp\IjbbW_eg0>0Px^tlmfcXe`rnh1?<>5;8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XN`fiQBoeg\Rjj;;7UsS{afcd]nm}cc4895=>>4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc9Vir0<>1319phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimn:Snw310<04>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhi?Pcx>26;573zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd0]`}9746::0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg5Ze~48>5?=5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]Neab6Wjs7=80=f:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm;Tot2=>3d8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhno=Rmv<2<1b>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhi?Pcx>7:7`<{ee=9!|ie-NipjsWebohQyomwna970294TAljk1^az8085n2ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde3\g|:16;l0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg5Ze~4>49j6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a7Xkp632?h4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc9Vir040<1:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm;T`xz311<05>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhi?Pltv?548492ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde3\hpr;9;48=6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a7Xd|~7=>0<1:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm;T`xz315<04>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhi?Pltv?6;573zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd0]oqq:46::0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg5Zjr|5>5?=5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]Neab6We080<0:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm;T`xz36?13?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkoh4:66<{ee=9!|ie-NipjsWebohQyomwna970294TAljk1^nvp9>9;91x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef2[iss4048=6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a7Xpfx7==0<1:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm;Ttb|310<05>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhi?Pxnp?578492ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde3\|jt;9:48=6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a7Xpfx7=90<1:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm;Ttb|314<04>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhi?Pxnp?6;573zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd0]{kw:46::0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg5Z~hz5>5?=5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]Neab6Wqey080<0:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm;Ttb|36?13?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkoh4:66<{ee=9!|ie-NipjsWebohQyomwna970294TAljk1^zlv9>9;91x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef2[}iu4048<6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a4Xkp6:<3=?;rnlp42({`n$A`{ct^tlmfcX~ff~ah2>7;2=[Hgcl;Uhu1?>>228wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhno>Rmv<00=75=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmij=_b{?568482ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde0\g|:6<79;7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`7Ydq5;>2>>4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc:Vir0<812g9phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimn9Snw31?0e?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkoh?Qly=0=6c=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmij=_b{?7;4a3zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd3]`}929:o1x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef1[f;=78m7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`7Ydq5<5>k5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]Neab5Wjs7;37;2=[Hgcl;Uhu1612g9phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimn9Snw39?12?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkoh?Qcuu>24;563zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd3]oqq:6979:7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`7Yk}}6:>3=>;rnlp42({`n$A`{ct^tlmfcX~ff~ah2>7;2=[Hgcl;Ugyy2>3?12?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkoh?Qcuu>20;563zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd3]oqq:6=79:7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`7Yk}}6::3=?;rnlp42({`n$A`{ct^tlmfcX~ff~ah2>7;2=[Hgcl;Ugyy2>>228wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhno>Rbzt=0=75=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmij=_mww868482ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde0\hpr;<79;7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`7Yk}}6>2>>4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc:Vf~x181319phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimn9Sa{{<6<04>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhi6:;0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg6Z~hz5;;2>?4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc:Vrd~1?>>238wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhno>Rv`r=31:67<{ee=9!|ie-NipjsWebohQyomwna970294TAljk2^zlv9746:;0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg6Z~hz5;?2>?4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc:Vrd~1?:>238wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhno>Rv`r=35:67<{ee=9!|ie-NipjsWebohQyomwna970294TAljk2^zlv9706::0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg6Z~hz5;5?=5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]Neab5Wqey0?0<0:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm8Ttb|33?13?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkoh?Qwos>7:66<{ee=9!|ie-NipjsWebohQyomwna970294TAljk2^zlv939;91x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef1[}iu4?48<6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a4Xpfx7;3=?;rnlp42({`n$A`{ct^tlmfcX~ff~ah2>7;2=[Hgcl;Usc27>228wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhno>Rv`r=;=6g=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmijP110`?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkohR??13`8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhnoS7;2=[HgclV;9>n5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]NeabX9;;9n6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`aY6;;i0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg[456:k1x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef\514d3zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd^3757d<{ee=9!|ie-NipjsWebohQyomwna970294TAljk_071g>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhiQ>500a?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkohR?92c9phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimnT><7;2=[HgclV99n6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`aY49;k0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg[14e3zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd^626d=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmijP53`8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhnoS8?=a:qokq73'zco#@czlu]uklebWegy`k31683:ZKflmU=>o5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]NeabX>88j7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`Z15j2ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde]457g<{ee=9!|ie-NipjsWebohQyomwna970294TAljk_90a?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkohR6>2`9phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimnT5?l4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\IdbcW0;9j6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`aYdq5;;2?h4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\IdbcWjs7=<0=f:qokq73'zco#@czlu]uklebWegy`k31683:ZKflmUhu1?=>3d8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhnoSnw312<1b>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhiQly=37:7`<{ee=9!|ie-NipjsWebohQyomwna970294TAljk_b{?5085n2ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde]`}9716;o0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg[f;;78n7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`Ze~4=49i6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`aYdq5?5>h5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]NeabXkp6=2?k4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\IdbcWjs7;37;2=[HgclVir050=e:qokq73'zco#@czlu]uklebWegy`k31683:ZKflmUhu171319phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimnT`xz311<04>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhiQcuu>25;573zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd^nvp9756::0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg[iss4895>k5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]NeabXd|~7?37;2=[HgclVf~x1:12g9phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimnT`xz35?0e?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkohRbzt=4=6c=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmijPltv?3;4a3zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd^nvp9>9:o1x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef\hpr;179;7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`Z~hz5;;2>>4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\IdbcWqey0ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhiQwos>27;573zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd^zlv9736::0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg[}iu48?5?=5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]NeabXpfx7=;0=f:qokq73'zco#@czlu]uklebWegy`k31683:ZKflmUsc2<>3d8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhnoSua}<5<1b>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhiQwos>6:7`<{ee=9!|ie-NipjsWebohQyomwna970294TAljk_ymq8385n2ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde]{kw:06;l0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg[}iu4149j6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`aYg{6222_@vw[acdW;;h7~b`t06,wlb(^fchiR?PAuv\``eX;8i0aa{15-pma)Qg`inS4.qj`*PhajoT=RO{t^ffgZ36k2ygcy?;/rkg+SinklU:SLz{_eg`[37d3zfdx<: shf,RjodmV;TMyzPdda\34b<{ee=9!|ie-UklebW8UHccz}om>3:4b<{ee=9!|ie-UklebW8UHccz}om>2:4b<{ee=9!|ie-UklebW8UHccz}om>1:4b<{ee=9!|ie-UklebW8UHccz}om>0:4b<{ee=9!|ie-UklebW8UHccz}om>7:4b<{ee=9!|ie-UklebW8UHccz}om>6:4b<{ee=9!|ie-UklebW8UHccz}om>5:4b<{ee=9!|ie-UklebW8UHccz}om>4:4e<{ee=9!|ie-UklebW8UHccz}om]35f=tdf~:8"}fd.TlmfcX9VIdby|`l^32g>ukg};?#~gk/Wmjg`Y6WJeexac_33`?vjh|8>$dj Vnk`aZ7XKfd~bbP30a8wiis9=%xei!Yohaf[4YDgg~ycaQ;1b9phjr6<&ybh"X`ibg\5ZEhf}xd`R;>c:qokq73'zco#[afcd]2[Fii|{egS;?l;rnlp42({`n$Zbgle^3\GjhszffT;2_GpfuZnnW;;h7~b`t06,wlb(^fchiR?PFsgr[moX;8i0aa{15-pma)Qg`inS4.qj`*PhajoT=RH}ep]kmZ36k2ygcy?;/rkg+SinklU:SK|jq^jj[37d3zfdx<: shf,RjodmV;TJk~_ik\34b<{ee=9!|ie-UklebW8UM~hPln>3:4b<{ee=9!|ie-UklebW8UM~hPln>2:4b<{ee=9!|ie-UklebW8UM~hPln>1:4b<{ee=9!|ie-UklebW8UM~hPln>0:4b<{ee=9!|ie-UklebW8UM~hPln>7:4b<{ee=9!|ie-UklebW8UM~hPln>6:4b<{ee=9!|ie-UklebW8UM~hPln>5:4b<{ee=9!|ie-UklebW8UM~hPln>4:4e<{ee=9!|ie-UklebW8UM~hPln]35f=tdf~:8"}fd.TlmfcX9VLyi|Qco^32g>ukg};?#~gk/Wmjg`Y6WOxn}Rb`_33`?vjh|8>$dj Vnk`aZ7XN{ozSaaP30a8wiis9=%xei!Yohaf[4YAzl{T`bQ;1b9phjr6<&ybh"X`ibg\5Z@umxUgcR;>c:qokq73'zco#[afcd]2[CtbyVfdS;?l;rnlp42({`n$Zbgle^3\BwcvWeeT;_Qu\ll:668h0aa{15-pma)Qg`inS0:4g<{ee=9!|ie-UklebW8U[{Rff_13b?vjh|8>$dj Vnk`aZ7XX~UceR?>a:qokq73'zco#[afcd]2[UqX``U9=l5|lnv20*unl&\denkP1^Rt[moX;8h0aa{15-pma)Qg`inS2:4d<{ee=9!|ie-UklebW8U[{Rb`<3<2f>ukg};?#~gk/Wmjg`Y6WY}T`b2<>0`8wiis9=%xei!Yohaf[4YWVfd090>b:qokq73'zco#[afcd]2[UqXdf6>2_Qu\hj:068k0aa{15-pma)Qg`inSukg};?#~gk/Wmjg`Y6WY}T`bQ=1`9phjr6<&ybh"X`ibg\5ZVpWeeT?0f8wiis9=%xei!Yohaf[4YT}zUYia2>>0f8wiis9=%xei!Yohaf[4YT}zUYia2=>0f8wiis9=%xei!Yohaf[4YT}zUYia2<>0f8wiis9=%xei!Yohaf[4YT}zUYia2;>0f8wiis9=%xei!Yohaf[4YT}zUYia2:>0f8wiis9=%xei!Yohaf[4YT}zUYia29>0f8wiis9=%xei!Yohaf[4YT}zUYia28>0a8wiis9=%xei!Yohaf[4YT}zUYiaQ?1b9phjr6<&ybh"X`ibg\5ZUr{VXn`R?>c:qokq73'zco#[afcd]2[VstW[ogS??l;rnlp42({`n$Zbgle^3\WpuXZlfT?_Rwp[WckW?;h7~b`t06,wlb(^fchiR?PStq\V`jX?8n0aa{15-pma)Qg`inS?QNtu]gaf:768n0aa{15-pma)Qg`inS?QNtu]gaf:668n0aa{15-pma)Qg`inS?QNtu]gaf:568n0aa{15-pma)Qg`inS?QNtu]gaf:468n0aa{15-pma)Qg`inS?QNtu]gaf:368n0aa{15-pma)Qg`inS?QNtu]gaf:268n0aa{15-pma)Qg`inS?QNtu]gaf:168n0aa{15-pma)Qg`inS?QNtu]gaf:068i0aa{15-pma)Qg`inS?QNtu]gafY79j1x`bz>4.qj`*PhajoT>RO{t^ffgZ76k2ygcy?;/rkg+SinklU9SLz{_eg`[77d3zfdx<: shf,RjodmV8TMyzPdda\74e<{ee=9!|ie-UklebW;UJxyQkeb]75f=tdf~:8"}fd.TlmfcX:VKxRjjc^72g>ukg};?#~gk/Wmjg`Y5WH~Sikl_73`?vjh|8>$dj Vnk`aZ4XI}~ThhmP70f8wiis9=%xei!Yohaf[7YDgg~yca2?>0f8wiis9=%xei!Yohaf[7YDgg~yca2>>0f8wiis9=%xei!Yohaf[7YDgg~yca2=>0f8wiis9=%xei!Yohaf[7YDgg~yca2<>0f8wiis9=%xei!Yohaf[7YDgg~yca2;>0f8wiis9=%xei!Yohaf[7YDgg~yca2:>0f8wiis9=%xei!Yohaf[7YDgg~yca29>0f8wiis9=%xei!Yohaf[7YDgg~yca28>0a8wiis9=%xei!Yohaf[7YDgg~ycaQ?1b9phjr6<&ybh"X`ibg\6ZEhf}xd`R?>c:qokq73'zco#[afcd]1[Fii|{egS??l;rnlp42({`n$Zbgle^0\GjhszffT?4.qj`*PhajoT>RH}ep]kmZ76k2ygcy?;/rkg+SinklU9SK|jq^jj[77d3zfdx<: shf,RjodmV8TJk~_ik\74e<{ee=9!|ie-UklebW;UM~hPhh]75f=tdf~:8"}fd.TlmfcX:VLyi|Qgi^72g>ukg};?#~gk/Wmjg`Y5WOxn}Rff_73`?vjh|8>$dj Vnk`aZ4XN{ozSegP70f8wiis9=%xei!Yohaf[7YAzl{T`b2?>0f8wiis9=%xei!Yohaf[7YAzl{T`b2>>0f8wiis9=%xei!Yohaf[7YAzl{T`b2=>0f8wiis9=%xei!Yohaf[7YAzl{T`b2<>0f8wiis9=%xei!Yohaf[7YAzl{T`b2;>0f8wiis9=%xei!Yohaf[7YAzl{T`b2:>0f8wiis9=%xei!Yohaf[7YAzl{T`b29>0f8wiis9=%xei!Yohaf[7YAzl{T`b28>0a8wiis9=%xei!Yohaf[7YAzl{T`bQ?1b9phjr6<&ybh"X`ibg\6Z@umxUgcR?>c:qokq73'zco#[afcd]1[CtbyVfdS??l;rnlp42({`n$Zbgle^0\BwcvWeeT?2:4d<{ee=9!|ie-UklebW;U[{Rff<3<2f>ukg};?#~gk/Wmjg`Y5WY}Tdd2<>0c8wiis9=%xei!Yohaf[7YWVbbS=?n;rnlp42({`n$Zbgle^0\TrYoaV;:m6}cou37+voc'_ebohQ=_Qu\llY59h1x`bz>4.qj`*PhajoT>R^x_ik\74d<{ee=9!|ie-UklebW;U[{Rb`<1<2f>ukg};?#~gk/Wmjg`Y5WY}T`b2>>0`8wiis9=%xei!Yohaf[7YWVfd0?0>b:qokq73'zco#[afcd]1[UqXdf6824:4g<{ee=9!|ie-UklebW;U[{Rb`_13b?vjh|8>$dj Vnk`aZ4XX~UgcR?>a:qokq73'zco#[afcd]1[UqXdfU9=l5|lnv20*unl&\denkP2^Rt[iiX;8k0aa{15-pma)Qg`inS?Q_w^nl[17f3zfdx<: shf,RjodmV8T\zQco^72e>ukg};?#~gk/Wmjg`Y5WY}T`bQ91`9phjr6<&ybh"X`ibg\6ZVpWeeT;24.qj`*PhajoT>R]zs^PfhZ36k2ygcy?;/rkg+SinklU9S^{|_Sgo[37d3zfdx<: shf,RjodmV8T_x}PRdn\34b<{ee=9!|ie-UklebW:UJxyQkeb>3:4b<{ee=9!|ie-UklebW:UJxyQkeb>2:4b<{ee=9!|ie-UklebW:UJxyQkeb>1:4b<{ee=9!|ie-UklebW:UJxyQkeb>0:4b<{ee=9!|ie-UklebW:UJxyQkeb>7:4b<{ee=9!|ie-UklebW:UJxyQkeb>6:4b<{ee=9!|ie-UklebW:UJxyQkeb>5:4b<{ee=9!|ie-UklebW:UJxyQkeb>4:4e<{ee=9!|ie-UklebW:UJxyQkeb]35f=tdf~:8"}fd.TlmfcX;VKxRjjc^32g>ukg};?#~gk/Wmjg`Y4WH~Sikl_33`?vjh|8>$dj Vnk`aZ5XI}~ThhmP30a8wiis9=%xei!Yohaf[6YF|}UoinQ;1b9phjr6<&ybh"X`ibg\7ZGs|VnnoR;>c:qokq73'zco#[afcd]0[DrsWmohS;?l;rnlp42({`n$Zbgle^1\EqrXlliT;2QLoovqkiY39j1x`bz>4.qj`*PhajoT?RM`nuplhZ36k2ygcy?;/rkg+SinklU8SNaatsmo[37d3zfdx<: shf,RjodmV9TOb`{rnn\34b<{ee=9!|ie-UklebW:UM~hPhh>3:4b<{ee=9!|ie-UklebW:UM~hPhh>2:4b<{ee=9!|ie-UklebW:UM~hPhh>1:4b<{ee=9!|ie-UklebW:UM~hPhh>0:4b<{ee=9!|ie-UklebW:UM~hPhh>7:4b<{ee=9!|ie-UklebW:UM~hPhh>6:4b<{ee=9!|ie-UklebW:UM~hPhh>5:4b<{ee=9!|ie-UklebW:UM~hPhh>4:4e<{ee=9!|ie-UklebW:UM~hPhh]35f=tdf~:8"}fd.TlmfcX;VLyi|Qgi^32g>ukg};?#~gk/Wmjg`Y4WOxn}Rff_33`?vjh|8>$dj Vnk`aZ5XN{ozSegP30a8wiis9=%xei!Yohaf[6YAzl{TddQ;1b9phjr6<&ybh"X`ibg\7Z@umxUceR;>c:qokq73'zco#[afcd]0[CtbyVbbS;?l;rnlp42({`n$Zbgle^1\BwcvWacT;2QIrds\hjY39j1x`bz>4.qj`*PhajoT?RH}ep]okZ36k2ygcy?;/rkg+SinklU8SK|jq^nl[37d3zfdx<: shf,RjodmV9TJk~_mm\34d<{ee=9!|ie-UklebW:U[{Rff<1<2f>ukg};?#~gk/Wmjg`Y4WY}Tdd2>>0`8wiis9=%xei!Yohaf[6YWVbb0?0>b:qokq73'zco#[afcd]0[UqX``682b:qokq73'zco#[afcd]0[UqXdf6:2Q_w^nl8186j2ygcy?;/rkg+SinklU8S]yPln>6:4d<{ee=9!|ie-UklebW:U[{Rb`<7<2f>ukg};?#~gk/Wmjg`Y4WY}T`b28>0c8wiis9=%xei!Yohaf[6YWVfdS=?n;rnlp42({`n$Zbgle^1\TrYkgV;:m6}cou37+voc'_ebohQ<_Qu\hjY59h1x`bz>4.qj`*PhajoT?R^x_mm\74g<{ee=9!|ie-UklebW:U[{Rb`_53b?vjh|8>$dj Vnk`aZ5XX~UgcR;>a:qokq73'zco#[afcd]0[UqXdfU==l5|lnv20*unl&\denkP3^Rt[iiX?8n0aa{15-pma)Qg`inS>Q\ur]Qai:768n0aa{15-pma)Qg`inS>Q\ur]Qai:668n0aa{15-pma)Qg`inS>Q\ur]Qai:568n0aa{15-pma)Qg`inS>Q\ur]Qai:468n0aa{15-pma)Qg`inS>Q\ur]Qai:368n0aa{15-pma)Qg`inS>Q\ur]Qai:268n0aa{15-pma)Qg`inS>Q\ur]Qai:168n0aa{15-pma)Qg`inS>Q\ur]Qai:068i0aa{15-pma)Qg`inS>Q\ur]QaiY79j1x`bz>4.qj`*PhajoT?R]zs^PfhZ76k2ygcy?;/rkg+SinklU8S^{|_Sgo[77d3zfdx<: shf,RjodmV9T_x}PRdn\74e<{ee=9!|ie-UklebW:UXy~Q]em]75f=tdf~:8"}fd.TlmfcX;VY~R\jl^72g>ukg};?#~gk/Wmjg`Y4WZxS_kc_73`?vjh|8>$dj Vnk`aZ5X[|yT^hbP70f8wiis9=%xei!PXMLN[DBCM[U_^HKP00f8wiis9=%xei!PXMLN[DBCM[U_^HKP10g8wiis9=%xei!PXMLN[DBCM[U_^HKP113g?vjh|8>$dj _YNMIZGCLLXTX_KJ_33g?vjh|8>$dj _YNMIZGCLLXTX_KJ_23g?vjh|8>$dj _YNMIZGCLLXTX_KJ_53g?vjh|8>$dj _YNMIZGCLLXTX_KJ_43g?vjh|8>$dj _YNMIZGCLLXTX_KJ_73g?vjh|8>$dj _YNMIZGCLLXTX_KJ_63g?vjh|8>$dj _YNMIZGCLLXTX_KJ_93g?vjh|8>$dj _YNMIZGCLLXTX_KJ_832?vjh|8>$dj _o2763753zfdx<: shf,[k63:?;:96}cou37+voc'Vd;8>=Piot22>ukg};?#~gk/^l3065Xag|:=:5|lnv20*unl&Ue<9=<_hlu54723zfdx<: shf,[k63<9Ubb{?9;rnlp42({`n$Sc>;41]jjs76=2ygcy?;/rkg+Zh7<==Tecx>6:qokq73'zco#R`?455\mkp69<1x`bz>4.qj`*Yi8=??Sd`y179phjr6<&ybh"Qa0577[lhq98?0aa{15-pma)Xf9>==Rgav048wiis9=%xei!Pn1655Zoi~8;>7~b`t06,wlb(Wg:?:5Qfnw35?vjh|8>$dj _o272=Ynf;:96}cou37+voc'Vd;8:;Piot22>ukg};?#~gk/^l3023Xag|:=85|lnv20*unl&Ue<96=_hlu53=tdf~:8"}fd.]m41>5W`d}=<;4smmw51)tam%Tb=:79^kmr40<{ee=9!|ie-\j52?1Vcez;97]jjs713zfdx<: shf,[k631?Ubb{?>5:qokq73'zco#R`?511\mkp6>2ygcy?;/rkg+Zh7=99Tecx>149phjr6<&ybh"Qa0433[lhq9?1x`bz>4.qj`*Yi8<;;Sd`y1078wiis9=%xei!Pn1723Zoi~8<0aa{15-pma)Xf9?:;Rgav036?vjh|8>$dj _o2661Ynf;=7~b`t06,wlb(Wg:>>9Qfnw321>ukg};?#~gk/^l3167Xag|::6}cou37+voc'Vd;9>?Piot250=tdf~:8"}fd.]m405?W`d}=;5|lnv20*unl&Ue<8=7_hlu541<{ee=9!|ie-\j5340Vcez6:qokq73'zco#R`?52:\mkp59<1x`bz>4.qj`*Yi8<>>Sd`y179phjr6<&ybh"Qa0466[lhq98?0aa{15-pma)Xf9?>>Rgav048wiis9=%xei!Pn1766Zoi~8;>7~b`t06,wlb(Wg:>94Qfnw35?vjh|8>$dj _o261ukg};?#~gk/^l3125Xag|:56}cou37+voc'Vd;9:=Piot\WR66=2ygcy?;/rkg+Zh7=18Tecx>6:qokq73'zco#R`?590\mkp6:h1x`bz>4.qj`*gcl{Q?QRIAD^001ZiXe|rT9:Q@UU>3:7c<{ee=9!|ie-b`at\ukg};?#~gk/`fgv^2ZWNDOS?=:_n]nq}Y2?VE^X1?1_RU36d=tdf~:8"}fd.cg`w]3UVMEHR<<5^m\ip~X=>UDYY2=>3g8wiis9=%xei!ndepX0XY@FMU9?8Q`_lw{[01XG\^7>3Q\W10b?vjh|8>$dj aefq_1[XOGNT>>;Po^ov|Z30WF__0>0=e:qokq73'zco#ljkrZ6^[BHCW;9>SbQbuy]63ZIR\595S^Y?2`9phjr6<&ybh"okdsY7YZAILV889RaPmtz\12YH]]6?2?k4smmw51)tam%jhi|T4\]DJAY5;l5|lnv20*unl&kohU;]^EM@Z44=VeTaxvP56]LQQ:16;o0aa{15-pma)flmxP8PQHNE]170YhWdsS89POTV?2;YT_98j7~b`t06,wlb(imnyW9SPGOF\663XgVg~tR;8_NWW8285m2ygcy?;/rkg+dbczR>VSJ@K_316[jYj}qU>;RAZT=5=[VQ79=1x`bz>4.qj`*bhWkm0=0>4:qokq73'zco#iaPbtd?5;733zfdx<: shf,`jYe}o692<:4smmw51)tam%ocRlzf=1=51=tdf~:8"}fd.fl[gsa4=4:86}cou37+voc'meTnxh35?37?vjh|8>$dj dn]aqc:168>0aa{15-pma)cgVh~j191129phjr6<&ybh"j`_cwe[5743zfdx<: shf,`jYe}oU:=>5|lnv20*unl&ndSo{i_330?vjh|8>$dj dn]aqcY49:1x`bz>4.qj`*bhWkmS9?<;rnlp42({`n$hbQmug]656=tdf~:8"}fd.fl[gsaW?;87~b`t06,wlb(lfUiykQ8169phjr6<&ybh"}fd^tlmfcX9&8:7~b`t06,wlb({`nTzbgle^3,@`eXzlf7<3<>;rnlp42({`n$djPvnk`aZ7(LliT~hb31?02?vjh|8>$dj shf\rjodmV;$HhmPrdn?6;463zfdx<: shf,wlbX~fchiR? Dda\v`j;;78j7~b`t06,wlb({`nTzbgle^3,CKBX::3TcRGAV^577Zi5j2ygcy?;/rkg+vocWebohQ>/FLG[75>WfUBB[Q842]l67`<{ee=9!|ie-pmaYqg`inS/FLG[75>WfUoe{gkes]`kphsm{Q:;PQjqtco[07Xg=90aa{15-pma)tamU}cdmj_0-DJAY5;0UdSigyiegq[firf}oyW<9R_dsveiY29Ve7=:0;4:qokq73'zco#~gk_wmjg`Y6'NDOS?=6_n]gmsocm{Uhcx`{esY23XYby|kgS8?Po=34:4233zfdx<: shf,wlbX~fchiR? GOF\66?XgVnbzdjjr^alqkrbzR;9:4smmw51)tam%xeiQyohaf[4)@FMU9?4Q`_ekumacuWje~byk}[05^[`wrieU>=Ra316<001=tdf~:8"}fd.qj`ZphajoT="IAD^00=ZiXl`|bhh|Pcnwmp`t\9>WTi|{nl^72[j:6?7>?86}cou37+voc'zcoS{afcd]2+BHCW;92SbQkiwkgawYqienW<=R_rgoafrX>?UDYY2>0?6;?vjh|8>$dj shf\rjodmV;$KCJP22;\kZbn~`nn~RxnltgX56[X{lfnoyQ96^MVP9776VY\<9:4smmw51)tam%xeiQyohaf[4)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<03=0==tdf~:8"}fd.qj`ZphajoT="IAD^00=ZiXl`|bhh|Pv`nva^74UVyn`hm{_74\KPR;984T_Z>;4:qokq73'zco#~gk_wmjg`Y6'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>26;2?3zfdx<: shf,wlbX~fchiR? GOF\66?XgVnbzdjjr^tbhpc\9:WThbjcu]52ZIR\5;92R]X0568wiis9=%xei!|ie]uklebW8%LBIQ=38]l[aoqamoyS{ocudY27XYtmeohxR89_NWW8459<11x`bz>4.qj`*unlV|denkP1.EM@Z441VeThdxfddp\rdjrmR;8QR}jldaw[30XG\^7=>0PSV270>ukg};?#~gk/rkg[sinklU:#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:6<7>37~b`t06,wlb({`nTzbgle^3,CKBX::3TcRjfvhffvZpfd|oP=>SPsdnfgqY1>VE^X1?;>^QT412<{ee=9!|ie-pmaYqg`inS/FLG[75>WfUoe{gkes]ueisbS89VS~kcebv\23YH]]6::3::;rnlp42({`n$djPvnk`aZ7(OGNT>>7Po^fjrlbbzV|j`xkT12_\w`jbk}U=:RAZT=35:4233zfdx<: shf,wlbX~fchiR? GOF\66?XgVnbzdjjr^tbhpc\9:WThbjcu]52ZIR\5;<29;4smmw51)tam%xeiQyohaf[4)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<05=515<{ee=9!|ie-pmaYqg`inS?UDYY2>>060?vjh|8>$dj shf\rjodmV;$KCJP22;\kZbn~`nn~RxnltgX56[X{lfnoyQ96^MVP949<=1x`bz>4.qj`*unlV|denkP1.EM@Z441VeThdxfddp\rdjrmR;8QR}jldaw[30XG\^7>3?;3:qokq73'zco#~gk_wmjg`Y6'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>0:11<{ee=9!|ie-pmaYqg`inS;3:qokq73'zco#~gk_wmjg`Y6'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>7:11<{ee=9!|ie-pmaYqg`inS;3:qokq73'zco#~gk_wmjg`Y6'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>6:11<{ee=9!|ie-pmaYqg`inS;3:qokq73'zco#~gk_wmjg`Y6'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>5:11<{ee=9!|ie-pmaYqg`inS;3:qokq73'zco#~gk_wmjg`Y6'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>4:11<{ee=9!|ie-pmaYqg`inS4T_Z>;3:qokq73'zco#~gk_wmjg`Y6'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>;:11<{ee=9!|ie-pmaYqg`inS;3:qokq73'zco#~gk_wmjg`Y6'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>::11<{ee=9!|ie-pmaYqg`inS7\]OavuS`hdT94Q`3g9phjr6<&ybh"}fd^tlmfcX9&MEHR<<9^m\akpXkfexh|T16_\H`ut\akeS87Po360?vjh|8>$dj shf\rjodmV;$KCJP22;\kZci~VidyczjrZ34YZJb{z^cmcQ:9^m1[VQ7;o1x`bz>4.qj`*unlV|denkP1.EM@Z441VeTicxPcnwmp`t\9>WT@h}|Ticm[37Xg:>;7~b`t06,wlb({`nTzbgle^3,CKBX::3TcRkav^alqkrbzR;h4smmw51)tam%xeiQyohaf[4)@FMU9?4Q`_dlu[firf}oyW<9R_MgpwQnffV<>Sb?>e:qokq73'zco#~gk_wmjg`Y6'ELX^1>11g9phjr6<&ybh"}fd^tlmfcX9&FM__2>0?3e?vjh|8>$dj shf\rjodmV;$@K]]<03=5c=tdf~:8"}fd.qj`ZphajoT="BISS>26;7a3zfdx<: shf,wlbX~fchiR? LGQQ84599o1x`bz>4.qj`*unlV|denkP1.NEWW:6<7;m7~b`t06,wlb({`nTzbgle^3,HCUU48?5=k5|lnv20*unl&ybhRx`ibg\5*JA[[6::3?i;rnlp42({`n$djPvnk`aZ7(DOYY0<911g9phjr6<&ybh"}fd^tlmfcX9&FM__2>8?3e?vjh|8>$dj shf\rjodmV;$@K]]<0;=5`=tdf~:8"}fd.qj`ZphajoT="BISS>2:4`<{ee=9!|ie-pmaYqg`inS/MDPV94668l0aa{15-pma)tamU}cdmj_0-OBVT;:;4:i6}cou37+voc'zcoS{afcd]2+I@TZ585=h5|lnv20*unl&ybhRx`ibg\5*JA[[682e:qokq73'zco#~gk_wmjg`Y6'ELX^1811d9phjr6<&ybh"}fd^tlmfcX9&FM__28>0g8wiis9=%xei!|ie]uklebW8%GJ^\38?3f?vjh|8>$dj shf\rjodmV;$@K]]<8<03>ukg};?#~gk/rkg[sinklU:#AH\RZ01YZAILV885RaPmtz\53YH]]6;2>64smmw51)tam%xeiQyohaf[4)KNZXP>?SPGOF\66?XgVg~tR?9_NWW8469;11x`bz>4.qj`*unlV|denkP1.NEWW]5:TULBIQ=38]l[hsW8ukg};?#~gk/rkg[sinklU:#AH\RZ01YZAILV885RaPmtz\53YH]]6:>3=7;rnlp42({`n$djPvnk`aZ7(DOYYW?WfUfyuQ>6^MVP9746:20aa{15-pma)tamU}cdmj_0-OBVT\:;WTKCJP22;\kZkrpV;=SB[[<06=7==tdf~:8"}fd.qj`ZphajoT="BISSY16XY@FMU9?4Q`_lw{[40XG\^7=80<8:qokq73'zco#~gk_wmjg`Y6'ELX^V<=]^EM@Z441VeTaxvP17]LQQ:6>7937~b`t06,wlb({`nTzbgle^3,HCUUS;8VSJ@K_31:[jYj}qU::RAZT=34:6><{ee=9!|ie-pmaYqg`inS>7Po^ov|Z71WF__0<61399phjr6<&ybh"}fd^tlmfcX9&FM__U=2\]DJAY5;0UdS`{w_04\KPR;9048;6}cou37+voc'zcoS{afcd]2+I@TZR89QRIAD^00=ZiXe|rT=;Q@UU>2:6><{ee=9!|ie-pmaYqg`inS>7Po^ov|Z71WF__0?>1399phjr6<&ybh"}fd^tlmfcX9&FM__U=2\]DJAY5;0UdS`{w_04\KPR;:84846}cou37+voc'zcoS{afcd]2+I@TZR89QRIAD^00=ZiXe|rT=;Q@UU>16;503zfdx<: shf,wlbX~fchiR? LGQQ_74ZWNDOS?=6_n]nq}Y6>VE^X1<1369phjr6<&ybh"}fd^tlmfcX9&FM__U=2\]DJAY5;0UdS`{w_04\KPR;;79<7~b`t06,wlb({`nTzbgle^3,HCUUS;8VSJ@K_31:[jYj}qU::RAZT=6=72=tdf~:8"}fd.qj`ZphajoT="BISSY16XY@FMU9?4Q`_lw{[40XG\^793=8;rnlp42({`n$djPvnk`aZ7(DOYYW?WfUfyuQ>6^MVP909;>1x`bz>4.qj`*unlV|denkP1.NEWW]5:TULBIQ=38]l[hsW8$dj shf\rjodmV;$@K]][30^[BHCW;92SbQbuy]22ZIR\525?:5|lnv20*unl&ybhRx`ibg\5*JA[[Q9>PQHNE]17/MDPVZ769l1x`bz>4.qj`*unlV|denkP1.NEWWY6:8o0aa{15-pma)tamU}cdmj_0-OBVTX9:;n7~b`t06,wlb({`nTzbgle^3,HCUUW8>:i6}cou37+voc'zcoS{afcd]2+I@TZV;>=h5|lnv20*unl&ybhRx`ibg\5*JA[[U::e:qokq73'zco#~gk_wmjg`Y6'ELX^R?61e9phjr6<&ybh"}fd^tlmfcX9&FM__Q=1d9phjr6<&ybh"}fd^tlmfcX9&FM__Q=00g8wiis9=%xei!|ie]uklebW8%GJ^\P203f?vjh|8>$dj shf\rjodmV;$@K]]_302`>ukg};?#~gk/rkg[sinklU:#AH\R^12`>ukg};?#~gk/rkg[sinklU:#AH\R^62`>ukg};?#~gk/rkg[sinklU:#AH\R^72`>ukg};?#~gk/rkg[sinklU:#AH\R^42`>ukg};?#~gk/rkg[sinklU:#AH\R^52`>ukg};?#~gk/rkg[sinklU:#AH\R^:2`>ukg};?#~gk/rkg[sinklU:#AH\R^;1b>ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pcx>3:66<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[f;9848<6}cou37+voc'zcoS{afcd]2+Hgdk|gTmnmzmtnbpjtXkp6:>3=?;rnlp42({`n$djPvnk`aZ7(Ehihy`Qncbwnqigsg{Uhu1?<>228wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rmv<06=75=tdf~:8"}fd.qj`ZphajoT="Cncbwn[ded}dgmya}_b{?508482ygcy?;/rkg+vocWebohQ>/Lc`gpkXiji~axbntnp\g|:6>7987~b`t06,wlb({`nTzbgle^3,Ided}dUjon{bumcwkwYdq5;=2R|{319phjr6<&ybh"}fd^tlmfcX9&Gjon{b_`a`qhski}eySnw316<07>ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pcx>23;Yu|::0aa{15-pma)tamU}cdmj_0-NefereVkhoxczl`vlvZe~4825?>5|lnv20*unl&ybhRx`ibg\5*KfkjfSlmlulwoeqiuWjs7=50Pru13?vjh|8>$dj shf\rjodmV;$Almlul]bgfsj}ekcQly=3::65<{ee=9!|ie-pmaYqg`inS>4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVir0?>1329phjr6<&ybh"}fd^tlmfcX9&Gjon{b_`a`qhski}eySnw321<\vq573zfdx<: shf,wlbX~fchiR? M`a`qhYfkjfyao{os]`}9466:90aa{15-pma)tamU}cdmj_0-NefereVkhoxczl`vlvZe~4;;5Sz<0:qokq73'zco#~gk_wmjg`Y6'DkhoxcPabavipjf|fxTot2=2?10?vjh|8>$dj shf\rjodmV;$Almlul]bgfsj}ekcQly=01:Zts:o1x`bz>4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[f;:78m7~b`t06,wlb({`nTzbgle^3,Ided}dUjon{bumcwkwYdq595>k5|lnv20*unl&ybhRx`ibg\5*KfkjfSlmlulwoeqiuWjs783$dj shf\rjodmV;$Almlul]bgfsj}ekcQly=5=6c=tdf~:8"}fd.qj`ZphajoT="Cncbwn[ded}dgmya}_b{?<;4a3zfdx<: shf,wlbX~fchiR? M`a`qhYfkjfyao{os]`}9?9;91x`bz>4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[iss4948=6}cou37+voc'zcoS{afcd]2+Hgdk|gTmnmzmtnbpjtXd|~7==0<1:qokq73'zco#~gk_wmjg`Y6'DkhoxcPabavipjf|fxT`xz310<05>ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pltv?578492ygcy?;/rkg+vocWebohQ>/Lc`gpkXiji~axbntnp\hpr;9:48=6}cou37+voc'zcoS{afcd]2+Hgdk|gTmnmzmtnbpjtXd|~7=90<1:qokq73'zco#~gk_wmjg`Y6'DkhoxcPabavipjf|fxT`xz314<04>ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pltv?5;573zfdx<: shf,wlbX~fchiR? M`a`qhYfkjfyao{os]oqq:56::0aa{15-pma)tamU}cdmj_0-NefereVkhoxczl`vlvZjr|595?=5|lnv20*unl&ybhRx`ibg\5*KfkjfSlmlulwoeqiuWe090<0:qokq73'zco#~gk_wmjg`Y6'DkhoxcPabavipjf|fxT`xz35?13?vjh|8>$dj shf\rjodmV;$Almlul]bgfsj}ekcQcuu>5:66<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[iss4148<6}cou37+voc'zcoS{afcd]2+Hgdk|gTmnmzmtnbpjtXd|~753=?;rnlp42({`n$djPvnk`aZ7(Ehihy`Qncbwnqigsg{Usc2?>238wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rv`r=33:67<{ee=9!|ie-pmaYqg`inS?4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVrd~1?<>238wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rv`r=37:67<{ee=9!|ie-pmaYqg`inS?4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVrd~1?8>238wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rv`r=3;:67<{ee=9!|ie-pmaYqg`inS6::0aa{15-pma)tamU}cdmj_0-NefereVkhoxczl`vlvZ~hz5;5?<5|lnv20*unl&ybhRx`ibg\5*KfkjfSlmlulwoeqiuWqey0?>1309phjr6<&ybh"}fd^tlmfcX9&Gjon{b_`a`qhski}eySua}<33=74=tdf~:8"}fd.qj`ZphajoT="Cncbwn[ded}dgmya}_ymq8749;81x`bz>4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[}iu4;95?95|lnv20*unl&ybhRx`ibg\5*KfkjfSlmlulwoeqiuWqey0?=1_sv04>ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pxnp?6;573zfdx<: shf,wlbX~fchiR? M`a`qhYfkjfyao{os]{kw:46::0aa{15-pma)tamU}cdmj_0-NefereVkhoxczl`vlvZ~hz5>5?=5|lnv20*unl&ybhRx`ibg\5*KfkjfSlmlulwoeqiuWqey080<0:qokq73'zco#~gk_wmjg`Y6'DkhoxcPabavipjf|fxTtb|36?13?vjh|8>$dj shf\rjodmV;$Almlul]bgfsj}ekcQwos>4:66<{ee=9!|ie-pmaYqg`inS9;91x`bz>4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[}iu404>=6}cou37+voc'zcoS{afcd]2+HeheykyS}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44XgVir0=0:1:qokq73'zco#~gk_wmjg`Y6'Dida}o}_qwow`qnl}bP=>SPLdqpPmgiW88TcRmv<0<65>ukg};?#~gk/rkg[sinklU:#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS</LaliuguWyghyfdujX54[Xiji~axbntnpX66[XDlyxXeoa_00\kZe~4:4>=6}cou37+voc'zcoS{afcd]2+HeheykyS}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44XgVir090:1:qokq73'zco#~gk_wmjg`Y6'Dida}o}_qwow`qnl}bP=>SPLdqpPmgiW88TcRmv<4<66>ukg};?#~gk/rkg[sinklU:#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS<4.qj`*unlV|denkP1.O`khvfzVz~`~kxievk_47ZWhihy`{caumq_75ZWEoxYfnn^31[jYk}}6:28<4smmw51)tam%xeiQyohaf[4)Jkfg{mQumqfslbs`R;:QRolctovhdrhzR88QRBjsrVkekY6:VeT`xz32?71?vjh|8>$dj shf\rjodmV;$Anabp`p\tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`_mww8682:2ygcy?;/rkg+vocWebohQ>/LaliuguWyghyfdujX54[Xiji~axbntnpX66[XDlyxXeoa_00\kZjr|5>59<5|lnv20*unl&ybhRx`ibg\5*Kdgdzj~R~zlrgtmaroS8;VSlmlulwoeqiuS;9VSAk|sUjbjZ75WfUgyyjf539phjr6<&ybh"}fd^tlmfcX9&Ghc`~nr^rvhvcpam~cW2^m\hprca;?97~b`t06,wlb({`nTzbgle^3,IfijxhxT|xb|evkgpm]69TUjon{bumcwkw]5;TUGi~}[h`l\57YhWehd=:2:qokq73'zco#~gk_wmjg`Y6'Dida}o}_qwow`qnl}bP=>SPLdqpPmgiW88TcRbztek776=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[f;879=7~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Uhu1>1_sv00>ukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\g|:6879?7~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Uhu1?>>268wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^az8449;=1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWjs7=>0<4:qokq73'zco#~gk_wmjg`Y6'DidyczPdhtj``tXkfexh|Pcx>20;533zfdx<: shf,wlbX~fchiR? MbmvjqYcacoiQlotlwawYdq5;>2>:4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rmv<04=76=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[f;97987~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Uhu1<1329phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_b{?7;543zfdx<: shf,wlbX~fchiR? MbmvjqYcacoiQlotlwawYdq5>5?>5|lnv20*unl&ybhRx`ibg\5*Kdg|dSigyiegq[firf}oySnw35?10?vjh|8>$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]`}909;:1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWjs7;3=<;rnlp42({`n$djPvnk`aZ7(Eje~byQkiwkgawYdg|diQly=:=76=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[f;17987~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Un}cQ?359phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_dsm[574;2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXmxdT=>:4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rk~n^3370=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[`wiW8::?95|lnv20*unl&ybhRx`ibg\5*Kdg|dSigyiegq[firf}oySha_0301>ukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\athX98;886}cou37+voc'zcoS{afcd]2+Heh}g~Thdxfddp\gjsi|lxTi|`P1316?vjh|8>$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]fukY6:89?7~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Un}cQ>3278wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^grjZ749:>0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVozbR?;349phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_dsm[426;=1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWl{eS<;<5:qokq73'zco#~gk_wmjg`Y6'DidyczPdhtj``tXkfexh|Pepl\5074<2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXmxdT=;=:;rnlp42({`n$djPvnk`aZ7(Eje~byQkiwkgawYdg|diQjqo]224533zfdx<: shf,wlbX~fchiR? MbmvjqYcacoiQlotlwawYbygU:;>;4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rk~n^34565<{ee=9!|ie-pmaYqg`inS$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]fukY4;=1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWl{eS>?<3:qokq73'zco#~gk_wmjg`Y6'DidyczPdhtj``tXkfexh|Pepl\062<{ee=9!|ie-pmaYqg`inS:?>5|lnv20*unl&ybhRx`ibg\5*Kdg|dSigyiegq[firf}oySha_417?vjh|8>$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]fukY29:90aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVozbR8<4:qokq73'zco#~gk_wmjg`Y6'DidyczPdhtj``tXkfexh|Pepl\24543zfdx<: shf,wlbX~fchiR? MbmvjqYcacoiQlotlwawYbygUukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\athX0:>0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVozbR6>329phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_dsm[<533zfdx<: shf,wlbX~fchiR? MbmvjqYcacoiQlotlwawYbygU2=>;4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rbzt=33:6d<{ee=9!|ie-pmaYqg`inSukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\hpr;9848n6}cou37+voc'zcoS{afcd]2+Heh}g~Thdxfddp\gjsi|lxT`xz310<\MKPX8:?0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVf~x1?=>2`8wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^nvp9756VCEZR><5:qokq73'zco#~gk_wmjg`Y6'DidyczPdhtj``tXkfexh|Pltv?5684j2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXd|~7=>0PIOT\463<{ee=9!|ie-pmaYqg`inSl4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rbzt=37:ZOI^V:896}cou37+voc'zcoS{afcd]2+Heh}g~Thdxfddp\gjsi|lxT`xz314<0f>ukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\hpr;9<4TECXP0278wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^nvp9716:h0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVf~x1?9>^KMRZ64=2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXd|~7=:0:4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rbzt=3=7d=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[iss484TECXP0268wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^nvp949;h1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWe0?0PIOT\462<{ee=9!|ie-pmaYqg`inS0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVf~x1:13`9phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_mww818XAG\T<>:4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rbzt=7=7d=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[iss4<4TECXP0268wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^nvp909;h1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWe0;0PIOT\462<{ee=9!|ie-pmaYqg`inS0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVf~x1613`9phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_mww8=8XAG\T<>:4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rbzt=;=7d=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[iss404TECXP0268wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^zlv969;<1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey0<>1349phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_ymq8479;<1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey0<<1349phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_ymq8459;<1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey0<:1349phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_ymq8439;<1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey0<81349phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_ymq8419;=1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey0<0<4:qokq73'zco#~gk_wmjg`Y6'DidyczPdhtj``tXkfexh|Pxnp?6;533zfdx<: shf,wlbX~fchiR? MbmvjqYcacoiQlotlwawYg{682>:4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rv`r=6=71=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[}iu4<4886}cou37+voc'zcoS{afcd]2+Heh}g~Thdxfddp\gjsi|lxTtb|36?17?vjh|8>$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]{kw:06:>0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVrd~161359phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_ymq8<85n2ygcy?;/rkg+vocWebohQ>/LalqkrXmg|Tob{atdp\g|:76::0aa{15-pma)tamU}cdmj_0-Ngjsi|VoezRm`uovfvZe~48:5?=5|lnv20*unl&ybhRx`ibg\5*Kdg|dSh`y_bmvjqcuWjs7=<0<0:qokq73'zco#~gk_wmjg`Y6'DidyczPeot\gjsi|lxTot2>2?13?vjh|8>$dj shf\rjodmV;$Anaznu]fjsYdg|diQly=30:66<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.O`kphsWld}Snaznugq[f;9<48<6}cou37+voc'zcoS{afcd]2+Heh}g~TicxPcnwmp`tXkp6::3$dj shf\rjodmV;$Anaznu]fjsYdg|diQly=1=6c=tdf~:8"}fd.qj`ZphajoT="Clotlw[`hqWje~byk}_b{?0;4a3zfdx<: shf,wlbX~fchiR? MbmvjqYbfUhcx`{es]`}939:o1x`bz>4.qj`*unlV|denkP1.O`kphsWld}Snaznugq[f;>78m7~b`t06,wlb({`nTzbgle^3,Ifirf}Unb{QlotlwawYdq5=5>k5|lnv20*unl&ybhRx`ibg\5*Kdg|dSh`y_bmvjqcuWjs743ukg};?#~gk/rkg[sinklU:#@m`uov\akpXkfexh|Pltv?558492ygcy?;/rkg+vocWebohQ>/LalqkrXmg|Tob{atdp\hpr;9848=6}cou37+voc'zcoS{afcd]2+Heh}g~TicxPcnwmp`tXd|~7=?0<1:qokq73'zco#~gk_wmjg`Y6'DidyczPeot\gjsi|lxT`xz312<05>ukg};?#~gk/rkg[sinklU:#@m`uov\akpXkfexh|Pltv?518492ygcy?;/rkg+vocWebohQ>/LalqkrXmg|Tob{atdp\hpr;9<48=6}cou37+voc'zcoS{afcd]2+Heh}g~TicxPcnwmp`tXd|~7=;0<1:qokq73'zco#~gk_wmjg`Y6'DidyczPeot\gjsi|lxT`xz316<04>ukg};?#~gk/rkg[sinklU:#@m`uov\akpXkfexh|Pltv?5;573zfdx<: shf,wlbX~fchiR? MbmvjqYbfUhcx`{es]oqq:56::0aa{15-pma)tamU}cdmj_0-Ngjsi|VoezRm`uovfvZjr|595?=5|lnv20*unl&ybhRx`ibg\5*Kdg|dSh`y_bmvjqcuWe090<0:qokq73'zco#~gk_wmjg`Y6'DidyczPeot\gjsi|lxT`xz35?13?vjh|8>$dj shf\rjodmV;$Anaznu]fjsYdg|diQcuu>5:66<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.O`kphsWld}Snaznugq[iss4148<6}cou37+voc'zcoS{afcd]2+Heh}g~TicxPcnwmp`tXd|~753=?;rnlp42({`n$djPvnk`aZ7(Eje~byQjnw]`kphsm{Usc2?>238wiis9=%xei!|ie]uklebW8%Fob{at^gmrZeh}g~n~Rv`r=33:67<{ee=9!|ie-pmaYqg`inS?4smmw51)tam%xeiQyohaf[4)JkfexRkav^alqkrbzVrd~1?<>238wiis9=%xei!|ie]uklebW8%Fob{at^gmrZeh}g~n~Rv`r=37:67<{ee=9!|ie-pmaYqg`inS?4smmw51)tam%xeiQyohaf[4)JkfexRkav^alqkrbzVrd~1?8>228wiis9=%xei!|ie]uklebW8%Fob{at^gmrZeh}g~n~Rv`r=3=75=tdf~:8"}fd.qj`ZphajoT="Clotlw[`hqWje~byk}_ymq878482ygcy?;/rkg+vocWebohQ>/LalqkrXmg|Tob{atdp\|jt;;79;7~b`t06,wlb({`nTzbgle^3,Ifirf}Unb{QlotlwawYg{6?2>>4smmw51)tam%xeiQyohaf[4)JkfexRkav^alqkrbzVrd~1;1319phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_dlu[firf}oySua}<7<04>ukg};?#~gk/rkg[sinklU:#@m`uov\akpXkfexh|Pxnp?3;573zfdx<: shf,wlbX~fchiR? MbmvjqYbfUhcx`{es]{kw:?6::0aa{15-pma)tamU}cdmj_0-Ngjsi|VoezRm`uovfvZ~hz5358k5|lnv20*unl&ybhRx`ibg\5*Kj}e~Tdiaam]nq}]6?TUnb{Qlotlwaw]6?TUFyAzP19]LQQYJimnT=:;?;rnlp42({`n$djPvnk`aZ7(EdgxR}fgoco[hsS8=VSh`y_bmvjqcuS8=VS@{Ct^3;[JSSWDkohR?815d8wiis9=%xei!|ie]uklebW8%Faxb{_rkdjdjXe|rP=:SPeot\gjsi|lxP=:SPMtNw[4>XG\^TAljk_0:64>ukg};?#~gk/rkg[sinklU:#@czlu]pmbhfdVg~tV?8]^gmrZeh}g~n~V?8]^OvHqY60VE^XRCnde]2<42a3zfdx<: shf,wlbX~fchiR? MlwopZunogkgS`{w[05^[`hqWje~byk}[05^[HsK|V;3SB[[_Lcg`Z7>=:1x`bz>4.qj`*unlV|denkP1.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]NeabX90UX[=;>;rnlp42({`n$djPvnk`aZ7(EdgxR}fgoco[hsS8=VSh`y_bmvjqcuS8=VS@{Ct^3;[JSSWziaxb{_177?vjh|8>$dj shf\rjodmV;$A`{ct^qjckgkWdsW<9R_dlu[firf}oyW<9R_LwOpZ7?WF__S~{mmtnw[5Y68<>0aa{15-pma)tamU}cdmj_0-NipjsWzclblbPmtzX52[Xmg|Tob{atdpX52[XE|FS<6POTV\wpdj}e~T559phjr6<&ybh"}fd^tlmfcX9&GfyazPshemeiYj}qQ:;PQjnw]`kphsm{Q:;PQBuMv\5=YH]]Uxyoczlu]3[442<2ygcy?;/rkg+vocWebohQ>/LovhqYtandj`RczxZ34YZci~VidyczjrZ34YZKrD}U:4RAZT^qvfhsk|V:T=>;;;rnlp42({`n$djPvnk`aZ7(EdgxR}fgoco[hsS8=VSh`y_bmvjqcuS8=VS@{Ct^3;[JSSWziaxb{_1]2002<{ee=9!|ie-pmaYqg`inS995|lnv20*unl&ybhRx`ibg\5*Kj}e~Tdiaam]nq}]6?TUnb{Qlotlwaw]6?TUFyAzP19]LQQYt}kg~`yQ?_0460>ukg};?#~gk/rkg[sinklU:#@czlu]pmbhfdVg~tV?8]^gmrZeh}g~n~V?8]^OvHqY60VE^XR}zblwopZ6X9>??7~b`t06,wlb({`nTzbgle^3,Ihsk|Vybkcoc_lw{_41ZWld}Snaznugq_41ZWDGxR?7_NWW[vsee|fS=Q>8468wiis9=%xei!|ie]uklebW8%Faxb{_rkdjdjXe|rP=:SPeot\gjsi|lxP=:SPMtNw[4>XG\^Txlbumv\4Z7>=:1x`bz>4.qj`*unlV|denkP1.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]pqgkrd}U;S5;<;rnlp42({`n$djPvnk`aZ7(EdgxR}fgoco[hsS8=VSh`y_bmvjqcuS8=VS@{Ct^3;[JSSWziaxb{_1]:6==tdf~:8"}fd.qj`ZphajoT="Cbuy]GafYume6;2<{ee=9!|ie-pmaYqg`inS3b9phjr6<&ybh"}fd^tlmfcX9&GfyuQCFRPX67[XOGNT>>7Po^ov|Z71WF__==?m4smmw51)tam%xeiQyohaf[4)Je|rT@K]][30^[BHCW;92SbQbuy]22ZIR\8;:?n5|lnv20*unl&ybhRx`ibg\5*Kj}qUGJ^\T23_\CKBX::3TcRczx^35[JSS9;;8o6}cou37+voc'zcoS{afcd]2+HkrpVFM__U=2\]DJAY5;0UdS`{w_04\KPR6;89h7~b`t06,wlb({`nTzbgle^3,IhsWELX^V<=]^EM@Z441VeTaxvP17]LQQ739:i0aa{15-pma)tamU}cdmj_0-Nip~XDOYYW?WfUfyuQ>6^MVP436;j1x`bz>4.qj`*unlV|denkP1.Onq}YKNZXP>?SPGOF\66?XgVg~tR?9_NWW5374k2ygcy?;/rkg+vocWebohQ>/Lov|ZJA[[Q9>PQHNE]17ukg};?#~gk/rkg[sinklU:#@czx^NEWW]5:TULBIQ=38]l[hsW8VE^X??>3b9phjr6<&ybh"}fd^tlmfcX9&GfyuQCFRPX67[XOGNT>>7Po^ov|Z71WF__>??4.qj`*unlV|denkP1.Onq}YKNZXP>?SPGOF\66?XgVg~tR?9_NWW245e3zfdx<: shf,wlbX~fchiR? Mlw{[I@TZR89QRIAD^00=ZiXe|rT=;Q@UU527g=tdf~:8"}fd.qj`ZphajoT="Cbuy]OBVT\:;WTKCJP22;\kZkrpV;=SB[[801a?vjh|8>$dj shf\rjodmV;$A`{w_MDPV^45UVMEHR<<9^m\ip~X9?UDYY7>2b9phjr6<&ybh"}fd^tlmfcX9&GfyuQkiwkgawYqien==?=c:qokq73'zco#~gk_wmjg`Y6'Dg~tRjfvhffvZpfd|o:=<n5|lnv20*unl&ybhRx`ibg\5*Kj}qUoe{gkes]ueisb9=;9o6}cou37+voc'zcoS{afcd]2+HkrpVnbzdjjr^tbhpc6=88i7~b`t06,wlb({`nTzbgle^3,IhsWmc}eik}_wcoq`71:k1x`bz>4.qj`*unlV|denkP1.Onq}YcacoiQyamwf524d3zfdx<: shf,wlbX~fchiR? Mlw{[aoqamoyS{ocud3457d<{ee=9!|ie-pmaYqg`inSukg};?#~gk/rkg[sinklU:#@czx^fjrlbbzV|j`xk<13`8wiis9=%xei!|ie]uklebW8%FaxvPdhtj``tX~hf~i9?=b:qokq73'zco#~gk_wmjg`Y6'Dg~tRjfvhffvZpfd|o>=?l4smmw51)tam%xeiQyohaf[4)Je|rThdxfddp\rdjrm?;9n6}cou37+voc'zcoS{afcd]2+HkrpVnbzdjjr^tbhpc09;h0aa{15-pma)tamU}cdmj_0-Nip~Xl`|bhh|Pv`nva=75j2ygcy?;/rkg+vocWebohQ>/Lov|Zbn~`nn~Rxnltg:516<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.OqehYF|}UoinU8]^RTVZ450518wiis9=%xei!|ie]uklebW8%F~lcPAuv\``e\?TU[[_Q=25]l[rocmVg~tR86_NWW5573:2ygcy?;/rkg+vocWebohQ>/LpbiZGs|VnnoV9R_QUQ[743WfU|eikPmtz\25|lnv20*unl&ybhRx`ibg\5*KuidUJxyQkebY4YZVPZV898RaPwhff[hsW?3TCXZ>1067?vjh|8>$dj shf\rjodmV;$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP4769=80aa{15-pma)tamU}cdmj_0-NvdkXI}~ThhmT7\]SSWY5:=UdSzgke^ov|Z0>WF__=?:<;rnlp42({`n$djPvnk`aZ7(E{kfSLz{_eg`_2[XX^XT>?:Po^uj``Yj}qU=5RAZT00200=tdf~:8"}fd.qj`ZphajoT="C}al]BpqYcmjQ23376>ukg};?#~gk/rkg[sinklU:#@|nm^CwpZbbkR=VS]Y]_307[jYpamoTaxvP68]LQQ73<:1x`bz>4.qj`*unlV|denkP1.OqehYF|}UoinU8]^RTVZ45;rnlp42({`n$djPvnk`aZ7(E{kfSLz{_eg`_2[XX^XT>?:Po^uj``Yj}qU=5RAZT361?vjh|8>$dj shf\rjodmV;$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP77392ygcy?;/rkg+vocWebohQ>/LpbiZGs|VnnoV9R_QUQ[743WfU|eikPmtz\26}cou37+voc'zcoS{afcd]2+HtfeVKxRjjcZ5^[UQUW;8?SbQxieg\ip~X>0UDYY=>409phjr6<&ybh"}fd^tlmfcX9&Gym`QNtu]gaf]0UVZ\^R<=4^m\slbbWdsS;7POTV707=tdf~:8"}fd.qj`ZphajoT="C}al]BpqYcmjQWF__99<4smmw51)tam%xeiQyohaf[4)JzhgTMyzPddaX3XYW_[U9>9Q`_vkgaZkrpV<2SB[[5062?vjh|8>$dj shf\rjodmV;$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP3253zfdx<: shf,wlbX~fchiR? Mscn[DrsWmohW:SPPVP\672XgV}bhhQbuy]5=ZIR\?;?=6}cou37+voc'zcoS{afcd]2+HtfeVKxRjjcZ5^[UQUW;8?SbQxieg\ip~X>0UDYY9;2:qokq73'zco#~gk_wmjg`Y6'DxjaRO{t^ffg^1ZWY]YS?<;_n]tmacXe|rT:4Q@UU5204=tdf~:8"}fd.qj`ZphajoT="C}al]BpqYcmjQ4.qj`*unlV|denkP1.OqehYF|}UoinU8]^RTVZ459Q`_vkgaZkrpV<2SB[[9508wiis9=%xei!|ie]uklebW8%F~lcPAuv\``e\?TU[[_Q=25]l[rocmVg~tR86_NWW=4273zfdx<: shf,wlbX~fchiR? Mscn[AcdW{ogW>SPPVP\672XgV}bhhQbuy]47ZIR\=;0aa{15-pma)tamU}cdmj_0-NvdkXLliT~hbT3\]SSWY5:=UdSzgke^ov|Z14WF__=9<4smmw51)tam%xeiQyohaf[4)JzhgTHhmPrdnX7XYW_[U9>9Q`_vkgaZkrpV=8SB[[1160?vjh|8>$dj shf\rjodmV;$Aob_Eg`[wckS:WT\Z\P236\kZqnllUfyuQ83^MVP466<;1x`bz>4.qj`*unlV|denkP1.OqehYCmjUyiaU<]^RTVZ459Q`_vkgaZkrpV=8SB[[10370>ukg};?#~gk/rkg[sinklU:#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ7698>97~b`t06,wlb({`nTzbgle^3,IwgjWMohSkc[2_\TRTX:;>TcRyfdd]nq}Y0;VE^X<<;3:qokq73'zco#~gk_wmjg`Y6'DxjaRJjc^pfh^5ZWY]YS?<;_n]tmacXe|rT;>Q@UU31514<{ee=9!|ie-pmaYqg`inS:7~b`t06,wlb({`nTzbgle^3,IwgjWMohSkc[2_\TRTX:;>TcRyfdd]nq}Y0;VE^X?:=;rnlp42({`n$djPvnk`aZ7(E{kfSIkl_sgo_6[XX^XT>?:Po^uj``Yj}qUukg};?#~gk/rkg[sinklU:#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ53:2ygcy?;/rkg+vocWebohQ>/LpbiZBbkVxn`V=R_QUQ[743WfU|eikPmtz\36YH]]9:8<5|lnv20*unl&ybhRx`ibg\5*KuidUOinQ}emY0YZVPZV898RaPwhff[hsW>9TCXZ;439phjr6<&ybh"}fd^tlmfcX9&Gym`QKeb]qai]4UVZ\^R<=4^m\slbbWdsS:=POTV7517<{ee=9!|ie-pmaYqg`inS;rnlp42({`n$djPvnk`aZ7(E{kfSIkl_sgo_6[XX^XT>?:Po^uj``Yj}qU$dj shf\rjodmV;$Aob_Eg`[wckS:WT\Z\P236\kZqnllUfyuQ83^MVP373;2ygcy?;/rkg+vocWebohQ>/LpbiZBbkVxn`V=R_QUQ[743WfU|eikPmtz\36YH]]<:=9?4smmw51)tam%xeiQyohaf[4)JzhgTHhmPrdnX7XYW_[U9>9Q`_vkgaZkrpV=8SB[[7508wiis9=%xei!|ie]uklebW8%F~lcPDda\v`j\;TU[[_Q=25]l[rocmVg~tR9<_NWW34263zfdx<: shf,wlbX~fchiR? Mscn[AcdW{ogW>SPPVP\672XgV}bhhQbuy]47ZIR\1>97~b`t06,wlb({`nTzbgle^3,IwgjWMohSkc[2_\TRTX:;>TcRyfdd]nq}Y0;VE^X5?;1:qokq73'zco#~gk_wmjg`Y6'DxjaRJjc^pfh^5ZWY]YS?<;_n]tmacXe|rT;>Q@UU;76>ukg};?#~gk/rkg[sinklU:#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ?6;l1x`bz>4.qj`*unlV|denkP1.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:76:l0aa{15-pma)tamU}cdmj_0-SEZKTJVceSlmlulwoeqiuS;9VS`{w_00\KPR;87;8j6}cou37+voc'zcoS{afcd]2+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\5;;29>4smmw51)tam%xeiQyohaf[4)WIVGXNRga_`a`qhski}eyW?=R_lw{[44XG\^7==0>3g9phjr6<&ybh"}fd^tlmfcX9&ZJS@]M_hl\efere|fjxb|T22_\ip~X9;UDYY2>1?63?vjh|8>$dj shf\rjodmV;$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<03=56c<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:56:l0aa{15-pma)tamU}cdmj_0-SEZKTJVceSlmlulwoeqiuS;9VS`{w_00\KPR;:7;8i6}cou37+voc'zcoS{afcd]2+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\595?k5|lnv20*unl&ybhRx`ibg\5*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]682<=j;rnlp42({`n$djPvnk`aZ7(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__090$dj shf\rjodmV;$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<4<27`=tdf~:8"}fd.qj`ZphajoT="^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>5:6`<{ee=9!|ie-pmaYqg`inS/QC\IVDXagUjon{bumcwkw]5;TUfyuQ>2^MVP919;o1x`bz>4.qj`*unlV|denkP1.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:0689n7~b`t06,wlb({`nTzbgle^3,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS4148j6}cou37+voc'zcoS{afcd]2+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\525=>k4smmw51)tam%xeiQyohaf[4)WIVGXNRga_`a`qhski}eyW?=R_lw{[44XG\^753=i;rnlp42({`n$djPvnk`aZ7(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__040>249phjr6<&ybh"}fd^tlmfcX9&ZJS@]M_hl\twcq:91x`bz>4.qj`*unlV|denkP1.Pfwpjs4949=6}cou37+voc'zcoS{afcd]2+Wct}e~7<3?=1:qokq73'zco#~gk_wmjg`Y6'[oxyaz30?015>ukg};?#~gk/rkg[sinklU:#_k|umv?5585:2ygcy?;/rkg+vocWebohQ>/Sgpqir;994:>?5|lnv20*unl&ybhRx`ibg\5*Tb{|f0<>12338wiis9=%xei!|ie]uklebW8%Yi~{ct=32:74<{ee=9!|ie-pmaYqg`inSukg};?#~gk/rkg[sinklU:#_k|umv?5786:;1x`bz>4.qj`*unlV|denkP1.Pfwpjs4885>??4smmw51)tam%xeiQyohaf[4)Umzgx1?<>308wiis9=%xei!|ie]uklebW8%Yi~{ct=30:4453zfdx<: shf,wlbX~fchiR? Rdqvhq:6;789=6}cou37+voc'zcoS{afcd]2+Wct}e~7=90=2:qokq73'zco#~gk_wmjg`Y6'[oxyaz315<267=tdf~:8"}fd.qj`ZphajoT="\jstnw8429:;;0aa{15-pma)tamU}cdmj_0-Qavsk|5;>2?<4smmw51)tam%xeiQyohaf[4)Umzgx1?:>001?vjh|8>$dj shf\rjodmV;$^h}zlu>21;4592ygcy?;/rkg+vocWebohQ>/Sgpqir;9?49>6}cou37+voc'zcoS{afcd]2+Wct}e~7=;0>239phjr6<&ybh"}fd^tlmfcX9&Xnxb{<04=677<{ee=9!|ie-pmaYqg`inS7?015>ukg};?#~gk/rkg[sinklU:#_k|umv?5=8592ygcy?;/rkg+vocWebohQ>/Sgpqir;9049<6}cou37+voc'zcoS{afcd]2+Wct}e~7=3<>;rnlp42({`n$djPvnk`aZ7(Zly~`y2>>002?vjh|8>$dj shf\rjodmV;$^h}zlu>2:7463zfdx<: shf,wlbX~fchiR? Rdqvhq:5878:7~b`t06,wlb({`nTzbgle^3,V`urd}69=3<>;rnlp42({`n$djPvnk`aZ7(Zly~`y2=2?02?vjh|8>$dj shf\rjodmV;$^h}zlu>17;473zfdx<: shf,wlbX~fchiR? Rdqvhq:56;;0aa{15-pma)tamU}cdmj_0-Qavsk|585=??4smmw51)tam%xeiQyohaf[4)Umzgx1<12328wiis9=%xei!|ie]uklebW8%Yi~{ct=1=64=tdf~:8"}fd.qj`ZphajoT="\jstnw8686:81x`bz>4.qj`*unlV|denkP1.Pfwpjs4:49>=5|lnv20*unl&ybhRx`ibg\5*Tb{|f090=1:qokq73'zco#~gk_wmjg`Y6'[oxyaz34?315>ukg};?#~gk/rkg[sinklU:#_k|umv?0;4582ygcy?;/rkg+vocWebohQ>/Sgpqir;=78:7~b`t06,wlb({`nTzbgle^3,V`urd}6>2<<>;rnlp42({`n$djPvnk`aZ7(Zly~`y2:>303?vjh|8>$dj shf\rjodmV;$^h}zlu>5:77<{ee=9!|ie-pmaYqg`inS?>4smmw51)tam%xeiQyohaf[4)Umzgx191209phjr6<&ybh"}fd^tlmfcX9&Xnxb{<6<264=tdf~:8"}fd.qj`ZphajoT="\jstnw8285:91x`bz>4.qj`*unlV|denkP1.Pfwpjs4149=6}cou37+voc'zcoS{afcd]2+Wct}e~743?=1:qokq73'zco#~gk_wmjg`Y6'[oxyaz38?014>ukg};?#~gk/rkg[sinklU:#_k|umv?=;463zfdx<: shf,wlbX~fchiR? Rdqvhq:>688:7~b`t06,wlb({`nTzbgle^3,V`urd}622?8?;rnlp42({`n$djPvnk`aZ7(WVUS@CCP_^57[ZYJkfg{mQumqfslbs`R;:QRolctovhdrhzR88QRBjsrVkekY6:VeT`xzki738wiis9=%xei!|ie]uklebW8%TSRVCNL]\[23XWVGhc`~nr^rvhvcpam~cW2??:4smmw51)tam%xeiQyohaf[4)fkjTndzPpsgu6g=tdf~:8"}fd.qj`ZphajoT="olct]amqYwzl|T~~zou06?vjh|8>$dj shf\rjodmV;$mnmzmtnbpjt;878=7~b`t06,wlb({`nTzbgle^3,efere|fjxb|311<12>ukg};?#~gk/rkg[sinklU:#lmlulwoeqiu48;5>;5|lnv20*unl&ybhRx`ibg\5*gdk|g~`lz`r=31:70<{ee=9!|ie-pmaYqg`inS2ygcy?;/rkg+vocWebohQ>/`a`qhski}ey0<;1279phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlv9716;<0aa{15-pma)tamU}cdmj_0-bgfsj}ekc2>7?05?vjh|8>$dj shf\rjodmV;$mnmzmtnbpjt;9149:6}cou37+voc'zcoS{afcd]2+ded}dgmya}<0;=60=tdf~:8"}fd.qj`ZphajoT="olctovhdrhz5;5>;5|lnv20*unl&ybhRx`ibg\5*gdk|g~`lz`r=03:70<{ee=9!|ie-pmaYqg`inS2ygcy?;/rkg+vocWebohQ>/`a`qhski}ey0?=1249phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlv949:<1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~1=1249phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlv929:<1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~1;1249phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlv909:<1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~191249phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlv9>9:<1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~171259phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlvZ65<2ygcy?;/rkg+vocWebohQ>/`a`qhski}eyS<<:;rnlp42({`n$djPvnk`aZ7(iji~axbntnp\55423zfdx<: shf,wlbX~fchiR? abavipjf|fxT=<<:;rnlp42({`n$djPvnk`aZ7(iji~axbntnp\57423zfdx<: shf,wlbX~fchiR? abavipjf|fxT=><:;rnlp42({`n$djPvnk`aZ7(iji~axbntnp\51423zfdx<: shf,wlbX~fchiR? abavipjf|fxT=8<:;rnlp42({`n$djPvnk`aZ7(iji~axbntnp\53423zfdx<: shf,wlbX~fchiR? abavipjf|fxT=:<:;rnlp42({`n$djPvnk`aZ7(iji~axbntnp\5=423zfdx<: shf,wlbX~fchiR? abavipjf|fxT=4<;;rnlp42({`n$djPvnk`aZ7(iji~axbntnp\673<{ee=9!|ie-pmaYqg`inS?;4smmw51)tam%xeiQyohaf[4)fkjfyao{os]1772<{ee=9!|ie-pmaYqg`inS95|lnv20*unl&ybhRx`ibg\5*gdk|g~`lz`r^610>ukg};?#~gk/rkg[sinklU:#lmlulwoeqiuW<8?7~b`t06,wlb({`nTzbgle^3,efere|fjxb|P6368wiis9=%xei!|ie]uklebW8%jon{bumcwkwY0:=1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~R6=4:qokq73'zco#~gk_wmjg`Y6'hihy`{caumq[<4>3zfdx<: shf,wlbX~fchiR? ctp\wqgsmVLXARHId00`?vjh|8>$dj shf\rjodmV;$ox|PsucwaZ@TEVLMh<#Fn3f8wiis9=%xei!|ie]uklebW8%hyQ|t`vf[CUJWOLo= Ga13f8wiis9=%xei!|ie]uklebW8%hyQ|t`vf[CUJWOLo= Ga3238wiis9=%xei!|ie]uklebW8%hyQ|t`vf[CUJWOLo= Ga3^QT47?<{ee=9!|ie-pmaYqg`inS Ga2e9phjr6<&ybh"}fd^tlmfcX9&i~~R}{aug\BVKXNOn9!D`>2e9phjr6<&ybh"}fd^tlmfcX9&i~~R}{aug\BVKXNOn9!D`=289phjr6<&ybh"}fd^tlmfcX9&i~~R}{aug\BVKXNOn8>n5|lnv20*unl&ybhRx`ibg\5*erzVymykPFRO\BCb4%@d9h6}cou37+voc'zcoS{afcd]2+fsuWz~jxhQISL]EBa5*Ag;9h6}cou37+voc'zcoS{afcd]2+fsuWz~jxhQISL]EBa5*Ag89h6}cou37+voc'zcoS{afcd]2+fsuWz~jxhQISL]EBa5*Ag9946}cou37+voc'zcoS{afcd]2+aoqamoySlz{abi?4;4>3zfdx<: shf,wlbX~fchiR? dhtj``tXi}~jof2>0?0:?vjh|8>$dj shf\rjodmV;$hdxfddp\eqrfkb6:=3<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pauvbgn:6:7827~b`t06,wlb({`nTzbgle^3,`lpnllxTmyzncj>27;4>3zfdx<: shf,wlbX~fchiR? dhtj``tXi}~jof2>4?0;?vjh|8>$dj shf\rjodmV;$hdxfddp\eqrfkb6:2?64smmw51)tam%xeiQyohaf[4)cacoiQntuc`o949:11x`bz>4.qj`*unlV|denkP1.fjrlbbzVkxlmd<2<1<>ukg};?#~gk/rkg[sinklU:#igyiegq[drsija783<7;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pauvbgn:26;20aa{15-pma)tamU}cdmj_0-gmsocm{Ujxyolk=4=6==tdf~:8"}fd.qj`ZphajoT="jfvhffvZgs|hi`0:0=8:qokq73'zco#~gk_wmjg`Y6'mc}eik}_`vwefm;07837~b`t06,wlb({`nTzbgle^3,`lpnllxTmyzncj>::71<{ee=9!|ie-pmaYqg`inS=7:qokq73'zco#~gk_wmjg`Y6'mc}eik}_`vwefmX9;20aa{15-pma)tamU}cdmj_0-gmsocm{Ujxyolk^336==tdf~:8"}fd.qj`ZphajoT="jfvhffvZgs|hi`S<{ee=9!|ie-pmaYqg`inS1x`bz>4.qj`*unlV|denkP1.fjrlbbzVkxlmd_704?vjh|8>$dj shf\rjodmV;$hdxfddp\eqrfkbU<>:5|lnv20*unl&ybhRx`ibg\5*bn~`nn~Ro{t`ah[=403zfdx<: shf,wlbX~fchiR? dhtj``tXi}~jofQ6289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^alqkrbz5:5>l5|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rm`uovfv9776;k0aa{15-pma)tamU}cdmj_0-gmsocm{Uhcx`{es>25;4f3zfdx<: shf,wlbX~fchiR? dhtj``tXkfexh|313<1e>ukg};?#~gk/rkg[sinklU:#igyiegq[firf}oy0<=12`9phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^alqkrbz5;?2?o4smmw51)tam%xeiQyohaf[4)cacoiQlotlwaw:6=78j7~b`t06,wlb({`nTzbgle^3,`lpnllxTob{atdp?5385i2ygcy?;/rkg+vocWebohQ>/ekumacuWje~byk}<05=6<=tdf~:8"}fd.qj`ZphajoT="jfvhffvZeh}g~n~1?1289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^alqkrbz585>45|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rm`uovfv959:01x`bz>4.qj`*unlV|denkP1.fjrlbbzVidyczjr=6=6<=tdf~:8"}fd.qj`ZphajoT="jfvhffvZeh}g~n~1;1289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^alqkrbz5<5>45|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rm`uovfv919:01x`bz>4.qj`*unlV|denkP1.fjrlbbzVidyczjr=:=6<=tdf~:8"}fd.qj`ZphajoT="jfvhffvZeh}g~n~171299phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^alqkrbzV:946}cou37+voc'zcoS{afcd]2+aoqamoySnaznugq[44>3zfdx<: shf,wlbX~fchiR? dhtj``tXkfexh|P110:?vjh|8>$dj shf\rjodmV;$hdxfddp\gjsi|lxT=<<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pcnwmp`tX9;827~b`t06,wlb({`nTzbgle^3,`lpnllxTob{atdp\564>3zfdx<: shf,wlbX~fchiR? dhtj``tXkfexh|P150:?vjh|8>$dj shf\rjodmV;$hdxfddp\gjsi|lxT=8<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pcnwmp`tX9?827~b`t06,wlb({`nTzbgle^3,`lpnllxTob{atdp\524?3zfdx<: shf,wlbX~fchiR? dhtj``tXkfexh|P23:8wiis9=%xei!|ie]uklebW8%oe{gkes]`kphsm{U8>55|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rm`uovfvZ2502ygcy?;/rkg+vocWebohQ>/ekumacuWje~byk}_40;?vjh|8>$dj shf\rjodmV;$hdxfddp\gjsi|lxT:?64smmw51)tam%xeiQyohaf[4)cacoiQlotlwawY0:11x`bz>4.qj`*unlV|denkP1.fjrlbbzVidyczjr^:1<>ukg};?#~gk/rkg[sinklU:#igyiegq[firf}oyS4<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pdda\v`j;878j7~b`t06,wlb({`nTzbgle^3,`lpnllxThhmPrdn?5585i2ygcy?;/rkg+vocWebohQ>/ekumacuWmohSkc<03=6d=tdf~:8"}fd.qj`ZphajoT="jfvhffvZbbkVxn`1?=>3c8wiis9=%xei!|ie]uklebW8%oe{gkes]gafYume6:?3<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pdda\v`j;97827~b`t06,wlb({`nTzbgle^3,`lpnllxThhmPrdn?6;4>3zfdx<: shf,wlbX~fchiR? dhtj``tXlliT~hb33?0:?vjh|8>$dj shf\rjodmV;$hdxfddp\``eXzlf783<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pdda\v`j;=7827~b`t06,wlb({`nTzbgle^3,`lpnllxThhmPrdn?2;4>3zfdx<: shf,wlbX~fchiR? dhtj``tXlliT~hb37?0:?vjh|8>$dj shf\rjodmV;$hdxfddp\``eXzlf743<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pdda\v`j;17837~b`t06,wlb({`nTzbgle^3,`lpnllxThhmPrdn\47><{ee=9!|ie-pmaYqg`inS289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^ffgZtbdV;;>45|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rjjc^pfhZ76:01x`bz>4.qj`*unlV|denkP1.fjrlbbzVnnoR|jl^316<=tdf~:8"}fd.qj`ZphajoT="jfvhffvZbbkVxn`R?<299phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^ffgZtbdV8946}cou37+voc'zcoS{afcd]2+aoqamoySikl_sgo[64?3zfdx<: shf,wlbX~fchiR? dhtj``tXlliT~hbP43:8wiis9=%xei!|ie]uklebW8%oe{gkes]gafYumeU>>55|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rjjc^pfhZ0502ygcy?;/rkg+vocWebohQ>/ekumacuWmohSkc_60;?vjh|8>$dj shf\rjodmV;$hdxfddp\``eXzlfT4?64smmw51)tam%xeiQyohaf[4)cacoiQkeb]qaiY>:>1x`bz>4.qj`*unlV|denkP1.fjrlbbzV|j`xk30?0;?vjh|8>$dj shf\rjodmV;$hdxfddp\rdjrm5:5=?74smmw51)tam%xeiQyohaf[4)cacoiQyamwf858X8;20aa{15-pma)tamU}cdmj_0-gmsocm{U}ma{j<02=6==tdf~:8"}fd.qj`ZphajoT="jfvhffvZpfd|o7=<0=8:qokq73'zco#~gk_wmjg`Y6'mc}eik}_wcoq`:6:7837~b`t06,wlb({`nTzbgle^3,`lpnllxTzlbze=30:7><{ee=9!|ie-pmaYqg`inS1x`bz>4.qj`*unlV|denkP1.fjrlbbzV|j`xk35?04?vjh|8>$dj shf\rjodmV;$hdxfddp\rdjrm5<5>:5|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rxnltg?3;403zfdx<: shf,wlbX~fchiR? dhtj``tX~hf~i161269phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^tbhpc;178>7~b`t06,wlb({`nTzbgle^3,akpXkg~Ty}Qfnw05?vjh|8>$dj shf\rjodmV;$icxPcov\quYnf89;6}cou37+voc'zcoS{afcd]2+`hqWje~byQgomf\E13<{ee=9!|ie-pmaYqg`inS348wiis9=%xei!|ie]uklebW8%nb{Qlotlwaw:6878=7~b`t06,wlb({`nTzbgle^3,akpXkfexh|310<12>ukg};?#~gk/rkg[sinklU:#h`y_bmvjqcu4885>;5|lnv20*unl&ybhRx`ibg\5*ci~Vidyczjr=30:70<{ee=9!|ie-pmaYqg`inS2ygcy?;/rkg+vocWebohQ>/dlu[firf}oy0<81279phjr6<&ybh"}fd^tlmfcX9&oezRm`uovfv9706;?0aa{15-pma)tamU}cdmj_0-fjsYdg|di2>>378wiis9=%xei!|ie]uklebW8%nb{Qlotlwaw:56;?0aa{15-pma)tamU}cdmj_0-fjsYdg|di2<>378wiis9=%xei!|ie]uklebW8%nb{Qlotlwaw:36;?0aa{15-pma)tamU}cdmj_0-fjsYdg|di2:>378wiis9=%xei!|ie]uklebW8%nb{Qlotlwaw:16;?0aa{15-pma)tamU}cdmj_0-fjsYdg|di28>378wiis9=%xei!|ie]uklebW8%nb{Qlotlwaw:?6;?0aa{15-pma)tamU}cdmj_0-fjsYdg|di26>2`8wiis9=%xei!|ie]uklebW8%nb{Qlotlwaw]6?TULBIQ=38]l[`wrieU9?Ra528wiis9=%xei!|ie]uklebW8%nb{Qlotlwaw]6?TULBIQ=38]l[`wrieU9?Ra316<205=tdf~:8"}fd.qj`ZphajoT="kav^alqkrbzR;23;4382ygcy?;/rkg+vocWebohQ>/dlu[firf}oyW<9R_FLG[75>WfUn}xoc_31\k9706:9i7~b`t06,wlb({`nTzbgle^3,akpXkfexh|T16_\CKBX::3TcRk~u`n\77Yh;o1x`bz>4.qj`*unlV|denkP1.gmrZeh}g~n~V?8]^EM@Z441VeTi|{nl^11[j:6?7>87~b`t06,wlb({`nTzbgle^3,akpXkfexh|T16_\CKBX::3TcRk~u`n\77Yh48=5S^Y?3c9phjr6<&ybh"}fd^tlmfcX9&oezRm`uovfv^1ZWZxS_kc[6_\atsfdV82Sb=l;rnlp42({`n$djPvnk`aZ7(mg|Tob{atdpX3XYT}zUYiaU8]^grqdjX:0Ud89>4smmw51)tam%xeiQyohaf[4)bfUhcx`{esY4YZUr{VXn`V9R_dsveiY51Ve?S^Y?259phjr6<&ybh"}fd^tlmfcX9&oezRm`uovfvZ65<2ygcy?;/rkg+vocWebohQ>/dlu[firf}oyS<<:;rnlp42({`n$djPvnk`aZ7(mg|Tob{atdp\55423zfdx<: shf,wlbX~fchiR? eot\gjsi|lxT=<<:;rnlp42({`n$djPvnk`aZ7(mg|Tob{atdp\57423zfdx<: shf,wlbX~fchiR? eot\gjsi|lxT=><:;rnlp42({`n$djPvnk`aZ7(mg|Tob{atdp\51423zfdx<: shf,wlbX~fchiR? eot\gjsi|lxT=8<:;rnlp42({`n$djPvnk`aZ7(mg|Tob{atdp\53423zfdx<: shf,wlbX~fchiR? eot\gjsi|lxT=:<;;rnlp42({`n$djPvnk`aZ7(mg|Tob{atdp\672<{ee=9!|ie-pmaYqg`inS95|lnv20*unl&ybhRx`ibg\5*ci~Vidyczjr^610>ukg};?#~gk/rkg[sinklU:#h`y_bmvjqcuW<8?7~b`t06,wlb({`nTzbgle^3,akpXkfexh|P6368wiis9=%xei!|ie]uklebW8%nb{QlotlwawY0:=1x`bz>4.qj`*unlV|denkP1.gmrZeh}g~n~R6=4:qokq73'zco#~gk_wmjg`Y6'ld}Snaznugq[<4>3zfdx<: shf,wlbX~fchiR? eyr\pddkmV|j`xk30?0b?vjh|8>$dj shf\rjodmV;$iu~Pt``oaZpfd|o7==0=a:qokq73'zco#~gk_wmjg`Y6'lr{Syomld]ueisb48;5>l5|lnv20*unl&ybhRx`ibg\5*cxV~jnakPv`nva9756;k0aa{15-pma)tamU}cdmj_0-f|uYsikfnS{ocud>27;4f3zfdx<: shf,wlbX~fchiR? eyr\pddkmV|j`xk315<1e>ukg};?#~gk/rkg[sinklU:#hv_ucah`Yqien0<;12`9phjr6<&ybh"}fd^tlmfcX9&os|Rznbmg\rdjrm5;=2?o4smmw51)tam%xeiQyohaf[4)bpyUmobj_wcoq`:6?7827~b`t06,wlb({`nTzbgle^3,a}vX|hhgiRxnltg?5;4>3zfdx<: shf,wlbX~fchiR? eyr\pddkmV|j`xk32?0:?vjh|8>$dj shf\rjodmV;$iu~Pt``oaZpfd|o7?3<6;rnlp42({`n$djPvnk`aZ7(mqzTxllce^tbhpc;<7827~b`t06,wlb({`nTzbgle^3,a}vX|hhgiRxnltg?1;4>3zfdx<: shf,wlbX~fchiR? eyr\pddkmV|j`xk36?0:?vjh|8>$dj shf\rjodmV;$iu~Pt``oaZpfd|o7;3<6;rnlp42({`n$djPvnk`aZ7(mqzTxllce^tbhpc;07827~b`t06,wlb({`nTzbgle^3,a}vX|hhgiRxnltg?=;4?3zfdx<: shf,wlbX~fchiR? eyr\pddkmV|j`xkP03d8wiis9=%xei!|ie]uklebW8%nt}Q{acnf[sgk}lU;S}{pnv1<>ukg};?#~gk/rkg[sinklU:#hv_ucah`YqienS<<6;rnlp42({`n$djPvnk`aZ7(mqzTxllce^tbhpcX99827~b`t06,wlb({`nTzbgle^3,a}vX|hhgiRxnltg\544>3zfdx<: shf,wlbX~fchiR? eyr\pddkmV|j`xkP130:?vjh|8>$dj shf\rjodmV;$iu~Pt``oaZpfd|oT=><6;rnlp42({`n$djPvnk`aZ7(mqzTxllce^tbhpcX9=827~b`t06,wlb({`nTzbgle^3,a}vX|hhgiRxnltg\504>3zfdx<: shf,wlbX~fchiR? eyr\pddkmV|j`xkP170:?vjh|8>$dj shf\rjodmV;$iu~Pt``oaZpfd|oT=:<7;rnlp42({`n$djPvnk`aZ7(mqzTxllce^tbhpcX:;20aa{15-pma)tamU}cdmj_0-f|uYsikfnS{ocud]06==tdf~:8"}fd.qj`ZphajoT="kwp^vbficX~hf~iR:=8:qokq73'zco#~gk_wmjg`Y6'lr{Syomld]ueisbW<837~b`t06,wlb({`nTzbgle^3,a}vX|hhgiRxnltg\27><{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.l3652X[^::o6}cou37+voc'zcoS{afcd]2+usk{l>87~b`t06,wlb({`nTzbgle^3,tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`319phjr6<&ybh"}fd^tlmfcX9&xnhzPCnlwvjj\9TUD^R<:6^m05>ukg};?#~gk/rkg[sinklU:#k|eu]@kkrugeQ:QRA]_375[j7492ygcy?;/rkg+vocWebohQ>/sgpaqYcacoiQ}su]LVZ56;Ve8>6}cou37+voc'zcoS{afcd]2+wctm}Uoe{gkes]qwqYHZV9:?Ra>329phjr6<&ybh"}fd^tlmfcX9&xnhzPeot\gkrXkeoj~RA]_232[j533zfdx<: shf,wlbX~fchiR? rdqfpZci~ViexRmce`p\KWY498Ud=?<4smmw51)tam%xeiQyohaf[4)ti~~dcyf30?00?vjh|8>$dj shf\rjodmV;$ly{onvk8469::1x`bz>4.qj`*unlV|denkP1.qbsqih|a6:=3<=;rnlp42({`n$djPvnk`aZ7({h}cbzg<0<16>ukg};?#~gk/rkg[sinklU:#~oxtnmwl949:;1x`bz>4.qj`*unlV|denkP1.qbsqih|a682?<4smmw51)tam%xeiQyohaf[4)ti~~dcyf34?01?vjh|8>$dj shf\rjodmV;$ly{onvk8085:2ygcy?;/rkg+vocWebohQ>/rctpjis`5<5>?5|lnv20*unl&ybhRx`ibg\5*uf}edxe28>308wiis9=%xei!|ie]uklebW8%xmzz`ouj?<;453zfdx<: shf,wlbX~fchiR? s`uwkjro4049=6}cou37+voc'zcoS{afcd]2+vgp|fedR>=1:qokq73'zco#~gk_wmjg`Y6'zk|xba{h^316>ukg};?#~gk/rkg[sinklU:#~oxtnmwlZ77:;1x`bz>4.qj`*unlV|denkP1.qbsqih|aU:=??4smmw51)tam%xeiQyohaf[4)ti~~dcyfP2338wiis9=%xei!|ie]uklebW8%xmzz`ouj\777<{ee=9!|ie-pmaYqg`inS$dj shf\rjodmV;$diaam]nq}Y7::1x`bz>4.qj`*unlV|denkP1.qjckgkWdsS<<<;rnlp42({`n$djPvnk`aZ7({`memaQbuy]167=tdf~:8"}fd.qj`ZphajoT="z}i`ldh`:76;90aa{15-pma)tamU}cdmj_0-wvlgioeo7==0=3:qokq73'zco#~gk_wmjg`Y6'}xbmcice=32:74<{ee=9!|ie-pmaYqg`inSukg};?#~gk/rkg[sinklU:#y|faoeoa919:;1x`bz>4.qj`*unlV|denkP1.vqmdh`dl632?<4smmw51)tam%xeiQyohaf[4)sz`kekak39?17?vjh|8>$dj shf\rjodmV;$xgnnfnf_5[XffcxiV>R_NP\6<5Xg:20aa{15-pma)tamU}cdmj_0-wvlgioeoPQ`_RU273=tdf~:8"}fd.qj`ZphajoT="z}i`ldh`]68TUecd}j[02^[JTX:?9Tc>o4smmw51)tam%xeiQyohaf[4)sz`kekakT11_\jjotmR;;QRA]_340[jYT_89=7~b`t06,wlb({`nTzbgle^3,pwoffnfnWukg};?#~gk/rkg[sinklU:#y|faoeoa^76UVdde~kT10_\KWY5>9UdS^Y>359phjr6<&ybh"}fd^tlmfcX9&~yel`hldY2YZhhazoP=PQ@R^0:4Zi402ygcy?;/rkg+vocWebohQ>/upjekakmR;VScafsdY2YZIUW;3;SbQ\W017?vjh|8>$dj shf\rjodmV;$xgnnfnf_7[XffcxiVPQaohqf_7[XG[U94:Q`_RU271=tdf~:8"}fd.qj`ZphajoT="z}i`ldh`]4UVdde~kT3\]LVZ4?64smmw51)tam%xeiQyohaf[4)sz`kekakT4\]mklubS=WTC_Q=80]l[VQ6;=1x`bz>4.qj`*unlV|denkP1.vqmdh`dlQ>QR``irgX1XYHZV8<4Ra<8:qokq73'zco#~gk_wmjg`Y6'}xbmciceZ7^[kin{lQ>QRA]_35;[jYT_89?7~b`t06,wlb({`nTzbgle^3,pwoffnfnW;SPnnkpa^0ZWFXT>:;Po2:8wiis9=%xei!|ie]uklebW8%~doagmgX2XYig`ynW;SPOS]130YhWZ]:?95|lnv20*unl&ybhRx`ibg\5*ruahdl`hU8]^llmvc\?TUD^R<82^m0<>ukg};?#~gk/rkg[sinklU:#y|faoeoa^1ZWgebhU8]^MQ[715WfUX[<=;;rnlp42({`n$djPvnk`aZ7(|{cjbjbj[9_\jjotmR2VSB\P27;\k6><{ee=9!|ie-pmaYqg`inS0UdS^Y>359phjr6<&ybh"}fd^tlmfcX9&~yel`hldY:YZhhazoP5PQ@R^052Zi402ygcy?;/rkg+vocWebohQ>/upjekakmR3VScafsdY:YZIUW;<=SbQ\W002?vjh|8>$dj shf\rjodmV;$xgnnfnf[5463zfdx<: shf,wlbX~fchiR? tskbjbjbW8897~b`t06,wlb({`nTzbgle^3,pwoffnfnS<>=2:qokq73'zco#~gk_wmjg`Y6'}xbmcice^3264=tdf~:8"}fd.qj`ZphajoT="z}i`ldh`Y5:81x`bz>4.qj`*unlV|denkP1.vqmdh`dlU8><5|lnv20*unl&ybhRx`ibg\5*ruahdl`hQ;209phjr6<&ybh"}fd^tlmfcX9&~yel`hld]664=tdf~:8"}fd.qj`ZphajoT="z}i`ldh`Y1:81x`bz>4.qj`*unlV|denkP1.vqmdh`dlU<><5|lnv20*unl&ybhRx`ibg\5*ruahdl`hQ7209phjr6<&ybh"}fd^tlmfcX9&~yel`hld]:52=tdf~:8"}fd.qj`ZphajoT>"<>;rnlp42({`n$djPvnk`aZ4(LliT~hb30?02?vjh|8>$dj shf\rjodmV8$HhmPrdn?5;463zfdx<: shf,wlbX~fchiR< Dda\v`j;:78:7~b`t06,wlb({`nTzbgle^0,@`eXzlf7?3>7Po^KMRZ13;Ve9n6}cou37+voc'zcoS{afcd]1+BHCW;92SbQFNW]406Yh:;l0aa{15-pma)tamU}cdmj_3-DJAY5;0UdSD@Y_660[j4X[^:8j6}cou37+voc'zcoS{afcd]1+BHCW;92SbQkiwkgawYdg|diU>7\]fupgkW<;Tc9=4smmw51)tam%xeiQyohaf[7)@FMU9?4Q`_ekumacuWje~byk}[05^[`wrieU>=Ra316<70>ukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQlotlwaw]6?TUn}xoc_43\k97068>?7~b`t06,wlb({`nTzbgle^0,CKBX::3TcRjfvhffvZeh}g~n~V?8]^grqdjX=8Ud0<912568wiis9=%xei!|ie]uklebW;%LBIQ=38]l[aoqamoySnaznugq_41ZWl{~maQ:1^m?5284<=1x`bz>4.qj`*unlV|denkP2.EM@Z441VeThdxfddp\gjsi|lxP=:SPepwbhZ36Wf6:;3:;4:qokq73'zco#~gk_wmjg`Y5'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>24;2?3zfdx<: shf,wlbX~fchiR< GOF\66?XgVnbzdjjr^tbhpc\9:WThbjcu]52ZIR\5;;2R]X0568wiis9=%xei!|ie]uklebW;%LBIQ=38]l[aoqamoyS{ocudY27XYtmeohxR89_NWW8479<11x`bz>4.qj`*unlV|denkP2.EM@Z441VeThdxfddp\rdjrmR;8QR}jldaw[30XG\^7=<0PSV270>ukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:6:7>37~b`t06,wlb({`nTzbgle^0,CKBX::3TcRjfvhffvZpfd|oP=>SPsdnfgqY1>VE^X1?=>^QT412<{ee=9!|ie-pmaYqg`inS?!HNE]17WfUoe{gkes]ueisbS89VS~kcebv\23YH]]6:83:7;rnlp42({`n$djPvnk`aZ4(OGNT>>7Po^fjrlbbzV|j`xkT12_\w`jbk}U=:RAZT=37:ZUP8=>0aa{15-pma)tamU}cdmj_3-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0<;1499phjr6<&ybh"}fd^tlmfcX:&MEHR<<9^m\`lpnllxTzlbzeZ30YZubdliS;8POTV?508X[^:?86}cou37+voc'zcoS{afcd]1+BHCW;92SbQkiwkgawYqienW<=R_rgoafrX>?UDYY2>6?66?vjh|8>$dj shf\rjodmV8$KCJP22;\kZbn~`nn~RxnltgX56[X{lfnoyQ96^MVP97168>?7~b`t06,wlb({`nTzbgle^0,CKBX::3TcRjfvhffvZpfd|oP=>SPsdnfgqY1>VE^X1?8>578wiis9=%xei!|ie]uklebW;%LBIQ=38]l[aoqamoyS{ocudY27XYtmeohxR89_NWW84199=90aa{15-pma)tamU}cdmj_3-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0<0;4:qokq73'zco#~gk_wmjg`Y5'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>2:4243zfdx<: shf,wlbX~fchiR< GOF\66?XgVnbzdjjr^tbhpc\9:WThbjcu]52ZIR\585895|lnv20*unl&ybhRx`ibg\6*AILV885RaPdhtj``tX~hf~iV?<]^qfh`esW?ukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:46==0aa{15-pma)tamU}cdmj_3-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0>0PSV277>ukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:36==0aa{15-pma)tamU}cdmj_3-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__090PSV277>ukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:26==0aa{15-pma)tamU}cdmj_3-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__080PSV277>ukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:16==0aa{15-pma)tamU}cdmj_3-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0;0PSV277>ukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:06==0aa{15-pma)tamU}cdmj_3-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0:0PSV277>ukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:?6==0aa{15-pma)tamU}cdmj_3-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__050PSV277>ukg};?#~gk/rkg[sinklU9#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:>6==0aa{15-pma)tamU}cdmj_3-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__040PSV20a>ukg};?#~gk/rkg[sinklU9#J@K_31:[jYbfUhcx`{esY23XYKmzy_dl`P58]l7c=tdf~:8"}fd.qj`ZphajoT>"IAD^00=ZiXmg|Tob{atdpX52[XDlyxXeoa_4;\k7243zfdx<: shf,wlbX~fchiR< GOF\66?XgVoezRm`uovfv^70UVFn~Zgao]6=Zi5WZ];?k5|lnv20*unl&ybhRx`ibg\6*AILV885RaPeot\gjsi|lxP=:SPLdqpPmgiW?;Tc>:?;rnlp42({`n$djPvnk`aZ4(OGNT>>7Po^gmrZeh}g~n~V?8]^NfwvRoigU==Ra<12d8wiis9=%xei!|ie]uklebW;%LBIQ=38]l[`hqWje~byk}[05^[Ict{]bjbR8:_n32a>ukg};?#~gk/rkg[sinklU9#AH\R=2=5c=tdf~:8"}fd.qj`ZphajoT>"BISS>24;7a3zfdx<: shf,wlbX~fchiR< LGQQ84799o1x`bz>4.qj`*unlV|denkP2.NEWW:6:7;m7~b`t06,wlb({`nTzbgle^0,HCUU4895=k5|lnv20*unl&ybhRx`ibg\6*JA[[6:83?i;rnlp42({`n$djPvnk`aZ4(DOYY0<;11g9phjr6<&ybh"}fd^tlmfcX:&FM__2>6?3e?vjh|8>$dj shf\rjodmV8$@K]]<05=5c=tdf~:8"}fd.qj`ZphajoT>"BISS>2<;7a3zfdx<: shf,wlbX~fchiR< LGQQ84?99l1x`bz>4.qj`*unlV|denkP2.NEWW:668l0aa{15-pma)tamU}cdmj_3-OBVT;:94:j6}cou37+voc'zcoS{afcd]1+I@TZ58:2?0>e:qokq73'zco#~gk_wmjg`Y5'ELX^1<11d9phjr6<&ybh"}fd^tlmfcX:&FM__2<>0g8wiis9=%xei!|ie]uklebW;%GJ^\34?3f?vjh|8>$dj shf\rjodmV8$@K]]<4<2a>ukg};?#~gk/rkg[sinklU9#AH\R=4=5`=tdf~:8"}fd.qj`ZphajoT>"BISS>4:4c<{ee=9!|ie-pmaYqg`inS?!CFRP?<;7b3zfdx<: shf,wlbX~fchiR< LGQQ8<84?2ygcy?;/rkg+vocWebohQ=/MDPV^45UVMEHR<<9^m\ip~X9?UDYY2?>2:8wiis9=%xei!|ie]uklebW;%GJ^\T23_\CKBX::3TcRczx^35[JSS48:5?55|lnv20*unl&ybhRx`ibg\6*JA[[Q9>PQHNE]172?1;?vjh|8>$dj shf\rjodmV8$@K]][30^[BHCW;92SbQbuy]22ZIR\5;82>64smmw51)tam%xeiQyohaf[7)KNZXP>?SPGOF\66?XgVg~tR?9_NWW8429;11x`bz>4.qj`*unlV|denkP2.NEWW]5:TULBIQ=38]l[hsW8ukg};?#~gk/rkg[sinklU9#AH\RZ01YZAILV885RaPmtz\53YH]]6::3=7;rnlp42({`n$djPvnk`aZ4(DOYYW?WfUfyuQ>6^MVP9706:20aa{15-pma)tamU}cdmj_3-OBVT\:;WTKCJP22;\kZkrpV;=SB[[<0:=7==tdf~:8"}fd.qj`ZphajoT>"BISSY16XY@FMU9?4Q`_lw{[40XG\^7=40<7:qokq73'zco#~gk_wmjg`Y5'ELX^V<=]^EM@Z441VeTaxvP17]LQQ:66:20aa{15-pma)tamU}cdmj_3-OBVT\:;WTKCJP22;\kZkrpV;=SB[[<32=7==tdf~:8"}fd.qj`ZphajoT>"BISSY16XY@FMU9?4Q`_lw{[40XG\^7><0<8:qokq73'zco#~gk_wmjg`Y5'ELX^V<=]^EM@Z441VeTaxvP17]LQQ:5:79<7~b`t06,wlb({`nTzbgle^0,HCUUS;8VSJ@K_31:[jYj}qU::RAZT=0=72=tdf~:8"}fd.qj`ZphajoT>"BISSY16XY@FMU9?4Q`_lw{[40XG\^7?3=8;rnlp42({`n$djPvnk`aZ4(DOYYW?WfUfyuQ>6^MVP929;>1x`bz>4.qj`*unlV|denkP2.NEWW]5:TULBIQ=38]l[hsW8$dj shf\rjodmV8$@K]][30^[BHCW;92SbQbuy]22ZIR\5<5?:5|lnv20*unl&ybhRx`ibg\6*JA[[Q9>PQHNE]17VE^X161369phjr6<&ybh"}fd^tlmfcX:&FM__U=2\]DJAY5;0UdS`{w_04\KPR;17;o7~b`t06,wlb({`nTzbgle^0,HCUUW9;o7~b`t06,wlb({`nTzbgle^0,HCUUW8;n7~b`t06,wlb({`nTzbgle^0,HCUUW8::i6}cou37+voc'zcoS{afcd]1+I@TZV;:=h5|lnv20*unl&ybhRx`ibg\6*JA[[U:>?j;rnlp42({`n$djPvnk`aZ4(DOYYS<:>e:qokq73'zco#~gk_wmjg`Y5'ELX^R?:1d9phjr6<&ybh"}fd^tlmfcX:&FM__Q>60g8wiis9=%xei!|ie]uklebW;%GJ^\P163f?vjh|8>$dj shf\rjodmV8$@K]]_0:2a>ukg};?#~gk/rkg[sinklU9#AH\R^3:5a=tdf~:8"}fd.qj`ZphajoT>"BISS]15`=tdf~:8"}fd.qj`ZphajoT>"BISS]144c<{ee=9!|ie-pmaYqg`inS?!CFRP\647b3zfdx<: shf,wlbX~fchiR< LGQQ[746l2ygcy?;/rkg+vocWebohQ=/MDPVZ56l2ygcy?;/rkg+vocWebohQ=/MDPVZ26l2ygcy?;/rkg+vocWebohQ=/MDPVZ36l2ygcy?;/rkg+vocWebohQ=/MDPVZ06l2ygcy?;/rkg+vocWebohQ=/MDPVZ16l2ygcy?;/rkg+vocWebohQ=/MDPVZ>6l2ygcy?;/rkg+vocWebohQ=/MDPVZ?5n2ygcy?;/rkg+vocWebohQ=/Lc`gpkXiji~axbntnp\g|:76::0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZe~48:5?=5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWjs7=<0<0:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxTot2>2?13?vjh|8>$dj shf\rjodmV8$Almlul]bgfsj}ekcQly=30:66<{ee=9!|ie-pmaYqg`inS?!BabaviZgdk|g~`lz`r^az8429;91x`bz>4.qj`*unlV|denkP2.ObgfsjWhihy`{caumq[f;9<48<6}cou37+voc'zcoS{afcd]1+Hgdk|gTmnmzmtnbpjtXkp6::3=<;rnlp42({`n$djPvnk`aZ4(Ehihy`Qncbwnqigsg{Uhu1?9>^pw75=tdf~:8"}fd.qj`ZphajoT>"Cncbwn[ded}dgmya}_b{?5284;2ygcy?;/rkg+vocWebohQ=/Lc`gpkXiji~axbntnp\g|:6?7Uyx>>4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVir0<61329phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySnw319<\vq573zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]`}97>6:90aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZe~4835Sz=f:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxTot2>>228wiis9=%xei!|ie]uklebW;%Fmnmzm^c`gpkrdh~d~Rmv<32=76=tdf~:8"}fd.qj`ZphajoT>"Cncbwn[ded}dgmya}_b{?658Xz}9;7~b`t06,wlb({`nTzbgle^0,Ided}dUjon{bumcwkwYdq58:2>=4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVir0??1_sv04>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pcx>16;543zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]`}9456Vx>k5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWjs7>3$dj shf\rjodmV8$Almlul]bgfsj}ekcQly=7=6c=tdf~:8"}fd.qj`ZphajoT>"Cncbwn[ded}dgmya}_b{?2;4a3zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]`}919:o1x`bz>4.qj`*unlV|denkP2.ObgfsjWhihy`{caumq[f;078m7~b`t06,wlb({`nTzbgle^0,Ided}dUjon{bumcwkwYdq535?=5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWe0=0<1:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxT`xz311<05>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pltv?548492ygcy?;/rkg+vocWebohQ=/Lc`gpkXiji~axbntnp\hpr;9;48=6}cou37+voc'zcoS{afcd]1+Hgdk|gTmnmzmtnbpjtXd|~7=>0<1:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxT`xz315<05>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pltv?508482ygcy?;/rkg+vocWebohQ=/Lc`gpkXiji~axbntnp\hpr;979;7~b`t06,wlb({`nTzbgle^0,Ided}dUjon{bumcwkwYk}}692>>4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVf~x1=1319phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySa{{<5<04>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pltv?1;573zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]oqq:16::0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZjr|5=5?=5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWe050<0:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxT`xz39?13?vjh|8>$dj shf\rjodmV8$Almlul]bgfsj}ekcQwos>3:67<{ee=9!|ie-pmaYqg`inS?!BabaviZgdk|g~`lz`r^zlv9776:;0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZ~hz5;:2>?4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVrd~1?=>238wiis9=%xei!|ie]uklebW;%Fmnmzm^c`gpkrdh~d~Rv`r=30:67<{ee=9!|ie-pmaYqg`inS?!BabaviZgdk|g~`lz`r^zlv9736:;0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZ~hz5;>2>?4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVrd~1?9>238wiis9=%xei!|ie]uklebW;%Fmnmzm^c`gpkrdh~d~Rv`r=34:67<{ee=9!|ie-pmaYqg`inS?!BabaviZgdk|g~`lz`r^zlv97?6:;0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZ~hz5;22>>4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVrd~1?1309phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySua}<32=74=tdf~:8"}fd.qj`ZphajoT>"Cncbwn[ded}dgmya}_ymq8779;81x`bz>4.qj`*unlV|denkP2.ObgfsjWhihy`{caumq[}iu4;85?<5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWqey0?=1359phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySua}<31=[wr482ygcy?;/rkg+vocWebohQ=/Lc`gpkXiji~axbntnp\|jt;:79;7~b`t06,wlb({`nTzbgle^0,Ided}dUjon{bumcwkwYg{682>>4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVrd~1:1319phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySua}<4<04>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pxnp?2;573zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]{kw:06::0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZ~hz525?=5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWqey040:1:qokq73'zco#~gk_wmjg`Y5'Dida}o}_qwow`qnl}bP=>SPLdqpPmgiW88TcRmv<1<65>ukg};?#~gk/rkg[sinklU9#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS<=6}cou37+voc'zcoS{afcd]1+HeheykyS}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44XgVir0>0:1:qokq73'zco#~gk_wmjg`Y5'Dida}o}_qwow`qnl}bP=>SPLdqpPmgiW88TcRmv<5<65>ukg};?#~gk/rkg[sinklU9#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS<>408wiis9=%xei!|ie]uklebW;%Fobcas]sqiub`ndV?>]^c`gpkrdh~d~V<<]^NfwvRoigU:>RaPltv?6;353zfdx<: shf,wlbX~fchiR< MbmntdtXx|fxizgktiY25XYfkjfyao{osY17XYKmzy_dl`P13]l[iss4:4>>6}cou37+voc'zcoS{afcd]1+HeheykyS}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44XgVf~x1:1509phjr6<&ybh"}fd^tlmfcX:&Ghc`~nr^rvhvcpam~cW"ClolrbvZvrdzo|eizg[03^[ded}dgmya}[31^[Ict{]bjbR?=_n]oqqbn9<80aa{15-pma)tamU}cdmj_3-Ngjkwi{U{ya}jwhfwl^76UVkhoxczl`vlv^44UVFn~Zgao]26ZiXd|~oe?;=;rnlp42({`n$djPvnk`aZ4(Ejef|l|Pptnparoc|aQ:=PQncbwnqigsg{Q9?PQCerqWldhX9;UdSa{{dh166>ukg};?#~gk/rkg[sinklU9#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS<4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWjs7<3=9;rnlp42({`n$djPvnk`aZ4(Eje~byQkiwkgawYdg|diQly=2=[wr4<2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXkp6:<3=;;rnlp42({`n$djPvnk`aZ4(Eje~byQkiwkgawYdg|diQly=32:62<{ee=9!|ie-pmaYqg`inS?!BcnwmpZbn~`nn~Rm`uovfvZe~4885?95|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySnw312<00>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\g|:6<79?7~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Uhu1?:>268wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^az8409;:1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWjs7=3=<;rnlp42({`n$djPvnk`aZ4(Eje~byQkiwkgawYdg|diQly=0=76=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[f;;7987~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Uhu1:1329phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_b{?1;543zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYdq5<5?>5|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySnw37?10?vjh|8>$dj shf\rjodmV8$Anaznu]gmsocm{Uhcx`{es]`}9>9;:1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWjs753=<;rnlp42({`n$djPvnk`aZ4(Eje~byQkiwkgawYdg|diQjqo]371=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[`wiW9;8?6}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxTi|`P1268wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^grjZ77;<1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWl{eS<>>359phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_dsm[474=2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXmxdT=<=;;rnlp42({`n$djPvnk`aZ4(Eje~byQkiwkgawYdg|diQjqo]2763<{ee=9!|ie-pmaYqg`inS?!BcnwmpZbn~`nn~Rm`uovfvZcvfV;8=>:4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rk~n^3770=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[`wiW8>:?95|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySha_0701>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\athX9<;886}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxTi|`P1716?vjh|8>$dj shf\rjodmV8$Anaznu]gmsocm{Uhcx`{es]fukY6>89?7~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Un}cQ>7278wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^grjZ709:90aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVozbR<<4:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pepl\64543zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYbygU8?95|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySha_2307>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\athX<:>0aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVozbR:>329phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_dsm[0533zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYbygU>=>=4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rk~n^400>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\athX>8987~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Un}cQ8359phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_dsm[274;2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXmxdT4>:4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rk~n^:276=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[`wiW09?7~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Un}cQ61278wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^nvp9776:h0aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVf~x1??>^KMRZ64=2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXd|~7=<0;4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rbzt=31:6d<{ee=9!|ie-pmaYqg`inS?!BcnwmpZbn~`nn~Rm`uovfvZjr|5;92RGAV^201>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\hpr;9:48n6}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxT`xz312<\MKPX8:?0aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVf~x1?;>2`8wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^nvp9736VCEZR><5:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pltv?5084j2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXd|~7=80PIOT\463<{ee=9!|ie-pmaYqg`inS?!BcnwmpZbn~`nn~Rm`uovfvZjr|5;=2>l4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rbzt=35:ZOI^V:896}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxT`xz316<0f>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\hpr;9>4TECXP0268wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^nvp979;h1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWe0<0PIOT\462<{ee=9!|ie-pmaYqg`inS?!BcnwmpZbn~`nn~Rm`uovfvZjr|585?l5|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySa{{<3<\MKPX8:>0aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVf~x1=13`9phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_mww868XAG\T<>:4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rbzt=6=7d=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[iss4=4TECXP0268wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^nvp939;h1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWe080PIOT\462<{ee=9!|ie-pmaYqg`inS?!BcnwmpZbn~`nn~Rm`uovfvZjr|5<5?l5|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySa{{<7<\MKPX8:>0aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVf~x1913`9phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_mww828XAG\T<>:4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rbzt=:=7d=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[iss414TECXP0268wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^nvp9?9;h1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWe040PIOT\462<{ee=9!|ie-pmaYqg`inS?!BcnwmpZbn~`nn~Rm`uovfvZ~hz5:5?85|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySua}<02=70=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[}iu48;5?85|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySua}<00=70=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[}iu4895?85|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySua}<06=70=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[}iu48?5?85|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySua}<04=70=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[}iu48=5?95|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySua}<0<00>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\|jt;:79?7~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Usc2<>268wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^zlv929;=1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWqey080<4:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pxnp?2;533zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYg{6<2>:4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rv`r=:=71=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[}iu4049j6}cou37+voc'zcoS{afcd]1+Heh}g~TicxPcnwmp`tXkp6;2>>4smmw51)tam%xeiQyohaf[7)JkfexRkav^alqkrbzVir0<>1319phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_dlu[firf}oySnw310<04>ukg};?#~gk/rkg[sinklU9#@m`uov\akpXkfexh|Pcx>26;573zfdx<: shf,wlbX~fchiR< MbmvjqYbfUhcx`{es]`}9746::0aa{15-pma)tamU}cdmj_3-Ngjsi|VoezRm`uovfvZe~48>5?=5|lnv20*unl&ybhRx`ibg\6*Kdg|dSh`y_bmvjqcuWjs7=80<0:qokq73'zco#~gk_wmjg`Y5'DidyczPeot\gjsi|lxTot2>6?0e?vjh|8>$dj shf\rjodmV8$Anaznu]fjsYdg|diQly=3=6c=tdf~:8"}fd.qj`ZphajoT>"Clotlw[`hqWje~byk}_b{?6;4a3zfdx<: shf,wlbX~fchiR< MbmvjqYbfUhcx`{es]`}959:o1x`bz>4.qj`*unlV|denkP2.O`kphsWld}Snaznugq[f;<78m7~b`t06,wlb({`nTzbgle^0,Ifirf}Unb{QlotlwawYdq5?5>k5|lnv20*unl&ybhRx`ibg\6*Kdg|dSh`y_bmvjqcuWjs7:3$dj shf\rjodmV8$Anaznu]fjsYdg|diQly=;=75=tdf~:8"}fd.qj`ZphajoT>"Clotlw[`hqWje~byk}_mww858492ygcy?;/rkg+vocWebohQ=/LalqkrXmg|Tob{atdp\hpr;9948=6}cou37+voc'zcoS{afcd]1+Heh}g~TicxPcnwmp`tXd|~7=<0<1:qokq73'zco#~gk_wmjg`Y5'DidyczPeot\gjsi|lxT`xz313<05>ukg};?#~gk/rkg[sinklU9#@m`uov\akpXkfexh|Pltv?568492ygcy?;/rkg+vocWebohQ=/LalqkrXmg|Tob{atdp\hpr;9=48=6}cou37+voc'zcoS{afcd]1+Heh}g~TicxPcnwmp`tXd|~7=80<1:qokq73'zco#~gk_wmjg`Y5'DidyczPeot\gjsi|lxT`xz317<05>ukg};?#~gk/rkg[sinklU9#@m`uov\akpXkfexh|Pltv?528482ygcy?;/rkg+vocWebohQ=/LalqkrXmg|Tob{atdp\hpr;979;7~b`t06,wlb({`nTzbgle^0,Ifirf}Unb{QlotlwawYk}}692>>4smmw51)tam%xeiQyohaf[7)JkfexRkav^alqkrbzVf~x1=1319phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_dlu[firf}oySa{{<5<04>ukg};?#~gk/rkg[sinklU9#@m`uov\akpXkfexh|Pltv?1;573zfdx<: shf,wlbX~fchiR< MbmvjqYbfUhcx`{es]oqq:16::0aa{15-pma)tamU}cdmj_3-Ngjsi|VoezRm`uovfvZjr|5=5?=5|lnv20*unl&ybhRx`ibg\6*Kdg|dSh`y_bmvjqcuWe050<0:qokq73'zco#~gk_wmjg`Y5'DidyczPeot\gjsi|lxT`xz39?13?vjh|8>$dj shf\rjodmV8$Anaznu]fjsYdg|diQwos>3:67<{ee=9!|ie-pmaYqg`inS?!BcnwmpZci~Vidyczjr^zlv9776:;0aa{15-pma)tamU}cdmj_3-Ngjsi|VoezRm`uovfvZ~hz5;:2>?4smmw51)tam%xeiQyohaf[7)JkfexRkav^alqkrbzVrd~1?=>238wiis9=%xei!|ie]uklebW;%Fob{at^gmrZeh}g~n~Rv`r=30:67<{ee=9!|ie-pmaYqg`inS?!BcnwmpZci~Vidyczjr^zlv9736:;0aa{15-pma)tamU}cdmj_3-Ngjsi|VoezRm`uovfvZ~hz5;>2>?4smmw51)tam%xeiQyohaf[7)JkfexRkav^alqkrbzVrd~1?9>238wiis9=%xei!|ie]uklebW;%Fob{at^gmrZeh}g~n~Rv`r=34:66<{ee=9!|ie-pmaYqg`inS?!BcnwmpZci~Vidyczjr^zlv979;91x`bz>4.qj`*unlV|denkP2.O`kphsWld}Snaznugq[}iu4;48<6}cou37+voc'zcoS{afcd]1+Heh}g~TicxPcnwmp`tXpfx7?3=?;rnlp42({`n$djPvnk`aZ4(Eje~byQjnw]`kphsm{Usc2;>228wiis9=%xei!|ie]uklebW;%Fob{at^gmrZeh}g~n~Rv`r=7=75=tdf~:8"}fd.qj`ZphajoT>"Clotlw[`hqWje~byk}_ymq838482ygcy?;/rkg+vocWebohQ=/LalqkrXmg|Tob{atdp\|jt;?79;7~b`t06,wlb({`nTzbgle^0,Ifirf}Unb{QlotlwawYg{632>>4smmw51)tam%xeiQyohaf[7)JkfexRkav^alqkrbzVrd~1714g9phjr6<&ybh"}fd^tlmfcX:&GfyazPshemeiYj}qQ:;PQjnw]`kphsm{Q:;PQBuMv\5=YH]]UFmijP1870?vjh|8>$dj shf\rjodmV8$A`{ct^qjckgkWdsW<9R_dlu[firf}oyW<9R_LwOpZ7?WF__S@okd^3:[VQ7=81x`bz>4.qj`*unlV|denkP2.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]pqgkrd}U;995|lnv20*unl&ybhRx`ibg\6*Kj}e~Tdiaam]nq}]6?TUnb{Qlotlwaw]6?TUFyAzP19]LQQYt}kg~`yQ?_0260>ukg};?#~gk/rkg[sinklU9#@czlu]pmbhfdVg~tV?8]^gmrZeh}g~n~V?8]^OvHqY60VE^XR}zblwopZ6X98??7~b`t06,wlb({`nTzbgle^0,Ihsk|Vybkcoc_lw{_41ZWld}Snaznugq_41ZWDGxR?7_NWW[vsee|fS=Q>2468wiis9=%xei!|ie]uklebW;%Faxb{_rkdjdjXe|rP=:SPeot\gjsi|lxP=:SPMtNw[4>XG\^Txlbumv\4Z74==1x`bz>4.qj`*unlV|denkP2.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]pqgkrd}U;S<::4:qokq73'zco#~gk_wmjg`Y5'Dg~`yQ|iflbhZkrpR;WTicxPcnwmp`t\9>WTAxB{_0:\KPRX{|hfyazP0^3411=tdf~:8"}fd.qj`ZphajoT>"Cbumv\wlaiieUfyuU>7\]fjsYdg|diU>7\]NqIrX91UDYYQ|ucovhqY7W82>86}cou37+voc'zcoS{afcd]1+Hkrd}Uxej`nl^ov|^70UVoezRm`uovfv^70UVG~@yQ>8^MVPZurjdgxR>P1870?vjh|8>$dj shf\rjodmV8$A`{ct^qjckgkWdsW<9R_dlu[firf}oyW<9R_LwOpZ7?WF__S~{mmtnw[5Y?=:1x`bz>4.qj`*unlV|denkP2.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]pqgkrd}U;S4<7;rnlp42({`n$djPvnk`aZ4(EdsSIkl_sgo85869;20aa{15-pma)tamU}cdmj_3-Nip~XLliT~hb31?326==tdf~:8"}fd.qj`ZphajoT>"Cbuy]GafYume692WfUfyuQ>6^MVP474k2ygcy?;/rkg+vocWebohQ=/Lov|ZJA[[Q9>PQHNE]17"Cbuy]OBVT\:;WTKCJP22;\kZkrpV;=SB[[1530g>ukg};?#~gk/rkg[sinklU9#@czx^NEWW]5:TULBIQ=38]l[hsW8501`?vjh|8>$dj shf\rjodmV8$A`{w_MDPV^45UVMEHR<<9^m\ip~X9?UDYY?912a8wiis9=%xei!|ie]uklebW;%FaxvPLGQQ_74ZWNDOS?=6_n]nq}Y6>VE^X<9>3b9phjr6<&ybh"}fd^tlmfcX:&GfyuQCFRPX67[XOGNT>>7Po^ov|Z71WF__=5?l4smmw51)tam%xeiQyohaf[7)Je|rT@K]][30^[BHCW;92SbQbuy]22ZIR\;;8o6}cou37+voc'zcoS{afcd]1+HkrpVFM__U=2\]DJAY5;0UdS`{w_04\KPR5989h7~b`t06,wlb({`nTzbgle^0,IhsWELX^V<=]^EM@Z441VeTaxvP17]LQQ459:i0aa{15-pma)tamU}cdmj_3-Nip~XDOYYW?WfUfyuQ>6^MVP756;k1x`bz>4.qj`*unlV|denkP2.Onq}YKNZXP>?SPGOF\66?XgVg~tR?9_NWW745e3zfdx<: shf,wlbX~fchiR< Mlw{[I@TZR89QRIAD^00=ZiXe|rT=;Q@UU627g=tdf~:8"}fd.qj`ZphajoT>"Cbuy]OBVT\:;WTKCJP22;\kZkrpV;=SB[[501a?vjh|8>$dj shf\rjodmV8$A`{w_MDPV^45UVMEHR<<9^m\ip~X9?UDYY8>3c9phjr6<&ybh"}fd^tlmfcX:&GfyuQCFRPX67[XOGNT>>7Po^ov|Z71WF__;<=m;rnlp42({`n$djPvnk`aZ4(EdsSAH\RZ01YZAILV885RaPmtz\53YH]]2:?o5|lnv20*unl&ybhRx`ibg\6*Kj}qUGJ^\T23_\CKBX::3TcRczx^35[JSS188h7~b`t06,wlb({`nTzbgle^0,IhsWmc}eik}_wcoq`779;i0aa{15-pma)tamU}cdmj_3-Nip~Xl`|bhh|Pv`nva476:j1x`bz>4.qj`*unlV|denkP2.Onq}YcacoiQyamwf5775k2ygcy?;/rkg+vocWebohQ=/Lov|Zbn~`nn~Rxnltg2744d3zfdx<: shf,wlbX~fchiR< Mlw{[aoqamoyS{ocud3757e<{ee=9!|ie-pmaYqg`inS?!Bmtz\`lpnllxTzlbze0726g=tdf~:8"}fd.qj`ZphajoT>"Cbuy]gmsocm{U}ma{j170a?vjh|8>$dj shf\rjodmV8$A`{w_ekumacuWkgyh?82b9phjr6<&ybh"}fd^tlmfcX:&GfyuQkiwkgawYqien=:?=b:qokq73'zco#~gk_wmjg`Y5'Dg~tRjfvhffvZpfd|o9=?l4smmw51)tam%xeiQyohaf[7)Je|rThdxfddp\rdjrm:;9n6}cou37+voc'zcoS{afcd]1+HkrpVnbzdjjr^tbhpc39;h0aa{15-pma)tamU}cdmj_3-Nip~Xl`|bhh|Pv`nva075j2ygcy?;/rkg+vocWebohQ=/Lov|Zbn~`nn~Rxnltg557d<{ee=9!|ie-pmaYqg`inS?!Bmtz\`lpnllxTzlbze631f>ukg};?#~gk/rkg[sinklU9#@czx^fjrlbbzV|j`xk713`8wiis9=%xei!|ie]uklebW;%FaxvPdhtj``tX~hf~i4?;0:qokq73'zco#~gk_wmjg`Y5'DxjaRO{t^ffg^1ZWY]YS?<;_n]tmacXe|rT:4Q@UU62?vjh|8>$dj shf\rjodmV8$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP4253zfdx<: shf,wlbX~fchiR< Mscn[DrsWmohW:SPPVP\672XgV}bhhQbuy]5=ZIR\8:??6}cou37+voc'zcoS{afcd]1+HtfeVKxRjjcZ5^[UQUW;8?SbQxieg\ip~X>0UDYY??1508wiis9=%xei!|ie]uklebW;%F~lcPAuv\``e\?TU[[_Q=25]l[rocmVg~tR86_NWW54243zfdx<: shf,wlbX~fchiR< Mscn[DrsWmohW:SPPVP\672XgV}bhhQbuy]5=ZIR\8;:895|lnv20*unl&ybhRx`ibg\6*KuidUJxyQkebY4YZVPZV898RaPwhff[hsW?3TCXZ>10376>ukg};?#~gk/rkg[sinklU9#@|nm^CwpZbbkR=VS]Y]_307[jYpamoTaxvP68]LQQ75<:1x`bz>4.qj`*unlV|denkP2.OqehYF|}UoinU8]^RTVZ45<::;rnlp42({`n$djPvnk`aZ4(E{kfSLz{_eg`_2[XX^XT>?:Po^uj``Yj}qU=5RAZT00254233zfdx<: shf,wlbX~fchiR< Mscn[DrsWmohW:SPPVP\672XgV}bhhQbuy]5=ZIR\889=9<4smmw51)tam%xeiQyohaf[7)JzhgTMyzPddaX3XYW_[U9>9Q`_vkgaZkrpV<2SB[[1560?vjh|8>$dj shf\rjodmV8$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP426<81x`bz>4.qj`*unlV|denkP2.OqehYF|}UoinU8]^RTVZ45:7~b`t06,wlb({`nTzbgle^0,IwgjWH~Sikl[6_\TRTX:;>TcRyfdd]nq}Y11VE^X9:=;rnlp42({`n$djPvnk`aZ4(E{kfSLz{_eg`_2[XX^XT>?:Po^uj``Yj}qU=5RAZT5375>ukg};?#~gk/rkg[sinklU9#@|nm^CwpZbbkR=VS]Y]_307[jYpamoTaxvP68]LQQ33:2ygcy?;/rkg+vocWebohQ=/LpbiZGs|VnnoV9R_QUQ[743WfU|eikPmtz\2WF__;<:>;rnlp42({`n$djPvnk`aZ4(E{kfSLz{_eg`_2[XX^XT>?:Po^uj``Yj}qU=5RAZT961?vjh|8>$dj shf\rjodmV8$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP=7392ygcy?;/rkg+vocWebohQ=/LpbiZGs|VnnoV9R_QUQ[743WfU|eikPmtz\26}cou37+voc'zcoS{afcd]1+HtfeVKxRjjcZ5^[UQUW;8?SbQxieg\ip~X>0UDYY7>419phjr6<&ybh"}fd^tlmfcX:&Gym`QKeb]qai]4UVZ\^R<=4^m\slbbWdsS:=POTV75>ukg};?#~gk/rkg[sinklU9#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ73:2ygcy?;/rkg+vocWebohQ=/LpbiZBbkVxn`V=R_QUQ[743WfU|eikPmtz\36YH]];;8>5|lnv20*unl&ybhRx`ibg\6*KuidUOinQ}emY0YZVPZV898RaPwhff[hsW>9TCXZ>0061?vjh|8>$dj shf\rjodmV8$Aob_Eg`[wckS:WT\Z\P236\kZqnllUfyuQ83^MVP473;2ygcy?;/rkg+vocWebohQ=/LpbiZBbkVxn`V=R_QUQ[743WfU|eikPmtz\36YH]];:=9:4smmw51)tam%xeiQyohaf[7)JzhgTHhmPrdnX7XYW_[U9>9Q`_vkgaZkrpV=8SB[[103207=tdf~:8"}fd.qj`ZphajoT>"C}al]GafYumeQ8QR^XR^010ZiX`nnS`{w_61\KPR6:=90aa{15-pma)tamU}cdmj_3-NvdkXLliT~hbT3\]SSWY5:=UdSzgke^ov|Z14WF__=??;2:qokq73'zco#~gk_wmjg`Y5'DxjaRJjc^pfh^5ZWY]YS?<;_n]tmacXe|rT;>Q@UU3004=tdf~:8"}fd.qj`ZphajoT>"C}al]GafYumeQ8QR^XR^010ZiX`nnS`{w_61\KPR5<;1x`bz>4.qj`*unlV|denkP2.OqehYCmjUyiaU<]^RTVZ459Q`_vkgaZkrpV=8SB[[3508wiis9=%xei!|ie]uklebW;%F~lcPDda\v`j\;TU[[_Q=25]l[rocmVg~tR9<_NWW74263zfdx<: shf,wlbX~fchiR< Mscn[AcdW{ogW>SPPVP\672XgV}bhhQbuy]47ZIR\=>97~b`t06,wlb({`nTzbgle^0,IwgjWMohSkc[2_\TRTX:;>TcRyfdd]nq}Y0;VE^X9?;1:qokq73'zco#~gk_wmjg`Y5'DxjaRJjc^pfh^5ZWY]YS?<;_n]tmacXe|rT;>Q@UU776>ukg};?#~gk/rkg[sinklU9#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ36<81x`bz>4.qj`*unlV|denkP2.OqehYCmjUyiaU<]^RTVZ459TCXZ91518wiis9=%xei!|ie]uklebW;%F~lcPDda\v`j\;TU[[_Q=25]l[rocmVg~tR9<_NWW247392ygcy?;/rkg+vocWebohQ=/LpbiZBbkVxn`V=R_QUQ[743WfU|eikPmtz\36YH]]=?>6}cou37+voc'zcoS{afcd]1+HtfeVNnoR|jlZ1^[UQUW;8?SbQxieg\ip~X?:UDYY9>409phjr6<&ybh"}fd^tlmfcX:&Gym`QKeb]qai]4UVZ\^R<=4^m\slbbWdsS:=POTV;07=tdf~:8"}fd.qj`ZphajoT>"C}al]GafYumeQ8QR^XR^010ZiX`nnS`{w_61\KPR?9=;0aa{15-pma)tamU}cdmj_3-NvdkXLliT~hbT3\]SSWY5:=UdSzgke^ov|Z14WF__59<4smmw51)tam%xeiQyohaf[7)JzhgTHhmPrdnX7XYW_[U9>9Q`_vkgaZkrpV=8SB[[901f?vjh|8>$dj shf\rjodmV8$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<1<0b>ukg};?#~gk/rkg[sinklU9#]OPMR@\mkYfkjfyao{osY17XYj}qU:>RAZT=2=56`<{ee=9!|ie-pmaYqg`inS?!_A^OPFZoiWhihy`{caumq_75ZWdsS<2^MVP977689m7~b`t06,wlb({`nTzbgle^0,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS48;58=5|lnv20*unl&ybhRx`ibg\6*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]6:=3?>01f?vjh|8>$dj shf\rjodmV8$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<3<0b>ukg};?#~gk/rkg[sinklU9#]OPMR@\mkYfkjfyao{osY17XYj}qU:>RAZT=0=56c<{ee=9!|ie-pmaYqg`inS?!_A^OPFZoiWhihy`{caumq_75ZWdsS<4.qj`*unlV|denkP2.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:36:l0aa{15-pma)tamU}cdmj_3-SEZKTJVceSlmlulwoeqiuS;9VS`{w_00\KPR;<7;8i6}cou37+voc'zcoS{afcd]1+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\5?5?k5|lnv20*unl&ybhRx`ibg\6*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]6>2<=j;rnlp42({`n$djPvnk`aZ4(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__0;0$dj shf\rjodmV8$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<6<27`=tdf~:8"}fd.qj`ZphajoT>"^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>;:6`<{ee=9!|ie-pmaYqg`inS?!_A^OPFZoiWhihy`{caumq_75ZWdsS<2^MVP9?9;o1x`bz>4.qj`*unlV|denkP2.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:>688>7~b`t06,wlb({`nTzbgle^0,TDYJ[KUbbR~}ew03?vjh|8>$dj shf\rjodmV8$^h}zlu>3:77<{ee=9!|ie-pmaYqg`inS?!]erwop9699;;0aa{15-pma)tamU}cdmj_3-Qavsk|5:5>??4smmw51)tam%xeiQyohaf[7)Umzgx1??>308wiis9=%xei!|ie]uklebW;%Yi~{ct=33:4453zfdx<: shf,wlbX~fchiR< Rdqvhq:68789=6}cou37+voc'zcoS{afcd]1+Wct}e~7=<0=2:qokq73'zco#~gk_wmjg`Y5'[oxyaz310<267=tdf~:8"}fd.qj`ZphajoT>"\jstnw8479:;;0aa{15-pma)tamU}cdmj_3-Qavsk|5;92?<4smmw51)tam%xeiQyohaf[7)Umzgx1?=>001?vjh|8>$dj shf\rjodmV8$^h}zlu>26;4592ygcy?;/rkg+vocWebohQ=/Sgpqir;9:49>6}cou37+voc'zcoS{afcd]1+Wct}e~7=>0>239phjr6<&ybh"}fd^tlmfcX:&Xnxb{<01=677<{ee=9!|ie-pmaYqg`inS?!]erwop9736;80aa{15-pma)tamU}cdmj_3-Qavsk|5;?2<<=;rnlp42({`n$djPvnk`aZ4(Zly~`y2>4?015>ukg};?#~gk/rkg[sinklU9#_k|umv?5085:2ygcy?;/rkg+vocWebohQ=/Sgpqir;9<4:>?5|lnv20*unl&ybhRx`ibg\6*Tb{|f0<;12338wiis9=%xei!|ie]uklebW;%Yi~{ct=35:74<{ee=9!|ie-pmaYqg`inS?!]erwop97168897~b`t06,wlb({`nTzbgle^0,V`urd}6::3<=1:qokq73'zco#~gk_wmjg`Y5'[oxyaz316<16>ukg};?#~gk/rkg[sinklU9#_k|umv?5286:;1x`bz>4.qj`*unlV|denkP2.Pfwpjs48=5>??4smmw51)tam%xeiQyohaf[7)Umzgx1?7>338wiis9=%xei!|ie]uklebW;%Yi~{ct=3::76<{ee=9!|ie-pmaYqg`inS?!]erwop979:81x`bz>4.qj`*unlV|denkP2.Pfwpjs484:><5|lnv20*unl&ybhRx`ibg\6*Tb{|f0<0=209phjr6<&ybh"}fd^tlmfcX:&Xnxb{<32=64=tdf~:8"}fd.qj`ZphajoT>"\jstnw8779:81x`bz>4.qj`*unlV|denkP2.Pfwpjs4;85><5|lnv20*unl&ybhRx`ibg\6*Tb{|f0?=1219phjr6<&ybh"}fd^tlmfcX:&Xnxb{<3<15>ukg};?#~gk/rkg[sinklU9#_k|umv?6;7592ygcy?;/rkg+vocWebohQ=/Sgpqir;:789<6}cou37+voc'zcoS{afcd]1+Wct}e~7?3<>;rnlp42({`n$djPvnk`aZ4(Zly~`y2<>002?vjh|8>$dj shf\rjodmV8$^h}zlu>0:7473zfdx<: shf,wlbX~fchiR< Rdqvhq:36;;0aa{15-pma)tamU}cdmj_3-Qavsk|5>5=??4smmw51)tam%xeiQyohaf[7)Umzgx1:12328wiis9=%xei!|ie]uklebW;%Yi~{ct=7=64=tdf~:8"}fd.qj`ZphajoT>"\jstnw8086:81x`bz>4.qj`*unlV|denkP2.Pfwpjs4<49>=5|lnv20*unl&ybhRx`ibg\6*Tb{|f0;0=1:qokq73'zco#~gk_wmjg`Y5'[oxyaz36?315>ukg};?#~gk/rkg[sinklU9#_k|umv?2;4582ygcy?;/rkg+vocWebohQ=/Sgpqir;?78:7~b`t06,wlb({`nTzbgle^0,V`urd}6<2<<>;rnlp42({`n$djPvnk`aZ4(Zly~`y28>303?vjh|8>$dj shf\rjodmV8$^h}zlu>;:77<{ee=9!|ie-pmaYqg`inS?!]erwop9>99;;0aa{15-pma)tamU}cdmj_3-Qavsk|525>?>4smmw51)tam%xeiQyohaf[7)Umzgx171209phjr6<&ybh"}fd^tlmfcX:&Xnxb{<8<264=tdf~:8"}fd.qj`ZphajoT>"\jstnw8<85>91x`bz>4.qj`*unlV|denkP2.]\[]JIEVUT;9QP_LaliuguWyghyfdujX54[Xiji~axbntnpX66[XDlyxXeoa_00\kZjr|mc==6}cou37+voc'zcoS{afcd]1+ZYXPEDFSRQ85^]\IfijxhxT|xb|evkgpm]69TUjon{bumcwkw]5;TUGi~}[h`l\57YhWehd?91:qokq73'zco#~gk_wmjg`Y5'VUTTA@B_^]42ZYXEjef|l|Pptnparoc|aQ:=PQncbwnqigsg{Q9?PQCerqWldhX9;UdSa{{dh055>ukg};?#~gk/rkg[sinklU9#RQPXMLN[ZY0?VUTAnabp`p\tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`_mww`l5192ygcy?;/rkg+vocWebohQ=/^]\\IHJWVU<4RQPMbmntdtXx|fxizgktiY25XYfkjfyao{osY17XYKmzy_dl`P13]l[issl`>9=6}cou37+voc'zcoS{afcd]1+Zh7;::Tecx=2:qokq73'zco#~gk_wmjg`Y5'Vd;?>>Piot25a=tdf~:8"}fd.qj`ZphajoT>"Qa026:5`=tdf~:8"}fd.qj`ZphajoT>"Qa026:54c<{ee=9!|ie-pmaYqg`inS?!Pn117=77b3zfdx<: shf,wlbX~fchiR< _o200<55<2ygcy?;/rkg+vocWebohQ=/`a`qZdn|Vzyi{85|lnv20*unl&ybhRx`ibg\6*gdk|g~`lz`r=2=63=tdf~:8"}fd.qj`ZphajoT>"olctovhdrhz5;;2?84smmw51)tam%xeiQyohaf[7)fkjfyao{os>25;413zfdx<: shf,wlbX~fchiR< abavipjf|fx7=?0=6:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq8459:?1x`bz>4.qj`*unlV|denkP2.c`gpkrdh~d~1?;>348wiis9=%xei!|ie]uklebW;%jon{bumcwkw:6=78=7~b`t06,wlb({`nTzbgle^0,efere|fjxb|317<12>ukg};?#~gk/rkg[sinklU9#lmlulwoeqiu48=5>;5|lnv20*unl&ybhRx`ibg\6*gdk|g~`lz`r=3;:70<{ee=9!|ie-pmaYqg`inS?!ncbwnqigsg{6:53<:;rnlp42({`n$djPvnk`aZ4(iji~axbntnp?5;413zfdx<: shf,wlbX~fchiR< abavipjf|fx7>=0=6:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq8779:?1x`bz>4.qj`*unlV|denkP2.c`gpkrdh~d~1<=>348wiis9=%xei!|ie]uklebW;%jon{bumcwkw:5;78>7~b`t06,wlb({`nTzbgle^0,efere|fjxb|32?06?vjh|8>$dj shf\rjodmV8$mnmzmtnbpjt;;78>7~b`t06,wlb({`nTzbgle^0,efere|fjxb|34?06?vjh|8>$dj shf\rjodmV8$mnmzmtnbpjt;=78>7~b`t06,wlb({`nTzbgle^0,efere|fjxb|36?06?vjh|8>$dj shf\rjodmV8$mnmzmtnbpjt;?78>7~b`t06,wlb({`nTzbgle^0,efere|fjxb|38?06?vjh|8>$dj shf\rjodmV8$mnmzmtnbpjt;178?7~b`t06,wlb({`nTzbgle^0,efere|fjxb|P0368wiis9=%xei!|ie]uklebW;%jon{bumcwkwY6:<1x`bz>4.qj`*unlV|denkP2.c`gpkrdh~d~R??249phjr6<&ybh"}fd^tlmfcX:&khoxczl`vlvZ76:<1x`bz>4.qj`*unlV|denkP2.c`gpkrdh~d~R?=249phjr6<&ybh"}fd^tlmfcX:&khoxczl`vlvZ74:<1x`bz>4.qj`*unlV|denkP2.c`gpkrdh~d~R?;249phjr6<&ybh"}fd^tlmfcX:&khoxczl`vlvZ72:<1x`bz>4.qj`*unlV|denkP2.c`gpkrdh~d~R?9249phjr6<&ybh"}fd^tlmfcX:&khoxczl`vlvZ70:<1x`bz>4.qj`*unlV|denkP2.c`gpkrdh~d~R?7249phjr6<&ybh"}fd^tlmfcX:&khoxczl`vlvZ7>:=1x`bz>4.qj`*unlV|denkP2.c`gpkrdh~d~R<=5:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq[765=2ygcy?;/rkg+vocWebohQ=/`a`qhski}eyS??=5:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq[745=2ygcy?;/rkg+vocWebohQ=/`a`qhski}eyS?==4:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq[6433zfdx<: shf,wlbX~fchiR< abavipjf|fxT8?:4smmw51)tam%xeiQyohaf[7)fkjfyao{os]661=tdf~:8"}fd.qj`ZphajoT>"olctovhdrhzV<986}cou37+voc'zcoS{afcd]1+ded}dgmya}_607?vjh|8>$dj shf\rjodmV8$mnmzmtnbpjtX0;>0aa{15-pma)tamU}cdmj_3-bgfsj}ekcQ6289phjr6<&ybh"}fd^tlmfcX:&i~~R}{aug\BVKXNOn:>n5|lnv20*unl&ybhRx`ibg\6*erzVymykPFRO\BCb6%@d9h6}cou37+voc'zcoS{afcd]1+fsuWz~jxhQISL]EBa7*Ag;9h6}cou37+voc'zcoS{afcd]1+fsuWz~jxhQISL]EBa7*Ag98=6}cou37+voc'zcoS{afcd]1+fsuWz~jxhQISL]EBa7*Ag9T_Z>=9:qokq73'zco#~gk_wmjg`Y5'jyS~zntd]EWHYANm89o6}cou37+voc'zcoS{afcd]1+fsuWz~jxhQISL]EBa4*Ag8o7~b`t06,wlb({`nTzbgle^0,gptX{}kiRH\M^DE`7+Nf88o7~b`t06,wlb({`nTzbgle^0,gptX{}kiRH\M^DE`7+Nf;827~b`t06,wlb({`nTzbgle^0,gptX{}kiRH\M^DE`64d3zfdx<: shf,wlbX~fchiR< ctp\wqgsmVLXARHId2/Jj7b<{ee=9!|ie-pmaYqg`inS?!lus]ppdrbWOYFSKHk3,Km57b<{ee=9!|ie-pmaYqg`inS?!lus]ppdrbWOYFSKHk3,Km67b<{ee=9!|ie-pmaYqg`inS?!lus]ppdrbWOYFSKHk3,Km77><{ee=9!|ie-pmaYqg`inS?!kiwkgawYf|}khg1>1289phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^cwpdel48:5>45|lnv20*unl&ybhRx`ibg\6*bn~`nn~Ro{t`ah8479:01x`bz>4.qj`*unlV|denkP2.fjrlbbzVkxlmd<00=6<=tdf~:8"}fd.qj`ZphajoT>"jfvhffvZgs|hi`0<=1289phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^cwpdel48>5>55|lnv20*unl&ybhRx`ibg\6*bn~`nn~Ro{t`ah848502ygcy?;/rkg+vocWebohQ=/ekumacuWh~mne32?0;?vjh|8>$dj shf\rjodmV8$hdxfddp\eqrfkb682?64smmw51)tam%xeiQyohaf[7)cacoiQntuc`o929:11x`bz>4.qj`*unlV|denkP2.fjrlbbzVkxlmd<4<1<>ukg};?#~gk/rkg[sinklU9#igyiegq[drsija7:3<7;rnlp42({`n$djPvnk`aZ4(l`|bhh|Pauvbgn:06;20aa{15-pma)tamU}cdmj_3-gmsocm{Ujxyolk=:=6==tdf~:8"}fd.qj`ZphajoT>"jfvhffvZgs|hi`040=7:qokq73'zco#~gk_wmjg`Y5'mc}eik}_`vwefmX8;=0aa{15-pma)tamU}cdmj_3-gmsocm{Ujxyolk^31<>ukg};?#~gk/rkg[sinklU9#igyiegq[drsijaT==<7;rnlp42({`n$djPvnk`aZ4(l`|bhh|PauvbgnY69;20aa{15-pma)tamU}cdmj_3-gmsocm{Ujxyolk^316==tdf~:8"}fd.qj`ZphajoT>"jfvhffvZgs|hi`S<==8:qokq73'zco#~gk_wmjg`Y5'mc}eik}_`vwefmX9=8<7~b`t06,wlb({`nTzbgle^0,`lpnllxTmyzncj]162=tdf~:8"}fd.qj`ZphajoT>"jfvhffvZgs|hi`S><8;rnlp42({`n$djPvnk`aZ4(l`|bhh|PauvbgnY3:>1x`bz>4.qj`*unlV|denkP2.fjrlbbzVkxlmd_404?vjh|8>$dj shf\rjodmV8$hdxfddp\eqrfkbU=>:5|lnv20*unl&ybhRx`ibg\6*bn~`nn~Ro{t`ah[2403zfdx<: shf,wlbX~fchiR< dhtj``tXi}~jofQ7269phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^cwpdelW0827~b`t06,wlb({`nTzbgle^0,`lpnllxTob{atdp?4;4f3zfdx<: shf,wlbX~fchiR< dhtj``tXkfexh|311<1e>ukg};?#~gk/rkg[sinklU9#igyiegq[firf}oy0"jfvhffvZeh}g~n~1?9>3c8wiis9=%xei!|ie]uklebW;%oe{gkes]`kphsm{6:;3<6;rnlp42({`n$djPvnk`aZ4(l`|bhh|Pcnwmp`t;97827~b`t06,wlb({`nTzbgle^0,`lpnllxTob{atdp?6;4>3zfdx<: shf,wlbX~fchiR< dhtj``tXkfexh|33?0:?vjh|8>$dj shf\rjodmV8$hdxfddp\gjsi|lx783<6;rnlp42({`n$djPvnk`aZ4(l`|bhh|Pcnwmp`t;=7827~b`t06,wlb({`nTzbgle^0,`lpnllxTob{atdp?2;4>3zfdx<: shf,wlbX~fchiR< dhtj``tXkfexh|37?0:?vjh|8>$dj shf\rjodmV8$hdxfddp\gjsi|lx743<6;rnlp42({`n$djPvnk`aZ4(l`|bhh|Pcnwmp`t;17837~b`t06,wlb({`nTzbgle^0,`lpnllxTob{atdp\47><{ee=9!|ie-pmaYqg`inS?!kiwkgawYdg|diQ>289phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^alqkrbzV;;>45|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rm`uovfvZ76:01x`bz>4.qj`*unlV|denkP2.fjrlbbzVidyczjr^316<=tdf~:8"}fd.qj`ZphajoT>"jfvhffvZeh}g~n~R?<289phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^alqkrbzV;?>45|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rm`uovfvZ72:01x`bz>4.qj`*unlV|denkP2.fjrlbbzVidyczjr^356<=tdf~:8"}fd.qj`ZphajoT>"jfvhffvZeh}g~n~R?8299phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^alqkrbzV8946}cou37+voc'zcoS{afcd]1+aoqamoySnaznugq[64?3zfdx<: shf,wlbX~fchiR< dhtj``tXkfexh|P43:8wiis9=%xei!|ie]uklebW;%oe{gkes]`kphsm{U>>55|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rm`uovfvZ0502ygcy?;/rkg+vocWebohQ=/ekumacuWje~byk}_60;?vjh|8>$dj shf\rjodmV8$hdxfddp\gjsi|lxT4?64smmw51)tam%xeiQyohaf[7)cacoiQlotlwawY>:01x`bz>4.qj`*unlV|denkP2.fjrlbbzVnnoR|jl=2=6d=tdf~:8"}fd.qj`ZphajoT>"jfvhffvZbbkVxn`1??>3c8wiis9=%xei!|ie]uklebW;%oe{gkes]gafYume6:=34.qj`*unlV|denkP2.fjrlbbzVnnoR|jl=3=6<=tdf~:8"}fd.qj`ZphajoT>"jfvhffvZbbkVxn`1<1289phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^ffgZtbd595>45|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rjjc^pfh929:01x`bz>4.qj`*unlV|denkP2.fjrlbbzVnnoR|jl=7=6<=tdf~:8"}fd.qj`ZphajoT>"jfvhffvZbbkVxn`181289phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^ffgZtbd5=5>45|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rjjc^pfh9>9:01x`bz>4.qj`*unlV|denkP2.fjrlbbzVnnoR|jl=;=6==tdf~:8"}fd.qj`ZphajoT>"jfvhffvZbbkVxn`R>=8:qokq73'zco#~gk_wmjg`Y5'mc}eik}_eg`[wckW8827~b`t06,wlb({`nTzbgle^0,`lpnllxThhmPrdn\554>3zfdx<: shf,wlbX~fchiR< dhtj``tXlliT~hbP100:?vjh|8>$dj shf\rjodmV8$hdxfddp\``eXzlfT=?<6;rnlp42({`n$djPvnk`aZ4(l`|bhh|Pdda\v`jX9:837~b`t06,wlb({`nTzbgle^0,`lpnllxThhmPrdn\67><{ee=9!|ie-pmaYqg`inS?!kiwkgawYcmjUyiaQ<299phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^ffgZtbdV>946}cou37+voc'zcoS{afcd]1+aoqamoySikl_sgo[04?3zfdx<: shf,wlbX~fchiR< dhtj``tXlliT~hbP63:8wiis9=%xei!|ie]uklebW;%oe{gkes]gafYumeU<>55|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rjjc^pfhZ>502ygcy?;/rkg+vocWebohQ=/ekumacuWmohSkc_804?vjh|8>$dj shf\rjodmV8$hdxfddp\rdjrm5:5>55|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rxnltg?4;7512ygcy?;/rkg+vocWebohQ=/ekumacuWkgyh2?>^21<>ukg};?#~gk/rkg[sinklU9#igyiegq[sgk}l6:<3<7;rnlp42({`n$djPvnk`aZ4(l`|bhh|Pv`nva9766;20aa{15-pma)tamU}cdmj_3-gmsocm{U}ma{j<00=6==tdf~:8"}fd.qj`ZphajoT>"jfvhffvZpfd|o7=>0=8:qokq73'zco#~gk_wmjg`Y5'mc}eik}_wcoq`:6<78<7~b`t06,wlb({`nTzbgle^0,`lpnllxTzlbze=3=62=tdf~:8"}fd.qj`ZphajoT>"jfvhffvZpfd|o7>3<8;rnlp42({`n$djPvnk`aZ4(l`|bhh|Pv`nva959:>1x`bz>4.qj`*unlV|denkP2.fjrlbbzV|j`xk34?04?vjh|8>$dj shf\rjodmV8$hdxfddp\rdjrm5?5>:5|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rxnltg?2;403zfdx<: shf,wlbX~fchiR< dhtj``tX~hf~i191269phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^tbhpc;078<7~b`t06,wlb({`nTzbgle^0,`lpnllxTzlbze=;=60=tdf~:8"}fd.qj`ZphajoT>"kav^ampZswW`d}>;5|lnv20*unl&ybhRx`ibg\6*ci~ViexR{_hlu671<{ee=9!|ie-pmaYqg`inS?!jnw]`kphsWaeghRO;5:qokq73'zco#~gk_wmjg`Y5'ld}Snaznu]kkibXIVoezRm`uov\ljjcWKUD^R=>2^m\mkp3>2ygcy?;/rkg+vocWebohQ=/dlu[firf}UccajPA^gmrZeh}g~Tdbbk_C]LVZ56:VeTecx>319phjr6<&ybh"}fd^tlmfcX:&oezRm`uov\ljjcWHUl`xkPsdv11>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcu4949:6}cou37+voc'zcoS{afcd]1+`hqWje~byk}<02=63=tdf~:8"}fd.qj`ZphajoT>"kav^alqkrbz5;:2?84smmw51)tam%xeiQyohaf[7)bfUhcx`{es>26;413zfdx<: shf,wlbX~fchiR< eot\gjsi|lx7=>0=6:qokq73'zco#~gk_wmjg`Y5'ld}Snaznugq8429:?1x`bz>4.qj`*unlV|denkP2.gmrZeh}g~n~1?:>348wiis9=%xei!|ie]uklebW;%nb{Qlotlwaw:6>78=7~b`t06,wlb({`nTzbgle^0,akpXkfexh|316<11>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcu484996}cou37+voc'zcoS{afcd]1+`hqWje~byk}<3<11>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcu4:4996}cou37+voc'zcoS{afcd]1+`hqWje~byk}<5<11>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcu4<4996}cou37+voc'zcoS{afcd]1+`hqWje~byk}<7<11>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcu4>4996}cou37+voc'zcoS{afcd]1+`hqWje~byk}<9<11>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcu4048n6}cou37+voc'zcoS{afcd]1+`hqWje~byk}[05^[BHCW;92SbQjqtco[75Xg:l0aa{15-pma)tamU}cdmj_3-fjsYdg|diU>7\]DJAY5;0UdShzam]17Zi;9>4?<6}cou37+voc'zcoS{afcd]1+`hqWje~byk}[05^[BHCW;92SbQjqtco[75Xg5;<2<:?;rnlp42({`n$djPvnk`aZ4(mg|Tob{atdpX52[XOGNT>>7Po^grqdjX::Ud0<912528wiis9=%xei!|ie]uklebW;%nb{Qlotlwaw]6?TULBIQ=38]l[`wrieU9?Ra316<07g=tdf~:8"}fd.qj`ZphajoT>"kav^alqkrbzR;$dj shf\rjodmV8$icxPcnwmp`t\9>WTKCJP22;\kZcv}hfT??Q`<05=06=tdf~:8"}fd.qj`ZphajoT>"kav^alqkrbzR;23;YT_99i7~b`t06,wlb({`nTzbgle^0,akpXkfexh|T7\]PqvYUmeQ4.qj`*unlV|denkP2.gmrZeh}g~n~V9R_Rwp[WckS>WTi|{nl^0:[j2382ygcy?;/rkg+vocWebohQ=/dlu[firf}oyW:SPStq\V`j\?TUn}xoc_3;\k1YT_98?7~b`t06,wlb({`nTzbgle^0,akpXkfexh|P0368wiis9=%xei!|ie]uklebW;%nb{QlotlwawY6:<1x`bz>4.qj`*unlV|denkP2.gmrZeh}g~n~R??249phjr6<&ybh"}fd^tlmfcX:&oezRm`uovfvZ76:<1x`bz>4.qj`*unlV|denkP2.gmrZeh}g~n~R?=249phjr6<&ybh"}fd^tlmfcX:&oezRm`uovfvZ74:<1x`bz>4.qj`*unlV|denkP2.gmrZeh}g~n~R?;249phjr6<&ybh"}fd^tlmfcX:&oezRm`uovfvZ72:<1x`bz>4.qj`*unlV|denkP2.gmrZeh}g~n~R?9249phjr6<&ybh"}fd^tlmfcX:&oezRm`uovfvZ70:=1x`bz>4.qj`*unlV|denkP2.gmrZeh}g~n~R<=4:qokq73'zco#~gk_wmjg`Y5'ld}Snaznugq[6433zfdx<: shf,wlbX~fchiR< eot\gjsi|lxT8?:4smmw51)tam%xeiQyohaf[7)bfUhcx`{es]661=tdf~:8"}fd.qj`ZphajoT>"kav^alqkrbzV<986}cou37+voc'zcoS{afcd]1+`hqWje~byk}_607?vjh|8>$dj shf\rjodmV8$icxPcnwmp`tX0;>0aa{15-pma)tamU}cdmj_3-fjsYdg|diQ6289phjr6<&ybh"}fd^tlmfcX:&os|Rznbmg\rdjrm5:5>l5|lnv20*unl&ybhRx`ibg\6*cxV~jnakPv`nva9776;k0aa{15-pma)tamU}cdmj_3-f|uYsikfnS{ocud>25;4f3zfdx<: shf,wlbX~fchiR< eyr\pddkmV|j`xk313<1e>ukg};?#~gk/rkg[sinklU9#hv_ucah`Yqien0<=12`9phjr6<&ybh"}fd^tlmfcX:&os|Rznbmg\rdjrm5;?2?o4smmw51)tam%xeiQyohaf[7)bpyUmobj_wcoq`:6=78j7~b`t06,wlb({`nTzbgle^0,a}vX|hhgiRxnltg?5385i2ygcy?;/rkg+vocWebohQ=/dzs[qgedlU}ma{j<05=6<=tdf~:8"}fd.qj`ZphajoT>"kwp^vbficX~hf~i1?1289phjr6<&ybh"}fd^tlmfcX:&os|Rznbmg\rdjrm585>45|lnv20*unl&ybhRx`ibg\6*cxV~jnakPv`nva959:01x`bz>4.qj`*unlV|denkP2.g{tZrfjeoTzlbze=6=6<=tdf~:8"}fd.qj`ZphajoT>"kwp^vbficX~hf~i1;1289phjr6<&ybh"}fd^tlmfcX:&os|Rznbmg\rdjrm5<5>45|lnv20*unl&ybhRx`ibg\6*cxV~jnakPv`nva919:01x`bz>4.qj`*unlV|denkP2.g{tZrfjeoTzlbze=:=6<=tdf~:8"}fd.qj`ZphajoT>"kwp^vbficX~hf~i171299phjr6<&ybh"}fd^tlmfcX:&os|Rznbmg\rdjrmV:9j6}cou37+voc'zcoS{afcd]1+`~wW}ki`hQyamwf[5Yu{}zdx?64smmw51)tam%xeiQyohaf[7)bpyUmobj_wcoq`Y6:01x`bz>4.qj`*unlV|denkP2.g{tZrfjeoTzlbze^336<=tdf~:8"}fd.qj`ZphajoT>"kwp^vbficX~hf~iR?>289phjr6<&ybh"}fd^tlmfcX:&os|Rznbmg\rdjrmV;9>45|lnv20*unl&ybhRx`ibg\6*cxV~jnakPv`nvaZ74:01x`bz>4.qj`*unlV|denkP2.g{tZrfjeoTzlbze^376<=tdf~:8"}fd.qj`ZphajoT>"kwp^vbficX~hf~iR?:289phjr6<&ybh"}fd^tlmfcX:&os|Rznbmg\rdjrmV;=>45|lnv20*unl&ybhRx`ibg\6*cxV~jnakPv`nvaZ70:11x`bz>4.qj`*unlV|denkP2.g{tZrfjeoTzlbze^01<>ukg};?#~gk/rkg[sinklU9#hv_ucah`YqienS><7;rnlp42({`n$djPvnk`aZ4(mqzTxllce^tbhpcX<;20aa{15-pma)tamU}cdmj_3-f|uYsikfnS{ocud]66==tdf~:8"}fd.qj`ZphajoT>"kwp^vbficX~hf~iR8=8:qokq73'zco#~gk_wmjg`Y5'lr{Syomld]ueisbW>837~b`t06,wlb({`nTzbgle^0,a}vX|hhgiRxnltg\<7><{ee=9!|ie-pmaYqg`inS?!jxq]wegjbWkgyhQ61b9phjr6<&ybh"}fd^tlmfcX:&d;>=>>d:qokq73'zco#~gk_wmjg`Y5'g:9<=?>d:qokq73'zco#~gk_wmjg`Y5'g:9<=<>d:qokq73'zco#~gk_wmjg`Y5'g:9<==>c:qokq73'zco#~gk_wmjg`Y5'g:9<9?k;rnlp42({`n$djPvnk`aZ4(f98;89<>;rnlp42({`n$djPvnk`aZ4(f98;89Q\W103?vjh|8>$dj shf\rjodmV8$b="~zlrgtmaroS8;VSlmlulwoeqiuS;9VSAk|sUjbjZ75Wf9;7~b`t06,wlb({`nTzbgle^0,v`ub|VIdby|`lZ3^[JTX:<?4smmw51)tam%xeiQyohaf[7)umzoSNaatsmo_4[XG[U99;Q`1238wiis9=%xei!|ie]uklebW;%yi~k{_ekumacuW{ySB\P301\k64<{ee=9!|ie-pmaYqg`inS?!}ergw[aoqamoyS}{_NP\745Xg8987~b`t06,wlb({`nTzbgle^0,v`ub|VoezRmat^aoadtXG[U8=>5|lnv20*unl&ybhRx`ibg\6*uf}edxe2>0?00?vjh|8>$dj shf\rjodmV8$ly{onvk8479:;1x`bz>4.qj`*unlV|denkP2.qbsqih|a6:2?<4smmw51)tam%xeiQyohaf[7)ti~~dcyf32?01?vjh|8>$dj shf\rjodmV8$ly{onvk8685:2ygcy?;/rkg+vocWebohQ=/rctpjis`5>5>?5|lnv20*unl&ybhRx`ibg\6*uf}edxe2:>308wiis9=%xei!|ie]uklebW;%xmzz`ouj?2;453zfdx<: shf,wlbX~fchiR< s`uwkjro4>49>6}cou37+voc'zcoS{afcd]1+vgp|fed161239phjr6<&ybh"}fd^tlmfcX:&yj{ya`ti>::77<{ee=9!|ie-pmaYqg`inS?!|avvlkqnX8;;0aa{15-pma)tamU}cdmj_3-perrhg}bT=?<4smmw51)tam%xeiQyohaf[7)ti~~dcyfP1101?vjh|8>$dj shf\rjodmV8$ly{onvk[47592ygcy?;/rkg+vocWebohQ=/rctpjis`V89=6}cou37+voc'zcoS{afcd]1+vgp|fedR==1:qokq73'zco#~gk_wmjg`Y5'zk|xba{h^615>ukg};?#~gk/rkg[sinklU9#~oxtnmwlZ3592ygcy?;/rkg+vocWebohQ=/rctpjis`V<9=6}cou37+voc'zcoS{afcd]1+vgp|fedR9=1:qokq73'zco#~gk_wmjg`Y5'zk|xba{h^:15>ukg};?#~gk/rkg[sinklU9#~oxtnmwlZ?5<2ygcy?;/rkg+vocWebohQ=/rkdjdjXe|r7<3<;;rnlp42({`n$djPvnk`aZ4({`memaQbuy>2:72<{ee=9!|ie-pmaYqg`inS?!|iflbhZkrp585>>5|lnv20*unl&ybhRx`ibg\6*unogkgS`{w_100?vjh|8>$dj shf\rjodmV8$diaam]nq}Y6::1x`bz>4.qj`*unlV|denkP2.qjckgkWdsS?<=;rnlp42({`n$djPvnk`aZ4(|{cjbjbj<1<17>ukg};?#~gk/rkg[sinklU9#y|faoeoa9776;90aa{15-pma)tamU}cdmj_3-wvlgioeo7=<0=2:qokq73'zco#~gk_wmjg`Y5'}xbmcice=3=67=tdf~:8"}fd.qj`ZphajoT>"z}i`ldh`:56;80aa{15-pma)tamU}cdmj_3-wvlgioeo7?3<=;rnlp42({`n$djPvnk`aZ4(|{cjbjbj<5<16>ukg};?#~gk/rkg[sinklU9#y|faoeoa939:;1x`bz>4.qj`*unlV|denkP2.vqmdh`dl6=2?<4smmw51)tam%xeiQyohaf[7)sz`kekak37?01?vjh|8>$dj shf\rjodmV8$xgnnfnf8=85:2ygcy?;/rkg+vocWebohQ=/upjekakm535?95|lnv20*unl&ybhRx`ibg\6*ruahdl`hU?]^llmvc\8TUD^R<63^m0<>ukg};?#~gk/rkg[sinklU9#y|faoeoa^6ZWgebhU?]^MQ[7?4WfUX[<=9;rnlp42({`n$djPvnk`aZ4(|{cjbjbj[02^[kin{lQ:Q`_RU273=tdf~:8"}fd.qj`ZphajoT>"z}i`ldh`]69TUecd}j[03^[JTX:?:Tc>o4smmw51)tam%xeiQyohaf[7)sz`kekakT10_\jjotmR;:QRA]_343[jYT_89?7~b`t06,wlb({`nTzbgle^0,pwoffnfnW4>Po2:8wiis9=%xei!|ie]uklebW;%~doagmgX5XYig`ynWukg};?#~gk/rkg[sinklU9#y|faoeoa^4ZWgebhU=]^MQ[7>0WfUX[<=;;rnlp42({`n$djPvnk`aZ4(|{cjbjbj[2_\jjotmR9VSB\P296\k6><{ee=9!|ie-pmaYqg`inS?!{rhcmcic\;TUecd}j[2_\KWY50=UdS^Y>359phjr6<&ybh"}fd^tlmfcX:&~yel`hldY7YZhhazoP8PQ@R^0;5Zi402ygcy?;/rkg+vocWebohQ=/upjekakmR>VScafsdY7YZIUW;2:SbQ\W017?vjh|8>$dj shf\rjodmV8$xgnnfnf_0[XffcxiV;R_NP\62>Xg:20aa{15-pma)tamU}cdmj_3-wvlgioeoP9PQaohqf_0[XG[U9;5Q`_RU271=tdf~:8"}fd.qj`ZphajoT>"z}i`ldh`]1UVdde~kT6\]LVZ40=Ve846}cou37+voc'zcoS{afcd]1+qtnigmgiV8R_omjw`]1UVEYS?9:_n]PS4533zfdx<: shf,wlbX~fchiR< tskbjbjbS>WTbbg|eZ5^[JTX:>8Tc>64smmw51)tam%xeiQyohaf[7)sz`kekakT7\]mklubS>WTC_Q=73]l[VQ6;=1x`bz>4.qj`*unlV|denkP2.vqmdh`dlQ3QR``irgX;8Po2:8wiis9=%xei!|ie]uklebW;%~doagmgX=XYig`ynW4SPOS]123YhWZ]:><5|lnv20*unl&ybhRx`ibg\6*ruahdl`hQ?209phjr6<&ybh"}fd^tlmfcX:&~yel`hld]267=tdf~:8"}fd.qj`ZphajoT>"z}i`ldh`Y68;80aa{15-pma)tamU}cdmj_3-wvlgioeoT=<<>;rnlp42({`n$djPvnk`aZ4(|{cjbjbj_302?vjh|8>$dj shf\rjodmV8$xgnnfnf[6463zfdx<: shf,wlbX~fchiR< tskbjbjbW=8:7~b`t06,wlb({`nTzbgle^0,pwoffnfnS8<>;rnlp42({`n$djPvnk`aZ4(|{cjbjbj_702?vjh|8>$dj shf\rjodmV8$xgnnfnf[2463zfdx<: shf,wlbX~fchiR< tskbjbjbW18:7~b`t06,wlb({`nTzbgle^0,pwoffnfnS4?8;rnlp42({`n$djPvnk`aZ5(:81x`bz>4.qj`*unlV|denkP3.FfgZtbd5:5><5|lnv20*unl&ybhRx`ibg\7*BbkVxn`1?1209phjr6<&ybh"}fd^tlmfcX;&NnoR|jl=0=64=tdf~:8"}fd.qj`ZphajoT?"Jjc^pfh959:h1x`bz>4.qj`*unlV|denkP3.EM@Z441VeTECXP751\k7d<{ee=9!|ie-pmaYqg`inS>!HNE]17ukg};?#~gk/rkg[sinklU8#J@K_31:[jYNF_U<8>Q`2^QT46`<{ee=9!|ie-pmaYqg`inS>!HNE]17WfUoe{gkes]`kphsm{Q:;PQjqtco[07Xg5;<29:4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_ekumacuWje~byk}[05^[`wrieU>=Ra316<201=tdf~:8"}fd.qj`ZphajoT?"IAD^00=ZiXl`|bhh|Pcnwmp`t\9>WTi|{nl^72[j:6?78?86}cou37+voc'zcoS{afcd]0+BHCW;92SbQkiwkgawYdg|diU>7\]fupgkW<;Tc1?8>267?vjh|8>$dj shf\rjodmV9$KCJP22;\kZbn~`nn~Rm`uovfv^70UVozylbP50]l8419<=>0aa{15-pma)tamU}cdmj_2-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0<>1499phjr6<&ybh"}fd^tlmfcX;&MEHR<<9^m\`lpnllxTzlbzeZ30YZubdliS;8POTV?558X[^:?86}cou37+voc'zcoS{afcd]0+BHCW;92SbQkiwkgawYqienW<=R_rgoafrX>?UDYY2>1?6;?vjh|8>$dj shf\rjodmV9$KCJP22;\kZbn~`nn~RxnltgX56[X{lfnoyQ96^MVP9766VY\<9:4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<00=0==tdf~:8"}fd.qj`ZphajoT?"IAD^00=ZiXl`|bhh|Pv`nva^74UVyn`hm{_74\KPR;9;4T_Z>;4:qokq73'zco#~gk_wmjg`Y4'NDOS?=6_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>27;2?3zfdx<: shf,wlbX~fchiR= GOF\66?XgVnbzdjjr^tbhpc\9:WThbjcu]52ZIR\5;82R]X0568wiis9=%xei!|ie]uklebW:%LBIQ=38]l[aoqamoyS{ocudY27XYtmeohxR89_NWW8429<11x`bz>4.qj`*unlV|denkP3.EM@Z441VeThdxfddp\rdjrmR;8QR}jldaw[30XG\^7=90PSV270>ukg};?#~gk/rkg[sinklU8#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:6=7>37~b`t06,wlb({`nTzbgle^1,CKBX::3TcRjfvhffvZpfd|oP=>SPsdnfgqY1>VE^X1?:>^QT412<{ee=9!|ie-pmaYqg`inS>!HNE]174?96}cou37+voc'zcoS{afcd]0+BHCW;92SbQkiwkgawYqienW<=R_rgoafrX>?UDYY2>7?377>ukg};?#~gk/rkg[sinklU8#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:66=>0aa{15-pma)tamU}cdmj_2-DJAY5;0UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0<0>429phjr6<&ybh"}fd^tlmfcX;&MEHR<<9^m\`lpnllxTzlbzeZ30YZubdliS;8POTV?6;233zfdx<: shf,wlbX~fchiR= GOF\66?XgVnbzdjjr^tbhpc\9:WThbjcu]52ZIR\585=9=4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<2<73>ukg};?#~gk/rkg[sinklU8#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:46VY\<9=4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<5<73>ukg};?#~gk/rkg[sinklU8#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:36VY\<9=4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<4<73>ukg};?#~gk/rkg[sinklU8#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:26VY\<9=4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<7<73>ukg};?#~gk/rkg[sinklU8#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:16VY\<9=4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<6<73>ukg};?#~gk/rkg[sinklU8#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:06VY\<9=4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<9<73>ukg};?#~gk/rkg[sinklU8#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:?6VY\<9=4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<8<73>ukg};?#~gk/rkg[sinklU8#J@K_31:[jYcacoiQyamwf_45ZWzoginzP67]LQQ:>6VY\<>k4smmw51)tam%xeiQyohaf[6)@FMU9?4Q`_dlu[firf}oyW<9R_MgpwQnffV?2Sb=i;rnlp42({`n$djPvnk`aZ5(OGNT>>7Po^gmrZeh}g~n~V?8]^NfwvRoigU>5Ra=429phjr6<&ybh"}fd^tlmfcX;&MEHR<<9^m\akpXkfexh|T16_\H`ut\akeS87Po3]PS55a3zfdx<: shf,wlbX~fchiR= GOF\66?XgVoezRm`uovfv^70UVFn~Zgao]55Zi4<91x`bz>4.qj`*unlV|denkP3.EM@Z441VeTicxPcnwmp`t\9>WT@h}|Ticm[37Xg:;8j6}cou37+voc'zcoS{afcd]0+BHCW;92SbQjnw]`kphsm{Q:;PQCerqWldhX>11g9phjr6<&ybh"}fd^tlmfcX;&FM__2>1?3e?vjh|8>$dj shf\rjodmV9$@K]]<00=5c=tdf~:8"}fd.qj`ZphajoT?"BISS>27;7a3zfdx<: shf,wlbX~fchiR= LGQQ84299o1x`bz>4.qj`*unlV|denkP3.NEWW:6=7;m7~b`t06,wlb({`nTzbgle^1,HCUU48<5=k5|lnv20*unl&ybhRx`ibg\7*JA[[6:;3?i;rnlp42({`n$djPvnk`aZ5(DOYY0<611g9phjr6<&ybh"}fd^tlmfcX;&FM__2>9?3f?vjh|8>$dj shf\rjodmV9$@K]]<0<2b>ukg};?#~gk/rkg[sinklU8#AH\R=03:4`<{ee=9!|ie-pmaYqg`inS>!CFRP?6486n2ygcy?;/rkg+vocWebohQ5=h5|lnv20*unl&ybhRx`ibg\7*JA[[6>2e:qokq73'zco#~gk_wmjg`Y4'ELX^1611d9phjr6<&ybh"}fd^tlmfcX;&FM__26>258wiis9=%xei!|ie]uklebW:%GJ^\T23_\CKBX::3TcRczx^35[JSS494846}cou37+voc'zcoS{afcd]0+I@TZR89QRIAD^00=ZiXe|rT=;Q@UU>24;5?3zfdx<: shf,wlbX~fchiR= LGQQ_74ZWNDOS?=6_n]nq}Y6>VE^X1?>>2:8wiis9=%xei!|ie]uklebW:%GJ^\T23_\CKBX::3TcRczx^35[JSS4885?55|lnv20*unl&ybhRx`ibg\7*JA[[Q9>PQHNE]174?1;?vjh|8>$dj shf\rjodmV9$@K]][30^[BHCW;92SbQbuy]22ZIR\5;>2>64smmw51)tam%xeiQyohaf[6)KNZXP>?SPGOF\66?XgVg~tR?9_NWW8409;11x`bz>4.qj`*unlV|denkP3.NEWW]5:TULBIQ=38]l[hsW8ukg};?#~gk/rkg[sinklU8#AH\RZ01YZAILV885RaPmtz\53YH]]6:43=7;rnlp42({`n$djPvnk`aZ5(DOYYW?WfUfyuQ>6^MVP97>6:=0aa{15-pma)tamU}cdmj_2-OBVT\:;WTKCJP22;\kZkrpV;=SB[[<0<0<>ukg};?#~gk/rkg[sinklU8#AH\RZ01YZAILV885RaPmtz\53YH]]69<3=7;rnlp42({`n$djPvnk`aZ5(DOYYW?WfUfyuQ>6^MVP9466:20aa{15-pma)tamU}cdmj_2-OBVT\:;WTKCJP22;\kZkrpV;=SB[[<30=72=tdf~:8"}fd.qj`ZphajoT?"BISSY16XY@FMU9?4Q`_lw{[40XG\^7>3=8;rnlp42({`n$djPvnk`aZ5(DOYYW?WfUfyuQ>6^MVP959;>1x`bz>4.qj`*unlV|denkP3.NEWW]5:TULBIQ=38]l[hsW8$dj shf\rjodmV9$@K]][30^[BHCW;92SbQbuy]22ZIR\5?5?:5|lnv20*unl&ybhRx`ibg\7*JA[[Q9>PQHNE]17VE^X191369phjr6<&ybh"}fd^tlmfcX;&FM__U=2\]DJAY5;0UdS`{w_04\KPR;079<7~b`t06,wlb({`nTzbgle^1,HCUUS;8VSJ@K_31:[jYj}qU::RAZT=;=5a=tdf~:8"}fd.qj`ZphajoT?"BISS]35a=tdf~:8"}fd.qj`ZphajoT?"BISS]25`=tdf~:8"}fd.qj`ZphajoT?"BISS]244c<{ee=9!|ie-pmaYqg`inS>!CFRP\547b3zfdx<: shf,wlbX~fchiR= LGQQ[446m2ygcy?;/rkg+vocWebohQ4.qj`*unlV|denkP3.NEWWY6<8o0aa{15-pma)tamU}cdmj_2-OBVTX9<;n7~b`t06,wlb({`nTzbgle^1,HCUUW8<:i6}cou37+voc'zcoS{afcd]0+I@TZV;<=h5|lnv20*unl&ybhRx`ibg\7*JA[[U:4>e:qokq73'zco#~gk_wmjg`Y4'ELX^R<>1d9phjr6<&ybh"}fd^tlmfcX;&FM__Q=20f8wiis9=%xei!|ie]uklebW:%GJ^\P30f8wiis9=%xei!|ie]uklebW:%GJ^\P40f8wiis9=%xei!|ie]uklebW:%GJ^\P50f8wiis9=%xei!|ie]uklebW:%GJ^\P60f8wiis9=%xei!|ie]uklebW:%GJ^\P70f8wiis9=%xei!|ie]uklebW:%GJ^\P80f8wiis9=%xei!|ie]uklebW:%GJ^\P93d8wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rmv<1<04>ukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pcx>24;573zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]`}9766::0aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZe~4885?=5|lnv20*unl&ybhRx`ibg\7*KfkjfSlmlulwoeqiuWjs7=>0<0:qokq73'zco#~gk_wmjg`Y4'DkhoxcPabavipjf|fxTot2>4?13?vjh|8>$dj shf\rjodmV9$Almlul]bgfsj}ekcQly=36:66<{ee=9!|ie-pmaYqg`inS>!BabaviZgdk|g~`lz`r^az8409;:1x`bz>4.qj`*unlV|denkP3.ObgfsjWhihy`{caumq[f;9?4T~y=?;rnlp42({`n$djPvnk`aZ5(Ehihy`Qncbwnqigsg{Uhu1?8>218wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rmv<05=[wr482ygcy?;/rkg+vocWebohQukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pcx>2=;Yu|;l0aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZe~4848<6}cou37+voc'zcoS{afcd]0+Hgdk|gTmnmzmtnbpjtXkp69<3=<;rnlp42({`n$djPvnk`aZ5(Ehihy`Qncbwnqigsg{Uhu1^pw75=tdf~:8"}fd.qj`ZphajoT?"Cncbwn[ded}dgmya}_b{?6484;2ygcy?;/rkg+vocWebohQ>4smmw51)tam%xeiQyohaf[6)Jiji~aRolctovhdrhzVir0?<1329phjr6<&ybh"}fd^tlmfcX;&Gjon{b_`a`qhski}eySnw323<\vq4a3zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]`}949:o1x`bz>4.qj`*unlV|denkP3.ObgfsjWhihy`{caumq[f;;78m7~b`t06,wlb({`nTzbgle^1,Ided}dUjon{bumcwkwYdq5>5>k5|lnv20*unl&ybhRx`ibg\7*KfkjfSlmlulwoeqiuWjs793$dj shf\rjodmV9$Almlul]bgfsj}ekcQly=:=6c=tdf~:8"}fd.qj`ZphajoT?"Cncbwn[ded}dgmya}_b{?=;573zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]oqq:76:;0aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZjr|5;;2>?4smmw51)tam%xeiQyohaf[6)Jiji~aRolctovhdrhzVf~x1?>>238wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rbzt=31:67<{ee=9!|ie-pmaYqg`inS>!BabaviZgdk|g~`lz`r^nvp9746:;0aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZjr|5;?2>?4smmw51)tam%xeiQyohaf[6)Jiji~aRolctovhdrhzVf~x1?:>228wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rbzt=3=75=tdf~:8"}fd.qj`ZphajoT?"Cncbwn[ded}dgmya}_mww878482ygcy?;/rkg+vocWebohQ>4smmw51)tam%xeiQyohaf[6)Jiji~aRolctovhdrhzVf~x1;1319phjr6<&ybh"}fd^tlmfcX;&Gjon{b_`a`qhski}eySa{{<7<04>ukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pltv?3;573zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]oqq:?6::0aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZjr|535?=5|lnv20*unl&ybhRx`ibg\7*KfkjfSlmlulwoeqiuWqey0=0<1:qokq73'zco#~gk_wmjg`Y4'DkhoxcPabavipjf|fxTtb|311<05>ukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pxnp?548492ygcy?;/rkg+vocWebohQ0<1:qokq73'zco#~gk_wmjg`Y4'DkhoxcPabavipjf|fxTtb|315<05>ukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pxnp?508492ygcy?;/rkg+vocWebohQukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pxnp?5<8482ygcy?;/rkg+vocWebohQ;rnlp42({`n$djPvnk`aZ5(Ehihy`Qncbwnqigsg{Usc2=1?12?vjh|8>$dj shf\rjodmV9$Almlul]bgfsj}ekcQwos>16;563zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]{kw:5;79?7~b`t06,wlb({`nTzbgle^1,Ided}dUjon{bumcwkwYg{69?3Q}t228wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rv`r=0=75=tdf~:8"}fd.qj`ZphajoT?"Cncbwn[ded}dgmya}_ymq868482ygcy?;/rkg+vocWebohQ2>>4smmw51)tam%xeiQyohaf[6)Jiji~aRolctovhdrhzVrd~181319phjr6<&ybh"}fd^tlmfcX;&Gjon{b_`a`qhski}eySua}<6<04>ukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pxnp?<;573zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]{kw:>6<;0aa{15-pma)tamU}cdmj_2-Ngjkwi{U{ya}jwhfwl^76UVkhoxczl`vlv^44UVFn~Zgao]26ZiXkp6;28?4smmw51)tam%xeiQyohaf[6)Jkfg{mQumqfslbs`R;:QRolctovhdrhzR88QRBjsrVkekY6:VeTot2>>438wiis9=%xei!|ie]uklebW:%Fobcas]sqiub`ndV?>]^c`gpkrdh~d~V<<]^NfwvRoigU:>RaPcx>1:07<{ee=9!|ie-pmaYqg`inS>!BcnosewYw}eyn{dj{hZ32YZgdk|g~`lz`rZ00YZJb{z^cmcQ>2^m\g|:46<;0aa{15-pma)tamU}cdmj_2-Ngjkwi{U{ya}jwhfwl^76UVkhoxczl`vlv^44UVFn~Zgao]26ZiXkp6?28?4smmw51)tam%xeiQyohaf[6)Jkfg{mQumqfslbs`R;:QRolctovhdrhzR88QRBjsrVkekY6:VeTot2:>408wiis9=%xei!|ie]uklebW:%Fobcas]sqiub`ndV?>]^c`gpkrdh~d~V<<]^NfwvRoigU:>RaPltv?4;353zfdx<: shf,wlbX~fchiR= MbmntdtXx|fxizgktiY25XYfkjfyao{osY17XYKmzy_dl`P13]l[iss484>>6}cou37+voc'zcoS{afcd]0+HeheykyS}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44XgVf~x1<1539phjr6<&ybh"}fd^tlmfcX;&Ghc`~nr^rvhvcpam~cW0:04<{ee=9!|ie-pmaYqg`inS>!BcnosewYw}eyn{dj{hZ32YZgdk|g~`lz`rZ00YZJb{z^cmcQ>2^m\hpr;<7?:7~b`t06,wlb({`nTzbgle^1,IfijxhxT|xb|evkgpm]69TUjon{bumcwkw]5;TUGi~}[h`l\57YhWehd;=;rnlp42({`n$djPvnk`aZ5(Ejef|l|Pptnparoc|aQ:=PQncbwnqigsg{Q9?PQCerqWldhX9;UdSa{{dh366>ukg};?#~gk/rkg[sinklU8#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS<4.qj`*unlV|denkP3.O`khvfzVz~`~kxievk_47ZWhihy`{caumq_75ZWEoxYfnn^31[jYk}}nb?8<4smmw51)tam%xeiQyohaf[6)Jkfg{mQumqfslbs`R;:QRolctovhdrhzR88QRBjsrVkekY6:VeT`xzki510?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]`}969;?1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWjs7<3Q}t268wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^az8469;=1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWjs7=<0<4:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pcx>26;533zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYdq5;82>:4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rmv<06=71=tdf~:8"}fd.qj`ZphajoT?"Clotlw[aoqamoySnaznugq[f;9<4886}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxTot2>6?10?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]`}979;:1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWjs7>3=<;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQly=1=76=tdf~:8"}fd.qj`ZphajoT?"Clotlw[aoqamoySnaznugq[f;<7987~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Uhu1;1329phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_b{?2;543zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYdq5=5?>5|lnv20*unl&ybhRx`ibg\7*Kdg|dSigyiegq[firf}oySnw38?10?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]`}9?9;:1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWl{eS==;;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQjqo]3565<{ee=9!|ie-pmaYqg`inS>!BcnwmpZbn~`nn~Rm`uovfvZcvfV;886}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxTi|`P1116?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]fukY6889?7~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Un}cQ>1278wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^grjZ769:>0aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVozbR?=349phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_dsm[446;=1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWl{eS<=<5:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pepl\5674<2ygcy?;/rkg+vocWebohQ;4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rk~n^36562<{ee=9!|ie-pmaYqg`inS>!BcnwmpZbn~`nn~Rm`uovfvZcvfV;=?85|lnv20*unl&ybhRx`ibg\7*Kdg|dSigyiegq[firf}oySha_04271=tdf~:8"}fd.qj`ZphajoT?"Clotlw[aoqamoySnaznugq[`wiW8=896}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxTi|`P16307>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\athX::>0aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVozbR<>329phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_dsm[6533zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYbygU8=>=4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rk~n^600>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\athX<8987~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Un}cQ:359phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_dsm[074;2ygcy?;/rkg+vocWebohQ:4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rk~n^4276=tdf~:8"}fd.qj`ZphajoT?"Clotlw[aoqamoySnaznugq[`wiW>9?7~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Un}cQ81218wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^grjZ>4<2ygcy?;/rkg+vocWebohQukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\hpr;994TECXP0278wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^nvp9766:h0aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVf~x1?>>^KMRZ64=2ygcy?;/rkg+vocWebohQ;4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rbzt=30:6d<{ee=9!|ie-pmaYqg`inS>!BcnwmpZbn~`nn~Rm`uovfvZjr|5;82RGAV^201>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\hpr;9=48n6}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxT`xz315<\MKPX8:?0aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVf~x1?:>2`8wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^nvp9726VCEZR><5:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pltv?5384j2ygcy?;/rkg+vocWebohQ!BcnwmpZbn~`nn~Rm`uovfvZjr|5;<2>l4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rbzt=34:ZOI^V:886}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxT`xz31?1b?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]oqq:66VCEZR><4:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pltv?6;5f3zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYk}}692RGAV^200>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\hpr;;79j7~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Ugyy2<>^KMRZ64<2ygcy?;/rkg+vocWebohQ7:ZOI^V:886}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxT`xz35?1b?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]oqq:26VCEZR><4:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pltv?2;5f3zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYk}}6=2RGAV^200>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\hpr;?79j7~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Ugyy28>^KMRZ64<2ygcy?;/rkg+vocWebohQ;:ZOI^V:886}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxT`xz39?1b?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]oqq:>6VCEZR><4:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pxnp?4;523zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{6:<3=:;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQwos>25;523zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{6:>3=:;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQwos>27;523zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{6:83=:;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQwos>21;523zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{6::3=:;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQwos>23;533zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{6:2>:4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rv`r=0=71=tdf~:8"}fd.qj`ZphajoT?"Clotlw[aoqamoySnaznugq[}iu4:4886}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxTtb|34?17?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]{kw:26:>0aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVrd~181359phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_ymq8284<2ygcy?;/rkg+vocWebohQ::7`<{ee=9!|ie-pmaYqg`inS>!BcnwmpZci~Vidyczjr^az858482ygcy?;/rkg+vocWebohQ>4smmw51)tam%xeiQyohaf[6)JkfexRkav^alqkrbzVir0<<1319phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_dlu[firf}oySnw312<04>ukg};?#~gk/rkg[sinklU8#@m`uov\akpXkfexh|Pcx>20;573zfdx<: shf,wlbX~fchiR= MbmvjqYbfUhcx`{es]`}9726::0aa{15-pma)tamU}cdmj_2-Ngjsi|VoezRm`uovfvZe~48<5>k5|lnv20*unl&ybhRx`ibg\7*Kdg|dSh`y_bmvjqcuWjs7=3$dj shf\rjodmV9$Anaznu]fjsYdg|diQly=6=6c=tdf~:8"}fd.qj`ZphajoT?"Clotlw[`hqWje~byk}_b{?1;4a3zfdx<: shf,wlbX~fchiR= MbmvjqYbfUhcx`{es]`}909:o1x`bz>4.qj`*unlV|denkP3.O`kphsWld}Snaznugq[f;?78m7~b`t06,wlb({`nTzbgle^1,Ifirf}Unb{QlotlwawYdq525>k5|lnv20*unl&ybhRx`ibg\7*Kdg|dSh`y_bmvjqcuWjs753=?;rnlp42({`n$djPvnk`aZ5(Eje~byQjnw]`kphsm{Ugyy2?>238wiis9=%xei!|ie]uklebW:%Fob{at^gmrZeh}g~n~Rbzt=33:67<{ee=9!|ie-pmaYqg`inS>!BcnwmpZci~Vidyczjr^nvp9766:;0aa{15-pma)tamU}cdmj_2-Ngjsi|VoezRm`uovfvZjr|5;92>?4smmw51)tam%xeiQyohaf[6)JkfexRkav^alqkrbzVf~x1?<>238wiis9=%xei!|ie]uklebW:%Fob{at^gmrZeh}g~n~Rbzt=37:67<{ee=9!|ie-pmaYqg`inS>!BcnwmpZci~Vidyczjr^nvp9726:;0aa{15-pma)tamU}cdmj_2-Ngjsi|VoezRm`uovfvZjr|5;=2>?4smmw51)tam%xeiQyohaf[6)JkfexRkav^alqkrbzVf~x1?8>228wiis9=%xei!|ie]uklebW:%Fob{at^gmrZeh}g~n~Rbzt=3=75=tdf~:8"}fd.qj`ZphajoT?"Clotlw[`hqWje~byk}_mww878482ygcy?;/rkg+vocWebohQ>4smmw51)tam%xeiQyohaf[6)JkfexRkav^alqkrbzVf~x1;1319phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_dlu[firf}oySa{{<7<04>ukg};?#~gk/rkg[sinklU8#@m`uov\akpXkfexh|Pltv?3;573zfdx<: shf,wlbX~fchiR= MbmvjqYbfUhcx`{es]oqq:?6::0aa{15-pma)tamU}cdmj_2-Ngjsi|VoezRm`uovfvZjr|535?=5|lnv20*unl&ybhRx`ibg\7*Kdg|dSh`y_bmvjqcuWqey0=0<1:qokq73'zco#~gk_wmjg`Y4'DidyczPeot\gjsi|lxTtb|311<05>ukg};?#~gk/rkg[sinklU8#@m`uov\akpXkfexh|Pxnp?548492ygcy?;/rkg+vocWebohQ0<1:qokq73'zco#~gk_wmjg`Y4'DidyczPeot\gjsi|lxTtb|315<05>ukg};?#~gk/rkg[sinklU8#@m`uov\akpXkfexh|Pxnp?508492ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$Anaznu]fjsYdg|diQwos>1:66<{ee=9!|ie-pmaYqg`inS>!BcnwmpZci~Vidyczjr^zlv959;91x`bz>4.qj`*unlV|denkP3.O`kphsWld}Snaznugq[}iu4=48<6}cou37+voc'zcoS{afcd]0+Heh}g~TicxPcnwmp`tXpfx793=?;rnlp42({`n$djPvnk`aZ5(Eje~byQjnw]`kphsm{Usc29>228wiis9=%xei!|ie]uklebW:%Fob{at^gmrZeh}g~n~Rv`r=5=75=tdf~:8"}fd.qj`ZphajoT?"Clotlw[`hqWje~byk}_ymq8=8482ygcy?;/rkg+vocWebohQm7~b`t06,wlb({`nTzbgle^1,Ihsk|Vybkcoc_lw{_41ZWld}Snaznugq_41ZWDGxR?7_NWW[HgclV;<9=5|lnv20*unl&ybhRx`ibg\7*Kj}e~Tdiaam]nq}]6?TUnb{Qlotlwaw]6?TUFyAzP19]LQQYJimnT=:?;f:qokq73'zco#~gk_wmjg`Y4'Dg~`yQ|iflbhZkrpR;8428wiis9=%xei!|ie]uklebW:%Faxb{_rkdjdjXe|rP=:SPeot\gjsi|lxP=:SPMtNw[4>XG\^TAljk_0:20c=tdf~:8"}fd.qj`ZphajoT?"Cbumv\wlaiieUfyuU>7\]fjsYdg|diU>7\]NqIrX91UDYYQBaef\5<343zfdx<: shf,wlbX~fchiR= MlwopZunogkgS`{w[05^[`hqWje~byk}[05^[HsK|V;3SB[[_Lcg`Z7>WZ];9<5|lnv20*unl&ybhRx`ibg\7*Kj}e~Tdiaam]nq}]6?TUnb{Qlotlwaw]6?TUFyAzP19]LQQYt}kg~`yQ?559phjr6<&ybh"}fd^tlmfcX;&GfyazPshemeiYj}qQ:;PQjnw]`kphsm{Q:;PQBuMv\5=YH]]Uxyoczlu]3[462<2ygcy?;/rkg+vocWebohQ!Bmtnw[vo`fhfTaxvT16_\akpXkfexh|T16_\IpJsW82TCXZPst`nqirX8V;8995|lnv20*unl&ybhRx`ibg\7*Kj}e~Tdiaam]nq}]6?TUnb{Qlotlwaw]6?TUFyAzP19]LQQYt}kg~`yQ?_0660>ukg};?#~gk/rkg[sinklU8#@czlu]pmbhfdVg~tV?8]^gmrZeh}g~n~V?8]^OvHqY60VE^XR}zblwopZ6X96468wiis9=%xei!|ie]uklebW:%Faxb{_rkdjdjXe|rP=:SPeot\gjsi|lxP=:SPMtNw[4>XG\^Txlbumv\4Z70==1x`bz>4.qj`*unlV|denkP3.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]pqgkrd}U;S<6:4:qokq73'zco#~gk_wmjg`Y4'Dg~`yQ|iflbhZkrpR;5|lnv20*unl&ybhRx`ibg\7*Kj}e~Tdiaam]nq}]6?TUnb{Qlotlwaw]6?TUFyAzP19]LQQYt}kg~`yQ?_80;?vjh|8>$dj shf\rjodmV9$A`{w_Eg`[wck494:=?64smmw51)tam%xeiQyohaf[6)Je|rTHhmPrdn?5;76:11x`bz>4.qj`*unlV|denkP3.Onq}YCmjUyia2=>031<>ukg};?#~gk/rkg[sinklU8#@czx^FfgZtbd595=<=l;rnlp42({`n$djPvnk`aZ5(EdsSAH\RZ01YZAILV885RaPmtz\53YH]];;=>l4smmw51)tam%xeiQyohaf[6)Je|rT@K]][30^[BHCW;92SbQbuy]22ZIR\8;8o6}cou37+voc'zcoS{afcd]0+HkrpVFM__U=2\]DJAY5;0UdS`{w_04\KPR6989h7~b`t06,wlb({`nTzbgle^1,IhsWELX^V<=]^EM@Z441VeTaxvP17]LQQ759:i0aa{15-pma)tamU}cdmj_2-Nip~XDOYYW?WfUfyuQ>6^MVP456;j1x`bz>4.qj`*unlV|denkP3.Onq}YKNZXP>?SPGOF\66?XgVg~tR?9_NWW5174k2ygcy?;/rkg+vocWebohQPQHNE]17!Bmtz\HCUUS;8VSJ@K_31:[jYj}qU::RAZT0527f=tdf~:8"}fd.qj`ZphajoT?"Cbuy]OBVT\:;WTKCJP22;\kZkrpV;=SB[[1930g>ukg};?#~gk/rkg[sinklU8#@czx^NEWW]5:TULBIQ=38]l[hsW8901`?vjh|8>$dj shf\rjodmV9$A`{w_MDPV^45UVMEHR<<9^m\ip~X9?UDYYVE^X??m4smmw51)tam%xeiQyohaf[6)Je|rT@K]][30^[BHCW;92SbQbuy]22ZIR\;9:?o5|lnv20*unl&ybhRx`ibg\7*Kj}qUGJ^\T23_\CKBX::3TcRczx^35[JSS;89i7~b`t06,wlb({`nTzbgle^1,IhsWELX^V<=]^EM@Z441VeTaxvP17]LQQ26;k1x`bz>4.qj`*unlV|denkP3.Onq}YKNZXP>?SPGOF\66?XgVg~tR?9_NWW145e3zfdx<: shf,wlbX~fchiR= Mlw{[I@TZR89QRIAD^00=ZiXe|rT=;Q@UU427g=tdf~:8"}fd.qj`ZphajoT?"Cbuy]OBVT\:;WTKCJP22;\kZkrpV;=SB[[701a?vjh|8>$dj shf\rjodmV9$A`{w_MDPV^45UVMEHR<<9^m\ip~X9?UDYY6>3c9phjr6<&ybh"}fd^tlmfcX;&GfyuQCFRPX67[XOGNT>>7Po^ov|Z71WF__5<n5|lnv20*unl&ybhRx`ibg\7*Kj}qUoe{gkes]ueisb9;;9o6}cou37+voc'zcoS{afcd]0+HkrpVnbzdjjr^tbhpc6;88h7~b`t06,wlb({`nTzbgle^1,IhsWmc}eik}_wcoq`739;i0aa{15-pma)tamU}cdmj_2-Nip~Xl`|bhh|Pv`nva436:k1x`bz>4.qj`*unlV|denkP3.Onq}YcacoiQyamwf534e3zfdx<: shf,wlbX~fchiR= Mlw{[aoqamoyS{ocud346f=tdf~:8"}fd.qj`ZphajoT?"Cbuy]gmsocm{U}ma{j1631f>ukg};?#~gk/rkg[sinklU8#@czx^fjrlbbzV|j`xk=13`8wiis9=%xei!|ie]uklebW:%FaxvPdhtj``tX~hf~i>?=b:qokq73'zco#~gk_wmjg`Y4'Dg~tRjfvhffvZpfd|o?=?l4smmw51)tam%xeiQyohaf[6)Je|rThdxfddp\rdjrm<;9n6}cou37+voc'zcoS{afcd]0+HkrpVnbzdjjr^tbhpc19;h0aa{15-pma)tamU}cdmj_2-Nip~Xl`|bhh|Pv`nva275j2ygcy?;/rkg+vocWebohQ!Bmtz\`lpnllxTzlbze8374>ukg};?#~gk/rkg[sinklU8#@|nm^CwpZbbkR=VS]Y]_307[jYpamoTaxvP68]LQQ263zfdx<: shf,wlbX~fchiR= Mscn[DrsWmohW:SPPVP\672XgV}bhhQbuy]5=ZIR\8>97~b`t06,wlb({`nTzbgle^1,IwgjWH~Sikl[6_\TRTX:;>TcRyfdd]nq}Y11VE^X<>;3:qokq73'zco#~gk_wmjg`Y4'DxjaRO{t^ffg^1ZWY]YS?<;_n]tmacXe|rT:4Q@UU33514<{ee=9!|ie-pmaYqg`inS>!Br`o\EqrXlliP;PQ_WS]161YhW~coiRczx^4:[JSS98>87~b`t06,wlb({`nTzbgle^1,IwgjWH~Sikl[6_\TRTX:;>TcRyfdd]nq}Y11VE^X459phjr6<&ybh"}fd^tlmfcX;&Gym`QNtu]gaf]0UVZ\^R<=4^m\slbbWdsS;7POTV25473:2ygcy?;/rkg+vocWebohQ5|lnv20*unl&ybhRx`ibg\7*KuidUJxyQkebY4YZVPZV898RaPwhff[hsW?3TCXZ>2066?vjh|8>$dj shf\rjodmV9$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP44698>?7~b`t06,wlb({`nTzbgle^1,IwgjWH~Sikl[6_\TRTX:;>TcRyfdd]nq}Y11VE^X<<=1508wiis9=%xei!|ie]uklebW:%F~lcPAuv\``e\?TU[[_Q=25]l[rocmVg~tR86_NWW51243zfdx<: shf,wlbX~fchiR= Mscn[DrsWmohW:SPPVP\672XgV}bhhQbuy]5=ZIR\8>:8<5|lnv20*unl&ybhRx`ibg\7*KuidUJxyQkebY4YZVPZV898RaPwhff[hsW?3TCXZ=439phjr6<&ybh"}fd^tlmfcX;&Gym`QNtu]gaf]0UVZ\^R<=4^m\slbbWdsS;7POTV1517<{ee=9!|ie-pmaYqg`inS>!Br`o\EqrXlliP;PQ_WS]161YhW~coiRczx^4:[JSS;=80aa{15-pma)tamU}cdmj_2-NvdkXI}~ThhmT7\]SSWY5:=UdSzgke^ov|Z0>WF__?<:>;rnlp42({`n$djPvnk`aZ5(E{kfSLz{_eg`_2[XX^XT>?:Po^uj``Yj}qU=5RAZT561?vjh|8>$dj shf\rjodmV9$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP17392ygcy?;/rkg+vocWebohQ6}cou37+voc'zcoS{afcd]0+HtfeVKxRjjcZ5^[UQUW;8?SbQxieg\ip~X>0UDYY;>409phjr6<&ybh"}fd^tlmfcX;&Gym`QNtu]gaf]0UVZ\^R<=4^m\slbbWdsS;7POTV507=tdf~:8"}fd.qj`ZphajoT?"C}al]BpqYcmjQWF__;9<4smmw51)tam%xeiQyohaf[6)JzhgTMyzPddaX3XYW_[U9>9Q`_vkgaZkrpV<2SB[[7062?vjh|8>$dj shf\rjodmV9$Aob_@vw[acdS>WT\Z\P236\kZqnllUfyuQ99^MVP=253zfdx<: shf,wlbX~fchiR= Mscn[DrsWmohW:SPPVP\672XgV}bhhQbuy]5=ZIR\1;?=6}cou37+voc'zcoS{afcd]0+HtfeVKxRjjcZ5^[UQUW;8?SbQxieg\ip~X>0UDYY7;2:qokq73'zco#~gk_wmjg`Y4'DxjaRO{t^ffg^1ZWY]YS?<;_n]tmacXe|rT:4Q@UU;205=tdf~:8"}fd.qj`ZphajoT?"C}al]GafYumeQ8QR^XR^010ZiX`nnS`{w_61\KPR392ygcy?;/rkg+vocWebohQ6}cou37+voc'zcoS{afcd]0+HtfeVNnoR|jlZ1^[UQUW;8?SbQxieg\ip~X?:UDYY??429phjr6<&ybh"}fd^tlmfcX;&Gym`QKeb]qai]4UVZ\^R<=4^m\slbbWdsS:=POTV244253zfdx<: shf,wlbX~fchiR= Mscn[AcdW{ogW>SPPVP\672XgV}bhhQbuy]47ZIR\8;??6}cou37+voc'zcoS{afcd]0+HtfeVNnoR|jlZ1^[UQUW;8?SbQxieg\ip~X?:UDYY?>1568wiis9=%xei!|ie]uklebW:%F~lcPDda\v`j\;TU[[_Q=25]l[rocmVg~tR9<_NWW5476<;1x`bz>4.qj`*unlV|denkP3.OqehYCmjUyiaU<]^RTVZ459=4smmw51)tam%xeiQyohaf[6)JzhgTHhmPrdnX7XYW_[U9>9Q`_vkgaZkrpV=8SB[[13376>ukg};?#~gk/rkg[sinklU8#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ74<81x`bz>4.qj`*unlV|denkP3.OqehYCmjUyiaU<]^RTVZ459TCXZ=1538wiis9=%xei!|ie]uklebW:%F~lcPDda\v`j\;TU[[_Q=25]l[rocmVg~tR9<_NWW714<{ee=9!|ie-pmaYqg`inS>!Br`o\@`eXzlfP?PQ_WS]161YhW~coiRczx^50[JSS;8>:7~b`t06,wlb({`nTzbgle^1,IwgjWMohSkc[2_\TRTX:;>TcRyfdd]nq}Y0;VE^X9:=;rnlp42({`n$djPvnk`aZ5(E{kfSIkl_sgo_6[XX^XT>?:Po^uj``Yj}qUukg};?#~gk/rkg[sinklU8#@|nm^FfgZtbdR9VS]Y]_307[jYpamoTaxvP72]LQQ33:2ygcy?;/rkg+vocWebohQ9TCXZ9439phjr6<&ybh"}fd^tlmfcX;&Gym`QKeb]qai]4UVZ\^R<=4^m\slbbWdsS:=POTV5515<{ee=9!|ie-pmaYqg`inS>!Br`o\@`eXzlfP?PQ_WS]161YhW~coiRczx^50[JSS>8;?=6}cou37+voc'zcoS{afcd]0+HtfeVNnoR|jlZ1^[UQUW;8?SbQxieg\ip~X?:UDYY9;2:qokq73'zco#~gk_wmjg`Y4'DxjaRJjc^pfh^5ZWY]YS?<;_n]tmacXe|rT;>Q@UU5204=tdf~:8"}fd.qj`ZphajoT?"C}al]GafYumeQ8QR^XR^010ZiX`nnS`{w_61\KPR?<;1x`bz>4.qj`*unlV|denkP3.OqehYCmjUyiaU<]^RTVZ459Q`_vkgaZkrpV=8SB[[9508wiis9=%xei!|ie]uklebW:%F~lcPDda\v`j\;TU[[_Q=25]l[rocmVg~tR9<_NWW=45b3zfdx<: shf,wlbX~fchiR= P@]NWGYnfVkhoxczl`vlv^44UVg~tR?=_NWW8584n2ygcy?;/rkg+vocWebohQ2^MVP9699:l0aa{15-pma)tamU}cdmj_2-SEZKTJVceSlmlulwoeqiuS;9VS`{w_00\KPR;994?<6}cou37+voc'zcoS{afcd]0+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\5;;2<=i;rnlp42({`n$djPvnk`aZ5(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__01?30a>ukg};?#~gk/rkg[sinklU8#]OPMR@\mkYfkjfyao{osY17XYj}qU:>RAZT=3=7c=tdf~:8"}fd.qj`ZphajoT?"^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>2:45b3zfdx<: shf,wlbX~fchiR= P@]NWGYnfVkhoxczl`vlv^44UVg~tR?=_NWW8784n2ygcy?;/rkg+vocWebohQ2^MVP9499:o0aa{15-pma)tamU}cdmj_2-SEZKTJVceSlmlulwoeqiuS;9VS`{w_00\KPR;;79m7~b`t06,wlb({`nTzbgle^1,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS4:4:?h5|lnv20*unl&ybhRx`ibg\7*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]6?2>h4smmw51)tam%xeiQyohaf[6)WIVGXNRga_`a`qhski}eyW?=R_lw{[44XG\^783?01f?vjh|8>$dj shf\rjodmV9$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<7<0b>ukg};?#~gk/rkg[sinklU8#]OPMR@\mkYfkjfyao{osY17XYj}qU:>RAZT=4=56c<{ee=9!|ie-pmaYqg`inS>!_A^OPFZoiWhihy`{caumq_75ZWdsS<4.qj`*unlV|denkP3.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:?6:l0aa{15-pma)tamU}cdmj_2-SEZKTJVceSlmlulwoeqiuS;9VS`{w_00\KPR;07;8i6}cou37+voc'zcoS{afcd]0+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\535?k5|lnv20*unl&ybhRx`ibg\7*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]622<<:;rnlp42({`n$djPvnk`aZ5(XHUF_OQfn^rqas473zfdx<: shf,wlbX~fchiR= Rdqvhq:76;;0aa{15-pma)tamU}cdmj_2-Qavsk|5:5=??4smmw51)tam%xeiQyohaf[6)Umzgx1>12338wiis9=%xei!|ie]uklebW:%Yi~{ct=33:74<{ee=9!|ie-pmaYqg`inS>!]erwop97768897~b`t06,wlb({`nTzbgle^1,V`urd}6:<3<=1:qokq73'zco#~gk_wmjg`Y4'[oxyaz310<16>ukg};?#~gk/rkg[sinklU8#_k|umv?5486:;1x`bz>4.qj`*unlV|denkP3.Pfwpjs48;5>??4smmw51)tam%xeiQyohaf[6)Umzgx1?=>308wiis9=%xei!|ie]uklebW:%Yi~{ct=31:4453zfdx<: shf,wlbX~fchiR= Rdqvhq:6:789=6}cou37+voc'zcoS{afcd]0+Wct}e~7=>0=2:qokq73'zco#~gk_wmjg`Y4'[oxyaz312<267=tdf~:8"}fd.qj`ZphajoT?"\jstnw8459:;;0aa{15-pma)tamU}cdmj_2-Qavsk|5;?2?<4smmw51)tam%xeiQyohaf[6)Umzgx1?;>001?vjh|8>$dj shf\rjodmV9$^h}zlu>20;4592ygcy?;/rkg+vocWebohQ6}cou37+voc'zcoS{afcd]0+Wct}e~7=80>239phjr6<&ybh"}fd^tlmfcX;&Xnxb{<07=677<{ee=9!|ie-pmaYqg`inS>!]erwop9716;80aa{15-pma)tamU}cdmj_2-Qavsk|5;=2<<=;rnlp42({`n$djPvnk`aZ5(Zly~`y2>6?015>ukg};?#~gk/rkg[sinklU8#_k|umv?5285:2ygcy?;/rkg+vocWebohQ4:>?5|lnv20*unl&ybhRx`ibg\7*Tb{|f0<912338wiis9=%xei!|ie]uklebW:%Yi~{ct=3;:77<{ee=9!|ie-pmaYqg`inS>!]erwop97>6;:0aa{15-pma)tamU}cdmj_2-Qavsk|5;5><5|lnv20*unl&ybhRx`ibg\7*Tb{|f0<0>209phjr6<&ybh"}fd^tlmfcX;&Xnxb{<0<164=tdf~:8"}fd.qj`ZphajoT?"\jstnw8769:81x`bz>4.qj`*unlV|denkP3.Pfwpjs4;;5><5|lnv20*unl&ybhRx`ibg\7*Tb{|f0?<1209phjr6<&ybh"}fd^tlmfcX;&Xnxb{<31=65=tdf~:8"}fd.qj`ZphajoT?"\jstnw878592ygcy?;/rkg+vocWebohQ3<=0:qokq73'zco#~gk_wmjg`Y4'[oxyaz33?02?vjh|8>$dj shf\rjodmV9$^h}zlu>0:4463zfdx<: shf,wlbX~fchiR= Rdqvhq:46;8;7~b`t06,wlb({`nTzbgle^1,V`urd}6?2??4smmw51)tam%xeiQyohaf[6)Umzgx1:11338wiis9=%xei!|ie]uklebW:%Yi~{ct=6=676<{ee=9!|ie-pmaYqg`inS>!]erwop939:81x`bz>4.qj`*unlV|denkP3.Pfwpjs4<4:><5|lnv20*unl&ybhRx`ibg\7*Tb{|f080=219phjr6<&ybh"}fd^tlmfcX;&Xnxb{<7<15>ukg};?#~gk/rkg[sinklU8#_k|umv?2;7592ygcy?;/rkg+vocWebohQ789<6}cou37+voc'zcoS{afcd]0+Wct}e~7;3<>;rnlp42({`n$djPvnk`aZ5(Zly~`y28>002?vjh|8>$dj shf\rjodmV9$^h}zlu>4:7473zfdx<: shf,wlbX~fchiR= Rdqvhq:?6;;0aa{15-pma)tamU}cdmj_2-Qavsk|525=??4smmw51)tam%xeiQyohaf[6)Umzgx1612328wiis9=%xei!|ie]uklebW:%Yi~{ct=;=64=tdf~:8"}fd.qj`ZphajoT?"\jstnw8<86:81x`bz>4.qj`*unlV|denkP3.Pfwpjs4049:=5|lnv20*unl&ybhRx`ibg\7*YXWQFEARQP75]\[HeheykyS}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44XgVf~xig91:qokq73'zco#~gk_wmjg`Y4'VUTTA@B_^]41ZYXEjef|l|Pptnparoc|aQ:=PQncbwnqigsg{Q9?PQCerqWldhX9;UdSa{{dh355>ukg};?#~gk/rkg[sinklU8#RQPXMLN[ZY0>VUTAnabp`p\tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`_mww`l4192ygcy?;/rkg+vocWebohQ>Piot16>ukg};?#~gk/rkg[sinklU8#R`?322\mkp69m1x`bz>4.qj`*unlV|denkP3.]m462>9l1x`bz>4.qj`*unlV|denkP3.]m462>98o0aa{15-pma)tamU}cdmj_2-\j5531;;n7~b`t06,wlb({`nTzbgle^1,[k64<09986}cou37+voc'zcoS{afcd]0+ded}VhbxR~}ew0a?vjh|8>$dj shf\rjodmV9$mnmz_ckw[utb~Vxxx}a{249phjr6<&ybh"}fd^tlmfcX;&khoxczl`vlv969:?1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~1??>348wiis9=%xei!|ie]uklebW:%jon{bumcwkw:6978=7~b`t06,wlb({`nTzbgle^1,efere|fjxb|313<12>ukg};?#~gk/rkg[sinklU8#lmlulwoeqiu4895>;5|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r=37:70<{ee=9!|ie-pmaYqg`inS>!ncbwnqigsg{6:93<9;rnlp42({`n$djPvnk`aZ5(iji~axbntnp?5385>2ygcy?;/rkg+vocWebohQ9?06?vjh|8>$dj shf\rjodmV9$mnmzmtnbpjt;978=7~b`t06,wlb({`nTzbgle^1,efere|fjxb|321<12>ukg};?#~gk/rkg[sinklU8#lmlulwoeqiu4;;5>;5|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r=01:70<{ee=9!|ie-pmaYqg`inS>!ncbwnqigsg{69?3<:;rnlp42({`n$djPvnk`aZ5(iji~axbntnp?6;423zfdx<: shf,wlbX~fchiR= abavipjf|fx7?3<:;rnlp42({`n$djPvnk`aZ5(iji~axbntnp?0;423zfdx<: shf,wlbX~fchiR= abavipjf|fx793<:;rnlp42({`n$djPvnk`aZ5(iji~axbntnp?2;423zfdx<: shf,wlbX~fchiR= abavipjf|fx7;3<:;rnlp42({`n$djPvnk`aZ5(iji~axbntnp?<;423zfdx<: shf,wlbX~fchiR= abavipjf|fx753<;;rnlp42({`n$djPvnk`aZ5(iji~axbntnp\472<{ee=9!|ie-pmaYqg`inS>!ncbwnqigsg{U:>85|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r^3360=tdf~:8"}fd.qj`ZphajoT?"olctovhdrhzV;:>85|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r^3160=tdf~:8"}fd.qj`ZphajoT?"olctovhdrhzV;8>85|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r^3760=tdf~:8"}fd.qj`ZphajoT?"olctovhdrhzV;>>85|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r^3560=tdf~:8"}fd.qj`ZphajoT?"olctovhdrhzV;<>85|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r^3;60=tdf~:8"}fd.qj`ZphajoT?"olctovhdrhzV;2>95|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r^011>ukg};?#~gk/rkg[sinklU8#lmlulwoeqiuW;:996}cou37+voc'zcoS{afcd]0+ded}dgmya}_3311>ukg};?#~gk/rkg[sinklU8#lmlulwoeqiuW;8996}cou37+voc'zcoS{afcd]0+ded}dgmya}_3110>ukg};?#~gk/rkg[sinklU8#lmlulwoeqiuW:8?7~b`t06,wlb({`nTzbgle^1,efere|fjxb|P4368wiis9=%xei!|ie]uklebW:%jon{bumcwkwY2:=1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~R8=4:qokq73'zco#~gk_wmjg`Y4'hihy`{caumq[2433zfdx<: shf,wlbX~fchiR= abavipjf|fxT4?:4smmw51)tam%xeiQyohaf[6)fkjfyao{os]:6<=tdf~:8"}fd.qj`ZphajoT?"mzr^qweqcXNZGTJKj>2b9phjr6<&ybh"}fd^tlmfcX;&i~~R}{aug\BVKXNOn:!D`=d:qokq73'zco#~gk_wmjg`Y4'jyS~zntd]EWHYANm;&Ec<=f:qokq73'zco#~gk_wmjg`Y4'jyS~zntd]EWHYANm;&Ecukg};?#~gk/rkg[sinklU8#n{}_rvbp`YA[DUMJi?"Io11=>ukg};?#~gk/rkg[sinklU8#n{}_rvbp`YA[DUMJi<=c:qokq73'zco#~gk_wmjg`Y4'jyS~zntd]EWHYANm8&Ec$dj shf\rjodmV9$ox|PsucwaZ@TEVLMh>?j4smmw51)tam%xeiQyohaf[6)d}{Uxxlzj_GQN[C@c;$Ce??64smmw51)tam%xeiQyohaf[6)cacoiQntuc`o969:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVkxlmd<02=6<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZgs|hi`045|lnv20*unl&ybhRx`ibg\7*bn~`nn~Ro{t`ah8459:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVkxlmd<06=6==tdf~:8"}fd.qj`ZphajoT?"jfvhffvZgs|hi`0<0=8:qokq73'zco#~gk_wmjg`Y4'mc}eik}_`vwefm;:7837~b`t06,wlb({`nTzbgle^1,`lpnllxTmyzncj>0:7><{ee=9!|ie-pmaYqg`inS>!kiwkgawYf|}khg1:1299phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^cwpdel4<4946}cou37+voc'zcoS{afcd]0+aoqamoySlz{abi?2;4?3zfdx<: shf,wlbX~fchiR= dhtj``tXi}~jof28>3:8wiis9=%xei!|ie]uklebW:%oe{gkes]bpqgdc525>55|lnv20*unl&ybhRx`ibg\7*bn~`nn~Ro{t`ah8<85?2ygcy?;/rkg+vocWebohQ13:8wiis9=%xei!|ie]uklebW:%oe{gkes]bpqgdcV;9>55|lnv20*unl&ybhRx`ibg\7*bn~`nn~Ro{t`ah[45502ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$hdxfddp\eqrfkbU9>:5|lnv20*unl&ybhRx`ibg\7*bn~`nn~Ro{t`ah[6403zfdx<: shf,wlbX~fchiR= dhtj``tXi}~jofQ;269phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^cwpdelW<8<7~b`t06,wlb({`nTzbgle^1,`lpnllxTmyzncj]562=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZgs|hi`S:<8;rnlp42({`n$djPvnk`aZ5(l`|bhh|PauvbgnY?:>1x`bz>4.qj`*unlV|denkP3.fjrlbbzVkxlmd_80:?vjh|8>$dj shf\rjodmV9$hdxfddp\gjsi|lx7<34.qj`*unlV|denkP3.fjrlbbzVidyczjr=31:7g<{ee=9!|ie-pmaYqg`inS>!kiwkgawYdg|di2>3?0b?vjh|8>$dj shf\rjodmV9$hdxfddp\gjsi|lx7=90=a:qokq73'zco#~gk_wmjg`Y4'mc}eik}_bmvjqcu48?5>l5|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rm`uovfv9716;k0aa{15-pma)tamU}cdmj_2-gmsocm{Uhcx`{es>23;4>3zfdx<: shf,wlbX~fchiR= dhtj``tXkfexh|31?0:?vjh|8>$dj shf\rjodmV9$hdxfddp\gjsi|lx7>3<6;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pcnwmp`t;;7827~b`t06,wlb({`nTzbgle^1,`lpnllxTob{atdp?0;4>3zfdx<: shf,wlbX~fchiR= dhtj``tXkfexh|35?0:?vjh|8>$dj shf\rjodmV9$hdxfddp\gjsi|lx7:3<6;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pcnwmp`t;?7827~b`t06,wlb({`nTzbgle^1,`lpnllxTob{atdp?<;4>3zfdx<: shf,wlbX~fchiR= dhtj``tXkfexh|39?0;?vjh|8>$dj shf\rjodmV9$hdxfddp\gjsi|lxT4.qj`*unlV|denkP3.fjrlbbzVidyczjr^336<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZeh}g~n~R?>289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^alqkrbzV;9>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rm`uovfvZ74:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVidyczjr^376<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZeh}g~n~R?:289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^alqkrbzV;=>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rm`uovfvZ70:11x`bz>4.qj`*unlV|denkP3.fjrlbbzVidyczjr^01<>ukg};?#~gk/rkg[sinklU8#igyiegq[firf}oyS><7;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pcnwmp`tX<;20aa{15-pma)tamU}cdmj_2-gmsocm{Uhcx`{es]66==tdf~:8"}fd.qj`ZphajoT?"jfvhffvZeh}g~n~R8=8:qokq73'zco#~gk_wmjg`Y4'mc}eik}_bmvjqcuW>837~b`t06,wlb({`nTzbgle^1,`lpnllxTob{atdp\<7><{ee=9!|ie-pmaYqg`inS>!kiwkgawYdg|diQ6289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^ffgZtbd5:5>l5|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rjjc^pfh9776;k0aa{15-pma)tamU}cdmj_2-gmsocm{UoinQ}em>25;4f3zfdx<: shf,wlbX~fchiR= dhtj``tXlliT~hb313<1e>ukg};?#~gk/rkg[sinklU8#igyiegq[acdW{og0<=1289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^ffgZtbd5;5>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rjjc^pfh949:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVnnoR|jl=1=6<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZbbkVxn`1:1289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^ffgZtbd5?5>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rjjc^pfh909:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVnnoR|jl=5=6<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZbbkVxn`161289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^ffgZtbd535>55|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rjjc^pfhZ6502ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$hdxfddp\``eXzlfT==<6;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pdda\v`jX98827~b`t06,wlb({`nTzbgle^1,`lpnllxThhmPrdn\574>3zfdx<: shf,wlbX~fchiR= dhtj``tXlliT~hbP120;?vjh|8>$dj shf\rjodmV9$hdxfddp\``eXzlfT>?64smmw51)tam%xeiQyohaf[6)cacoiQkeb]qaiY4:11x`bz>4.qj`*unlV|denkP3.fjrlbbzVnnoR|jl^61<>ukg};?#~gk/rkg[sinklU8#igyiegq[acdW{ogS8<7;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pdda\v`jX>;20aa{15-pma)tamU}cdmj_2-gmsocm{UoinQ}em]46==tdf~:8"}fd.qj`ZphajoT?"jfvhffvZbbkVxn`R6=8:qokq73'zco#~gk_wmjg`Y4'mc}eik}_eg`[wckW08<7~b`t06,wlb({`nTzbgle^1,`lpnllxTzlbze=2=6==tdf~:8"}fd.qj`ZphajoT?"jfvhffvZpfd|o7<3?=9:qokq73'zco#~gk_wmjg`Y4'mc}eik}_wcoq`:76V:946}cou37+voc'zcoS{afcd]0+aoqamoyS{ocud>24;4?3zfdx<: shf,wlbX~fchiR= dhtj``tX~hf~i1?>>3:8wiis9=%xei!|ie]uklebW:%oe{gkes]ueisb4885>55|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rxnltg?568502ygcy?;/rkg+vocWebohQ4?04?vjh|8>$dj shf\rjodmV9$hdxfddp\rdjrm5;5>:5|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rxnltg?6;403zfdx<: shf,wlbX~fchiR= dhtj``tX~hf~i1=1269phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^tbhpc;<78<7~b`t06,wlb({`nTzbgle^1,`lpnllxTzlbze=7=62=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZpfd|o7:3<8;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pv`nva919:>1x`bz>4.qj`*unlV|denkP3.fjrlbbzV|j`xk38?04?vjh|8>$dj shf\rjodmV9$hdxfddp\rdjrm535>85|lnv20*unl&ybhRx`ibg\7*ci~ViexR{_hlu63=tdf~:8"}fd.qj`ZphajoT?"kav^ampZswW`d}>?94smmw51)tam%xeiQyohaf[6)bfUhcx`{_imo`ZG3=2ygcy?;/rkg+vocWebohQ2^m\mkp6;91x`bz>4.qj`*unlV|denkP3.gmrZeh}g~Tdbbk_@]dhpcX{l~996}cou37+voc'zcoS{afcd]0+`hqWje~byk}<1<12>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcu48:5>;5|lnv20*unl&ybhRx`ibg\7*ci~Vidyczjr=32:70<{ee=9!|ie-pmaYqg`inS>!jnw]`kphsm{6:>3<9;rnlp42({`n$djPvnk`aZ5(mg|Tob{atdp?5685>2ygcy?;/rkg+vocWebohQ6?05?vjh|8>$dj shf\rjodmV9$icxPcnwmp`t;9>4996}cou37+voc'zcoS{afcd]0+`hqWje~byk}<0<11>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcu4;4996}cou37+voc'zcoS{afcd]0+`hqWje~byk}<2<11>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcu4=4996}cou37+voc'zcoS{afcd]0+`hqWje~byk}<4<11>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcu4?4996}cou37+voc'zcoS{afcd]0+`hqWje~byk}<6<11>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcu414996}cou37+voc'zcoS{afcd]0+`hqWje~byk}<8<0f>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcuS8=VSJ@K_31:[jYby|kgS?=Po2d8wiis9=%xei!|ie]uklebW:%nb{Qlotlwaw]6?TULBIQ=38]l[`wrieU9?Ra316<74>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcuS8=VSJ@K_31:[jYby|kgS?=Po=34:4273zfdx<: shf,wlbX~fchiR= eot\gjsi|lxP=:SPGOF\66?XgVozylbP22]l8419:=:0aa{15-pma)tamU}cdmj_2-fjsYdg|diU>7\]DJAY5;0UdShzam]17Zi;9>48?o5|lnv20*unl&ybhRx`ibg\7*ci~VidyczjrZ34YZAILV885RaPepwbhZ55Wf9m7~b`t06,wlb({`nTzbgle^1,akpXkfexh|T16_\CKBX::3TcRk~u`n\77Yh48=58>5|lnv20*unl&ybhRx`ibg\7*ci~VidyczjrZ34YZAILV885RaPepwbhZ55Wf6:;3Q\W11a?vjh|8>$dj shf\rjodmV9$icxPcnwmp`t\?TUXy~Q]emY4YZcv}hfT>4Q`3b9phjr6<&ybh"}fd^tlmfcX;&oezRm`uovfv^1ZWZxS_kc[6_\atsfdV82Sb:;0:qokq73'zco#~gk_wmjg`Y4'ld}Snaznugq_2[X[|yT^hbT7\]fupgkW;3Tc9Q\W107?vjh|8>$dj shf\rjodmV9$icxPcnwmp`tX8;>0aa{15-pma)tamU}cdmj_2-fjsYdg|diQ>249phjr6<&ybh"}fd^tlmfcX;&oezRm`uovfvZ77:<1x`bz>4.qj`*unlV|denkP3.gmrZeh}g~n~R?>249phjr6<&ybh"}fd^tlmfcX;&oezRm`uovfvZ75:<1x`bz>4.qj`*unlV|denkP3.gmrZeh}g~n~R?<249phjr6<&ybh"}fd^tlmfcX;&oezRm`uovfvZ73:<1x`bz>4.qj`*unlV|denkP3.gmrZeh}g~n~R?:249phjr6<&ybh"}fd^tlmfcX;&oezRm`uovfvZ71:<1x`bz>4.qj`*unlV|denkP3.gmrZeh}g~n~R?8259phjr6<&ybh"}fd^tlmfcX;&oezRm`uovfvZ45<2ygcy?;/rkg+vocWebohQ<;;rnlp42({`n$djPvnk`aZ5(mg|Tob{atdp\072<{ee=9!|ie-pmaYqg`inS>!jnw]`kphsm{U>>95|lnv20*unl&ybhRx`ibg\7*ci~Vidyczjr^410>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcuW>8?7~b`t06,wlb({`nTzbgle^1,akpXkfexh|P8368wiis9=%xei!|ie]uklebW:%nb{QlotlwawY>:01x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze=2=6d=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~i1??>3c8wiis9=%xei!|ie]uklebW:%nt}Q{acnf[sgk}l6:=34.qj`*unlV|denkP3.g{tZrfjeoTzlbze=37:7g<{ee=9!|ie-pmaYqg`inS>!jxq]wegjbWkgyh2>5?0b?vjh|8>$dj shf\rjodmV9$iu~Pt``oaZpfd|o7=;0=a:qokq73'zco#~gk_wmjg`Y4'lr{Syomld]ueisb48=5>45|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nva979:01x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze=0=6<=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~i1=1289phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrm5>5>45|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nva939:01x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze=4=6<=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~i191289phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrm525>45|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nva9?9:11x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze^21b>ukg};?#~gk/rkg[sinklU8#hv_ucah`YqienS=Q}surlp7><{ee=9!|ie-pmaYqg`inS>!jxq]wegjbWkgyhQ>289phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrmV;;>45|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nvaZ76:01x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze^316<=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~iR?<289phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrmV;?>45|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nvaZ72:01x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze^356<=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~iR?8299phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrmV8946}cou37+voc'zcoS{afcd]0+`~wW}ki`hQyamwf[64?3zfdx<: shf,wlbX~fchiR= eyr\pddkmV|j`xkP43:8wiis9=%xei!|ie]uklebW:%nt}Q{acnf[sgk}lU>>55|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nvaZ0502ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$iu~Pt``oaZpfd|oT4?64smmw51)tam%xeiQyohaf[6)bpyUmobj_wcoq`Y>9j1x`bz>4.qj`*unlV|denkP3.l36566l2ygcy?;/rkg+vocWebohQ5|lnv20*unl&ybhRx`ibg\7*vrdzo|eizg[03^[ded}dgmya}[31^[Ict{]bjbR?=_n13?vjh|8>$dj shf\rjodmV9$~h}jt^AljqthdR;VSB\P244\k67<{ee=9!|ie-pmaYqg`inS>!}ergw[Fii|{egW<4smmw51)tam%xeiQyohaf[6)umzoSigyiegq[wusWFXT?<=Po010?vjh|8>$dj shf\rjodmV9$~h}jt^gmrZei|Vigil|POS]054Yh;=1x`bz>4.qj`*unlV|denkP3.pfw`rXmg|ToczPcmgbvZIUW:;:Sb?=2:qokq73'zco#~gk_wmjg`Y4'zk|xba{h=2=66=tdf~:8"}fd.qj`ZphajoT?"}nwumlpm:687887~b`t06,wlb({`nTzbgle^1,wdqsgf~c02:74<{ee=9!|ie-pmaYqg`inS>!|avvlkqn;:7897~b`t06,wlb({`nTzbgle^1,wdqsgf~c0>0=2:qokq73'zco#~gk_wmjg`Y4'zk|xba{h=6=67=tdf~:8"}fd.qj`ZphajoT?"}nwumlpm:26;80aa{15-pma)tamU}cdmj_2-perrhg}b7:3<=;rnlp42({`n$djPvnk`aZ5({h}cbzg<6<16>ukg};?#~gk/rkg[sinklU8#~oxtnmwl9>9:;1x`bz>4.qj`*unlV|denkP3.qbsqih|a622??4smmw51)tam%xeiQyohaf[6)ti~~dcyfP0338wiis9=%xei!|ie]uklebW:%xmzz`ouj\574<{ee=9!|ie-pmaYqg`inS>!|avvlkqnX99897~b`t06,wlb({`nTzbgle^1,wdqsgf~cSukg};?#~gk/rkg[sinklU8#~oxtnmwlZ5592ygcy?;/rkg+vocWebohQ9=6}cou37+voc'zcoS{afcd]0+vgp|fedR;=1:qokq73'zco#~gk_wmjg`Y4'zk|xba{h^415>ukg};?#~gk/rkg[sinklU8#~oxtnmwlZ1592ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$diaam]nq}:16;>0aa{15-pma)tamU}cdmj_2-pmbhfdVg~t191229phjr6<&ybh"}fd^tlmfcX;&ybkcoc_lw{[5443zfdx<: shf,wlbX~fchiR= shemeiYj}qU:>95|lnv20*unl&ybhRx`ibg\7*unogkgS`{w_0210>ukg};?#~gk/rkg[sinklU8#~ghn`n\ip~X98887~b`t06,wlb({`nTzbgle^1,wlaiieUfyuQ=229phjr6<&ybh"}fd^tlmfcX;&ybkcoc_lw{[1443zfdx<: shf,wlbX~fchiR= shemeiYj}qU>>>5|lnv20*unl&ybhRx`ibg\7*unogkgS`{w_700?vjh|8>$dj shf\rjodmV9$diaam]nq}Y0::1x`bz>4.qj`*unlV|denkP3.qjckgkWdsS5<<;rnlp42({`n$djPvnk`aZ5({`memaQbuy]:67=tdf~:8"}fd.qj`ZphajoT?"z}i`ldh`:76;90aa{15-pma)tamU}cdmj_2-wvlgioeo7==0=3:qokq73'zco#~gk_wmjg`Y4'}xbmcice=32:74<{ee=9!|ie-pmaYqg`inS>!{rhcmcic;97897~b`t06,wlb({`nTzbgle^1,pwoffnfn0?0=2:qokq73'zco#~gk_wmjg`Y4'}xbmcice=1=67=tdf~:8"}fd.qj`ZphajoT?"z}i`ldh`:36;80aa{15-pma)tamU}cdmj_2-wvlgioeo793<=;rnlp42({`n$djPvnk`aZ5(|{cjbjbj<7<16>ukg};?#~gk/rkg[sinklU8#y|faoeoa919:;1x`bz>4.qj`*unlV|denkP3.vqmdh`dl632?<4smmw51)tam%xeiQyohaf[6)sz`kekak39?17?vjh|8>$dj shf\rjodmV9$xgnnfnf_5[XffcxiV>R_NP\6<5Xg:20aa{15-pma)tamU}cdmj_2-wvlgioeoPQ`_RU273=tdf~:8"}fd.qj`ZphajoT?"z}i`ldh`]68TUecd}j[02^[JTX:?9Tc>o4smmw51)tam%xeiQyohaf[6)sz`kekakT11_\jjotmR;;QRA]_340[jYT_89=7~b`t06,wlb({`nTzbgle^1,pwoffnfnWukg};?#~gk/rkg[sinklU8#y|faoeoa^76UVdde~kT10_\KWY5>9UdS^Y>359phjr6<&ybh"}fd^tlmfcX;&~yel`hldY2YZhhazoP=PQ@R^0:4Zi402ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$xgnnfnf_7[XffcxiVPQaohqf_7[XG[U94:Q`_RU271=tdf~:8"}fd.qj`ZphajoT?"z}i`ldh`]4UVdde~kT3\]LVZ4?64smmw51)tam%xeiQyohaf[6)sz`kekakT4\]mklubS=WTC_Q=80]l[VQ6;=1x`bz>4.qj`*unlV|denkP3.vqmdh`dlQ>QR``irgX1XYHZV8<4Ra<8:qokq73'zco#~gk_wmjg`Y4'}xbmciceZ7^[kin{lQ>QRA]_35;[jYT_89?7~b`t06,wlb({`nTzbgle^1,pwoffnfnW;SPnnkpa^0ZWFXT>:;Po2:8wiis9=%xei!|ie]uklebW:%~doagmgX2XYig`ynW;SPOS]130YhWZ]:?95|lnv20*unl&ybhRx`ibg\7*ruahdl`hU8]^llmvc\?TUD^R<82^m0<>ukg};?#~gk/rkg[sinklU8#y|faoeoa^1ZWgebhU8]^MQ[715WfUX[<=;;rnlp42({`n$djPvnk`aZ5(|{cjbjbj[9_\jjotmR2VSB\P27;\k6><{ee=9!|ie-pmaYqg`inS>!{rhcmcic\0TUecd}j[9_\KWY5>0UdS^Y>359phjr6<&ybh"}fd^tlmfcX;&~yel`hldY:YZhhazoP5PQ@R^052Zi402ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$xgnnfnf[5463zfdx<: shf,wlbX~fchiR= tskbjbjbW8897~b`t06,wlb({`nTzbgle^1,pwoffnfnS<>=2:qokq73'zco#~gk_wmjg`Y4'}xbmcice^3264=tdf~:8"}fd.qj`ZphajoT?"z}i`ldh`Y5:81x`bz>4.qj`*unlV|denkP3.vqmdh`dlU8><5|lnv20*unl&ybhRx`ibg\7*ruahdl`hQ;209phjr6<&ybh"}fd^tlmfcX;&~yel`hld]664=tdf~:8"}fd.qj`ZphajoT?"z}i`ldh`Y1:81x`bz>4.qj`*unlV|denkP3.vqmdh`dlU<><5|lnv20*unl&ybhRx`ibg\7*ruahdl`hQ7209phjr6<&ybh"}fd^tlmfcX;&~yel`hld]:5a=tdf~:8"}fd.tlmfcXe`rnh1>1_GPA5`=tdf~:8"}fd.tlmfcXe`rnh1??>^DQF4c<{ee=9!|ie-uklebWdcsii2>1?]EVG7b3zfdx<: shf,rjodmVgbthj313<\BWD6m2ygcy?;/rkg+sinklUfeukk<01=[CTE9m1x`bz>4.qj`*phajoTadvjd=3=[CTE9m1x`bz>4.qj`*phajoTadvjd=0=[CTE9m1x`bz>4.qj`*phajoTadvjd=1=[CTE9m1x`bz>4.qj`*phajoTadvjd=6=[CTE9m1x`bz>4.qj`*phajoTadvjd=7=[CTE9m1x`bz>4.qj`*phajoTadvjd=4=[CTE9m1x`bz>4.qj`*phajoTadvjd=5=[CTE9m1x`bz>4.qj`*phajoTadvjd=:=[CTE9m1x`bz>4.qj`*phajoTadvjd=;=[CTE911x`bzPaefqavu;8769:3Q:_g52=>ukg}Ujhi|jsr>3:9416V?Tj:?>a:qokqYflmxn~2?>=05:Z3Xn>;;=l5|lnv\eabumzy7<32=6?]6[c1698k0aa{_`fgv`ut4947>;0P5^d4577f3zfdxRokdsgpw9694;<5S8Qi7012e>ukg}Ujhi|jsr>3:9416V?Tj:?;1`9phjrXimnyi~}30?>12;Y2Wo=:97U>Sk9>90;8wiisWhno~h}|<17U>Sk9=30;8wiisWhno~h}|<1;0P5^d414?<{eeSljkrdqp858;:?4T9Rh860;8wiisWhno~h}|<1;0P5^d4=4g<{eeSljkrdqp858;:?4T9Rh8_13b?vjh|Vkohk|s=2=8709Wb:qokqYflmxn~2?>=05:Z3Xn>U:<^7\b2Y6:8h0aa{_`fgv`ut4947>;0P5^d4[456j2ygcyQndepfwv:7658=2R;Pf6]204d<{eeSljkrdqp858;:?4T9Rh8_072f>ukg}Ujhi|jsr>3:9416V?Tj:Q>60`8wiisWhno~h}|<1b:qokqYflmxn~2?>=05:Z3Xn>U:4^7\b2Y59k1x`bzPaefqavu;8769:3Q:_g5\657e3zfdxRokdsgpw9694;<5S8Qi7^025g=tdf~Tmij}erq?4;:5>7U>Sk9P233b?vjh|Vkohk|s=2=8709Wa:qokqYflmxn~2?>=05:Z3Xn>U?=l5|lnv\eabumzy7<32=6?]6[c1X=8k0aa{_`fgv`ut4947>;0P5^d4[37f3zfdxRokdsgpw9694;<5S8Qi7^52e>ukg}Ujhi|jsr>3:9416V?Tj:Q71`9phjrXimnyi~}30?>12;Y2Wo=T5<;4smmw[dbczlyx0=0327<\240<{eeSljkrdqp858;:?4T:1<34=[377901x`bzPaefqavu;8769:3Q911]E5<=tdf~Tmij}erq?4;:5>7U===QH169phjrXimnyi~}30?>12;Y198;37~b`t^cg`wct{5:50?81_732541<{eeSljkrdqp858;:?4T:<<>8:qokqYflmxn~2?>=05:Z06:8;<7~b`t^cg`wct{5:50?81_7305==tdf~Tmij}erq?4;:5>7U==>?>7:qokqYflmxn~2?>=05:Z06<820aa{_`fgv`ut4947>;0P606252=tdf~Tmij}erq?4;:5>7U==8?7;rnlpZgcl{ox1>1<34=[37298=0aa{_`fgv`ut4947>;0P6042<>ukg}Ujhi|jsr>3:9416V<::1<34=[370911x`bzPaefqavu;8769:3Q916323>ukg}Ujhi|jsr>3:9416V<:4<64smmw[dbczlyx0=0327<\24>69>1x`bzPaefqavu;8769:3Q9183;?vjh|Vkohk|s=2=8709W?;2=<84smmw[dbczlyx0=0327<\27703zfdxRokdsgpw9694;<5S;12;Y1:9;:;6}cou]b`atb{z6;21<9>^4154?<{eeSljkrdqp858;:?4T:??PF0;8wiisWhno~h}|<1;;TK<94smmw[dbczlyx0=0327<\274602ygcyQndepfwv:7658=2R8=2034?vjh|Vkohk|s=2=8709W?88=55|lnv\eabumzy7<32=6?]56676?2ygcyQndepfwv:7658=2R8=40;8wiisWhno~h}|<1;>TJ<74smmw[dbczlyx0=0327<\272XO8=0aa{_`fgv`ut4947>;0P6372=>ukg}Ujhi|jsr>3:9416V<99RH>9:qokqYflmxn~2?>=05:Z05=VM:;6}cou]b`atb{z6;21<9>^4124?<{eeSljkrdqp858;:?4T:?8PF0;8wiisWhno~h}|<1;ukg}Ujhi|jsr>3:9416V<9;RI>7:qokqYflmxn~2?>=05:Z050830aa{_`fgv`ut4947>;0P63:\B4?<{eeSljkrdqp858;:?4T:?6PG058wiisWhno~h}|<1;3::6}cou]b`atb{z6;21<9>^4052=tdf~Tmij}erq?4;:5>7U=?=?9;rnlpZgcl{ox1>1<34=[326>2ygcyQndepfwv:7658=2R8:179phjrXimnyi~}30?>12;Y1>8=0aa{_`fgv`ut4947>;0P67322>ukg}Ujhi|jsr>3:9416V<<=:5|lnv\eabumzy7<32=6?]534713zfdxRokdsgpw9694;<5S;6>7:qokqYflmxn~2?>=05:Z0?98<0aa{_`fgv`ut4947>;0P6834?vjh|Vkohk|s=2=8709W?3:=85|lnv\eabumzy7<32=6?]453=tdf~Tmij}erq?4;:5>7U<=<94smmw[dbczlyx0=0327<\3466?2ygcyQndepfwv:7658=2R9>1058wiisWhno~h}|<1^52741<{eeSljkrdqp858;:?4T;<:>7:qokqYflmxn~2?>=05:Z16=8=0aa{_`fgv`ut4947>;0P70423>ukg}Ujhi|jsr>3:9416V=:;<94smmw[dbczlyx0=0327<\34>6?2ygcyQndepfwv:7658=2R9>9048wiisWhno~h}|<17U<>1<34=[2459>1x`bzPaefqavu;8769:3Q82234?vjh|Vkohk|s=2=8709W>8?=:5|lnv\eabumzy7<32=6?]460703zfdxRokdsgpw9694;<5S:<8169phjrXimnyi~}30?>12;Y0:0;=7~b`t^cg`wct{5:50?81_6123>ukg}Ujhi|jsr>3:9416V=8<<94smmw[dbczlyx0=0327<\3676?2ygcyQndepfwv:7658=2R9<2058wiisWhno~h}|<1^50041<{eeSljkrdqp858;:?4T;>;>7:qokqYflmxn~2?>=05:Z14>8=0aa{_`fgv`ut4947>;0P72522>ukg}Ujhi|jsr>3:9416V=?=;5|lnv\eabumzy7<32=6?]4140<{eeSljkrdqp858;:?4T;;?n;rnlpZgcl{ox1>1<34=[20X[^:::6}cou]b`atb{z6;21<9>^545d=tdf~Tmij}erq?4;:5>7U<;R]X0048wiisWhno~h}|<1ukg}Ujhi|jsr>3:9416V2::6}cou]b`atb{z6;21<9>^:253=tdf~Tmij}erq?4;:5>7U3><84smmw[dbczlyx0=0327<\<6713zfdxRokdsgpw9694;<5S5:>6:qokqYflmxn~2?>=05:Z>29?1x`bzPaefqavu;8769:3Q76c9phjrXkpi7<3m4smmw[fd494:o6}cou]`}f:697n0aa{_b{`84799j1x`bzPcxa?568e3zfdxRmvc=3=g>ukg}Uhun2>>0`8wiisWjsh0?0l;rnlpZe~k585=o5|lnv\g|e;=7i0aa{_b{`8086j2ygcyQlyb>5:f=tdf~Totm36?3a?vjh|Viro191c:qokqYdqj6<2ukg}Uyilj31227;:568:0aa{_sgb`9746595==5|lnv\v`gc4895090>0:qokqYumhn7=>035?33?vjh|Vxnmi2>3?>5:46<{eeSknd=30:919991x`bzPrdcg8439494:=6}cou]qadb;9<47==0>1:qokqYumhn7=80310<25>ukg}Uyilj3141:qokqYumhn7=80314<25>ukg}Uyilj3144:=6}cou]qadb;9<47=50>1:qokqYumhn7=80318<24>ukg}Uyilj31421;:587;:7~b`t^pfea:6=769=3?>;rnlpZtbim6:932=2?32?vjh|Vxnmi2>5?>17;763zfdxR|jae>21;:5<7;:7~b`t^pfea:6=76993?>;rnlpZtbim6:932=6?32?vjh|Vxnmi2>5?>13;763zfdxR|jae>21;:507;:7~b`t^pfea:6=76953??;rnlpZtbim6:932=>038wiisW{ojh1?:>=13:47<{eeSknd=36:95668:0aa{_sgb`9726595==5|lnv\v`gc48?5090>0:qokqYumhn7=8035?33?vjh|Vxnmi2>5?>5:46<{eeSknd=36:919991x`bzPrdcg8439414:<6}cou]qadb;9<4753??;rnlpZtbim6921??>028wiisW{ojh1<1<03=55=tdf~T~hok<34smmw[wcfl5850<;1119phjrXzlko0?0317<24>ukg}Uyilj32?>23;773zfdxR|jae>1:97?68:0aa{_sgb`9494835==5|lnv\v`gc4;47>=0>0:qokqYumhn7>32=1?33?vjh|Vxnmi2=>=01:46<{eeSknd=0=8759991x`bzPrdcg878;:=4:<6}cou]qadb;:76993??;rnlpZtbim6921<9>028wiisW{ojh1<1<35=55=tdf~T~hok<34smmw[wcfl5850>?1f:qokqYumhn7>32<>g9phjrXzlko0?034?d8wiisW{ojh1<1<4=4=b>ukg}Uyilj32?>4:c=tdf~T~hok<30:969991x`bzPrdcg868;994:<6}cou]qadb;;76:=3??;rnlpZtbim6821?=>028wiisW{ojh1=1<01=55=tdf~T~hok<24smmw[wcfl5950<71f:qokqYumhn7?32>>028wiisW{ojh1=1<32=55=tdf~T~hok<24smmw[wcfl5950?:1119phjrXzlko0>0324<24>ukg}Uyilj33?>12;773zfdxR|jae>0:94068:0aa{_sgb`9594;25==5|lnv\v`gc4:47>40i;rnlpZtbim6821<1119phjrXzlko0>0331<24>ukg}Uyilj33?>05;`<{eeSknd=1=8=8a3zfdxR|jae>0:9?9n2ygcyQ}e`f?2;:36o1x`bzPrdcg828;87;;7~b`t^pfea:065;;2<>4smmw[wcfl5=50ukg}Uyilj37?>27;773zfdxR|jae>4:97368:0aa{_sgb`91948?5j6}cou]qadb;?76:2k5|lnv\v`gc4>47>3h4smmw[wcfl5=50>0i;rnlpZtbim6<21:1f:qokqYumhn7;32:>g9phjrXzlko0:036?d8wiisW{ojh191<6=:=b>ukg}Uyilj37?>::==txhxmc8<;rrj=>uwajf`kh`n;rpbiZoi{}%m7~|nm^kmwq)d|{f$?95|r`o\mkus'j~y`"IAD^3:=ZiXzVxmSnaznugq_67ZWl{~maQ=1^m0<>uuidUbb~z cupo+BHCW832SbQ}_sd\gjsi|lxP?3zxjaRgasu-`pwj(OGNT=47Po^p\vcYdg|diU<1\]fupgkW;;Tc1=>>21:?vtfeVcey!ltsn,CKBX903TcR|Prg]`kphsm{Q8=PQjqtco[77Xg59:29=6;rpbiZoi{}%hxb GOF\53zxjaRgasu-`pwj(OGNT=47Po^p\vcYdg|diU<1\]fupgkW;;Tc1=>>61e?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR?69^m\eabX:;UDYYQly=2=05=tzhgTec}{/bvqh*KflmUyShPcnwmp`t\;8WTKCJP18;\kZgclV89SB[[_b{?5583;2yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]2=4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW832SbQnde]16ZIR\Vir0?Q@UU]`}9756=90ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[4?>WfUjhiQ=2^MVPZe~4885Sz;0:qqehYnfz~$oy|c/Lcg`ZtXzoUhcx`{esY05XY@FMU:54Q`_`fg[74XG\^Tot2>3?60?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR?69^m\eabX:;UDYYQly=30:Zts<91x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP?;7~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z7>1VeTmijP23]LQQYdq5;=29=4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW832SbQnde]16ZIR\Vir0<81_sv74>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZPcx>23;243zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU]`}9706Vx8=5|r`o\mkus'j~y`"Cnde]q[w`Xkfexh|T30_\CKBX903TcRokd^01[JSSWjs7=50;3:qqehYnfz~$oy|c/Lcg`ZtXzoUhcx`{esY05XY@FMU:54Q`_`fg[74XG\^Tot2>8?]qp16<{{kfSd`|t.awvi)JimnT~R|i_bmvjqcuS:;VSJ@K_0;:[jYflmU9>RAZT^az84?9<:1x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP?RAZT^az8769<:1x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP?>518wwgjW`dxx"m{rm-NeabXzVxmSnaznugq_67ZWNDOS<76_n]b`aY5:VE^XRmv<33=[wr382yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]2=87~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z7>1VeTmijP23]LQQYdq5892R|{419pvdkXagy#nz}l.Ob`aYuW{lTob{atdpX74[XOGNT=47Po^cg`Z45WF__Snw322<77>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZPcx>17;Yu|=:0ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[4?>WfUjhiQ=2^MVPZe~4;>58>5|r`o\mkus'j~y`"Cnde]q[w`Xkfexh|T30_\CKBX903TcRokd^01[JSSWjs7>90Pru63?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR?69^m\eabX:;UDYYQly=06:15<{{kfSd`|t.awvi)JimnT~R|i_bmvjqcuS:;VSJ@K_0;:[jYflmU9>RAZT^az8739W{~?<6}}al]jjvr(k}xg#@okd^p\vcYdg|diU<1\]DJAY610UdSljk_30\KPRXkp69:3:<;rpbiZoi{}%hxb M`fg[wYunVidyczjrZ12YZAILV;25RaPaef\67YH]]Uhu1<9>^pw05=tzhgTec}{/bvqh*KflmUyShPcnwmp`t\;8WTKCJP18;\kZgclV89SB[[_b{?6283;2yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]2=4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW832SbQnde]16ZIR\Vir0?61429pvdkXagy#nz}l.Ob`aYuW{lTob{atdpX74[XOGNT=47Po^cg`Z45WF__Snw329<\vq273zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU]`}94>6=90ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[4?>WfUjhiQ=2^MVPZe~4;35Sz508wwgjW`dxx"m{rm-NeabXzVxmSnaznugq_67ZWNDOS<76_n]b`aY5:VE^XRmv<3<\vq273zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU]`}9576=90ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[4?>WfUjhiQ=2^MVPZe~4::5Sz508wwgjW`dxx"m{rm-NeabXzVxmSnaznugq_67ZWNDOS<76_n]b`aY5:VE^XRmv<2<\vq5a3zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU]`}929<;1x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP?h4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW832SbQnde]16ZIR\Vir080;2:qqehYnfz~$oy|c/Lcg`ZtXzoUhcx`{esY05XY@FMU:54Q`_`fg[74XG\^Tot2:>^pw7c=tzhgTec}{/bvqh*KflmUyShPcnwmp`t\;8WTKCJP18;\kZgclV89SB[[_b{?2;253zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU]`}909W{~8j6}}al]jjvr(k}xg#@okd^p\vcYdg|diU<1\]DJAY610UdSljk_30\KPRXkp6<29<4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW832SbQnde]16ZIR\Vir0:0Pru1e?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR?69^m\eabX:;UDYYQly=:=07=tzhgTec}{/bvqh*KflmUyShPcnwmp`t\;8WTKCJP18;\kZgclV89SB[[_b{?<;Yu|:l0ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[4?>WfUjhiQ=2^MVPZe~404?>6}}al]jjvr(k}xg#@okd^p\vcYdg|diU<1\]DJAY610UdSljk_30\KPRXkp622R|{419pvdkXagy#nz}l.Ob`aYuW{lTob{atdpX74[XOGNT=47Po^cg`Z45WF__Sa{{<1<72>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZPltv?4;YNF_U;8=5|r`o\mkus'j~y`"Cnde]q[w`Xkfexh|T30_\CKBX903TcRokd^01[JSSWqey0=0;1:qqehYnfz~$oy|c/Lcg`ZtXzoUhcx`{esY05XY@FMU:54Q`_`fg[74XG\^Ttb|311<75>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZPxnp?548392yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]2=0;1:qqehYnfz~$oy|c/Lcg`ZtXzoUhcx`{esY05XY@FMU:54Q`_`fg[74XG\^Ttb|315<75>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZPxnp?508392yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]2=uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZPxnp?5<8382yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]2=:7~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z7>1VeTmijP23]LQQYg{69<3:>;rpbiZoi{}%hxb M`fg[wYunVidyczjrZ12YZAILV;25RaPaef\67YH]]Usc2=1?62?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR?69^m\eabX:;UDYYQwos>16;263zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU]{kw:5;7>:7~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z7>1VeTmijP23]LQQYg{6983:>;rpbiZoi{}%hxb M`fg[wYunVidyczjrZ12YZAILV;25RaPaef\67YH]]Usc2=5?62?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR?69^m\eabX:;UDYYQwos>12;263zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU]{kw:5?7>:7~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z7>1VeTmijP23]LQQYg{6943:>;rpbiZoi{}%hxb M`fg[wYunVidyczjrZ12YZAILV;25RaPaef\67YH]]Usc2=9?63?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR?69^m\eabX:;UDYYQwos>1:17<{{kfSd`|t.awvi)JimnT~R|i_bmvjqcuS:;VSJ@K_0;:[jYflmU9>RAZT^zlv9576=;0ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[4?>WfUjhiQ=2^MVPZ~hz59:29:4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW832SbQnde]16ZIR\Vrd~1=>>^pw05=tzhgTec}{/bvqh*KflmUyShPcnwmp`t\;8WTKCJP18;\kZgclV89SB[[_ymq868382yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]2=;7~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z7>1VeTmijP23]LQQYg{6>29>4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW832SbQnde]16ZIR\Vrd~181419pvdkXagy#nz}l.Ob`aYuW{lTob{atdpX74[XOGNT=47Po^cg`Z45WF__Sua}<6<74>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZPxnp?<;273zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU]{kw:>6;>0ob_hlpp*esze%Fob{at^p\mkosWje~byk}249pvdkXagy#nz}l.O`kphsW{UbbdzPcnwmp`t6:?1x~lcPioqw+frud&Ghcx`{_s]jjlrXkfexh|>0348wwgjW`dxx"m{rm-Ngjsi|VxTecg{_bmvjqcu988=7~|nm^kmwq)d|{f$Anaznu]q[lhn|Vidyczjr0012>uuidUbb~z cupo+Heh}g~T~Rgaiu]`kphsm{;8>;5|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp2073<{{kfSd`|t.awvi)JkfexR|Piokw[firf}oy>?;4sscn[lht|&i~a!BcnwmpZtXagcSnaznugq773<{{kfSd`|t.awvi)JkfexR|Piokw[firf}oy8?;4sscn[lht|&i~a!BcnwmpZtXagcSnaznugq173<{{kfSd`|t.awvi)JkfexR|Piokw[firf}oy:?;4sscn[lht|&i~a!BcnwmpZtXagcSnaznugq373<{{kfSd`|t.awvi)JkfexR|Piokw[firf}oy4?;4sscn[lht|&i~a!BcnwmpZtXagcSnaznugq=7g<{{kfSd`|t.awvi)JkfexR|Piokw[firf}oySnw30?0a?vtfeVcey!ltsn,Ifirf}UySd`ft^alqkrbzVir0<>12c9pvdkXagy#nz}l.O`kphsW{UbbdzPcnwmp`tXkp6:=3o5|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp\g|:6;78j7~|nm^kmwq)d|{f$Anaznu]q[lhn|Vidyczjr^az8485i2yym`Qfnrv,gqtk'DidyczPr^kmmqYdg|diQly=0=6d=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lxTot2<>3c8wwgjW`dxx"m{rm-Ngjsi|VxTecg{_bmvjqcuWjs7834:7g<{{kfSd`|t.awvi)JkfexR|Piokw[firf}oySnw38?0b?vtfeVcey!ltsn,Ifirf}UySd`ft^alqkrbzVir040=b:qqehYnfz~$oy|c/LalqkrXzVceeyQlotlwawYk}}6;2?m4sscn[lht|&i~a!BcnwmpZtXagcSnaznugq[iss48:5>n5|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp\hpr;9849o6}}al]jjvr(k}xg#@m`uov\vZoia}Uhcx`{es]oqq:6:78h7~|nm^kmwq)d|{f$Anaznu]q[lhn|Vidyczjr^nvp9746;i0ob_hlpp*esze%Fob{at^p\mkosWje~byk}_mww8429:k1x~lcPioqw+frud&Ghcx`{_s]jjlrXkfexh|Pltv?5;4e3zxjaRgasu-`pwj(Eje~byQ}_hljpZeh}g~n~Rbzt=0=6g=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lxT`xz33?0a?vtfeVcey!ltsn,Ifirf}UySd`ft^alqkrbzVf~x1:12c9pvdkXagy#nz}l.O`kphsW{UbbdzPcnwmp`tXd|~793o5|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp\hpr;?78i7~|nm^kmwq)d|{f$Anaznu]q[lhn|Vidyczjr^nvp9>9:k1x~lcPioqw+frud&Ghcx`{_s]jjlrXkfexh|Pltv?=;4e3zxjaRgasu-`pwj(Eje~byQ}_hljpZeh}g~n~Rv`r=2=6f=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lxTtb|311<1g>uuidUbb~z cupo+Heh}g~T~Rgaiu]`kphsm{Usc2>1?0`?vtfeVcey!ltsn,Ifirf}UySd`ft^alqkrbzVrd~1?=>3a8wwgjW`dxx"m{rm-Ngjsi|VxTecg{_bmvjqcuWqey0<=12b9pvdkXagy#nz}l.O`kphsW{UbbdzPcnwmp`tXpfx7=90=b:qqehYnfz~$oy|c/LalqkrXzVceeyQlotlwawYg{6:2?l4sscn[lht|&i~a!BcnwmpZtXagcSnaznugq[}iu4;49n6}}al]jjvr(k}xg#@m`uov\vZoia}Uhcx`{es]{kw:46;h0ob_hlpp*esze%Fob{at^p\mkosWje~byk}_ymq8185j2yym`Qfnrv,gqtk'DidyczPr^kmmqYdg|diQwos>6:7d<{{kfSd`|t.awvi)JkfexR|Piokw[firf}oySua}<7<1f>uuidUbb~z cupo+Heh}g~T~Rgaiu]`kphsm{Usc28>3`8wwgjW`dxx"m{rm-Ngjsi|VxTecg{_bmvjqcuWqey050=b:qqehYnfz~$oy|c/LalqkrXzVceeyQlotlwawYg{622;;=;:=;9=;8=;?=;>=;==;<=n5|r`o\mkus'j~y`"Cbuy]\j52??;;:o6}}al]jjvr(k}xg#@czx^]m41>0;8;h7~|nm^kmwq)d|{f$A`{w_^l30=1398i0ob_hlpp*esze%FaxvP_o27<2369j1x~lcPioqw+frud&GfyuQPn16;3376k2yym`Qfnrv,gqtk'Dg~tRQa05:4347d3zxjaRgasu-`pwj(EdsSR`?495;54e<{{kfSd`|t.awvi)Je|rTSc>;86;25`=tzhgTec}{/bvqh*Kj}qUeSlm{_cc254c<{{kfSd`|t.awvi)Je|rTbRolt^`b64473zxjaRgasu-`pwj(EdsScQndepfwv759;:0ob_hlpp*esze%FaxvPn^cg`wct{8?:=k5|r`o\mkus'j~y`"Cbuy]m[dbczlyx=583017>uuidUbb~z cupo+HkrpVdTmij}erq2>5|r`o\mkus'j~y`"Cbuy]m[dbczlyx>=5|r`o\mkus'j~y`"Cbuy]m[dbczlyx>4?=0:qqehYnfz~$oy|c/Lov|ZhXimnyi~}<103f?vtfeVcey!ltsn,IhsWgUjhi|jsr62b>uuidUbb~z cupo+HkrpVdTmij}erq754`<{{kfSd`|t.awvi)Je|rTbRokdsgpw14582yym`Qfnrv,gqtk'Dg~tR`Paefqavu3:8;m7~|nm^kmwq)d|{f$A`{w_o]b`atb{z>8>=5|r`o\mkus'j~y`"Cbuy]m[dbczlyx8>?=3:qqehYnfz~$oy|c/Lov|ZhXimnyi~};3^QT44`<{{kfSd`|t.awvi)Je|rTbRokdsgpw12582yym`Qfnrv,gqtk'Dg~tR`Paefqavu3=88;7~|nm^kmwq)d|{f$A`{w_o]b`atb{z>>>?>4sscn[lht|&i~a!Bmtz\jZgcl{ox98>209pvdkXagy#nz}l.Onq}YiWhno~h}|47325c=tzhgTec}{/bvqh*Kj}qUeSljkrdqp247a3zxjaRgasu-`pwj(EdsScQndepfwv?6:91x~lcPioqw+frud&GfyuQa_es\idul{8;9=6}}al]jjvr(k}xg#@czx^l\`tYjizax=6}}al]jjvr(k}xg#@czx^l\vaYwmgnbbj?>229pvdkXagy#nz}l.Onq}YiW{nT|h`kioe254433zxjaRgasu-`pwj(EdsScQ}d^rfjaoio8;:=?<4sscn[lht|&i~a!Bmtz\jZtcWyoehd`h1300?vtfeVcey!ltsn,IhsWgUyhR~jnekmc446:;1x~lcPioqw+frud&GfyuQa_sf\t`hcagm:??=4sscn[lht|&i~a!Bmtz\jZtaWje~byk}11316>uuidUbb~z cupo+HkrpVdT~kQlotlwaw76::1x~lcPioqw+frud&GfyuQa_sd\gjsi|lx:=<<<;rpbiZoi{}%hxb Mlw{[kYunVidyczjr00266=tzhgTec}{/bvqh*Kj}qUeShPcnwmp`t6;8887~|nm^kmwq)d|{f$A`{w_o]qbZeh}g~n~<:>229pvdkXagy#nz}l.Onq}YiW{lTob{atdp214443zxjaRgasu-`pwj(EdsScQ}f^alqkrbz8<:>>5|r`o\mkus'j~y`"Cbuy]m[w`Xkfexh|>7000?vtfeVcey!ltsn,IhsWgUyjRm`uovfv4>6::1x~lcPioqw+frud&GfyuQa_sd\gjsi|lx:5<<<;rpbiZoi{}%hxb Mlw{[kYunVidyczjr32267=tzhgTec}{/bvqh*Kj}qUeShPcnwmp`t59;90ob_hlpp*esze%FaxvPn^pe[firf}oy>uuidUbb~z cupo+HkrpVdT~kQlotlwaw4?9;90ob_hlpp*esze%FaxvPn^pe[firf}oy>4?=3:qqehYnfz~$oy|c/Lov|ZhXzoUhcx`{es13574<{{kfSd`|t.awvi)Je|rTbR|i_bmvjqcu;8887~|nm^kmwq)d|{f$A`{w_o]qbZeh}g~n~>?>229pvdkXagy#nz}l.Onq}YiW{lTob{atdp064453zxjaRgasu-`pwj(EdsScQ}f^alqkrbz=;9>6}}al]jjvr(k}xg#@czx^l\vcYdg|di;>239pvdkXagy#nz}l.Onq}YiW{lTob{atdp5574<{{kfSd`|t.awvi)Je|rTbR|i_bmvjqcu?8897~|nm^kmwq)d|{f$A`{w_o]qbZeh}g~n~5?=2:qqehYnfz~$oy|c/Lov|ZhXzoUhcx`{es;267=tzhgTec}{/bvqh*Kj}qUeShPpdlgmka69;80ob_hlpp*esze%FaxvPn^uq[ucil`dl=3zxjaRgasu-`pwj(Wg:8?427>03:?vtfeVcey!ltsn,[k63==Ubb{?m;rpbiZoi{}%hxb _o2711Ynf;9=i5|r`o\mkus'j~y`"Qa0577[lhq9;;:=o5|r`o\mkus'j~y`"Qa0577[lhq9<;m7~|nm^kmwq)d|{f$Sc>;55]jjs72WZ];=k5|r`o\mkus'j~y`"Qa0577[lhq9Vhoh;71]jjs7612yym`Qfnrv,gqtk'Vd;8:8Piot2f>uuidUbb~z cupo+Zh7<>04895=45|r`o\mkus'j~y`"Qa05:48429901x~lcPioqw+frud&Ue<968<07=5==tzhgTec}{/bvqh*Yi8=2<0<0>8:qqehYnfz~$oy|c/^l30=1;:7;37~|nm^kmwq)d|{f$Sc>;86>0:4><{{kfSd`|t.awvi)Xf9>3;1:1199pvdkXagy#nz}l.]m41>04<4:46}}al]jjvr(k}xg#R`?495?2;7?3zxjaRgasu-`pwj(Wg:?4:28>0:8wwgjW`dxx"m{rm-\j52??525=55|r`o\mkus'j~y`"Qa05:48<8612yym`Qfnrv,gqtk'Vd;9<8Piot2e>uuidUbb~z cupo+Zh7=8189pvdkXagy#nz}l.]m4376W`d}=l5|r`o\mkus'j~y`"Qa0732[lhq98k0ob_hlpp*esze%Tb=8>1^kmr77d3zxjaRgasu-`pwj(Wg:==:_hlu54d<{{kfSd`|t.awvi)Xf9=;9Rgav032f>uuidUbb~z cupo+Zh7?9?Tecx>20`8wwgjW`dxx"m{rm-\j517=Vcez<=>b:qqehYnfz~$oy|c/^l3353Xag|::4^kmr47>3zxjaRgasu-`pwj(Wg:<>4Qfnw3b?vtfeVcey!ltsn,[k60:0Ubb{?>9:qqehYnfz~$oy|c/^l3365Xag|:m6}}al]jjvr(k}xg#R`?721\mkp69<1x~lcPioqw+frud&Ue<5?;189pvdkXagy#nz}l.]m4=73414:=85|r`o\mkus'j~y`"Qa093;53=tzhgTec}{/bvqh*Yi81;3=>>4sscn[lht|&i~a!ndep\fdhlS8WT~RlnnjY2YZcv}hfT88Q`339pvdkXagy#nz}l.cg`wYeigaP=PQ}_ccmo^7ZWl{~maQ;5^m154g<{{kfSd`|t.awvi)diy~~~hj30?3a?vtfeVcey!ltsn,gdvs}{oo0<>11c9pvdkXagy#nz}l.abtqsumm6:=3?m;rpbiZoi{}%hxb c`rwqwcc4885=o5|r`o\mkus'j~y`"mnpuwqaa:6;7;i7~|nm^kmwq)d|{f$ol~{usgg84299k1x~lcPioqw+frud&ij|y{}ee>21;7f3zxjaRgasu-`pwj(khzykk<0<2e>uuidUbb~z cupo+fgw||xnh1<11`9pvdkXagy#nz}l.abtqsumm6820c8wwgjW`dxx"m{rm-`eurrzln743?n;rpbiZoi{}%hxb c`rwqwcc404:56}}al]jjvr(k}xg#nottpf`Z6612yym`Qfnrv,gqtk'jk{xx|jd^32e>uuidUbb~z cupo+fgw||xnhR??1`9pvdkXagy#nz}l.abtqsummU:=50;8wwgjW`dxx"m{rm-`eurrzlnT><74sscn[lht|&i~a!laqvvv`bX;830ob_hlpp*esze%hm}zzrdf\04?<{{kfSd`|t.awvi)diy~~~hjP50;8wwgjW`dxx"m{rm-`eurrzlnT:<74sscn[lht|&i~a!laqvvv`bX?830ob_hlpp*esze%hm}zzrdf\<4?<{{kfSd`|t.awvi)diy~~~hjP9068wwgjW`dxx"m{rm-`hjel9h1x~lcPioqw+frud&dTmnzPb`>3:4g<{{kfSd`|t.awvi)iWhiSoo31?3a?vtfeVcey!ltsn,jZgcl{ox1>11b9pvdkXagy#nz}l.l\eabumzy7==0>c:qqehYnfz~$oy|c/o]b`atb{z6:=3?m;rpbiZoi{}%hxb n^cg`wct{5;5=o5|r`o\mkus'j~y`"`Paefqavu;:7;i7~|nm^kmwq)d|{f$bRokdsgpw9599k1x~lcPioqw+frud&dTmij}erq?0;7e3zxjaRgasu-`pwj(fVkohk|s=7=5g=tzhgTec}{/bvqh*hXimnyi~}36?3a?vtfeVcey!ltsn,jZgcl{ox1911c9pvdkXagy#nz}l.l\eabumzy743?m;rpbiZoi{}%hxb n^cg`wct{535=55|r`o\mkus'j~y`"`Pb`lh858612yym`Qfnrv,gqtk'gUimce30?32<>uuidUbb~z cupo+kYeiga7=3?6;rpbiZoi{}%hxb n^`bjn:668;h7~|nm^kmwq)d|{f$bRj~_lcpov:768i0ob_hlpp*esze%eSiPm`qhw9799k1x~lcPioqw+frud&dT~iQeofjjb7b3zxjaRgasu-`pwj(fVxmSnaznugq8586n2yym`Qfnrv,gqtk'gUyjRm`uovfv97768l0ob_hlpp*esze%eShPcnwmp`t;984:j6}}al]jjvr(k}xg#cQ}f^alqkrbz5;920>f:qqehYnfz~$oy|c/o]qbZeh}g~n~1?;>0d8wwgjW`dxx"m{rm-m[w`Xkfexh|314<2b>uuidUbb~z cupo+kYunVidyczjr=35:4`<{{kfSd`|t.awvi)iW{lTob{atdp?5286n2yym`Qfnrv,gqtk'gUyjRm`uovfv97?68l0ob_hlpp*esze%eShPcnwmp`t;904:i6}}al]jjvr(k}xg#cQ}f^alqkrbz5;5=k5|r`o\mkus'j~y`"`Prg]`kphsm{69<3?i;rpbiZoi{}%hxb n^pe[firf}oy0??11g9pvdkXagy#nz}l.l\vcYdg|di2=2?3e?vtfeVcey!ltsn,jZtaWje~byk}<31=5c=tzhgTec}{/bvqh*hXzoUhcx`{es>10;7a3zxjaRgasu-`pwj(fVxmSnaznugq87399o1x~lcPioqw+frud&dT~kQlotlwaw:5>7;m7~|nm^kmwq)d|{f$bR|i_bmvjqcu4;=5=k5|r`o\mkus'j~y`"`Prg]`kphsm{6943?i;rpbiZoi{}%hxb n^pe[firf}oy0?711d9pvdkXagy#nz}l.l\vcYdg|di2=>0d8wwgjW`dxx"m{rm-m[w`Xkfexh|331<2b>uuidUbb~z cupo+kYunVidyczjr=12:4c<{{kfSd`|t.awvi)iW{lTob{atdp?7;7b3zxjaRgasu-`pwj(fVxmSnaznugq8186m2yym`Qfnrv,gqtk'gUyjRm`uovfv9399l1x~lcPioqw+frud&dT~kQlotlwaw:168o0ob_hlpp*esze%eShPcnwmp`t;?7;n7~|nm^kmwq)d|{f$bR|i_bmvjqcu414:i6}}al]jjvr(k}xg#cQ}f^alqkrbz535=o5|r`o\mkus'j~y`"`Prg]sakbnfn;27~|nm^kmwq)d|{f$bRz}irvbp`7e3zxjaRgasu-`pwj(fV}yS}kadhld6==tzhgTec}{/bvqh*hh|VigcneP112\``jfqlnT?c}=f:qqehYnfz~$oy|c/omw[fjhkbU:<=QkemczaaY4fz;TECXP0058wwgjW`dxx"m{rm-sakbnfn;:m6}}al]jjvr(k}xg#Qncu]ae9699h1x~lcPioqw+frud&xTmnzPb`>2:4?<{{kfSd`|t.awvi)uWhiSooP00;8wwgjW`dxx"m{rm-q[desWkkT=1:4d<{{kfSd`|t.awvi)uWhiSax<2<2f>uuidUbb~z cupo+wYfk}Uycz2;>0`8wwgjW`dxx"m{rm-q[desW{e|080>b:qqehYnfz~$oy|c/s]bgqYug~6=24:n6}}al]jjvr(k}xg#Qncu]qkr:?68h0ob_hlpp*esze%ySlm{_smt8<84<2yym`Qfnrv,gqtk'{UjoyQ}ovY25XYflmxT~byT10_\atsfdV=?Sb=9;rpbiZoi{}%hxb r^c`pZthR;:QRokds]qkr]69TUn}xoc_66\k444?2yym`Qfnrv,gqtk'{UjoyQ}ovY25XYflmxT~byT10_\atsfdV=?Sb?=1258wwgjW`dxx"m{rm-q[desW{e|W]^grqdjX?=Ud=?:<7:qqehYnfz~$oy|c/s]bgqYug~Q:=PQndep\vjq\98WTi|{nl^57[j75=8k0ob_hlpp*esze%ySlm{_smt[57f3zxjaRgasu-`pwj(zVkhxR|`w^32f>uuidUbb~z cupo+wYfk}UyczQ>00`8wwgjW`dxx"m{rm-q[desW{e|Sa:qqehYnfz~$oy|c/s]bgqYug~U9=l5|r`o\mkus'j~y`"|Pabv\vjqX;8k0ob_hlpp*esze%ySlm{_smt[17f3zxjaRgasu-`pwj(zVkhxR|`w^72e>uuidUbb~z cupo+wYfk}UyczQ91`9pvdkXagy#nz}l.p\efrXzf}T;3:4e<{{kfSd`|t.awvi)uWhno~h}|<02=5f=tzhgTec}{/bvqh*tXimnyi~}310<2f>uuidUbb~z cupo+wYflmxn~2>>0`8wwgjW`dxx"m{rm-q[dbczlyx0?0>b:qqehYnfz~$oy|c/s]b`atb{z6824:4d<{{kfSd`|t.awvi)uWhno~h}|<9<2f>uuidUbb~z cupo+wYflmxn~26>0c8wwgjW`dxx"m{rm-q[dbczlyxS=?l;rpbiZoi{}%hxb r^cg`wct{V:T=?l;rpbiZoi{}%hxb r^cg`wct{V9T=X98k0ob_hlpp*esze%ySljkrdqp[<7d3zxjaRgasu-`pwj(zVkohk|s^;\54><{{kfSd`|t.awvi)uWkkeg1>1199pvdkXagy#nz}l.p\fdhl484:;6}}al]jjvr(k}xg#Qmaoi\44?<{{kfSd`|t.awvi)uWkkegR>P1058wwgjW`dxx"m{rm-q[ggicV;:56}}al]jjvr(k}xg#Qmaoi\5Z7582yym`Qfnrv,gqtk'{UomyoPotv\hjq;878:7~|nm^kmwq)d|{f$~Rjnt`]lqqYkg~6:<3<>;rpbiZoi{}%hxb r^fbpdYh}}Ugcz2>1?02?vtfeVcey!ltsn,vZbf|hUdyyQcov>26;463zxjaRgasu-`pwj(zVnjxlQ`uu]okr:6;78:7~|nm^kmwq)d|{f$~Rjnt`]lqqYkg~6:83<>;rpbiZoi{}%hxb r^fbpdYh}}Ugcz2>5?03?vtfeVcey!ltsn,vZbf|hUdyyQcov>2:76<{{kfSd`|t.awvi)uWmkmRazt^nls949:91x~lcPioqw+frud&xThlzn_nww[iip4:49<6}}al]jjvr(k}xg#Qkauc\kprXdf}783328wwgjW`dxx"m{rm-q[agsiVe~xRb`w=4=65=tzhgTec}{/bvqh*tXlh~jSb{{_mmt828582yym`Qfnrv,gqtk'{UomyoPotv\hjq;078;7~|nm^kmwq)d|{f$~Rjnt`]lqqYkg~622>f:qqehYnfz~$oy|c/s]geqgXg|~T`byP1328wwgjW`dxx"m{rm-q[agsiVe~xRb`w^3365=tzhgTec}{/bvqh*tXlh~jSb{{_mmt[47582yym`Qfnrv,gqtk'{UomyoPotv\hjqX9;8;7~|nm^kmwq)d|{f$~Rjnt`]lqqYkg~U:??>4sscn[lht|&i~a!}_ecweZir|Vfd{R?;219pvdkXagy#nz}l.p\`drfWfSaax_072b>uuidUbb~z cupo+wYci}kTcxzPlnu\64`<{{kfSd`|t.awvi)uWmkmRazt^nlsZ56n2yym`Qfnrv,gqtk'{UomyoPotv\hjqX<8l0ob_hlpp*esze%ySio{a^mvpZjhV?:j6}}al]jjvr(k}xg#Qkauc\kprXdf}T:f:qqehYnfz~$oy|c/s]geqgXg|~T`byP80d8wwgjW`dxx"m{rm-q[agsiVe~xRb`w^;2b>uuidUbb~z cupo+wYci}kTcxzPv`nj`72<{{kfSd`|t.awvi)uWmkmRazt^tbhlbXJ[H;>95|r`o\mkus'j~y`"|Pd`vb[jssWkgeiQMRC310>uuidUbb~z cupo+wYci}kTcxzPv`nj`ZDUJ;8?7~|nm^kmwq)d|{f$~Rjnt`]lqqYqiecoSO\M3368wwgjW`dxx"m{rm-q[agsiVe~xRxnlhf\FWD3:=1x~lcPioqw+frud&xThlzn_nww[sgkamUI^O;>c:qqehYnfz~$oy|c/s]guZkf{by7<3?l;rpbiZoi{}%hxb r^fr[hgtcz6:2<5|r`o\mkus'j~y`"|Piokw[firf}oy0<>1209pvdkXagy#nz}l.p\mkosWje~byk}<03=64=tzhgTec}{/bvqh*tXagcSnaznugq8449:81x~lcPioqw+frud&xTecg{_bmvjqcu4895><5|r`o\mkus'j~y`"|Piokw[firf}oy0<:1219pvdkXagy#nz}l.p\mkosWje~byk}<0<14>uuidUbb~z cupo+wYnf`~Tob{atdp?6;473zxjaRgasu-`pwj(zVceeyQlotlwaw:46;:0ob_hlpp*esze%ySd`ft^alqkrbz5>5>=5|r`o\mkus'j~y`"|Piokw[firf}oy080=0:qqehYnfz~$oy|c/s]jjlrXkfexh|36?03?vtfeVcey!ltsn,vZoia}Uhcx`{es>4:76<{{kfSd`|t.awvi)uW`dbxRm`uovfv9>9:91x~lcPioqw+frud&xTecg{_bmvjqcu404:j6}}al]jjvr(k}xg#Qfnhv\gjsi|lxT<;m7~|nm^kmwq)d|{f$~Rgaiu]`kphsm{U3=k5|r`o\mkus'j~y`"|Piokw[firf}oyS4?m;rpbiZoi{}%hxb r^pg[ucil`dl?>5|r`o\mkus'j~y`"|Pre]sakbnfnUjhi|Pb`lh_4[XIGNT=;;<_n17?vtfeVcey!ltsn,vZtcWyoehd`h_`fgvZdffbQ:QROAD^3516Yh98l0ob_hlpp*esze%ySk~_`fgvZw;994:j6}}al]jjvr(k}xg#Q}ep]b`atXy5;:2f:qqehYnfz~$oy|c/s]qatYflmxT}1?<>0d8wwgjW`dxx"m{rm-q[wcvWhno~R315<2b>uuidUbb~z cupo+wYumxUjhi|Pq=36:4`<{{kfSd`|t.awvi)uW{ozSljkr^s?5386n2yym`Qfnrv,gqtk'{Uyi|Qndep\u97068l0ob_hlpp*esze%ySk~_`fgvZw;914:j6}}al]jjvr(k}xg#Q}ep]b`atXy5;22=0>f:qqehYnfz~$oy|c/s]qatYflmxT}1<>>0d8wwgjW`dxx"m{rm-q[wcvWhno~R323<2a>uuidUbb~z cupo+wYumxUjhi|Pq=0=5`=tzhgTec}{/bvqh*tXzl{Tmij}_p>0:4c<{{kfSd`|t.awvi)uW{ozSljkr^s?0;7b3zxjaRgasu-`pwj(zVxn}Rokds]r8086m2yym`Qfnrv,gqtk'{Uyi|Qndep\u9099l1x~lcPioqw+frud&xT~hPaefq[t:068o0ob_hlpp*esze%ySk~_`fgvZw;07;n7~|nm^kmwq)d|{f$~R|jq^cg`wYv404:i6}}al]jjvr(k}xg#Q}ep]b`atXyV;;=h5|r`o\mkus'j~y`"|Prds\eabuWxU:=e:qqehYnfz~$oy|c/s]qatYflmxT}R?;1d9pvdkXagy#nz}l.p\v`wXimnyS|Q>50g8wwgjW`dxx"m{rm-q[wcvWhno~RP173f?vtfeVcey!ltsn,vZtbyVkohQ~_052a>uuidUbb~z cupo+wYumxUjhi|Pq^3;5`=tzhgTec}{/bvqh*tXzl{Tmij}_p]2=4b<{{kfSd`|t.awvi)uW{ozSljkr^s\64c<{{kfSd`|t.awvi)uW{ozSljkr^s\657b3zxjaRgasu-`pwj(zVxn}Rokds]r[776m2yym`Qfnrv,gqtk'{Uyi|Qndep\uZ459m1x~lcPioqw+frud&xT~hPaefq[tY49m1x~lcPioqw+frud&xT~hPaefq[tY39m1x~lcPioqw+frud&xT~hPaefq[tY29m1x~lcPioqw+frud&xT~hPaefq[tY19m1x~lcPioqw+frud&xT~hPaefq[tY09m1x~lcPioqw+frud&xT~hPaefq[tY?9m1x~lcPioqw+frud&xT~hPaefq[tY>:;1x~lcPioqw+frud&xT~hPd`vb[rtn|l6;2?=4sscn[lht|&i~a!}_sgr[agsiV}yeyk311<17>uuidUbb~z cupo+wYumxUomyoPwskwa9766;90ob_hlpp*esze%ySk~_ecweZqua}o7=?0=3:qqehYnfz~$oy|c/s]qatYci}kT{g{e=30:75<{{kfSd`|t.awvi)uW{ozSio{a^uqmqc;9=49?6}}al]jjvr(k}xg#Q}ep]geqgX{ci1?:>318wwgjW`dxx"m{rm-q[wcvWmkmRy}iug?5385;2yym`Qfnrv,gqtk'{Uyi|Qkauc\swosm5;<2?=4sscn[lht|&i~a!}_sgr[agsiV}yeyk319<17>uuidUbb~z cupo+wYumxUomyoPwskwa97>6;80ob_hlpp*esze%ySk~_ecweZqua}o7=3<<;rpbiZoi{}%hxb r^pfuZbf|hU|~dzj<32=66=tzhgTec}{/bvqh*tXzl{Thlzn_vpjp`:597887~|nm^kmwq)d|{f$~R|jq^fbpdYpz`~n0?<1229pvdkXagy#nz}l.p\v`wXlh~jSz|ftd>17;443zxjaRgasu-`pwj(zVxn}Rjnt`]tvlrb4;>5>>5|r`o\mkus'j~y`"|Prds\`drfW~xbxh2=5?00?vtfeVcey!ltsn,vZtbyVnjxlQxrhvf8709::1x~lcPioqw+frud&xT~hPd`vb[rtn|l69;3<<;rpbiZoi{}%hxb r^pfuZbf|hU|~dzj<3:=66=tzhgTec}{/bvqh*tXzl{Thlzn_vpjp`:517897~|nm^kmwq)d|{f$~R|jq^fbpdYpz`~n0?0=3:qqehYnfz~$oy|c/s]qatYci}kT{g{e=13:75<{{kfSd`|t.awvi)uW{ozSio{a^uqmqc;;849>6}}al]jjvr(k}xg#Q}ep]geqgX{ci1=1239pvdkXagy#nz}l.p\v`wXlh~jSz|ftd>7:74<{{kfSd`|t.awvi)uW{ozSio{a^uqmqc;=7897~|nm^kmwq)d|{f$~R|jq^fbpdYpz`~n0;0=2:qqehYnfz~$oy|c/s]qatYci}kT{g{e=5=67=tzhgTec}{/bvqh*tXzl{Thlzn_vpjp`:?6;80ob_hlpp*esze%ySk~_ecweZqua}o753<>;rpbiZoi{}%hxb r^pfuZbf|hU|~dzj_102?vtfeVcey!ltsn,vZtbyVnjxlQxrhvf[4453zxjaRgasu-`pwj(zVxn}Rjnt`]tvlrbW8:9>6}}al]jjvr(k}xg#Q}ep]geqgX{ciR?>239pvdkXagy#nz}l.p\v`wXlh~jSz|ftd]2674<{{kfSd`|t.awvi)uW{ozSio{a^uqmqcX9:897~|nm^kmwq)d|{f$~R|jq^fbpdYpz`~nS<:=2:qqehYnfz~$oy|c/s]qatYci}kT{g{e^3667=tzhgTec}{/bvqh*tXzl{Thlzn_vpjp`Y6>;80ob_hlpp*esze%ySk~_ecweZqua}oT=:<=;rpbiZoi{}%hxb r^pfuZbf|hU|~dzj_0:16>uuidUbb~z cupo+wYumxUomyoPwskwaZ7>:81x~lcPioqw+frud&xT~hPd`vb[rtn|lU9>?5|r`o\mkus'j~y`"|Prds\`drfW~xbxhQ=0308wwgjW`dxx"m{rm-q[wcvWmkmRy}iug\64453zxjaRgasu-`pwj(zVxn}Rjnt`]tvlrbW;89>6}}al]jjvr(k}xg#Q}ep]geqgX{ciR<<239pvdkXagy#nz}l.p\v`wXlh~jSz|ftd]1074<{{kfSd`|t.awvi)uW{ozSio{a^uqmqcX:<897~|nm^kmwq)d|{f$~R|jq^fbpdYpz`~nS?8=2:qqehYnfz~$oy|c/s]qatYci}kT{g{e^0467=tzhgTec}{/bvqh*tXzl{Thlzn_vpjp`Y50;80ob_hlpp*esze%ySk~_ecweZqua}oT>4<>;rpbiZoi{}%hxb r^pfuZbf|hU|~dzj_201?vtfeVcey!ltsn,vZtbyVnjxlQxrhvf[665:2yym`Qfnrv,gqtk'{Uyi|Qkauc\swosmV9:><5|r`o\mkus'j~y`"|Prds\`drfW~xbxhQ;209pvdkXagy#nz}l.p\v`wXlh~jSz|ftd]664=tzhgTec}{/bvqh*tXzl{Thlzn_vpjp`Y1:81x~lcPioqw+frud&xT~hPd`vb[rtn|lU<><5|r`o\mkus'j~y`"|Prds\`drfW~xbxhQ7209pvdkXagy#nz}l.p\v`wXlh~jSz|ftd]:5f=tzhgTec}{/bvqh*tXzl{Tal}d<1<2g>uuidUbb~z cupo+wYumxUfm~e31?3`?vtfeVcey!ltsn,vZtbyVgjf2=>0a8wwgjW`dxx"m{rm-q[wcvWdkxg1=11c9pvdkXagy#nz}l.p\v`wXehy`S=?m;rpbiZoi{}%hxb r^pfuZkf{bU:=o5|r`o\mkus'j~y`"|Prds\idulW;;i7~|nm^kmwq)d|{f$~R|jq^obwnY49l1x~lcPioqw+frud&xT~kQlotlwaw:768l0ob_hlpp*esze%yShPcnwmp`t;994:j6}}al]jjvr(k}xg#Q}f^alqkrbz5;:2f:qqehYnfz~$oy|c/s]qbZeh}g~n~1?<>0d8wwgjW`dxx"m{rm-q[w`Xkfexh|315<2b>uuidUbb~z cupo+wYunVidyczjr=36:4`<{{kfSd`|t.awvi)uW{lTob{atdp?5386n2yym`Qfnrv,gqtk'{UyjRm`uovfv97068l0ob_hlpp*esze%yShPcnwmp`t;914:j6}}al]jjvr(k}xg#Q}f^alqkrbz5;2211g9pvdkXagy#nz}l.p\vcYdg|di2=1?3e?vtfeVcey!ltsn,vZtaWje~byk}<30=5c=tzhgTec}{/bvqh*tXzoUhcx`{es>17;7a3zxjaRgasu-`pwj(zVxmSnaznugq87299o1x~lcPioqw+frud&xT~kQlotlwaw:5=7;m7~|nm^kmwq)d|{f$~R|i_bmvjqcu4;<5=k5|r`o\mkus'j~y`"|Prg]`kphsm{69;3?i;rpbiZoi{}%hxb r^pe[firf}oy0?611g9pvdkXagy#nz}l.p\vcYdg|di2=9?3f?vtfeVcey!ltsn,vZtaWje~byk}<3<2b>uuidUbb~z cupo+wYunVidyczjr=13:4`<{{kfSd`|t.awvi)uW{lTob{atdp?7486m2yym`Qfnrv,gqtk'{UyjRm`uovfv9599l1x~lcPioqw+frud&xT~kQlotlwaw:368o0ob_hlpp*esze%yShPcnwmp`t;=7;n7~|nm^kmwq)d|{f$~R|i_bmvjqcu4?4:i6}}al]jjvr(k}xg#Q}f^alqkrbz5=5=h5|r`o\mkus'j~y`"|Prg]`kphsm{632?R_FLG[4?>WfUjhiQ=2^MVP969;11x~lcPioqw+frud&xT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZ311<0<>uuidUbb~z cupo+wYunVidyczjrZ12YZAILV;25RaPaef\67YH]]6:=3=7;rpbiZoi{}%hxb r^pe[firf}oyW>?R_FLG[4?>WfUjhiQ=2^MVP9756:20ob_hlpp*esze%yShPcnwmp`t\;8WTKCJP18;\kZgclV89SB[[<01=7==tzhgTec}{/bvqh*tXzoUhcx`{esY05XY@FMU:54Q`_`fg[74XG\^7=90<8:qqehYnfz~$oy|c/s]qbZeh}g~n~V=>]^EM@Z7>1VeTmijP23]LQQ:6=7937~|nm^kmwq)d|{f$~R|i_bmvjqcuS:;VSJ@K_0;:[jYflmU9>RAZT=35:6><{{kfSd`|t.awvi)uW{lTob{atdpX74[XOGNT=47Po^cg`Z45WF__0<91399pvdkXagy#nz}l.p\vcYdg|diU<1\]DJAY610UdSljk_30\KPR;914846}}al]jjvr(k}xg#Q}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU>2=;503zxjaRgasu-`pwj(zVxmSnaznugq_67ZWNDOS<76_n]b`aY5:VE^X1?1399pvdkXagy#nz}l.p\vcYdg|diU<1\]DJAY610UdSljk_30\KPR;:94846}}al]jjvr(k}xg#Q}f^alqkrbzR9:QRIAD^3:=ZiXimnT>?Q@UU>15;5?3zxjaRgasu-`pwj(zVxmSnaznugq_67ZWNDOS<76_n]b`aY5:VE^X1<=>2:8wwgjW`dxx"m{rm-q[w`Xkfexh|T30_\CKBX903TcRokd^01[JSS4;95?55|r`o\mkus'j~y`"|Prg]`kphsm{Q8=PQHNE]2=64sscn[lht|&i~a!}_sd\gjsi|lxP?98]l[dbcW;8TCXZ329<0<>uuidUbb~z cupo+wYunVidyczjrZ12YZAILV;25RaPaef\67YH]]6953=8;rpbiZoi{}%hxb r^pe[firf}oyW>?R_FLG[4?>WfUjhiQ=2^MVP949;11x~lcPioqw+frud&xT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZ331<0<>uuidUbb~z cupo+wYunVidyczjrZ12YZAILV;25RaPaef\67YH]]68=3=8;rpbiZoi{}%hxb r^pe[firf}oyW>?R_FLG[4?>WfUjhiQ=2^MVP959;>1x~lcPioqw+frud&xT~kQlotlwaw]49TULBIQ>98]l[dbcW;8TCXZ34?14?vtfeVcey!ltsn,vZtaWje~byk}[23^[BHCW832SbQnde]16ZIR\5?5?:5|r`o\mkus'j~y`"|Prg]`kphsm{Q8=PQHNE]2=RAZT=;=5a=tzhgTec}{/bvqh*tXzoUhcx`{es]35a=tzhgTec}{/bvqh*tXzoUhcx`{es]25`=tzhgTec}{/bvqh*tXzoUhcx`{es]244c<{{kfSd`|t.awvi)uW{lTob{atdp\547b3zxjaRgasu-`pwj(zVxmSnaznugq[446m2yym`Qfnrv,gqtk'{UyjRm`uovfvZ749l1x~lcPioqw+frud&xT~kQlotlwawY6<8o0ob_hlpp*esze%yShPcnwmp`tX9<;n7~|nm^kmwq)d|{f$~R|i_bmvjqcuW8<:i6}}al]jjvr(k}xg#Q}f^alqkrbzV;<=h5|r`o\mkus'j~y`"|Prg]`kphsm{U:4>e:qqehYnfz~$oy|c/s]qbZeh}g~n~R<>1d9pvdkXagy#nz}l.p\vcYdg|diQ=20g8wwgjW`dxx"m{rm-q[w`Xkfexh|P223f?vtfeVcey!ltsn,vZtaWje~byk}_362a>uuidUbb~z cupo+wYunVidyczjr^065`=tzhgTec}{/bvqh*tXzoUhcx`{es]124c<{{kfSd`|t.awvi)uW{lTob{atdp\627b3zxjaRgasu-`pwj(zVxmSnaznugq[7>6m2yym`Qfnrv,gqtk'{UyjRm`uovfvZ4>9m1x~lcPioqw+frud&xT~kQlotlwawY49l1x~lcPioqw+frud&xT~kQlotlwawY488o0ob_hlpp*esze%yShPcnwmp`tX;88<7~|nm^kmwq)d|{f$~R|i_bmvjqcuW:;Tka{j_sqw5a=tzhgTec}{/bvqh*tXzoUhcx`{es]75a=tzhgTec}{/bvqh*tXzoUhcx`{es]65a=tzhgTec}{/bvqh*tXzoUhcx`{es]55a=tzhgTec}{/bvqh*tXzoUhcx`{es]45a=tzhgTec}{/bvqh*tXzoUhcx`{es];5a=tzhgTec}{/bvqh*tXzoUhcx`{es]:5g=tzhgTec}{/bvqh*tXzoU{icjfnf3:?vtfeVcey!ltsn,vZruaz~jxh?m;rpbiZoi{}%hxb r^uq[ucil`dl?>5|r`o\mkus'j~y`"|Pws]sakbnfnUjhi|Pb`lh_4[XIGNT=;;:_n17?vtfeVcey!ltsn,vZquWyoehd`h_`fgvZdffbQ:QROAD^3510Yh98n0ob_hlpp*esze%yhlzn_vpjp`:768o0ob_hlpp*esze%yhlzn_vpjp`:687;n7~|nm^kmwq)d|{f$~io{a^uqmqc;984:i6}}al]jjvr(k}xg#jnt`]tvlrb4885=h5|r`o\mkus'j~y`"|kauc\swosm5;82d:qqehYnfz~$oy|c/sfbpdYpz`~n0<0>d:qqehYnfz~$oy|c/sfbpdYpz`~n0?0>d:qqehYnfz~$oy|c/sfbpdYpz`~n0>0>d:qqehYnfz~$oy|c/sfbpdYpz`~n090>d:qqehYnfz~$oy|c/sfbpdYpz`~n080>d:qqehYnfz~$oy|c/sfbpdYpz`~n0;0>d:qqehYnfz~$oy|c/sfbpdYpz`~n0:0>d:qqehYnfz~$oy|c/sfbpdYpz`~n050>d:qqehYnfz~$oy|c/sfbpdYpz`~n040>c:qqehYnfz~$oy|c/sfbpdYpz`~nS=?l;rpbiZoi{}%hxb recweZqua}oT=-Hl167=tzhgTec}{/bvqh*tt|h~nSK]B_GDg5(Oi;8o0ob_hlpp*esze%yyo{e^DPIZ@Al;8:7~|nm^kmwq)d|{f$~~zntd]EWHYANm8&Ec<=;rpbiZoi{}%hxb rrvbp`YA[DUMJi<"Io316>uuidUbb~z cupo+wusi}oTJ^CPFGf1)Lh5:;1x~lcPioqw+frud&xxxlzj_GQN[C@c:$Ce??<4sscn[lht|&i~a!}sucwaZ@TEVLMh?#Fn53f?vtfeVcey!ltsn,vvrf|lUM_@QIFe115>uuidUbb~z cupo+wusi}oTJ^CPFGf0)Lh5:2yym`Qfnrv,gqtk'{ymykPFRO\BCb4%@d:>?5|r`o\mkus'j~y`"||t`vf[CUJWOLo? Ga2318wwgjW`dxx"m{rm-qwqgsmVLXARHId2/Jj775<2yym`Qfnrv,gqtk'{ymykPFRO\BCb4%@d9=<<;;rpbiZoi{}%hxb rrvbp`YA[DUMJi="Io01573<{{kfSd`|t.awvi)u{}kiRH\M^DE`6+Nf;8:=?<4sscn[lht|&i~a!}sucwaZ@TEVLMh>#Fn201?vtfeVcey!ltsn,vvrf|lUM_@QIFe1.Mk25:2yym`Qfnrv,gqtk'{ymykPFRO\BCb4%@d>=h5|r`o\mkus'j~y`"||t`vf[CUJWOLo8??4sscn[lht|&i~a!}sucwaZ@TEVLMh9#Fn308wwgjW`dxx"m{rm-qwqgsmVLXARHId5/Jj4453zxjaRgasu-`pwj(zz~jxhQISL]EBa2*Ag89>6}}al]jjvr(k}xg#}{aug\BVKXNOn?!D`<239pvdkXagy#nz}l.pppdrbWOYFSKHk4,Km074<{{kfSd`|t.awvi)u{}kiRH\M^DE`1+Nf<897~|nm^kmwq)d|{f$~~zntd]EWHYANm>&Ec8>e:qqehYnfz~$oy|c/sqweqcXNZGTJKj:209pvdkXagy#nz}l.pppdrbWOYFSKHk5,Km67=tzhgTec}{/bvqh*tt|h~nSK]B_GDg1(Oi9;80ob_hlpp*esze%yyo{e^DPIZ@Al<'Bb?<=;rpbiZoi{}%hxb rrvbp`YA[DUMJi;"Io112>uuidUbb~z cupo+wusi}oTJ^CPFGf6)Lh4WZ];>?5|r`o\mkus'j~y`"||t`vf[CUJWOLo9 Ga4308wwgjW`dxx"m{rm-qwqgsmVLXARHId4/Jj0443zxjaRgasu-`pwj(zz~jxhQISL]EBa3*Ag?:>?5|r`o\mkus'j~y`"||t`vf[CUJWOLo9 Ga60g8wwgjW`dxx"m{rm-qwqgsmVLXARHId602?vtfeVcey!ltsn,vvrf|lUM_@QIFe5.Mk453zxjaRgasu-`pwj(zz~jxhQISL]EBa1*Ag;9?6}}al]jjvr(k}xg#}{aug\BVKXNOn1308wwgjW`dxx"m{rm-qwqgsmVLXARHId6/Jj7443zxjaRgasu-`pwj(zz~jxhQISL]EBa1*Ag8:>?5|r`o\mkus'j~y`"||t`vf[CUJWOLo; Ga3318wwgjW`dxx"m{rm-qwqgsmVLXARHId6/Jj675<2yym`Qfnrv,gqtk'{ymykPFRO\BCb0%@d8=<<=;rpbiZoi{}%hxb rrvbp`YA[DUMJi9"Io616>uuidUbb~z cupo+wusi}oTJ^CPFGf4)Lh2:;1x~lcPioqw+frud&xxxlzj_GQN[C@c?$Ce:?<4sscn[lht|&i~a!}sucwaZ@TEVLMh:#Fn600?vtfeVcey!ltsn,vvrf|lUM_@QIFe5.Mk16:;1x~lcPioqw+frud&xxxlzj_GQN[C@c?$Ce4?>4sscn[lht|&i~a!}sucwaZ@TEVLMh:Q>1d9pvdkXagy#nz}l.pppdrbWOYFSKHk8338wwgjW`dxx"m{rm-qwqgsmVLXARHId9/Jj74<{{kfSd`|t.awvi)u{}kiRH\M^DE`=+Nf8887~|nm^kmwq)d|{f$~~zntd]EWHYANm2&Ec?>239pvdkXagy#nz}l.pppdrbWOYFSKHk8,Km674<{{kfSd`|t.awvi)u{}kiRH\M^DE`=+Nf:897~|nm^kmwq)d|{f$~~zntd]EWHYANm2&Ec:=2:qqehYnfz~$oy|c/sqweqcXNZGTJKj7-Hl666=tzhgTec}{/bvqh*tt|h~nSK]B_GDg<(Oi=8887~|nm^kmwq)d|{f$~~zntd]EWHYANm2&Ec;=239pvdkXagy#nz}l.pppdrbWOYFSKHk8,Km274<{{kfSd`|t.awvi)u{}kiRH\M^DE`=+Nf>897~|nm^kmwq)d|{f$~~zntd]EWHYANm2&Ec6=0:qqehYnfz~$oy|c/sqweqcXNZGTJKj7_03f?vtfeVcey!ltsn,vvrf|lUM_@QIFe;15>uuidUbb~z cupo+wusi}oTJ^CPFGf:)Lh5:2yym`Qfnrv,gqtk'{ymykPFRO\BCb>%@d:>?5|r`o\mkus'j~y`"||t`vf[CUJWOLo5 Ga2308wwgjW`dxx"m{rm-qwqgsmVLXARHId8/Jj6443zxjaRgasu-`pwj(zz~jxhQISL]EBa?*Ag9:>95|r`o\mkus'j~y`"||t`vf[CUJWOLo5 Ga30310>uuidUbb~z cupo+wusi}oTJ^CPFGf:)Lh49;8?7~|nm^kmwq)d|{f$~~zntd]EWHYANm3&Ec=>3308wwgjW`dxx"m{rm-qwqgsmVLXARHId8/Jj1443zxjaRgasu-`pwj(zz~jxhQISL]EBa?*Ag>:>?5|r`o\mkus'j~y`"||t`vf[CUJWOLo5 Ga5328wwgjW`dxx"m{rm-qwqgsmVLXARHId8]265=tzhgTec}{/bvqh*tt|h~nSR`?762?4;7582yym`Qfnrv,gqtk'{ymykP_o2435:668;97~|nm^kmwq)pjVkhgRa>5:qqehYnfz~${oQkau]l8586>2yym`Qfnrv,sgYci}Ud0<>1179pvdkXagy#zlPd`v\k97668<0ob_hlpp*qeWmkSb2>2?35?vtfeVcey!xb^fbpZi;9:4::6}}al]jjvr(kUomyQ`<06=53=tzhgTec}{/v`\`drXg5;>2<84sscn[lht|&}iSio{_n>22;713zxjaRgasu-tfZbf|Ve7=:0>6:qqehYnfz~${oQkau]l84>99?1x~lcPioqw+rdXlh~Tc1?6>078wwgjW`dxx"ym_ecw[j:668<0ob_hlpp*qeWmkSb2=0?35?vtfeVcey!xb^fbpZi;:84::6}}al]jjvr(kUomyQ`<30=53=tzhgTec}{/v`\`drXg5882<84sscn[lht|&}iSio{_n>10;713zxjaRgasu-tfZbf|Ve7>80>6:qqehYnfz~${oQkau]l87099?1x~lcPioqw+rdXlh~Tc1<8>048wwgjW`dxx"ym_ecw[j:507;=7~|nm^kmwq)pjVnjxRa328<21>uuidUbb~z wc]geqYh4;4::6}}al]jjvr(kUomyQ`<22=53=tzhgTec}{/v`\`drXg59:2<;4sscn[lht|&}iSio{_n>0:43<{{kfSd`|t.ua[agsWf6?2<;4sscn[lht|&}iSio{_n>6:43<{{kfSd`|t.ua[agsWf6=2<;4sscn[lht|&}iSio{_n>4:43<{{kfSd`|t.ua[agsWf632<;4sscn[lht|&}iSio{_n>::42<{{kfSd`|t.ua[agsWfU;=95|r`o\mkus'~hThlzPo^321>uuidUbb~z wc]geqYhW8::96}}al]jjvr(kUomyQ`_0321>uuidUbb~z wc]geqYhW88:96}}al]jjvr(kUomyQ`_0121>uuidUbb~z wc]geqYhW8>:96}}al]jjvr(kUomyQ`_0721>uuidUbb~z wc]geqYhW8<:96}}al]jjvr(kUomyQ`_0521>uuidUbb~z wc]geqYhW82:96}}al]jjvr(kUomyQ`_0;20>uuidUbb~z wc]geqYhW;;>7~|nm^kmwq)pjVnjxRaP2136?vtfeVcey!xb^fbpZiX:8;>7~|nm^kmwq)pjVnjxRaP2336?vtfeVcey!xb^fbpZiX::;>7~|nm^kmwq)pjVnjxRaP2536?vtfeVcey!xb^fbpZiX:<;>7~|nm^kmwq)pjVnjxRaP2736?vtfeVcey!xb^fbpZiX:>;>7~|nm^kmwq)pjVnjxRaP2936?vtfeVcey!xb^fbpZiX:0;?7~|nm^kmwq)pjVnjxRaP3078wwgjW`dxx"ym_ecw[jY488?0ob_hlpp*qeWmkSbQ<1068wwgjW`dxx"ym_ecw[jY39=1x~lcPioqw+rdXlh~TcR;>4:qqehYnfz~${oQkau]l[3733zxjaRgasu-tfZbf|VeT;<:4sscn[lht|&}iSio{_n];51=tzhgTec}{/v`\`drXgV3:=6}}al]tfZgczVc7==0>1:qqehYpjVko~Rg310<25>uuidU|nRokr^k?578692yym`Qxb^cgvZo;9:4:=6}}al]tfZgczVc7=90>1:qqehYpjVko~Rg314<25>uuidU|nRokr^k?538692yym`Qxb^cgvZo;9>4:=6}}al]tfZgczVc7=50>1:qqehYpjVko~Rg318<25>uuidU|nRokr^k?658692yym`Qxb^cgvZo;:84:=6}}al]tfZgczVc7>?0>0:qqehYpjVko~Rg32?33?vtfeV}iSlj}_h>0:46<{{kfSzlPaep\m929991x~lcPwc]b`wYn4<4:<6}}al]tfZgczVc7:3??;rpbiZqeWhnySd28>028wwgjW~hTmi|Pi=:=55=tzhgT{oQnds]j8<8c3zxjaRym_b{`[l773zxjaRym_rgo[l:768:0ob_v`\w`jXa5;5==5|r`o\sgYtmeUb0?0>0:qqehYpjVyn`Rg33?d8wwgjW~hTyocl^mg?vtfeV}iS~zm_ha8wwgjW~hT{hQf5:qweqc13zsxoaem;r{pgimX9dbqi6}vsbnh[vtfeV}n:6}vssqw3>rnelxT=:5{ilgq[7e<|`gn~~Qfnrv,5a=sadoyRgasu-Nip~X|`gn~=Qlyb325a=sadoyRgasu-Nip~X|`gn~=Q|tc325f=sadoyRgasu-Nip~X|`gn~=Qxe032`>rnelxxSd`|t.Onq}Ysadoy=Rmvc032g>rnelxxSd`|t.Onq}YpjVnjxRa>003`?qojm{yTec}{/Lov|ZqeWmkSb?>10`8plkbzzUbb~z Mlw{[rdXlh~Tc<<>c:vji`ttW`dxx"Cbuy]tfZbf|Ve:>rnelxxSd`|t.Onq}YpjVnjxRa=1b9wmhcu{Vcey!Bmtz\sgYci}Ud>=?>b:vji`ttW`dxx"Cbuy]tfZbf|Ve9=rnelxxSd`|t.Onq}YpjVnjxRa=503a?qojm{yTec}{/Lov|ZqeWmkSb<91b9wmhcu{Vcey!Bmtz\sgYci}Ud>;?>c:vji`ttW`dxx"Cbuy]tfZbf|Ve9:??m;uknawuXagy#@czx^ua[agsWf8<=n5{ilgqwZoi{}%FaxvPwc]geqYh:>;:o6zfmdpp[lht|&GfyuQxb^fbpZi5?;;i7ygbesq\mkus'Dg~tRym_ecw[j4?9j1e`k}s^kmwq)Je|rT{oQkau]l6=76k2~bah||_hlpp*Kj}qU|nRjnt^m1<77e3}cfi}Pioqw+HkrpV}iSio{_n0:5f=sadoyRgasu-Nip~XkUomyQ`2832g>rnelxxSd`|t.Onq}YpjVnjxRa=933a?qojm{yTec}{/Lov|ZqeWmkSb=?1b9wmhcu{Vcey!Bmtz\sgYci}Ud?=?>c:vji`ttW`dxx"Cbuy]tfZbf|Ve8rnelxxSd`|t.Onq}YpjVnjxRa910`8plkbzzUbb~z Mlw{[rdXlh~Tc:?>6:vji`ttW`dxx"zfmdp3[fd911e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"ClolrbvZiqnVir0=0=9:vji`ttW`dxx"zfmdp3[lht|&Ghc`~nr^mubZe~484956zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rayf^az878512~bah||_hlpp*rnelx;Sd`|t.O`khvfzVe}jRmv<2<1=>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZiqnVir090=9:vji`ttW`dxx"zfmdp3[lht|&Ghc`~nr^mubZe~4<49m6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rayf^nvp969:h1e`k}s^kmwq)sadoy2:7g<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXglT`xz32?0b?qojm{yTec}{/uknaw6Xagy#@m`mqcq[jpaWe0>0=a:vji`ttW`dxx"zfmdp3[lht|&Ghc`~nr^mubZjr|5>5>l5{ilgqwZoi{}%e`k}0^kmwq)Jkfg{mQ`vg]oqq:26<30xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxTx`|}_blw_43ZW}gy~R~xmsY3YZejxacldV?:]^NfwvRoigU8:RaPcx>3:0?<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtX|dxySn`{[07^[qkuzVz|aU?]^antmo``R;>QRBjsrVkekY4>VeTot2>>4;8plkbzzUbb~z thofv5Ynfz~$Anabp`p\phtuWjdW<;R_uoqvZvpe{Q;QRmbpikdl^72UVFn~Zgao]02ZiXkp692874thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ptlpq[fhsS8?VSyc}r^rtiw]7UVif|eghhZ36YZJb{z^cmcQ<6^m\g|:46<30xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxTx`|}_blw_43ZW}gy~R~xmsY3YZejxacldV?:]^NfwvRoigU8:RaPcx>7:0?<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtX|dxySn`{[07^[qkuzVz|aU?]^antmo``R;>QRBjsrVkekY4>VeTot2:>4;8plkbzzUbb~z thofv5Ynfz~$Anabp`p\phtuWjdW<;R_uoqvZvpe{Q;QRmbpikdl^72UVFn~Zgao]02ZiXkp6=28o4thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ptlpq[fhsS8?VSyc}r^rtiw]7UVif|eghhZ36YZJb{z^cmcQ<6^m\hpr;87?j7ygbesq\mkus'}cfi>Pioqw+HeheykySyc}r^amp^72UV~f~QwlpX4XYdeybbkeU>5\]OavuS`hdT?;Q`_mww8482i2~bah||_hlpp*rnelx;Sd`|t.O`khvfzV~f~QlnuY21XYse{xT|zc}[1_\ghvoanbP=8SPLdqpPmgiW:R_bosllaoS8?VSAk|sUjbjZ51WfUgyy2<>4c8plkbzzUbb~z thofv5Ynfz~$Anabp`p\phtuWjdW<;R_uoqvZvpe{Q;QRmbpikdl^72UVFn~Zgao]02ZiXd|~783;n;uknawuXagy#ygbes2\mkus'Dida}o}_uoqvZei|R;>QRzbrs]ssht\8TUha}ffgiY21XYKmzy_dl`P37]l[iss4<4>m6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rzbrs]`jq]6=TUa|Ppvoq_5[XkdzcejfT14_\H`ut\akeS>8Po^nvp909=01e`k}s^kmwq)sadoyR_bosllaoS8?VSAk|sUjbjZ51WfUgyyjf14c8plkbzzUbb~z thofv5Ynfz~$Anabp`p\phtuWjdW<;R_uoqvZvpe{Q;QRmbpikdl^72UVFn~Zgao]02ZiXd|~oe?;n;uknawuXagy#ygbes2\mkus'Dida}o}_uoqvZei|R;>QRzbrs]ssht\8TUha}ffgiY21XYKmzy_dl`P37]l[issl`9>m6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rzbrs]`jq]6=TUa|Ppvoq_5[XkdzcejfT14_\H`ut\akeS>8Po^nvpao3=h1e`k}s^kmwq)sadoyQRBjsrVkekY4>VeT`xzki77;?qojm{yTec}{/uknaw6Xagy#@m`mqcq[qkuzVz|aU?]^antiipS8?VSyc}r^amp^72UVFn~Zgao]01ZiXkp6;2864thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ptlpq[uqjzR:VSnclnuX50[X|dxySn`{[07^[Ict{]bjbR=:_n]`}979=11e`k}s^kmwq)sadoy5\]wiwtXkg~P=8SPLdqpPmgiW:?TcRmv<3<6<>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZrjz{U{{`|T0\]`iujhR;>QRzbrs]`jq]6=TUGi~}[h`l\70YhWjs7?3;7;uknawuXagy#ygbes2\mkus'Dida}o}_uoqvZvpe{Q;QRmbpmmt_43ZW}gy~RmatZ36YZJb{z^cmcQ<5^m\g|:36<20xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxTx`|}_qunv^6ZWjg{`byT14_\phtuWjdW<;R_MgpwQnffV9>SbQly=7=1==sadoyRgasu-wmhcu8Vcey!BcnosewYse{xT|zc}[1_\ghvkg~Q:9PQ{msp\gkr\956zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rzbrs]ssht\8TUha}b`wZ36YZrjz{UhbyU>5\]OavuS`hdT?8Q`_mww848212~bah||_hlpp*rnelx;Sd`|t.O`khvfzV~f~QwlpX4XYdeyfd{V?:]^vnvwYdf}Q:9PQCerqWldhX;rnelxxSd`|t.vji`t7W`dxx"ClolrbvZrjz{U{{`|T0\]`iujhR;>QRzbrs]`jq]6=TUGi~}[h`l\70YhWe0>0:9:vji`ttW`dxx"zfmdp3[lht|&Ghc`~nr^vnvwYwdxP56zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rzbrs]ssht\8TUha}b`wZ36YZrjz{UhbyU>5\]OavuS`hdT?8Q`_mww808212~bah||_hlpp*rnelx;Sd`|t.O`khvfzV~f~QwlpX4XYdeyfd{V?:]^vnvwYdf}Q:9PQCerqWldhX;rnelxxSd`|t.vji`t7W`dxx"ClolrbvZrjz{U{{`|T0\]`iujhR;>QRzbrs]`jq]6=TUGi~}[h`l\70YhWehd;6;uknawuXagy#ygbes2\mkus'Dida}o}_uoqvZvpe{Q;QRmbpmmt_43ZW}gy~RmatZ36YZJb{z^cmcQ<5^m\hprca8?27ygbesq\mkus'}cfi>Pioqw+HeheykySyc}r^rtiw]7UVif|aax[07^[qkuzViexV?:]^NfwvRoigU89RaPltvgm73>3}cfi}Pioqw+qojm{:Tec}{/LaliuguW}gy~R~xmsY3YZejxee|W<;R_uoqvZei|R;>QRBjsrVkekY4=VeT`xzki27:?qojm{yTec}{/uknaw6Xagy#@m`mqcq[qkuzVz|aU?]^antiipS8?VSyc}r^amp^72UVFn~Zgao]01ZiXd|~oe9;6;uknawuXagy#ygbes2\mkus'Dida}o}_uoqvZvpe{Q;QRmbpmmt_43ZW}gy~RmatZ36YZJb{z^cmcQ<5^m\hprcaPioqw+HeheykySyc}r^rtiw]7UVif|aax[07^[qkuzViexV?:]^NfwvRoigU89RaPltvgm34f3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<1<1f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1??>3g8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7==0Pru0a?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:6=7Uyx?l4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;9?49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}9716Vx>o5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:6?78n7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az8419W{~9n6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}97?6;o0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?5=8Xz}8i7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az84?9:l1e`k}s^kmwq)sadoy2=;Yu|;k0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?5;4c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<0<\vq4e3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<32=6`=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2=0?]qp7d<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw320<1a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1<>>^pw6g=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2=2?0f?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0?<1_sv1f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1<<>3g8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7>>0Pru0a?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0?:12d9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp6983Q}t3`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7>80=e:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYdq58>2R|{2c9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp69:34T~yh5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:507Uyx?l4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;:049i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}94>6Vx>l5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:56;n0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?6;Yu|;h0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?7585m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=13:Zts:h1e`k}s^kmwq)sadoy0:7b<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw33?]qp7g<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw34?0g?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir090Pru0b?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir080=d:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYdq5?5Sz=a:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYdq5<5>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:16Vx>l5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:06;n0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?3;Yu|;k0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?<;4c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<9<\vq4f3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<8<1`>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu171_sv1f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUgyy2?>238plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWe0=0PIOT\47d<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<1<1g>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc2>0?0`?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVrd~1?>>3a8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey0<<12b9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXpfx7=>0=c:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{6:832?m4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[}iu48<5>n5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\|jt;9>49o6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]{kw:6078h7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^zlv97>6;h0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_ymq8485k2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qwos>14;4d3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rv`r=02:7e<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<30=6f=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Ttb|322<1g>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc2=4?0`?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVrd~1<:>3a8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey0?812b9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXpfx7>:0=c:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{6943Pioqw+Heh}g~TX^MPq^zlv9566;l0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_ymq8679W{~9n6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]{kw:46;h0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_ymq8185j2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qwos>6:7d<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<7<1f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc28>3`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey050=b:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{622?94thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp\gkr502~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat00:?qojm{yTec}{/uknaw6Xagy#@m`uov\phtuWjd==<6;uknawuXagy#ygbes2\mkus'DidyczPtlpq[fhs98827ygbesq\mkus'}cfi>Pioqw+Heh}g~Tx`|}_blw574>3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{120:?qojm{yTec}{/uknaw6Xagy#@m`uov\phtuWjd=9<6;uknawuXagy#ygbes2\mkus'DidyczPtlpq[fhs9<827ygbesq\mkus'}cfi>Pioqw+Heh}g~Tx`|}_blw534?3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{23:8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~8>45{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jq56:11e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViex8<7;uknawuXagy#ygbes2\mkus'DidyczPtlpq[fhs>;20xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}Ua|Pcov46==sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{Uhby6=8:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_uoqvZei|08h7ygbesq\mkus'}cfi>Pioqw+Heh}g~Tx`|}_blw[gbc:;n0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}Ua|Pcov\g|:76;o0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}Ua|Pcov\g|:6878n7ygbesq\mkus'}cfi>Pioqw+Heh}g~Tx`|}_blw[f;9849i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r^ampZe~4885>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq5;82?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp\gkrXkp6:8333d8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~T`xz310<1b>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRbzt=31:7`<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{xToczPltv?5685n2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat^nvp9736;l0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}Ua|Pcov\hpr;9<49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r^ampZjr|5;5>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYk}}692?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp\gkrXd|~7?33g8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~T`xz37?0f?qojm{yTec}{/uknaw6Xagy#@m`uov\phtuWjdSa{{<9<1a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRbzt=;=6f=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{UhbyQbaof1`>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRcnne31a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRcnne336`=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{UhbyQbaof257c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{xToczPm`lg574b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`455m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat^obja73:m1e`k}s^kmwq)sadoy:l1e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}_blw[hgilV;;>k5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYjignT=<rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRcnne]06`=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{UhbyQbaof\07c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{xToczPm`lg[04b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`Z05m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat^objaY0:l1e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}_blw[}iu4949j6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r^ampZ~hz5;;2?h4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp\gkrXpfx7=<0=f:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_uoqvZei|Vrd~1?=>3d8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~Ttb|312<1b>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRv`r=37:7`<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{xToczPxnp?5085m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat^zlv979:l1e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}_blw[}iu4=49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r^ampZ~hz5?5>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYg{6=2?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp\gkrXpfx7;3<<:;uknawuXagy#ygbes2\mkus'[oxyaz30?]EVG413}cfi}Pioqw+qojm{:Tec}{/Sgpqir;994TJ_L=6:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<03=[CTE:?1e`k}s^kmwq)sadoy27;YAZK8=7ygbesq\mkus'}cfi>Pioqw+Wct}e~7=90PFS@12>rnelxxSd`|t.vji`t7W`dxx"\jstnw8439WOXI>;5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1?9>^DQF70<|`gn~~Qfnrv,plkbz9Ubb~z Rdqvhq:6?7UM^O<9;uknawuXagy#ygbes2\mkus'[oxyaz319<\BWD5>2~bah||_hlpp*rnelx;Sd`|t.Pfwpjs4835SK\M249wmhcu{Vcey!{ilgq4Zoi{}%Yi~{ct=3=[CTE:?1e`k}s^kmwq)sadoy15;YAZK8=7ygbesq\mkus'}cfi>Pioqw+Wct}e~7>?0PFS@12>rnelxxSd`|t.vji`t7W`dxx"\jstnw8759WOXI>;5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1<;>^DQF70<|`gn~~Qfnrv,plkbz9Ubb~z Rdqvhq:5=7UM^O<9;uknawuXagy#ygbes2\mkus'[oxyaz327<\BWD5>2~bah||_hlpp*rnelx;Sd`|t.Pfwpjs4;=5SK\M279wmhcu{Vcey!{ilgq4Zoi{}%Yi~{ct=0;:Z@UJ;<0xdcjrr]jjvr(|`gn~=Qfnrv,V`urd}6953QIRC06?qojm{yTec}{/uknaw6Xagy#_k|umv?6;YAZK8=7ygbesq\mkus'}cfi>Pioqw+Wct}e~7?=0PFS@12>rnelxxSd`|t.vji`t7W`dxx"\jstnw8679WOXI>85{ilgqwZoi{}%e`k}0^kmwq)Umzgx1=1_GPA60=sadoyRgasu-wmhcu8Vcey!]erwop929WOXI>85{ilgqwZoi{}%e`k}0^kmwq)Umzgx1;1_GPA60=sadoyRgasu-wmhcu8Vcey!]erwop909WOXI>85{ilgqwZoi{}%e`k}0^kmwq)Umzgx191_GPA60=sadoyRgasu-wmhcu8Vcey!]erwop9>9WOXI>85{ilgqwZoi{}%e`k}0^kmwq)Umzgx171_GPA65=sadoyRgasu-wmhcu8Vcey![SB]r858592~bah||_hlpp*rnelx;Sd`|t.VPGZw;9949=6zfmdpp[lht|&~bah|?_hlpp*RTKV{7=<0=1:vji`ttW`dxx"zfmdp3[lht|&^XOR313<15>rnelxxSd`|t.vji`t7W`dxx"Z\C^s?568592~bah||_hlpp*rnelx;Sd`|t.VPGZw;9=49=6zfmdpp[lht|&~bah|?_hlpp*RTKV{7=80=1:vji`ttW`dxx"zfmdp3[lht|&^XOR317<15>rnelxxSd`|t.vji`t7W`dxx"Z\C^s?528592~bah||_hlpp*rnelx;Sd`|t.VPGZw;9149=6zfmdpp[lht|&~bah|?_hlpp*RTKV{7=40=0:vji`ttW`dxx"zfmdp3[lht|&^XOR31?02?qojm{yTec}{/uknaw6Xagy#Y]L_p>14;463}cfi}Pioqw+qojm{:Tec}{/UQ@[t:5978:7ygbesq\mkus'}cfi>Pioqw+QUDWx69>3<>;uknawuXagy#ygbes2\mkus']YHS|2=3?02?qojm{yTec}{/uknaw6Xagy#Y]L_p>10;463}cfi}Pioqw+qojm{:Tec}{/UQ@[t:5=78:7ygbesq\mkus'}cfi>Pioqw+QUDWx69:3<>;uknawuXagy#ygbes2\mkus']YHS|2=7?02?qojm{yTec}{/uknaw6Xagy#Y]L_p>1<;463}cfi}Pioqw+qojm{:Tec}{/UQ@[t:5178;7ygbesq\mkus'}cfi>Pioqw+QUDWx692??4thofvvYnfz~$xdcjr1]jjvr(\ZIT}1=?>338plkbzzUbb~z thofv5Ynfz~$X^MPq=12:76<|`gn~~Qfnrv,plkbz9Ubb~z TRA\u959:91e`k}s^kmwq)sadoy328plkbzzUbb~z thofv5Ynfz~$X^MPq=5=65=sadoyRgasu-wmhcu8Vcey![SB]r8=8582~bah||_hlpp*rnelx;Sd`|t.VPGZw;17;m7ygbesq\mkus'}cfi>Pioqw+QUDWxU;=k5{ilgqwZoi{}%e`k}0^kmwq)S[JUzS<0328plkbzzUbb~z thofv5Ynfz~$X^MPq^3265=sadoyRgasu-wmhcu8Vcey![SB]r[44582~bah||_hlpp*rnelx;Sd`|t.VPGZwX9:8;7ygbesq\mkus'}cfi>Pioqw+QUDWxU:8?>4thofvvYnfz~$xdcjr1]jjvr(\ZIT}R?:219wmhcu{Vcey!{ilgq4Zoi{}%__NQ~_0414>rnelxxSd`|t.vji`t7W`dxx"Z\C^s\52473}cfi}Pioqw+qojm{:Tec}{/UQ@[tY60;:0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXyV;2=k5{ilgqwZoi{}%e`k}0^kmwq)S[JUzS?Pioqw+QUDWxU98?>4thofvvYnfz~$xdcjr1]jjvr(\ZIT}R<:219wmhcu{Vcey!{ilgq4Zoi{}%__NQ~_3414>rnelxxSd`|t.vji`t7W`dxx"Z\C^s\62473}cfi}Pioqw+qojm{:Tec}{/UQ@[tY50;:0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXyV82=k5{ilgqwZoi{}%e`k}0^kmwq)S[JUzS>Pioqw+QUDWxU<=k5{ilgqwZoi{}%e`k}0^kmwq)S[JUzS5?i;uknawuXagy#ygbes2\mkus']YHS|Q6669wmhcu{Vcey!{ilgq4Zoi{}%TSRVCNL]\[64XWVGhc`~nr^vnvwYwdxPPioqw+ZYXPEDFSRQ<3^]\IfijxhxTx`|}_qunv^6ZWjg{`byT14_\phtuWjdW<;R_MgpwQnffV9>SbQcuufj53><|`gn~~Qfnrv,plkbz9Ubb~z _^][HKKXWV9?SRQBcnosewYse{xT|zc}[1_\ghvkg~Q:9PQ{msp\gkr\98Po^nvpao112~bah||_hlpp*rnelx;Sd`|t.]\[]JIEVUT8rnelxxSd`|t.vji`t7W`dxx"QP_YNMIZYX<;UTS@m`mqcq[qkuzViexV?:]^vnvwYwdxPQRzbrs]ssht\8TUha}ffgiY21XYKmzy_dl`P37]l[issl`9=56zfmdpp[lht|&~bah|?_hlpp*YXWQFEARQP45]\[HeheykySyc}r^amp^72UV~f~QwlpX4XYdeybbkeU>5\]OavuS`hdT?;Q`_mww`l2112~bah||_hlpp*rnelx;Sd`|t.]\[]JIEVUT88QP_LaliuguW}gy~RmatZ36YZrjz{U{{`|T0\]`iunnoaQ:9PQCerqWldhX;?UdSa{{dh75=>rnelxxSd`|t.vji`t7W`dxx"QP_YNMIZYXe:vji`ttW`dxx"zfmdp3[lht|&Ue<<:8219wmhcu{Vcey!{ilgq4Zoi{}%Tb=?;70114>rnelxxSd`|t.vji`t7W`dxx"Qa006451473}cfi}Pioqw+qojm{:Tec}{/^l35116=;:0xdcjrr]jjvr(|`gn~=Qfnrv,[k66<>;<>95{ilgqwZoi{}%e`k}0^kmwq)Xf9;?;<9PSV22a>rnelxxSd`|t.vji`t7W`dxx"Qa007165=sadoyRgasu-wmhcu8Vcey!Pn1366675:2~bah||_hlpp*rnelx;Sd`|t.]m4430W`d}>95{ilgqwZoi{}%e`k}0^kmwq)Xf9;>;Rgav0316>rnelxxSd`|t.vji`t7W`dxx"Qa0043[lhq:=1e`k}s^kmwq)sadoyPioqw+Zh7919Tecx=4:vji`ttW`dxx"zfmdp3[lht|&Ue<<6<_hlu54453}cfi}Pioqw+qojm{:Tec}{/^l35<6Xag|986zfmdpp[lht|&~bah|?_hlpp*Yi883;Sd`y1001?qojm{yTec}{/uknaw6Xagy#R`?217\mkp5<2~bah||_hlpp*rnelx;Sd`|t.]m4762W`d}=<<=;uknawuXagy#ygbes2\mkus'Vd;><rnelxxSd`|t.vji`t7W`dxx"Qa0331[lhq9;=0xdcjrr]jjvr(|`gn~=Qfnrv,[k659;Ubb{?PSV316>rnelxxSd`|t.vji`t7W`dxx"Qa0303[lhq:=1e`k}s^kmwq)sadoy=Qfnw346==sadoyRgasu-wmhcu8Vcey!Pn1014Zoi~8=T_Z>>b:vji`ttW`dxx"zfmdp3[lht|&e}j?j4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#9?4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#@m`uov\gjsi|lxTot2?>538plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/LalqkrXkfexh|Pcx>2:12<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Heh}g~Tob{atdp\g|:66Vx8<5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$Anaznu]`kphsm{Uhu1<1459wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z MbmvjqYdg|diQly=0=[wr392~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)JkfexRm`uovfvZe~4:4?86zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%Fob{at^alqkrbzVir0>0Pru62?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.O`kphsWje~byk}_b{?0;233}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*Kdg|dSnaznugq[f;<7Uyx9?4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#@m`uov\gjsi|lxTot2:>568plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/LalqkrXkfexh|Pcx>6:Zts<81e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Ngjsi|Vidyczjr^az8283<2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)JkfexRm`uovfvZe~4>4T~y:>;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySnw38?67?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.O`kphsWje~byk}_b{?<;Yu|=80xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'DidyczPcnwmp`tXd|~7<3:7;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySa{{<1<\MKPX8=80xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'DidyczPcnwmp`tXpfx7<3:=;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySua}<0<76>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Ngjsi|Vidyczjr^zlv949<;1e`k}s^kmwq)sadoyrdq`eicXagy#@m`uov\gjsi|lxTtb|34?61?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.O`kphsWje~byk}_ymq8083:2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)JkfexRm`uovfvZ~hz5<58?5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$Anaznu]`kphsm{Usc28>508plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/LalqkrXkfexh|Pxnp?<;253}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*Kdg|dSnaznugq[}iu404?96zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%Fob{at^alqkrbzVrd~171_sv0e>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Nip~XmoexRg>3c9wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z Mlw{[`pbf}Ub=<=m;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Cbuy]fr`hsW`;9?o5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$A`{w_dtfjqYn9:9i7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&GfyuQjvdlw[l73;k1e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Qavsk|5;5SK\M3`9wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z Rdqvhq:56VLYN>o4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#_k|umv?7;YAZK9j7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&Xnxb{<5<\BWD4i2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)Umzgx1;1_GPA7d=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,V`urd}6=2RH]B2c8plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/Sgpqir;?7UM^O=n;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"\jstnw8=8XN[H8m6zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%Yi~{ct=;=[CTE;<1e`k}s^kmwq)sadoy9Q~329wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z cj35[t533}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*el:<<4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#ne=_p11?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.ah0Zw4;2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)dc?>T}><4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#ne7_p14?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.alqkrbz5:5?:5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$ob{atdp?5;503}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*eh}g~n~1<1369wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z cnwmp`t;;79<7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&idyczjr=7=72=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,gjsi|lx7;3=8;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"m`uovfv9?9;?1e`k}s^kmwq)sadoyPioqw+ut)|dx;|k|c`nf[lht|&idyczjr^002>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-`kphsm{U8?;5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$ob{atdp\160<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+firf}oyS:=9;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"m`uovfvZ?592~bah||_hlpp*rnelx;Sd`|t.rtiZir|V:946zfmdpp[lht|&~bah|?_hlpp*vpeVe~xR>Prrvskq4e3}cfi}Pioqw+qojm{:Tec}{/uoq4Zvumzij`hQjvdlw65=sadoyRgasu-wmhcu8Vcey!{msp\gfk5;2~bah||_hlpp*rnelx;Sd`|t.vnvwYdey6;2?:4thofvvYnfz~$xdcjr1]jjvr(|dxySnc<02=61=sadoyRgasu-wmhcu8Vcey!{msp\ghv;984986zfmdpp[lht|&~bah|?_hlpp*rjz{Uha}2>2?07?qojm{yTec}{/uknaw6Xagy#yc}r^ant9746;>0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjg{0<:1259wmhcu{Vcey!{ilgq4Zoi{}%a|Pclr?5085;2~bah||_hlpp*rnelx;Sd`|t.vnvwYdey6:2?=4thofvvYnfz~$xdcjr1]jjvr(|dxySnc<3<17>rnelxxSd`|t.vji`t7W`dxx"zbrs]`iu:46;90xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjg{090=3:vji`ttW`dxx"zfmdp3[lht|&~f~Qlmq>6:75<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fkw4?49?6zfmdpp[lht|&~bah|?_hlpp*rjz{Uha}28>318plkbzzUbb~z thofv5Ynfz~$x`|}_bos8=85;2~bah||_hlpp*rnelx;Sd`|t.vnvwYdey622?<4thofvvYnfz~$xdcjr1]jjvr(|dxySnc_101?qojm{yTec}{/uknaw6Xagy#yc}r^antZ75;2~bah||_hlpp*rnelx;Sd`|t.vnvwYdeyU:rnelxxSd`|t.vji`t7W`dxx"zbrs]`iuY6:;90xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjg{S<==3:vji`ttW`dxx"zfmdp3[lht|&~f~Qlmq]2075<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fkwW8?9>6zfmdpp[lht|&~bah|?_hlpp*rjz{Uha}Q=239wmhcu{Vcey!{ilgq4Zoi{}%a|Pclr\774<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fkwW=897ygbesq\mkus'}cfi>Pioqw+qkuzVif|R;=2:vji`ttW`dxx"zfmdp3[lht|&~f~Qlmq]567=sadoyRgasu-wmhcu8Vcey!{msp\ghvX?;80xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjg{S5<=;uknawuXagy#ygbes2\mkus'}gy~Rmbp^;17>rnelxxSd`|t.vji`t7W`dxx"zbrs]`jq:76;>0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjd0<>1259wmhcu{Vcey!{ilgq4Zoi{}%a|Pcov?5485<2~bah||_hlpp*rnelx;Sd`|t.vnvwYdf}6:>3<;;uknawuXagy#ygbes2\mkus'}gy~Rmat=30:72<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fhs48>5>95{ilgqwZoi{}%e`k}0^kmwq)se{xTocz314<17>rnelxxSd`|t.vji`t7W`dxx"zbrs]`jq:66;90xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjd0?0=3:vji`ttW`dxx"zfmdp3[lht|&~f~Qlnu>0:75<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fhs4=49?6zfmdpp[lht|&~bah|?_hlpp*rjz{Uhby2:>318plkbzzUbb~z thofv5Ynfz~$x`|}_blw8385;2~bah||_hlpp*rnelx;Sd`|t.vnvwYdf}6<2?=4thofvvYnfz~$xdcjr1]jjvr(|dxySn`{<9<17>rnelxxSd`|t.vji`t7W`dxx"zbrs]`jq:>6;80xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjdS=<=;uknawuXagy#ygbes2\mkus'}gy~Rmat^317>rnelxxSd`|t.vji`t7W`dxx"zbrs]`jqY68;90xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjdS4318plkbzzUbb~z thofv5Ynfz~$x`|}_blw[435:2~bah||_hlpp*rnelx;Sd`|t.vnvwYdf}U9>?5{ilgqwZoi{}%e`k}0^kmwq)se{xToczP3308plkbzzUbb~z thofv5Ynfz~$x`|}_blw[1453}cfi}Pioqw+qojm{:Tec}{/uoqvZei|V?9>6zfmdpp[lht|&~bah|?_hlpp*rjz{UhbyQ9239wmhcu{Vcey!{ilgq4Zoi{}%a|Pcov\374<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fhsW1897ygbesq\mkus'}cfi>Pioqw+qkuzViexR7=0:vji`ttW`dxx"zfmdp3[lht|&~f~Qkis3e?qojm{yTec}{/uknaw6Xagy#yc}r^gm65=sadoyRgasu-wmhcu8Vcey!{msp\m`h592~bah||_hlpp*rnelx;Sd`|t.vnvwYnf}x986zfmdpp[lht|&~bah|?_hlpp*rjz{U{~h}30?07?qojm{yTec}{/uknaw6Xagy#yc}r^rqav:66;>0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWyxn1<1229wmhcu{Vcey!{ilgq4Zoi{}%a|Ppsgp[5443}cfi}Pioqw+qojm{:Tec}{/uoqvZvumzU:>>5{ilgqwZoi{}%e`k}0^kmwq)se{xT|k|_307?qojm{yTec}{/uknaw6Xagy#yc}r^rqavtt|;l0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~V>R_bosllao4948<6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|T0\]`iunnoa6:<3=?;uknawuXagy#ygbes2\mkus'}gy~R~xmsY3YZejxacld1?>>228plkbzzUbb~z thofv5Ynfz~$x`|}_qunv^6ZWjg{ddig<00=75=sadoyRgasu-wmhcu8Vcey!{msp\trkuS9WTo`~gifj?568482~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxPPioqw+qkuzVz|aU?]^antmo``5;>2?h4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrZ2^[fkw``mc0<0=f:vji`ttW`dxx"zfmdp3[lht|&~f~QwlpX4XYdeybbke2=>3d8plkbzzUbb~z thofv5Ynfz~$x`|}_qunv^6ZWjg{ddig<2<1b>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht\8TUha}ffgi>7:7`<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzR:VSnchhek8085n2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxPR_bosllao4>49j6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|T0\]`iunnoa632?h4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrZ2^[fkw``mc040=e:vji`ttW`dxx"zfmdp3[lht|&~f~QwlpX4XYdeybbkeQ?2d9wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq_5[XkdzcejfP13d8plkbzzUbb~z thofv5Ynfz~$x`|}_qunv^6ZWjg{ddig_021b>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht\8TUha}ffgi]257`<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzR:VSnchhek[445n2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxPR_bosllaoW8>9j6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|T0\]`iunnoaU:9?k4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrZ2^[fkw``mcS?rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht\8TUha}ffgi];6`=sadoyRgasu-wmhcu8Vcey!{msp\trkuS9WTo`~gifj\=7c<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzR:VSnclnu?4;4a3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{Q;QRmbpmmt8469:o1e`k}s^kmwq)sadoyPioqw+qkuzVz|aU?]^antiip4885>k5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~6:?35?0f?qojm{yTec}{/uknaw6Xagy#yc}r^rtiw]7UVif|aax<0<1a>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht\8TUha}b`w=0=6`=sadoyRgasu-wmhcu8Vcey!{msp\trkuS9WTo`~cov>0:7c<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzR:VSnclnu?0;4b3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{Q;QRmbpmmt8085m2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxPR_boshjq;078n7ygbesq\mkus'}cfi>Pioqw+qkuzVz|aU?]^antiip404?n6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|T0\]`iujhR;>QRzbrs]`jq]6=TUGi~}[h`l\70Yh9=i0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~V>R_boshjq\9R_boshjqX988n7ygbesq\mkus'}cfi>Pioqw+qkuzVz|aU?]^antiipW889i6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|T0\]`iujhV;8>h5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~U:8?k4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrZ2^[fkwdf}T=8R_bosllao4948=6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|m[1_\ghvoanb7==0<1:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlpa_5[Xkdzcejf310<05>rnelxxSd`|t.vji`t7W`dxx"zbrs]sshteS9WTo`~gifj?578492~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxiW=SPclrkmbn;9:48=6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|m[1_\ghvoanb7=90<1:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlpa_5[Xkdzcejf314<04>rnelxxSd`|t.vji`t7W`dxx"zbrs]sshteS9WTo`~gifj?5;573}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{hP5:66<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzkQ;QRmbpikdl919;91e`k}s^kmwq)sadoyR_bosllao4148<6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|m[1_\ghvoanb753R_bosllaoW888<6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|m[1_\ghvoanbT=>=?;uknawuXagy#ygbes2\mkus'}gy~R~xms`X4XYdeybbkeQ>4228plkbzzUbb~z thofv5Ynfz~$x`|}_qunvg]7UVif|eghh^366c=sadoyRgasu-wmhcu8Vcey!{msp\trkujR:VSnchhek[74a3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{hPR_bosllaoW=8m7ygbesq\mkus'}cfi>Pioqw+qkuzVz|alT0\]`iunnoaU>>k5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}bZ2^[fkw``mcS;3?13?qojm{yTec}{/uknaw6Xagy#yc}r^rtiwd\8TUha}b`w=37:66<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzkQ;QRmbpmmt8439:o1e`k}s^kmwq)sadoyR_boshjq;978m7ygbesq\mkus'}cfi>Pioqw+qkuzVz|alT0\]`iujh585>k5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}bZ2^[fkwdf}7?39:o1e`k}s^kmwq)sadoyR_boshjq;178n7ygbesq\mkus'}cfi>Pioqw+qkuzVz|alT0\]`iujhV:9i6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|m[1_\ghvkg~U:>k5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}bZ2^[fkwdf}T==2g9wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoqf^6ZWjg{`byP130e?qojm{yTec}{/uknaw6Xagy#yc}r^rtiwd\8TUha}b`w^306c=sadoyRgasu-wmhcu8Vcey!{msp\trkujR:VSnclnu\514a3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{hPR_boshjqX:;o0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~oU?]^antiipW:8n7ygbesq\mkus'}cfi>Pioqw+qkuzVz|alT0\]`iujhV>9i6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|m[1_\ghvkg~U>>h5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}bZ2^[fkwdf}T:?k4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrcY3YZejxee|S:=3:vji`ttW`dxx"zfmdp3[lht|&~f~Qzper\572<|`gn~~Qfnrv,plkbz9Ubb~z w^rtiw]7UVoe>85{ilgqwZoi{}%e`k}0^kmwq)pWy}f~oU?]^gm6<=sadoyRgasu-wmhcu8Vcey!x_qunvg]7UVoeS^Y>209wmhcu{Vcey!{ilgq4Zoi{}%|Sx~kp=2=64=sadoyRgasu-wmhcu8Vcey!x_trgt979:<1e`k}s^kmwq)sadoyPioqw+rtn|lUhxb=3:vji`ttW`dxx"zfmdp3[lht|&}yeykPcupo540<|`gn~~Qfnrv,plkbz9Uxxo?:;uknawuXagy#ygbes2\s`713}cfi}Pioqw+qojm{;Totm>8:vji`ttW`dxx"zfmdp2[lht|&8<7ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}_blw6==sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{Uhby?=8:vji`ttW`dxx"zfmdp2[lht|&Ghcx`{_uoqvZei|;837ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}_blw77><|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{xTocz;299wmhcu{Vcey!{ilgq5Zoi{}%Fob{at^vnvwYdf}?946zfmdpp[lht|&~bah|>_hlpp*Kdg|dSyc}r^amp34?3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxySn`{73:8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~3>i5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYdq5:5>i5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYdq5;5>i5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYdq585>i5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYdq595>i5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYdq5>5>i5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYdq5?5>i5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYdq5<5>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYk}}6;2?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp\gkrXd|~7=33g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~T`xz35?0f?qojm{yTec}{/uknaw7Xagy#@m`uov\phtuWjdSa{{<7<1a>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViexRbzt=5=6f=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{UhbyQbaof1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViexRcnne31`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViexRcnne01`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViexRcnne11`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViexRcnne61`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViexRcnne71`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViexRcnne41a>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViexRcnne]36`=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{UhbyQbaof\57c<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{xToczPm`lg[74b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxySn`{_lcm`Z55m2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~Rmat^objaY3:l1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~Qlnu]nekbX=;o0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r^ampZ~hz585>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYg{682?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp\gkrXpfx7833`8plkbzzUbb~z thofv4Ynfz~$A`{w_v]ssht\8TUnbe:vji`ttW`dxx"zfmdp2[lht|&Ue<<<=239wmhcu{Vcey!{ilgq5Zoi{}%Tb=?=2=5=570<|`gn~~Qfnrv,plkbz8Ubb~z _o2267:068UX[=<=;uknawuXagy#ygbes3\mkus'Vd;=?<37?017>rnelxxSd`|t.vji`t6W`dxx"Qa0001828598o0xdcjrr]jjvr(|`gn~>281065=sadoyRgasu-wmhcu9Vcey!Pn131=625<2~bah||_hlpp*rnelx:Sd`|t.]m444>;=UX[=<=;uknawuXagy#ygbes3\mkus'Vd;=>=Piot17>rnelxxSd`|t.vji`t6W`dxx"Qa0010[lhq9;80xdcjrr]jjvr(|`gn~8Piot267=sadoyRgasu-wmhcu9Vcey!Pn1377Zoi~;90xdcjrr]jjvr(|`gn~9Tecx>239wmhcu{Vcey!{ilgq5Zoi{}%Tb=?71^kmr75<|`gn~~Qfnrv,plkbz8Ubb~z _o22<4Ynf;9=6zfmdpp[lht|&~bah|>_hlpp*vpeVe~xR>=8:vji`ttW`dxx"zfmdp2[lht|&z|aRazt^2\vvrwg}8;7ygbesq\mkus'}cfi?Pioqw+qkuzViha?=4thofvvYnfz~$xdcjr0]jjvr(|dxySnc<1<17>rnelxxSd`|t.vji`t6W`dxx"zbrs]`iu:66;90xdcjrr]jjvr(|`gn~0:75<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[fkw4=49?6zfmdpp[lht|&~bah|>_hlpp*rjz{Uha}2:>318plkbzzUbb~z thofv4Ynfz~$x`|}_bos8385;2~bah||_hlpp*rnelx:Sd`|t.vnvwYdey6<2?<4thofvvYnfz~$xdcjr0]jjvr(|dxySnc_101?qojm{yTec}{/uknaw7Xagy#yc}r^antZ75:2~bah||_hlpp*rnelx:Sd`|t.vnvwYdeyU9>?5{ilgqwZoi{}%e`k}1^kmwq)se{xTo`~P3308plkbzzUbb~z thofv4Ynfz~$x`|}_bos[1453}cfi}Pioqw+qojm{;Tec}{/uoqvZejxV?9>6zfmdpp[lht|&~bah|>_hlpp*rjz{Uha}Q9239wmhcu{Vcey!{ilgq5Zoi{}%a|Pclr\375<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[fhs4949?6zfmdpp[lht|&~bah|>_hlpp*rjz{Uhby2>>318plkbzzUbb~z thofv4Ynfz~$x`|}_blw8785;2~bah||_hlpp*rnelx:Sd`|t.vnvwYdf}682?=4thofvvYnfz~$xdcjr0]jjvr(|dxySn`{<5<17>rnelxxSd`|t.vji`t6W`dxx"zbrs]`jq:26;90xdcjrr]jjvr(|`gn~4:1g<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[fhsS>WTx`|}_qunv^6ZWjg{ddig[6_\H`ut\akeS?6Po06a?qojm{yTec}{/uknaw7Xagy#yc}r^amp^1ZW}gy~R~xmsY3YZejxacldV9R_MgpwQnffV83Sb?>4c9wmhcu{Vcey!{ilgq5Zoi{}%a|PcovX3XYse{xT|zc}[1_\ghvoanbP;PQCerqWldhX:1Ud=?:n;uknawuXagy#ygbes3\mkus'}gy~RmatZ5^[qkuzVz|aU?]^antmo``R=VSAk|sUjbjZ4?Wf89>6zfmdpp[lht|&~bah|>_hlpp*rjz{UhbyQ?239wmhcu{Vcey!{ilgq5Zoi{}%a|Pcov\574<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[fhsW;897ygbesq\mkus'}cfi?Pioqw+qkuzViexR==2:vji`ttW`dxx"zfmdp2[lht|&~f~Qlnu]767=sadoyRgasu-wmhcu9Vcey!{msp\gkrX=;80xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W`dxx"zbrs]gmw7a3}cfi}Pioqw+qojm{;Tec}{/uoqvZci:91e`k}s^kmwq)sadoy=Rgasu-wiwtXald9=6zfmdpp[lht|&~bah|>_hlpp*rjz{Ubby|=4:vji`ttW`dxx"zfmdp2[lht|&~f~Qrdq?4;433}cfi}Pioqw+qojm{;Tec}{/uoqvZvumz6:2?:4thofvvYnfz~$xdcjr0]jjvr(|dxyS}|js=0=66=sadoyRgasu-wmhcu9Vcey!{msp\twctW9887ygbesq\mkus'}cfi?Pioqw+qkuzVzyi~Q>229wmhcu{Vcey!{ilgq5Zoi{}%a|Ppsgp[74a3}cfi}Pioqw+qojm{;Tec}{/uoqvZvpe{Q;QRmbpikdl969:o1e`k}s^kmwq)sadoy=Rgasu-wiwtXx~gyW=SPclrkmbn;978m7ygbesq\mkus'}cfi?Pioqw+qkuzVz|aU?]^antmo``585>k5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvoanb7?3R_bosllaoW:8n7ygbesq\mkus'}cfi?Pioqw+qkuzVz|aU?]^antmo``V>9i6zfmdpp[lht|&~bah|>_hlpp*rjz{U{{`|T0\]`iunnoaU>>h5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvoanbT:?k4thofvvYnfz~$xdcjr0]jjvr(|dxyS}ybrZ2^[fkw``mcS:3g8plkbzzUbb~z thofv4Ynfz~$x`|}_qunv^6ZWjg{`by33?0f?qojm{yTec}{/uknaw7Xagy#yc}r^rtiw]7UVif|aax<5<1a>rnelxxSd`|t.vji`t6W`dxx"zbrs]ssht\8TUha}b`w=7=6`=sadoyRgasu-wmhcu9Vcey!{msp\trkuS9WTo`~cov>5:7c<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[uqjzR:VSnclnu?3;2>3}cfi}Pioqw+qojm{;Tec}{/uoqvZvpe{Q;QRmbpmmt_2[X|dxySn`{[6_\H`ut\akeS?9Po06b?qojm{yTec}{/uknaw7Xagy#yc}r^rtiw]7UVif|aax[6_\phtuWjdW:SPLdqpPmgiW;=Tc_hlpp*rjz{U{{`|T0\]`iujhR=VSyc}r^amp^1ZWEoxYfnn^04[j46:Q`35c8plkbzzUbb~z thofv4Ynfz~$x`|}_qunv^6ZWjg{`byT7\]wiwtXkg~P;PQCerqWldhX:>UdS=>5{ilgqwZoi{}%e`k}1^kmwq)se{xTy}j_007?qojm{yTec}{/uknaw7Xagy#zQwlpX4XYbf;;0xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W`dxx"y}iug\gqtki2j~yQmrfgme>sfz}Ubb~z 159vewrXagy#R`?05:\mkp6=2j~yQfnrv,[k67<1Ubb{?>a:wbvqYnfz~$hlzn_sgb`|YcdpUz=45zasv\mkus'mc}eik}_sz\u9699h1~mzPioqw+aoqamoySvPq=33:4g<}hxSd`|t.fjrlbbzVxsS|2>1?3b?pgu|Vcey!kiwkgawYupV{7=?0>a:wbvqYnfz~$hdxfddp\v}Yv4895=l5zasv\mkus'mc}eik}_sz\u97368k0yl|{_hlpp*bn~`nn~R|w_p>21;7>3|kyxRgasu-gmsocm{UytR31?3:?pgu|Vcey!kiwkgawYupV{7>3?6;tcqpZoi{}%oe{gkes]q|Zw;;7;27xo}t^kmwq)cacoiQ}x^s?0;7>3|kyxRgasu-gmsocm{UytR35?3:?pgu|Vcey!kiwkgawYupV{7:3?6;tcqpZoi{}%oe{gkes]q|Zw;?7;27xo}t^kmwq)cacoiQ}x^s?<;7>3|kyxRgasu-gmsocm{UytR39?3;?pgu|Vcey!kiwkgawYupV{T<<64u`pw[lht|&nbzdjjr^p{[tY6901~mzPioqw+aoqamoySvPq^335<=ri{~Tec}{/ekumacuW{rT}R?>189vewrXagy#igyiegq[w~XyV;9=45zasv\mkus'mc}eik}_sz\uZ74901~mzPioqw+aoqamoySvPq^375<=ri{~Tec}{/ekumacuW{rT}R?:199vewrXagy#igyiegq[w~XyV8:46{nru]jjvr(l`|bhh|Pry]r[67?3|kyxRgasu-gmsocm{UytRP40:8qdtsW`dxx"jfvhffvZtWxU>=55zasv\mkus'mc}eik}_sz\uZ0602j~yQfnrv,`lpnllxT~uQ~_63;?pgu|Vcey!kiwkgawYupV{T4<64u`pw[lht|&nbzdjjr^p{[tY>9;1~mzPioqw+atbimsT}<74u`pw[lht|&nyiljv_p]qwqvh|8<0yl|{_hlpp*`nnfUomyo30?35?pgu|Vcey!iigm\`drf484::6{nru]jjvr(n`ldSio{a=0=53=ri{~Tec}{/gkekZbf|h682<84u`pw[lht|&lbjbQkauc?0;713|kyxRgasu-emciXlh~j080>6:wbvqYnfz~$jdh`_ecwe9099?1~mzPioqw+coagVnjxl28>0:8qdtsW`dxx"hffn]jjvrffjo$>=5zasv\mkus'ocmcRgasucmg`)E]ZU;<<9=b:wbvqYnfz~$jdh`_hlppdhdm&Ghcx`{_sfb`atXkp6;2?l4u`pw[lht|&lbjbQfnrvbjfc(Eje~byQ}d`fgvZe~4849i6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSjndep\g|:66Vx>o5zasv\mkus'ocmcRgasucmg`)JkfexR|kaefq[f;:78n7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T~iokds]`}949W{~9n6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSjndep\g|:46;o0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}Uyhljkr^az868Xz}8i7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T~iokds]`}929:l1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_b{?0;Yu|;h0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}Uyhljkr^az8085m2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pcx>6:Zts:k1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_b{?2;4b3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQly=4=[wr5j2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pcx>4:7c<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rmv<6<\vq4e3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQly=:=6`=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZtcimnySnw38?]qp7d<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rmv<8<1a>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[wbflmxTot26>^pw6f=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZtcimnySa{{<1<06>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[wbflmxT`xz30?]JJSY7:j1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_ymq8585l2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pxnp?558482j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pxnp?558Xz}8h7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T~iokds]{kw:66;i0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}Uyhljkr^zlv949:j1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_ymq8685k2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pxnp?0;4d3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQwos>6:7e<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rv`r=4=6f=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZtcimnySua}<6<1g>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[wbflmxTtb|38?0`?pgu|Vcey!iigm\mkusigin#@m`uov\vagcl{Usc26>3`8qdtsW`dxx"hffn]jjvrffjo$Anaznu]tvdbczVir0=0=b:wbvqYnfz~$jdh`_hlppdhdm&Ghcx`{_vpb`atXkp6:2?k4u`pw[lht|&lbjbQfnrvbjfc(Eje~byQxr`fgvZe~484T~yh5zasv\mkus'ocmcRgasucmg`)JkfexRy}aefq[f;:7Uyx?l4u`pw[lht|&lbjbQfnrvbjfc(Eje~byQxr`fgvZe~4:49i6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSz|ndep\g|:46Vx>o5zasv\mkus'ocmcRgasucmg`)JkfexRy}aefq[f;<78n7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]`}929W{~9n6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSz|ndep\g|:26;o0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^az808Xz}8i7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]`}909:l1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_b{?2;Yu|;h0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^az8285m2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pcx>4:Zts:k1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_b{?<;4b3|kyxRgasu-emciXagymcmj/LalqkrX{kohQly=:=[wr5j2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pcx>::7c<}hxSd`|t.djbjYnfz~jbnk MbmvjqYpzhno~Rmv<8<\vq4d3|kyxRgasu-emciXagymcmj/LalqkrX{kohQcuu>3:64<}hxSd`|t.djbjYnfz~jbnk MbmvjqYpzhno~Rbzt=2=[LHQW98h7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]{kw:76;n0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^zlv9776::0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^zlv9776Vx>n5zasv\mkus'ocmcRgasucmg`)JkfexRy}aefq[}iu4849o6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSz|ndep\|jt;:78h7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]{kw:46;i0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^zlv929:j1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_ymq8085k2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pxnp?2;4d3|kyxRgasu-emciXagymcmj/LalqkrX{kohQwos>4:7e<}hxSd`|t.djbjYnfz~jbnk MbmvjqYpzhno~Rv`r=:=6f=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZquimnySua}<8<13>sfz}Ubb~z fhdl[lht|hdhi"Cbuy]AQVY788=:=?=4u`pw[lht|&lbjbQfnrvbjfc(E{kfS`kbos{1`>sfz}Ubb~z fhdl[lht|hdhi"C}al]nahiuqVcexbntd21a>sfz}Ubb~z fhdl[lht|hdhi"C}al]nahiuqVcexbntd3267=ri{~Tec}{/gkekZoi{}keoh!]erwop9699;?0yl|{_hlpp*`nnfUbb~znnbg,V`urd}6;2RH]B318qdtsW`dxx"hffn]jjvrffjo$^h}zlu>24;75>2j~yQfnrv,bl`hW`dxxl`le.Pfwpjs48:5SK\M239vewrXagy#kgio^kmwqgikl%Yi~{ct=3=573<}hxSd`|t.djbjYnfz~jbnk Rdqvhq:66VLYN?<4u`pw[lht|&lbjbQfnrvbjfc(Zly~`y2=>006?pgu|Vcey!iigm\mkusigin#_k|umv?6;YAZK897xo}t^kmwq)aaoeTec}{aoaf+Wct}e~7?3?=5:wbvqYnfz~$jdh`_hlppdhdm&Xnxb{<2<\BWD5:2j~yQfnrv,bl`hW`dxxl`le.Pfwpjs4=4:>85zasv\mkus'ocmcRgasucmg`)Umzgx1:1_GPA67=ri{~Tec}{/gkekZoi{}keoh!]erwop9399;?0yl|{_hlpp*`nnfUbb~znnbg,V`urd}6>2RH]B308qdtsW`dxx"hffn]jjvrffjo$^h}zlu>5:4423|kyxRgasu-emciXagymcmj/Sgpqir;>7UM^O<=;tcqpZoi{}%mekaPioqwekeb'[oxyaz37?311>sfz}Ubb~z fhdl[lht|hdhi"\jstnw828XN[H9>6{nru]jjvr(n`ldSd`|t`l`a*Tb{|f050>249vewrXagy#kgio^kmwqgikl%Yi~{ct=:=[CTE:;1~mzPioqw+coagVceyoacd-Qavsk|535=?;4u`pw[lht|&lbjbQfnrvbjfc(Zly~`y26>^DQF4b<}hxSd`|t.djbjYnfz~jbnk elrw}4`<}hxSd`|t.djbjYnfz~jbnk elrw}Zp592j~yQfnrv,bl`hW`dxxl`le.gntqX~8;9>6{nru]jjvr(n`ldSd`|t`l`a*cjx}sTz6:91~mzPioqw+coagVceyoacd-fiur~W39=6{nru]jjvr(n`ldSd`|t`l`a*`rdeU}=sfz}Ubb~z fhdl[lht|hdhi"hzlm]u5445::1~mzPioqw+coagVceyoacd-eqijX~8;9><<>;tcqpZoi{}%mekaPioqwekeb'og`Rx>1200?pgu|Vcey!iigm\mkusigin#k{cl^t256YA::1~mzPioqw+coagVceyoacd-eqijX~8;8SJ<>;tcqpZoi{}%mekaPioqwekeb'og`Rx>1702?pgu|Vcey!iigm\mkusigin#k{cl^t252443|kyxRgasu-emciXagymcmj/gwohZp69>UM>>5zasv\mkus'ocmcRgasucmg`)a}efTz7xo}t^kmwq)aaoeTec}{aoaf+cskdV|:=4Q\W103?pgu|Vcey!iigm\mkusigin#k{cl^t5577<}hxSd`|t.djbjYnfz~jbnk ftno[s069::0yl|{_hlpp*`nnfUbb~znnbg,vaYulhno~V??]^CM@Z75;1Ud?<5zasv\mkus'ocmcRgasucmg`)ulVxomij}[02^[DHCW8884Ra>209vewrXagy#kgio^kmwqgikl%yhljkr=2=67=ri{~Tec}{/gkekZoi{}keoh!}d`fgv9776;;0yl|{_hlpp*`nnfUbb~znnbg,vagcl{6:2??4u`pw[lht|&lbjbQfnrvbjfc(zmkoh2=>338qdtsW`dxx"hffn]jjvrffjo$~iokds>0:77<}hxSd`|t.djbjYnfz~jbnk recg`w:36;;0yl|{_hlpp*`nnfUbb~znnbg,vagcl{6>2??4u`pw[lht|&lbjbQfnrvbjfc(zmkoh29>338qdtsW`dxx"hffn]jjvrffjo$~iokds>4:77<}hxSd`|t.djbjYnfz~jbnk recg`w:?6;;0yl|{_hlpp*`nnfUbb~znnbg,vagcl{622?>4u`pw[lht|&lbjbQfnrvbjfc(zmkohQ?219vewrXagy#kgio^kmwqgikl%yhljkr^315>sfz}Ubb~z fhdl[lht|hdhi"|kaefq[46582j~yQfnrv,bl`hW`dxxl`le.pgeabuW;8;7xo}t^kmwq)aaoeTec}{aoaf+wbflmxT??>4u`pw[lht|&lbjbQfnrvbjfc(zmkohQ;219vewrXagy#kgio^kmwqgikl%yhljkr^714>sfz}Ubb~z fhdl[lht|hdhi"|kaefq[3473|kyxRgasu-emciXagymcmj/sfb`atX?;:0yl|{_hlpp*`nnfUbb~znnbg,vagcl{U3>=5zasv\mkus'ocmcRgasucmg`)ulhno~R7<0:wbvqYnfz~$jdh`_hlppdhdm&}ySz|ndepX55[XIGNT=?=8_n12?pgu|Vcey!iigm\mkusigin#z|Pwscg`w]68TUJBIQ>225\k4463|kyxRgasu-emciXagymcmj/vpb`at;87897xo}t^kmwq)aaoeTec}{aoaf+rtflmx7==0=1:wbvqYnfz~$jdh`_hlppdhdm&}ymij}<0<15>sfz}Ubb~z fhdl[lht|hdhi"y}aefq878592j~yQfnrv,bl`hW`dxxl`le.uqeabu4:49=6{nru]jjvr(n`ldSd`|t`l`a*quimny090=1:wbvqYnfz~$jdh`_hlppdhdm&}ymij}<4<15>sfz}Ubb~z fhdl[lht|hdhi"y}aefq838592j~yQfnrv,bl`hW`dxxl`le.uqeabu4>49=6{nru]jjvr(n`ldSd`|t`l`a*quimny050=1:wbvqYnfz~$jdh`_hlppdhdm&}ymij}<8<14>sfz}Ubb~z fhdl[lht|hdhi"y}aefq[5473|kyxRgasu-emciXagymcmj/vpb`atX9;;0yl|{_hlpp*`nnfUbb~znnbg,swgcl{U:4u`pw[lht|&lbjbQfnrvbjfc({kohQ=219vewrXagy#kgio^kmwqgikl%|~ljkr^114>sfz}Ubb~z fhdl[lht|hdhi"y}aefq[1473|kyxRgasu-emciXagymcmj/vpb`atX=;:0yl|{_hlpp*`nnfUbb~znnbg,swgcl{U=>=5zasv\mkus'ocmcRgasucmg`)pzhno~R9=0:wbvqYnfz~$jdh`_hlppdhdm&}ymij}_903?pgu|Vcey!iigm\mkusigin#z|ndep\=44<}hxSd`|t.p{[lht|&897xo}t^kmwq)upVcey!BcnwmpZbf|hidycz=3:wbvqYnfz~$~uQfnrv,Ifirf}Uomyolotlw575<}hxSd`|t.p{[lht|&Ghcx`{_ecwefirf}89n6{nru]jjvr(zqUbb~z MbmvjqYci}khcx`{_ymq85869;h0yl|{_hlpp*tW`dxx"Clotlw[agsije~byQwos>2:475j2j~yQfnrv,v}Ynfz~$Anaznu]geqgdg|dSua}<3<254e<}hxSd`|t.p{[lht|&Ue<<>>_hlu5a=ri{~Tec}{/sz\mkus'Vd;==?Piot25f=ri{~Tec}{/sz\mkus'Vd;==6Piot2`>sfz}Ubb~z ry]jjvr(Wg::<5Qfnw32g>sfz}Ubb~z ry]jjvr(Wg::=8Qfnw3f?pgu|Vcey!}x^kmwq)Xf9;:9Rgav332e>sfz}Ubb~z ry]jjvr(jhokh` 3`9vewrXagy#vPioqw+ggrlnoe#J@K_043[jY@FMU::=Q`_rwa[7YH]]6;2>o4u`pw[lht|&xsSd`|t.`bqaabf&MEHR?90^m\CKBX9?:TcR}zb^0\KPR;979j7xo}t^kmwq)upVcey!matfdak)@FMU::=Q`_FLG[407WfUxyoQ=_NWW8784i2j~yQfnrv,v}Ynfz~$nl{kgdl,CKBX9?:TcRIAD^354ZiX{|hT>RAZT=1=70=ri{~Tec}{/sz\mkus'kk~hjka/FLG[407WfUhbyU>5\]fupgkW8Ud?l5zasv\mkus'{rTec}{/ccv`bci'NDOS<8?_n]`jq]6=TUn}xoc_0]l84399:n0yl|{_hlpp*tW`dxx"lnueefj*Kj}qUhbyU>5\]`kphsS8?VS`{w_5]LQQ779:i0yl|{_hlpp*tW`dxx"lnueefj*Kj}qUhbyU>5\]`kphsS8?VS`{w_5]LQQ76;j1~mzPioqw+w~Xagy#oozdfgm+HkrpViexV?:]^alqkr\9<}hxSd`|t.p{[lht|&hjyiijn.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Uhu1>14c9vewrXagy#vPioqw+ggrlnoe#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Ze~494T~y:7;tcqpZoi{}%ytRgasu-aepb`mg%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\g|:66=20yl|{_hlpp*tW`dxx"lnueefj*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qly=0=0<=ri{~Tec}{/sz\mkus'kk~hjka/LqvfZAILV;=RAZT=36>58Xd|~7>3:i;tcqpZoi{}%ytRgasu-aepb`mg%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;:7UBB[Q?489vewrXagy#vPioqw+ggrlnoe#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Zjr|5958k5zasv\mkus'{rTec}{/ccv`bci'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp959W@D]S=:6;tcqpZoi{}%ytRgasu-aepb`mg%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;87>27xo}t^kmwq)upVcey!matfdak)J{|hTKCJP172\kZAILV;=6=0Pxnp?5;2>3|kyxRgasu-q|Zoi{}%imxjheo-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294Ttb|32?6:?pgu|Vcey!}x^kmwq)ei|nlic!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xpfx7?3<;;tcqpZoi{}%ytRgasu-aepb`mg%Tb=>=0^kmr73<}hxSd`|t.p{[lht|&hjyiijn.]m4547W`d}=?>4u`pw[lht|&xsSd`|t.`bqaabf&iggb{{269vewrXagy#vPioqw+ggrlnoe#nbdotv\vvrwg}8;7xo}t^kmwq)upVcey!matfdak)df}6;2?>4u`pw[lht|&xsSd`|t.`bqaabf&iex1?1219vewrXagy#vPioqw+ggrlnoe#n`{<3<14>sfz}Ubb~z ry]jjvr(jhokh` cov?7;5?3|kyxRgasu-q|Zoi{}%imxjheo-`jq]6=TUhcx`{[07^[hsW=UDYY2?>2:8qdtsW`dxx"|w_hlpp*df}mmnb"matZ36YZeh}g~P=8SPmtz\0ZIR\5;5?55zasv\mkus'{rTec}{/ccv`bci'jdW<;R_bmvjq]6=TUfyuQ;_NWW878402j~yQfnrv,v}Ynfz~$nl{kgdl,gkr\9TCXZ33?3e?pgu|Vcey!}x^kmwq)ei|nlic!lnu]35c=ri{~Tec}{/sz\mkus'kk~hjka/blw[47a3|kyxRgasu-q|Zoi{}%imxjheo-`jqY59o1~mzPioqw+w~Xagy#oozdfgm+fhsW:;i7xo}t^kmwq)upVcey!matfqavcs:;1~mzPioqw+w~Xagy#oozdsgpaqYu{}zdxe:wbvqYnfz~$~uQfnrv,`drfkfex1<11e9vewrXagy#vPioqw+agsije~byQ?1e9vewrXagy#vPioqw+agsije~byQ>1e9vewrXagy#vPioqw+agsije~byQ=1`9vewrXagy#vPioqw+agsif6;20c8qdtsW`dxx"|w_hlpp*bf|he793?n;tcqpZoi{}%ytRgasu-geqgh4?4:m6{nru]jjvr(zqUbb~z d`vbk919901~mzPioqw+w~Xagy#io{an]35<=ri{~Tec}{/sz\mkus'mkmbQ>189vewrXagy#vPioqw+agsifU9=45zasv\mkus'{rTec}{/ecwejY4901~mzPioqw+w~Xagy#io{an]75<=ri{~Tec}{/sz\mkus'mkmbQ:189vewrXagy#vPioqw+agsifU==45zasv\mkus'{rTec}{/ecwejY09k1~mzPioqw+w~Xagy#io{asgb`|433|kyxRgasu-q|Zoi{}%omyo}e`fz[bjrmVynx<64u`pw[lht|&xsSd`|t.p{`969911~mzPioqw+w~Xagy#vk<0<2<>sfz}Ubb~z ry]jjvr(zqn7>3?7;tcqpZoi{}%ytRgasu-q|a:46820yl|{_hlpp*tW`dxx"|wd=6=5==ri{~Tec}{/sz\mkus'{ro080>8:wbvqYnfz~$~uQfnrv,v}b;>7;37xo}t^kmwq)upVcey!}xe>4:41<}hxSd`|t.p{[lht|&xshR>>7:wbvqYnfz~$~uQfnrv,v}bX98=0yl|{_hlpp*tW`dxx"|wd^023>sfz}Ubb~z ry]jjvr(zqnT?<94u`pw[lht|&xsSd`|t.p{`Z26?2j~yQfnrv,v}Ynfz~$~ujP5058qdtsW`dxx"|w_hlpp*tlV<:;6{nru]jjvr(zqUbb~z ryf\34b<}hxSd`|t.p{[lht|&xsa{hflugq+7d<}hxSd`|t.p{[lht|&xsa{hflugq+Heh}g~Tob{at^s1g>sfz}Ubb~z ry]jjvr(zqg}jdb{es-Ngjsi|VidyczPq00`?pgu|Vcey!}x^kmwq)upd|meazjr.O`kphsWje~byQ~23a8qdtsW`dxx"|w_hlpp*telb`yk}/LalqkrXkfexR<359vewrXagy#vPioqw+w~j~ocgxh| MbmvjqYdg|dS|Qwos>3:474<2j~yQfnrv,v}Ynfz~$~ucyfhnwaw)JkfexRm`uov\uZ~hz5;5=<=;;tcqpZoi{}%ytRgasu-q|hpaae~n~"Clotlw[firf}UzSua}<3<2562<}hxSd`|t.p{[lht|&xsa{hflugq+Heh}g~Tob{at^s\|jt;;7;:>:5zasv\mkus'{rTec}{/sznrcok|lx$Sc>?26]jjs4?3|kyxRgasu-q|Zoi{}%yt`xiimvfv*Yi8982:71<}hxSd`|t.p{[lht|&xsa{hflugq+firf}Uz0?0=7:wbvqYnfz~$~uQfnrv,v}kqn`fi!lotlw[t:46;<0yl|{_hlpp*tW`dxx"|wmwdjhqcu'je~byQ~_105?pgu|Vcey!}x^kmwq)upd|meazjr.alqkrXyV;9:6{nru]jjvr(zqUbb~z ryoubljsm{%hcx`{_p]163=ri{~Tec}{/sz\mkus'{rfzkgctdp,gjsi|V{T???4u`pw[lht|&xsSd`|t.p{is`nd}oy#~azt3c8qdtsW`dxx"|w_hlpp*telb`yk}/rmvpZak}lUxiy:4u`pw[lht|&xsSygbes-DJAY6>9UdSJ@K_043[jYt}kU9SB[[<02=71=ri{~Tec}{/sz\plkbz&MEHR?90^m\CKBX9?:TcR}zb^0\KPR;984886{nru]jjvr(zqUe`k}/FLG[407WfULBIQ>61]l[vseW;UDYY2>2?17?pgu|Vcey!}x^vji`t(OGNT=;>Po^EM@Z718VeTxlP2^MVP9746:>0yl|{_hlpp*tW}cfi!HNE]225YhWNDOS<8?_n]pqgY5WF__0<:1359vewrXagy#vPthofv*AILV;==4u`pw[lht|&xsSygbes-DJAY6>9UdSJ@K_043[jYt}kU9SB[[<3<07>sfz}Ubb~z ry]wmhcu'NDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:46:90yl|{_hlpp*tW}cfi!HNE]225YhWNDOS<8?_n]pqgY5WF__090<3:wbvqYnfz~$~uQ{ilgq+BHCW8<;SbQHNE]225YhWziS?Q@UU>6:65<}hxSd`|t.p{[qojm{%LBIQ>61]l[BHCW8<;SbQ|uc]1[JSS4?48?6{nru]jjvr(zqUe`k}/FLG[407WfULBIQ>61]l[vseW;UDYY28>218qdtsW`dxx"|w_uknaw)@FMU::=Q`_FLG[407WfUxyoQ=_NWW8=84;2j~yQfnrv,v}Ysadoy#J@K_043[jY@FMU::=Q`_rwa[7YH]]622?k4u`pw[lht|&xsSygbes-DJAY6>9UdSn`{[07^[`wrieU:Sb==;tcqpZoi{}%ytRzfmdp,CKBX9?:TcRmatZ36YZcv}hfT=Ra314<07>sfz}Ubb~z ry]wmhcu'NDOS<8?_n]`jq]6=TUn}xoc_0]l84399:90yl|{_hlpp*tW}cfi!HNE]225YhWjdW<;R_dsveiY6Wf6:93<<3:wbvqYnfz~$~uQ{ilgq+BHCW8<;SbQlnuY21XYby|kgS2j~yQfnrv,v}Ysadoy#@czx^amp^72UVidyczT14_\ip~X379vewrXagy#vPthofv*Kj}qUhbyU>5\]`kphsS8?VS`{w_5]LQQ749:<0yl|{_hlpp*tW}cfi!Bmtz\gkr\9TCXZ>4015?pgu|Vcey!}x^vji`t(EdsSn`{[07^[firf}Q:9PQbuy]7[JSS9<;8:6{nru]jjvr(zqUe`k}/Lov|Zei|R;>QRm`uovX50[Xe|rT8RAZT04270=ri{~Tec}{/sz\plkbz&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]];3?85zasv\mkus'{rTxdcjr.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU0270=ri{~Tec}{/sz\plkbz&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]]9:?85zasv\mkus'{rTxdcjr.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU6270=ri{~Tec}{/sz\plkbz&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]]?:?85zasv\mkus'{rTxdcjr.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU4270=ri{~Tec}{/sz\plkbz&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]]=:?85zasv\mkus'{rTxdcjr.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU:270=ri{~Tec}{/sz\plkbz&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]]3:8<5zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Uhu1>1459vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qly=2=[wr3:2j~yQfnrv,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Ze~48:58?5zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Uhu1?>>508qdtsW`dxx"|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pcx>26;253|kyxRgasu-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[f;9:4?>6{nru]jjvr(zqUe`k}/LqvfZAILV;=61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qly=3=04=ri{~Tec}{/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]`}949<81~mzPioqw+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Ydq5958<5zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Uhu1:1409vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qly=7=04=ri{~Tec}{/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]`}909<81~mzPioqw+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Ydq5=58<5zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Uhu161409vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qly=;=06=ri{~Tec}{/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:687>27xo}t^kmwq)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69We0<>1_HLU[5243|kyxRgasu-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[iss48;5845zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Ugyy2>1?]JJSY7<:1~mzPioqw+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yk}}6:>3:6;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<00=[LHQW9>87xo}t^kmwq)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69We0<=1489vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>27;YNF_U;8>5zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Ugyy2>4?6:?pgu|Vcey!}x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_mww8429W@D]S=:<;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<07=0<=ri{~Tec}{/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:6=7UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>2:1><}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;97UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>1:1><}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;:7UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>0:1><}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;;7UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>7:1><}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;<7UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>6:1><}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;=7UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>5:1><}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;>7UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>4:1><}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;?7UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>;:1><}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;07UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>::1><}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;17UBB[Q?439vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qwos>3:15<}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;994??6{nru]jjvr(zqUe`k}/LqvfZAILV;=>518qdtsW`dxx"|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pxnp?5783;2j~yQfnrv,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Z~hz5;829=4u`pw[lht|&xsSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294Ttb|315<77>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^zlv9726=80yl|{_hlpp*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xpfx7=3:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sua}<3<76>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^zlv959<;1~mzPioqw+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yg{6?29<4u`pw[lht|&xsSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294Ttb|35?61?pgu|Vcey!}x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_ymq8383:2j~yQfnrv,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Z~hz5=58?5zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Usc27>508qdtsW`dxx"|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pxnp?=;7>3|kyxRgasu-q|Zrnelx$oae`uu3:?pgu|Vcey!}x^vji`t(kg~7<3?n;tcqpZoi{}%ytRzfmdp,gkr;994:m6{nru]jjvr(zqUe`k}/blw84799h1~mzPioqw+w~X|`gn~"mat=31:4g<}hxSd`|t.p{[qojm{%hby2>3?3b?pgu|Vcey!}x^vji`t(kg~7=90>a:wbvqYnfz~$~uQ{ilgq+fhs48?5=45zasv\mkus'{rTxdcjr.amp979901~mzPioqw+w~X|`gn~"mat=0=5<=ri{~Tec}{/sz\plkbz&iex1=1189vewrXagy#vPthofv*ei|5>5=45zasv\mkus'{rTxdcjr.amp939901~mzPioqw+w~X|`gn~"mat=4=5<=ri{~Tec}{/sz\plkbz&iex191189vewrXagy#vPthofv*ei|525=45zasv\mkus'{rTxdcjr.amp9?9;81~mzPioqw+w~X|`gn~"matZ36YZeh}g~P=8SPmtz\0ZIR\5:5??5zasv\mkus'{rTxdcjr.amp^72UVidyczT14_\ip~X208qdtsW`dxx"|w_uknaw)df}Q:9PQlotlw_43ZWdsS9Q@UU>25;553|kyxRgasu-q|Zrnelx$oczT14_\gjsi|R;>QRczx^6\KPR;9;48>6{nru]jjvr(zqUe`k}/blw_43ZWje~byU>5\]nq}Y3WF__0<=1339vewrXagy#vPthofv*ei|R;>QRm`uovX50[Xe|rT8RAZT=37:64<}hxSd`|t.p{[qojm{%hbyU>5\]`kphsS8?VS`{w_5]LQQ:6=79:7xo}t^kmwq)upV~bah| covX50[XkfexV?:]^ov|Z2XG\^7=3=>;tcqpZoi{}%ytRzfmdp,gkr\9TCXZ32?12?pgu|Vcey!}x^vji`t(kg~P=8SPcnwmp^72UVg~tR:POTV?7;563|kyxRgasu-q|Zrnelx$oczT14_\gjsi|R;>QRczx^6\KPR;<79:7xo}t^kmwq)upV~bah| covX50[XkfexV?:]^ov|Z2XG\^793=>;tcqpZoi{}%ytRzfmdp,gkr\9TCXZ36?12?pgu|Vcey!}x^vji`t(kg~P=8SPcnwmp^72UVg~tR:POTV?3;563|kyxRgasu-q|Zrnelx$oczT14_\gjsi|R;>QRczx^6\KPR;079:7xo}t^kmwq)upV~bah| covX50[XkfexV?:]^ov|Z2XG\^753?7;tcqpZoi{}%ytRzfmdp,gkrX8820yl|{_hlpp*tW}cfi!lnu]25<=ri{~Tec}{/sz\plkbz&iexR??189vewrXagy#vPthofv*ei|V;:=45zasv\mkus'{rTxdcjr.ampZ75901~mzPioqw+w~X|`gn~"mat^305<=ri{~Tec}{/sz\plkbz&iexR?;189vewrXagy#vPthofv*ei|V;>=55zasv\mkus'{rTxdcjr.ampZ4602j~yQfnrv,v}Ysadoy#n`{_23;?pgu|Vcey!}x^vji`t(kg~T8<64u`pw[lht|&xsSygbes-`jqY2911~mzPioqw+w~X|`gn~"mat^42<>sfz}Ubb~z ry]wmhcu'jdS:?7;tcqpZoi{}%ytRzfmdp,gkrX0820yl|{_hlpp*tW}cfi!lnu]:57=ri{~Tec}{/uz\gjtb';k0yl|{_hlpp*rWjeyi"IAD^347ZiX|VxP;PQBuy]7[j533|kyxRgasu-w|Zehzl%FaxvPGOF\525XgV~T~V9R_Lw{[1YhW;Um;>84u`pw[lht|&~sSna}e.Onq}Y@FMU:;>Q`_u]q_2[XE|rT8RaP2^d4[C513|kyxRgasu-w|Zehzl%FaxvPGOF\525XgV~T~V9R_Lw{[1YhW;Um;RIVe:=>k4u`pw[lht|&~sSna}e.Onq}YSpYxdo#lftqmp_6[XOGNT=:=Po^ov|Z74WF__=<=j;tcqpZoi{}%tRm`rd-Nip~X\qZycn miurlw^5ZWNDOS<9<_n]nq}Y6;VE^X??3d9vewrXagy#yvPcnpf+HkrpV^s\al.ckwtju\;TULBIQ>72]l[hsW89TCXZ;12a8qdtsW`dxx"zw_bmqa*Kj}qUgcljjd^p\P}Vugj$iey~`sZ1^[HS_W1>8Sb?>239vewrXagy#yvPcnpf+Q~Wzfi%ndzor>3:74<}hxSd`|t.v{[fium&^s\al.ckwtju;97897xo}t^kmwq)spVid~h![xQplg+dn|yex0?0=2:wbvqYnfz~$xuQlosg,P}Vugj$iey~`s=1=71=ri{~Tec}{/uz\gjtb']r[~bm!bhvskv]4UVMEHR?83^m\Ip~X>Ve8m6{nru]jjvr(|qUhck TyRqkf(ea}zdV=R_FLG[414WfUfyuQ>3^MVP969;h1~mzPioqw+q~Xkfxn#Yv_rna-flrwgzQ8QRIAD^347ZiXe|rT=>Q@UU>2:6g<}hxSd`|t.v{[fium&^s\al.ckwtju\;TULBIQ>72]l[hsW89TCXZ32?1b?pgu|Vcey!{x^alv`)SpYxdo#lftqmp_6[XOGNT=:=Po^ov|Z74WF__0>0=1:wbvqYnfz~$xuQlosg,P}Vugj$iey~`s^215>sfz}Ubb~z ty]`kwc(\qZycn miurlwZ7592j~yQfnrv,p}Ydg{o$Xu^}ob,amqvh{V89=6{nru]jjvr(|qUhck TyRqkf(ea}zdR=>8:wbvqYnfz~$xuQlosg,[k671=;27xo}t^kmwq)spVid~h!Pn12:047d3|kyxRgasu-w|Zehzl%Tb=??2^kmr4b<}hxSd`|t.v{[fium&Ue<<>=_hlu54e<}hxSd`|t.v{[fium&Ue<<<6_hlu5a=ri{~Tec}{/uz\gjtb'Vd;=?7Piot25`=ri{~Tec}{/uz\gjtb'Vd;=?7Piot254e<}hxSd`|t.v{[fium&Ue<<=8_hlu5a=ri{~Tec}{/uz\gjtb'Vd;=>9Piot25f=ri{~Tec}{/uz\gjtb'Vd;=9:Piot2`>sfz}Ubb~z ty]`kwc(Wg::89Qfnw322>sfz}Ubb~z ty]`kwc(amfn=k5zasv\mkus'}rTob|j/hfoaZak}lUyy?7;tcqpZoi{}%tRm`rd-jjq~Xg;;0yl|{_hlpp*rWjeyi"gaty]l[bjrmVynx7xo}t^kmwq)spVid~h!co`ff`ZtX\qZycn miurlw^5ZWD_SS5:<_n3;?pgu|Vcey!{x^alv`)sW{6;2<64u`pw[lht|&~sSna}e.v\v979911~mzPioqw+q~Xkfxn#yQ}<3<2<>sfz}Ubb~z ty]`kwc(|Vx7?3?7;tcqpZoi{}%tRm`rd-w[w:36820yl|{_hlpp*rWjeyi"zPr=7=5==ri{~Tec}{/uz\gjtb'}Uy0;0>8:wbvqYnfz~$xuQlosg,pZt;?7;<7xo}t^kmwq)spVid~h!{_s]352=ri{~Tec}{/uz\gjtb'}UyS1~mzPioqw+q~Xkfxn#yQ}_234?pgu|Vcey!{x^alv`)sW{U?=:5zasv\mkus'}rTob|j/u]q[0703|kyxRgasu-w|Zehzl%SQ9169vewrXagy#yvPcnpf+qYuW>;h7xo}t^kmwq)spVid~h!{btde[w:768i0yl|{_hlpp*rWjeyi"zmugd\v9799j1~mzPioqw+q~Xkfxn#ylzfg]q8786k2j~yQfnrv,p}Ydg{o$xo{if^p?7;7d3|kyxRgasu-w|Zehzl%nxhi_s>7:4e<}hxSd`|t.v{[fium&~iykhPr=7=5f=ri{~Tec}{/uz\gjtb'}h~jkQ}<7<2g>sfz}Ubb~z ty]`kwc(|kmjR|37?3a?pgu|Vcey!{x^alv`)sj|lmSQ?1c9vewrXagy#yvPcnpf+qdrnoUyS5zasv\mkus'}rTxdcjr.13?pgu|Vcey!{x^vji`t(OGNT=;>Po^EM@Z718VeTAXVP83;\k65<}hxSd`|t.v{[qojm{%LBIQ>61]l[BHCW8<;SbQ|uc]1[JSS4948?6{nru]jjvr(|qUe`k}/FLG[407WfULBIQ>61]l[vseW;UDYY2>>218qdtsW`dxx"zw_uknaw)@FMU::=Q`_FLG[407WfUxyoQ=_NWW8784;2j~yQfnrv,p}Ysadoy#J@K_043[jY@FMU::=Q`_rwa[7YH]]682?k4u`pw[lht|&~sSygbes-DJAY6>9UdSn`{[07^[`wrieU:Sb=<;tcqpZoi{}%tRzfmdp,CKBX9?:TcRmatZ36YZcv}hfT=Ra314<272=ri{~Tec}{/uz\plkbz&GfyuQHNE]225YhWNDOS<8?_n]NQ]Y?:0Ud=<=9;tcqpZoi{}%tRzfmdp,IhsWjdW<;R_bmvjq]6=TUfyuQ;_NWW5574=2j~yQfnrv,p}Ysadoy#@czx^amp^72UVidyczT14_\ip~Xsfz}Ubb~z ty]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^az858Xz}>:7xo}t^kmwq)spV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wjs7=3:>;tcqpZoi{}%tRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Snw32?61?pgu|Vcey!{x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_mww848302j~yQfnrv,p}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Zjr|5;5SD@Y_161?pgu|Vcey!{x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_mww878302j~yQfnrv,p}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Zjr|585SD@Y_161?pgu|Vcey!{x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_mww868302j~yQfnrv,p}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Zjr|595SD@Y_161?pgu|Vcey!{x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_ymq8583:2j~yQfnrv,p}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Z~hz5;58?5zasv\mkus'}rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Usc2=>508qdtsW`dxx"zw_uknaw)J{|hTKCJP172\kZAILV;=6=0Pxnp?7;7c3|kyxRgasu-w|Zrnelx$Sc>?21]jjs7b3|kyxRgasu-w|Zrnelx$Sc>?21]jjs7612j~yQfnrv,p}Ysadoy#nbdotv2=>sfz}Ubb~z ty]wmhcu'jd0=0>9:wbvqYnfz~$xuQ{ilgq+fhs484:56{nru]jjvr(|qUe`k}/blw878612j~yQfnrv,p}Ysadoy#n`{<2<05>sfz}Ubb~z ty]wmhcu'jdW<;R_bmvjq]6=TUfyuQ;_NWW858492j~yQfnrv,p}Ysadoy#n`{[07^[firf}Q:9PQbuy]7[JSS4848=6{nru]jjvr(|qUe`k}/blw_43ZWje~byU>5\]nq}Y3WF__0?0<1:wbvqYnfz~$xuQ{ilgq+fhsS8?VSnaznuY21XYj}qU?SB[[<2<2<>sfz}Ubb~z ty]wmhcu'jdS=?7;tcqpZoi{}%tRzfmdp,gkrX9820yl|{_hlpp*rW}cfi!lnu]15==ri{~Tec}{/uz\plkbz&iexR=k;v`\fjisdfkoi?8;v`\idusm{Ue|Rz`_rnbr`Ywi2}iS~gk64:2e>qei{h9Sa:uaewd5W8U9j6ymbnmwhjgcm{g~t;5xblw{640;<=k#vhpwa}*qa{~nt864xhnjj}si911s`cvPatvl[5Ylk%hy wbbf23>|w}Vid~hQjxupfi`Ynkhici:5uptkmk*eVeT|kir^ffgjbblFzhcikT5\]fupgkW;9;Sb=m;{rvmki(kfxn#J@K_44\kZvumoxThhm`ddfLtficmR?VShzam]175Yh4<4:?o5uptkmk*ehzl%FmijPeyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW[f;879h7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]`}9776:i0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^az8479;j1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_b{?5784k2p{yd``/bmqa*KflmUntQ{orY16XYwzllyS}mT23_\eabX;9?TCXZPcx>27;5d3sz~eca cnpf+HgclVos~Rz`sZ01YZvumoxT|nU=2\]b`aY48|w}`dd#na}e.Ob`aYbp{Uc~U=2\]sv``uWyiP>?SPaef\753XG\^Tot2>7?1`?vrage$ob|j/Lcg`ZczV~dV<=]^rqactXxjQ9>PQnde]040YH]]Uhu1?7>2a8~usnff%hck M`fg[`~uW}exW??SPpsgevZvdS;8VSljk_226[JSSWjs7=3=l;{rvmki(kfxn#@okd^g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQYdq58;2>m4zqwjjj)dg{o$Aljk_dzq[qitS;8VS}|jfs]sg^45UVkohR=?5^MVPZe~4;;5?o5uptkmk*ehzl%FmijPeyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW[f;:79i7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]`}959;k1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_b{?0;5e3sz~eca cnpf+HgclVos~Rz`sZ01YZvumoxT|nU=2\]b`aY48PQnde]040YH]]Uhu1913c9ytpoig&id~h!Baef\a}tX|fyP>?SPpsgevZvdS;8VSljk_226[JSSWjs743=m;{rvmki(kfxn#@okd^g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQYdq535?n5uptkmk*ehzl%FmijPeyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW[iss4948h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9948h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9848h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9;48h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9:48h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9=48h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9<48h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9?48h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9>48h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9148h6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;9048o6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\hpr;979o7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]oqq:5879o7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]oqq:5979o7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]oqq:5:79h7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]oqq:56:i0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp959;j1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_mww8184k2p{yd``/bmqa*KflmUntQ{orY16XYwzllyS}mT23_\eabX;9?TCXZPltv?1;5d3sz~eca cnpf+HgclVos~Rz`sZ01YZvumoxT|nU=2\]b`aY485:6e|w}`dd#na}e.Ob`aYbp{Uc~U=2\]sv``uWyiP>?SPaef\753XG\^T`xz39?1`?vrage$ob|j/Lcg`ZczV~dV<=]^rqactXxjQ9>PQnde]040YH]]Usc2?>2f8~usnff%hck M`fg[`~uW}exW?2f8~usnff%hck M`fg[`~uW}exW?>2f8~usnff%hck M`fg[`~uW}exW?2f8~usnff%hck M`fg[`~uW}exW?2f8~usnff%hck M`fg[`~uW}exW?2f8~usnff%hck M`fg[`~uW}exW?2f8~usnff%hck M`fg[`~uW}exW?2f8~usnff%hck M`fg[`~uW}exW?2f8~usnff%hck M`fg[`~uW}exW?2f8~usnff%hck M`fg[`~uW}exW?2a8~usnff%hck M`fg[`~uW}exW??SPpsgevZvdS;8VSljk_226[JSSWqey0?>13e9ytpoig&id~h!Baef\a}tX|fyP>?SPpsgevZvdS;8VSljk_226[JSSWqey0??13e9ytpoig&id~h!Baef\a}tX|fyP>?SPpsgevZvdS;8VSljk_226[JSSWqey0?<13b9ytpoig&id~h!Baef\a}tX|fyP>?SPpsgevZvdS;8VSljk_226[JSSWqey0?0PQrddq[ue\:;WTmijP317\KPRXpfx7?3=l;{rvmki(kfxn#@okd^g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQYg{6?2>m4zqwjjj)dg{o$Aljk_dzq[qitS;8VS}|jfs]sg^45UVkohR=?5^MVPZ~hz5?5?n5uptkmk*ehzl%FmijPeyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW[}iu4?48o6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\|jt;?79h7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]{kw:?6:i0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^zlv9?9;?1q|xgao.alv`)JimnTiu|PtnqX74[XffyP?258~usnff%hck M`fg[`~uW}exW>?R_omp_67ZWhnoS?<<_NWW[f;9948;6tuhll+fium&GjhiQjxs]wkv]49TUec~U<1\]b`aY5::UDYYQly=32:61>Q@UU]`}9746:=0v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Snw315<03>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]mkv]49TUjhiQ=22]LQQYdq5;>2>94zqwjjj)dg{o$Aljk_dzq[qitS:;VSca|[23^[dbcW;88SB[[_b{?5384?2p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Uhu1?8>258~usnff%hck M`fg[`~uW}exW>?R_omp_67ZWhnoS?<<_NWW[f;9148;6tuhll+fium&GjhiQjxs]wkv]49TUec~U<1\]b`aY5::UDYYQly=3::601q|xgao.alv`)JimnTiu|PtnqX74[XffyP?]^llw^56UVkohR<=3^MVPZe~4;85?:5uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPcx>17;503sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vir0?:1369ytpoig&id~h!Baef\a}tX|fyP??=POTV\g|:5=79<7w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRmv<34=72=}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXkp69;3=8;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^az87>9;>1q|xgao.alv`)JimnTiu|PtnqX74[XffyP?]^llw^56UVkohR<=3^MVPZe~4;48;6tuhll+fium&GjhiQjxs]wkv]49TUec~U<1\]b`aY5::UDYYQly=13:602p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Uhu1;1379ytpoig&id~h!Baef\a}tX|fyP??=POTV\g|:16:<0v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Snw37?15?vrage$ob|j/Lcg`ZczV~dV=>]^llw^56UVkohR<=3^MVPZe~4148:6tuhll+fium&GjhiQjxs]wkv]49TUec~U<1\]b`aY5::UDYYQly=;=72=}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXd|~7<3=7;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^nvp9776:20v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Sa{{<03=7==}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXd|~7=?0<8:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU]oqq:6;7937w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRbzt=37:6>?=POTV\hpr;9?4846tuhll+fium&GjhiQjxs]wkv]49TUec~U<1\]b`aY5::UDYYQcuu>23;5?3sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vf~x1?7>2:8~usnff%hck M`fg[`~uW}exW>?R_omp_67ZWhnoS?<<_NWW[iss4835?:5uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPltv?5;5?3sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vf~x12:8~usnff%hck M`fg[`~uW}exW>?R_omp_67ZWhnoS?<<_NWW[iss4;;5?55uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPltv?678402p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Ugyy2=3?1;?vrage$ob|j/Lcg`ZczV~dV=>]^llw^56UVkohR<=3^MVPZjr|58?2>64zqwjjj)dg{o$Aljk_dzq[qitS:;VSca|[23^[dbcW;88SB[[_mww8739;11q|xgao.alv`)JimnTiu|PtnqX74[XffyP?|w}`dd#na}e.Ob`aYbp{Uc~U<1\]mkv]49TUjhiQ=22]LQQYk}}69;3=7;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^nvp94?6:20v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Sa{{<3;=72=}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXd|~7>3=7;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^nvp9576:20v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Sa{{<23=72=}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXd|~7?3=8;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^nvp929;>1q|xgao.alv`)JimnTiu|PtnqX74[XffyP?]^llw^56UVkohR<=3^MVPZjr|5<5?:5uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPltv?3;503sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vf~x161369ytpoig&id~h!Baef\a}tX|fyP??=POTV\hpr;179<7w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRv`r=2=7==}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXpfx7==0<8:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU]{kw:697937w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRv`r=31:6>?=POTV\|jt;9=4846tuhll+fium&GjhiQjxs]wkv]49TUec~U<1\]b`aY5::UDYYQwos>21;5?3sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vrd~1?9>2:8~usnff%hck M`fg[`~uW}exW>?R_omp_67ZWhnoS?<<_NWW[}iu48=5?55uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPxnp?5=8402p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Usc2>9?14?vrage$ob|j/Lcg`ZczV~dV=>]^llw^56UVkohR<=3^MVPZ~hz5;5?55uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPxnp?658402p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Usc2=1?1;?vrage$ob|j/Lcg`ZczV~dV=>]^llw^56UVkohR<=3^MVPZ~hz5892>64zqwjjj)dg{o$Aljk_dzq[qitS:;VSca|[23^[dbcW;88SB[[_ymq8759;11q|xgao.alv`)JimnTiu|PtnqX74[XffyP?|w}`dd#na}e.Ob`aYbp{Uc~U<1\]mkv]49TUjhiQ=22]LQQYg{6993=7;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^zlv9416:20v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Sua}<35=7==}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXpfx7>50<8:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU]{kw:5179<7w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRv`r=0=7==}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXpfx7?=0<8:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU]{kw:4979<7w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRv`r=1=72=}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXpfx783=8;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^zlv939;>1q|xgao.alv`)JimnTiu|PtnqX74[XffyP?]^llw^56UVkohR<=3^MVPZ~hz5=5?:5uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPxnp?<;503sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vrd~1713g9ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Snw30?63?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQly=33:16RAZT^az8479<91q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[f;9;4?<6tuhll+fium&GjhiQjxs]wkv]49TUxxlmd_c]qadb\;8WTmijP230\KPRXkp6:?3:?;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Uhu1?;>528~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRmv<07=05=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_b{?538382p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?;7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYdq5;329>4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vir0<713g9ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Snw31?63?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQly=03:16RAZT^az8779<91q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[f;:;4?<6tuhll+fium&GjhiQjxs]wkv]49TUxxlmd_c]qadb\;8WTmijP230\KPRXkp69?3:?;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Uhu1<;>528~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRmv<37=05=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_b{?638382p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?;7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYdq58329>4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vir0?713g9ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Snw32?63?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQly=13:6`RAZT^az8684n2p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?h4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vir0:02d8~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRmv<8<74>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPltv?4;263sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]oqq:687>:7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYk}}6:=3:>;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Ugyy2>2?62?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQcuu>27;263sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]oqq:6<7>:7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYk}}6:93:>;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Ugyy2>6?62?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQcuu>23;263sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]oqq:607>:7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYk}}6:53:?;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Ugyy2>>538~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRbzt=03:17RAZT^nvp9466=;0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZjr|58929?4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vf~x1<<>538~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRbzt=07:17RAZT^nvp9426=;0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZjr|58=29?4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vf~x1<8>538~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRbzt=0;:17RAZT^nvp94>6=:0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZjr|5858<5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWe0>>1409ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Sa{{<23=05=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_mww868382p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?;7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYk}}6>29>4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vf~x181419ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Sa{{<6<74>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPltv?<;273sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]oqq:>6=:0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZ~hz5:58<5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWqey0<>1409ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Sua}<03=04=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_ymq8449<81q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[}iu48958<5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWqey0<:1409ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Sua}<07=04=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_ymq8409<81q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[}iu48=58<5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWqey0<61409ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Sua}<0;=05=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_ymq848392p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?<0;1:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQ|t`ah[gYumhnP?|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPxnp?668392p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?80;1:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQ|t`ah[gYumhnP?|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPxnp?628392p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?40;0:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQ|t`ah[gYumhnP?]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQwos>04;263sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]{kw:497>;7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYg{6829>4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vrd~1:1419ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Sua}<4<74>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPxnp?2;273sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]{kw:06=:0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZ~hz5258=5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWqey040>d:xsqlhh'jeyi"Cnde]sgkc|Vir0=0>e:xsqlhh'jeyi"Cnde]sgkc|Vir0<>1209ytpoig&id~h!Baef\tfhbp}Uhu1??>^pw5`=}x|cec"m`rd-NeabXxjdntyQly=32:7725;Yu|8o0v}{fnn-`kwc(EhnoS}maeyv\g|:6:78:7w~ziom,gjtb'DkohR~lndzw[f;9;4T~y?j;{rvmki(kfxn#@okd^r`j`~sWjs7=>0=1:xsqlhh'jeyi"Cnde]sgkc|Vir0<=1_sv2a>|w}`dd#na}e.Ob`aYwkgosxRmv<06=64=}x|cec"m`rd-NeabXxjdntyQly=37:Zts9l1q|xgao.alv`)JimnT|n`jxu]`}9726;;0v}{fnn-`kwc(EhnoS}maeyv\g|:6=7Uyx;{rvmki(kfxn#@okd^r`j`~sWjs7=;0Pru3f?vrage$ob|j/Lcg`ZvdflrSnw316<15>|w}`dd#na}e.Ob`aYwkgosxRmv<05=[wr6m2p{yd``/bmqa*KflmU{ockwt^az84>9:81q|xgao.alv`)JimnT|n`jxu]`}97?6Vx=h5uptkmk*ehzl%FmijPpblf|qYdq5;22??4zqwjjj)dg{o$Aljk_qama}rXkp6:53Q}t0f8~usnff%hck M`fg[ueimq~Tot2>>328~usnff%hck M`fg[ueimq~Tot2>>^pw5`=}x|cec"m`rd-NeabXxjdntyQly=03:7714;Yu|8o0v}{fnn-`kwc(EhnoS}maeyv\g|:5978:7w~ziom,gjtb'DkohR~lndzw[f;:84T~y?k;{rvmki(kfxn#@okd^r`j`~sWjs7>33Q}t0f8~usnff%hck M`fg[ueimq~Tot2<>328~usnff%hck M`fg[ueimq~Tot2<>^pw5a=}x|cec"m`rd-NeabXxjdntyQly=6=65=}x|cec"m`rd-NeabXxjdntyQly=6=[wr6l2p{yd``/bmqa*KflmU{ockwt^az808582p{yd``/bmqa*KflmU{ockwt^az808Xz};o7w~ziom,gjtb'DkohR~lndzw[f;>78;7w~ziom,gjtb'DkohR~lndzw[f;>7Uyx4zqwjjj)dg{o$Aljk_qama}rXkp6<2R|{1e9ytpoig&id~h!Baef\tfhbp}Uhu161219ytpoig&id~h!Baef\tfhbp}Uhu161_sv2`>|w}`dd#na}e.Ob`aYwkgosxRmv<8<14>|w}`dd#na}e.Ob`aYwkgosxRmv<8<\vq7b3sz~eca cnpf+HgclVzhbhv{_mww8585<2p{yd``/bmqa*KflmU{ockwt^nvp969W@D]S=?j;{rvmki(kfxn#@okd^r`j`~sWqey0=0>f:xsqlhh'jeyi"Cnde]sgkc|Vrd~1??>0d8~usnff%hck M`fg[ueimq~Ttb|310<2b>|w}`dd#na}e.Ob`aYwkgosxRv`r=31:4`f:xsqlhh'jeyi"Cnde]sgkc|Vrd~1?7>0d8~usnff%hck M`fg[ueimq~Ttb|318<2a>|w}`dd#na}e.Ob`aYwkgosxRv`r=3=5c=}x|cec"m`rd-NeabXxjdntyQwos>14;7a3sz~eca cnpf+HgclVzhbhv{_ymq87799o1q|xgao.alv`)JimnT|n`jxu]{kw:5:7897w~ziom,gjtb'DkohR~lndzw[}iu4;85Sz>e:xsqlhh'jeyi"Cnde]sgkc|Vrd~1<11d9ytpoig&id~h!Baef\tfhbp}Usc2<>0g8~usnff%hck M`fg[ueimq~Ttb|34?3f?vrage$ob|j/Lcg`ZvdflrSua}<4<2a>|w}`dd#na}e.Ob`aYwkgosxRv`r=4=5`=}x|cec"m`rd-NeabXxjdntyQwos>4:4c2\]DJAY2>VeTmijP235\KPRXkp6:2>64zqwjjj)dg{o$Aljk_qpfbwYtxR;9QRIAD^75[jYflmU9>:Q@UU]`}949;11q|xgao.alv`)JimnT|kir^qs_44ZWNDOS88Po^cg`Z45?VE^XRmv<2<0<>|w}`dd#na}e.Ob`aYwzllyS~~T13_\CKBX=?UdSljk_304[JSSWjs783=7;{rvmki(kfxn#@okd^rqactX{yQ:>PQHNE]62ZiXimnT>?9POTV\g|:26:h0v}{fnn-`kwc(EhnoS}|jfs]pt^75UVMEHR;9_n]b`aY5:>UDYYQly=7=[wr402p{yd``/bmqa*KflmU{~hh}_rrX57[XOGNT9;Q`_`fg[740WF__Snw36?1a?vrage$ob|j/Lcg`ZvumoxT}U>2\]DJAY2>VeTmijP235\KPRXkp6=2R|{399ytpoig&id~h!Baef\twcazVy{W<48n6tuhll+fium&GjhiQrddq[vv\9;WTKCJP57]l[dbcW;8UDYYQly=:=7g=}x|cec"m`rd-NeabXx{om~R}[00^[BHCW<2\]DJAY2>VeTmijP235\KPRXkp622>l4zqwjjj)dg{o$Aljk_qpfbwYtxR;9QRIAD^75[jYflmU9>:Q@UU]`}9?9W{~856tuhll+fium&GjhiQrddq[vv\9;WTKCJP57]l[dbcW;8|w}`dd#na}e.Ob`aYwzllyS~~T13_\CKBX=?UdSljk_304[JSSWe0?0<9:xsqlhh'jeyi"Cnde]sv``uWzzP=?SPGOF\13YhWhnoS?<8_NWW[iss4:4856tuhll+fium&GjhiQrddq[vv\9;WTKCJP57]l[dbcW;8|w}`dd#na}e.Ob`aYwzllyS~~T13_\CKBX=?UdSljk_304[JSSWqey0<>13e9ytpoig&id~h!Baef\twcazVy{W<1030b>|w}`dd#na}e.Ob`aYwzllyS~~aeyvX57[XOGNT9;Q`_`fg[41?WF__Sua}<7<25165:476;j1q|xgao.alv`)JimnT|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT^az8584k2p{yd``/bmqa*KflmU{~hh}_rrma}r\9;WTKCJP57]l[dbcW82=SB[[_b{?5;5d3sz~eca cnpf+HgclVzyik|Psqlf|q]6:TULBIQ:6^m\eabX911:6eVE^XRmv<5<0g>|w}`dd#na}e.Ob`aYwzllyS~~aeyvX57[XOGNT9;Q`_`fg[4>1WF__Snw35?1e?vrage$ob|j/Lcg`ZvumoxT}`jxuY26XY@FMU>:RaPaef\5=0XG\^Tot2:>^pw7f=}x|cec"m`rd-NeabXx{om~R}ndzw_44ZWNDOS88Po^cg`Z7?>VE^XRmv<7<0b>|w}`dd#na}e.Ob`aYwzllyS~~aeyvX57[XOGNT9;Q`_`fg[4>1WF__Snw36?]qp6eVE^XRmv<6<\vq5d3sz~eca cnpf+HgclVzyik|Psqlf|q]6:TULBIQ:6^m\eabX91;:6`::Zts;m1q|xgao.alv`)JimnT|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT^nvp969;m1q|xgao.alv`)JimnT|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT^nvp979;m1q|xgao.alv`)JimnT|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT^nvp949;m1q|xgao.alv`)JimnT|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT^nvp959;m1q|xgao.alv`)JimnT|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT^nvp929;m1q|xgao.alv`)JimnT|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT^zlv969;l1q|xgao.alv`)JimnT|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT^zlv9776=;0v}{fnn-`kwc(EhnoS}|jfs]ptkc|R;9QRIAD^75[jYflmU:4;Q@UU]{kw:687Uyx>j4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>87]LQQYg{6:2>j4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>87]LQQYg{692>j4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>87]LQQYg{682>j4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>87]LQQYg{6?2>j4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>87]LQQYg{6>2>j4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>87]LQQYg{6=2>j4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>87]LQQYg{6<2>j4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>87]LQQYg{632>j4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>87]LQQYg{622>m4zqwjjj)dg{o$Anabp`p\a}tX|fyP?58=5uptkmk*ehzl%Fobcas]f|wYsgzQ8=PQaorY05XYKmzy_dl`P20:\kZe~48>5Sa?]^llw^56UVFn~Zgao]15=YhWjs7=80;0:xsqlhh'jeyi"ClolrbvZczV~dV=>]^llw^56UVFn~Zgao]15=YhWjs7=80Pcx62?vrage$ob|j/LaliuguWlrySya|[23^[kitS:;VSAk|sUjbjZ460VeTot2>5?]`}4263sz~eca cnpf+HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPcx>21;Yk}}>97w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\g|:6=7Ugyy?]^llw^56UVFn~Zgao]15=YhWjs7=3=l;{rvmki(kfxn#@m`mqcq[`~uW}exW>?R_omp_67ZWEoxYfnn^02m4zqwjjj)dg{o$Anabp`p\a}tX|fyP?8^m\g|:16:i0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]`}919;j1q|xgao.alv`)Jkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^az8=84k2p{yd``/bmqa*Kdgdzj~Rkwr^vlw^56UVddV=>]^NfwvRoigU9=5Q`_b{?=;5c3sz~eca cnpf+HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPltv?4;5b3sz~eca cnpf+HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPltv?5584m2p{yd``/bmqa*Kdgdzj~Rkwr^vlw^56UVddV=>]^NfwvRoigU9=5Q`_mww8479;l1q|xgao.alv`)Jkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvp9756:o0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:6;79n7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\hpr;9=48h6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[iss4848h6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[iss4;48h6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[iss4:48h6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[iss4=48h6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[iss4<48h6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[iss4?48h6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[iss4>48h6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[iss4148h6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[iss4048o6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[issl`9o7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\hprca89n7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\hprca8:8i6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[issl`;:?h5uptkmk*ehzl%Fobcas]f|wYsgzQ8=PQaorY05XYKmzy_dl`P20:\kZjr|mc:>>k4zqwjjj)dg{o$Anabp`p\a}tX|fyP?=j;{rvmki(kfxn#@m`mqcq[`~uW}exW>?R_omp_67ZWEoxYfnn^02]^llw^56UVFn~Zgao]15=YhWehd<]^llw^56UVFn~Zgao]15=YhWehd=]^llw^56UVFn~Zgao]15=YhWehd:]^llw^56UVFn~Zgao]15=YhWehd;]^llw^56UVFn~Zgao]15=YhWehd8]^llw^56UVFn~Zgao]15=YhWehd9]^llw^56UVFn~Zgao]15=YhWehd6]^llw^56UVFn~Zgao]15=YhWehd73=k;{rvmki(kfxn#@m`mqcq[kitS:;VShv}_ump_67ZWEoxYfnn^014ZiXkp6:?3=k;{rvmki(kfxn#@m`mqcq[kitS:;VShv}_ump_67ZWEoxYfnn^014ZiXkp6:83:?;{rvmki(kfxn#@m`mqcq[kitS:;VShv}_ump_67ZWEoxYfnn^014ZiXkp6:83Qc12f8~usnff%hck MbmntdtXffyP?528~usnff%hck MbmntdtXffyP?^az04=}x|cec"m`rd-Ngjkwi{Uec~U<1\]f|wYsgzQ8=PQCerqWldhX:;:TcRmv<07=[f6<81q|xgao.alv`)Jkfg{mQaorY05XYbp{Uc~U<1\]OavuS`hdT>?>Po^az8439We8?5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZe~48?5Sa{{12a8~usnff%hck MbmntdtXffyP?m4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYdq5?5?n5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZe~4?48o6tuhll+fium&Ghc`~nr^llw^56UVos~Rz`sZ12YZJb{z^cmcQ=21]l[f;?79h7w~ziom,gjtb'Dida}o}_omp_67ZWlrySya|[23^[Ict{]bjbR<=0^m\g|:?6:i0v}{fnn-`kwc(Ejef|l|PnnqX74[XmqxTxb}T30_\H`ut\akeS??>Po^nvp969;l1q|xgao.alv`)Jkfg{mQaorY05XYbp{Uc~U<1\]OavuS`hdT>?>Po^nvp9776:o0v}{fnn-`kwc(Ejef|l|PnnqX74[XmqxTxb}T30_\H`ut\akeS?j4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}6:2>j4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}692>j4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}682>j4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}6?2>j4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}6>2>j4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}6=2>j4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}6<2>j4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}632>j4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}622>m4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}nb?i5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZjr|mc:?h5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZjr|mc:<>k4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}nb=<=j;{rvmki(kfxn#@m`mqcq[kitS:;VShv}_ump_67ZWEoxYfnn^014ZiXd|~oe<<42f8~usnff%hck MbmntdtXffyP?o4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[Xmxj`R<>6^m\g|:687927w~ziom,gjtb'Dida}o}_omp_67ZWlrySya|[23^[`wrieU9=;Q`_b{?5;5>3sz~eca cnpf+HeheykySca|[23^[`~uW}exW>?R_dsveiY59?UdSnw32?1:?vrage$ob|j/LaliuguWgexW>?R_dzq[qitS:;VShzam]153YhWjs7?3=6;{rvmki(kfxn#@m`mqcq[kitS:;VShv}_ump_67ZWl{~maQ=17]l[f;<7927w~ziom,gjtb'Dida}o}_omp_67ZWlrySya|[23^[`wrieU9=;Q`_b{?1;5>3sz~eca cnpf+HeheykySca|[23^[`~uW}exW>?R_dsveiY59?UdSnw36?1:?vrage$ob|j/LaliuguWgexW>?R_dzq[qitS:;VShzam]153YhWjs7;3=6;{rvmki(kfxn#@m`mqcq[kitS:;VShv}_ump_67ZWl{~maQ=17]l[f;07927w~ziom,gjtb'Dida}o}_omp_67ZWlrySya|[23^[`wrieU9=;Q`_b{?=;5f3sz~eca cnpf+HeheykySca|[23^[`~uW}exW>?R_dsveiY59?UdSa{{<1<0f>|w}`dd#na}e.O`khvfzVddV=>]^g{vZrh{R9:QRk~u`n\640XgVf~x1??>2c8~usnff%hck MbmntdtXffyP?<8Po^nvp959;h1q|xgao.alv`)Jkfg{mQaorY05XYbp{Uc~U<1\]fupgkW;;=SbQcuu>7:6gVeT`xz35?1b?vrage$ob|j/LaliuguWgexW>?R_dzq[qitS:;VShzam]153YhWe0;0?910a8~usnff%hck Mlw{[Zh6;8<:S^Y?1g9ytpoig&id~h!Bmtz\gdeomVy~blj1010?vrage$ob|j/Lov|ZefkaoTzlbfd^EM@Z31WfUFYUQ=95]l5766;=1q|xgao.alv`)Je|rTolmge^tbhlbXOGNT9;Q`_LW[[7?3Wf;9<4:Po0027625uptkmk*ehzl%FaxvPc`akaZpfd`nTKCJP57]l[HS_W;3?Sb?=500;?vrage$ob|j/Lov|ZbbkfnnOb`{rnn-pJvdgmo:=?64zqwjjj)dg{o$A`{w_eg`kacDgg~yca {Oqal``46:11q|xgao.alv`)Je|rThhm`ddAljqthd'~D|nake231<>|w}`dd#na}e.Onq}YcmjeoiNaatsmo*qIwkfnn8<<7;{rvmki(kfxn#@czx^ffgjbbKfd~bb!tNr`kac29;20v}{fnn-`kwc(EdsSikloeg@kkruge$C}m`dd426d=}x|cec"m`rd-Nip~XllidhhM`nuplh+rHxjeoi;?P13:8~usnff%hck Mlw{[acdgmoHccz}om,wKuehll=:>l5uptkmk*ehzl%FaxvPddal``Ehf}xd`#z@pbmga27X98o0v}{fnn-`kwc(EdsSikloeg\npkw98;m7w~ziom,gjtb'Dg~tRjjcnff[osjx8;:=h5uptkmk*ehzl%FaxvPddal``Ym}dz:>?>4zqwjjj)dg{o$A`{w_eg`kacXb|g{=?Q>209ytpoig&id~h!Bmtz\``ehllUgcljPsq320f=}x|cec"m`rd-Nip~XlliyS~zncjMsawgsafdP=PQrddq[vvimq~P=?SPwhff[hsW8=2SB[[1007`>|w}`dd#na}e.Onq}YcmjxTyolkNrfvdrnggQ:QR~}egp\wuhbp}Q:>PQxieg\ip~X9>3TCXZ>1337f>|w}`dd#na}e.Onq}YcmjxTyolkNrfvdrnggQ:QR~}egp\wuhbp}Q:>PQxieg\ip~X9>3TCXZ=15a8~usnff%hck Mlw{[acdzVymne@pdpbpliiS8WT|kir^qsj`~sS88VSzgke^ov|Z701VE^X??>4c9ytpoig&id~h!Bmtz\``euWz~jofAescwmjh\9TU{~hh}_rrma}r\9;WT{djj_lw{[41>WF__9<:l;{rvmki(kfxn#@czx^ffgwYt|hi`C}k}auklj^7ZWyxnjQ|pog{p^75UV}bhhQbuy]23:8987w~ziom,gjtb'Dg~tRjjcs]ppdrbS8WTKCJP57]l[HsW892Sb?>359ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l5464=2p{yd``/bmqa*Kj}qUoin|Psucwa^7ZWNDOS88Po^Ov|Z741Ve:==?<6:xsqlhh'jeyi"Cbuy]gaftX{}kiV?R_FLG[00XgVG~tR?<9^m25576;11q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=<>PSV200>|w}`dd#na}e.Onq}YcmjxTyo{eZ3^[BHCW<1^QT462:RaPMtz\56?Xg8;9?55uptkmk*ehzl%FaxvPddaq[vrf|lQ:QRIAD^75[jYJ}qU:?4Q`100\WR64<2p{yd``/bmqa*Kj}qUoin|Psucwa^7ZWNDOS88Po^Ov|Z741Ve:=>=7;{rvmki(kfxn#@czx^ffgwYt|h~nW0v}{fnn-`kwc(EdsSiklr^qweqc\9TULBIQ:6^m\Ip~X9:3Tc]^EM@Z31WfUFyuQ>38]l542X[^:886tuhll+fium&GfyuQkebp\wqgsmR;VSJ@K_44\kZKrpV;85Ra>141;?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j76=VY\<>:4zqwjjj)dg{o$A`{w_eg`vZusi}oP=PQHNE]62ZiXE|rT=>7Po0357==}x|cec"m`rd-Nip~XlliyS~zntdY2YZAILV?=SbQBuy]27<4:xsqlhh'jeyi"Cbuy]gaftX{}kiV?R_FLG[00XgVG~tR?<9^m2525?3sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;:;R]X0268~usnff%hck Mlw{[acdzVymykT1\]DJAY2>VeTAxvP12;\k47?;11q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=<6PSV200>|w}`dd#na}e.Onq}YcmjxTyo{eZ3^[BHCW<9^QT465:RaPMtz\56?Xg88886tuhll+fium&GfyuQkebp\wqgsmR;VSJ@K_44\kZKrpV;85Ra>2116?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j75889=7w~ziom,gjtb'Dg~tRjjcs]ppdrbS8WTKCJP57]l[HsW892Sb?=00301>|w}`dd#na}e.Onq}YcmjxTyo{eZ3^[BHCW<210270=}x|cec"m`rd-Nip~XlliyS~zntdY2YZAILV?=SbQBuy]27|w}`dd#na}e.Onq}YcmjxTyo{eZ3^[BHCW<20001>|w}`dd#na}e.Onq}YcmjxTyo{eZ3^[BHCW<2316?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j75:89>7w~ziom,gjtb'Dg~tRjjcs]ppdrbS8WTKCJP57]l[HsW892Sb?=2316?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j75::9?7w~ziom,gjtb'Dg~tRjjcs]ppdrbS8WTKCJP57]l[HsW892Sb?=3278~usnff%hck Mlw{[acdzVymykT1\]DJAY2>VeTAxvP12;\k4449:?0v}{fnn-`kwc(EdsSiklr^qweqc\9TULBIQ:6^m\Ip~X9:3Tc<<<2278~usnff%hck Mlw{[acdzVymykT1\]DJAY2>VeTAxvP12;\k444;:>0v}{fnn-`kwc(EdsSiklr^qweqc\9TULBIQ:6^m\Ip~X9:3Tc<<;349ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l5726;<1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=?:=349ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l5724;>1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=?Q\W110?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j74;>1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=>Q\W110?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j73;>1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=9Q\W110?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j72;>1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=8Q\W110?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j71;>1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=;Q\W110?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j70;>1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=:Q\W110?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j7?;>1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=5Q\W110?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j7>;>1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=4Q\W116?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\``euWkxnmfQBuy]217Yh98;j7w~ziom,gjtb'Dg~tRkwu^`vw|76j2p{yd``/bmqa*Kj}qUntxQmur{154e|w}`dd#na}e.Onq}Yk{|UomyoPwskwa475:2p{yd``/bmqa*Kj}qUgxQkauc\swosm8;:>?5uptkmk*ehzl%FaxvPlrw\`drfW~xbxh?=1308~usnff%hck Mlw{[iurWmkmRy}iug274453sz~eca cnpf+HkrpVfxyRjnt`]tvlrb9=;9>6tuhll+fium&GfyuQcst]geqgX{ci<;>239ytpoig&id~h!Bmtz\hvsXlh~jSz|ftd355779378~usnff%hck Mlw{[iurWmkmRy}iug2=ZUP9;;0v}{fnn-`kwc(EdsSa}z_ecweZqua}o97w~ziom,gjtb'Dg~tRb|u^fbpdYpz`~n>9Q\W002?vrage$ob|j/Lov|Zjt}VnjxlQxrhvf60423sz~eca cnpf+HkrpVfxyRjnt`]tvlrb:|w}`dd#na}e.Onq}Yk{|UomyoPwskwa716:;1q|xgao.alv`)Je|rT`~{Pd`vb[rtn|l83=?<4zqwjjj)dg{o$A`{w_mqv[agsiV}yeyk=9001?vrage$ob|j/Lov|Zjt}VnjxlQxrhvf7575:2p{yd``/bmqa*Kj}qUgxQkauc\swosm:;:>?5uptkmk*ehzl%FaxvPlrw\`drfW~xbxh==1338~usnff%hck Mlw{[iurWmkmRy}iug0777=??4zqwjjj)dg{o$A`{w_mqv[agsiV}yeyk91338~usnff%hck Mlw{[iurWmkmRy}iug45772p{yd``/bmqa*Kj}qUec~?>169ytpoig&id~h!Bmtz\jju698;<7w~ziom,gjtb'Dg~tR``s00252=}x|cec"m`rd-Nip~Xffy:?1q|xgao.alv`)Je|rTbb}>5034?vrage$ob|j/Lov|Zhh{8<:=:5uptkmk*ehzl%FaxvPnnq234703sz~eca cnpf+HkrpVdd<6>169ytpoig&id~h!Bmtz\jju618;<7w~ziom,gjtb'Dg~tR``s32252=}x|cec"m`rd-Nip~Xffy9=8?>7:xsqlhh'jeyi"Cbuy]mkv4198=0v}{fnn-`kwc(EdsSca|26323>|w}`dd#na}e.Onq}Yigz83=<94zqwjjj)dg{o$A`{w_omp6<76?2p{yd``/bmqa*Kj}qUec~=?1058~usnff%hck Mlw{[kit;8;:;6tuhll+fium&GfyuQaor11540?9;{rvmki(kfxn#@czx^llw176>2p{yd``/bmqa*Kj}qUec~;>179ytpoig&id~h!Bmtz\jju198<0v}{fnn-`kwc(EdsSca|7035?vrage$ob|j/Lov|Zhh{1;::6tuhll+fium&GfyuQaor;27g=}x|cec"m`rd-Nip~Xx{om~R}ndzw_44ZWzzT`bok[00^[hsW82>SB[[111`?vrage$ob|j/Lov|ZvumoxT}`jxuY26XYtxVfdmiU>2\]nq}Y60=3b9ytpoig&id~h!Bmtz\twcazVy{bhv{[00^[vvXdfkoW<2WF__===m4zqwjjj)dg{o$A`{w_qpfbwYtxgosxV?=]^qs[iiflR;9QRczx^3;1ZIR\8;:?n5uptkmk*ehzl%FaxvPpsgevZuwflrW<PQ|p^nlea]6:TUfyuQ>84]LQQ75;k1q|xgao.alv`)Je|rT|kir^qsj`~sS88VS~~Plncg_44ZWdsS<6:_NWW645f3sz~eca cnpf+HkrpVzyik|Psqlf|q]6:TUx|Rb`aeY26XYj}qU:48Q@UU10a>|w}`dd#na}e.Onq}YwzllyS~~aeyvX57[X{yUgcljT13_\ip~X91?TCXZ<_RU37d=}x|cec"m`rd-Nip~Xx{om~R}ndzw_44ZWzzT`bok[00^[hsW82>SB[[42g8~usnff%hck Mlw{[utbn{Ux|ckwtZ31YZuwWeejhV?=]^ov|Z7?=VE^X9Q\W11a?vrage$ob|j/Lov|ZvumoxT}`jxuY26XYtxVfdmiU>2\]nq}Y603`9ytpoig&id~h!Bmtz\twcazVy{bhv{[00^[vvXdfkoW<2WF__:>k4zqwjjj)dg{o$A`{w_qpfbwYtxgosxV?=]^qs[iiflR;9QRczx^3;1ZIR\?UX[<=n;{rvmki(kfxn#@czx^rqactX{ydntyU>2\]ptZjhimQ:>PQbuy]2<0YH]]=8i6tuhll+fium&GfyuQrddq[vvimq~P=?SPsq]okdb\9;WTaxvP197\KPR0WZ];?l5uptkmk*ehzl%FaxvPpsgevZuwflrW<SB[[821a?vrage$ob|j/Lov|ZvumoxT}`jxuY26XYtxVfdmiU>2\]nq}Y602WF__5<=m;{rvmki(kfxn#@czx^rqactX{ydntyU>2\]ptZjhimQ:>PQbuy]2<0YH]]39?i5uptkmk*ehzl%FaxvPpsgevZuwflrW<3sz~eca cnpf+HkrpVyja}bjdEg`kaccGyidhh?<_G0:?vrage$ob|j/Lov|ZufeyfnhIkloeggKuehll;8SJ<8;{rvmki(kfxn#@czx^qbiujblMohcikkOqal``73:11q|xgao.alv`)Je|rTlcldfGaficmmE{objj1531<>|w}`dd#na}e.Onq}YtidzgiiJjcnff`Jvdgmo:8?<7;{rvmki(kfxn#@czx^qbiujblMohcikkOqal``73;;20v}{fnn-`kwc(EdsS~obpmgg@`ehllnD|nake0726==}x|cec"m`rd-Nip~X{hg{`hjKebmgaaIwkfnn=8<=8:xsqlhh'jeyi"Cbuy]pehvkmmNnobjjdNr`kac6=:8<7w~ziom,gjtb'Dg~tR}nmqnf`AcdgmooC}m`dd356==}x|cec"m`rd-Nip~X{hg{`hjKebmgaaIwkfnn=;?=8:xsqlhh'jeyi"Cbuy]pehvkmmNnobjjdNr`kac6>;837w~ziom,gjtb'Dg~tR}nmqnf`AcdgmooC}m`dd35771:5uptkmk*ehzl%FaxvPs`osh`bCmjeoiiAcnff5<403sz~eca cnpf+HkrpVyja}bjdEg`kaccGyidhh<>269ytpoig&id~h!Bmtz\wdkwdlnOinakeeMsgjbb;88<7w~ziom,gjtb'Dg~tR}nmqnf`AcdgmooC}m`dd6263=}x|cec"m`rd-Nip~X{hg{`hjKebmgaaIwkfnn9?94zqwjjj)dg{o$A`{w_rcnticcLlidhhj@pbmga075?2p{yd``/bmqa*Kj}qUxm`~ceeFfgjbblFzhcik:2358~usnff%hck Mlw{[vgjxeooHhm`ddfLtficm<99;6tuhll+fium&GfyuQ|alroaaBbkfnnhB~loeg6071|w}`dd#na}e.Onq}YtidzgiiJjcnff`Jvdgmo=9R]X00d8~usnff%hck Mlw{[vrfkbUjSljkr0214>|w}`dd#na}e.Onq}Yt|hi`SlQndep244463sz~eca cnpf+HkrpVymnePa^cg`w77988:7w~ziom,gjtb'Dg~tR}{abi\eZgcl{;;=??=1:xsqlhh'jeyi"Cbuy]ppdelWhUjhi|>05316>|w}`dd#na}e.Onq}Yt|hi`SlQndep24176::1q|xgao.alv`)Je|rTyolk^c\eabu99UX[=?i;{rvmki(kfxn#@czx^qwefmXiVkoh?=229ytpoig&id~h!Bmtz\wqgdcVkTmij}13]PS57a3sz~eca cnpf+HkrpVymnePa^cg`w73::1q|xgao.alv`)Je|rTyolk^c\eabu9=UX[=?i;{rvmki(kfxn#@czx^qwefmXiVkoh?9229ytpoig&id~h!Bmtz\wqgdcVkTmij}17]PS57a3sz~eca cnpf+HkrpVymnePa^cg`w7?::1q|xgao.alv`)Je|rTyolk^c\eabu91UX[=?j;{rvmki(kfxn#@czx^qwefmXiVkoh<>f:xsqlhh'jeyi"Cbuy]ppdelWhUjhi|=0318~usnff%hck Mlw{[vrfkbUjSljkr32\WR66n2p{yd``/bmqa*Kj}qUxxlmd_`]b`at5:;90v}{fnn-`kwc(EdsS~zncj]b[dbcz;8T_Z>=2:xsqlhh'jeyi"Cbuy]ppdelWhUjhi|=_RU35`=}x|cec"m`rd-Nip~X{}khgRoPaefq0746tuhll+fium&GfyuQ|t`ah[dYflmx=S^Y?1d9ytpoig&id~h!Bmtz\wqgdcVkTmij}8308~usnff%hck Mlw{[vrfkbUjSljkr9]PS5473sz~eca cnpf+HkrpVymnePa^gmegjb9;80v}{fnn-`kwc(EdsS~zncj]b[`hfjeo:=<<=;{rvmki(kfxn#@czx^qwefmXiVoemobj10017>|w}`dd#na}e.Onq}Yt|hi`SlQjn``oa4759;?0v}{fnn-`kwc(EdsS~zncj]b[`hfjeo:=??P1308~usnff%hck Mlw{[vrfkbUjSh`nbmg256433sz~eca cnpf+HkrpVymnePa^gmegjb9VY\<|w}`dd#na}e.Onq}Yt|hi`SlQxrhvf5576:91q|xgao.alv`)Je|rTyolk^c\swosm8;9=6tuhll+fium&GfyuQ|t`ah[dYpz`~n=;{rvmki(kfxn#@czx^qwefmXiV}yeyk>5002?vrage$ob|j/Lov|ZusijaTmRy}iug224453sz~eca cnpf+HkrpVymnePa^uqmqc6>8;9=6tuhll+fium&GfyuQ|t`ah[dYpz`~n=:?=1:xsqlhh'jeyi"Cbuy]ppdelWhU|~dzj19315>|w}`dd#na}e.Onq}Yt|hi`SlQxrhvf5<7592p{yd``/bmqa*Kj}qUxxlmd_`]tvlrb:9;9<6tuhll+fium&GfyuQ|t`ah[dYpz`~n><<>;{rvmki(kfxn#@czx^qwefmXiV}yeyk=1002?vrage$ob|j/Lov|ZusijaTmRy}iug164463sz~eca cnpf+HkrpVymnePa^uqmqc5;88:7w~ziom,gjtb'Dg~tR}{abi\eZqua}o98<<>;{rvmki(kfxn#@czx^qwefmXiV}yeyk=5002?vrage$ob|j/Lov|ZusijaTmRy}iug124463sz~eca cnpf+HkrpVymnePa^uqmqc5?88:7w~ziom,gjtb'Dg~tR}{abi\eZqua}o94<<>;{rvmki(kfxn#@czx^qwefmXiV}yeyk=9002?vrage$ob|j/Lov|ZusijaTmRy}iug044463sz~eca cnpf+HkrpVymnePa^uqmqc48;897w~ziom,gjtb'Dg~tR}{abi\eZqua}o8;{rvmki(kfxn#@czx^qwefmXiV}yeyk<2003?vrage$ob|j/Lov|ZusijaTmRy}iug07762p{yd``/bmqa*Kj}qUxxlmd_`]tvlrbmgki`h?>279ytpoig&id~h!Bmtz\wqgdcVkT{g{edlbfic6:;k0v}{fnn-`kwc(EdsS~zncj]b[rtn|loemobj13]PS5413sz~eca cnpf+HkrpVymnePa^uqmqcbfhhgi??=7:xsqlhh'jeyi"Cbuy]ppdelWhU|~dzjeocah`469;<0v}{fnn-`kwc(EdsS~zncj]b[rtn|loemobj2305?vrage$ob|j/Lov|ZusijaTmRy}iugfjddkm:;9:6tuhll+fium&GfyuQ|t`ah[dYpz`~nicomld625`=}x|cec"m`rd-Nip~X{}khgRlPaefq576|w}`dd#na}e.Onq}Yt|hi`SoQndep754`897w~ziom,gjtb'Dg~tR}{abi\fZgcl{=T_Z>>e:xsqlhh'jeyi"Cbuy]ppdelWkUjhi|7239ytpoig&id~h!Bmtz\wqgdcVhTmij}8^QT44c:RaPmtz\3<=9;{rvmki(kfxn#@czx^vLtficmVyn`V?R_FLG[00XgVg~tR96_NWW5274?2p{yd``/bmqa*Kj}qUC}m`dd]pai]6UVMEHR;9_n]nq}Y01VE^X<9>1278~usnff%hck Mlw{[qIwkfnnS~kc[0_\CKBX=?UdS`{w_91\KPR6?:<0v}{fnn-`kwc(EdsSyAcnff[vckS8WTKCJP57]l[hsW19TCXZ>7015?vrage$ob|j/Lov|ZrHxjeoiR}jlZ3^[BHCW<88:6tuhll+fium&GfyuQ{Oqal``YtmeQ:QRIAD^75[jYj}qU3?RAZT05073=}x|cec"m`rd-Nip~X|FzhcikPsdnX5XY@FMU>:RaPmtz\<6YH]];<8>84zqwjjj)dg{o$A`{w_uMsgjbbWzogW4WF__=:8<6:xsqlhh'jeyi"Cbuy]wKuehllUxiaU>]^EM@Z31WfUfyuQ73^MVP4>6;>1q|xgao.alv`)Je|rTxB~loeg\w`j\9TULBIQ:6^m\ip~X0:UDYY?71314?vrage$ob|j/Lov|ZrHxjeoiR}jlZ3^[BHCW<Q@UU3;53YA;01q|xgao.alv`)Je|rTxB~loeg\w`j\9TULBIQ:6^m\ip~X0:UDYY?717]D7g=}x|cec"m`rd-Nip~X|FzhcikPsdnX5XY@FMU>:RaPmtz\<6YH]];3=;Q\W103?vrage$ob|j/Lov|ZqXagCexh|}uqv2577|w}`dd#na}e.Onq}YpW}ex==??1`9ytpoig&id~h!Bmtz\sZrh{8::=|w}`dd#na}e.Onq}YpW}ex==?>50`8~usnff%hck Mlw{[rYsgz;;=<8>b:xsqlhh'jeyi"Cbuy]t[qit99;:;a:xsqlhh'jeyi"Cbuy]t[qit99;==h5uptkmk*ehzl%FaxvPw^vlw466>VY\<|w}`dd#na}e.Onq}YpW}ex==<>189ytpoig&id~h!Bmtz\sZrh{8:8=l5uptkmk*ehzl%FaxvPw^vlw4649830v}{fnn-`kwc(EdsSzQ{or3304g:30c8~usnff%hck Mlw{[rYsgz;;99?n;{rvmki(kfxn#@czx^u\pju68<=:i6tuhll+fium&GfyuQx_ump5530WZ];=l5uptkmk*ehzl%FaxvPw^vlw46208k0v}{fnn-`kwc(EdsSzQ{or331<7>3sz~eca cnpf+HkrpV}Txb}>073:?vrage$ob|j/Lov|ZqX|fy:<:?6;{rvmki(kfxn#@czx^u\pju681;27w~ziom,gjtb'Dg~tRyPtnq24<7f3sz~eca cnpf+HkrpV}Txb}>0832f>|w}`dd#na}e.Onq}YpW}ex==7>00`8~usnff%hck Mlw{[rYsgz;;5c:xsqlhh'jeyi"Cbuy]t[qit993:=9>;:m6tuhll+fium&GfyuQx_ump55?59h1q|xgao.alv`)Je|rT{Rz`s02:74ga:xsqlhh'jeyi"Cbuy]t[qit993==l5uptkmk*ehzl%FaxvPw^vlw46>?8k0v}{fnn-`kwc(EdsSzQ{or33==7f3sz~eca cnpf+HkrpV}Txb}>08;2e>|w}`dd#na}e.Onq}YpW}ex=<:>1c9ytpoig&id~h!Bmtz\sZrh{8;?==?m;{rvmki(kfxn#@czx^u\pju69=;:=o5uptkmk*ehzl%FaxvPw^vlw4739;;i7w~ziom,gjtb'Dg~tRyPtnq251749k1q|xgao.alv`)Je|rT{Rz`s037517a3sz~eca cnpf+HkrpV}Txb}>1537[VQ79h1q|xgao.alv`)Je|rT{Rz`s03764c43]PS57f3sz~eca cnpf+HkrpV}Txb}>1512e>|w}`dd#na}e.Onq}YpW}ex=<:;1`9ytpoig&id~h!Bmtz\sZrh{8;?998;i7w~ziom,gjtb'Dg~tRyPtnq25<759k1q|xgao.alv`)Je|rT{Rz`s03:567e3sz~eca cnpf+HkrpV}Txb}>18375d=}x|cec"m`rd-Nip~XV~da:xsqlhh'jeyi"Cbuy]t[qit983?=l5uptkmk*ehzl%FaxvPw^vlw47>=8k0v}{fnn-`kwc(EdsSzQ{or32=37f3sz~eca cnpf+HkrpV}Txb}>1852e>|w}`dd#na}e.Onq}YpW}ex=<771b9ytpoig&id~h!Bmtz\sZrh{8;24RH>c:xsqlhh'jeyi"Cbuy]t[qit9833SJ?n;{rvmki(kfxn#@czx^u\pju6903:m6tuhll+fium&GfyuQx_ump57269k1q|xgao.alv`)Je|rT{Rz`s007557e3sz~eca cnpf+HkrpV}Txb}>25325g=}x|cec"m`rd-Nip~XV~d<<;133a?vrage$ob|j/Lov|ZqX|fy:>9?<1c9ytpoig&id~h!Bmtz\sZrh{88?=9?i;{rvmki(kfxn#@czx^u\pju6:=;?S^Y?1`9ytpoig&id~h!Bmtz\sZrh{88?>99>a:xsqlhh'jeyi"Cbuy]t[qit9;>3=l5uptkmk*ehzl%FaxvPw^vlw44318k0v}{fnn-`kwc(EdsSzQ{or31=47e3sz~eca cnpf+HkrpV}Txb}>28335g=}x|cec"m`rd-Nip~XV~d<<6103a?vrage$ob|j/Lov|ZqX|fy:>4?=1c9ytpoig&id~h!Bmtz\sZrh{882=>?m;{rvmki(kfxn#@czx^u\pju6:0;?=k5uptkmk*ehzl%FaxvPw^vlw44>9=UX[=?n;{rvmki(kfxn#@czx^u\pju6:08:i6tuhll+fium&GfyuQx_ump57?5WZ];=l5uptkmk*ehzl%FaxvPw^vlw44>;8k0v}{fnn-`kwc(EdsSzQ{or31=17f3sz~eca cnpf+HkrpV}Txb}>2872e>|w}`dd#na}e.Onq}YpW}ex=?791`9ytpoig&id~h!Bmtz\sZrh{882;=l5uptkmk*ehzl%FaxvPw^vlw45298h0v}{fnn-`kwc(EdsSzQ{or301466j2p{yd``/bmqa*Kj}qU|Sya|127254d|w}`dd#na}e.Onq}YpW}ex=>;>30`8~usnff%hck Mlw{[rYsgz;89<:>f:xsqlhh'jeyi"Cbuy]t[qit9:?:8R]X00`8~usnff%hck Mlw{[rYsgz;89<;>b:xsqlhh'jeyi"Cbuy]t[qit9:?:;|w}`dd#na}e.Onq}YpW}ex=>;;1b9ytpoig&id~h!Bmtz\sZrh{89>8d:xsqlhh'jeyi"Cbuy]t[qit9:??=c:xsqlhh'jeyi"Cbuy]t[qit9:??=>?k;{rvmki(kfxn#@czx^u\pju6;<>:?3452f>|w}`dd#na}e.Onq}YpW}ex=>;810a8~usnff%hck Mlw{[rYsgz;89:?>1c9ytpoig&id~h!Bmtz\sZrh{89>;??l;{rvmki(kfxn#@czx^u\pju6;<=9=9:=>:o6tuhll+fium&GfyuQx_ump5630<8;j7w~ziom,gjtb'Dg~tRyPtnq270>6i2p{yd``/bmqa*Kj}qU|Sya|127:5`=}x|cec"m`rd-Nip~XV~d<=:9^QT44?4002e>|w}`dd#na}e.Onq}YpW}ex=9?<1c9ytpoig&id~h!Bmtz\sZrh{8>:?:m6tuhll+fium&GfyuQx_ump5171901q|xgao.alv`)Je|rT{Rz`s0655d=}x|cec"m`rd-Nip~XV~d<:910`8~usnff%hck Mlw{[rYsgz;?:<>>b:xsqlhh'jeyi"Cbuy]t[qit9=<:=88:m6tuhll+fium&GfyuQx_ump51059h1q|xgao.alv`)Je|rT{Rz`s06574ga:xsqlhh'jeyi"Cbuy]t[qit9=<==l5uptkmk*ehzl%FaxvPw^vlw421?8k0v}{fnn-`kwc(EdsSzQ{or372=7f3sz~eca cnpf+HkrpV}Txb}>47;2<>|w}`dd#na}e.Onq}YpW}ex=8?6;{rvmki(kfxn#@czx^u\pju6=8;j7w~ziom,gjtb'Dg~tRyPtnq21466i2p{yd``/bmqa*Kj}qU|Sya|14325d=}x|cec"m`rd-Nip~XV~d<;>20;8~usnff%hck Mlw{[rYsgz;>><74zqwjjj)dg{o$A`{w_v]wkv72;830v}{fnn-`kwc(EdsSzQ{or3604?:<74zqwjjj)dg{o$A`{w_v]wkv72?830v}{fnn-`kwc(EdsSzQ{or36<4?9:xsqlhh'jeyi"Cbuy]t[qit:98:56tuhll+fium&GfyuQx_ump655612p{yd``/bmqa*Kj}qU|Sya|2162=>|w}`dd#na}e.Onq}YpW}ex>=;>9:xsqlhh'jeyi"Cbuy]t[qit:9<:56tuhll+fium&GfyuQx_ump651612p{yd``/bmqa*Kj}qU|Sya|21:2=>|w}`dd#na}e.Onq}YpW}ex>=7>8:xsqlhh'jeyi"Cbuy]t[qit:<;27w~ziom,gjtb'Dg~tRyPtnq1147b3sz~eca cnpf+HkrpV}Txb}=502\WR66i2p{yd``/bmqa*Kj}qU|Sya|24325d=}x|cec"m`rd-Nip~XV~d?;>20c8~usnff%hck Mlw{[rYsgz8>=>?n;{rvmki(kfxn#@czx^u\pju5=8>:o6tuhll+fium&GfyuQx_ump6073WO;h7w~ziom,gjtb'Dg~tRyPtnq1142XO8k0v}{fnn-`kwc(EdsSzQ{or06507b3sz~eca cnpf+HkrpV}Txb}=507\WR6612p{yd``/bmqa*Kj}qU|Sya|2462=>|w}`dd#na}e.Onq}YpW}ex>87>d:xsqlhh'jeyi"Cbuy]t[qit:<3T_Z>>8:xsqlhh'jeyi"Cbuy]t[qit;9;27w~ziom,gjtb'Dg~tRyPtnq0447f3sz~eca cnpf+HkrpV}Txb}<0022a>|w}`dd#na}e.Onq}YpW}ex?=??_RU35d=}x|cec"m`rd-Nip~XV~d>>>10c8~usnff%hck Mlw{[rYsgz9;=??n;{rvmki(kfxn#@czx^u\pju4889:m6tuhll+fium&GfyuQx_ump75739h1q|xgao.alv`)Je|rT{Rz`s22214c3sz~eca cnpf+HkrpV}Txb}<043:?vrage$ob|j/Lov|ZqX|fy8<4?k;{rvmki(kfxn#@czx^u\pju480UX[=?7;{rvmki(kfxn#@czx^u\pju4=830v}{fnn-`kwc(EdsSzQ{or1654gPSV22e>|w}`dd#na}e.Onq}YpW}ex?8?>1`9ytpoig&id~h!Bmtz\sZrh{:?:>;:189ytpoig&id~h!Bmtz\sZrh{:?3=45uptkmk*ehzl%FaxvPw^vlw63>9m1q|xgao.alv`)Je|rT{Rz`s27:[VQ7911q|xgao.alv`)Je|rT{Rz`s2;2=>|w}`dd#na}e.Onq}YpW}ex?4?>a:xsqlhh'jeyi"Cbuy]t[qit;0;;=l5uptkmk*ehzl%FaxvPw^vlw6?698h0v}{fnn-`kwc(EdsSzQ{or1:5476i2p{yd``/bmqa*Kj}qU|Sya|38315g=}x|cec"m`rd-Nip~XV~d>7>203a?vrage$ob|j/Lov|ZqX|fy85<=>1`9ytpoig&id~h!Bmtz\sZrh{:3:99<;:m6tuhll+fium&GfyuQx_ump7<719k1q|xgao.alv`)Je|rT{Rz`s2;2247>3sz~eca cnpf+HkrpV}Txb}<933:?vrage$ob|j/Lov|ZqX|fy85>?6;{rvmki(kfxn#@czx^u\pju41<;27w~ziom,gjtb'Dg~tRyPtnq0=37>3sz~eca cnpf+HkrpV}Txb}<963:?vrage$ob|j/Lov|ZqX|fy855?k;{rvmki(kfxn#@czx^u\pju411UX[=?6;{rvmki(kfxn#@czx^u\pju410;27w~ziom,gjtb'Dg~tRyPtnq7747f3sz~eca cnpf+HkrpV}Txb};3022e>|w}`dd#na}e.Onq}YpW}ex8>?>1`9ytpoig&id~h!Bmtz\sZrh{=9:>3sz~eca cnpf+HkrpV}Txb};323:?vrage$ob|j/Lov|ZqX|fy??9?6;{rvmki(kfxn#@czx^u\pju3;>;27w~ziom,gjtb'Dg~tRyPtnq77=7c3sz~eca cnpf+HkrpV}Txb};39]PS57>3sz~eca cnpf+HkrpV}Txb};383g?vrage$ob|j/Lov|ZqX|fy??4Q\W13:?vrage$ob|j/Lov|ZqX|fy?;3sz~eca cnpf+HkrpV}Txb};763:?vrage$ob|j/Lov|ZqX|fy?;5?k;{rvmki(kfxn#@czx^u\pju3?1UX[=?6;{rvmki(kfxn#@czx^u\pju3?0;o7w~ziom,gjtb'Dg~tRyPtnq73>20`8~usnff%hck Mlw{[rYsgz?;=??>8:xsqlhh'jeyi"Cbuy]t[qit=8;27w~ziom,gjtb'Dg~tRyPtnq6557>3sz~eca cnpf+HkrpV}Txb}:103b?vrage$ob|j/Lov|ZqX|fy>=<>>a:xsqlhh'jeyi"Cbuy]t[qit=8;:=l5uptkmk*ehzl%FaxvPw^vlw076:8k0v}{fnn-`kwc(EdsSzQ{or72567f3sz~eca cnpf+HkrpV}Txb}:1062e>|w}`dd#na}e.Onq}YpW}ex9;h7w~ziom,gjtb'Dg~tRyPtnq657Ydq8n0v}{fnn-`kwc(EdsSzQ{or726Ze~98n0v}{fnn-`kwc(EdsSzQ{or726Zjr|8o0v}{fnn-`kwc(EdsSzQ{or726Zjr|8;27w~ziom,gjtb'Dg~tRyPtnq6567>3sz~eca cnpf+HkrpV}Txb}:153:?vrage$ob|j/Lov|ZqX|fy>=8?6;{rvmki(kfxn#@czx^u\pju29?;27w~ziom,gjtb'Dg~tRyPtnq6527>3sz~eca cnpf+HkrpV}Txb}:193:?vrage$ob|j/Lov|ZqX|fy>=4?7;{rvmki(kfxn#@czx^u\pju2:830v}{fnn-`kwc(EdsSzQ{or7174g?<64zqwjjj)dg{o$A`{w_v]wkv33911q|xgao.alv`)Je|rT{Rz`s472<>|w}`dd#na}e.Onq}YpW}ex9;?6;{rvmki(kfxn#@czx^u\pju2>8;j7w~ziom,gjtb'Dg~tRyPtnq62466i2p{yd``/bmqa*Kj}qU|Sya|57325d=}x|cec"m`rd-Nip~XV~d88>20c8~usnff%hck Mlw{[rYsgz?==>?n;{rvmki(kfxn#@czx^u\pju2>8>:56tuhll+fium&GfyuQx_ump134612p{yd``/bmqa*Kj}qU|Sya|5712=>|w}`dd#na}e.Onq}YpW}ex9;:>9:xsqlhh'jeyi"Cbuy]t[qit=??:56tuhll+fium&GfyuQx_ump1316l2p{yd``/bmqa*Kj}qU|Sya|575\WR6612p{yd``/bmqa*Kj}qU|Sya|57:2<>|w}`dd#na}e.Onq}YpW}ex9:?7;{rvmki(kfxn#@czx^u\pju20820v}{fnn-`kwc(EdsSzQ{or7:5<=}x|cec"m`rd-Nip~XV~d;>>1`9ytpoig&id~h!Bmtz\sZrh{?::<3sz~eca cnpf+HkrpV}Txb}9093g?vrage$ob|j/Lov|ZqX|fy=<5Q\W13:?vrage$ob|j/Lov|ZqX|fy=<4?k;{rvmki(kfxn#@czx^u\pju180UX[=?6;{rvmki(kfxn#@czx^u\pju1<8;j7w~ziom,gjtb'Dg~tRyPtnq50466i2p{yd``/bmqa*Kj}qU|Sya|65325d=}x|cec"m`rd-Nip~XV~d;:>20c8~usnff%hck Mlw{[rYsgz?6;{rvmki(kfxn#@czx^u\pju1<;;27w~ziom,gjtb'Dg~tRyPtnq5067>3sz~eca cnpf+HkrpV}Txb}9453:?vrage$ob|j/Lov|ZqX|fy=8:?6;{rvmki(kfxn#@czx^u\pju1<1;o7w~ziom,gjtb'Dg~tRyPtnq50=YT_9;27w~ziom,gjtb'Dg~tRyPtnq50<7c3sz~eca cnpf+HkrpV}Txb}948]PS57>3sz~eca cnpf+HkrpV}Txb}9803b?vrage$ob|j/Lov|ZqX|fy=4<>>a:xsqlhh'jeyi"Cbuy]t[qit>1;:=l5uptkmk*ehzl%FaxvPw^vlw3>6:8k0v}{fnn-`kwc(EdsSzQ{or4;567>3sz~eca cnpf+HkrpV}Txb}9833:?vrage$ob|j/Lov|ZqX|fy=4>?6;{rvmki(kfxn#@czx^u\pju10=;27w~ziom,gjtb'Dg~tRyPtnq5<=7c3sz~eca cnpf+HkrpV}Txb}989]PS57>3sz~eca cnpf+HkrpV}Txb}9883a?vrage$ob|j/Lov|ZqX|fy=44QI1c9ytpoig&id~h!Bmtz\sZrh{?22SJ?7;{rvmki(kfxn#@czx^u\pju0:830v}{fnn-`kwc(EdsSzQ{or5154g9:xsqlhh'jeyi"Cbuy]t[qit?;8:56tuhll+fium&GfyuQx_ump375612p{yd``/bmqa*Kj}qU|Sya|7362=>|w}`dd#na}e.Onq}YpW}ex;?8>9:xsqlhh'jeyi"Cbuy]t[qit?;=:h6tuhll+fium&GfyuQx_ump371X[^::56tuhll+fium&GfyuQx_ump37>612p{yd``/bmqa*Kj}qU|Sya|73;2<>|w}`dd#na}e.Onq}YpW}ex;;?6;{rvmki(kfxn#@czx^u\pju0>8;j7w~ziom,gjtb'Dg~tRyPtnq42466i2p{yd``/bmqa*Kj}qU|Sya|77325<=}x|cec"m`rd-Nip~XV~d:8=189ytpoig&id~h!Bmtz\sZrh{><8=45uptkmk*ehzl%FaxvPw^vlw203901q|xgao.alv`)Je|rT{Rz`s6455<=}x|cec"m`rd-Nip~XV~d:881e9ytpoig&id~h!Bmtz\sZrh{><<3=45uptkmk*ehzl%FaxvPw^vlw20>911q|xgao.alv`)Je|rT{Rz`s922=>|w}`dd#na}e.Onq}YpW}ex4=?>a:xsqlhh'jeyi"Cbuy]t[qit09;;=l5uptkmk*ehzl%FaxvPw^vlw=669830v}{fnn-`kwc(EdsSzQ{or:364?7>830v}{fnn-`kwc(EdsSzQ{or:334b3901q|xgao.alv`)Je|rT{Rz`s9625d=}x|cec"m`rd-Nip~XV~d5:>00c8~usnff%hck Mlw{[rYsgz2?=3sz~eca cnpf+HkrpV}Txb}7453:?vrage$ob|j/Lov|ZqX|fy38;?6;{rvmki(kfxn#@czx^u\pju?<>;o7w~ziom,gjtb'Dg~tRyPtnq;02YT_9;27w~ziom,gjtb'Dg~tRyPtnq;0=7>3sz~eca cnpf+HkrpV}Txb}7483;?vrage$ob|j/Lov|ZqX|fy34<74zqwjjj)dg{o$A`{w_v]wkv>?98k0v}{fnn-`kwc(EdsSzQ{or:;557f3sz~eca cnpf+HkrpV}Txb}78032=>|w}`dd#na}e.Onq}YpW}ex45<>9:xsqlhh'jeyi"Cbuy]t[qit019:56tuhll+fium&GfyuQx_ump<=2612p{yd``/bmqa*Kj}qU|Sya|8942=>|w}`dd#na}e.Onq}YpW}ex459>d:xsqlhh'jeyi"Cbuy]t[qit01=T_Z>>9:xsqlhh'jeyi"Cbuy]t[qit012:56tuhll+fium&GfyuQx_ump<=?602p{yd``/bmqa*Kj}qU|Sya|933:?vrage$ob|j/Lov|ZqX|fy2>:8::m6tuhll+fium&GfyuQx_ump=776901q|xgao.alv`)Je|rT{Rz`s8015<=}x|cec"m`rd-Nip~XV~d4<<189ytpoig&id~h!Bmtz\sZrh{08?=45uptkmk*ehzl%FaxvPw^vlw<41901q|xgao.alv`)Je|rT{Rz`s8045a=}x|cec"m`rd-Nip~XV~d4<8_RU35<=}x|cec"m`rd-Nip~XV~d4<7189ytpoig&id~h!Bmtz\sZrh{082=55uptkmk*ehzl%FaxvPw^vlw<0612p{yd``/bmqa*Kj}qU|Sya|9732e>|w}`dd#na}e.Onq}YpW}ex5;??1`9ytpoig&id~h!Bmtz\sZrh{0<:=<74zqwjjj)dg{o$A`{w_v]wkv?1:830v}{fnn-`kwc(EdsSzQ{or;574?031=>|w}`dd#na}e.Pfw`rXG[UO~dxjr@LGGiidcLdjnak=a:xsqlhh'jeyi"\jsdv\KWYCz`|n~L@KCmm`o@hfjeo:8l5uptkmk*ehzl%TSRVCNL]\[5YXWDida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\hprca=n0v}{fnn-`kwc(WVUS@CCP_^33[ZYJkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao68=n0v}{fnn-`kwc(WVUS@CCP_^32[ZYJkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao69=n0v}{fnn-`kwc(WVUS@CCP_^31[ZYJkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao6:=n0v}{fnn-`kwc(WVUS@CCP_^30[ZYJkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao6;=n0v}{fnn-`kwc(WVUS@CCP_^37[ZYJkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao6<=h0v}{fnn-`kwc(WVUS@CCP_^35[ZYJkfg{mQaorY05XYbp{Uc~U<1\]OavuS`hdT>?>Po^nvpao3k2p{yd``/bmqa*YXWQFEARQP16]\[HeheykySca|[23^[`~uW}exW>?R_MgpwQnffV898^]\IfijxhxTbb}T30_\a}tX|fyP?XWVGhc`~nr^llw^56UVos~Rz`sZ12YZJb{z^cmcQ=21]l[issl`;98i5uptkmk*ehzl%TSRVCNL]\[7?XWVGhc`~nr^llw^56UVos~Rz`sZ12YZJb{z^cmcQ=21]l[issl`;88o5uptkmk*ehzl%TSRVCNL]\[7YXWDida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\hprca;>o7w~ziom,gjtb'VUTTA@B_^]04ZYXEjef|l|PnnqX74[XmqxTxb}T30_\H`ut\akeS?i7w~ziom,gjtb'VUTTA@B_^]0[ZYJkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao4?R_MgpwQnffV8:4RaPltvgm12e3sz~eca cnpf+ZYXPEDFSRQ:_^]Ngjkwi{UntQ{orY05XYigzQ8=PQCerqWldhX:82TcRbztek60g=}x|cec"m`rd-\[Z^KFDUTS;QP_LaliuguWlrySya|[23^[kitS:;VSAk|sUjbjZ460VeT`xzki76a?vrage$ob|j/^]\\IHJWVUXgVf~xig84c9ytpoig&id~h!P_^ZOJHYXW1UTS@m`mqcq[`~uW}exW>?R_omp_67ZWEoxYfnn^02WVUFobcas]f|wYsgzQ8=PQaorY05XYKmzy_dl`P20:\kZjr|mc2=?5uptkmk*ehzl%Tb=962048~usnff%hck _o24=7:268;37w~ziom,gjtb'Vd;;4<35?3\5418:xsqlhh'jeyi"Qa1120[lhq98;37w~ziom,gjtb'Vd:<==Piot264?<74zqwjjj)dg{o$Sc??02]jjs7X;8h0v}{fnn-`kwc(Wg;;<>Qfnw3\WR66n2p{yd``/bmqa*Yi99:8Sd`y20]qwqvh|8o0v}{fnn-`kwc(Wg;;<>Qfnw0\vvrwg};n7w~ziom,gjtb'Vd:<86Piot2[wusxf~::6tuhll+fium&Ue=<;=_hlu52=}x|cec"m`rd-\j472:Vcez140\mkp59?1q|xgao.alv`)Xf8;<9Rgav058~usnff%hck _o3230Ynf;:i6tuhll+fium&Ue=??>_hlu5Ztt|ye=h5uptkmk*ehzl%Tb<<<2^kmr4Yu{}zdx<84zqwjjj)dg{o$Sc?=58]jjs703sz~eca cnpf+Zh6:<3Tecx>179ytpoig&id~h!Pn00:6Zoi~8=0v}{fnn-`kwc(Wg;95?Qfnw32<>|w}`dd#na}e.]m57?5W`d}=280\mkp5911q|xgao.alv`)Xf882>Rgav332<>|w}`dd#na}e.]m57?5W`d}>??7;{rvmki(kfxn#R`>280\mkp5;820v}{fnn-`kwc(Wg;95?Qfnw0752=}x|cec"m`rd-\j44>:Vcez9?m;{rvmki(kfxn#R`>280\mkp3WZ]:=h5uptkmk*ehzl%Tb<<62^kmrZUP8VY\<<<4zqwjjj)dg{o$Sc?<1735?vrage$ob|j/^l2051Xag|:;6tuhll+fium&Ue=9>8_hlu54>Ubb{?<189ytpoig&id~h!Pn0633Zoi~89:=:5uptkmk*ehzl%Tb<:?7^kmr7703sz~eca cnpf+Zh6<9=Tecx<169ytpoig&id~h!Pn0633Zoi~=;=7w~ziom,gjtb'Vd:8?|w}`dd#na}e.]m5145W`d}S^Y>139ytpoig&id~h!Pn061745|w}`dd#na}e.]m5141W`d}=<64zqwjjj)dg{o$Sc?;27]jjs769<1q|xgao.alv`)Xf8>2>1>1149ytpoig&id~h!Pn06:69799<1q|xgao.alv`)Xf8>2>1<1179ytpoig&id~h!Pn076390998=0v}{fnn-`kwc(Wg;>9:29>0326>|w}`dd#na}e.]m524>9<1q|xgao.alv`)Xf8=951;1169ytpoig&id~h!Pn051=939WO;97w~ziom,gjtb'Vd989;>3:xsqlhh'jeyi"Qa2566544?5uptkmk*ehzl%hmnfj/LalqkrXnexdn`{1308~usnff%hck c`aka*Kdg|dSkbzsiamp7453sz~eca cnpf+fgd`l%Fob{at^doqvndf}99>6tuhll+fium&ijoek MbmvjqYad|ycocz;239ytpoig&id~h!labjf+Heh}g~Tja{|hblw1743:475i2p{yd``/bmqa*efkao$Anaznu]ehpuokg~Ttb|31?326d=}x|cec"m`rd-`efnb'DidyczPfmwplfhsWqey0?0>13c8~usnff%hck c`aka*Kdg|dSkbzsiampZ~hz595=<|w}`dd#na}e.abgmc(Eje~byQiltqkgkrXpfx7:3?PSV22b>|w}`dd#na}e.abgmc(Eje~byQ`fgamp761:475m2p{yd``/bmqa*efkao$Anaznu]lbcei|V}yeykPxnp?7;76:11q|xgao.alv`)dijbn#@m`uov\kc`df}Usc2?>031<>|w}`dd#na}e.abgmc(Eje~byQ`fgampZ~hz5;5=<<7;{rvmki(kfxn#nolhd-Ngjsi|Vemjn`{_ymq87869;20v}{fnn-`kwc(khici"Clotlw[j`akg~Ttb|33?326==}x|cec"m`rd-`efnb'DidyczPogd`jqYg{6?26_n326<=}x|cec"m`rd-`efnb'Dg~tRmncig\eabuW{ojh<>>289ytpoig&id~h!labjf+HkrpVijoekPaefq[wcfl8;:>55uptkmk*ehzl%hmnfj/Lov|ZefkaoTmij}_sgb`44502p{yd``/bmqa*efkao$A`{w_bc`l`YflmxT~hok200;?vrage$ob|j/bc`l`)Je|rTolmge^cg`wYumhn8=?64zqwjjj)dg{o$olmge.Onq}YdijbnSljkr^pfea26:11q|xgao.alv`)dijbn#@czx^abgmcXimnySknd431<>|w}`dd#na}e.abgmc(EdsSnolhd]b`atXzlko:<<7;{rvmki(kfxn#nolhd-Nip~XkhiciRokds]qadb09;20v}{fnn-`kwc(khici"Cbuy]`efnbWhno~R|jae:26==}x|cec"m`rd-`efnb'Dg~tRmncig\eabuW{ojh4?<1:xsqlhh'jeyi"mncig,IhsWz~jxhU=]^Z\61YhWDsS?9Po0305>|w}`dd#na}e.abgmc(EdsS~zntdY1YZ^X:=UdS@{w_3;\k474:2p{yd``/bmqa*efkao$A`{w_rvbp`]5UVRT>9Q`_Lw{[7?Xg8;:?>5uptkmk*ehzl%hmnfj/Lov|Zusi}oP>PQW_36\kZKrpV82Sb?>103;?vrage$ob|j/bc`l`)Xf9;<:Xag|:h6tuhll+fium&ijoek _o215=Ynf;:h6tuhll+fium&ijoek _o215=Ynf8:o6tuhll+fium&ijoek _o2175Ynf;o7w~ziom,gjtb'jkhdh!Pn1004Zoi~8;j7w~ziom,gjtb'jkhdh!ncbgpwZw6>2p{yd``/bmqa*efkao$nx}v299ytpoig&id~h!labjf+gstqVoemobj_@LG[464?Ve956tuhll+fium&ijoek btqz[`hfjeoTMCJP1114[j75=2p{yd``/bmqa*efkao$olmge^cg`wYumhn7==0=5:xsqlhh'jeyi"mncig,gdeomVkohQ}e`f?5485=2p{yd``/bmqa*efkao$olmge^cg`wYumhn7=?0=4:xsqlhh'jeyi"mncig,gdeomVkohQ}e`f?6;433sz~eca cnpf+fgd`l%hmnfj_`fgvZtbim682?:4zqwjjj)dg{o$olmge.abgmcXimnySknd=6=61=}x|cec"m`rd-`efnb'jkhdhQndep\v`gc4<4986tuhll+fium&ijoek c`akaZgcl{Uyilj36?07?vrage$ob|j/bc`l`)dijbnSljkr^pfea:06;>0v}{fnn-`kwc(khici"mncig\eabuW{ojh161259ytpoig&id~h!labjf+fgd`lUjhi|Prdcg8<86j2p{yd``/bmqa*efkao$olmgelgn+72h5uptkmk*ehzl%hmnfj/bc`l`kbe&Gym`Q]AL3\mkurdh~n??k4zqwjjj)dg{o$olmge.abgmcjmd%F~lcPR@O2[lht}eki5<;;{rvmki(kfxn#nolhd-`efnbelg$Aob_SCN67cRgastnbp`15<2p{yd``/bmqa*efkao$olmgelgn+HtfeVXJA>830v}{fnn-`kwc(khici"m{af>3:4?>0;8~usnff%hck c`aka*esin692<74zqwjjj)dg{o$olmge.aweb:46830v}{fnn-`kwc(khici"m{af>7:4?0;8~usnff%hck c`aka*esin6=2<74zqwjjj)dg{o$olmge.aweb:06830v}{fnn-`kwc(khici"m{af>;:4?078~usnff%hck c`aka*e~k8o0v}{fnn-`kwc(khici"hflm]pqfeb{z;o7w~ziom,gjtb'jkhdh!iltqkgkr;87;o7w~ziom,gjtb'jkhdh!iltqkgkr;97;o7w~ziom,gjtb'jkhdh!iltqkgkr;:7;o7w~ziom,gjtb'jkhdh!iltqkgkr;;7;o7w~ziom,gjtb'jkhdh!iltqkgkr;<7;o7w~ziom,gjtb'jkhdh!iltqkgkr;=7;o7w~ziom,gjtb'jkhdh!iltqkgkr;>79;7w~ziom,gjtb'jkhdh!iltqkgkr\>TULBIQ:9^m\atsfdV2Tc>=4zqwjjj)dg{o$olmge.doqvndf}Q=QRIAD^7:[jYby|kgS5Q`<7<03>|w}`dd#na}e.abgmc(nexdn`{[7_\CKBX=0UdShzam];[j:16VY\<|w}`dd#na}e.abgmc(golhbyQ:1`9ytpoig&id~h!labjf+j`akg~T:??4zqwjjj)dg{o$olmge.mebfhsW~xbxh2=>338~usnff%hck c`aka*ianjdSz|ftd>0:772?>4zqwjjj)dg{o$olmge.mebfhsW~xbxhQ=219ytpoig&id~h!labjf+j`akg~T{g{e^114>|w}`dd#na}e.abgmc(golhbyQxrhvf[1473sz~eca cnpf+fgd`l%djkmat^uqmqcX=8l0v}{fnn-`kwc(khici"}nvd]b`at;994:j6tuhll+fium&ijoek s`tf[dbcz5;:2f:xsqlhh'jeyi"mncig,wdpbWhno~1?<>0d8~usnff%hck c`aka*uf~lUjhi|315<2b>|w}`dd#na}e.abgmc({h|nSljkr=36:4`=0>f:xsqlhh'jeyi"mncig,wdpbWhno~1<>>0d8~usnff%hck c`aka*uf~lUjhi|323<2a>|w}`dd#na}e.abgmc({h|nSljkr=0=5`=}x|cec"m`rd-`efnb'zk}iRokds>0:4c>5uptkmk*ehzl%hmnfj/rcuaZgcl{U:|w}`dd#na}e.abgmc({h|nSljkr^32[avh|8o0v}{fnn-`kwc(khici"}nvd]b`atX9;887w~ziom,gjtb'jkhdh!|awg\eabuW88Th}a{1d9ytpoig&id~h!labjf+vgqmVkohQ>3318~usnff%hck c`aka*uf~lUjhi|P12]gtjr6m2p{yd``/bmqa*efkao$lxj_`fgvZ73::1q|xgao.alv`)dijbn#~oye^cg`wY6|w}`dd#na}e.abgmc({h|nSljkr^3;66=}x|cec"m`rd-`efnb'zk}iRokds]2e:xsqlhh'jeyi"mncig,wdpbWhno~R<=229ytpoig&id~h!labjf+vgqmVkohQ=2^fskq453sz~eca cnpf+fgd`l%xm{kPaefq[7Ycxf~:h6tuhll+fium&ijoek s`tf[dbczV99>6tuhll+fium&ijoek s`tf[dbczV9Th}a{1e9ytpoig&id~h!labjf+vgqmVkohQ;239ytpoig&id~h!labjf+vgqmVkohQ;_erlp4bd:xsqlhh'jeyi"mncig,wdpbWhno~R9=2:xsqlhh'jeyi"mncig,wdpbWhno~R9Pdqmw5a=}x|cec"m`rd-`efnb'zk}iRokds];67=}x|cec"m`rd-`efnb'zk}iRokds];[avh|8n0v}{fnn-`kwc(khici"}nvd]b`atX1;80v}{fnn-`kwc(khici"}nvd]b`atX1Vn{cy=<;{rvmki(kfxn#nolhd-ppdjkW`Uxxame^CM@Z77;;Ud=R||tqmw6d=}x|cec"m`rd-`efnb'z~jxhU=]^Z\61YhWDsS?9Po3c8~usnff%hck c`aka*usi}oP>PQW_36\kZKrpV82Sb|w}`dd#na}e.abgmc({}kiRH\M^DE`6443sz~eca cnpf+fgd`l%xxlzj_GQN[C@c;$Ce>95uptkmk*ehzl%hmnfj/rvbp`YA[DUMJi="Io610>|w}`dd#na}e.abgmc({}kiRH\M^DE`6+Nf<837w~ziom,gjtb'jkhdh!|t`vf[CUJWOLo? Ga5^QT443|w}`dd#na}e.abgmc(|hmTahcPaefq8485:2p{yd``/bmqa*efkao$xliPmdo\eabu484:><5uptkmk*ehzl%hmnfj/ucd[hcjWhno~1<1239ytpoig&id~h!labjf+qg`WdofSljkr=0=577;{rvmki(kfxn#nolhd-webYjmdUjhi|34?01?vrage$ob|j/bc`l`)sinUfi`Qndep?0;7592p{yd``/bmqa*efkao$xliPmdo\eabu4<49>6tuhll+fium&ijoek t`e\i`kXimny080>209ytpoig&id~h!labjf+qg`WdofSljkr=4=67=}x|cec"m`rd-`efnb'}klS`kb_`fgv9099;;0v}{fnn-`kwc(khici"zng^ofiZbf|h622?=4zqwjjj)dg{o$olmge.vbcZkbeVnjxl26>032`>|w}`dd#na}e.abgmc(|hmTahcPeoc2a>|w}`dd#na}e.abgmc(|hmTahcPeoc25a=}x|cec"m`rd-`efnb'}klS`kb_vgm5<=}x|cec"m`rd-`efnb'}klahc 209ytpoig&id~h!labjf+qg`elg$Aob_SCN6g=}x|cec"m`rd-`efnb'}klahc Mscn[WGJW`dxyao{e00`?vrage$ob|j/bc`l`)singna"C}al]QEHYnfzgmyk>00;8~usnff%hck c`akaZbf|h6;20c8~usnff%hck c`akaZbf|h6:83?n;{rvmki(kfxn#nolhd]geqg;9<4:m6tuhll+fium&ijoekPd`vb84099h1q|xgao.alv`)dijbnSio{a=34:4g8?3b?vrage$ob|j/bc`l`Yci}k7=40>9:xsqlhh'jeyi"mncig\`drf484:m6tuhll+fium&ijoekPd`vb87699h1q|xgao.alv`)dijbnSio{a=02:4g>0>a:xsqlhh'jeyi"mncig\`drf4;>5=l5uptkmk*ehzl%hmnfj_ecwe94268k0v}{fnn-`kwc(khiciRjnt`>12;7f3sz~eca cnpf+fgd`lUomyo326<2e>|w}`dd#na}e.abgmcXlh~j0?611`9ytpoig&id~h!labjf[agsi5822<74zqwjjj)dg{o$olmge^fbpd:568k0v}{fnn-`kwc(khiciRjnt`>04;7f3sz~eca cnpf+fgd`lUomyo330<2=>|w}`dd#na}e.abgmcXlh~j0>0>9:xsqlhh'jeyi"mncig\`drf4=4:56tuhll+fium&ijoekPd`vb808612p{yd``/bmqa*efkaoThlzn<7<2=>|w}`dd#na}e.abgmcXlh~j0:0>9:xsqlhh'jeyi"mncig\`drf414:56tuhll+fium&ijoekPd`vb8<8602p{yd``/bmqa*efkaoTy|`bd07?vrage$ob|j/eg`kacDgg~yca {Oqal``:76;>0v}{fnn-`kwc(llidhhM`nuplh+rHxjeoi1?1259ytpoig&id~h!kebmgaFii|{eg"yAcnff8785<2p{yd``/bmqa*bbkfnnOb`{rnn-pJvdgmo7?3<;;{rvmki(kfxn#ikloeg@kkruge$C}m`dd>7:7295uptkmk*ehzl%oinakeBmmpwik&}E{objj<7<10>|w}`dd#na}e.ffgjbbKfd~bb!tNr`kac;?78>7w~ziom,gjtb'mohcikLoovqki(sGyidhh28>034?vrage$ob|j/eg`kacXb|g{=l5uptkmk*ehzl%oinake^nleaYtx8<0v}{fnn-`kwc(lliySo|jaj02?vrage$ob|j/eg`vZbbkfnnhB~loeg?4;463sz~eca cnpf+acdzVnnobjjdNr`kac;978:7w~ziom,gjtb'moh~Rjjcnff`Jvdgmo7>3<>;{rvmki(kfxn#iklr^ffgjbblFzhcik33?02?vrage$ob|j/eg`vZbbkfnnhB~loeg?0;463sz~eca cnpf+acdzVnnobjjdNr`kac;=78;7w~ziom,gjtb'moh~Rjjcnff`JvdgmoT|w}`dd#na}e.ffgwYcmjeoiiAcnff[7Ycxf~9<6tuhll+fium&nnoQkebmgaaIwkfnnS><:;{rvmki(kfxn#iklr^ffgjbblFzhcikP3^fskq473sz~eca cnpf+acdzVnnobjjdNr`kacX<;?0v}{fnn-`kwc(lliySikloeggKuehllU?Si~`t328~usnff%hck ddaq[acdgmooC}m`dd]660=}x|cec"m`rd-gaftXllidhhj@pbmgaZ3Xlye=o5uptkmk*ehzl%oin|Pfdv`lue;87;h7w~ziom,gjtb'moh~Rhjtbjsg97768i0v}{fnn-`kwc(lliySkk{cir`84799j1q|xgao.alv`)cmjxTjhzlhqa?5786k2p{yd``/bmqa*bbk{Umiymgpb>27;7d3sz~eca cnpf+acdzVlnxnfc=37:4e|w}`dd#na}e.ffgwYam}ic|n2>7?3`?vrage$ob|j/eg`vZ`b|jb{o1?7>0a8~usnff%hck ddaq[ccskazh0<711c9ytpoig&id~h!kebp\b`rd`yi7=3?l;{rvmki(kfxn#iklr^dfpfnwk58;27:4d|w}`dd#na}e.ffgwYam}ic|n29>0`8~usnff%hck ddaq[ccskazh0:0>b:xsqlhh'jeyi"jjcs]eaqeoxj632|w}`dd#na}e.ffgwYam}ic|nQ>10`8~usnff%hck ddaq[ccskazhS<<>b:xsqlhh'jeyi"jjcs]eaqeoxjU:?:n6tuhll+fium&nnoQieuaktfY6=8h0v}{fnn-`kwc(lliySkk{cir`[406j2p{yd``/bmqa*bbk{Umiymgpb]234d|w}`dd#na}e.ffgwYam}ic|nQ>90c8~usnff%hck ddaq[ccskazhS??m;{rvmki(kfxn#iklr^dfpfnwkV8;=o5uptkmk*ehzl%oin|Pfdv`lueX:8;i7w~ziom,gjtb'moh~RhjtbjsgZ459h1q|xgao.alv`)cmjxTjhzlhqa\74ga:xsqlhh'jeyi"jjcs]eaqeoxjU==l5uptkmk*ehzl%oin|Pfdv`lueX?8k0v}{fnn-`kwc(lliySkk{cir`[=7f3sz~eca cnpf+acdzVlnxnfc^;27>|w}`dd#na}e.ffgwYne;<0v}{fnn-`kwc(lliySdcPGOF\13YhWD_SS?7:_n3b?vrage$ob|j/eg`vZiwkfnn0=0>a:xsqlhh'jeyi"jjcs]ltficm5;5=l5uptkmk*ehzl%oin|Poqal``:568k0v}{fnn-`kwc(lliySb~loeg?7;7f3sz~eca cnpf+acdzVe{objj<5<2e>|w}`dd#na}e.ffgwYhxjeoi1;11`9ytpoig&id~h!kebp\kuehll6=2<74zqwjjj)dg{o$hhm}_nr`kacX88o0v}{fnn-`kwc(lliySb~loeg\4Zbwg};27w~ziom,gjtb'moh~Racnff[47b3sz~eca cnpf+acdzVe{objj_0]gtjr612p{yd``/bmqa*bbk{Ud|nake^02a>|w}`dd#na}e.ffgwYhxjeoiR058~usnff%hck ddaq[ue;994:;6tuhll+fium&nnoQc=32:417:xsqlhh'jeyi"jjcs]sg97468=0v}{fnn-`kwc(lliyS}m315<23>|w}`dd#na}e.ffgwYwk5;>2<94zqwjjj)dg{o$hhm}_qa?5386?2p{yd``/bmqa*bbk{U{o1?8>058~usnff%hck ddaq[ue;914:;6tuhll+fium&nnoQc=3::401q|xgao.alv`)cmjxT|n2=1?34?vrage$ob|j/eg`vZvd4;85=;5uptkmk*ehzl%oin|Ppb>1:402p{yd``/bmqa*bbk{U{o1;1179ytpoig&id~h!kebp\tf:168<0v}{fnn-`kwc(lliyS}m37?35?vrage$ob|j/eg`vZvd414::6tuhll+fium&nnoQc=;=50=}x|cec"m`rd-gaftXxjU;=l5uptkmk*ehzl%oin|Ppb]3[avh|8?0v}{fnn-`kwc(lliyS}mP1048~usnff%hck ddaq[ueX99;i7w~ziom,gjtb'moh~R~l_02\`uis9?1q|xgao.alv`)cmjxT|nQ>10`8~usnff%hck ddaq[ueX98Uo|bz>6:xsqlhh'jeyi"jjcs]sgZ759k1q|xgao.alv`)cmjxT|nQ>2^fskq713sz~eca cnpf+acdzVzhS<=>b:xsqlhh'jeyi"jjcs]sgZ74Wmzdx<84zqwjjj)dg{o$hhm}_qa\517e3sz~eca cnpf+acdzVzhS<:Pdqmw53=}x|cec"m`rd-gaftXxjU:9=Qkpnv22>|w}`dd#na}e.ffgwYwkV8:=o5uptkmk*ehzl%oin|Ppb]15Zbwg};=7w~ziom,gjtb'moh~R~l_302f>|w}`dd#na}e.ffgwYwkV89Si~`t0c8~usnff%hck ddaq[ueX:Vn{cy?:;{rvmki(kfxn#iklr^r`[67f3sz~eca cnpf+acdzVzhS>Qkpnv21>|w}`dd#na}e.ffgwYwkV>:m6tuhll+fium&nnoQc^6\`uis9<1q|xgao.alv`)cmjxT|nQ:1`9ytpoig&id~h!kebp\tfY2Wmzdx<;4zqwjjj)dg{o$hhm}_qa\24g;j7w~ziom,gjtb'moh~R~l_6]gtjr6=2p{yd``/bmqa*bbk{U{oR6>a:xsqlhh'jeyi"jjcs]sgZ>Xlye=85uptkmk*ehzl%oin|Ppb]:5d=}x|cec"m`rd-gaftXxjU2Si~`t0;8~usnff%hck ddaq[uenf}6;20c8~usnff%hck ddaq[uenf}6:83?n;{rvmki(kfxn#iklr^r`mkr;9<4:m6tuhll+fium&nnoQchlw84099h1q|xgao.alv`)cmjxT|ngat=34:4g8?3b?vrage$ob|j/eg`vZvdag~7=40>9:xsqlhh'jeyi"jjcs]sglhs484:m6tuhll+fium&nnoQchlw87699h1q|xgao.alv`)cmjxT|ngat=02:4g3?6;{rvmki(kfxn#iklr^r`mkr;;7;27w~ziom,gjtb'moh~R~liov?0;7>3sz~eca cnpf+acdzVzhecz35?3:?vrage$ob|j/eg`vZvdag~7:3?6;{rvmki(kfxn#iklr^r`mkr;?7;27w~ziom,gjtb'moh~R~liov?<;7>3sz~eca cnpf+acdzVzhecz39?3;?vrage$ob|j/eg`vZvdag~T<10g8~usnff%hck ddaq[uenf}U:=Rjou3:?vrage$ob|j/eg`vZvdag~T=??j;{rvmki(kfxn#iklr^r`mkrX9;Uo|bz>9:xsqlhh'jeyi"jjcs]sglhsW89:i6tuhll+fium&nnoQchlw[45Xlye=45uptkmk*ehzl%oin|PpbkmpZ739l1q|xgao.alv`)cmjxT|ngat^37[avh|830v}{fnn-`kwc(lliyS}mfnu]214c5^fskq7>3sz~eca cnpf+acdzVzheczP173f?vrage$ob|j/eg`vZvdag~T=;Qkpnv2=>|w}`dd#na}e.ffgwYwk`dS<9>e:xsqlhh'jeyi"jjcs]sglhsW8=Th}a{189ytpoig&id~h!kebp\tfoi|V;3=h5uptkmk*ehzl%oin|PpbkmpZ7?Wmzdx<74zqwjjj)dg{o$hhm}_qajjqY618o0v}{fnn-`kwc(lliyS}mfnu]2=Zbwg};o7w~ziom,gjtb'moh~R~liov\5Zbwg};37w~ziom,gjtb'moh~R~liov\64?9:xsqlhh'jeyi"jjcs]sglhsW;8:i6tuhll+fium&nnoQchlw[74Xlye=i5uptkmk*ehzl%oin|PpbkmpZ4Xlye=55uptkmk*ehzl%oin|PpbkmpZ56l2p{yd``/bmqa*bbk{U{od`{_2]gtjr602p{yd``/bmqa*bbk{U{od`{_53g?vrage$ob|j/eg`vZvdag~T8Rjou3;?vrage$ob|j/eg`vZvdag~T9|w}`dd#na}e.ffgwYwk`dS:Qkpnv2<>|w}`dd#na}e.ffgwYwk`dS5?k;{rvmki(kfxn#iklr^r`mkrX0Vn{cy?7;{rvmki(kfxn#iklr^r`mkrX18n0v}{fnn-`kwc(lliyS}mfnu]:[avh|8i0v}{fnn-`kwc(lliyS~~@fgqfp9699j1q|xgao.alv`)cmjxT}Aifrgw8486k2p{yd``/bmqa*bbk{Ux|Bhisdv?6;7d3sz~eca cnpf+acdzVy{Ckh|eu>0:4e|w}`dd#na}e.ffgwYtxFlmhzP1^fskq7e3sz~eca cnpf+acdzVy{Ckh|eu]165=}x|cec"m`rd-gaftX{yEmj~k{_3]gtjr6j2p{yd``/bmqa*bbk{Ux|Bhisdv\776|w}`dd#na}e.ffgwYt|hi`C}k}aukljZ65>2p{yd``/bmqa*bbk{UxxlmdOqgqeqohfV:Th}a{209ytpoig&id~h!kebp\wqgdcFzn~lzfoo]263=}x|cec"m`rd-gaftX{}khgB~jr`vjkkY6Wmzdx?m4zqwjjj)dg{o$hhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud>h5uptkmk*ehzl%oin|Psucwa^7ZWmoh~Rl}e`i\Ip~X9<8Tc>64zqwjjj)dg{o$hhm}_rvbp`]6UVzhbhv{[30^[rocmVg~tR?;5^MVP969;01q|xgao.alv`)cmjxTyo{eZ3^[ueimq~P>?SPwhff[hsW8>>SB[[<02=7<=}x|cec"m`rd-gaftX{}kiV?R_qama}r\:;WT{djj_lw{[422WF__0?SPwhff[hsW8>>SB[[<06=7<=}x|cec"m`rd-gaftX{}kiV?R_qama}r\:;WT{djj_lw{[422WF__0<;1389ytpoig&id~h!kebp\wqgsmR;VS}maeyvX67[X`nnS`{w_066[JSS48<5?45uptkmk*ehzl%oin|Psucwa^7ZWyieiuzT23_\slbbWdsS<::_NWW8419;01q|xgao.alv`)cmjxTyo{eZ3^[ueimq~P>?SPwhff[hsW8>>SB[[<0:=7<=}x|cec"m`rd-gaftX{}kiV?R_qama}r\:;WT{djj_lw{[422WF__0<71399ytpoig&id~h!kebp\wqgsmR;VS}maeyvX67[X`nnS`{w_066[JSS484856tuhll+fium&nnoQ|t`vf_4[XxjdntyU=2\]tmacXe|rT=9;POTV?658412p{yd``/bmqa*bbk{Uxxlzj[0_\tfhbp}Q9>PQxieg\ip~X9=?TCXZ320<0=>|w}`dd#na}e.ffgwYt|h~nW?0<8:xsqlhh'jeyi"jjcs]ppdrbS8WT|n`jxuY16XYpamoTaxvP157\KPR;:7937w~ziom,gjtb'moh~R}{augX5XYwkgosxV<=]^uj``Yj}qU:88Q@UU>0:6>]^r`j`~sS;8VSzgke^ov|Z73=VE^X1:1399ytpoig&id~h!kebp\wqgsmR;VS}maeyvX67[X`nnS`{w_066[JSS4<4846tuhll+fium&nnoQ|t`vf_4[XxjdntyU=2\]tmacXe|rT=9;POTV?2;5?3sz~eca cnpf+acdzVymykT1\]sgkc|R89QRyfdd]nq}Y6<2:8~usnff%hck ddaq[vrf|lQ:QR~lndzw_74ZW~coiRczx^371ZIR\525?55uptkmk*ehzl%oin|Psucwa^7ZWyieiuzT23_\slbbWdsS<::_NWW8<86n2p{yd``/bmqa*bbk{Uxxlzj_GQN[C@c:;80v}{fnn-`kwc(lliyS~zntd]EWHYANm8&Ec<<;{rvmki(kfxn#iklr^qweqcXNZGTJKj=-Hl25a=}x|cec"m`rd-gaftX|fyXcx|le=2=5a=}x|cec"m`rd-gaftX|fyXcx|le=3=5a=}x|cec"m`rd-gaftX|fyXcx|le=0=5a=}x|cec"m`rd-gaftX|fyXcx|le=1=5a=}x|cec"m`rd-gaftX|fyXcx|le=6=64=}x|cec"m`rd-gaftX|fyXcx|le^2\FWD7:?1q|xgao.alv`)cmjxTxb}\otp`aZ6XJ[H;Si~`t338~usnff%hck ddaq[qit[fyohQ?_CPA570;{rvmki(kfxn#iklr^vlwVirzjoT;5uptkmk*ehzl%oin|PtnqPkptdmV:TN_L;_erlp77|w}`dd#na}e.ffgwYsgzYdymj_0]AVG4Xlye><5uptkmk*ehzl%oin|PtnqPkptdmV;TN_L<279ytpoig&id~h!kebp\pjuTg|xhiR?PBS@0[avh|;;0v}{fnn-`kwc(lliySya|Snwqg`Y6WKXI8?84zqwjjj)dg{o$hhm}_umpWjsuklU:SO\M4^fskq463sz~eca cnpf+acdzV~d^azrbg\5ZDUJ<8=7w~ziom,gjtb'moh~Rz`sRmvvfcX9VHYN8Qkpnv15>|w}`dd#na}e.ffgwYsgzYdymj_3]AVG65>2p{yd``/bmqa*bbk{Uc~]`usaf[7YEZK:Th}a{209ytpoig&id~h!kebp\pjuTg|xhiR<9;{rvmki(kfxn#iklr^vlwVirzjoT>RL]B2]gtjr592p{yd``/bmqa*bbk{Uc~]`usaf[7YEZK>9:6tuhll+fium&nnoQ{orQlqwebW;UI^O:Pdqmw64=}x|cec"m`rd-gaftX|fyXcx|le^0\FWD2:?1q|xgao.alv`)cmjxTxb}\otp`aZ4XJ[H>Si~`t338~usnff%hck ddaq[qit[fyohQ<_CPA470;{rvmki(kfxn#iklr^vlwVirzjoT?RL]B005?vrage$ob|j/eg`vZrh{Ze~~nkP3^@QF4Ycxf~9=6tuhll+fium&nnoQ{orQlqwebW:UI^O<=6:xsqlhh'jeyi"jjcs]wkvUh}{inS>QMRC0\`uis:81q|xgao.alv`)cmjxTxb}\otp`aZ5XJ[H8>;5uptkmk*ehzl%oin|PtnqPkptdmV9TN_L<_erlp77|w}`dd#na}e.ffgwYsgzYdymj_5]AVG7Xlye><5uptkmk*ehzl%oin|PtnqPkptdmV>TN_L=279ytpoig&id~h!kebp\pjuTg|xhiR:PBS@1[avh|;;0v}{fnn-`kwc(lliySya|Snwqg`Y3WKXI??84zqwjjj)dg{o$hhm}_umpWjsuklU?SO\M3^fskq463sz~eca cnpf+acdzV~d^azrbg\0ZDUJ=8=7w~ziom,gjtb'moh~Rz`sRmvvfcX|w}`dd#na}e.ffgwYqieco=o5uptkmk*ehzl%ntQfnHlwawtrx};i7w~ziom,gjtb'lrySca|_rcua9699j1q|xgao.alv`)bp{Uec~Q|awg?5586k2p{yd``/bmqa*czVddR}nvd>25;7d3sz~eca cnpf+`~uWgexS~oye=31:4e|w}`dd#na}e.g{vZhh{Vyjzh2>5?3`?vrage$ob|j/dzq[kitWzk}i1?9>0a8~usnff%hck eyp\jjuX{h|n0<911b9ytpoig&id~h!jxs]mkvYtio7=50>c:xsqlhh'jeyi"kwr^llwZuf~l6:53?m;{rvmki(kfxn#hv}_omp[vgqm5;5=n5uptkmk*ehzl%ntQaor]pesc;:94:o6tuhll+fium&os~R``s^qbr`:597;h7w~ziom,gjtb'lrySca|_rcua94568i0v}{fnn-`kwc(mqxTbb}Ps`tf87599j1q|xgao.alv`)bp{Uec~Q|awg?6186k2p{yd``/bmqa*czVddR}nvd>11;7d3sz~eca cnpf+`~uWgexS~oye=05:4e|w}`dd#na}e.g{vZhh{Vyjzh2=9?3a?vrage$ob|j/dzq[kitWzk}i1<11b9ytpoig&id~h!jxs]mkvYtio7?=0>c:xsqlhh'jeyi"kwr^llwZuf~l68=3?m;{rvmki(kfxn#hv}_omp[vgqm595=o5uptkmk*ehzl%ntQaor]pesc;<7;i7w~ziom,gjtb'lrySca|_rcua9399k1q|xgao.alv`)bp{Uec~Q|awg?2;7e3sz~eca cnpf+`~uWgexS~oye=5=5g=}x|cec"m`rd-f|wYigzUxm{k38?3a?vrage$ob|j/dzq[kitWzk}i171279ytpoig&id~h!jxs]mkvYtioP?05;4e3sz~eca cnpf+`~uWgexS~oyeZ12YZOI^V885Ra330<26g=}x|cec"m`rd-f|wYigzUxm{kT30_\MKPX::3Tc1=>>30a?vrage$ob|j/dzq[kitWzk}iV=>]^KMRZ441Ve7?<0<2c9ytpoig&id~h!jxs]mkvYtioP?>o5uptkmk*ehzl%ntQaor]pesc\;8WTECXP22;\k9566?8i7w~ziom,gjtb'lrySca|_rcua^56UVCEZR<<9^m?74809h1q|xgao.alv`)bp{Uec~Q|awg\44g|w}`dd#na}e.g{vZhh{VyjzhQ=40`8~usnff%hck eyp\jjuX{h|nS?;>b:xsqlhh'jeyi"kwr^llwZuf~lU9:1`9ytpoig&id~h!jxs]mkvYtioT8-Hl11>|w}`dd#na}e.g{vZusi}oTJ^CPFGf2)LhX[^:9<6tuhll+fium&os~R}{aug\BVKXNOn:S<6tuhll+fium&os~R}{aug\BVKXNOn9!D`=239ytpoig&id~h!jxs]ppdrbWOYFSKHk2,Km776<5uptkmk*ehzl%ntQ|t`vf[CUJWOLo? Ga239ytpoig&id~h!jxs]ppdrbWOYFSKHk3,Km572:>55uptkmk*ehzl%ntQ|t`vf[CUJWOLo? Ga153\BWD5:2p{yd``/bmqa*czVymykPFRO\BCb4%@d9>?5uptkmk*ehzl%ntQ|t`vf[CUJWOLo? Ga3308~usnff%hck eyp\wqgsmVLXARHId2/Jj1453sz~eca cnpf+`~uWz~jxhQISL]EBa5*Ag?9>6tuhll+fium&os~R}{aug\BVKXNOn8!D`9239ytpoig&id~h!jxs]ppdrbWOYFSKHk3,Km376|w}`dd#na}e.g{vZusi}oTJ^CPFGf7[44e3sz~eca cnpf+`~uWz~jxhQcsikep`tXe|fc}gigv26a=}x|cec"m`rd-f|wYt|h~nSa}gigvfvZkrd}eyegit0]253=}x|cec"m`rd-f|wYsgz6;2<94zqwjjj)dg{o$iu|Ptnq?5586?2p{yd``/bmqa*czV~d1?>>058~usnff%hck eyp\pju;9;4:;6tuhll+fium&os~Rz`s=30:417:xsqlhh'jeyi"kwr^vlw97268=0v}{fnn-`kwc(mqxTxb}317<23>|w}`dd#na}e.g{vZrh{5;<2<94zqwjjj)dg{o$iu|Ptnq?5=86?2p{yd``/bmqa*czV~d1?6>048~usnff%hck eyp\pju;97;<7w~ziom,gjtb'lrySya|<32=52=}x|cec"m`rd-f|wYsgz69=3?8;{rvmki(kfxn#hv}_ump87499>1q|xgao.alv`)bp{Uc~2=3?34?vrage$ob|j/dzq[qit4;>5=:5uptkmk*ehzl%ntQ{or>11;703sz~eca cnpf+`~uW}ex0?81169ytpoig&id~h!jxs]wkv:5?7;<7w~ziom,gjtb'lrySya|<3:=52=}x|cec"m`rd-f|wYsgz6953?9;{rvmki(kfxn#hv}_ump8786?2p{yd``/bmqa*czV~d1=?>058~usnff%hck eyp\pju;;84::6tuhll+fium&os~Rz`s=1=53=}x|cec"m`rd-f|wYsgz6?2<84zqwjjj)dg{o$iu|Ptnq?1;713sz~eca cnpf+`~uW}ex0;0>6:xsqlhh'jeyi"kwr^vlw9199?1q|xgao.alv`)bp{Uc~27>048~usnff%hck eyp\pju;17987w~ziom,gjtb'lrySya|[30^[utbn{U{oV<=]^cg`Z57=VE^X1>1359ytpoig&id~h!jxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV?5584<2p{yd``/bmqa*czV~dV<=]^rqactXxjQ9>PQnde]040YH]]6:=3=;;{rvmki(kfxn#hv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT=31:62?SPpsgevZvdS;8VSljk_226[JSS4895?95uptkmk*ehzl%ntQ{orY16XYwzllyS}mT23_\eabX;9?TCXZ315<00>|w}`dd#na}e.g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQ:6=79?7w~ziom,gjtb'lrySya|[30^[utbn{U{oV<=]^cg`Z57=VE^X1?9>268~usnff%hck eyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW8419;=1q|xgao.alv`)bp{Uc~U=2\]sv``uWyiP>?SPaef\753XG\^7=50<4:xsqlhh'jeyi"kwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU>2=;543sz~eca cnpf+`~uW}exW?|w}`dd#na}e.g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQ:5979?7w~ziom,gjtb'lrySya|[30^[utbn{U{oV<=]^cg`Z57=VE^X1<=>218~usnff%hck eyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW8784;2p{yd``/bmqa*czV~dV<=]^rqactXxjQ9>PQnde]040YH]]682>=4zqwjjj)dg{o$iu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[<5<07>|w}`dd#na}e.g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQ:26:90v}{fnn-`kwc(mqxTxb}T23_\twcazVzhW?4:65?SPpsgevZvdS;8VSljk_226[JSS4148?6tuhll+fium&os~Rz`sZ01YZvumoxT|nU=2\]b`aY483g8~usnff%hck eyp\pju\;8WTbb}T30_\eabX:;9TCXZ30?0e?vrage$ob|j/dzq[qitS:;VSca|[23^[dbcW;88SB[[<02=6c=}x|cec"m`rd-f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU>25;4a3sz~eca cnpf+`~uW}exW>?R_omp_67ZWhnoS?<<_NWW8449:o1q|xgao.alv`)bp{Uc~U<1\]mkv]49TUjhiQ=22]LQQ:6;78m7w~ziom,gjtb'lrySya|[23^[kitS:;VSljk_300[JSS48>5>k5uptkmk*ehzl%ntQ{orY05XYigzQ8=PQnde]166YH]]6:93?R_`fg[744WF__0<812g9ytpoig&id~h!jxs]wkv]49TUec~U<1\]b`aY5::UDYY2>7?0e?vrage$ob|j/dzq[qitS:;VSca|[23^[dbcW;88SB[[<0:=6c=}x|cec"m`rd-f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU>2=;4b3sz~eca cnpf+`~uW}exW>?R_omp_67ZWhnoS?<<_NWW8485n2p{yd``/bmqa*czV~dV=>]^llw^56UVkohR<=3^MVP9476;l0v}{fnn-`kwc(mqxTxb}T30_\jju\;8WTmijP231\KPR;:849j6tuhll+fium&os~Rz`sZ12YZhh{R9:QRokd^017ZIR\5892?h4zqwjjj)dg{o$iu|PtnqX74[XffyP?>0=f:xsqlhh'jeyi"kwr^vlw^56UVddV=>]^cg`Z45;VE^X1<;>3d8~usnff%hck eyp\pju\;8WTbb}T30_\eabX:;9TCXZ324<1b>|w}`dd#na}e.g{vZrh{R9:QR``sZ12YZgclV89?RAZT=05:7`?=POTV?6285n2p{yd``/bmqa*czV~dV=>]^llw^56UVkohR<=3^MVP94?6;l0v}{fnn-`kwc(mqxTxb}T30_\jju\;8WTmijP231\KPR;:049i6tuhll+fium&os~Rz`sZ12YZhh{R9:QRokd^017ZIR\585>k5uptkmk*ehzl%ntQ{orY05XYigzQ8=PQnde]166YH]]68<3?R_`fg[744WF__0>?12d9ytpoig&id~h!jxs]wkv]49TUec~U<1\]b`aY5::UDYY2<>3g8~usnff%hck eyp\pju\;8WTbb}T30_\eabX:;9TCXZ34?0f?vrage$ob|j/dzq[qitS:;VSca|[23^[dbcW;88SB[[<4<1a>|w}`dd#na}e.g{vZrh{R9:QR``sZ12YZgclV89?RAZT=4=6`=}x|cec"m`rd-f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU>4:7c?=POTV?<;4b3sz~eca cnpf+`~uW}exW>?R_omp_67ZWhnoS?<<_NWW8<84?2p{yd``/bmqa*czV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYY2?>2:8~usnff%hck eyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSS48:5?55uptkmk*ehzl%ntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?]^qwefmXjVxnmiU<1\]b`aY5:;UDYY2>2?1;?vrage$ob|j/dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\5;82>64zqwjjj)dg{o$iu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW8429;11q|xgao.alv`)bp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZ314<0<>|w}`dd#na}e.g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]6::3=7;{rvmki(kfxn#hv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVP9706:20v}{fnn-`kwc(mqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[<0:=7==}x|cec"m`rd-f|wYsgzQ8=PQ|t`ah[gYumhnP?<0<8:xsqlhh'jeyi"kwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQ:5:7937w~ziom,gjtb'lrySya|[23^[vrfkbUiSkndZ12YZgclV89>RAZT=00:6>?R_`fg[745WF__0?:1399ytpoig&id~h!jxs]wkv]49TUxxlmd_c]qadb\;8WTmijP230\KPR;:<4846tuhll+fium&os~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU>12;5?3sz~eca cnpf+`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^X1<8>2:8~usnff%hck eyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSS4;25?55uptkmk*ehzl%ntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?]^qwefmXjVxnmiU<1\]b`aY5:;UDYY2=>2:8~usnff%hck eyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSS4::5?55uptkmk*ehzl%ntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?]^qwefmXjVxnmiU<1\]b`aY5:;UDYY2<>258~usnff%hck eyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSS4=48;6tuhll+fium&os~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU>6:61?R_`fg[745WF__0;0<7:xsqlhh'jeyi"kwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQ:06:=0v}{fnn-`kwc(mqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[<9<03>|w}`dd#na}e.g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]622PBS@15d=}x|cec"m`rd-f|wYsgzU;SO\M30c8~usnff%hck eyp\pjuX8VHYN9?:;{rvmki(kfxn#hv}_ump[4713sz~eca cnpf+`~uW}exS<>>6:xsqlhh'jeyi"kwr^vlwZ769?1q|xgao.alv`)bp{Uc~Q>2048~usnff%hck eyp\pjuX9:;=7w~ziom,gjtb'lrySya|_0622>|w}`dd#na}e.g{vZrh{V;>=;5uptkmk*ehzl%ntQ{or]22406>2p{yd``/bmqa*czV~dR?6149ytpoig&id~h!jxs]wkvY59?1q|xgao.alv`)bp{Uc~Q=0048~usnff%hck eyp\pjuX:8;=7w~ziom,gjtb'lrySya|_3022>|w}`dd#na}e.g{vZrh{V88=;5uptkmk*ehzl%ntQ{or]10408?9;{rvmki(kfxn#hv}_ump[706>2p{yd``/bmqa*czV~dR<8179ytpoig&id~h!jxs]wkvY508<0v}{fnn-`kwc(mqxTxb}P2836?vrage$ob|j/dzq[qitW:;=7w~ziom,gjtb'lrySya|_2222>|w}`dd#na}e.g{vZrh{V9:=55uptkmk*ehzl%ntQ{or]05Z76=2p{yd``/bmqa*czV~dR:>5:xsqlhh'jeyi"kwr^vlwZ36=2p{yd``/bmqa*czV~dR8>5:xsqlhh'jeyi"kwr^vlwZ16=2p{yd``/bmqa*czV~dR6>5:xsqlhh'jeyi"kwr^vlwZ?6j2p{yd``/bmqa*czV~dR}nvd>3:4e|w}`dd#na}e.g{vZrh{Vyjzh2>2?3`?vrage$ob|j/dzq[qitWzk}i1?<>0a8~usnff%hck eyp\pjuX{h|n0<:11b9ytpoig&id~h!jxs]wkvYtio7=80>c:xsqlhh'jeyi"kwr^vlwZuf~l6::3?l;{rvmki(kfxn#hv}_ump[vgqm5;<216;7d3sz~eca cnpf+`~uW}exS~oye=00:4e|w}`dd#na}e.g{vZrh{Vyjzh2=6?3`?vrage$ob|j/dzq[qitWzk}i1<8>0a8~usnff%hck eyp\pjuX{h|n0?611b9ytpoig&id~h!jxs]wkvYtio7>40>b:xsqlhh'jeyi"kwr^vlwZuf~l6926:4d|w}`dd#na}e.g{vZrh{Vyjzh28>0`8~usnff%hck eyp\pjuX{h|n050>b:xsqlhh'jeyi"kwr^vlwZuf~l622|w}`dd#na}e.g{vZrh{VyjzhQ>20`8~usnff%hck eyp\pjuX{h|nS<=>b:xsqlhh'jeyi"kwr^vlwZuf~lU:88h0v}{fnn-`kwc(mqxTxb}Ps`tf[416j2p{yd``/bmqa*czV~dR}nvd]2<4d|w}`dd#na}e.g{vZrh{VyjzhQ=1c9ytpoig&id~h!jxs]wkvYtioT>=?m;{rvmki(kfxn#hv}_ump[vgqmV8:=o5uptkmk*ehzl%ntQ{or]pescX:;;i7w~ziom,gjtb'lrySya|_rcuaZ449k1q|xgao.alv`)bp{Uc~Q|awg\617e3sz~eca cnpf+`~uW}exS~oye^065g=}x|cec"m`rd-f|wYsgzUxm{kP273a?vrage$ob|j/dzq[qitWzk}iR<81c9ytpoig&id~h!jxs]wkvYtioT>5?m;{rvmki(kfxn#hv}_ump[vgqmV82=l5uptkmk*ehzl%ntQ{or]pescX;8h0v}{fnn-`kwc(mqxTxb}Ps`tf[666j2p{yd``/bmqa*czV~dR}nvd]054ga:xsqlhh'jeyi"kwr^vlwZuf~lU==l5uptkmk*ehzl%ntQ{or]pescX?8k0v}{fnn-`kwc(mqxTxb}Ps`tf[=7f3sz~eca cnpf+`~uW}exS~oye^;23>|w}`dd#na}e.k\kuYazloqi?>4zqwjjj)dg{o$`~fffugq[hsk|fxxddh{1g9ytpoig&id~h!csikep`tXg|~{yy2<4?3e?vrage$ob|j/mqkmcrbzVe~x}{{<27=5c=}x|cec"m`rd-owmoa|lxTcxzuu>02;7a3sz~eca cnpf+iuoao~n~Raztqww86199o1q|xgao.alv`)k{acmxh|Potvsqq:407;m7w~ziom,gjtb'eycekzjr^mvpuss4:35=k5uptkmk*ehzl%gegitdp\kprw}}6?<3?i;{rvmki(kfxn#a}gigvfvZir|y09?11g9ytpoig&id~h!csikep`tXg|~{yy2;2?3e?vrage$ob|j/mqkmcrbzVe~x}{{<51=5c=}x|cec"m`rd-owmoa|lxTcxzuu>70;7a3sz~eca cnpf+iuoao~n~Raztqww81399o1q|xgao.alv`)k{acmxh|Potvsqq:3>7;m7w~ziom,gjtb'eycekzjr^mvpuss4==5==5uptkmk*ehzl%gx!>a:xsqlhh'jeyi"b|u.Onq}Ye}zs:=h5uptkmk*ehzl%gx!Bmtz\fpu~9VY\<a:xsqlhh'jeyi"b|u.]m4536W`d}=o5uptkmk*ehzl%gx!Pn1265Zoi~8;j7w~ziom,gjtb'ey~#Qndep?5586i2p{yd``/bmqa*jt}&xTmij}<03=5d=}x|cec"m`rd-owp)uWhno~1?=>0c8~usnff%hck lrw,vZgcl{6:?3?n;{rvmki(kfxn#a}z/s]b`at;9=4:m6tuhll+fium&fxy"|Paefq84399h1q|xgao.alv`)k{|%ySljkr=35:4g7?3b?vrage$ob|j/mqv+wYflmx7=50>a:xsqlhh'jeyi"b|u.p\eabu4835=l5uptkmk*ehzl%gx!}_`fgv94768k0v}{fnn-`kwc(dz$~Rokds>15;7f3sz~eca cnpf+iur'{Ujhi|323<2e>|w}`dd#na}e.npq*tXimny0?=11`9ytpoig&id~h!cst-q[dbcz58?29901q|xgao.alv`)k{|%ySljkr=;=5<=}x|cec"m`rd-owp)uWhno~R??189ytpoig&id~h!cst-q[dbczV;:=45uptkmk*ehzl%gx!}_`fgvZ75901q|xgao.alv`)k{|%ySljkr^305<=}x|cec"m`rd-owp)uWhno~R?;189ytpoig&id~h!cst-q[dbczV;>=45uptkmk*ehzl%gx!}_`fgvZ71901q|xgao.alv`)k{|%ySljkr^345<=}x|cec"m`rd-owp)uWhno~R?7189ytpoig&id~h!cst-q[dbczV;2=55uptkmk*ehzl%gx!}_`fgvZ4612p{yd``/bmqa*jt}&xTmij}_322=>|w}`dd#na}e.npq*tXimnyS??>9:xsqlhh'jeyi"b|u.p\eabuW;8:56tuhll+fium&fxy"|Paefq[75612p{yd``/bmqa*jt}&xTmij}_362=>|w}`dd#na}e.npq*tXimnyS?;>9:xsqlhh'jeyi"b|u.p\eabuW;<:56tuhll+fium&fxy"|Paefq[716j2p{yd``/bmqa*jt}&xTmij}_35\54>:46tuhll+fium&fxy"|Paefq[07?3sz~eca cnpf+iur'{Ujhi|P60:8~usnff%hck lrw,vZgcl{U<=55uptkmk*ehzl%gx!}_`fgvZ>602p{yd``/bmqa*jt}&xTmij}_83:?vrage$ob|j/mqv+wYci}k7<3?n;{rvmki(kfxn#a}z/s]geqg;994:m6tuhll+fium&fxy"|Pd`vb84799h1q|xgao.alv`)k{|%ySio{a=31:4g3?3b?vrage$ob|j/mqv+wYci}k7=90>a:xsqlhh'jeyi"b|u.p\`drf48?5=l5uptkmk*ehzl%gx!}_ecwe97168k0v}{fnn-`kwc(dz$~Rjnt`>23;7f3sz~eca cnpf+iur'{Uomyo319<2e>|w}`dd#na}e.npq*tXlh~j0<71189ytpoig&id~h!cst-q[agsi5;5=l5uptkmk*ehzl%gx!}_ecwe94768k0v}{fnn-`kwc(dz$~Rjnt`>15;7f3sz~eca cnpf+iur'{Uomyo323<2e>|w}`dd#na}e.npq*tXlh~j0?=11`9ytpoig&id~h!cst-q[agsi58?20c8~usnff%hck lrw,vZbf|h6953?6;{rvmki(kfxn#a}z/s]geqg;:7;j7w~ziom,gjtb'ey~#Qkauc?7586i2p{yd``/bmqa*jt}&xThlzn<23=5<=}x|cec"m`rd-owp)uWmkm1=1189ytpoig&id~h!cst-q[agsi5>5=45uptkmk*ehzl%gx!}_ecwe939901q|xgao.alv`)k{|%ySio{a=4=5<=}x|cec"m`rd-owp)uWmkm191189ytpoig&id~h!cst-q[agsi525=45uptkmk*ehzl%gx!}_ecwe9?9911q|xgao.alv`)k{|%ySio{a^22<>|w}`dd#na}e.npq*tXlh~jS3sz~eca cnpf+iur'{UomyoP133:?vrage$ob|j/mqv+wYci}kT=>?6;{rvmki(kfxn#a}z/s]geqgX9=;27w~ziom,gjtb'ey~#Qkauc\507>3sz~eca cnpf+iur'{UomyoP173:?vrage$ob|j/mqv+wYci}kT=:?6;{rvmki(kfxn#a}z/s]geqgX91;27w~ziom,gjtb'ey~#Qkauc\5<7?3sz~eca cnpf+iur'{UomyoP20;8~usnff%hck lrw,vZbf|hU9<<74zqwjjj)dg{o$`~{ r^fbpdY59830v}{fnn-`kwc(dz$~Rjnt`]164?|w}`dd#na}e.npq*tXlh~jS4?7;{rvmki(kfxn#a}z/s]pai:76820v}{fnn-`kwc(dz$~R}jl=3=5==}x|cec"m`rd-owp)uWzog0?0>8:xsqlhh'jeyi"b|u.p\w`j;;7;<7w~ziom,gjtb'ey~#Q|em]352=}x|cec"m`rd-owp)uWzogS1q|xgao.alv`)k{|%yS~kc_203?vrage$ob|j/mqv+wYt|h~nSK]B_GDg5752:4d|w}`dd#na}e.npqZbf|hUxia2<>0f8~usnff%hck lrw\`drfW~xbxh2?>0g8~usnff%hck lrw\`drfW~xbxh2>0?3f?vrage$ob|j/mqv[agsiV}yeyk310<2a>|w}`dd#na}e.npqZbf|hU|~dzj<00=5`=}x|cec"m`rd-owpYci}kT{g{e=30:4c20;7b3sz~eca cnpf+iurWmkmRy}iug?5086m2p{yd``/bmqa*jt}VnjxlQxrhvf84099l1q|xgao.alv`)k{|UomyoPwskwa97068o0v}{fnn-`kwc(dzThlzn_vpjp`:607;n7w~ziom,gjtb'ey~Sio{a^uqmqc;904:h6tuhll+fium&fxyRjnt`]tvlrb484:i6tuhll+fium&fxyRjnt`]tvlrb4;:5=h5uptkmk*ehzl%gxQkauc\swosm58:23?j;{rvmki(kfxn#a}z_ecweZqua}o7>>0>e:xsqlhh'jeyi"b|u^fbpdYpz`~n0?:11d9ytpoig&id~h!cst]geqgX{ci1<:>0g8~usnff%hck lrw\`drfW~xbxh2=6?3f?vrage$ob|j/mqv[agsiV}yeyk326<2a>|w}`dd#na}e.npqZbf|hU|~dzj<3:=5`=}x|cec"m`rd-owpYci}kT{g{e=0::4b1:4c04;7b3sz~eca cnpf+iurWmkmRy}iug?7486l2p{yd``/bmqa*jt}VnjxlQxrhvf8686l2p{yd``/bmqa*jt}VnjxlQxrhvf8186l2p{yd``/bmqa*jt}VnjxlQxrhvf8086l2p{yd``/bmqa*jt}VnjxlQxrhvf8386l2p{yd``/bmqa*jt}VnjxlQxrhvf8286l2p{yd``/bmqa*jt}VnjxlQxrhvf8=86l2p{yd``/bmqa*jt}VnjxlQxrhvf8<86;2p{yd``/bmqa*jt}Vxn}<<4zqwjjj)dg{o$bb}30?30?vrage$ob|j/omp84699:1q|xgao.alv`)igz6:=3?<;{rvmki(kfxn#ca|<00=56=}x|cec"m`rd-mkv:6;7;87w~ziom,gjtb'gex0<:1129ytpoig&id~h!aor>21;743sz~eca cnpf+kit48<5=>5uptkmk*ehzl%ec~2>7?30?vrage$ob|j/omp84>99:1q|xgao.alv`)igz6:53?=;{rvmki(kfxn#ca|<0<27>|w}`dd#na}e.llw9476890v}{fnn-`kwc(ffy7><0>3:xsqlhh'jeyi"``s=01:45018~usnff%hck nnq?6086;2p{yd``/bmqa*hh{58=2<=4zqwjjj)dg{o$bb}326<27>|w}`dd#na}e.llw94?6890v}{fnn-`kwc(ffy7>40>2:xsqlhh'jeyi"``s=0=56=}x|cec"m`rd-mkv:487;87w~ziom,gjtb'gex0>?1139ytpoig&id~h!aor>0:442:xsqlhh'jeyi"``s=4=57=}x|cec"m`rd-mkv:06880v}{fnn-`kwc(ffy743?=;{rvmki(kfxn#ca|<8<1f>|w}`dd#na}e.llw^56UVos~Rz`sZ12YZcv}hfT><8Po3g8~usnff%hck nnqX74[XmqxTxb}T30_\atsfdV8::RaPl036?vrage$ob|j/qama}r;87;=7w~ziom,gjtb'yieiuz311<22>|w}`dd#na}e.r`j`~s48;5=;5uptkmk*ehzl%{ockwt=31:402p{yd``/bmqa*vdflr0<;1179ytpoig&id~h!cog{p97168<0v}{fnn-`kwc(xjdnty2>7?35?vrage$ob|j/qama}r;914::6tuhll+fium&zhbhv{<0;=50=}x|cec"m`rd-sgkc|5;5=;5uptkmk*ehzl%{ockwt=03:405:xsqlhh'jeyi"~lndzw8686=2p{yd``/bmqa*vdflr090>5:xsqlhh'jeyi"~lndzw8086=2p{yd``/bmqa*vdflr0;0>5:xsqlhh'jeyi"~lndzw8286=2p{yd``/bmqa*vdflr050>5:xsqlhh'jeyi"~lndzw8<86?2p{yd``/bmqa*vumoxTnknk308~usnff%hck psgevZbbkfnnhB~loeg?4;453sz~eca cnpf+utbn{UoinakeeMsgjbb4849>6tuhll+fium&zyik|Pddal``bHxjeoi1<1239ytpoig&id~h!rddq[acdgmooC}m`dd>0:74|w}`dd#na}e.rqactXllidhhj@pbmgaZ7592p{yd``/bmqa*vumoxThhm`ddfLtficmV89=6tuhll+fium&zyik|Pddal``bHxjeoiR==1:xsqlhh'jeyi"~}egp\``ehllnD|nake^615>|w}`dd#na}e.rqactXllidhhj@pbmgaZ36k2p{yd``/bmqa*vumoxTjhzlhqa?4;7c3sz~eca cnpf+utbn{Umiymgpb>24;7c3sz~eca cnpf+utbn{Umiymgpb>25;7c3sz~eca cnpf+utbn{Umiymgpb>26;7c3sz~eca cnpf+utbn{Umiymgpb>27;7c3sz~eca cnpf+utbn{Umiymgpb>20;7c3sz~eca cnpf+utbn{Umiymgpb>21;7c3sz~eca cnpf+utbn{Umiymgpb>22;7c3sz~eca cnpf+utbn{Umiymgpb>23;7c3sz~eca cnpf+utbn{Umiymgpb>2<;7c3sz~eca cnpf+utbn{Umiymgpb>2=;7d3sz~eca cnpf+utbn{Umiymgpb>2:4b|w}`dd#na}e.rqactXnl~hd}m34?3`?vrage$ob|j/qpfbwYam}ic|n2:>0a8~usnff%hck psgevZ`b|jb{o1811b9ytpoig&id~h!rddq[ccskazh0:0>c:xsqlhh'jeyi"~}egp\b`rd`yi743?l;{rvmki(kfxn#}|jfs]eaqeoxj622|w}`dd#na}e.rqactXnl~hd}mP173`?vrage$ob|j/qpfbwYam}ic|nQ>70a8~usnff%hck psgevZ`b|jb{oR?71b9ytpoig&id~h!rddq[ccskazhS<7>b:xsqlhh'jeyi"~}egp\b`rd`yiT>0:4d|w}`dd#na}e.rqactXgyidhh2:>0`8~usnff%hck psgevZiwkfnn0;0>a:xsqlhh'jeyi"~}egp\kuehllU;=l5uptkmk*ehzl%{~hh}_nr`kacX98k0v}{fnn-`kwc(x{om~Racnff[77f3sz~eca cnpf+utbn{Ud|nake^12e>|w}`dd#na}e.rqactXgyidhhQ;1`9ytpoig&id~h!rddq[jvdgmoT98:xsqlhh'jeyi"~}egp\tf:697;37w~ziom,gjtb'yxnjQc=31:4>21;7?3sz~eca cnpf+utbn{U{o1?9>0:8~usnff%hck psgevZvd48=5=55uptkmk*ehzl%{~hh}_qa?5=8602p{yd``/bmqa*vumoxT|n2>9?34?vrage$ob|j/qpfbwYwk5;5=55uptkmk*ehzl%{~hh}_qa?658602p{yd``/bmqa*vumoxT|n2=1?3;?vrage$ob|j/qpfbwYwk5892<94zqwjjj)dg{o$|kir^r`8786?2p{yd``/bmqa*vumoxT|n2<>058~usnff%hck psgevZvd4=4:;6tuhll+fium&zyik|Ppb>6:417:xsqlhh'jeyi"~}egp\tf:068=0v}{fnn-`kwc(x{om~R~l<9<23>|w}`dd#na}e.rqactXxj622<84zqwjjj)dg{o$|kir^r`[5713sz~eca cnpf+utbn{U{oR?>7:xsqlhh'jeyi"~}egp\tfY688=0v}{fnn-`kwc(x{om~R~l_0323>|w}`dd#na}e.rqactXxjU:><94zqwjjj)dg{o$|kir^r`[456?2p{yd``/bmqa*vumoxT|nQ>4058~usnff%hck psgevZvdW8?:;6tuhll+fium&zyik|Ppb]22417:xsqlhh'jeyi"~}egp\tfY608=0v}{fnn-`kwc(x{om~R~l_0;22>|w}`dd#na}e.rqactXxjU9=:5uptkmk*ehzl%{~hh}_qa\65703sz~eca cnpf+utbn{U{oR<>169ytpoig&id~h!rddq[ueX:;;=7w~ziom,gjtb'yxnjQc^122>|w}`dd#na}e.rqactXxjU?=;5uptkmk*ehzl%{~hh}_qa\1402p{yd``/bmqa*vumoxT|nQ7179ytpoig&id~h!rddq[ueX18o0v}{fnn-`kwc(x{om~R|jcnosqqcX{y;37w~ziom,gjtb'yxnjQ|p=33:4>1:410>7:xsqlhh'jeyi"~}egp\wu:368=0v}{fnn-`kwc(x{om~R}<4<23>|w}`dd#na}e.rqactX{y6=2<94zqwjjj)dg{o$|kir^qs8286?2p{yd``/bmqa*vumoxT}27>058~usnff%hck psgevZuw4048<6tuhll+fium&zyik|PsqY26XY@FMU>:RaPaef\671XG\^7<3=>;{rvmki(kfxn#}|jfs]pt^75UVMEHR;9_n]b`aY5:>UDYY2>0?13?vrage$ob|j/qpfbwYtxR;9QRIAD^75[jYflmU9>:Q@UU>2:66:RaPaef\671XG\^783=?;{rvmki(kfxn#}|jfs]pt^75UVMEHR;9_n]b`aY5:>UDYY2:>228~usnff%hck psgevZuwS88VSJ@K_44\kZgclV89;RAZT=4=75=}x|cec"m`rd-sv``uWzzP=?SPGOF\13YhWhnoS?<8_NWW828482p{yd``/bmqa*vumoxT}U>2\]DJAY2>VeTmijP235\KPR;079;7w~ziom,gjtb'yxnjQ|pZ31YZAILV?=SbQnde]162YH]]622<94zqwjjj)dg{o$|kir^qs[466k2p{yd``/bmqa*vumoxT}Q>0^fskq703sz~eca cnpf+utbn{Ux|R?>1b9ytpoig&id~h!rddq[vvX98Uo|bz>7:xsqlhh'jeyi"~}egp\wuY6:8i0v}{fnn-`kwc(x{om~R}_00\`uis9?1q|xgao.alv`)wzllyS~~P20`8~usnff%hck psgevZuwW;Uo|bz>6:xsqlhh'jeyi"~}egp\wuY49k1q|xgao.alv`)wzllyS~~P3^fskq713sz~eca cnpf+utbn{Ux|R:>b:xsqlhh'jeyi"~}egp\wuY3Wmzdx<84zqwjjj)dg{o$|kir^qs[07e3sz~eca cnpf+utbn{Ux|R;Pdqmw53=}x|cec"m`rd-sv``uWzzT:c:xsqlhh'jeyi"~}egp\wuhbp}6:=3?l;{rvmki(kfxn#}|jfs]ptkc|5;926:4d|w}`dd#na}e.rqactX{ydnty28>0`8~usnff%hck psgevZuwflr050>b:xsqlhh'jeyi"~}egp\wuhbp}622>:4zqwjjj)dg{o$|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT=2=70=}x|cec"m`rd-sv``uWzzeiuzT13_\CKBX=?UdSljk_0:5[JSS48:5?95uptkmk*ehzl%{~hh}_rrma}r\9;WTKCJP57]l[dbcW82=SB[[<0<00>|w}`dd#na}e.rqactX{ydntyU>2\]DJAY2>VeTmijP194\KPR;:79?7w~ziom,gjtb'yxnjQ|pog{p^75UVMEHR;9_n]b`aY60?UDYY2<>268~usnff%hck psgevZuwflrW<1WF__080<4:xsqlhh'jeyi"~}egp\wuhbp}Q:>PQHNE]62ZiXimnT=58POTV?2;533sz~eca cnpf+utbn{Ux|ckwtZ31YZAILV?=SbQnde]2<3YH]]6<2>:4zqwjjj)dg{o$|kir^qsj`~sS88VSJ@K_44\kZgclV;3:RAZT=:=71=}x|cec"m`rd-sv``uWzzeiuzT13_\CKBX=?UdSljk_0:5[JSS4048;6tuhll+fium&zyik|Psqlf|q]6:TUx|Rb`aeY26XYj}qU:48Q@UU>3:6>2WF__0<>1369ytpoig&id~h!rddq[vvimq~P=?SPsq]okdb\9;WTaxvP197\KPR;979<7w~ziom,gjtb'yxnjQ|pog{p^75UVy{SaandZ31YZkrpV;39RAZT=0=72=}x|cec"m`rd-sv``uWzzeiuzT13_\wuYkghnP=?SPmtz\5=3XG\^7?3=8;{rvmki(kfxn#}|jfs]ptkc|R;9QR}_mmb`^75UVg~tR?75^MVP929;>1q|xgao.alv`)wzllyS~~aeyvX57[X{yUgcljT13_\ip~X91?TCXZ35?14?vrage$ob|j/qpfbwYtxgosxV?=]^qs[iiflR;9QRczx^3;1ZIR\5<5?:5uptkmk*ehzl%{~hh}_rrma}r\9;WT}Qco`fX57[Xe|rT=5;POTV?3;503sz~eca cnpf+utbn{Ux|ckwtZ31YZuwWeejhV?=]^ov|Z7?=VE^X161369ytpoig&id~h!rddq[vvimq~P=?SPsq]okdb\9;WTaxvP197\KPR;17;i7w~ziom,gjtb'yxnjQ|pog{pZ779k1q|xgao.alv`)wzllyS~~aeyv\547e3sz~eca cnpf+utbn{Ux|ckwt^315d=}x|cec"m`rd-sv``uWzzeiuzP20c8~usnff%hck psgevZuwflrS>?n;{rvmki(kfxn#}|jfs]ptkc|V>:m6tuhll+fium&zyik|Psqlf|qY29h1q|xgao.alv`)wzllyS~~aeyv\24ga:xsqlhh'jeyi"~}egp\wuhbp}U2=h5uptkmk*ehzl%{~hh}_umpWjsukl6;2e:xsqlhh'jeyi"~}egp\pjuTg|xhi1=11d9ytpoig&id~h!rddq[qit[fyoh2;>0f8~usnff%hck psgevZrh{Ze~~nkP00f8~usnff%hck psgevZrh{Ze~~nkP10f8~usnff%hck psgevZrh{Ze~~nkP20f8~usnff%hck psgevZrh{Ze~~nkP30f8~usnff%hck psgevZrh{Ze~~nkP4058~usnff%hck psgevZpfd`n9?6tuhll+fium&yja}bjdEg`kaccGyidhh2?>318~usnff%hck s`osh`bCmjeoiiAcnff8485;2p{yd``/bmqa*ufeyfnhIkloeggKuehll692?=4zqwjjj)dg{o$lcldfGaficmmE{objj<2<17>|w}`dd#na}e.qbiujblMohcikkOqal``:36;90v}{fnn-`kwc({hg{`hjKebmgaaIwkfnn080=4:xsqlhh'jeyi"}nmqnf`VrfkbE{io{inl?4;433sz~eca cnpf+vgjxeoo_yolkNrfvdrngg6:2<>4zqwjjj)dg{o$eb 349ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS;?1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[1528~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8UI0^vjavo`<81q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[1^@25Zrnmzcl8<5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?4^vjavo`<81q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[1^@21Zrnmzcl8<5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?V~bi~gh409ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS9VH:;Rzferkd05=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__=RL>_ukfwla382p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>_C0\plctan>;7w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU3\F6Ysalybk9>4zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\Sygjshe74>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:SO8Pthgpmb273sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY?PB6]wm`uno=:0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT0]AW}cndi9d:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WYIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WYIBBR>9d:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WYIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WYIBBR?9e:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WYIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WYIBBR??6d9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS9VZHCXZPtn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VZHECQ>17g8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8U[OB[[_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\;U[OD@P134f?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]];T\NAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\NGA_015a>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:S]M@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]MFN^372`=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__=R^LOTV\pjYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__>R^LIO]213c_QALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_QAJJZ7?>l1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[1^R@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^R@MKY61?n0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT0]SGJSSW}eTA`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT3]SGLHX:?o0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT0]SGJSSW}eTA`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT3]SGLHX:9?R_hlsqqD\?R_hlsqqD\98j;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ7XXJE^XRz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XXJCES?;9e:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WYIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WYIBBR<96d9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS9VZHCXZPtn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VZHECQ=77g8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8U[OB[[_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\;U[OD@P294f?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]];T\NAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\NGA_3;5`>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:S]M@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]MFN^15a>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:S]M@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]MFN^132`=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__=R^LOTV\pjYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__>R^LIO]053c_QALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_QAJJZ53>l1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[1^R@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^R@MKY4=?o0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT0]SGJSSW}eTA`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT3]SGLHX;??R_hlsqqD\?R_hlsqqD\?R_hlsqqD\?R_hlsqqD\]^kmtprES=WTAxB{_3]LQQ7XXJE^XRz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XXJCES9?9e:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WYIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WYIBBR:=6d9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS9VZHCXZPtn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VZHECQ;37g8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8U[OB[[_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\;U[OD@P454f?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]];T\NAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\NGA_575a>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:S]M@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]MFN^652`=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__=R^LOTV\pjYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__>R^LIO]733b]^kmtprES=WTAxB{_3]LQQ4XJJE^XRz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ5XJV:=m6tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxRk1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^@@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[3^@\550e3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYRLLOTV\pjYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__?RLP134a?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8TNNAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]9TNR?<6c9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VHHCXZPtn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS;VHT=98m;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XJJE^XRz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ5XJV;>:o5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?h1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^@@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[3^@\63gQM_24b?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8TNNAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]9TNR:9a:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WKIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR4WKU>:l5uptkmk*ehzl%xda!Bmtnw[lhw}}KP??k0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT3]AGJSSW}eTA`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT2]A[20f3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9SOM@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^8SOQ6659ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VZ:;Rz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ51<2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_Q3;[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X>8;;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XX83TxbQBmtnw[lhw}}KP?|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]<=_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\:9Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR4>=1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^R11ZrhWDg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY=94:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WY8=SyaPMlwopZoix|~JW>?R_hlsqqD\?R_hlsqqD\R^=9^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]9=86tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxRRAZT247?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\>?Ptn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS;?>0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT3]S77YsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ<659ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VZ8?Rz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ51<2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_Q17[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X>8;;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XX:?TxbQBmtnw[lhw}}KP?|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]=7_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\:VS@{Ct^0\KPR4>=1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^R75ZrhWDg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY=94:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WY>9SyaPMlwopZoix|~JW>?R_hlsqqD\?R_hlsqqD\R^;5^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]9=86tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxRRAZT247?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\99Ptn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS;:<0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT263?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]9TN=Q{idqjc17QM11]wm`uno=;0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT2]A54Ysalybk9?4zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\Q{idqjc17QM15]wm`uno=;0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT2]A50Ysalybk9?4zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\QM1^vjavo`<91q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[3^@1[qob{`m?<6tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR]^kmtprES=WTAxB{_3]LQQ5XJ=Ueh}fg528~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\:UI9Rzferkd05=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__?RL9_ukfwla382p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ<_C5\plctan>;7w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU1\F=Ysalybk9>4zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\V:846tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY8P111:?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]]^kmtprES=WTAxB{_3]LQQ0X9;927w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU4\565>3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY8P151:?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]]^kmtprES=WTAxB{_3]LQQ0X9?937w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU4\66>V>846tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR402p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ9_81;?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]2T<>64zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\389ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS0V;9?45uptkmk*ehzl%xda!Bmtnw[lhw}}KP?64zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^3S:=7;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ>X0:20v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT9]:2<=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__SOM@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:SOQ?689ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWKIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WKU::l5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT^@@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[1^@\540f3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYQMCNWW[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^TNNAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]];TNR?<6`9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWKIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WKU:8;o4zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\RAZT0]A[401i2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZPBBMVPZrhWDg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY?PB^342<=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__SOM@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:SOQ=689ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWKIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WKU8:45uptkmk*ehzl%xda!Bmtnw[lhw}}KP?01q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_CALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>_C]62<=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__SOM@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:SOQ9689ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWKIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WKU<:45uptkmk*ehzl%xda!Bmtnw[lhw}}KP?01q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_CALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>_C]:26=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__S]?8_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8<87w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU]S5=YsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>629ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWY;2SyaPMlwopZoix|~JW>?R_hlsqqD\5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT040?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]U[>?Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6>:1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_Q00[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X<8<;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYW:=UcRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR629ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWY8?R_hlsqqD\5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?W}eTA`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT040?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]U[?=Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6>:1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_Q12[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X<8<;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYW;;UcRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR629ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWY9>SyaPMlwopZoix|~JW>?R_hlsqqD\5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT040?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]U[?5Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6>:1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_Q1:[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X<8<;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYW<9UcRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8<87w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU]S07YsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>629ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWY>8SyaPMlwopZoix|~JW>?R_hlsqqD\5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT040?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]U[8;Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6>:1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_Q64[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X<=m;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYseyU;?o5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT^vntZ76;j1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_uos[444k2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZPtlr\565d3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYQ{mq]206e|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^Tx`~P161`?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]Ua}Q>82a8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\V~f|R?63c9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSW}g{S?=l;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYseyU9<>m4zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\RAZT^vntZ42;j1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_uos[704k2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZPtlr\625d3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYQ{mq]1<6e3b9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSW}g{S><VS@{Ct^0\KPRX|dzT?>=m;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYseyU??o5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?VSd`uu@X74[Xe|rT=RAZT022560]^ov|Z7XG\^:_NWW5464>2p{yd``/bmqa*uod&GfyuQfnqwwF^2ZW`d{yyLT30_\ip~X9VE^X349ytpoig&id~h!|hm-Nip~Xagz~xOU;]^kmtprES:;VS`{w_0]LQQ75;?1q|xgao.alv`)t`e%FaxvPiorvpG]3UVce|xzM[23^[hsW8UDYY?=1248~usnff%hck sin,IhsW`d{yyLT4\]jjussJR9:QRczx^3\KPR6;89=7w~ziom,gjtb'zbg#@czx^kmtprES=WTec~ztCY05XYj}qU:SB[[15302>|w}`dd#na}e.qkh*Kj}qUbb}{{BZ6^[lhw}}HP?]^ov|Z7XG\^:;<=8;{rvmki(kfxn#~fc/Lov|Zoix|~IW9SPiorvpG]49TUfyuQ>_NWW5276;?1q|xgao.alv`)t`e%FaxvPiorvpG]3UVce|xzM[23^[hsW8UDYY?82248~usnff%hck sin,IhsW`d{yyLT4\]jjussJR9:QRczx^3\KPR6089=7w~ziom,gjtb'zbg#@czx^kmtprES=WTec~ztCY05XYj}qU:SB[[18302>|w}`dd#na}e.qkh*Kj}qUbb}{{BZ6^[lhw}}HP??R_lw{[4YH]]8:<>94zqwjjj)dg{o$eb Mlw{[lhw}}HP8PQfnqwwF^56UVg~tR?POTV15574>2p{yd``/bmqa*uod&GfyuQfnqwwF^2ZW`d{yyLT30_\ip~X9VE^X??>379ytpoig&id~h!|hm-Nip~Xagz~xOU;]^kmtprES:;VS`{w_0]LQQ459:<0v}{fnn-`kwc({af$A`{w_hlsqqD\VSd`uu@X74[Xe|rT=RAZT37272=}x|cec"m`rd-pli)Je|rTec~ztCY7YZoix|~IW>?R_lw{[4YH]]8==<=9;{rvmki(kfxn#~fc/Lov|Zoix|~IW9SPiorvpG]49TUfyuQ>_NWW6344>2p{yd``/bmqa*uod&GfyuQfnqwwF^2ZW`d{yyLT30_\ip~X9VE^X?9>379ytpoig&id~h!|hm-Nip~Xagz~xOU;]^kmtprES:;VS`{w_0]LQQ4?9:<0v}{fnn-`kwc({af$A`{w_hlsqqD\VSd`uu@X74[Xe|rT=RAZT2302>|w}`dd#na}e.qkh*Kj}qUbb}{{BZ6^[lhw}}HP?]^ov|Z7XG\^?=>;4zqwjjj)dg{o$eb Mlw{[lhw}}HP8PQfnqwwF^56UVg~tR?POTV6563]^ov|Z7XG\^==>;4zqwjjj)dg{o$eb Mlw{[lhw}}HP8PQfnqwwF^56UVg~tR?POTV4563]^ov|Z7XG\^3=>;4zqwjjj)dg{o$eb Mlw{[lhw}}HP8PQfnqwwF^56UVg~tR?POTV:56`]^kmtprES=WTAxB{_3]LQQ0X9=:0v}{fnn-`kwc({af$A~f}ef]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS>V;;8=5uptkmk*ehzl%xda!BsipfcZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^=SRAZT7]2716VS@{Ct^0\KPR1W8??<6tuhll+fium&yc`"C|hsgd[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]RAZT7]77c=}x|cec"m`rd-pli)J{axnkRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR?R_hlsqqD\VS@{Ct^0\KPR1W>9m7w~ziom,gjtb'zbg#@}grde\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\?U3?k5uptkmk*ehzl%xda!BsipfcZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^=S4=i;{rvmki(kfxn#~fc/Lqkv`aXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X5Q?3g9ytpoig&id~h!|hm-NwmtboVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ7_063?vrage$ob|j/rjo+HuozlmTA`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT9]2416VS@{Ct^0\KPR?W88?<6tuhll+fium&yc`"C|hsgd[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]2T=>:?;{rvmki(kfxn#~fc/Lqkv`aXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X5Q>4528~usnff%hck sin,IvnumnUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[8^3605=}x|cec"m`rd-pli)J{axnkRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR]^kmtprES=WTAxB{_3]LQQ>X::l0v}{fnn-`kwc({af$A~f}ef]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS0V98j6tuhll+fium&yc`"C|hsgd[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]2T8>h4zqwjjj)dg{o$eb MrjqabYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__4R;|w}`dd#na}e.qkh*Kt`{olS@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU:\<6`2p{yd``/bmqa*uod&Ue<=:;169ytpoig&id~h!|hm-\j563<8;i7w~ziom,gjtb'zbg#R`?05:\mkp39j1q|xgao.alv`)t`e%Tb=>;8^kmr176<2p{yd``/bmqa*uod&n7<3?;;{rvmki(kfxn#~fc/e>2:425uptkmk*ehzl%xda!k_130?vrage$ob|j/rjo+aY69:1q|xgao.alv`)t`e%oS??;;{rvmki(kfxn#~fc/emma4d|w}`dd#na}e.qkh*oix|~IW9SPiorvpG]49TUfyuQ>_NWW8584:2p{yd``/bmqa*uod&ce|xzM[5_\mkvr|KQ8=PQbuy]2[JSS48:5??5uptkmk*ehzl%xda!fnqwwF^2ZW`d{yyLT30_\ip~X9VE^X1?>>208~usnff%hck sin,mkvr|KQ?QRgaptvA_67ZWdsS26;553sz~eca cnpf+vnk'`d{yyLT4\]jjussJR9:QRczx^3\KPR;9:48>6tuhll+fium&yc`"gaptvA_1[Xagz~xOU<1\]nq}Y6WF__0<:1339ytpoig&id~h!|hm-jjussJR>VSd`uu@X74[Xe|rT=RAZT=36:647997w~ziom,gjtb'zbg#d`uu@X0XYnfyNV=>]^ov|Z7XG\^7=:0<2:xsqlhh'jeyi"}gl.kmtprES=WTec~ztCY05XYj}qU:SB[[<0:=77=}x|cec"m`rd-pli)nfyNV:R_hlsqqD\;8WTaxvP1^MVP97>6:;0v}{fnn-`kwc({af$ec~ztCY7YZoix|~IW>?R_lw{[4YH]]6:2><4zqwjjj)dg{o$eb iorvpG]3UVce|xzM[23^[hsW8UDYY2=0?11?vrage$ob|j/rjo+lhw}}HP8PQfnqwwF^56UVg~tR?POTV?6484:2p{yd``/bmqa*uod&ce|xzM[5_\mkvr|KQ8=PQbuy]2[JSS4;85??5uptkmk*ehzl%xda!fnqwwF^2ZW`d{yyLT30_\ip~X9VE^X1<<>208~usnff%hck sin,mkvr|KQ?QRgaptvA_67ZWdsS10;553sz~eca cnpf+vnk'`d{yyLT4\]jjussJR9:QRczx^3\KPR;:<48>6tuhll+fium&yc`"gaptvA_1[Xagz~xOU<1\]nq}Y6WF__0?81339ytpoig&id~h!|hm-jjussJR>VSd`uu@X74[Xe|rT=RAZT=04:64]^ov|Z7XG\^7>40<1:xsqlhh'jeyi"}gl.kmtprES=WTec~ztCY05XYj}qU:SB[[<3<06>|w}`dd#na}e.qkh*oix|~IW9SPiorvpG]49TUfyuQ>_NWW8669;;1q|xgao.alv`)t`e%bb}{{BZ6^[lhw}}HP??4zqwjjj)dg{o$eb iorvpG]3UVce|xzM[23^[hsW8UDYY2<>238~usnff%hck sin,mkvr|KQ?QRgaptvA_67ZWdsS7:67?R_lw{[4YH]]6=2>?4zqwjjj)dg{o$eb iorvpG]3UVce|xzM[23^[hsW8UDYY28>238~usnff%hck sin,mkvr|KQ?QRgaptvA_67ZWdsS;:676:;0v}{fnn-`kwc(|FzhcikPsdnX5XY@FMU>:RaPmtz\=6YH]]6:2<74zqwjjj)dg{o${RgaIovfvwsw|8?0v}{fnn-`kwc(V~d1??>078~usnff%hck w^vlw97668?0v}{fnn-`kwc(V~d1?=>078~usnff%hck w^vlw97468?0v}{fnn-`kwc(V~d1?;>078~usnff%hck w^vlw97268?0v}{fnn-`kwc(V~d1?9>078~usnff%hck w^vlw97068?0v}{fnn-`kwc(V~d1?7>078~usnff%hck w^vlw97>68>0v}{fnn-`kwc(V~d1?1149ytpoig&id~h!x_ump87699<1q|xgao.alv`)pW}ex0??1149ytpoig&id~h!x_ump87499<1q|xgao.alv`)pW}ex0?=1149ytpoig&id~h!x_ump87299<1q|xgao.alv`)pW}ex0?;1149ytpoig&id~h!x_ump87099<1q|xgao.alv`)pW}ex0?91149ytpoig&id~h!x_ump87>99<1q|xgao.alv`)pW}ex0?71159ytpoig&id~h!x_ump8786=2p{yd``/bmqa*qX|fy7?=0>5:xsqlhh'jeyi"yPtnq?7486<2p{yd``/bmqa*qX|fy7?3?;;{rvmki(kfxn#zQ{or>7:42|w}`dd#na}e.u\pju;?7;?7w~ziom,gjtb'~Uc~27>068~usnff%hck w^vlw9?99>1q|xgao.alv`)pzf~nnlmd_p3b?vrage$ob|j_LpbiZYi9=39=9:xsqlhh'jeyiRC}al]\j42>:88:56tuhll+fiumVGym`QPn06:6776m2p{yd``/bmqaZKuidUbSb~Pfsgf~`76i2p{yd``/hm,06=}x|cec"g`/Lov|ZohWhno~h}|[34^[vjh|VxnmiQf[07^_67ZW~coiRczx^;5[JSSW?>>7w~ziom,mj)Je|rTebQndepfwv]5>TUx`bzPrdcg[l]6=TQ8=PQxieg\ip~X1?UDYYQ9_G66?vrage$eb!Bmtz\mjYflmxn~U=6\]phjrXzlkoSdU>5\Y05XYpamoTaxvP97]LQQY1WN>?7w~ziom,mj)Je|rTebQndepfwv]5>TUx`bzPrdcg[l]6=TQ8=PQxieg\ip~X1?UDYYQ81518~usnff%bc"Cbuy]jkZgcl{oxV<9]^qokqYumhnTeV?:]Z12YZqnllUfyuQ66^MVPZ>6l2p{yd``/hm,IhsW`eThhxfcd]bgn76m2p{yd``/hm,IhsW`eThhxfcd]bgn769l1q|xgao.kl+HkrpVcdSikyibg\efm6:8o0v}{fnn-jk*Kj}qUbcRjjvhaf[del9:;n7w~ziom,mj)Je|rTebQkewk`aZgdc8>:i6tuhll+li(EdsSdaPddtjg`Yfkb;>=h5uptkmk*oh'Dg~tRg`_egumfcXija::?<4zqwjjj)ng&GfyuQfo^pfeaYtmeohxhj>0002?vrage$eb!Bmtz\mjYumhnThbjcugg54453sz~eca in-Nip~XafUyiljPsdnfgqcc98;9=6tuhll+li(EdsSdaPrdcg[vckmj~nh<<=5:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd00\WR6592p{yd``/hm,IhsW`eT~hok_rgoafrbl899>6tuhll+li(EdsSdaPrdcg[vckmj~nh<=>229ytpoig&cd#@czx^kl[wcflVyn`hm{ee30[C443sz~eca in-Nip~XafUyiljPsdnfgqcc9:UL><5uptkmk*oh'Dg~tRg`_sgb`Zubdliii?;239ytpoig&cd#@czx^kl[wcflVyn`hm{ee37574|w}`dd#da Mlw{[liXzlkoS~kcebvf`746:81q|xgao.kl+HkrpVcdSknd^qfh`esmm88>85uptkmk*oh'Dg~tRg`_sgb`Zubdliii<<_RU364=}x|cec"g`/Lov|ZohW{ojhR}jldawaa43:;1q|xgao.kl+HkrpVcdSknd^qfh`esmm8?=?<4zqwjjj)ng&GfyuQfo^pfeaYtmeohxhj=5001?vrage$eb!Bmtz\mjYumhnThbjcugg6375:2p{yd``/hm,IhsW`eT~hok_rgoafrbl;<9>95uptkmk*oh'Dg~tRg`_sgb`Zubdliii<92^D10>|w}`dd#da Mlw{[liXzlkoS~kcebvf`705WN897w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo>;==2:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd35267=}x|cec"g`/Lov|ZohW{ojhR}jldawaa40:;<0v}{fnn-jk*Kj}qUbcR|jae]paicd|ln9;?Q\W101?vrage$eb!Bmtz\mjYumhnThbjcugg625592p{yd``/hm,IhsW`eT~hok_rgoafrbl;2996tuhll+li(EdsSdaPrdcg[vckmj~nh?6PSV215>|w}`dd#da Mlw{[liXzlkoS~kcebvf`7?5=2p{yd``/hm,IhsW`eT~hok_rgoafrbl;3T_Z>=0:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd202?vrage$eb!Bmtz\mjYumhnThbjcugg75423sz~eca in-Nip~XafUyiljPsdnfgqcc;9UX[=<>;{rvmki(af%FaxvPin]qadbX{lfnoykk3001?vrage$eb!Bmtz\mjYumhnThbjcugg7475:2p{yd``/hm,IhsW`eT~hok_rgoafrbl:;9>?5uptkmk*oh'Dg~tRg`_sgb`Zubdliii=>3338~usnff%bc"Cbuy]jkZtbimUxiakltdf0674<5uptkmk*oh'Dg~tRg`_sgb`Zubdliii=:219ytpoig&cd#@czx^kl[wcflVyn`hm{ee615>|w}`dd#da Mlw{[liXzlkoS~kcebvf`17592p{yd``/hm,IhsW`eT~hok_rgoafrbl=89=6tuhll+li(EdsSdaPrdcg[vckmj~nh9==0:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd402?vrage$eb!Bmtz\mjYumhnThbjcugg14463sz~eca in-Nip~XafUyiljPsdnfgqcc=;8:7w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo9>4zqwjjj)ng&GfyuQfo^pfeaYtmeohxhj8209ytpoig&cd#@czx^kl[wcflVyn`hm{ee5264=}x|cec"g`/Lov|ZohW{ojhR}jldawaa15:81q|xgao.kl+HkrpVcdSknd^qfh`esmm=8>=5uptkmk*oh'Dg~tRg`_sgb`Zubdliii6=1:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd9315>|w}`dd#da Mlw{[liXzlkoS~kcebvf`=4592p{yd``/hm,IhsW`eT~hok_rgoafrbl199<6tuhll+li(EdsSdaPrdcg[vckmj~nh4<>;{rvmki(af%FaxvPin]qadbX{lfnoykk9002?vrage$eb!Bmtz\mjYumhnThbjcugg=7463sz~eca in-Nip~XafUyiljPsdnfgqcc1:;m7w~ziom,mj)Je|rTaa{_b{`[l:6;7;:==5uptkmk*oh'Vd;=59>1:xsqlhh'`e$Sc>>86324>|w}`dd#da _o22=5763sz~eca in-\j57>88;?7w~ziom,mj)Xf9;2?Rgav078~usnff%bc"Qa00;0[lhq98>0v}{fnn-jk*Yi8835:xsqlhh'`e$Sc>=00]jjs7612p{yd``/hm,eabuWzk}iR311<2=>|w}`dd#da aefq[vgqmV{7=<0>9:xsqlhh'`e$mij}_rcuaZw;::4:56tuhll+li(imnyS~oye^s?618612p{yd``/hm,eabuWzk}iR324<2=>|w}`dd#da aefq[vgqmV{7>;0>8:xsqlhh'`e$mij}_rcuaZw;:7;37w~ziom,mj)flmxTlxj_p>0:4>0:8~usnff%bc"okds]pescXy525=55uptkmk*oh'hno~R}nvd]r8<8602p{yd``/hm,eabuWzk}iRP113;?vrage$eb!ndep\wdpbWxU:=<94zqwjjj)ng&kohQ|awg\uZ4602p{yd``/hm,eabuWzk}iRP223;?vrage$eb!ndep\wdpbWxU98<64zqwjjj)ng&kohQ|awg\uZ42911q|xgao.kl+dbczVyjzhQ~_3423>|w}`dd#da aefq[vgqmV{T?<94zqwjjj)ng&kohQ|awg\uZ26?2p{yd``/hm,eabuWzk}iRP5058~usnff%bc"okds]pescXyV<:;6tuhll+li(imnyS~oye^s\3417:xsqlhh'`e$mij}_rcuaZwX18>0v}{fnn-jk*oi|{Ubb~z 2b9ytpoig&cd#d`{r^kmwq)@FMU34RaPidl\uZKRPV<=5Ra<2:xsqlhh'`e$ecz}_hlpp*AILV23SbQxb^cgvZo\85uptkmk*oh'`d~Rgasu-Nip~Xag~ySagae01264=}x|cec"g`/hlwvZoi{}%FaxvPn1157446:81q|xgao.kl+lhszVcey!Bmtz\j551;89:=l5uptkmk*oh'`d~Rgasu-\j527:8h0v}{fnn-jk*oi|{Ubb~z _o274776m2p{yd``/hm,mkruW`dxx"Qa0530[lhq9o1q|xgao.kl+lhszVcey!Pn1627Zoi~8;n7w~ziom,mj)nf}xTec}{/^l304?Xag|:j6tuhll+li(ag~ySd`|t.]m417>W`d}=1d9ytpoig&cd#d`{r^kmwq)Xf9>9;Rgav0d8~usnff%bc"gats]jjvr(Wg:?>:Qfnw32b>|w}`dd#da iovq[lht|&ijoekPfmwpl4?89]l570f:xsqlhh'`e$ecz}_hlpp*oi|{UbbRP4368~usnff%bc"gats]jjvr(ag~ySakyem]r8409:=1q|xgao.kl+lhszVcey!fnup\h`pbdV{7=:0=3:xsqlhh'`e$ecz}_hlpp*oi|{Ugi{kc_p]2275368~usnff%bc"gats]jjvr(ag~yS~k}vdf\u959:=1q|xgao.kl+lhszVcey!fnup\w`tqmmUz090=3:xsqlhh'`e$ecz}_hlpp*oi|{Uxixjd^s\775:o6tuhll+li(ag~ySd`|t.kqadb~Wx8>7w~ziom,mj)nf}xTec}{/hpfeaXyVmgyhQ}su3g?vrage$eb!fnup\mkus'dkxgR30?3f?vrage$eb!fnup\mkus'dkxgR311<2a>|w}`dd#da iovq[lht|&gjfQ~<03=5`=}x|cec"g`/hlwvZoi{}%fm~ePq=31:4c27;7b3sz~eca in-jjqtXagy#`o|k^s?5186m2p{yd``/hm,mkruW`dxx"cnsj]r84399l1q|xgao.kl+lhszVcey!bari\u97168o0v}{fnn-jk*oi|{Ubb~z m`qh[t:6?7;o7w~ziom,mj)nf}xTec}{/lcpoZw;97;o7w~ziom,mj)nf}xTec}{/lcpoZw;:7;o7w~ziom,mj)nf}xTec}{/lcpoZw;;7;o7w~ziom,mj)nf}xTec}{/lcpoZw;<7;o7w~ziom,mj)nf}xTec}{/lcpoZw;=7;o7w~ziom,mj)nf}xTec}{/lcpoZw;>7;o7w~ziom,mj)nf}xTec}{/lcpoZw;?7;o7w~ziom,mj)nf}xTec}{/lcpoZw;07;o7w~ziom,mj)nf}xTec}{/lcpoZw;17;h7w~ziom,mj)nf}xTec}{/lcpoZwX88i0v}{fnn-jk*oi|{Ubb~z m`qh[tY69m1q|xgao.kl+lhszVcey!bari\uZ779m1q|xgao.kl+lhszVcey!bari\uZ769m1q|xgao.kl+lhszVcey!bari\uZ759m1q|xgao.kl+lhszVcey!bari\uZ749m1q|xgao.kl+lhszVcey!bari\uZ739m1q|xgao.kl+lhszVcey!bari\uZ729m1q|xgao.kl+lhszVcey!bari\uZ719m1q|xgao.kl+lhszVcey!bari\uZ709j1q|xgao.kl+lhszVcey!bari\uZ46k2p{yd``/hm,mkruW`dxx"cnsj]r[67d3sz~eca in-jjqtXagy#`o|k^s\04e|w}`dd#da iovq[lht|&gjfQ~_63`?vrage$eb!fnup\mkus'dkxgRP80a8~usnff%bc"gats]jjvr(ehy`S|Q62`9ytpoig&cd#d`{r^kmwq)jizanhRgyebq?52<76595=??4zqwjjj)ng&cexQfnrv,i`khzpUnbllce0a8~usnff%bc"gats]jjvr(f99=?1=11b9ytpoig&cd#d`{r^kmwq)i8:<8090>d:xsqlhh'`e$ecz}_hlpp*qeWhi`Sb?>d:xsqlhh'`e$ecz}_hlpp*qeW`dmRa=5:xsqlhh'`e$ecz}_hlpp*qeW`dmRaPgmwf[fc5>2p{yd``/hm,mkruW`dxx"ym_hlweZiXoenS~k{209ytpoig&cd#d`{r^kmwq)pjVxxxRgPiot255=}x|cec"g`/hm\g|e6?2p{yd``/hm,mjYcmchiRolk0f8~usnff%bc"g`_sgb`Zubdliii2?>0g8~usnff%bc"g`_sgb`Zubdliii2>0?3f?vrage$eb!fo^pfeaYtmeohxhj310<2a>|w}`dd#da in]qadbX{lfnoykk<00=5`=}x|cec"g`/hm\v`gcWzoginzjd=30:4c20;7b3sz~eca in-jkZtbimUxiakltdf?5086m2p{yd``/hm,mjYumhnThbjcugg84099l1q|xgao.kl+liXzlkoS~kcebvf`97068o0v}{fnn-jk*ohW{ojhR}jldawaa:607;n7w~ziom,mj)ngVxnmiQ|emg`p`b;904:h6tuhll+li(afUyiljPsdnfgqcc484:i6tuhll+li(afUyiljPsdnfgqcc4;:5=h5uptkmk*oh'`eT~hok_rgoafrbl58:23?j;{rvmki(af%bcR|jae]paicd|ln7>>0>e:xsqlhh'`e$ebQ}e`f\w`jbk}oo0?:11d9ytpoig&cd#daPrdcg[vckmj~nh1<:>0g8~usnff%bc"g`_sgb`Zubdliii2=6?3f?vrage$eb!fo^pfeaYtmeohxhj326<2a>|w}`dd#da in]qadbX{lfnoykk<3:=5`=}x|cec"g`/hm\v`gcWzoginzjd=0::4b1:4c04;7b3sz~eca in-jkZtbimUxiakltdf?7486l2p{yd``/hm,mjYumhnThbjcugg8686l2p{yd``/hm,mjYumhnThbjcugg8186l2p{yd``/hm,mjYumhnThbjcugg8086l2p{yd``/hm,mjYumhnThbjcugg8386l2p{yd``/hm,mjYumhnThbjcugg8286l2p{yd``/hm,mjYumhnThbjcugg8=86l2p{yd``/hm,mjYumhnThbjcugg8<8682p{yd``/hm,mjYt|kl0v}{fnn-jk*ohW~o:?6tuhll+li(|`gn~Rolk008~usnff%bc"ym_`ah[j723sz~eca in-tfZbf|Ve7<3?9;{rvmki(af%|nRjnt^m?5586>2p{yd``/hm,sgYci}Ud03?35?vrage$eb!xb^fbpZi;9=4::6tuhll+li(kUomyQ`<07=53=}x|cec"g`/v`\`drXg5;=2<84zqwjjj)ng&}iSio{_n>23;713sz~eca in-tfZbf|Ve7=50>6:xsqlhh'`e${oQkau]l84?99<1q|xgao.kl+rdXlh~Tc1?1179ytpoig&cd#zlPd`v\k94768<0v}{fnn-jk*qeWmkSb2=1?35?vrage$eb!xb^fbpZi;:;4::6tuhll+li(kUomyQ`<31=53=}x|cec"g`/v`\`drXg58?2<84zqwjjj)ng&}iSio{_n>11;713sz~eca in-tfZbf|Ve7>;0>6:xsqlhh'`e${oQkau]l87199?1q|xgao.kl+rdXlh~Tc1<7>048~usnff%bc"ym_ecw[j:517;>7w~ziom,mj)pjVnjxRa32?35?vrage$eb!xb^fbpZi;;94::6tuhll+li(kUomyQ`<23=50=}x|cec"g`/v`\`drXg595=85uptkmk*oh'~hThlzPo=6=50=}x|cec"g`/v`\`drXg5?5=85uptkmk*oh'~hThlzPo=4=50=}x|cec"g`/v`\`drXg5=5=85uptkmk*oh'~hThlzPo=:=50=}x|cec"g`/v`\`drXg535=95uptkmk*oh'~hThlzPo^220>|w}`dd#da wc]geqYhW8;>7w~ziom,mj)pjVnjxRaP1136?vrage$eb!xb^fbpZiX98;>7w~ziom,mj)pjVnjxRaP1336?vrage$eb!xb^fbpZiX9:;>7w~ziom,mj)pjVnjxRaP1536?vrage$eb!xb^fbpZiX9<;>7w~ziom,mj)pjVnjxRaP1736?vrage$eb!xb^fbpZiX9>;>7w~ziom,mj)pjVnjxRaP1936?vrage$eb!xb^fbpZiX90;?7w~ziom,mj)pjVnjxRaP2078~usnff%bc"ym_ecw[jY588?0v}{fnn-jk*qeWmkSbQ=1078~usnff%bc"ym_ecw[jY5:8?0v}{fnn-jk*qeWmkSbQ=3078~usnff%bc"ym_ecw[jY5<8?0v}{fnn-jk*qeWmkSbQ=5078~usnff%bc"ym_ecw[jY5>8?0v}{fnn-jk*qeWmkSbQ=7078~usnff%bc"ym_ecw[jY508?0v}{fnn-jk*qeWmkSbQ=9068~usnff%bc"ym_ecw[jY49<1q|xgao.kl+rdXlh~TcR=?149ytpoig&cd#zlPd`v\kZ569=1q|xgao.kl+rdXlh~TcR:>4:xsqlhh'`e${oQkau]l[0733sz~eca in-tfZbf|VeT:<:4zqwjjj)ng&}iSio{_n]451=}x|cec"g`/v`\`drXgV2:86tuhll+li(kUomyQ`_83a?vrage$eb!xb^km[qtffzkhxdaa189ytpoig&cd#z|ftd]pescXy5:5=l5uptkmk*oh'~xbxhQ|awg\u97768k0v}{fnn-jk*qua}oTlxj_p>25;7f3sz~eca in-tvlrbWzk}iR313<2e>|w}`dd#da wskwaZuf~lUz0<=11`9ytpoig&cd#z|ftd]pescXy5;?20c8~usnff%bc"y}iug\wdpbWx6:53?6;{rvmki(af%|~dzj_rcuaZw;97;j7w~ziom,mj)pz`~nS~oye^s?6586i2p{yd``/hm,swosmVyjzhQ~<33=5d=}x|cec"g`/vpjp`YtioT}1<=>0c8~usnff%bc"y}iug\wdpbWx69?3?n;{rvmki(af%|~dzj_rcuaZw;:=4:m6tuhll+li({ciR}nvd]r87399h1q|xgao.kl+rtn|lUxm{kPq=05:4g50>a:xsqlhh'`e${g{e^qbr`Yv4;35=45uptkmk*oh'~xbxhQ|awg\u9499h1q|xgao.kl+rtn|lUxm{kPq=13:4g3sz~eca in-tvlrbWzk}iR36?3:?vrage$eb!xrhvf[vgqmV{7;3?6;{rvmki(af%|~dzj_rcuaZw;07;27w~ziom,mj)pz`~nS~oye^s?=;7?3sz~eca in-tvlrbWzk}iRP00:8~usnff%bc"y}iug\wdpbWxU:=45uptkmk*oh'~xbxhQ|awg\uZ77901q|xgao.kl+rtn|lUxm{kPq^325<=}x|cec"g`/vpjp`YtioT}R?=189ytpoig&cd#z|ftd]pescXyV;8=45uptkmk*oh'~xbxhQ|awg\uZ73901q|xgao.kl+rtn|lUxm{kPq^365<=}x|cec"g`/vpjp`YtioT}R?9189ytpoig&cd#z|ftd]pescXyV;<=45uptkmk*oh'~xbxhQ|awg\uZ7?901q|xgao.kl+rtn|lUxm{kPq^3:5==}x|cec"g`/vpjp`YtioT}R<>9:xsqlhh'`e${g{e^qbr`YvW;::56tuhll+li({ciR}nvd]r[77612p{yd``/hm,swosmVyjzhQ~_302=>|w}`dd#da wskwaZuf~lUzS?=>9:xsqlhh'`e${g{e^qbr`YvW;>:56tuhll+li({ciR}nvd]r[73612p{yd``/hm,swosmVyjzhQ~_342=>|w}`dd#da wskwaZuf~lUzS?9>9:xsqlhh'`e${g{e^qbr`YvW;2:56tuhll+li({ciR}nvd]r[7?602p{yd``/hm,swosmVyjzhQ~_23:?vrage$eb!xrhvf[vgqmV{T?=?6;{rvmki(af%|~dzj_rcuaZwX;8;37w~ziom,mj)pz`~nS~oye^s\04>]/qwefmjmdUbb~{caug76g=}x|cec"}{abi,wqgdc{kfWS!suc`ohcjW`dxyao{e834?vrage$yolk^c\eabu48:5=:5uptkmk*usijaTmRokds>25;703sz~eca suc`oZgXimny0<<1179ytpoig&ymnePa^cg`w:568<0v}{fnn-ppdelWhUjhi|33?35?vrage$yolk^c\eabu4=4::6tuhll+vrfkbUjSljkr=7=53=}x|cec"}{abi\eZgcl{6=2<84zqwjjj)t|hi`SlQndep?3;713sz~eca suc`oZgXimny050>6:xsqlhh'z~jofQn_`fgv9?99<1q|xgao.qwefmXiVoemobj179ytpoig&ymnePa^pfea:768=0v}{fnn-ppdelWhUyilj311<23>|w}`dd#~zncj]b[wcfl5;:2<94zqwjjj)t|hi`SlQ}e`f?5786?2p{yd``/rvbgnYfW{ojh1?<>058~usnff%xxlmd_`]qadb;9=4:;6tuhll+vrfkbUjSknd=36:417:xsqlhh'z~jofQn_sgb`97068=0v}{fnn-ppdelWhUyilj319<23>|w}`dd#~zncj]b[wcfl5;22<84zqwjjj)t|hi`SlQ}e`f?5;703sz~eca suc`oZgXzlko0?>1169ytpoig&ymnePa^pfea:597;<7w~ziom,wqgdcVkT~hok<30=52=}x|cec"}{abi\eZtbim69?3?8;{rvmki({}khgRoPrdcg87299>1q|xgao.qwefmXiVxnmi2=5?34?vrage$yolk^c\v`gc4;<5=:5uptkmk*usijaTmR|jae>13;703sz~eca suc`oZgXzlko0?61169ytpoig&ymnePa^pfea:517;=7w~ziom,wqgdcVkT~hok<3<23>|w}`dd#~zncj]b[wcfl59;2<94zqwjjj)t|hi`SlQ}e`f?7486>2p{yd``/rvbgnYfW{ojh1=1179ytpoig&ymnePa^pfea:368<0v}{fnn-ppdelWhUyilj35?35?vrage$yolk^c\v`gc4?4::6tuhll+vrfkbUjSknd=5=53=}x|cec"}{abi\eZtbim632<84zqwjjj)t|hi`SlQ}e`f?=;703sz~eca suc`oZgX{ci1>1199ytpoig&ymnePa^uqmqc;994:46tuhll+vrfkbUjSz|ftd>25;7?3sz~eca suc`oZgX{ci1?=>0:8~usnff%xxlmd_`]tvlrb4895=55uptkmk*usijaTmRy}iug?518602p{yd``/rvbgnYfW~xbxh2>5?3;?vrage$yolk^c\swosm5;=2<64zqwjjj)t|hi`SlQxrhvf8419911q|xgao.qwefmXiV}yeyk319<2<>|w}`dd#~zncj]b[rtn|l6:53?8;{rvmki({}khgRoPwskwa979911q|xgao.qwefmXiV}yeyk321<2<>|w}`dd#~zncj]b[rtn|l69=3?7;{rvmki({}khgRoPwskwa9456820v}{fnn-ppdelWhU|~dzj<31=5==}x|cec"}{abi\eZqua}o7>90>8:xsqlhh'z~jofQn_vpjp`:5=7;37w~ziom,wqgdcVkT{g{e=05:4>1=;703sz~eca suc`oZgX{ci1<1199ytpoig&ymnePa^uqmqc;;94:46tuhll+vrfkbUjSz|ftd>05;703sz~eca suc`oZgX{ci1=1169ytpoig&ymnePa^uqmqc;<7;<7w~ziom,wqgdcVkT{g{e=7=52=}x|cec"}{abi\eZqua}o7:3?8;{rvmki({}khgRoPwskwa9199>1q|xgao.qwefmXiV}yeyk38?34?vrage$yolk^c\swosm535=i5uptkmk*usijaTmRy}iugfjddkm5:5=i5uptkmk*usijaTmRy}iugfjddkm5;5=i5uptkmk*usijaTmRy}iugfjddkm585=i5uptkmk*usijaTmRy}iugfjddkm595=:5uptkmk*usijaTnRokds>24;703sz~eca suc`oZdXimny0|w}`dd#~zncj]a[dbcz595=;5uptkmk*usijaTnRokds>7:402p{yd``/rvbgnYeWhno~191179ytpoig&ymnePb^cg`w:?68<0v}{fnn-ppdelWkUjhi|39?36?vrage$yolk^`\akgedl;=7w~ziom,wqgdcVhT~hok<1<23>|w}`dd#~zncj]a[wcfl5;;2<94zqwjjj)t|hi`SoQ}e`f?5486?2p{yd``/rvbgnYeW{ojh1?=>058~usnff%xxlmd_c]qadb;9:4:;6tuhll+vrfkbUiSknd=37:417:xsqlhh'z~jofQm_sgb`97168=0v}{fnn-ppdelWkUyilj316<23>|w}`dd#~zncj]a[wcfl5;32<94zqwjjj)t|hi`SoQ}e`f?5<86>2p{yd``/rvbgnYeW{ojh1?1169ytpoig&ymnePb^pfea:587;<7w~ziom,wqgdcVhT~hok<33=52=}x|cec"}{abi\fZtbim69>3?8;{rvmki({}khgRlPrdcg87599>1q|xgao.qwefmXjVxnmi2=4?34?vrage$yolk^`\v`gc4;?5=:5uptkmk*usijaTnR|jae>12;703sz~eca suc`oZdXzlko0?91169ytpoig&ymnePb^pfea:507;<7w~ziom,wqgdcVhT~hok<3;=53=}x|cec"}{abi\fZtbim692<94zqwjjj)t|hi`SoQ}e`f?7586?2p{yd``/rvbgnYeW{ojh1=>>048~usnff%xxlmd_c]qadb;;7;=7w~ziom,wqgdcVhT~hok<5<22>|w}`dd#~zncj]a[wcfl5?5=;5uptkmk*usijaTnR|jae>5:402p{yd``/rvbgnYeW{ojh171d:xsqlhh'~hTmnek;{rvmki(kUhunm4zqwjjjYdzj;==<5uptkmkZbbj|mTob|j129ytpoigVof|ywPddtjg`d|w}`ddSa}z139ytpoigVyn~docrdqfp47FGp8o8n7H57;3xW1?3288>n7k70;3077?4j39=5<6tn006=?7m784$007e?75;01v_96j:006f?c?83;8??7l537c77>U>>l0:o:k5e6d956551:h1?;o;b:Q7<`<6k>o1>7?<33;0f?51i=h0h<3;99o4j8182764>;k08:4<:;%3202<>9>1]=?;9:3yv=2?=922;l4?;|&e66<6k?1/;lh50:&4ea<3=8o0(:oj:826?g7b;k0;68h527cf>7>61rB:=9=4$030b?7b;k1Q?o;57zg4>`>=m00o;7?<7;g5>45?2t.:>o68h<1<75$105b>5<#nli1=<96;odff?6<3";:;54?:%dfg?76?01ejhl51:9(5410290/jhm5105:?k`bj3807&?>7783>!`bk3;:;45afd`97>=,98=>6=4+fda9541>3glnn7:4;*3231<72-lno7?>789mb`d==21 =<6<:18'b`e=98=27chjb;48?.760;0;6)hjc;323<=inlh1;65$10:2>5<#nli1=<96;odff?><3";:4=4?:%dfg?76?01ejhl59:9(541a290/jhm5105:?k`bj3k07&?>7d83>!`bk3;:;45afd`9f>=,98=o6=4+fda9541>3glnn7m4;*323f<72-lno7?>789mb`d=l21 =<9m:18'b`e=98=27chjb;g8?.76?:0;6)hjc;323<=inlh1j65$10`a>5<#nli1=b683>!`bk3;:nl5afd`97>=,98h=6=4+fda954df3glnn7:4;*32f0<72-lno7?>b`9mb`d==21 =5<#nli1=<3";:o<4?:%dfg?76jh1ejhl59:9(54e7290/jhm510`b?k`bj3k07&?>bg83>!`bk3;:nl5afd`9f>=,98hn6=4+fda954df3glnn7m4;*32fa<72-lno7?>b`9mb`d=l21 =5<#nli1=290/jhm510da?k`bj3807&?>f983>!`bk3;:jo5afd`97>=,98l<6=4+fda954`e3glnn7:4;*32b3<72-lno7?>fc9mb`d==21 =?>::18'b`e=98li7chjb;48?.758=0;6)hjc;32bg=inlh1;65$1320>5<#nli1=<3";9!`bk3;:jo5afd`9f>=,98lm6=4+fda954`e3glnn7m4;*32b`<72-lno7?>fc9mb`d=l21 ==h?8:1<7*ieb8454=inlh1=65`71d94?"amj0<=<5afd`96>=h?8h1<7*ieb8454=inlh1?65`70c94?"amj0<=<5afd`90>=h?831<7*ieb8454=inlh1965`70:94?"amj0<=<5afd`92>=h?8=1<7*ieb8454=inlh1;65`70494?"amj0<=<5afd`9<>=h?8?1<7*ieb8454=inlh1565`70694?"amj0<=<5afd`9e>=h?891<7*ieb8454=inlh1n65`71g94?"amj0<=<5afd`9g>=h?;h1<7*ieb846d=inlh1<65`73;94?"amj0<>l5afd`95>=,?:n1<7*ieb847f=inlh1<65$72`94?"amj0=,?:k1<7*ieb847f=inlh1>65$72;94?"amj0=,?:21<7*ieb847f=inlh1865$72594?"amj0=,?=<1<7*ieb847f=inlh1:65$75794?"amj0=,?=>1<7*ieb847f=inlh1465$75194?"amj0=,?=81<7*ieb847f=inlh1m65$75394?"amj0=,?=:1<7*ieb847f=inlh1o65$72d94?"amj0=,?:o1<7*ieb847f=inlh1i65$72494?"amj0=h?=31<7*ieb840==inlh1<65`75594?"amj0<855afd`95>=h99im6=44o02`a?6=3f;;ol4?::m256c=831d;?k50;9l366=831d;><50;9l362=831d;:m50;9l32c=831d5=h50;9a5721280:6=4?{%327c6<<7d:&:57<3=8n0b<<:7;38 46c:3;n?o5`88;94?"6:t$030b?76<<1C=?=l;I3206=#9;?>6h89;%;26?229m1e=?;8:39'55b528o8n6aj7d83>!75=<0n;i54}c704=<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6>5+11f1>047j2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb40f2?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=186*>0e09176e3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?0(<>k2;714g=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<83=7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5685?!77l;0>>=l4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c71<3<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1;6*>0e09176e3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>>5951;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>==#99n968o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:?280=6=4?{%327c<0m81C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b;774$02g6?358k1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn8<79;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg350h0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895b:&24a4==;:i7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:29`95?0=83:p(N6::i0D7::1e9m57302j1/==j=:403f>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e=;2h6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;f8 46c:3?9h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb404b?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0n7)??d38665d15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459b>"68m819?>m;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`66=4=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6<>4$02g6?358k1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn8<73;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<692.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd2:1>1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:008 46c:3?9h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb40;1?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0:?6*>0e09176e3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>??m51;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>42<,8:o>7;=0c9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f055l3;1:7>50z&256`=?mk0D<<979i6:&;fd<33A;;595+9009007c3g;99:4>5:&24a4==;:i7d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:32:95?0=83:p(N6::i0D7::1e9m573028<0(<>k2;714g=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<9??7?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56823>"68m819?>m;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`6710=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6<64$02g6?358k1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn8=;7;392?6=8r.:=>h57ec8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<612.:=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd2;=21=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:0c8 46c:3?9h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb417=?7=>3:112d93ag<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0:n6*>0e09176e3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>?9o51;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>4e<,8:o>7;=0c9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f053j3;1:7>50z&256`=?mk0D<<979i6:&;fd<33A;;595+9009007c3g;99:4>d:&24a4==;:i7d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:33g95?0=83:p(N6::i0D7::1e9m573028o0(<>k2;714g=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<99j7?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5682b>"68m819?>m;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`6766=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?>4$02g6?358k1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn8=<1;392?6=8r.:=>h57ec8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<592.:=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd2;:81=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:308 46c:3?9h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb4107?7=>3:112d93ag<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>09?6*>0e09176e3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>?>:51;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>72<,8:o>7;=0c9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f054=3;1:7>50z&256`=?mk0D<<979i6:&;fd<33A;;595+9009007c3g;99:4=5:&24a4==;:i7d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:32495?0=83:p(N6::i0D7::1e9m57302;<0(<>k2;714g=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<98;7?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56813>"68m819?>m;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`676?=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?64$02g6?358k1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn8=h57ec8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<512.:=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd2;:h1=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:3c8 46c:3?9h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb410g?7=>3:112d93ag<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>09n6*>0e09176e3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>?>j51;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>7e<,8:o>7;=0c9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f054m3;1:7>50z&256`=?mk0D<<979i6:&;fd<33A;;595+9009007c3g;99:4=d:&24a4==;:i7d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:32d95?0=83:p(N6::i0D7::1e9m57302;o0(<>k2;714g=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<9?<7?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5681b>"68m819?>m;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`6717=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6>>4$02g6?358k1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn8=;2;392?6=8r.:=>h57ec8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<492.:=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd2;=>1=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:208 46c:3?9h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb4171?7=>3:112d93ag<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>08?6*>0e09176e3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>?=l51;:94?6|,8;8j79j5:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th>?9m51;:94?6|,8;8j79j5:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th>?;j51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>60<,8:o>7;=0c9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f051m3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4<7:&24a4==;:i7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:36;95?0=83:p(N6::i0D7::1e9m57302:20(<>k2;714g=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<9387?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5680=>"68m819?>m;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`67=1=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6>o4$02g6?358k1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn8=78;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<4j2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd2;131=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:2a8 46c:3?9h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb41;e?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>08h6*>0e09176e3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>?5l51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>6c<,8:o>7;=0c9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f05?k3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:48j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:37d95?0=83:p(N6::i0D7::1e9m57302=:0(<>k2;714g=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<9<<7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56875>"68m819?>m;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`6727=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<69<4$02g6?358k1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn8=82;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3;2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd2;>91=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:568 46c:3?9h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb4140?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0?96*>0e09176e3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>?:;51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>10<,8:o>7;=0c9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f050>3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;7:&24a4==;:i7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:36595?0=83:p(N6::i0D7::1e9m57302=20(<>k2;714g=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<9<47?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5687=>"68m819?>m;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`672g=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<69o4$02g6?358k1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn8=8b;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3j2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd2;>i1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:5a8 46c:3?9h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb414`?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0?h6*>0e09176e3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>?:k51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>1c<,8:o>7;=0c9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f050n3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;f:&24a4==;:i7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:39295?0=83:p(N6::i0D7::1e9m57302<:0(<>k2;714g=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<93=7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56865>"68m819?>m;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`67=4=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68<4$02g6?358k1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn8=73;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2;2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd2;1?1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:468 46c:3?9h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb41;2?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>96*>0e09176e3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:jk751;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9f3;8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9o>k51;:94?6|,8;8j79j5:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:j;751;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'45f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=21<@888o6F>1518 442=33<:6*6138714b;7;6;%33`7<6n;30e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e9o226<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<2i2.:=n18;1<7*>24795$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3l=7c?=5686f>"68m81=k<6;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8955b9'55b528l956g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302k2;3e6<=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl>f6d95?2=83:p(N6::i0D2;665a=#0kk1j;5a1374>0c<,8:o>7?i289j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f4`183;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;7e?!77l;0:j?74i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi=k7i:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0=<6*>0e095c4>3`>8n7>5$0061?>fj21b512d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7h9;o3112<192.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd6n?81=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245927=#99n96o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6;=4$02g6?7a:01b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:0(<>k2;3e6<=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj8l=?7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9f3;8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1gc0>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:496:&24a4=9o827d:97k8d:9~f4`?<3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;d5?k75=>0=;6*>0e095c4>3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th:j;:51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=>11/==j=:0d1=>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c3ee1<62:0;6=u+101e>444j2B:>>m4H0377>"6:45f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6n1?1=7:50;2x 474n33:o6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9b3=i9;?<6;o4$02g6?7a:01b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a5cg228086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=5685g>"68m81=k<6;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj8l3:7?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?`13g;99:49d:&24a4=9o827d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>f7495?2=83:p(N6::i0D<0(4?=:572`>h6:<=1:h5+11f1>4`512c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>3`<,8:o>7?i289j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th:j5951;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'45f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=21<@888o6F>1518 442=33<:6*6138714b;79>;%33`7<6n;30e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e9ok<6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<0:2.:=n18;1<7*>24795$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3l=7c?=56847>"68m81=k<6;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:=93>1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895759'55b528l956g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302>?0(<>k2;3e6<=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl>f7c95?2=83:p(N6::i0D2;665a=#0kk1j;5a1374>20<,8:o>7?i289j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f4`3j3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;54?!77l;0:j?74i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi=k6n:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0<46*>0e095c4>3`>8n7>5$0061?>fj21b512d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7h9;o3112<012.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd6n=i1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24593d=#99n96o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6:l4$02g6?7a:01b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:i0(<>k2;3e6<=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj8l?h7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9f3;8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1g:`>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:48e:&24a4=9o827d:97k8d:9~f4`1l3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;d5?k75=>00e095c4>3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th:j9k51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=091/==j=:0d1=>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c3e444j2B:>>m4H0377>"6:45f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6n?o1=7:50;2x 474n33:o6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9b3=i9;?<65<4$02g6?7a:01b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3287)??d382b7?=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a5c>b28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568;0>"68m81=k<6;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj8l=j7?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?`13g;99:475:&24a4=9o827d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>f4295?2=83:p(N6::i0D<0(4?=:572`>h6:<=14;5+11f1>4`512c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>=1<,8:o>7?i289j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th:j:>51;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'45f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=21<@888o6F>1518 442=33<:6*6138714b;766;%33`7<6n;30e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e9o3;6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112=n18;1<7*>24795$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3l=7c?=568;f>"68m81=k<6;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8958b9'55b528l956g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573021n0(<>k2;3e6<=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl>f6095?2=83:p(N6::i0D2;665a=#0kk1j;5a1374>=c<,8:o>7?i289j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f4`2;3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;:e?!77l;0:j?74i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi=k7=:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>02<6*>0e095c4>3`>8n7>5$0061?>fj21b512d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7h9;o3112<>92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd6n<>1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459=7=#99n96o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<64=4$02g6?7a:01b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:0(<>k2;3e6<=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj8l>:7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9f3;8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1g;6>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:466:&24a4=9o827d:97k8d:9~f4`0>3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;d5?k75=>02;6*>0e095c4>3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th:j8951;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=111/==j=:0d1=>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c3e=3<62:0;6=u+101e>444j2B:>>m4H0377>"6:45f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6n>=1=7:50;2x 474n33:o6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9b3=i9;?<64o4$02g6?7a:01b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?33i7)??d382b7?=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a5c?028086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568:g>"68m81=k<6;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj8l<47?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?`13g;99:46d:&24a4=9o827d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>f4;95?2=83:p(N6::i0D<0(4?=:572`>h6:<=15h5+11f1>4`512c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374><`<,8:o>7?i289j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th:j:751;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'45f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=21<@888o6F>1518 442=33<:6*6138714b;7o>;%33`7<6n;30e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e9o326<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112=n18;1<7*>24795$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3l=7c?=568b7>"68m81=k<6;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895a59'55b528l956g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302h?0(<>k2;3e6<=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl>f6`95?2=83:p(N6::i0D2;665a=#0kk1j;5a1374>d0<,8:o>7?i289j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f4`2k3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;c4?!77l;0:j?74i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi=k7m:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0j46*>0e095c4>3`>8n7>5$0061?>fj21b512d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7h9;o3112f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd6n22a8L473;2.:>8;59648 <752=?:h6`>2459ed=#99n96o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6ll4$02g6?7a:01b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:k2;3e6<=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj8l>i7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9f3;8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1g;g>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4ne:&24a4=9o827d:97k8d:9~f4`0m3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;d5?k75=>0jj6*>0e095c4>3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th:j8h51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=j91/==j=:0d1=>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c3e=`<62:0;6=u+101e>444j2B:>>m4H0377>"6:45f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6n1:1=7:50;2x 474n33:o6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9b3=i9;?<6o<4$02g6?7a:01b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3h87)??d382b7?=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a5cg728086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568a0>"68m81=k<6;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj8l3=7?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?`13g;99:4m5:&24a4=9o827d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>f`395?5=83:p(429'57322888m6*6138714b;7l9;%33`7<6n;30e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5cb328036=4?{%327c<0m?1C=?=l;I3206=#0kk186*>247955d73A;;595+9009007c3g;99:4m7:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4m8:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4m9:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4ma:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4mb:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4mc:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4md:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4me:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4mf:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4l0:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4l1:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:28036=4?{%327c<0m?1C=?=l;I3206=#0kk186*>247955d73A;;595+9009007c3g;99:4l2:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4l3:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4l4:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4l5:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4l6:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4l7:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4l8:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4l9:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4la:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:28036=4?{%327c<0m?1C=?=l;I3206=#0kk186*>247955d73A;;595+9009007c3g;99:4lb:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4lc:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4ld:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4le:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4lf:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4k0:&24a4=9o827d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:?11C=?=l;I3206=#9;?>6499;I33=6=O0k;0(4?=:572`>h6:<=1h<5+11f1>4c4j2c3m<4?:%31100`:94?"6:0858 <752=?:h6*7b`84`==i9;?<6i<4$02g6?7b;k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8m9851;694?6|,8;8j7788:J266e<@8;??6*>2479=20<@8:2?6F7b09'=44=<<;o7c?=568g7>"68m81=h=m;h:b5?6=,88>976nc:9j8;58`f8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>on8;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>2459`1=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1bf=<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48989m57302m?0(<>k2;3f7g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn>om7;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=256`>2459`3=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;hh=6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?92?>3g;99:4k7:&24a4=9l9i7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<545a1374>a?<,8:o>7?j3c9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj:k?97?54;294~"69:l15:64H000g>N69=90(<<:5;;42>N68090D5l>;%;26?229m1e=?;8:ec8 46c:3;n?o5f8`394?"6:976nd:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8m9=51;694?6|,8;8j7788:J266e<@8;??6*>2479=20<@8:2?6F7b09'=44=<<;o7c?=568gf>"68m81=h=m;h:b5?6=,88>976nc:9j8;58`f8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>om3;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=256`>2459`f=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;h>96<4;:183!76;o02;55G131`?M76<:1/=?;::855?M771:1C4o?4$831>136l2d:>895de9'55b528o8n6g7a083>!75=<03mn54i9c1>5<#9;?>65ok;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:583>5}#989m6497;I317f=O98>87)?=548:33=O99387E6m1:&:57<3=8n0b<<:7;ff?!77l;0:i>l4i9c2>5<#9;?>65ol;:k;e7<72-;99847ae98m46f03:1(<<:5;33ef=`1c32wi?l:?:087>5<7s-;:?k46799K575d3A;:8>5+1376><113A;;5>5G8c38 <752=?:h6`>2459`c=#99n96o68h21<7*>247955gd32ei4o4?:%31101<729q/=<=i:85;?M75;j1C=<:<;%3110<>??1C==7<;I:a5>">9;0?9e2`8m=g6290/=?;::9c`?>o?i;0;6)?=548;ea=5$0061?77ij10co6m:18'57322l=o76sm3`1f>4<3290;w)?>3g8:3==O9;9h7E?>429'573220==7E??929Kd:l2601=m81/==j=:0g0f>o?i80;6)?=548;ef==gc32c:!75=<0n;i54}c1b7a<62=0;6=u+101e><1?3A;9?n5G1060?!75=<02;;5G11;0?M>e92.2=?4;50f8j442?3o97)??d382a6d=gd32c3m?4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7d5d280?6=4?{%327c<>?11C=?=l;I3206=#9;?>6499;I33=6=O0k;0(4?=:572`>h6:<=1i>5+11f1>4c4j2c3m<4?:%31100`:94?"6:;7k;;%33`7<6m:h0e5o>:18'573221kh76g7a383>!75=<03mi54i02b97??ab98kg>e290/=?;::d5g?>{e;h9j6<4;:183!76;o02;55G131`?M76<:1/=?;::855?M771:1C4o?4$831>136l2d:>895e49'55b528o8n6g7a083>!75=<03mn54i9c1>5<#9;?>65ok;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:583>5}#989m6497;I317f=O98>87)?=548:33=O99387E6m1:&:57<3=8n0b<<:7;g5?!77l;0:i>l4i9c2>5<#9;?>65ol;:k;e7<72-;99847ae98m46f03:1(<<:5;33ef=`1c32wi?ll=:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>327c?=568f3>"68m81=h=m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd4i=n1=7:50;2x 474n33<46F>22a8L473;2.:>8;59648L46>;2B3n<5+9009007c3g;99:4j8:&24a4=9l9i7d6n1;29 442=32jo65f8`094?"6:0`a8?jd?j3:1(<<:5;g4`>=zj:k?o7?54;294~"69:l15:64H000g>N69=90(<<:5;;42>N68090D5l>;%;26?229m1e=?;8:d;8 46c:3;n?o5f8`394?"6:976nd:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8m9l51;694?6|,8;8j7788:J266e<@8;??6*>2479=20<@8:2?6F7b09'=44=<<;o7c?=568fe>"68m81=h=m;h:b5?6=,88>976nc:9j8;58`f8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>o;a;390?6=8r.:=>h596:8L444k2B:=9=4$0061??0>2B:<4=4H9`2?!?6:3>>=i5a1374>`d<,8:o>7?j3c9j8;58`a8?l>f:3:1(<<:5;:b`>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07plN6::i0D<0D<>63:J;f4=#188188?k;o3112f93:1(<<:5;:bg>=n0h81<7*>247912d9=2><@888o6F>1518 442=33<:6F>0818L=d63-3:>7::1e9m57302ln0(<>k2;3f7g=n0h;1<7*>24797>5$0061?>fl21b==o7:18'573228:jo65`b9`94?"6:1<7>t$030b??002B:>>m4H0377>"6:N?j81/5<<5443g?k75=>0ni6*>0e095`5e3`2j=7>5$0061?>fk21b4l<50;&2603=0hn07d??a983>!75=<0:97k8d:9~f6g413;187>50z&256`=1>20D<<97786:J24<5<@1h:7)7>2;665a=i9;?<6hh4$02g6?7b;k1b4l?50;&2603=0hi07d6n2;29 442=32jh65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd4i:21=7:50;2x 474n33<46F>22a8L473;2.:>8;59648L46>;2B3n<5+9009007c3g;99:4i0:&24a4=9l9i7d6n1;29 442=32jo65f8`094?"6:0`a8?jd?j3:1(<<:5;g4`>=zj:k9<7?54;294~"69:l15:64H000g>N69=90(<<:5;;42>N68090D5l>;%;26?229m1e=?;8:g38 46c:3;n?o5f8`394?"6:976nd:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th>><>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'>=l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma9>78j7:&2603=;hl0D4>n;%;3g?c0l2.2=?4;50f8j2>42j1e==li:068j442?3l87)??d382a64=ge32ei4o4?:%31107<729q/=<=i:d40?M75;j1C=<:<;%616?0b?2.:>8;53`d8L<6f3-3;o7k8d:&:57<3=8n0b:6<:b9m55da2<:0b<<:7;d7?!77l;0:i>94i9c3>5<#9;?>65om;:ma9>78j7:&2603=;hl0D4>n;%;3g?c0l2.2=?4;50f8j2>42j1e==li:428j442?3l>7)??d382a66=ge32ei4o4?:%31107<729q/=<=i:d40?M75;j1C=<:<;%616?0b?2.:>8;53`d8L<6f3-3;o7k8d:&:57<3=8n0b:6<:b9m55da2<:0b<<:7;d5?!77l;0:i>;4i9c3>5<#9;?>65om;:ma9>78j7:&2603=;hl0D4>n;%;3g?c0l2.2=?4;50f8j2>42j1e==li:428j442?3l<7)??d382a6?=ge32ei4o4?:%31103<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=n11/==j=:2`0g>o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;ll<6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;d:?!77l;08n>m4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1fb0<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1jl5+11f1>6d4k2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?hh;:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5bn:0:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>895fb9'55b52:h8o6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm3dd1>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3lo7)??d380f6e15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459b`=#99n96>li68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7hi;%33`7<4j:i0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=jeg82>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=99:0(<>k2;1a7f=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:oni7?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568244=#99n96>li68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7??2:&24a4=;k9h7d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9m573028:87)??d380f6e15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459552<,8:o>7=m3b9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f6cb13;1:7>50z&256`=?mk0D<<979i6:&;fd<33A;;595+9009007c3g;99:4>049'55b52:h8o6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm3dg;>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3;;:6*>0e097g5d3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8ih951;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>4603-;;h?4o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5bm?0:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>89511:8 46c:39i?n5f3c594?"6:h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb2gf1?7=>3:112d93ag<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0:<45+11f1>6d4k2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?hk;:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd4ml91=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:02a?!77l;08n>m4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1fa7<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1==m4$02g6?5e;j1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn>kj1;392?6=8r.:=>h57ec8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<68m1/==j=:2`0g>o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;lln6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;33a>"68m81?o=l;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0acb=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6<>i;%33`7<4j:i0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=jfb82>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=98:0(<>k2;1a7f=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:omn7?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568254=#99n96>li68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7?>2:&24a4=;k9h7d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9m573028;87)??d380f6e15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459542<,8:o>7=m3b9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f6cbj3;1:7>50z&256`=?mk0D<<979i6:&;fd<33A;;595+9009007c3g;99:4>149'55b52:h8o6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm3dg3>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3;::6*>0e097g5d3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8iih51;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>4703-;;h?4o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5bjl0:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>89510:8 46c:39i?n5f3c594?"6:h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb2a:g?7=03:112d9a33<@888o6F>1518 1c52k227)6ma;68 46c=399>6F>0gc8 442=3o=96*<10824d`<,0;969;>d:l2601=9830(<>k2;1a7a=n<0l1=75f4`295?=n;k21=7Fiee98m6dc280Cjhj4H007g>=n<:;1=75f115:>4<5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:=l5+11f1>6d4l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1a=a<62:0;6=u+101e>444j2B:>>m4H0377>"6:j4i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;k=?6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>47d3-;;h?4o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3c;a>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>1e9'55b52:h8h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:malo68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6!75=<03mo54oc:a>5<#9;?>6h9k;:a7g0b280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:003?!77l;08n>j4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?o76:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:><5+11f1>6d4l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1a2f<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>239'55b52:h8h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573028887)??d380f6b=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6<<;;%33`7<4j:n0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;k3<6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<6:<1/==j=:2`0`>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0:>;5+11f1>6d4l2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>4403-;;h?4o>980;6)?=548;eg=`1c32wi?o89:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028837)??d380f6b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7g?228086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56826<=#99n96>lie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6:h1/==j=:2`0`>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1a=1<62:0;6=u+101e>444j2B:>>m4H0377>"6:j4i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;k<96<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>44d3-;;h?4o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3c;0>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>2e9'55b52:h8h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:ma90:694?:1y'545a20=<7E?=3b9K54243-;99846779'=44=<<;o7c?=56826`=#99n96>lo68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6<!75=<03mo54oc:a>5<#9;?>6h9k;:a7g3b280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:013?!77l;08n>j4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?o7?:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:?<5+11f1>6d4l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1a1d<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>339'55b52:h8h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573028987)??d380f6b=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6<=;;%33`7<4j:n0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;k2n6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<6;<1/==j=:2`0`>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0:?;5+11f1>6d4l2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>4503-;;h?4o>980;6)?=548;eg=`1c32wi?o;;:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028937)??d380f6b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7g>d28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56827<=#99n96>lie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6;h1/==j=:2`0`>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1a444j2B:>>m4H0377>"6:j4i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;k?;6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>45d3-;;h?4o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3c:b>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>3e9'55b52:h8h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:malo68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6<=i;%33`7<4j:n0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a7g2d280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:063?!77l;08n>j4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?o67:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:8<5+11f1>6d4l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1a0d<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>439'55b52:h8h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573028>87)??d380f6b=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6<:;;%33`7<4j:n0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;kk=6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<6<<1/==j=:2`0`>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0:8;5+11f1>6d4l2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>4203-;;h?4o>980;6)?=548;eg=`1c32wi?o6?:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028>37)??d380f6b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7gg328086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56820<=#99n96>lie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6

o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1ae6<62:0;6=u+101e>444j2B:>>m4H0377>"6:j4i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;k=h6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>42d3-;;h?4o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3cc1>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4e9'55b52:h8h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:malo68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6<:i;%33`7<4j:n0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a7g1?280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:073?!77l;08n>j4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?oo?:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:9<5+11f1>6d4l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1a33<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>539'55b52:h8h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573028?87)??d380f6b=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6<;;;%33`7<4j:n0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;k3:6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<6=<1/==j=:2`0`>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0:9;5+11f1>6d4l2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>4303-;;h?4o>980;6)?=548;eg=`1c32wi?o:8:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028?37)??d380f6b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7`0b28036=4?{%327c<0m<1C=?=l;I3206=#9;?>6<>m0:&;fd<33A;;595+9009007c3g;99:4>589'55b52:h8h6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6c0<3;147>50z&256`=?l?0D<<97??b19'136l2d:>89514c8 46c:39i?i5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5b>00:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:07a?!77l;08n>j4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4m1=1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;36g>"68m81?o=k;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;l3:6<47:183!76;o0"?jh0?7E??959'=44=<<;o7)7?7;327f=i9;?<6<;k;%33`7<4j:n0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"6:;7?:e:&24a4=;k9o7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3-3;;7?>3b9m573028?m7)??d380f6b15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207plN6::i0D7::1e9m573028<;7)??d380f6b15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459537<,8:o>7=m3e9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f6b1;3;1:7>50z&256`=?mk0D<<979i6:&;fd<33A;;595+9009007c3g;99:4>639'55b52:h8h6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm3e41>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3;=?6*>0e097g5c3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8h;?51;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>4033-;;h?4o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5c>m0:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>8951778 46c:39i?i5f3c594?"6:h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb2f5g?7=>3:112d93ag<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0::;5+11f1>6d4l2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?i8m:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd4l?k1=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:04;?!77l;08n>j4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1g2<<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1=;74$02g6?5e;m1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn>j98;392?6=8r.:=>h57ec8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<6>h1/==j=:2`0`>o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;m<<6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;35f>"68m81?o=k;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0`30=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6<8l;%33`7<4j:n0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=k6182>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=9?n0(<>k2;1a7a=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:n>j7?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56822`=#99n96>li68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6<>m0:&;fd<33A;;595+9009007c3-3;;7?>3b9m57302815a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl429'57322888m6*6138714b;7?80:&24a4=;k9o7d:97k8d:9~f6dc?3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459527<,8:o>7=m3e9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8noo51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=9>80(<>k2;1a7a=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81?o=k;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj:hi57?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8951678 46c:39i?i5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd4jk21=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459520<,8:o>7=m3e9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl429'57322888m6*6138714b;7?87:&24a4=;k9o7d:97k8d:9~f6de?3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;34<>"68m81?o=k;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>lj1;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=:74$02g6?5e;m1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89516c8 46c:39i?i5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8nh>51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;0e097g5c3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7?8c:&24a4=;k9o7d:0`a8?jd?j3:1(<<:5;g4`>=zj:hoj7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=9>n0(<>k2;1a7a=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07plN6::i0D<0(4?=:572`>h6:<=1=:k4$02g6?5e;m1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd4jmo1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn>ll0;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3;3<6*>0e097g5c3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f6dcl3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>24595=7<,8:o>7=m3e9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8noh51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=9180(<>k2;1a7a=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81?o=k;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj:hii7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8951978 46c:39i?i5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd4jkn1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24595=0<,8:o>7=m3e9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl429'57322888m6*6138714b;7?77:&24a4=;k9o7d:97k8d:9~f6dek3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;3;<>"68m81?o=k;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>lk9;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=574$02g6?5e;m1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89519c8 46c:39i?i5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8ni=51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;3n6*>0e097g5c3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7?7c:&24a4=;k9o7d:0`a8?jd?j3:1(<<:5;g4`>=zj:n;o7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6*6068256e;7?7d:&24a4=;k9o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3-3;;7?>3b9m5730282n7)??d380f6b15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=83:p(N6::i0D<2.2=?4;50f8 <6028;8o6`>24595=`<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5+9159545d3g;99:4>919'55b52:h8h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6b613;147>50z&256`=?l<0D<<97??b19'136l2.2<:4>12a8j442?3;2=6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?!?7?3;:?n5a1374>4?53-;;h?4o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8h<;51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9474k2d:>8951818 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5c9:0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1/5=95101`?k75=>0:595+11f1>6d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2f25?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>">8>0:=>m4n0063?7>=2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?i>i:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;%;33?76;j1e=?;8:0;5?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4l931=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0(4>8:030g>h6:<=1=494$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1g42<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=#19=1=<=l;o3112<6111/==j=:2`0`>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>jh57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=9030(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=k3c82>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m5730283j7)??d380f6b15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=83:p(N6::i0D<2.2=?4;50f8j442?3;2n6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0:5n5+11f1>6d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2f012d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1=4j4$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1g72<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6<7j;%33`7<4j:n0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7?6f:&24a4=;k9o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4>a19'55b52:h8h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6b3:3;147>50z&256`=?l<0D<<97??b19'136l2d:>8951`38 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5c<80:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:0c1?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4l=:1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;3b7>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;m9m6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5682e1=#99n96>lo31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:n8i7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24595d3<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>4g13-;;h?4o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8h>851;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?i=::08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<6i11/==j=:2`0`>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>m63;392?6=8r.:=>h57dc8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;%;33?76;j1e=?;8:0c:?!77l;08n>j4i2`4>5<#9;?>69=i;I3111==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;j396<49:183!76;o0ei3>0D<>64:&:57<3=8n0(4>8:030g>h6:<=1=lo4$02g6?5e;m1b?o950;&2603=<:l0D<<:4:9j08;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0g<7=93<1<7>t$030b?1bi2B:>>m4H0377>"6:1=O993?7)7>2;665a=#19=1=<=l;o3112<6ik1/==j=:2`0`>o4j>0;6)?=54877c=O9;??76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=l9182>3<729q/=<=i:6gb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:&:42<69:i0b<<:7;3bg>"68m81?o=k;h1a3?6=,88>97:2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:i3j7?56;294~"69:l1;ho4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7)7?7;327f=i9;?<6l8:18'57322=9m7E?=5598m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:b280=6=4?{%327c<0mh1C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b<,0:<6k2;1a7a=n;k=1<7*>247906`<@88>865f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9'=51=989h7c?=5682ec=#99n96>l87;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:kn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6*6068256e;7?m0:&24a4=;k9o7d=m7;29 442=3>8j6F>2468?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f6e>13;1:7>50z&256`=?lk0D<<979i6:&;fd<33A;;595+9009007c3-3;;7?>3b9m573028h:7)??d380f6b15a3A;99954i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm3b;;>4<1290;w)?>3g84ad=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8 <6028;8o6`>24595g4<,8:o>7=m3e9j7g1=83.:>8;542d8L442<21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8o4951;494?6|,8;8j79ja:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5+9159545d3g;99:4>b29'55b52:h8h6g!75=<0??k5G1377?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5d1?0:6;4?:1y'545a2>oj7E?=3b9K54243-;99848f79'136l2.2<:4>12a8j442?3;i86*>0e097g5c3`9i;7>5$0061?24n2B:>8:4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb2a:1?7=>3:112d93`g<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?!?7?3;:?n5a1374>4d23-;;h?406594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?n7;:085>5<7s-;:?k48e`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9474k2d:>8951c48 46c:39i?i5f3c594?"6:h4H0060>=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd4k1n1=7850;2x 474n3=nm6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1/5=95101`?k75=>0:n:5+11f1>6d4l2c8n:4?:%3110<3;o1C=?;;;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1`2cf3A;9?n5G1060?!75=<0N680>0(4?=:572`>">8>0:=>m4n0063?7e02.:N6:<>07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn>k90;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;%;33?76;j1e=?;8:0`:?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1f1c<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>">8>0:=>m4n0063?7ei2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd4m22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1/5=95101`?k75=>0:no5+11f1>6d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?h;k:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9474k2d:>8951ca8 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb2g6g?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?!?7?3;:?n5a1374>4dc3-;;h?4o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg5b=k0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2.2<:4>12a8j442?3;ii6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8i;651;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5+9159545d3g;99:4>bg9'55b52:h8h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm3d44>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8 <6028;8o6`>24595f6<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f6c1>3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3-3;;7?>3b9m573028i:7)??d380f6b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6*6068256e;7?l2:&24a4=;k9o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9'=51=989h7c?=5682g6=#99n96>lo31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b<,0:<60(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:o=>7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7)7?7;327f=i9;?<6l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=j6082>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:&:42<69:i0b<<:7;3`2>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0a0g=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=#19=1=<=l;o3112<6k>1/==j=:2`0`>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;l?26<49:183!76;o0ei3>0D<>64:&:57<3=8n0(4>8:030g>h6:<=1=n64$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn>k;5;39h57d:8L444k2B:=9=4$0061?77j91/4oo5b89'=44=<<;o7c?=5682g<=#99n96>lo68h21<7*>247955b?32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020DN69=807pl=83:p(N6::i0D>=i5+9159545d3g;99:4>c`9'55b52:h8h6g!75=<0??k54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11f7>5<#9;?>6<>k4:J2514<3th8h9k51;:94?6|,8;8j79j8:J266e<@8;??6*>247955d73-2im7l6;%;26?229m1/5=95101`?k75=>0:oo5+11f1>6d4l2c8n:4?:%3110<3;o10e97j:18'573221ki76g>0`:94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==j;:18'573228:o86F>1508?xd4m=<1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;3`g>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;m886<47:183!76;o0"?jh0?7E??959'=44=<<;o7)7?7;327f=i9;?<6l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=k6d82>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m573028im7)??d380f6b15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;o<6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:h<5+11f1>6d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2g012d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=i<4$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1f72<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?k4:&24a4=;k9o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>d49'55b52:h8h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6c4<3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>8951e48 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5b;:0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0f4?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4m:81=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3g<>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;l9:6<47:183!76;o0lo31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:o8<7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>24595ag<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==h4>:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>4be3-;;h?4o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8i?j51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?h5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>k=b;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9mo0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=j2`82>=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028nm7)??d380f6b15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;n<6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:i<5+11f1>6d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2g13?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=h<4$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1f63<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?j4:&24a4=;k9o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>e49'55b52:h8h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6c3:3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>8951d48 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5b<80:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0g4?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4m=:1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3f<>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;l9m6<47:183!76;o0lo31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:o8i7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>24595`g<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>4ce3-;;h?4o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8i?h51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?h<;:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>k=3;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9lo0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=ldc82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=9ll0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:iom7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5682b5=#99n96>lo31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4>f09'55b52:h8h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6ea93;147>50z&256`=?l<0D<<97??b19'136l2d:>8951g08 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5dnh0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:0d0?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4ko31=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;3e0>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;jl36<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5682b0=#99n96>lo31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:im;7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24595c0<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>4`03-;;h?4o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8ok;51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?nh;:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<6n01/==j=:2`0`>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>mi3;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=9ok0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=lf182>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m573028li7)??d380f6b15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=83:p(N6::i0D<2.2=?4;50f8j442?3;mo6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0:ji5+11f1>6d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2fg0?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0:jh5+11f1>6d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?ij<:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd4lm;1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:323?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1g`5<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1>=?4$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn>jlf;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<58;1/==j=:2`0`>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;min6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;037>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0`fb=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?>;;%33`7<4j:n0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=kcb82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=:9?0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:nhn7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568143=#99n96>lo31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;78j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9m57302;:37)??d380f6b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245965?<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f6bd>3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4=0`9'55b52:h8h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm3ea6>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?38;n6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8hn:51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>76d3-;;h?4o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg5ck:0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89521f8 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb2f`6?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>096d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?im>:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd4lj:1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:333?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1gfc<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1>8=65f48g94?"6:46>021vn>jme;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<59;1/==j=:2`0`>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;mho6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;027>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0`ag=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6??;;%33`7<4j:n0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=kd882>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=:8?0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:no47?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568153=#99n96>lo31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7<>7:&24a4=;k9o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9m57302;;37)??d380f6b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245964?<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f6bc:3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4=1`9'55b52:h8h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm3ea4>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?38:n6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8hom51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>77d3-;;h?4o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg5cjk0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89520f8 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb2f:7?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>09=h5+11f1>6d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?i7=:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd4l0;1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:303?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1g2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1>??4$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn>j7e;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<5:;1/==j=:2`0`>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;m2o6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;017>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0`=e=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?<;;%33`7<4j:n0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=k8c82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=:;?0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:n3m7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568163=#99n96>lo31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:>280=6=4?{%327c<0m81C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b;7<=7:&24a4=;k9o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9m57302;837)??d380f6b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245967?<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f6b?>3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4=2`9'55b52:h8h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm3e;b>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?389n6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8h4751;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>74d3-;;h?4o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg5c110:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89523f8 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb2f:3?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>09>h5+11f1>6d4l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?i79:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd4l0?1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:313?!77l;08n>j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1g=1<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1>>?4$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn>j60;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<5;;1/==j=:2`0`>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;mo96<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;007>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0``7=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?=;;%33`7<4j:n0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=ke182>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=::?0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj:noj7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568173=#99n96>lo31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:50z&256`=612a8 10128>h7):7b;`;=>"30j0i445+4d7955`23->nm77>8:&6bd<>911/;585b9;8 2>?28;8o6*8cg80f<=inl31<6*>0c5955gf3-;;hl48d09'54212>n:7)7?0;327f=O99lj7)?=5487`c=#;8;1==oi;%;26?229m1e=?;8:314?!77l;0:8lo4H9df?j23<3:17d??7382>M`bl2d:=>951:9j55dd290C==lj;:k24c7=83B:5>i3<;0;66g>0dd94?N68ko07d:67;29L46em21b==ln:18K55db32c?5n4?:I33f`=o68021=7`>12595>=h99ki6=4G11`f?>o68>?1=7`>12595>=n<50c:94?N68ko0Dkkk;:k2422=93d:=>951:9j05>i3=j0;66g>0c;94?N68ko0Dkkk;:k7=g<72A;;nh54o5;3>5M77jl10e<>jd;29L46em21d88<51;9l55142900c9:::188k<712800e:6::188k46bk3:1(kkl:02ff>hamk0;7)l76;33`g=ij1?1;65`11gb>5<#nli1==km;odff?7<,k2=6<>kb:la<0<132e:6954o02f3?6=,ooh6<>jb:leag<33-h3:7??dc9mf=3=;21d==k9:18'b`e=99oi7chjb;78 g>128:on6`m8481?>i68l?1<7*ieb824`d0e`8jg>22910qo?;b282>7<729q/=<=i:2`3?M75;j1C=<:<;%3110<3;j1/5<<5443g?k75=>09?55+11f1>42fi2ei4o4?:%31104<5290;w)?>3g80f5=O9;9h7E?>429'57322=9h7)7>2;665a=i9;?<6?=6;%33`7<6!75=<03mo54}c37f7<62;0;6=u+101e>6d73A;9?n5G1060?!75=<0??n5+9009007c3g;99:4=3`9'55b528>jm6am8c83>!75=<0n;i54i9c3>5<#9;?>65om;:a51d628096=4?{%327c<4j91C=?=l;I3206=#9;?>69=l;%;26?229m1e=?;8:31a?!77l;0:8lo4H2`2?jd?j3;1(<<:5;g4`>=n0h:1=7*>24795<7s-;:?k4if39K575d3A;:8>5U3c790~7fj3;9j7kn:df9y!52?3o"3>80:8n5+47190>"3>10i445a47;9e>`3>h0;"85i47`94>"3>m0i445a47g9e>`3>o0;"85i4629606<,==96o66;o647?g3;;o45a114b>365=i99?<6=5a1162>7=ino31<6`ifc83?k77>?0:7c??3882?k`an3:0b<>:4;28jc`62;1e==;6:d28j463=380bkh8:19mbc3=92dmj;4?;o3372<63g;;?l4?;o331=<43g;;:<47;odea?64ngd`>5=inok1<6`>07095>han10;7)??16876>"689=1;i?4$022b?76;j1/jkj5101`?!77;?0474k2.:<=;58:&2465=<;1/==;j:79'552028;8o6*>04293a7<,8:?57?>3b9'55352>n:7)??298;?!77<:09j6*>07593a7<,8:9n7:=;%335d12a8 46683>97)??288256e<,8:?i79k1:&241b=?m;0(<>:c;32a>"68?i1=<=l;%335a<3:2.:<>l5101`?!77;=0:=>m4$0261?1<,8:9j764$0214?3<,8:;87?>3b9'552e2>n:7)??17876>"68:o18?5+1170>474k2.:<m4$0237?253-;;>h4:;%332512a8 467k320(<>=7;78 46493=o=6*>0229545d3-;;8;4>12a8 462j3;3?6*>07;93a7<,8:;57:=;%335`<3:2.:<>m58:&2472=<;1/==>?:99'552728;8o6*>03c93a7<,8:?j79k1:&245c=?m;0(<>=3;5g5>"68=81;i?4$0262??<,8:?47?>3b9'552d2>n:7)??158256e<,8:?m79k1:&244e=?m;0(<>:d;327f=#99?:6:j>;%331c<69:i0(<>>2;78 461=3;:?n5+112e>14<,ol?6:j>;%336494;5g5>"689818?5+1125>474k2.:<=65439'554128;8o6*>01`91>"68;n1=<=l;%3377<3:2.:<<=58:&245g=989h7)??1484`4=#998h69<4$0211?253-;;?k4;2:&240g=9=20(<><5;78 464l3;:?n5+1167>474k2.:<jm6F7fd9K45H02aa>=h<131<75`47a95?=n1=75`49294?=n1<75f47094?Namm10c968:188k2>62900c969:188m46>03;17d??be83>M77jl10e:66:088k1>f2900e9:i:18K55db32c:>97>5;n653?7=3f>5;h;5f?6=@8:ii65f7`;94?N68ko07d??9183>>o3>90:6Ehjd:9j55d>290C==lj;:m734<722e?484?::m:53<622c?h44>:%dfg?2c02dmio4?;%`;2?2dm2di484;;:k7`2<62-lno7:k8:leag<63-h3:7:le:la<0<432c?h;4>:%dfg?2c02dmio4=;%`;2?2dm2di484=;:k7`0<62-lno7:k8:leag<43-h3:7:le:la<0<632c?h94>:%dfg?2c02dmio4;;%`;2?2dm2di484?;:k646<62-lno7;?2:leag<73-h3:7:jb:la<0<<4>:%dfg?37:2dmio4>;%`;2?2bj2di484j;:k645<62-lno7;?2:leag<53-h3:7:jb:la<0:%dfg?37:2dmio4<;%`;2?2bj2di484l;:k7b`<62-lno7;?2:leag<33-h3:7:jb:la<0:%dfg?37:2dmio4:;%`;2?2bj2di484n;:k64f<62-lno7;?2:leag<13-h3:7:jb:la<0<>32c>:%dfg?37:2dmio48;%`;2?2bj2di4847;:k64d<62-lno7;?2:leag<44>:%dfg?37:2dmio46;%`;2?2bj2di4849;:k64=<62-lno7;?2:leag<:4>:%dfg?37:2dmio4m;%`;2?2bj2di484;;:k643<62-lno7;?2:leag<84>:%dfg?37:2dmio4k;%`;2?2bj2di484=;:k641<62-lno7;?2:leag:%dfg?37:2dmio4i;%`;2?2bj2di484?;:m257c=83.min4>13f8jcce291C==lj;%`;2?77nk1en5;51b98k475k3:1(kkl:031`>hamk0:7E??bd9'f=0=99li7cl75;3a?>i69;h1<7*ieb8257b3;;jo5ab9795d=5$gg`>475l2dmio4<;I33f`=#j1<1==hm;o`;1?7>32e:=?750;&eaf<69;n0bkkm:59K55db3-h3:7??fc9mf=3=9110c13594?"amj0:=?j4ngga>3=O99hn7)l76;33bg=ij1?1=;54o0312?6=,ooh613f8jcce211C==lj;%`;2?77nk1en5;51598k475;3:1(kkl:031`>hamk027E??bd9'f=0=99li7cl75;30?>i69;81<7*ieb8257b3;;jo5ab97957=5$gg`>475l2dmio4m;I33f`=#j1<1==hm;o`;1?7632e:=?>50;&eaf<69;n0bkkm:b9K55db3-h3:7??fc9mf=3=9910cf;29 ccd28;9h6`iec8g?M77jl1/n58511da?kd?=3l07b?>1d83>!`bk3;:>i5afd`9a>N68ko0(o69:02ef>he0<0n76a>10f94?"amj0:=?j4ngga>c=O99hn7)l76;33bg=ij1?1h65`103`>5<#nli1=<2e9mb`d=981C==lj;%`;2?77nk1en5;5b:9l547f290/jhm5100g?k`bj3;97E??bd9'f=0=99li7cl75;c8?j76;?0;6)hjc;326a=inlh1=>5G11`f?!d?>3;;jo5ab979=>=h989>6=4+fda9544c3glnn7?;;I33f`=#j1<1==hm;o`;1?><3f;:?94?:%dfg?76:m1ejhl5149K55db3-h3:7??fc9mf=3=?21d=<=<:18'b`e=988o7chjb;35?M77jl1/n58511da?kd?=3<07b?>3383>!`bk3;:>i5afd`952=O99hn7)l76;33bg=ij1?1965`1012>5<#nli1=<2e9mb`d=901C==lj;%`;2?77nk1en5;53:9l544a290/jhm5100g?k`bj3;j7E??bd9'f=0=99li7cl75;08?j76:=0;6)hjc;326a=inlh1=o5G11`f?!d?>3;;jo5ab9795>=h98;26=4+fda9544c3glnn7?l;I33f`=#j1<1==hm;o`;1?6<3f<:?7>5$gg`>3753glnn7>4$c:5>0`e3gh397h4;n425?6=,ooh6;?=;odff?7<,k2=68hm;o`;1?c<3f<:<7>5$gg`>3753glnn7<4$c:5>0`e3gh397j4;n43b?6=,ooh6;?=;odff?5<,k2=68hm;o`;1?e<3f<;i7>5$gg`>3753glnn7:4$c:5>0`e3gh397l4;n43`?6=,ooh6;?=;odff?3<,k2=68hm;o`;1?g<3f<:o7>5$gg`>3753glnn784$c:5>0`e3gh39774;n42f?6=,ooh6;?=;odff?1<,k2=68hm;o`;1?><3f<:m7>5$gg`>3753glnn764$c:5>0`e3gh39794;n42=?6=,ooh6;?=;odff??<,k2=68hm;o`;1?0<3f<:47>5$gg`>3753glnn7o4$c:5>0`e3gh397;4;n423?6=,ooh6;?=;odff?d<,k2=68hm;o`;1?2<3f<::7>5$gg`>3753glnn7m4$c:5>0`e3gh397=4;n421?6=,ooh6;?=;odff?b<,k2=68hm;o`;1?4<3f<:87>5$gg`>3753glnn7k4$c:5>0`e3gh397?4;n43g?6=,ooh6;?=;odff?`<,k2=68hm;o`;1?6<3th:8o;51;094?6|,8;8j76n8:J266e<@8;??6*;2385a2=#0hk1=<=l;%3110<3;j1/5=m58``8L<6f3-3:>7::1e9'89522f8 46c:3;?ml5f8`294?"6:97k8d:9~f42en3;1:7>50z&256`=?l>0D<<2.2=?4;50f8j442?388i6*>0e0951gf3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??6d83>!75=<0:68;29 442=3;;555G1061?>{e9=i;6<49:183!76;o0l=7)7>2;665a=i9;?<6?=i;%33`7<6l8:18'57322=9m76g;9d83>!75=<03mo54i025a?6=,88>97??ad98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<@8;?>65f11;;>5<#9;?>6<>68:J2514<3th>>l651;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>7273-;;h?4:29f8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg35i>0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8952538 46c:3?94i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb40a2?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>098?5+11f1>04?l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi9?l::085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd2:h;1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;070>"68m819?6k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e=;k;6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568100=#99n968<7d:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj<8oi7?58;294~"69:l1;h74H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=479'55b52<83h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46f03:1(<<:5;33`==5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11f7>5<#9;?>6<>k4:J2514<3th>>i:51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi9?j<:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5<11/==j=:40;`>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn8h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=:=30(<>k2;71247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo;=d082>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302;>j7)??d3866=b15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl:2e295?>=83:p(N6::i0D<2.2=?4;50f8j442?38?n6*>0e0917>c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>098n5+11f1>04?l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb40`a?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1>9j4$02g6?350m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c71ga<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6?:j;%33`7<2:1n0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7<;f:&24a4==;2o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4=519'55b52<83h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f04ci3;147>50z&256`=?l<0D<<97??b19'136l2d:>8952438 46c:3?94i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg35l00:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:371?!77l;0>>5j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd2:m21=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;067>"68m819?6k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e=;n<6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568111=#99n968<7d:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj<8o:7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459603<,8:o>7;=8e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>7313-;;h?4:29f8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th>>nm51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi9?mm:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5=11/==j=:40;`>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn8h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=:<30(<>k2;71247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo;=ag82>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302;?j7)??d3866=b15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl:2`a95?0=83:p(N6::i0D7::1e9m57302;?i7)??d3866=b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245960e<,8:o>7;=8e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f10b13;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;06`>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn98ie;391?6=8r.:=>h590f8L444k2B:=9=4$gge>1g>12.:>8;511;4?!?6:3>>=i5+8cc964d<,ol;69o69:l2601=:k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0?m474$0061?771>1/5<<5443g?!>ei3kj7)hi0;6b=<=i9;?<6?;i;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e>=i5a1374>7073-;;h?4;5338m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm47dg>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=d:&;fd<59k1/jk>54`;4?k75=>09:<5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma1g>?2d:>8952708 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?:h951;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=:?90(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0?m484$0061?771>1/5<<5443g?!>ei38:n6*if187e<0;7<94:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj==;o7?55;294~"69:l15N69=90(kki:5c:2>"6:o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>09:;5+11f1>13592c??o4?:%31100`:94?"6:5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8:>m:086>5<7s-;:?k461e9K575d3A;:8>5+fdd90d?23-;9984>0858 <752=?:h6*7b`8be>"an90?m4;4n0063?4102.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=21<@888o6F>1518 442=33<:6*6138714b;7<99:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=N69=90(kki:5c:0>"6:245963g<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;71c95?3=83:p(N6::i0D0(<<:5;33=2=#188188?k;%:ae?gf3-lm<7:n959m57302;6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a03`?280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:34`?!77l;0?9??4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi8:>8:086>5<7s-;:?k461e9K575d3A;:8>5+fdd90d?43-;9984>0858 <752=?:h6*7b`815g=#no:18l7<;o3112<5>m1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c6453<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3>j5>5+1376>46>?2.2=?4;50f8 =df2hk0(kh?:5c:7>h6:<=1>;k4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3>o=1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245963`<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;71495?3=83:p(N6::i0D0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f116=3;197>50z&256`=18n0D<<2;665a=#0kk1ml5+fg290d?53g;99:4=709'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a==j;4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<5?;1/==j=:5715>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c6440<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3>j5<5+1376>46>?2.2=?4;50f8 =df2;;i7)hi0;6b=4=i9;?<6?9<;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<>;?6<4::183!76;o02=i5G131`?M76<:1/jhh54`;2?!75=<0:<494$831>136l2.3nl4na:&eb5<3i0;0b<<:7;040>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn98i5;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?38<96*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f117<3;197>50z&256`=18n0D<<2;665a=#0kk1>1g>82d:>8952648 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?;<=51;794?6|,8;8j77>d:J266e<@8;??6*ieg87e<6<,88>97??969'=44=<<;o7)6ma;cb?!`a83>j5=5a1374>7103-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm47d7>4<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6?97;%33`7<3=;;0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e<>:86<4::183!76;o02=i5G131`?M76<:1/jhh54`:e?!75=<0:<494$831>136l2.3nl4=1c9'bc6=o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?2f0o1/=?;::02:3>">9;0?9dg<,ol;69o7f:l2601=:>k0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89526`8 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?;=<51;794?6|,8;8j77>d:J266e<@8;??6*ieg87e=c<,88>97??969'=44=<<;o7)6ma;02f>"an90?m5k4n0063?40k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca2=k3i6*>247955?03-3:>7::1e9'54`:f?k75=>09;i5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:mao68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?2f0j1/=?;::02:3>">9;0?977e3-lm<7:n8b9m57302;=m7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a0277280>6=4?{%327c<>9m1C=?=l;I3206=#nll18l6l;%3110<680=0(4?=:572`>"?jh0jm6*if187e=e;7<70:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=N69=90(<<:5;;42>">9;0?9=n247912d9=4b<@888o6F>1518 cca2=k3n6*>247955?03-3:>7::1e9'h6:<=1>5<4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3?9l1=7;50;2x 474n33:h6F>22a8L473;2.mik4;a9`8 442=3;;5:5+9009007c3-2im7on;%de4?2f0k1e=?;8:3:0?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8;h?:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302;2?7)??d387177=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a03`a280>6=4?{%327c<>9m1C=?=l;I3206=#nll18l6n;%3110<680=0(4?=:572`>"?jh09=o5+fg290d>f3g;99:4=849'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=<<44>:483>5}#989m64?k;I317f=O98>87)hjf;6b6<>67:&:57<3=8n0(5ln:`c8 c`72=k3m6`>24596=0<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;6d695?2=83:p(N6::i0D<0(4?=:572`>h6:<=1>594$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3>o31=7;50;2x 474n33:h6F>22a8L473;2.mik4;a9;8 442=3;;5:5+9009007c3-2im7<>b:&eb5<3i130b<<:7;0;<>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn99?8;391?6=8r.:=>h590f8L444k2B:=9=4$gge>1g?12.:>8;511;4?!?6:3>>=i5+8cc9ed=#no:18l66;o3112<5001/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c645=<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4=8`9'55b52=?9=6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=<>>4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<59k1e=?;8:3:a?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8:<>:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302;2h7)??d387177=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a024d280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:33`?k75=>094i5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=<>=4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<50l1/==j=:5715>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c646g<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=1b9m57302;2m7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<>;m6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>7?73-;;h?4;5338m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm460b>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6??l;o3112<5181/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:mao68h21<7*>247955gd32ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09=n5a1374>7?43-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a027c280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:3;7?!77l;0?9??4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi8:<7:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;;h7c?=5681=0=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c645f<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4=979'55b52=?9=6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=<>:4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<59j1e=?;8:3;4?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm463a>4<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6?77;%33`7<3=;;0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e<>8=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?977d3g;99:4=989'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>095l5+11f1>13592c??o4?:%31100`:94?"6:0858 <752=?:h6*7b`815f=i9;?<6?7m;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8:?6:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302;3h7)??d387177=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a0243280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:33`?k75=>095i5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=<=:4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<51l1/==j=:5715>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c6467<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=1b9m57302;3m7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e>=i5a1374>7g73-;;h?4;5338m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm47aa>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=d:&;fd<59k1/jk>54`:;?k75=>09m<5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma1g?02d:>8952`08 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?:o;51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=:h90(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0?m594$0061?771>1/5<<5443g?!>ei38:n6*if187e=1;76`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=N69=90(kki:5c;3>"6:o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>09m;5+11f1>13592c??o4?:%31100`:94?"6:5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8;j6:086>5<7s-;:?k461e9K575d3A;:8>5+fdd90d>13-;9984>0858 <752=?:h6*7b`8be>"an90?m584n0063?4f02.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=21<@888o6F>1518 442=33<:6*6138714b;70`a8?jd?j3:1(<<:5;g4`>=zj=N69=90(kki:5c;1>"6:24596dg<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;6e:95?3=83:p(N6::i0D6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a03d5280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:3c`?!77l;0?9??4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi8;m8:086>5<7s-;:?k461e9K575d3A;:8>5+fdd90d>33-;9984>0858 <752=?:h6*7b`815g=#no:18l6;;o3112<5im1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c65`2<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3>j495+1376>46>?2.2=?4;50f8 =df2hk0(kh?:5c;0>h6:<=1>lk4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3>j?1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24596d`<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;6e695?3=83:p(N6::i0D0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f10b;3;197>50z&256`=18n0D<<2;665a=#0kk1ml5+fg290dg73g;99:4=b09'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a==o94>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<5j;1/==j=:5715>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c65`6<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3>j5k5+1376>46>?2.2=?4;50f8 =df2;;i7)hi0;6b=c=i9;?<6?l<;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e136l2.3nl4na:&eb5<3i0l0b<<:7;0a0>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn98l3;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?38i96*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f10c:3;197>50z&256`=18n0D<<2;665a=#0kk1>1g>m2d:>8952c48 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?:h?51;794?6|,8;8j77>d:J266e<@8;??6*ieg87e97??969'=44=<<;o7)6ma;cb?!`a83>j5h5a1374>7d03-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm47a1>4<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6?l7;%33`7<3=;;0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e136l2.3nl4=1c9'bc6=o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?2f1m1/=?;::02:3>">9;0?9dg<,ol;69o6d:l2601=:kk0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8952c`8 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?:i>51;794?6|,8;8j77>d:J266e<@8;??6*ieg87e97??969'=44=<<;o7)6ma;02f>"an90?m4m4n0063?4ek2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca2=k2o6*>247955?03-3:>7::1e9'54`;`?k75=>09ni5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:mao68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?2f1k1/=?;::02:3>">9;0?977e3-lm<7:n9c9m57302;hm7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a03bb280>6=4?{%327c<>9m1C=?=l;I3206=#nll18l7m;%3110<680=0(4?=:572`>"?jh0jm6*if187e;76`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=N69=90(<<:5;;42>">9;0?9=n247912d9=4b<@888o6F>1518 cca2=k2m6*>247955?03-3:>7::1e9'h6:<=1>n<4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3>mn1=7;50;2x 474n33:h6F>22a8L473;2.mik4;a8c8 442=3;;5:5+9009007c3-2im7on;%de4?2f1h1e=?;8:3a0?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8;lj:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302;i?7)??d387177=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a03ec280>6=4?{%327c<>9m1C=?=l;I3206=#nll18l77;%3110<680=0(4?=:572`>"?jh09=o5+fg290d??3g;99:4=c49'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a==hn4>:483>5}#989m64?k;I317f=O98>87)hjf;6b===#9;?>6<>67:&:57<3=8n0(5ln:`c8 c`72=k246`>24596f0<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;6cf95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1>n94$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3>ji1=7;50;2x 474n33:h6F>22a8L473;2.mik4;a9f8 442=3;;5:5+9009007c3-2im7<>b:&eb5<3i1n0b<<:7;0`<>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn98k6;391?6=8r.:=>h590f8L444k2B:=9=4$gge>1g?l2.:>8;511;4?!?6:3>>=i5+8cc9ed=#no:18l6k;o3112<5k01/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c65f4<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4=c`9'55b52=?9=6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a==o;4>:483>5}#989m64?k;I317f=O98>87)hjf;6b<6=#9;?>6<>67:&:57<3=8n0(5ln:33a?!`a83>j4>5a1374>7ee3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm47f6>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=d:&;fd0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f13en3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>24596fb<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?9ok51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?38hi6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;70`a8?jd?j3:1(<<:5;g4`>=zj=?io7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=:m:0(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;56f95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1>i?4$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3=kh1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;8c;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?38o?6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13ei3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>24596a2<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?9:l51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=:m?0(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8952e:8 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3=>31=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24596a?<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;5c595?5=83:p(429'57322888m6*6138714b;797k8d:9~f13003;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;0gf>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;m6;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1>im4$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8952ef8 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?9o;51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?38oi6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;70`a8?jd?j3:1(<<:5;g4`>=zj=?i87?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=:l:0(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;56795?2=83:p(N6::i0D<0(4?=:572`>h6:<=1>h?4$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3=k91=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;84;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?38n?6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13e93;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>24596`2<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?9:<51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=:l?0(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?<=7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8952d:8 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3=>:1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24596`?<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;5`g95?5=83:p(429'57322888m6*6138714b;797k8d:9~f131n3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;0ff>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;nd;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1>hm4$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8952df8 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?9lm51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?38ni6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;70`a8?jd?j3:1(<<:5;g4`>=zj=?jn7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=:o:0(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;57a95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1>k?4$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3=hk1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;9b;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?38m?6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13f13;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>24596c2<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?9;o51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=:o?0(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:=9391<7>t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?=57?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8952g:8 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3=1<1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24596c?<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;5b795?5=83:p(429'57322888m6*6138714b;797k8d:9~f13?=3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;0ef>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;l4;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1>km4$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8952gf8 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?9n=51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?38mi6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;70`a8?jd?j3:1(<<:5;g4`>=zj=?h>7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=;9:0(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;59095?2=83:p(N6::i0D<0(4?=:572`>h6:<=1?=?4$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3=j;1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;71;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39;?6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13d83;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459752<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?95>51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=;9?0(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>89531:8 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3=>91=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245975?<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;5`595?5=83:p(429'57322888m6*6138714b;7=?a:&24a4=<<8:7d:97k8d:9~f13103;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;13f>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn98n6;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1?=m4$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=<2i7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>89531d8 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3>0i1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459746<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;6`g95?5=83:p(429'57322888m6*6138714b;7=>1:&24a4=<<8:7d:97k8d:9~f10>i3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;126>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn98nd;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1?<=4$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:=93>1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8953068 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?:lm51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39:96*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=>6:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=87)?=548266g<,0;969;>d:l2601=;8=0(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;68795?2=83:p(N6::i0D<0(4?=:572`>h6:<=1?<64$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3>h>1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95dc;7=>9:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f10fi3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245974g<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?:4:51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=;8h0(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>89530f8 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3>091=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245974c<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;6`095?5=83:p(N6::i0D2;665a=#0kk1=lk4n0063?56n2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn98n8;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1??>4$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8953338 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?:l?51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj=87)?=548266g<,0;969;>d:l2601=;;90(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;68395?2=83:p(N6::i0D<0(4?=:572`>h6:<=1??:4$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3>h:1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95dc;7==5:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f10?83;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459770<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?:5o51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?399;6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7==8:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=<357?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=;;30(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;66495?2=83:p(N6::i0D<0(4?=:572`>h6:<=1??o4$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3>121=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9884;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?399o6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f10??3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245977b<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?::<51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=;;o0(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=<<<7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8953238 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3>?l1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459764<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;69695?5=83:p(429'57322888m6*6138714b;7=<3:&24a4=<<8:7d:97k8d:9~f101m3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;100>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn988d;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459763<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?:5=51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?398:6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=<7:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=<N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>89532:8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3>181=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn989c;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?398m6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f100j3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?>l4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=<=n7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;:o0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;54d95?5=83:p(429'57322888m6*6138714b;7=97k8d:9~f13303;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?9>4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?8=7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;=90(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;54f95?5=83:p(429'57322888m6*6138714b;7=;4:&24a4=<<8:7d:97k8d:9~f13483;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;171>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;;6;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459710<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?98l51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39?;6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=;8:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=??87?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>89535;8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3=">9;0?9=n18;1<7*>24795$0061?c0l21vn9;=d;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39?n6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f133;3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?9m4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?9o7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;=l0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;54:95?5=83:p(429'57322888m6*6138714b;7=:0:&24a4=<<8:7d:97k8d:9~f135j3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;165>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;;1;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459704<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?98951;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39>?6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=:4:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=??<7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8953478 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3=<<1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?92.:=n18;1<7*>24795$0061?c0l21vn9;=9;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39>;6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f134n3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?864$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?947?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;54695?5=83:p(429'57322888m6*6138714b;7=:c:&24a4=<<8:7d:97k8d:9~f135?3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;16`>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>245970c<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?98=51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39>j6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=90:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=?8o7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8953738 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3=<81=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;=5;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39=?6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f134j3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?;:4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?857?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?92.:=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;?=0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;57495?5=83:p(429'57322888m6*6138714b;7=98:&24a4=<<8:7d:97k8d:9~f13403;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;15=>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;;f;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>245973g<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?9;;51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39=n6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=9c:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=??i7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>89537f8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3=?>1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;<6;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39=j6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f133l3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?:>4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?897?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;>90(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;57095?5=83:p(429'57322888m6*6138714b;7=84:&24a4=<<8:7d:97k8d:9~f134<3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;141>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;;b;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459720<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?9;?51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39<;6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=88:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=??m7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>89536;8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3=?:1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;<2;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?390e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13313;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?:m4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?9j7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;>l0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;54395?5=83:p(429'57322888m6*6138714b;7=70:&24a4=<<8:7d:97k8d:9~f135<3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1;5>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9891;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1?5<4$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=<8j7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8953978 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3>:n1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24597=0<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;64f95?5=83:p(429'57322888m6*6138714b;7=77:&24a4=<<8:7d:97k8d:9~f10413;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1;<>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn98:c;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1?574$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89539c8 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?:8l51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?393n6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=7c:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=<>m7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=;1n0(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;62195?2=83:p(N6::i0D<0(4?=:572`>h6:<=1?5k4$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3><31=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn98<1;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?392<6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f10203;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>24597<7<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?:?h51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=;080(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=<9h7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9<2.:=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8953878 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3>;h1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24597<0<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;64795?5=83:p(429'57322888m6*6138714b;7=67:&24a4=<<8:7d:97k8d:9~f10513;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1:<>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn98:4;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1?474$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89538c8 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?:;o51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?392n6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=6c:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=<=57?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=;0n0(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;65f95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1?4k4$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3>?21=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9n2.:=n18;1<7*>24795$0061?c0l21vn98;b;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39j<6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f101?3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>24597d7<,8:o>7::209j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?:9751;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=;h80(<>k2;6664=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8953`78 46c:3>>><5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd3>=?1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24597d0<,8:o>7::209j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;67695?5=83:p(429'57322888m6*6138714b;7=n7:&24a4=<<8:7d:97k8d:9~f103;3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1b<>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9893;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1?l74$02g6?22:81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8953`c8 46c:3>>><5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?:8k51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39jn6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=nc:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=<>?7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=;hn0(<>k2;6664=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;63495?2=83:p(N6::i0D<0(4?=:572`>h6:<=1?lk4$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3>8o1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;ie;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>24597g6<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?:5+1376>444i2.2=?4;50f8j442?39i=6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=m2:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=?mh7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8953c18 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3>8h1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;kc;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39i96*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13aj3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?o84$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?on7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;k30(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;60;95?5=83:p(429'57322888m6*6138714b;7=ma:&24a4=<<8:7d:97k8d:9~f13ci3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1af>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;i9;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>24597ge<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?:<651;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39ih6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=me:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=?m47?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8953cd8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3>8=1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;k8;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39h=6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13a?3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?n<4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?o;7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;j?0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;60795?5=83:p(429'57322888m6*6138714b;7=l6:&24a4=<<8:7d:97k8d:9~f13c>3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1`3>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;i5;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>24597f><,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?:<:51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39h56*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=la:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=?m87?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8953b`8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3>891=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;k4;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39hh6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13a;3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?nk4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?o?7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;m;0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;60295?5=83:p(429'57322888m6*6138714b;7=k2:&24a4=<<8:7d:97k8d:9~f13c93;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1g7>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;i0;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>24597a2<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?:=h51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39o96*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=k6:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=?nj7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8953e58 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3>9o1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;lf;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39o56*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13bm3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?io4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?hi7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;mn0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;61a95?5=83:p(429'57322888m6*6138714b;7=ke:&24a4=<<8:7d:97k8d:9~f13dl3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1gb>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;jc;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>24597`6<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?:=l51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39n=6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=j2:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=?nn7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8953d18 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3>9k1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;lb;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39n96*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13bi3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?h84$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?hm7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;l30(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;61:95?5=83:p(429'57322888m6*6138714b;7=ja:&24a4=<<8:7d:97k8d:9~f13d13;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1ff>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;j8;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>24597`e<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?:=951;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39nh6*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=je:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=?n;7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8953dd8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3>;?1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;j5;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39m=6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f107=3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?k<4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?n87?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=;o?0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;63195?5=83:p(429'57322888m6*6138714b;7=i6:&24a4=<<8:7d:97k8d:9~f13b;3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;1e3>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn98?3;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>24597c><,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?:?<51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?39m56*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7=ia:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=<;>7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8953g`8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3>;;1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;j1;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?39mh6*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f10793;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1?kk4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?n<7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=<9;0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;60d95?5=83:p(429'57322888m6*6138714b;7:?2:&24a4=<<8:7d:97k8d:9~f13cn3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;637>"68m8188<>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9;if;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459052<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?:5+1376>444i2.2=?4;50f8j442?3>;96*>0e0900463`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7:?6:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=?mo7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8954158 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3>8;1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9;k2;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3>;56*>0e0900463`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f13a93;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=18=o4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8188<>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=?h;7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=18=j4$02g6?22:81b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c6a26<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=18=k4$02g6?2flk1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9l92;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<38o1/==j=:5cgf>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;624>"68m818ljm;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7f36=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<69?>;%33`7<3imh0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:k9582>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302=;97)??d38717715a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;d8;95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3>:?6*>0e0900463`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0?=95+11f1>1gcj2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb5`6e?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=18<;4$02g6?2flk1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c6a1<<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<69?9;%33`7<3imh0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:=9321<7>t$030b?1b>2B:>>m4H0377>"6:;7:>7:&24a4=8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4;199'55b52=kon6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f1bfk3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>89540;8 46c:3>>><5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg2ci90:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:53b?!77l;0?9??4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3jhi1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;62f>"68m818ljm;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e"?jh0i56*6138714b;7:>c:&24a4=8j65f42394?"6:?4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0e694?"6:i9=4>:983>5}#989m6:k6;I317f=O98>87)?=54824g6<,1hj6o74$831>136l2d:>89540f8 46c:3>jho5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77i10;6)?=54824a><3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi8o:i:08;>5<7s-;:?k48e89K575d3A;:8>5+1376>46e82.3nl4m9:&:57<3=8n0b<<:7;62a>"68m818ljm;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99k36=4+1376>46c021d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24a2=83.:>8;511f7?M76<;10qo:m4d82>=<729q/=<=i:6g:?M75;j1C=<:<;%3110<68k:0(5ln:c;8 <752=?:h6`>245904`<,8:o>7:ndc9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>2479!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46c<3:1(<<:5;33`1=O98>976sm4c6g>43g84a<=O9;9h7E?>429'573228:i<6*7b`8a=>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==o7:18'573228:o465`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68m>1<7*>247955b33A;:8?54}c6a0f<6210;6=u+101e>2c>3A;9?n5G1060?!75=<0:4$9`b>g?<,0;969;>d:l2601=<;;0(<>k2;6b`g=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??a983>!75=<0:976g>08:94?"6:5$0061?77l=1C=<:=;:a0g2e28036=4?{%327c<0m01C=?=l;I3206=#9;?>6<>m0:&;fd9>6*>0e090dbe3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f11c;>5<#9;?>6<>k8:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:4398yg2ei10:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:500?!77l;0?mil4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd2:9<1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;610>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e64:&:57<3=8n0b<<:7;611>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7b<1=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<69<9;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:i9782>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=<;=0(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=l297?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=56876==#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7:=9:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;f8195?0=83:p(N6::i0D7::1e9m57302=8j7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===h:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245907d<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1e7i3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4;2b9'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4b2;>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3>9h6*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?o=851;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>14b3-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2d8=0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89543d8 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5a36?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0??=5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8n=l:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<3;81/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9mja;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3;;1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;607>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7g`0=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<69=;;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:le582>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=<:?0(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=in>7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568773=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7:<7:&24a4=8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;b8295?0=83:p(N6::i0D7::1e9m57302=937)??d387ead15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===i4h4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245906?<,8:o>7:ndc9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1d?j3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;3`9'55b52=kon6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4c::>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3>8n6*>0e090dbe3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?n5951;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>15d3-;;h?4;ae`8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2e0<0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89542f8 46c:3>jho5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5`;7?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0??h5+11f1>1gcj2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8o6>:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3j>l1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:563?!77l;0?mil4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6a3a<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=189?4$02g6?2flk1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9l8b;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3<;1/==j=:5cgf>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;677>"68m818ljm;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7fd7=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<69:;;%33`7<3imh0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:m9g82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=<=?0(<>k2;6b`g=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=h2h7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568703=#99n969okb:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7:;7:&24a4=8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;b8;95?0=83:p(N6::i0D7::1e9m57302=>37)??d387ead15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===i5:4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245901?<,8:o>7:ndc9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1d>=3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;4`9'55b52=kon6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4c:g>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3>?n6*>0e090dbe3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?o>:51;:94?6|,8;8j79j5:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8n=<:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<3o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9m<2;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=<=o0(<>k2;6664=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:l3182>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302=>m7)??d38717715a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;c3d95?>=83:p(N6::i0D<2.2=?4;50f8j442?3>><6*>0e0900463`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0?9<5+11f1>13592c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb5a1`?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=188<4$02g6?22:81b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c6`6f<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<69;<;%33`7<3=;;0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"6:;7::4:&24a4=<<8:7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4;549'55b52=?9=6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f1e513;147>50z&256`=?l?0D<<97??b19'136l2d:>8954448 46c:3>>><5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg2d:10:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:574?!77l;0?9??4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3k;=1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;66<>"68m8188<>;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e"?jh0?7E??959'=44=<<;o7c?=56871<=#99n969;=1:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=i8m7?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245900g<,8:o>7::209j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==h?44>:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>13e3-;;h?4;5338m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?o>651;:94?6|,8;8j79j5:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8n=8:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<3=m1/==j=:5715>o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9m<6;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=<k2;6664=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:l3482>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302=?m7)??d38717715a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;c2395?>=83:p(N6::i0D<2.2=?4;50f8j442?3>=<6*>0e0900463`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0?:<5+11f1>13592c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb5a11?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=18;<4$02g6?22:81b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c6f0f<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=18;=4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9k;b;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3>=1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e26<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;651>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7a1>=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6989;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:j4682>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=o?:7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56872==#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7:99:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;e5695?0=83:p(N6::i0D7::1e9m57302=15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===n8>4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245903d<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1c3:3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;6b9'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4d62>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3>=h6*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?i9>51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>10b3-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2b;l0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89547d8 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5g0`?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0?;=5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8h=l:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3m:h1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:551?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6f7d<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=18:=4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9k<9;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3?=1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;641>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7a61=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6999;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:j3782>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=<>=0(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=o897?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56873==#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7:89:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;e4095?0=83:p(N6::i0D7::1e9m57302==j7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===n9<4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245902d<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1c283;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;7b9'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4d6e>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?i9k51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>11b3-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2bo:7E?=3b9K54243-;99848f79'136l2d:>89546d8 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5g0b?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0?4=5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8h=;:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd288l1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:5:1?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c735a<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=185=4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn8>>b;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<30=1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e=98m6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;6;1>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`647b=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6969;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo;?2c82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=<1=0(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<:957?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5687<==#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7:79:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:03795?0=83:p(N6::i0D7::1e9m57302=2j7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===>4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24590=d<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f06593;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;8b9'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm5177>43g84a<=O9;9h7E?>429'573228:i<6*7b`8a=>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==o7:18'573228:o465`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68m>1<7*>247955b33A;:8?54}c7316<6210;6=u+101e>2c>3A;9?n5G1060?!75=<0:4$9`b>g?<,0;969;>d:l2601=<1o0(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??a983>!75=<0:976g>08:94?"6:5$0061?77l=1C=<:=;:a153528036=4?{%327c<0m01C=?=l;I3206=#9;?>6<>m0:&;fd3j6*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f11c;>5<#9;?>6<>k8:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:4398yg37=j0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=184>4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e:8?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>k4;29 442=3;;h95G1061?>{e=9?i6<47:183!76;o0"?jh0i56*6138714b;7:61:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0e694?"6::983>5}#989m6:k6;I317f=O98>87)?=54824g6<,1hj6o74$831>136l2d:>8954808 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77i10;6)?=54824a><3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi9=;6:08;>5<7s-;:?k48e89K575d3A;:8>5+1376>46e82.3nl4m9:&:57<3=8n0b<<:7;6:7>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99k36=4+1376>46c021d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24a2=83.:>8;511f7?M76<;10qo;?5982>=<729q/=<=i:6g:?M75;j1C=<:<;%3110<68k:0(5ln:c;8 <752=?:h6`>24590<2<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>2479!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46c<3:1(<<:5;33`1=O98>976sm5174>43g84a<=O9;9h7E?>429'573228:i<6*7b`8a=>">9;0?9=2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==o7:18'573228:o465`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68m>1<7*>247955b33A;:8?54}c7313<6210;6=u+101e>2c>3A;9?n5G1060?!75=<0:4$9`b>g?<,0;969;>d:l2601=<0<0(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??a983>!75=<0:976g>08:94?"6:5$0061?77l=1C=<:=;:a153228036=4?{%327c<0m?1C=?=l;I3206=#9;?>6<>m0:&;fd<33A;;595+9009007c3g;99:4;969'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f1b3i3;147>50z&256`=?l?0D<<97??b19'136l2d:>89548:8 46c:3>>><5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg378=0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:5;:?!77l;0?9??4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd28?=1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;6:e>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e26<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5687=g=#99n969;=1:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=l3o7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5687=f=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4;9e9'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f1d6i3;147>50z&256`=?l?0D<<97??b19'136l2d:>89548g8 46c:3>jho5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg2e<90:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:5;e?!77l;0?mil4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3j831=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;6b4>"68m818ljm;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e"?jh0i56*6138714b;7:n1:&24a4=8j65f48g94?"6:0e:8?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0e694?"6::783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>24590d4<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f061=3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4;a29'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm5147>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3>j86*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th><;=51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>1g23-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg37>;0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8954`48 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5deg?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0?m:5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8khm:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3nok1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:5c:?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6eb=<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=18lo4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9hi7;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3ik1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;6bg>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7bc3=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<69ok;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:if582>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=lm?7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5687ec=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7:m0:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;fg395?0=83:p(N6::i0D7::1e9m57302=h:7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===mj=4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24590g4<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1`bn3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;b29'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm5120>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3>i86*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th><=<51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>1d23-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg37880:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8954c48 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb4234?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0?n:5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8khi:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3noo1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:5`:?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6eba<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=18oo4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9hi9;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3jk1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;6ag>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7b`b=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<69lk;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:lf182>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=ini7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=5687fc=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:028036=4?{%327c<0m?1C=?=l;I3206=#9;?>6<>m0:&;fd<33A;;595+9009007c3g;99:4;c19'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f1e?=3;147>50z&256`=?l<0D<<97??b19'136l2d:>8954b38 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg2d0:0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:5a1?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3k>l1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;6`7>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e"?jh0?7E??959'=44=<<;o7c?=5687g1=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=iN69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24590f3<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==h;44>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>1e13-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?o:951;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8n9::08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<3k11/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9m83;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo:l7082>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302=ij7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;c7d95?>=83:p(N6::i0D<2.2=?4;50f8j442?3>hn6*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0?on5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb5a:2?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=18nj4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c6`=1<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<69mj;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7:lf:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4;d19'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f1e?m3;147>50z&256`=?l<0D<<97??b19'136l2d:>8954e38 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg2d0j0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:5f1?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3k1k1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;6g7>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e"?jh0?7E??959'=44=<<;o7c?=5687`1=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=i=o7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24590a3<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==h:l4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>1b13-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?jn951;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>1b03-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2ajo0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8954e:8 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5daa?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0?h45+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8klk:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3nkh1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:5fa?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6efd<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=18im4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9hm9;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3lm1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;6ga>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7bg1=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<69ji;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:ib782>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=li97?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5687a4=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7:j2:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;fc195?0=83:p(N6::i0D7::1e9m57302=o87)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===mn?4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24590`2<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1`d>3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;e49'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4ga6>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3>n:6*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?jn:51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>1c03-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2ak:0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8954d:8 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5d`6?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0?i45+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8km>:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3nj:1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:5ga?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6eff<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=18hm4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9hm1;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<3mm1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;6fa>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7ba3=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<69ki;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:id582>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=lo?7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5687b4=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7:i2:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;fe395?0=83:p(N6::i0D7::1e9m57302=l87)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===mh=4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24590c2<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1`dn3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4;f49'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4c1e>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3>m:6*>0e090dbe3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?no?51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>1`03-;;h?4;ae`8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2dkj0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:5d;?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3kjk1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;6e=>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e"?jh0?7E??959'=44=<<;o7c?=5687bd=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=ih87?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24590cd<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==ho?4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>1`d3-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?on>51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8nlj:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<3nl1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9mmc;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo:lb`82>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302<:;7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;cc:95?>=83:p(N6::i0D<2.2=?4;50f8j442?3?;=6*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb5aa0?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=19==4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c6`f7<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<68>;;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7;?5:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:28036=4?{%327c<0m?1C=?=l;I3206=#9;?>6<>m0:&;fd<33A;;595+9009007c3g;99:4:079'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f1ec?3;147>50z&256`=?l<0D<<97??b19'136l2d:>8955158 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg2dl<0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:42;?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3km91=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;73=>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e"?jh0?7E??959'=44=<<;o7c?=56864d=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=ihj7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245915d<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==ho:4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>06d3-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?oo?51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8noi:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<28l1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9k7d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<28o1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;724>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7a=g=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68?>;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:j8882>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==880(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=o347?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568656=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:0280=6=4?{%327c<0m81C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b;7;>4:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;e9495?0=83:p(N6::i0D7::1e9m57302<;>7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===n484>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459140<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1c?<3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:169'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4d:0>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?:46*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?i5<51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>07>3-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2b080:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89550c8 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5g4b?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>=o5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8h9j:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3m>n1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:43g?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6f3f<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=198=65f48g94?"6:46>021vn9k8b;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<29o1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;714>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7a2?=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68<>;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:j7982>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==;80(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=o<;7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568666=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;=4:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;e8195?0=83:p(N6::i0D7::1e9m57302<8>7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===n5?4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459170<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1c>93;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:269'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4d;3>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?946*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?i5h51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>04>3-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2b0l0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89553c8 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5g;f?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>>o5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8h6?:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3m>?1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:40g?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6f31<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=19?k4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9j7d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2:o1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;704>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7`=g=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68=>;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:k8882>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==:80(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=n347?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568676=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:0280=6=4?{%327c<0m81C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b;7;<4:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;d9495?0=83:p(N6::i0D7::1e9m57302<9>7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===o484>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459160<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1b?<3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:369'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4e:0>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?846*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?h5<51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>05>3-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2c080:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89552c8 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5f4b?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>?o5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8i9j:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3l>n1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:41g?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6g3f<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=19>k4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9j8b;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2;o1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;774>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7`2?=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68:>;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:k7982>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601===80(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=n<;7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568606=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;;4:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;d8195?0=83:p(N6::i0D7::1e9m57302<>>7)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===o5?4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459110<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1b>93;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:469'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4e;3>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3??46*>0e0900463`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?h5h51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>02>3-;;h?4;5338m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2c0l0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89555c8 46c:3>>><5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5f;f?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>8o5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8i6?:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3l>?1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:46g?!77l;0?9??4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6g31<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=199k4$02g6?22:81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9m:1;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{em6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;764>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7g1b=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68;>;%33`7<3=;;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:l4c82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==<80(<>k2;6664=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=i?57?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568616=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;:4:&24a4=<<8:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;c5795?0=83:p(N6::i0D7::1e9m573027)??d38717715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===m>:4>:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>0313-;;h?4;e`68m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?j?o51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8hj9:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3mm?1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:47:?!77l;0?il:4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6f`1<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=198o4$02g6?2bi=1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9kk3;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2=k1/==j=:5gb0>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;76g>"68m818ho;;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7aa7=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68;k;%33`7<3mh>0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:jd182>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==k2;6fe1=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=ohj7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56861c=#99n969kn4:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;90:&24a4=8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;eba95?0=83:p(N6::i0D7::1e9m57302<<:7)??d387ad215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===noo4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459134<,8:o>7:ja59j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1cdi3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:629'55b52=oj86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4da:>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?=86*>0e090`g33`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?in651;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0023-;;h?4;e`68m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2bk>0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8955748 46c:3>nm95f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5g`2?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>::5+11f1>1cf<2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8hm::085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3mj>1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:44:?!77l;0?il:4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6f``<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=19;o4$02g6?2bi=1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9kkd;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2>k1/==j=:5gb0>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;75g>"68m818ho;;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7aad=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<688k;%33`7<3mh>0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:jd`82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==?o0(<>k2;6fe1=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=oo57?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56862c=#99n969kn4:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;80:&24a4=8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;ebg95?0=83:p(N6::i0D7::1e9m57302<=:7)??d387ad215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===m>;4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459124<,8:o>7:ja59j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1`5=3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:729'55b52=oj86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4g07>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?<86*>0e090`g33`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?j?=51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0123-;;h?4;e`68m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2a910:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8955648 46c:3>nm95f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5d23?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>;:5+11f1>1cf<2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8k?::085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3n8>1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:45:?!77l;0?il:4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6e56<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=19:o4$02g6?2bi=1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9h>2;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2?k1/==j=:5gb0>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;74g>"68m818ho;;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7b46=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<689k;%33`7<3mh>0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:i0g82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==>o0(<>k2;6fe1=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=l;i7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56863c=#99n969kn4:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;70:&24a4=8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;f1a95?0=83:p(N6::i0D7::1e9m57302<2:7)??d387ad215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===m:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24591=4<,8:o>7:ja59j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1`713;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:829'55b52=oj86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4g2;>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?386*>0e090`g33`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?j=951;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0>23-;;h?4;e`68m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg2a8?0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8955948 46c:3>nm95f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5d31?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>4:5+11f1>1cf<2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8k>;:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3n991=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:4::?!77l;0?il:4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6e47<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=195o4$02g6?2bi=1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9h?1;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<20k1/==j=:5gb0>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;7;g>"68m818ho;;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7b4b=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<686k;%33`7<3mh>0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:i1b82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==1o0(<>k2;6fe1=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=l:n7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5686o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;60:&24a4=8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;f0;95?0=83:p(N6::i0D7::1e9m57302<3:7)??d387ad215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===m=;4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24591<4<,8:o>7:ja59j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f1`7j3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:929'55b52=oj86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4g23>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?286*>0e090`g33`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?ikh51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0?23-;;h?4;e`68m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg37m>0:6<;n:183!76;o0<495G131`?M76<:1Q?o;5bz03e?`228=i6<96:g19b7<6?10:;l4i4;d2>4072t.?>84j6d9m67e=92d9?;4>;o111?7h>?:0:7c??7182?kc0i3:0b9<;:19m07>=82.:l9:4d6?M77nh1/=?;::6:7?!5693;;mk5G88d8 <752=?:h6`>24591<0<,8:o>7;?719j063=931b==7l:08m54502910e<>6d;39j474?3:07d:<7;39?l771l0:6c?>3683?>o3;00:66g;3582>>i3:>0;66g>0`295?h69:=1<65f11;e>443683?>o3;10:66g;3282>>o3::0;66g;3`82>>o3;;0:66a;2783>>o68031=7`>12594>=n99k:6<4a1014>5=<6<4+fda97105=#j1<1??=4nc:6>47<3`9?97?5$gg`>6213glnn7?4n0303?6<,k2=6><<;o`;1?7732c8894>:%dfg?53>2dmio4=;o3272<73-h3:7==3:la<04>:%dfg?53>2dmio4<;o3272<73-h3:7==3:la<0:%dfg?53>2dmio4;;o3272<73-h3:7==3:la<0:%dfg?53>2dmio4:;o3272<73-h3:7==3:la<0:%dfg?53>2dmio49;o3272<73-h3:7==3:la<0:%dfg?53>2dmio48;o3272<73-h3:7==3:la<0:%dfg?53>2dmio47;o3272<73-h3:7==3:la<0<>32c88k4>:%dfg?53>2dmio46;o3272<73-h3:7==3:la<0:%dfg?53>2dmio4n;o3272<73-h3:7==3:la<0<032c88i4>:%dfg?53>2dmio4m;o3272<73-h3:7==3:la<0<132c88n4>:%dfg?53>2dmio4l;o3272<73-h3:7==3:la<0<232c88o4>:%dfg?53>2dmio4k;o3272<73-h3:7==3:la<0<332c88l4>:%dfg?53>2dmio4j;o3272<73-h3:7==3:la<0<432c8844>:%dfg?53>2dmio4i;o3272<73-h3:7==3:la<0<532c8854>:%dfg?53>2dmio4>0:l2561=82.i4;4<229mf=3=921b?>k51;&eaf<422910c>o<:18'b`e=;h80bkkm:19'f=0=;1=0bo6::038?j5f93:1(kkl:2c1?k`bj3;0(o69:2:4?kd?=3;;76a!`bk39j>6`iec81?!d?>393;6`m848e?>i41o0;6)hjc;1b6>hamk087)l76;1;3>he0<0n76a<9d83>!`bk39j>6`iec87?!d?>393;6`m848g?>i41m0;6)hjc;1b6>hamk0>7)l76;1;3>he0<0h76a<9b83>!`bk39j>6`iec85?!d?>393;6`m848a?>i41k0;6)hjc;1b6>hamk0<7)l76;1;3>he0<0j76a!`bk39j>6`iec8;?!d?>393;6`m848:?>i4ik0;6)hjc;1b6>hamk027)l76;1;3>he0<0376a!`bk39j>6`iec8b?!d?>393;6`m8484?>i4i00;6)hjc;1b6>hamk0i7)l76;1;3>he0<0=76a!`bk39j>6`iec8`?!d?>393;6`m8486?>i4i>0;6)hjc;1b6>hamk0o7)l76;1;3>he0<0?76a!`bk39j>6`iec8f?!d?>393;6`m8480?>i4i<0;6)hjc;1b6>hamk0m7)l76;1;3>he0<0976a!`bk39j>6`iec824>"e0?084:5ab9795>=h;0k1<7*ieb80e7=inlh1=<5+b9497=16=54ig5e>5<#nli1j:k4ngga>5=#j1<1j?:4nc:6>7`<3`l5$gg`>c1b3glnn7?4$c:5>c433gh39722;h07dh89;29 ccd2o=n7chjb;78 g>12o8?7cl75;0b?>oa?10;6)hjc;d4a>hamk0=7)l76;d10>he0<09565ff6594?"amj0m;h5afd`93>"e0?0m>95ab9796==6=4+fda9b2c6?94;hd40?6=,ooh6k9j;odff??<,k2=6k<;;o`;1?4132cm;>4?:%dfg?`0m2dmio4n;%`;2?`5<2di484=5:9jb24=83.min4i7d9mb`d=j2.i4;4i259mf=3=:=10ek9>:18'b`e=n>o0bkkm:b9'f=0=n;>0bo6::318?l`083:1(kkl:g5f?k`bj3n0(o69:g07?kd?=38976gi6g83>!`bk3l3l986`m84815>=nn?o1<7*ieb8e3`=inlh1j6*m878e61=ij1?1>=54ig4g>5<#nli1j:k4ngga>46<,k2=6k<;;o`;1?7a32cm:n4?:%dfg?`0m2dmio4>1:&a<3!`bk3l"e0?0m>95ab9795f=c433gh397?m;:ke22<72-lno7h8e:leag<6=2.i4;4i259mf=3=9h10ek89:18'b`e=n>o0bkkm:048 g>12o8?7cl75;3:?>oa><0;6)hjc;d4a>hamk0:;6*m878e61=ij1?1=554ig47>5<#nli1j:k4ngga>4><,k2=6k<;;o`;1?7032cm:>4?:%dfg?`0m2dmio4>9:&a<376gi6083>!`bk3l"e0?0m>95ab97951=c433gh397?<;:ke1`<72-lno7h8e:leag<6l2.i4;4i259mf=3=9;10ek;k:18'b`e=n>o0bkkm:0g8 g>12o8?7cl75;32?>oa=j0;6)hjc;d4a>hamk0:j6*m878e61=ij1?1==54ig7a>5<#nli1j:k4ngga>76<,k2=6k<;;o`;1?`<3`l>m7>5$gg`>c1b3glnn7<>;%`;2?`5<2di484j;:ke1<<72-lno7h8e:leag<5:2.i4;4i259mf=3=l21bj8650;&eaf0bo6::b98mc30290/jhm5f6g8jcce2;>0(o69:g07?kd?=3h07dh:6;29 ccd2o=n7chjb;06?!d?>3l986`m848b?>oa0<0;6)hjc;d4a>hamk09:6*m878e61=ij1?1565ff9694?"amj0m;h5afd`962=#j1<1j?:4nc:6>==c433gh39794;hd;6?6=,ooh6k9j;odff?4>3-h3:7h=4:la<0<132cm4<4?:%dfg?`0m2dmio4=a:&a<322=10ek99:18'b`e=n>o0bkkm:3a8 g>12o8?7cl75;18?l`1j3:1(kkl:g5f?k`bj38o7)l76;d10>he0<0976gi6183>!`bk3l"e0?0m>95ab9795>=nnk5+b949b726=54i53b>4<#nli18<74ngga>5=i989<6=5+b9497g16?h4;h624$c:5>6d03gh3979:leag<53g;:?:4?;%`;2?5e?2di484=d:9j040=93.min4;189mb`d=;2d:=>950:&a<3<4j>1en5;52b98m172280/jhm540;8jcce2=1e=<=8:19'f=0=;k=0bo6::3`8?l26<3;1(kkl:53:?k`bj3?0b12:h<7cl75;0b?>o39:0:6)hjc;62=>hamk0=7c?>3683?!d?>39i;6`m8481=>=n<881=7*ieb875<=inlh1;6`>12594>"e0?08n:5ab9796==5=#j1<1?o94nc:6>71<3`>;j7?5$gg`>17>3glnn774n0303?6<,k2=6>l8;o`;1?4132c?

:%dfg?2612dmio4n;o3272<73-h3:7=m7:la<0<5=21b8=j51;&eaf<3901ejhl5b:l2561=82.i4;4l:08'b`e=<830bkkm:b9m5450291/n5853c58jg>22;907d:?b;39 ccd2=;27chjb;f8j474?3:0(o69:2`4?kd?=38976g;0`82>!`bk3>:56`iec8f?k76;>0;7)l76;1a3>he0<09=65f41;95?"amj0?=45afd`9b>h69:=1<6*m8780f2=ij1?1>=54i52;>4<#nli18<74ngga>464$c:5>6d03gh397?i;:k742<62-lno7:>9:leag<692d:=>950:&a<3<4j>1en5;51d98m162280/jhm540;8jcce2880b12:h<7cl75;3g?>o38=0:6)hjc;62=>hamk0:?6`>12594>"e0?08n:5ab9795f=l8;o`;1?7e32c?:%dfg?2612dmio4>5:l2561=82.i4;4>:08'b`e=<830bkkm:048j474?3:0(o69:2`4?kd?=3;276g;0182>!`bk3>:56`iec823>h69:=1<6*m8780f2=ij1?1=554i2de>4<#nli18<74ngga>4>4$c:5>6d03gh397?8;:k0b`<62-lno7:>9:leag<612d:=>950:&a<3<4j>1en5;51798m6`c280/jhm540;8jcce28k0b12:h<7cl75;36?>o4nj0:6)hjc;62=>hamk0:n6`>12594>"e0?08n:5ab97951=l8;o`;1?7432c8j44>:%dfg?2612dmio4>d:l2561=82.i4;4h7:08'b`e=<830bkkm:0g8j474?3:0(o69:2`4?kd?=3;:76g!`bk3>:56`iec82b>h69:=1<6*m8780f2=ij1?1==54i2d5>4<#nli18<74ngga>764$c:5>6d03gh397h4;h1e1?7=,ooh69?6;odff?463g;:?:4?;%`;2?5e?2di484j;:k0b1<62-lno7:>9:leag<5:2d:=>950:&a<3<4j>1en5;5d:9j7c5=93.min4;189mb`d=::1e=<=8:19'f=0=;k=0bo6::b98m6`5280/jhm540;8jcce2;>0b12:h<7cl75;`8?l5a93;1(kkl:53:?k`bj38>7c?>3683?!d?>39i;6`m848b?>o3:90:6)hjc;62=>hamk09:6`>12594>"e0?08n:5ab979=>=n<8l1=7*ieb875<=inlh1>:5a1014>5=#j1<1?o94nc:6>==l8;o`;1?1<3`>:h7?5$gg`>17>3glnn7<6;o3272<73-h3:7=m7:la<0<132c?=n4>:%dfg?2612dmio4=a:l2561=82.i4;422=10e9?>:08'b`e=<830bkkm:3a8j474?3:0(o69:2`4?kd?=3907d:?6;39 ccd2=;27chjb;0g?k76;>0;7)l76;1a3>he0<0976g!`bk3>:56`iec81a>h69:=1<6*m8780f2=ij1?1=65f3g295?"amj0?=45afd`96c=i989<6=5+b9497g16=54og3a>5<#nli1j5=#j1<1i:k4nc:6>7`<3fl:57>5$gg`>c7f3glnn7?4$c:5>`1b3gh397a:leag<53-h3:7k8e:la<0<5l21dj<950;&eaf22;h07bh>5;29 ccd2o;j7chjb;78 g>12l=n7cl75;0b?>ia9=0;6)hjc;d2e>hamk0=7)l76;g4a>he0<09565`f0194?"amj0m=l5afd`93>"e0?0n;h5ab9796==6?94;nd24?6=,ooh6k?n;odff??<,k2=6h9j;o`;1?4132emk:18'b`e=n8k0bkkm:b9'f=0=m>o0bo6::318?j`7k3:1(kkl:g3b?k`bj3n0(o69:d5f?kd?=38976ai0c83>!`bk3l:m6`iec8f?!d?>3o=hn9k1<7*ieb8e5d=inlh1j6*m878f3`=ij1?1>=54og2:>5<#nli1j46<,k2=6h9j;o`;1?7a32em<54?:%dfg?`6i2dmio4>1:&a<3!`bk3l:m6`iec827>"e0?0n;h5ab9795f=`1b3gh397?m;:me46<72-lno7h>a:leag<6=2.i4;4j7d9mf=3=9h10ck>=:18'b`e=n8k0bkkm:048 g>12l=n7cl75;3:?>ia880;6)hjc;d2e>hamk0:;6*m878f3`=ij1?1=554og23>5<#nli1j4><,k2=6h9j;o`;1?7032enjk4?:%dfg?`6i2dmio4>9:&a<376ajfe83>!`bk3l:m6`iec82f>"e0?0n;h5ab97951=`1b3gh397?<;:mfbd<72-lno7h>a:leag<6l2.i4;4j7d9mf=3=9;10chh6:18'b`e=n8k0bkkm:0g8 g>12l=n7cl75;32?>ibn10;6)hjc;d2e>hamk0:j6*m878f3`=ij1?1==54odd4>5<#nli1j76<,k2=6h9j;o`;1?`<3fom:7>5$gg`>c7f3glnn7<>;%`;2?c0m2di484j;:mfb0<62-lno7h>a:leag<5:2.i4;4j7d9mf=3=l21dik:51;&eafo0bo6::b98k``4280/jhm5f0c8jcce2;>0(o69:d5f?kd?=3h07bki2;39 ccd2o;j7chjb;06?!d?>3oia:80:6)hjc;d2e>hamk09:6*m878f3`=ij1?1565`f3295?"amj0m=l5afd`962=#j1<1i:k4nc:6>==`1b3gh39794;nd2a?7=,ooh6k?n;odff?4>3-h3:7k8e:la<0<132em=i4>:%dfg?`6i2dmio4=a:&a<322=10ck?=:08'b`e=n8k0bkkm:3a8 g>12l=n7cl75;18?j`7?3;1(kkl:g3b?k`bj38o7)l76;g4a>he0<0976ajfb82>!`bk3l:m6`iec81a>"e0?0n;h5ab9795>=hmo;1=7*ieb8e5d=inlh1>k5+b949a2c6=54id5:>4<#nli1i:64ngga>5=i989<6=5+b949a3>6:54id54>4<#nli1i:64ngga>4=i989<6=5+b949a3>6;54id55>4<#nli1i:64ngga>7=i989<6=5+b949a3>6854id56>4<#nli1i:64ngga>6=i989<6=5+b949a3>6954id57>4<#nli1i:64ngga>1=i989<6=5+b949a3>6>54id50>4<#nli1i:64ngga>0=i989<6=5+b949a3>6?54id51>4<#nli1i:64ngga>3=i989<6=5+b949a3>6<54id52>4<#nli1i:64ngga>2=i989<6=5+b949a3>6=54i5a7>4<#nli18n=4ngga>5=i989<6=5+b949064$c:5>1?b3gh397??;:k7g4<62-lno7:l3:leag<53g;:?:4?;%`;2?2>m2di484i;:k7g5<62-lno7:l3:leag<43g;:?:4?;%`;2?2>m2di484j;:k7fc<62-lno7:l3:leag<33g;:?:4?;%`;2?2>m2di484k;:k7f`<62-lno7:l3:leag<23g;:?:4?;%`;2?2>m2di484l;:k7fa<62-lno7:l3:leag<13g;:?:4?;%`;2?2>m2di484m;:k7ff<62-lno7:l3:leag<03g;:?:4?;%`;2?2>m2di484n;:k7ga<62-lno7:l3:leagm2di4846;:k7gf<62-lno7:l3:leag<>3g;:?:4?;%`;2?2>m2di4847;:k7gg<62-lno7:l3:leagm2di4848;:k7gd<62-lno7:l3:leagm2di4849;:k7g<<62-lno7:l3:leagm2di484:;:k7g=<62-lno7:l3:leagm2di484;;:k7g2<62-lno7:l3:leagm2di484<;:k7g3<62-lno7:l3:leagm2di484=;:k7g0<62-lno7:l3:leag<682d:=>950:&a<3<31l1en5;51:9j0gd=93.min4;c29mb`d=981e=<=8:19'f=0=<0o0bo6::198kcc6290/jhm5fd28jcce291/n585f948jg>22;l07bhkf;29 ccd2oo;7chjb;38 g>12o2=7cl75;0f?>iall0;6)hjc;df4>hamk097)l76;d;2>he0<09h65`fef94?"amj0mi=5afd`97>"e0?0m4;5ab9796f=6?l4;ndgf?6=,ooh6kk?;odff?3<,k2=6k69;o`;1?4f32emhl4?:%dfg?`b82dmio49;%`;2?`?>2di484=9:9lba?=83.min4ie19mb`d=?2.i4;4i879mf=3=:110ckj8:18'b`e=nl:0bkkm:99'f=0=n1<0bo6::358?j`c>3:1(kkl:gg3?k`bj330(o69:g:5?kd?=38=76aid483>!`bk3ln<6`iec8b?!d?>3l3:6`m84811>=hnm>1<7*ieb8ea5=inlh1n6*m878e<3=ij1?1>954ogf0>5<#nli1jh>4ngga>f=#j1<1j584nc:6>75<3flo>7>5$gg`>cc73glnn7j4$c:5>c>13gh397<=;:me`4<72-lno7hj0:leag50;&eaf!`bk3ln<6`iec825>"e0?0m4;5ab9795`=c>13gh397?k;:megg<72-lno7hj0:leag<6;2.i4;4i879mf=3=9j10ckmn:18'b`e=nl:0bkkm:068 g>12o2=7cl75;3a?>iak00;6)hjc;df4>hamk0:96*m878e<3=ij1?1=l54oga;>5<#nli1jh>4ngga>40<,k2=6k69;o`;1?7>32emo:4?:%dfg?`b82dmio4>7:&a<3!`bk3ln<6`iec82=>"e0?0m4;5ab97953=c>13gh397?:;:meg6<72-lno7hj0:leag<6j2.i4;4i879mf=3=9=10ckm>:18'b`e=nl:0bkkm:0a8 g>12o2=7cl75;30?>iak90;6)hjc;df4>hamk0:h6*m878e<3=ij1?1=?54og`e>5<#nli1jh>4ngga>4c<,k2=6k69;o`;1?7632emnh4?:%dfg?`b82dmio4>f:&a<33l3:6`m848f?>iajk0;6)hjc;df4>hamk09>6*m878e<3=ij1?1h65`fcc94?"amj0mi=5afd`966=#j1<1j584nc:6>f=c>13gh397l4;nda1/n585f948jg>22110ckk::18'b`e=nl:0bkkm:3:8 g>12o2=7cl75;58?j`b<3:1(kkl:gg3?k`bj3827)l76;d;2>he0<0=76aie283>!`bk3ln<6`iec81e>"e0?0m4;5ab9791>=hnl81<7*ieb8ea5=inlh1>o5+b949b=06954ogf;>5<#nli1jh>4ngga>7e<,k2=6k69;o`;1?5<3flhh7>5$gg`>cc73glnn72di484=;:meg7<72-lno7hj0:leag<5m2.i4;4i879mf=3=921djo950;&eaf12;837cl75;33?>o5=j0:6)hjc;06a>hamk097c?>3683?!d?>38946`m848e?>o5=k0:6)hjc;06a>hamk087c?>3683?!d?>38946`m848f?>o5=h0:6)hjc;06a>hamk0?7c?>3683?!d?>38946`m848g?>o5=00:6)hjc;06a>hamk0>7c?>3683?!d?>38946`m848`?>o5=10:6)hjc;06a>hamk0=7c?>3683?!d?>38946`m848a?>o5=>0:6)hjc;06a>hamk0<7c?>3683?!d?>38946`m848b?>o5>10:6)hjc;06a>hamk037c?>3683?!d?>38946`m848:?>o5>>0:6)hjc;06a>hamk027c?>3683?!d?>38946`m848;?>o5>?0:6)hjc;06a>hamk0j7c?>3683?!d?>38946`m8484?>o5><0:6)hjc;06a>hamk0i7c?>3683?!d?>38946`m8485?>o5>=0:6)hjc;06a>hamk0h7c?>3683?!d?>38946`m8486?>o5>:0:6)hjc;06a>hamk0o7c?>3683?!d?>38946`m8487?>o5>;0:6)hjc;06a>hamk0n7c?>3683?!d?>38946`m8480?>o5>80:6)hjc;06a>hamk0m7c?>3683?!d?>38946`m8481?>o5>90:6)hjc;06a>hamk0:<6`>12594>"e0?09>55ab9795>=n:<<1=7*ieb811`=inlh1=<5a1014>5=#j1<1>?64nc:6>5=6?=4;n;55?6=,ooh648=;odff?7<,k2=64<>;o`;1?4532e2:=4?:%dfg??1:2dmio4=;%`;2??592di484=1:9l=0`=83.min46639mb`d=;2.i4;46209mf=3=:910c4;j:18'b`e=1?80bkkm:59'f=0=1;;0bo6::0d8?j?2l3:1(kkl:841?k`bj3?0(o69:802?kd?=3;n76a65c83>!`bk33=>6`iec85?!d?>339=6`m8482`>=h15<#nli15;<4ngga>==#j1<15??4nc:6>4d<3f3>47>5$gg`><053glnn774$c:5><463gh397?n;:m:12<72-lno7792:leag>;1ejhl5b:&a<3<>:81en5;51998k<32290/jhm59708jcce2j1/n5859338jg>228=07b7:4;29 ccd20<97chjb;f8 g>1208:7cl75;35?>i>=:0;6)hjc;;56>hamk0n7)l76;;15>he0<0:965`94094?"amj02:?5afd`9b>"e0?02><5ab97951=4$c:5><463gh397?<;:m:0c<72-lno7792:leag<692.i4;46209mf=3=9;10c4:j:18'b`e=1?80bkkm:008 g>1208:7cl75;32?>i>hamk0:?6*m878:64=ij1?1==54o86`>5<#nli15;<4ngga>42<,k2=64<>;o`;1?`<3f3?n7>5$gg`><053glnn7?:;%`;2??592di484j;:m:0d<72-lno7792:leag<6>2.i4;46209mf=3=l21d59750;&eaf<>>;1ejhl5169'f=0=1;;0bo6::b98k<2?290/jhm59708jcce2820(o69:802?kd?=3h07b7;7;29 ccd20<97chjb;3:?!d?>339=6`m848b?>i>>h0;6)hjc;;56>hamk0:m6*m878:64=ij1?1565`97;94?"amj02:?5afd`95g=#j1<15??4nc:6>==<463gh39794;n;53?6=,ooh648=;odff?7c3-h3:77=1:la<0<132e2:;4?:%dfg??1:2dmio4>e:&a<3<>:81en5;55:9l=33=83.min46639mb`d=9o1/n5859338jg>22=10c48;:18'b`e=1?80bkkm:328 g>1208:7cl75;18?j?2k3:1(kkl:841?k`bj38:7)l76;;15>he0<0976a65083>!`bk33=>6`iec816>"e0?02><5ab9795>=h1=<1<7*ieb8:27=inlh1>>5+b949=776=54i25g>5<#nli1?:m4ngga>5=#j1<1?;?4nc:6>47<@8:i865f36`94?"amj08;n5afd`95>"e0?08:<5ab97955=O99h?76g<7`83>!`bk3939==6`m848e?M77j=10e>96:18'b`e=;>i0bkkm:29'f=0=;?;0bo6::d9K55d332c8;54?:%dfg?50k2dmio4;;%`;2?5192di484k;I33f1=6n5G11`7?>o4??0;6)hjc;14g>hamk0=7)l76;155>he0<0i7E??b598m612290/jhm536a8jcce2>1/n5853738jg>22h1C==l;;:k0<3<72-lno7=8c:leag3A;;n954i2:6>5<#nli1?:m4ngga><=#j1<1?;?4nc:6>==O99h?76g<8583>!`bk3939==6`m8484?M77j=10e>6<:18'b`e=;>i0bkkm:c9'f=0=;?;0bo6::79K55d332c84?4?:%dfg?50k2dmio4l;%`;2?5192di484:;I33f1=695G11`7?>o4090;6)hjc;14g>hamk0n7)l76;155>he0<087E??b598m61a290/jhm536a8jcce2o1/n5853738jg>22;1C==l;;:k03`<72-lno7=8c:leag<682.i4;4<609mf=3=92B:9l;odff?763-h3:7=91:la<0<73A;;n954}c73f0<628?j6=4?{%327c<00=1C=?=l;I3206=];k?1nv41e28=26k=5f3823=<6?h0m87h>:043>x"3:<0n:h5a23a94>h5;?0:7c==5;28j64a291e84;50:l:36<63g;;;=4>;og4e?6"68h81==h<;o5;6?6<,:h=68h:;I33bd=#9;?>6:6;;%125?77io1C44h4$831>136l2d:>8955858 46c:3?;;=5f42794?=n993h6=4a1014>5=5n0303?6<3`>8;7>5;h33=`<72g;:?:4?;:k77<<722c??94?::m762<722c:50;l2561=821b==7i:18m54502910e9=i:18Kb`b4;h33=d<72g;:?:4?;:k77=<722c??>4?::k766<722c??l4?::k777<722e?>;4?::k24950:9j55g6290e=<=8:198m620290/jhm53548jcce291e=<=8:19'f=0=;;90bo6::038?l53=3:1(kkl:265?k`bj3;0b12:887cl75;33?>o4<=0;6)hjc;172>hamk097c?>3683?!d?>399?6`m848e?>o4<:0;6)hjc;172>hamk087c?>3683?!d?>399?6`m848f?>o4<;0;6)hjc;172>hamk0?7c?>3683?!d?>399?6`m848g?>o4<80;6)hjc;172>hamk0>7c?>3683?!d?>399?6`m848`?>o4<90;6)hjc;172>hamk0=7c?>3683?!d?>399?6`m848a?>o4;o0;6)hjc;172>hamk0<7c?>3683?!d?>399?6`m848b?>o4=90;6)hjc;172>hamk037c?>3683?!d?>399?6`m848:?>o4hamk027c?>3683?!d?>399?6`m848;?>o4hamk0j7c?>3683?!d?>399?6`m8484?>o4hamk0i7c?>3683?!d?>399?6`m8485?>o4hamk0h7c?>3683?!d?>399?6`m8486?>o4hamk0o7c?>3683?!d?>399?6`m8487?>o4hamk0n7c?>3683?!d?>399?6`m8480?>o4<00;6)hjc;172>hamk0m7c?>3683?!d?>399?6`m8481?>o4<10;6)hjc;172>hamk0:<6`>12594>"e0?08>>5ab9795>=n;:o1<7*ieb8003=inlh1=<5a1014>5=#j1<1??=4nc:6>5=6o=;odff?7<,k2=6>68;o`;1?7732e8m=4?:%dfg?5f:2dmio4=;%`;2?5??2di484i;:m0=c<72-lno7=n2:leag<43-h3:7=77:la<03-h3:7=77:la<00:&a<3<40>1en5;51:9l722910ek9i:18'b`e=n>o0bkkm:19'f=0=n;>0bo6::3d8?l`0l3:1(kkl:g5f?k`bj3;0(o69:g07?kd?=38n76gi7b83>!`bk3l3l986`m8481`>=nn>h1<7*ieb8e3`=inlh1?6*m878e61=ij1?1>n54ig5b>5<#nli1j:k4ngga>1=#j1<1j?:4nc:6>7d<3`l<57>5$gg`>c1b3glnn7;4$c:5>c433gh39722;=07dh84;29 ccd2o=n7chjb;;8 g>12o8?7cl75;05?>oa?:0;6)hjc;d4a>hamk0j7)l76;d10>he0<09965ff6094?"amj0m;h5afd`9f>"e0?0m>95ab97961=6?=4;hd44?6=,ooh6k9j;odff?b<,k2=6k<;;o`;1?4532cm:k4?:%dfg?`0m2dmio4j;%`;2?`5<2di484=1:9jb3c=83.min4i7d9mb`d=n2.i4;4i259mf=3=:910ek8k:18'b`e=n>o0bkkm:028 g>12o8?7cl75;3e?>oa>j0;6)hjc;d4a>hamk0:=6*m878e61=ij1?1=h54ig4b>5<#nli1j:k4ngga>44<,k2=6k<;;o`;1?7c32cm:44?:%dfg?`0m2dmio4>3:&a<30(o69:g07?kd?=3;i76gi6683>!`bk3l"e0?0m>95ab9795d=c433gh397?6;:ke20<72-lno7h8e:leag<6?2.i4;4i259mf=3=9110ek8;:18'b`e=n>o0bkkm:0:8 g>12o8?7cl75;34?>oa>:0;6)hjc;d4a>hamk0:56*m878e61=ij1?1=;54ig41>5<#nli1j:k4ngga>4g<,k2=6k<;;o`;1?7232cm:<4?:%dfg?`0m2dmio4>b:&a<3!`bk3l"e0?0m>95ab97957=c433gh397?>;:ke1f<72-lno7h8e:leag<6n2.i4;4i259mf=3=9910ek;m:18'b`e=n>o0bkkm:328 g>12o8?7cl75;d8?l`2i3:1(kkl:g5f?k`bj38:7)l76;d10>he0<0n76gi5883>!`bk3l"e0?0m>95ab979`>=nn<21<7*ieb8e3`=inlh1>>5+b949b726n54ig74>5<#nli1j:k4ngga>72<,k2=6k<;;o`;1?d<3`l>:7>5$gg`>c1b3glnn7<:;%`;2?`5<2di484n;:ke<0<72-lno7h8e:leag<5>2.i4;4i259mf=3=121bj5:50;&eaf0bo6::998mc>4290/jhm5f6g8jcce2;20(o69:g07?kd?=3=07dh72;29 ccd2o=n7chjb;0:?!d?>3l986`m8485?>oa080;6)hjc;d4a>hamk09m6*m878e61=ij1?1965ff9294?"amj0m;h5afd`96g=#j1<1j?:4nc:6>1=c433gh397=4;hd5f?6=,ooh6k9j;odff?4c3-h3:7h=4:la<0<532cm:=4?:%dfg?`0m2dmio4=e:&a<322910e9?n:18'b`e=<830bkkm:19m5450291/n5853c58jg>22;l07d:>8;29 ccd2=;27chjb;38j474?3:0(o69:2`4?kd?=38n76g;1683>!`bk3>:56`iec81?k76;>0;7)l76;1a3>he0<09h65f40494?"amj0?=45afd`97>h69:=1<6*m8780f2=ij1?1>n54i536>5<#nli18<74ngga>1=i989<6=5+b9497g16?l4;h620?6=,ooh69?6;odff?34$c:5>6d03gh3979:leag<13g;:?:4?;%`;2?5e?2di484=9:9j044=83.min4;189mb`d=?2d:=>950:&a<3<4j>1en5;52998m177290/jhm540;8jcce211e=<=8:19'f=0=;k=0bo6::358?l27n3:1(kkl:53:?k`bj330b12:h<7cl75;05?>o38l0;6)hjc;62=>hamk0j7c?>3683?!d?>39i;6`m84811>=n<9n1<7*ieb875<=inlh1n6`>12594>"e0?08n:5ab97961=5=#j1<1?o94nc:6>75<3`>;n7>5$gg`>17>3glnn7j4n0303?6<,k2=6>l8;o`;1?4532c?7:18'b`e=<830bkkm:028j474?3:0(o69:2`4?kd?=3;m76g;0683>!`bk3>:56`iec825>h69:=1<6*m8780f2=ij1?1=h54i526>5<#nli18<74ngga>444$c:5>6d03gh397?k;:k741<72-lno7:>9:leag<6;2d:=>950:&a<3<4j>1en5;51b98m164290/jhm540;8jcce28>0b12:h<7cl75;3a?>o38;0;6)hjc;62=>hamk0:96`>12594>"e0?08n:5ab9795d=l8;o`;1?7>32c?<=4?:%dfg?2612dmio4>7:l2561=82.i4;4hi:18'b`e=<830bkkm:0:8j474?3:0(o69:2`4?kd?=3;<76g!`bk3>:56`iec82=>h69:=1<6*m8780f2=ij1?1=;54i2dg>5<#nli18<74ngga>4g4$c:5>6d03gh397?:;:k0bf<72-lno7:>9:leag<6j2d:=>950:&a<3<4j>1en5;51598m6`f290/jhm540;8jcce28i0b12:h<7cl75;30?>o4n00;6)hjc;62=>hamk0:h6`>12594>"e0?08n:5ab97957=l8;o`;1?7632c8j:4?:%dfg?2612dmio4>f:l2561=82.i4;4h9:18'b`e=<830bkkm:328j474?3:0(o69:2`4?kd?=3l07d=i5;29 ccd2=;27chjb;02?k76;>0;7)l76;1a3>he0<0n76g!`bk3>:56`iec816>h69:=1<6*m8780f2=ij1?1h65f3g194?"amj0?=45afd`966=i989<6=5+b9497g16n54i2d1>5<#nli18<74ngga>724$c:5>6d03gh397l4;h1e5?6=,ooh69?6;odff?423g;:?:4?;%`;2?5e?2di484n;:k765<72-lno7:>9:leag<5>2d:=>950:&a<3<4j>1en5;59:9j04`=83.min4;189mb`d=:>1e=<=8:19'f=0=;k=0bo6::998m17b290/jhm540;8jcce2;20b12:h<7cl75;58?l26l3:1(kkl:53:?k`bj3827c?>3683?!d?>39i;6`m8485?>o39j0;6)hjc;62=>hamk09m6`>12594>"e0?08n:5ab9791>=n<8h1<7*ieb875<=inlh1>o5a1014>5=#j1<1?o94nc:6>1=l8;o`;1?5<3`>;:7>5$gg`>17>3glnn750;&eaf<3901ejhl52g9m5450291/n5853c58jg>22910ck?m:18'b`e=n8k0bkkm:19'f=0=m>o0bo6::3d8?j`613:1(kkl:g3b?k`bj3;0(o69:d5f?kd?=38n76ai1983>!`bk3l:m6`iec81?!d?>3o=hn8=1<7*ieb8e5d=inlh1?6*m878f3`=ij1?1>n54og35>5<#nli1j1=#j1<1i:k4nc:6>7d<3fl:97>5$gg`>c7f3glnn7;4$c:5>`1b3gh397a:leag<13-h3:7k8e:la<0<5121dj<=50;&eaf22;=07bh>0;29 ccd2o;j7chjb;;8 g>12l=n7cl75;05?>ia8o0;6)hjc;d2e>hamk0j7)l76;g4a>he0<09965`f1g94?"amj0m=l5afd`9f>"e0?0n;h5ab97961=6?=4;nd3g?6=,ooh6k?n;odff?b<,k2=6h9j;o`;1?4532em6:18'b`e=n8k0bkkm:028 g>12l=n7cl75;3e?>ia810;6)hjc;d2e>hamk0:=6*m878f3`=ij1?1=h54og25>5<#nli1j44<,k2=6h9j;o`;1?7c32em<84?:%dfg?`6i2dmio4>3:&a<30(o69:d5f?kd?=3;i76ai0283>!`bk3l:m6`iec821>"e0?0n;h5ab9795d=`1b3gh397?6;:me44<72-lno7h>a:leag<6?2.i4;4j7d9mf=3=9110ck>?:18'b`e=n8k0bkkm:0:8 g>12l=n7cl75;34?>ibno0;6)hjc;d2e>hamk0:56*m878f3`=ij1?1=;54oddf>5<#nli1j4g<,k2=6h9j;o`;1?7232enji4?:%dfg?`6i2dmio4>b:&a<3!`bk3l:m6`iec82`>"e0?0n;h5ab97957=`1b3gh397?>;:mfb=<72-lno7h>a:leag<6n2.i4;4j7d9mf=3=9910chh8:18'b`e=n8k0bkkm:328 g>12l=n7cl75;d8?jca>3:1(kkl:g3b?k`bj38:7)l76;g4a>he0<0n76ajf483>!`bk3l:m6`iec816>"e0?0n;h5ab979`>=hmo>1<7*ieb8e5d=inlh1>>5+b949a2c6n54odd0>5<#nli1j72<,k2=6h9j;o`;1?d<3fom>7>5$gg`>c7f3glnn7<:;%`;2?c0m2di484n;:me64<72-lno7h>a:leag<5>2.i4;4j7d9mf=3=121dj?>50;&eafo0bo6::998kc7a290/jhm5f0c8jcce2;20(o69:d5f?kd?=3=07bh>e;29 ccd2o;j7chjb;0:?!d?>3oia9m0;6)hjc;d2e>hamk09m6*m878f3`=ij1?1965`f0a94?"amj0m=l5afd`96g=#j1<1i:k4nc:6>1=`1b3gh397=4;nd33?6=,ooh6k?n;odff?4c3-h3:7k8e:la<0<532enjn4?:%dfg?`6i2dmio4=e:&a<322910eh96:18'b`e=m>20bkkm:19m5450291/n585e7:8jg>22>10eh98:18'b`e=m>20bkkm:09m5450291/n585e7:8jg>22?10eh99:18'b`e=m>20bkkm:39m5450291/n585e7:8jg>22<10eh9::18'b`e=m>20bkkm:29m5450291/n585e7:8jg>22=10eh9;:18'b`e=m>20bkkm:59m5450291/n585e7:8jg>22:10eh9<:18'b`e=m>20bkkm:49m5450291/n585e7:8jg>22;10eh9=:18'b`e=m>20bkkm:79m5450291/n585e7:8jg>22810eh9>:18'b`e=m>20bkkm:69m5450291/n585e7:8jg>22910e9m;:18'b`e=228;07d:l2;29 ccd2=i87chjb;38j474?3:0(o69:5;f?kd?=3;;76g;c083>!`bk3>h?6`iec81?k76;>0;7)l76;6:a>he0<0m76g;c183>!`bk3>h?6`iec80?k76;>0;7)l76;6:a>he0<0n76g;bg83>!`bk3>h?6`iec87?k76;>0;7)l76;6:a>he0<0o76g;bd83>!`bk3>h?6`iec86?k76;>0;7)l76;6:a>he0<0h76g;be83>!`bk3>h?6`iec85?k76;>0;7)l76;6:a>he0<0i76g;bb83>!`bk3>h?6`iec84?k76;>0;7)l76;6:a>he0<0j76g;ce83>!`bk3>h?6`iec8;?k76;>0;7)l76;6:a>he0<0276g;cb83>!`bk3>h?6`iec8:?k76;>0;7)l76;6:a>he0<0376g;cc83>!`bk3>h?6`iec8b?k76;>0;7)l76;6:a>he0<0<76g;c`83>!`bk3>h?6`iec8a?k76;>0;7)l76;6:a>he0<0=76g;c883>!`bk3>h?6`iec8`?k76;>0;7)l76;6:a>he0<0>76g;c983>!`bk3>h?6`iec8g?k76;>0;7)l76;6:a>he0<0?76g;c683>!`bk3>h?6`iec8f?k76;>0;7)l76;6:a>he0<0876g;c783>!`bk3>h?6`iec8e?k76;>0;7)l76;6:a>he0<0976g;c483>!`bk3>h?6`iec824>h69:=1<6*m8787=`=ij1?1=65f4c`94?"amj0?o>5afd`954=i989<6=5+b94906=54ogg2>5<#nli1jh>4ngga>5=#j1<1j584nc:6>7`<3floj7>5$gg`>cc73glnn7?4$c:5>c>13gh39722;h07bhkb;29 ccd2oo;7chjb;78 g>12o2=7cl75;0b?>ialh0;6)hjc;df4>hamk0=7)l76;d;2>he0<09565`fe;94?"amj0mi=5afd`93>"e0?0m4;5ab9796==6?94;ndg2?6=,ooh6kk?;odff??<,k2=6k69;o`;1?4132emh84?:%dfg?`b82dmio4n;%`;2?`?>2di484=5:9lba2=83.min4ie19mb`d=j2.i4;4i879mf=3=:=10ckj<:18'b`e=nl:0bkkm:b9'f=0=n1<0bo6::318?j`c:3:1(kkl:gg3?k`bj3n0(o69:g:5?kd?=38976aid083>!`bk3ln<6`iec8f?!d?>3l3:6`m84815>=hnm:1<7*ieb8ea5=inlh1j6*m878e<3=ij1?1>=54ogae>5<#nli1jh>4ngga>46<,k2=6k69;o`;1?7a32emoh4?:%dfg?`b82dmio4>1:&a<3!`bk3ln<6`iec827>"e0?0m4;5ab9795f=c>13gh397?m;:meg<<72-lno7hj0:leag<6=2.i4;4i879mf=3=9h10ckm7:18'b`e=nl:0bkkm:048 g>12o2=7cl75;3:?>iak>0;6)hjc;df4>hamk0:;6*m878e<3=ij1?1=554oga5>5<#nli1jh>4ngga>4><,k2=6k69;o`;1?7032emo84?:%dfg?`b82dmio4>9:&a<376aic283>!`bk3ln<6`iec82f>"e0?0m4;5ab97951=c>13gh397?<;:meg5<72-lno7hj0:leag<6l2.i4;4i879mf=3=9;10ckli:18'b`e=nl:0bkkm:0g8 g>12o2=7cl75;32?>iajl0;6)hjc;df4>hamk0:j6*m878e<3=ij1?1==54og`g>5<#nli1jh>4ngga>76<,k2=6k69;o`;1?`<3flio7>5$gg`>cc73glnn7<>;%`;2?`?>2di484j;:mefg<72-lno7hj0:leag<5:2.i4;4i879mf=3=l21djoo50;&eaf290/jhm5fd28jcce2;>0(o69:g:5?kd?=3h07bhm8;29 ccd2oo;7chjb;06?!d?>3l3:6`m848b?>iam>0;6)hjc;df4>hamk09:6*m878e<3=ij1?1565`fd494?"amj0mi=5afd`962=#j1<1j584nc:6>==6=4+fda9b`6c>13gh39794;ndf0?6=,ooh6kk?;odff?4>3-h3:7h76:la<0<132emi>4?:%dfg?`b82dmio4=a:&a<322=10ckj7:18'b`e=nl:0bkkm:3a8 g>12o2=7cl75;18?j`dl3:1(kkl:gg3?k`bj38o7)l76;d;2>he0<0976aic383>!`bk3ln<6`iec81a>"e0?0m4;5ab9795>=hnk=1<7*ieb8ea5=inlh1>k5+b949b=06=54i37e>5<#nli1>8k4ngga>5=i989<6=5+b94967>64$c:5>74?3gh397??;:k11f<72-lno7<:e:leag<53g;:?:4?;%`;2?4502di484i;:k11g<72-lno7<:e:leag<43g;:?:4?;%`;2?4502di484j;:k11d<72-lno7<:e:leag<33g;:?:4?;%`;2?4502di484k;:k11<<72-lno7<:e:leag<23g;:?:4?;%`;2?4502di484l;:k11=<72-lno7<:e:leag<13g;:?:4?;%`;2?4502di484m;:k112<72-lno7<:e:leag<03g;:?:4?;%`;2?4502di484n;:k12=<72-lno7<:e:leag3g;:?:4?;%`;2?4502di4847;:k123<72-lno7<:e:leag950:&a<3<5:11en5;51:9j600=83.min4=5d9mb`d=981e=<=8:19'f=0=:;20bo6::198k<04290/jhm59708jcce291/n5859338jg>22;907b791;29 ccd20<97chjb;38 g>1208:7cl75;01?>i>>90;6)hjc;;56>hamk097)l76;;15>he0<09=65`94d94?"amj02:?5afd`97>"e0?02><5ab97965=6;o`;1?7b32e29o4?:%dfg??1:2dmio49;%`;2??592di484>d:9l=0g=83.min46639mb`d=?2.i4;46209mf=3=9j10c4;6:18'b`e=1?80bkkm:99'f=0=1;;0bo6::0`8?j?203:1(kkl:841?k`bj330(o69:802?kd?=3;j76a65683>!`bk33=>6`iec8b?!d?>339=6`m8482=>=h1<<1<7*ieb8:27=inlh1n6*m878:64=ij1?1=554o876>5<#nli15;<4ngga>f=#j1<15??4nc:6>41<3f3>87>5$gg`><053glnn7j4$c:5><463gh397?9;:m:16<72-lno7792:leag>;1ejhl5f:&a<3<>:81en5;51598k<37290/jhm59708jcce28:0(o69:802?kd?=3;876a64g83>!`bk33=>6`iec825>"e0?02><5ab97957=n6=4+fda9=34<463gh397?>;:m:0a<72-lno7792:leag<6;2.i4;46209mf=3=9910c4:l:18'b`e=1?80bkkm:068 g>1208:7cl75;d8?j?3j3:1(kkl:841?k`bj3;>7)l76;;15>he0<0n76a64`83>!`bk33=>6`iec822>"e0?02><5ab979`>=h1=31<7*ieb8:27=inlh1=:5+b949=776n54o86;>5<#nli15;<4ngga>4><,k2=64<>;o`;1?d<3f3?;7>5$gg`><053glnn7?6;%`;2??592di484n;:m:2d<72-lno7792:leag<6i2.i4;46209mf=3=121d5;750;&eaf<>>;1ejhl51c9'f=0=1;;0bo6::998k<0?290/jhm59708jcce28i0(o69:802?kd?=3=07b797;29 ccd20<97chjb;3g?!d?>339=6`m8485?>i>>?0;6)hjc;;56>hamk0:i6*m878:64=ij1?1965`97794?"amj02:?5afd`95c=#j1<15??4nc:6>1=4$c:5><463gh397=4;n;6g?6=,ooh648=;odff?463-h3:77=1:la<0<532e29<4?:%dfg??1:2dmio4=2:&a<3<>:81en5;51:9l=10=83.min46639mb`d=::1/n5859338jg>22910e>9k:18'b`e=;>i0bkkm:19'f=0=;?;0bo6::038L46e<21b?:l50;&eaf<4?j1ejhl51:&a<3<4>81en5;5119K55d332c8;l4?:%dfg?50k2dmio4=;%`;2?5192di484i;I33f1=5+b9497376h5G11`7?>o4?10;6)hjc;14g>hamk0?7)l76;155>he0<0o7E??b598m610290/jhm536a8jcce2<1/n5853738jg>22j1C==l;;:k033<72-lno7=8c:leag<13-h3:7=91:la<05<#nli1?:m4ngga>2=#j1<1?;?4nc:6>d=O99h?76g<8783>!`bk3939==6`m848:?M77j=10e>6::18'b`e=;>i0bkkm:89'f=0=;?;0bo6::99K55d332c8494?:%dfg?50k2dmio4n;%`;2?5192di4848;I33f1=6;5G11`7?>o40;0;6)hjc;14g>hamk0h7)l76;155>he0<0>7E??b598m6>6290/jhm536a8jcce2m1/n5853738jg>22=1C==l;;:k0<5<72-lno7=8c:leag5<#nli1?:m4ngga>c=#j1<1?;?4nc:6>7=O99h?76g<7d83>!`bk39"e0?08:<5ab9795>N68k>07d=84;29 ccd2:=h7chjb;32?!d?>39==6`m8483?M77j=10qo;?7382>43f290;w)?>3g84<1=O9;9h7E?>429Y7g3=jr8;m7h::05a>41>2o91j?4>79823dl1e>?m50:l173<63g9997>4n20e>5=i<0?1<6`67282?k77?90;7ck8a;28j143291e8?650:&24d4=99l87c972;28 6d127E??f`9'57322>2?7)=>1;33ec=O00l0(4?=:572`>h6:<=19464$02g6?37?91b8>;51;9j55?d280e=<=8:198m46>l3;1bk76;>0;76g;3882>>o3;=0:66a;2683>>o68h:1=7`>12594>=n993m6<4a1014>5=k76;>0;76g;3982>>o3;:0:66g;2283>>o3;h0:66g;3382>>i3:?0;66g>08;95?h69:=1<65f11c2>44<#nli1?984ngga>5=i989<6=5+b9497756:9;odff?74$c:5>6443gh397??;:k001<62-lno7=;6:leag<53g;:?:4?;%`;2?55;2di484i;:k006<62-lno7=;6:leag<43g;:?:4?;%`;2?55;2di484j;:k007<62-lno7=;6:leag<33g;:?:4?;%`;2?55;2di484k;:k004<62-lno7=;6:leag<23g;:?:4?;%`;2?55;2di484l;:k005<62-lno7=;6:leag<13g;:?:4?;%`;2?55;2di484m;:k07c<62-lno7=;6:leag<03g;:?:4?;%`;2?55;2di484n;:k015<62-lno7=;6:leag3g;:?:4?;%`;2?55;2di4847;:k00`<62-lno7=;6:leag950:&a<3<4::1en5;51:9j76c=93.min4<479mb`d=981e=<=8:19'f=0=;;90bo6::198k6g4290/jhm53`08jcce291/n5853958jg>228;07b=n1;29 ccd2:k97chjb;38 g>12:2<7cl75;33?>i4i90;6)hjc;1b6>hamk097)l76;1;3>he0<0m76a<9g83>!`bk39j>6`iec80?!d?>393;6`m848f?>i41l0;6)hjc;1b6>hamk0?7)l76;1;3>he0<0o76a<9e83>!`bk39j>6`iec86?!d?>393;6`m848`?>i41j0;6)hjc;1b6>hamk0=7)l76;1;3>he0<0i76a<9c83>!`bk39j>6`iec84?!d?>393;6`m848b?>i4ij0;6)hjc;1b6>hamk037)l76;1;3>he0<0276a!`bk39j>6`iec8:?!d?>393;6`m848;?>i4ih0;6)hjc;1b6>hamk0j7)l76;1;3>he0<0<76a!`bk39j>6`iec8a?!d?>393;6`m8485?>i4i10;6)hjc;1b6>hamk0h7)l76;1;3>he0<0>76a!`bk39j>6`iec8g?!d?>393;6`m8487?>i4i?0;6)hjc;1b6>hamk0n7)l76;1;3>he0<0876a!`bk39j>6`iec8e?!d?>393;6`m8481?>i4i=0;6)hjc;1b6>hamk0:<6*m8780<2=ij1?1=65`38c94?"amj08m?5afd`954=#j1<1?594nc:6>5=6?h4;hd4`?6=,ooh6k9j;odff?7<,k2=6k<;;o`;1?4b32cm;n4?:%dfg?`0m2dmio4=;%`;2?`5<2di484=d:9jb2d=83.min4i7d9mb`d=;2.i4;4i259mf=3=:j10ek9n:18'b`e=n>o0bkkm:59'f=0=n;>0bo6::3`8?l`013:1(kkl:g5f?k`bj3?0(o69:g07?kd?=38j76gi7983>!`bk3l3l986`m8481=>=nn>=1<7*ieb8e3`=inlh1;6*m878e61=ij1?1>554ig56>5<#nli1j:k4ngga>==#j1<1j?:4nc:6>71<3`l<87>5$gg`>c1b3glnn774$c:5>c433gh397<9;:ke36<72-lno7h8e:leag22;907dh80;29 ccd2o=n7chjb;f8 g>12o8?7cl75;01?>oa>o0;6)hjc;d4a>hamk0n7)l76;d10>he0<09=65ff7g94?"amj0m;h5afd`9b>"e0?0m>95ab97965=4$c:5>c433gh397?i;:ke2f<72-lno7h8e:leag<692.i4;4i259mf=3=9l10ek8n:18'b`e=n>o0bkkm:008 g>12o8?7cl75;3g?>oa>00;6)hjc;d4a>hamk0:?6*m878e61=ij1?1=n54ig4;>5<#nli1j:k4ngga>42<,k2=6k<;;o`;1?7e32cm::4?:%dfg?`0m2dmio4>5:&a<3!`bk3l"e0?0m>95ab9795==c433gh397?8;:ke26<72-lno7h8e:leag<612.i4;4i259mf=3=9?10ek8=:18'b`e=n>o0bkkm:0c8 g>12o8?7cl75;36?>oa>80;6)hjc;d4a>hamk0:n6*m878e61=ij1?1=954ig7e>5<#nli1j:k4ngga>4e<,k2=6k<;;o`;1?7432cm9h4?:%dfg?`0m2dmio4>d:&a<3!`bk3l"e0?0m>95ab97955=4$c:5>c433gh397h4;hd6e?6=,ooh6k9j;odff?463-h3:7h=4:la<0=83.min4i7d9mb`d=::1/n585f368jg>22j10ek;8:18'b`e=n>o0bkkm:368 g>12o8?7cl75;`8?l`2>3:1(kkl:g5f?k`bj38>7)l76;d10>he0<0j76gi8483>!`bk3l"e0?0m>95ab979=>=nn1>1<7*ieb8e3`=inlh1>:5+b949b726554ig:0>5<#nli1j:k4ngga>7><,k2=6k<;;o`;1?1<3`l3>7>5$gg`>c1b3glnn7<6;%`;2?`5<2di4849;:ke<4<72-lno7h8e:leag<5i2.i4;4i259mf=3==21bj5>50;&eaf0bo6::598mc11290/jhm5f6g8jcce2;i0(o69:g07?kd?=3907dh9b;29 ccd2o=n7chjb;0g?!d?>3l986`m8481?>oa>90;6)hjc;d4a>hamk09i6*m878e61=ij1?1=65ff4794?"amj0m;h5afd`96c=#j1<1j?:4nc:6>5=5=#j1<1?o94nc:6>7`<3`>:47?5$gg`>17>3glnn7?4n0303?6<,k2=6>l8;o`;1?4b32c?=:4>:%dfg?2612dmio4=;o3272<73-h3:7=m7:la<0<5l21b8<851;&eaf<3901ejhl53:l2561=82.i4;422;h07d:>4;39 ccd2=;27chjb;78j474?3:0(o69:2`4?kd?=38j76g;1282>!`bk3>:56`iec85?k76;>0;7)l76;1a3>he0<09565f40095?"amj0?=45afd`93>h69:=1<6*m8780f2=ij1?1>554i533>4<#nli18<74ngga>==i989<6=5+b9497g16?94;h63b?7=,ooh69?6;odff??4$c:5>6d03gh397<9;:k74`<62-lno7:>9:leag950:&a<3<4j>1en5;52598m16d280/jhm540;8jcce2j1e=<=8:19'f=0=;k=0bo6::318?l27j3;1(kkl:53:?k`bj3n0b12:h<7cl75;01?>o38h0:6)hjc;62=>hamk0n7c?>3683?!d?>39i;6`m84815>=n<931=7*ieb875<=inlh1j6`>12594>"e0?08n:5ab97965=4n0303?6<,k2=6>l8;o`;1?7a32c?<:4>:%dfg?2612dmio4>1:l2561=82.i4;4::08'b`e=<830bkkm:008j474?3:0(o69:2`4?kd?=3;o76g;0582>!`bk3>:56`iec827>h69:=1<6*m8780f2=ij1?1=n54i520>4<#nli18<74ngga>424$c:5>6d03gh397?m;:k747<62-lno7:>9:leag<6=2d:=>950:&a<3<4j>1en5;51`98m166280/jhm540;8jcce28<0b12:h<7cl75;3:?>o3890:6)hjc;62=>hamk0:;6`>12594>"e0?08n:5ab9795==l8;o`;1?7032c8jh4>:%dfg?2612dmio4>9:l2561=82.i4;4hk:08'b`e=<830bkkm:0c8j474?3:0(o69:2`4?kd?=3;>76g!`bk3>:56`iec82f>h69:=1<6*m8780f2=ij1?1=954i2db>4<#nli18<74ngga>4e4$c:5>6d03gh397?<;:k0b<<62-lno7:>9:leag<6l2d:=>950:&a<3<4j>1en5;51398m6`?280/jhm540;8jcce28o0b12:h<7cl75;32?>o4n>0:6)hjc;62=>hamk0:j6`>12594>"e0?08n:5ab97955=4n0303?6<,k2=6>l8;o`;1?`<3`9m97?5$gg`>17>3glnn7<>;o3272<73-h3:7=m7:la<0:%dfg?2612dmio4=2:l2561=82.i4;422j10e>h=:08'b`e=<830bkkm:368j474?3:0(o69:2`4?kd?=3h07d=i1;39 ccd2=;27chjb;06?k76;>0;7)l76;1a3>he0<0j76g;2182>!`bk3>:56`iec812>h69:=1<6*m8780f2=ij1?1565f40d95?"amj0?=45afd`962=i989<6=5+b9497g16554i53f>4<#nli18<74ngga>7>4$c:5>6d03gh39794;h62`?7=,ooh69?6;odff?4>3g;:?:4?;%`;2?5e?2di4849;:k75f<62-lno7:>9:leag<5i2d:=>950:&a<3<4j>1en5;55:9j04d=93.min4;189mb`d=:k1e=<=8:19'f=0=;k=0bo6::598m176280/jhm540;8jcce2;i0b12:h<7cl75;18?l27>3;1(kkl:53:?k`bj38o7c?>3683?!d?>39i;6`m8481?>o4nk0:6)hjc;62=>hamk09i6`>12594>"e0?08n:5ab9795>=n;o:1=7*ieb875<=inlh1>k5a1014>5=#j1<1?o94nc:6>5=6?h4;nd2=?6=,ooh6k?n;odff?7<,k2=6h9j;o`;1?4b32em=54?:%dfg?`6i2dmio4=;%`;2?c0m2di484=d:9lb41=83.min4i1`9mb`d=;2.i4;4j7d9mf=3=:j10ck?9:18'b`e=n8k0bkkm:59'f=0=m>o0bo6::3`8?j`6=3:1(kkl:g3b?k`bj3?0(o69:d5f?kd?=38j76ai1583>!`bk3l:m6`iec85?!d?>3o=hn891<7*ieb8e5d=inlh1;6*m878f3`=ij1?1>554og32>5<#nli1j==#j1<1i:k4nc:6>71<3fl:<7>5$gg`>c7f3glnn774$c:5>`1b3gh397<9;:me4c<72-lno7h>a:leag22;907bh?c;29 ccd2o;j7chjb;f8 g>12l=n7cl75;01?>ia8k0;6)hjc;d2e>hamk0n7)l76;g4a>he0<09=65`f1c94?"amj0m=l5afd`9b>"e0?0n;h5ab97965=4$c:5>`1b3gh397?i;:me4=<72-lno7h>a:leag<692.i4;4j7d9mf=3=9l10ck>9:18'b`e=n8k0bkkm:008 g>12l=n7cl75;3g?>ia8<0;6)hjc;d2e>hamk0:?6*m878f3`=ij1?1=n54og27>5<#nli1j42<,k2=6h9j;o`;1?7e32em<>4?:%dfg?`6i2dmio4>5:&a<3!`bk3l:m6`iec823>"e0?0n;h5ab9795==`1b3gh397?8;:mfbc<72-lno7h>a:leag<612.i4;4j7d9mf=3=9?10chhj:18'b`e=n8k0bkkm:0c8 g>12l=n7cl75;36?>ibnm0;6)hjc;d2e>hamk0:n6*m878f3`=ij1?1=954odda>5<#nli1j4e<,k2=6h9j;o`;1?7432enjl4?:%dfg?`6i2dmio4>d:&a<3290/jhm5f0c8jcce28o0(o69:d5f?kd?=3;:76ajf983>!`bk3l:m6`iec82b>"e0?0n;h5ab97955=4$c:5>`1b3gh397h4;nge2?6=,ooh6k?n;odff?463-h3:7k8e:la<022j10chh<:18'b`e=n8k0bkkm:368 g>12l=n7cl75;`8?jca:3:1(kkl:g3b?k`bj38>7)l76;g4a>he0<0j76ai2083>!`bk3l:m6`iec812>"e0?0n;h5ab979=>=hn;:1<7*ieb8e5d=inlh1>:5+b949a2c6554og3e>5<#nli1j7><,k2=6h9j;o`;1?1<3fl:i7>5$gg`>c7f3glnn7<6;%`;2?c0m2di4849;:me5a<72-lno7h>a:leag<5i2.i4;4j7d9mf=3==21djo0bo6::598kc75290/jhm5f0c8jcce2;i0(o69:d5f?kd?=3907bh?7;29 ccd2o;j7chjb;0g?!d?>3oibnj0;6)hjc;d2e>hamk09i6*m878f3`=ij1?1=65`eg394?"amj0m=l5afd`96c=#j1<1i:k4nc:6>5=5=#j1<1i;64nc:6>2=5=#j1<1i;64nc:6>3=5=#j1<1i;64nc:6>0=6<4+fda9a2>5a1014>5=#j1<1i;64nc:6>1=5=#j1<1i;64nc:6>6=5=#j1<1i;64nc:6>7=5=#j1<1i;64nc:6>4=5=#j1<1i;64nc:6>5=5=#j1<184k4nc:6>47<3`>h>7?5$gg`>1e43glnn7?4n0303?6<,k2=697j;o`;1?7732c?o<4>:%dfg?2d;2dmio4=;o3272<73-h3:7:6e:la<0:%dfg?2d;2dmio4<;o3272<73-h3:7:6e:la<0:%dfg?2d;2dmio4;;o3272<73-h3:7:6e:la<0:%dfg?2d;2dmio4:;o3272<73-h3:7:6e:la<0:%dfg?2d;2dmio49;o3272<73-h3:7:6e:la<0:%dfg?2d;2dmio48;o3272<73-h3:7:6e:la<0:%dfg?2d;2dmio47;o3272<73-h3:7:6e:la<0<>32c?on4>:%dfg?2d;2dmio46;o3272<73-h3:7:6e:la<0:%dfg?2d;2dmio4n;o3272<73-h3:7:6e:la<0<032c?ol4>:%dfg?2d;2dmio4m;o3272<73-h3:7:6e:la<0<132c?o44>:%dfg?2d;2dmio4l;o3272<73-h3:7:6e:la<0<232c?o54>:%dfg?2d;2dmio4k;o3272<73-h3:7:6e:la<0<332c?o:4>:%dfg?2d;2dmio4j;o3272<73-h3:7:6e:la<0<432c?o;4>:%dfg?2d;2dmio4i;o3272<73-h3:7:6e:la<0<532c?o84>:%dfg?2d;2dmio4>0:l2561=82.i4;4;9d9mf=3=921b8ol51;&eaf<3k:1ejhl5109m5450291/n58548g8jg>22910ckk>:08'b`e=nl:0bkkm:19'f=0=n1<0bo6::3d8?j`cn3;1(kkl:gg3?k`bj3;0(o69:g:5?kd?=38n76aidd82>!`bk3ln<6`iec81?!d?>3l3:6`m8481`>=hnmn1=7*ieb8ea5=inlh1?6*m878e<3=ij1?1>n54ogf`>4<#nli1jh>4ngga>1=#j1<1j584nc:6>7d<3flon7?5$gg`>cc73glnn7;4$c:5>c>13gh39722;=07bhk6;39 ccd2oo;7chjb;;8 g>12o2=7cl75;05?>ial<0:6)hjc;df4>hamk0j7)l76;d;2>he0<09965`fe695?"amj0mi=5afd`9f>"e0?0m4;5ab97961=6?=4;ndg6?7=,ooh6kk?;odff?b<,k2=6k69;o`;1?4532emh<4>:%dfg?`b82dmio4j;%`;2?`?>2di484=1:9lba6=93.min4ie19mb`d=n2.i4;4i879mf=3=:910ckmi:08'b`e=nl:0bkkm:028 g>12o2=7cl75;3e?>iakl0:6)hjc;df4>hamk0:=6*m878e<3=ij1?1=h54oga`>4<#nli1jh>4ngga>44<,k2=6k69;o`;1?7c32emoo4>:%dfg?`b82dmio4>3:&a<30(o69:g:5?kd?=3;i76aic882>!`bk3ln<6`iec821>"e0?0m4;5ab9795d=c>13gh397?6;:meg2<62-lno7hj0:leag<6?2.i4;4i879mf=3=9110ckm9:08'b`e=nl:0bkkm:0:8 g>12o2=7cl75;34?>iak<0:6)hjc;df4>hamk0:56*m878e<3=ij1?1=;54oga7>4<#nli1jh>4ngga>4g<,k2=6k69;o`;1?7232emo>4>:%dfg?`b82dmio4>b:&a<3!`bk3ln<6`iec82`>"e0?0m4;5ab97957=c>13gh397?>;:mef`<62-lno7hj0:leag<6n2.i4;4i879mf=3=9910cklk:08'b`e=nl:0bkkm:328 g>12o2=7cl75;d8?j`ek3;1(kkl:gg3?k`bj38:7)l76;d;2>he0<0n76aibc82>!`bk3ln<6`iec816>"e0?0m4;5ab979`>=hnkk1=7*ieb8ea5=inlh1>>5+b949b=06n54og`:>4<#nli1jh>4ngga>72<,k2=6k69;o`;1?d<3fli47?5$gg`>cc73glnn7<:;%`;2?`?>2di484n;:mea2<62-lno7hj0:leag<5>2.i4;4i879mf=3=121djh851;&eaf3l3:6`m8485?>iam:0:6)hjc;df4>hamk09m6*m878e<3=ij1?1965`fd095?"amj0mi=5afd`96g=#j1<1j584nc:6>1=c>13gh397=4;nd``?7=,ooh6kk?;odff?4c3-h3:7h76:la<0<532emo?4>:%dfg?`b82dmio4=e:&a<322910e?;i:08'b`e=:228;07d<:d;39 ccd2;?n7chjb;38j474?3:0(o69:30;?kd?=3;;76g=5b82>!`bk38>i6`iec81?k76;>0;7)l76;01<>he0<0m76g=5c82>!`bk38>i6`iec80?k76;>0;7)l76;01<>he0<0n76g=5`82>!`bk38>i6`iec87?k76;>0;7)l76;01<>he0<0o76g=5882>!`bk38>i6`iec86?k76;>0;7)l76;01<>he0<0h76g=5982>!`bk38>i6`iec85?k76;>0;7)l76;01<>he0<0i76g=5682>!`bk38>i6`iec84?k76;>0;7)l76;01<>he0<0j76g=6982>!`bk38>i6`iec8;?k76;>0;7)l76;01<>he0<0276g=6682>!`bk38>i6`iec8:?k76;>0;7)l76;01<>he0<0376g=6782>!`bk38>i6`iec8b?k76;>0;7)l76;01<>he0<0<76g=6482>!`bk38>i6`iec8a?k76;>0;7)l76;01<>he0<0=76g=6582>!`bk38>i6`iec8`?k76;>0;7)l76;01<>he0<0>76g=6282>!`bk38>i6`iec8g?k76;>0;7)l76;01<>he0<0?76g=6382>!`bk38>i6`iec8f?k76;>0;7)l76;01<>he0<0876g=6082>!`bk38>i6`iec8e?k76;>0;7)l76;01<>he0<0976g=6182>!`bk38>i6`iec824>h69:=1<6*m87816==ij1?1=65f24495?"amj099h5afd`954=i989<6=5+b94967>6=54o840>5<#nli15;<4ngga>5=#j1<15??4nc:6>75<3f3==7>5$gg`><053glnn7?4$c:5><463gh397<=;:m:25<72-lno7792:leag<53-h3:77=1:la<0<5921d58h50;&eaf<>>;1ejhl53:&a<3<>:81en5;52198k<3b290/jhm59708jcce2=1/n5859338jg>228l07b7:d;29 ccd20<97chjb;78 g>1208:7cl75;3f?>i>=k0;6)hjc;;56>hamk0=7)l76;;15>he0<0:h65`94c94?"amj02:?5afd`93>"e0?02><5ab9795f=6;o`;1?7f32e29:4?:%dfg??1:2dmio4n;%`;2??592di484>9:9l=00=83.min46639mb`d=j2.i4;46209mf=3=9110c4;::18'b`e=1?80bkkm:b9'f=0=1;;0bo6::058?j?2<3:1(kkl:841?k`bj3n0(o69:802?kd?=3;=76a65283>!`bk33=>6`iec8f?!d?>339=6`m84821>=h1<81<7*ieb8:27=inlh1j6*m878:64=ij1?1=954o873>5<#nli15;<4ngga>46<,k2=64<>;o`;1?7432e28k4?:%dfg??1:2dmio4>1:&a<3<>:81en5;51398k<2b290/jhm59708jcce2880(o69:802?kd?=3;:76a64e83>!`bk33=>6`iec827>"e0?02><5ab97955=h6=4+fda9=34<463gh397h4;n;7f?6=,ooh648=;odff?723-h3:77=1:la<06:&a<3<>:81en5;5d:9l=1?=83.min46639mb`d=9>1/n5859338jg>22j10c4:7:18'b`e=1?80bkkm:0:8 g>1208:7cl75;`8?j?3?3:1(kkl:841?k`bj3;27)l76;;15>he0<0j76a66`83>!`bk33=>6`iec82e>"e0?02><5ab979=>=h1?31<7*ieb8:27=inlh1=o5+b949=776554o84;>5<#nli15;<4ngga>4e<,k2=64<>;o`;1?1<3f3=;7>5$gg`><053glnn7?k;%`;2??592di4849;:m:23<72-lno7792:leag<6m2.i4;46209mf=3==21d5;;50;&eaf<>>;1ejhl51g9'f=0=1;;0bo6::598k<03290/jhm59708jcce2;:0(o69:802?kd?=3907b7:c;29 ccd20<97chjb;02?!d?>339=6`m8481?>i>=80;6)hjc;;56>hamk09>6*m878:64=ij1?1=65`95494?"amj02:?5afd`966=#j1<15??4nc:6>5=6=n;>h1<7*ieb803f=inlh1=6*m878024=ij1?1==5G11`7?>o4?h0;6)hjc;14g>hamk097)l76;155>he0<0m7E??b598m61>290/jhm536a8jcce2:1/n5853738jg>22l1C==l;;:k03=<72-lno7=8c:leag<33-h3:7=91:la<05<#nli1?:m4ngga>0=#j1<1?;?4nc:6>f=O99h?76g<7783>!`bk3939==6`m848a?M77j=10e>9::18'b`e=;>i0bkkm:69'f=0=;?;0bo6::`9K55d332c84;4?:%dfg?50k2dmio47;%`;2?5192di4846;I33f1=6=4+fda972e655G11`7?>o40=0;6)hjc;14g>hamk0j7)l76;155>he0<0<7E??b598m6>4290/jhm536a8jcce2k1/n5853738jg>22?1C==l;;:k0<7<72-lno7=8c:leag5<#nli1?:m4ngga>a=#j1<1?;?4nc:6>1=O99h?76g<8183>!`bk3939==6`m8480?M77j=10e>9i:18'b`e=;>i0bkkm:g9'f=0=;?;0bo6::39K55d332c8;h4?:%dfg?50k2dmio4>0:&a<3<4>81en5;51:J24g2<3`9<87>5$gg`>61d3glnn7?>;%`;2?5192di484?;I33f1=:07b>5<7s-;:?k48859K575d3A;:8>5U3c79f~47i3l>6<9m:05:>c5=n;0:;54>7`8e0?`628<;6p*;248f2`=i:;i1<6`=3782?k55=3:0b>4>;o3335<73go4n507>5=i<;21<6*>0`0955`43g=3>7>4$2`5>0`23A;;jl5+1376>2>33-9:=7??ag9K<<`<,0;969;>d:l2601==030(<>k2;7335=n<:?1<75f11;`>54;h603?6=3`;;5h4?:o3272<732c??44?::k771<722e?>:4?::k24d6=83d:=>950:9j55?a290e=<=8:198m15a290Cjhj4n0303?6<3`;;5l4?:o3272<732c??54?::k776<722c?>>4?::k77d<722c???4?::m763<722c:<4750;l2561=821b==o>:18m54502910e>:8:18'b`e=;=<0bkkm:19m5450291/n5853318jg>228;07d=;5;29 ccd2:>=7chjb;38j474?3:0(o69:200?kd?=3;;76g<4583>!`bk39?:6`iec81?k76;>0;7)l76;117>he0<0m76g<4283>!`bk39?:6`iec80?k76;>0;7)l76;117>he0<0n76g<4383>!`bk39?:6`iec87?k76;>0;7)l76;117>he0<0o76g<4083>!`bk39?:6`iec86?k76;>0;7)l76;117>he0<0h76g<4183>!`bk39?:6`iec85?k76;>0;7)l76;117>he0<0i76g<3g83>!`bk39?:6`iec84?k76;>0;7)l76;117>he0<0j76g<5183>!`bk39?:6`iec8;?k76;>0;7)l76;117>he0<0276g<4g83>!`bk39?:6`iec8:?k76;>0;7)l76;117>he0<0376g<4d83>!`bk39?:6`iec8b?k76;>0;7)l76;117>he0<0<76g<4e83>!`bk39?:6`iec8a?k76;>0;7)l76;117>he0<0=76g<4b83>!`bk39?:6`iec8`?k76;>0;7)l76;117>he0<0>76g<4c83>!`bk39?:6`iec8g?k76;>0;7)l76;117>he0<0?76g<4`83>!`bk39?:6`iec8f?k76;>0;7)l76;117>he0<0876g<4883>!`bk39?:6`iec8e?k76;>0;7)l76;117>he0<0976g<4983>!`bk39?:6`iec824>h69:=1<6*m878066=ij1?1=65f32g94?"amj088;5afd`954=i989<6=5+b9497756=54o2c0>5<#nli1?l<4ngga>5=#j1<1?594nc:6>47<3f9j=7>5$gg`>6g53glnn7?4$c:5>6>03gh397??;:m0e5<72-lno7=n2:leag<53-h3:7=77:la<032e8mo4?:%dfg?5f:2dmio46;%`;2?5??2di4847;:m0ed<72-lno7=n2:leag22;l07dh8d;29 ccd2o=n7chjb;38 g>12o8?7cl75;0f?>oa?j0;6)hjc;d4a>hamk097)l76;d10>he0<09h65ff6`94?"amj0m;h5afd`97>"e0?0m>95ab9796f=6?l4;hd4=?6=,ooh6k9j;odff?3<,k2=6k<;;o`;1?4f32cm;54?:%dfg?`0m2dmio49;%`;2?`5<2di484=9:9jb21=83.min4i7d9mb`d=?2.i4;4i259mf=3=:110ek9::18'b`e=n>o0bkkm:99'f=0=n;>0bo6::358?l`0<3:1(kkl:g5f?k`bj330(o69:g07?kd?=38=76gi7283>!`bk3l3l986`m84811>=nn>81<7*ieb8e3`=inlh1n6*m878e61=ij1?1>954ig52>5<#nli1j:k4ngga>f=#j1<1j?:4nc:6>75<3`l<<7>5$gg`>c1b3glnn7j4$c:5>c433gh397<=;:ke2c<72-lno7h8e:leag!`bk3l"e0?0m>95ab9795`=c433gh397?k;:ke2<<72-lno7h8e:leag<6;2.i4;4i259mf=3=9j10ek87:18'b`e=n>o0bkkm:068 g>12o8?7cl75;3a?>oa>>0;6)hjc;d4a>hamk0:96*m878e61=ij1?1=l54ig45>5<#nli1j:k4ngga>40<,k2=6k<;;o`;1?7>32cm:84?:%dfg?`0m2dmio4>7:&a<3!`bk3l"e0?0m>95ab97953=c433gh397?:;:ke24<72-lno7h8e:leag<6j2.i4;4i259mf=3=9=10ek;i:18'b`e=n>o0bkkm:0a8 g>12o8?7cl75;30?>oa=l0;6)hjc;d4a>hamk0:h6*m878e61=ij1?1=?54ig7g>5<#nli1j:k4ngga>4c<,k2=6k<;;o`;1?7632cm9n4?:%dfg?`0m2dmio4>f:&a<33l986`m848f?>oa=00;6)hjc;d4a>hamk09>6*m878e61=ij1?1h65ff4:94?"amj0m;h5afd`966=#j1<1j?:4nc:6>f=c433gh397l4;hd62?6=,ooh6k9j;odff?423-h3:7h=4:la<01/n585f368jg>22110ek6<:18'b`e=n>o0bkkm:3:8 g>12o8?7cl75;58?l`?:3:1(kkl:g5f?k`bj3827)l76;d10>he0<0=76gi8083>!`bk3l"e0?0m>95ab9791>=nn1:1<7*ieb8e3`=inlh1>o5+b949b726954ig55>5<#nli1j:k4ngga>7e<,k2=6k<;;o`;1?5<3`l=n7>5$gg`>c1b3glnn70bo6::198m17f290/jhm540;8jcce291e=<=8:19'f=0=;k=0bo6::3d8?l2603:1(kkl:53:?k`bj3;0b12:h<7cl75;0f?>o39>0;6)hjc;62=>hamk097c?>3683?!d?>39i;6`m8481`>=n<8<1<7*ieb875<=inlh1?6`>12594>"e0?08n:5ab9796f=6=4+fda904?5=#j1<1?o94nc:6>7d<3`>:87>5$gg`>17>3glnn7;4n0303?6<,k2=6>l8;o`;1?4f32c?=>4?:%dfg?2612dmio49;o3272<73-h3:7=m7:la<0<5121b8<<50;&eaf<3901ejhl57:l2561=82.i4;422;=07d:?f;29 ccd2=;27chjb;;8j474?3:0(o69:2`4?kd?=38=76g;0d83>!`bk3>:56`iec8b?k76;>0;7)l76;1a3>he0<09965f41f94?"amj0?=45afd`9f>h69:=1<6*m8780f2=ij1?1>954i52`>5<#nli18<74ngga>f=i989<6=5+b9497g16?=4;h63f?6=,ooh69?6;odff?b4$c:5>6d03gh397<=;:k74d<72-lno7:>9:leag950:&a<3<4j>1en5;52198m16?290/jhm540;8jcce28:0b12:h<7cl75;3e?>o38>0;6)hjc;62=>hamk0:=6`>12594>"e0?08n:5ab9795`=6=4+fda904?l8;o`;1?7c32c?<94?:%dfg?2612dmio4>3:l2561=82.i4;4<:18'b`e=<830bkkm:068j474?3:0(o69:2`4?kd?=3;i76g;0383>!`bk3>:56`iec821>h69:=1<6*m8780f2=ij1?1=l54i522>5<#nli18<74ngga>404$c:5>6d03gh397?6;:k745<72-lno7:>9:leag<6?2d:=>950:&a<3<4j>1en5;51998m6`a290/jhm540;8jcce2820b12:h<7cl75;34?>o4nl0;6)hjc;62=>hamk0:56`>12594>"e0?08n:5ab97953=l8;o`;1?7232c8jn4?:%dfg?2612dmio4>b:l2561=82.i4;4hn:18'b`e=<830bkkm:0a8j474?3:0(o69:2`4?kd?=3;876g!`bk3>:56`iec82`>h69:=1<6*m8780f2=ij1?1=?54i2d;>5<#nli18<74ngga>4c4$c:5>6d03gh397?>;:k0b2<72-lno7:>9:leag<6n2d:=>950:&a<3<4j>1en5;51198m6`1290/jhm540;8jcce2;:0b12:h<7cl75;d8?l5a=3:1(kkl:53:?k`bj38:7c?>3683?!d?>39i;6`m848f?>o4n=0;6)hjc;62=>hamk09>6`>12594>"e0?08n:5ab979`>=n;o91<7*ieb875<=inlh1>>5a1014>5=#j1<1?o94nc:6>f=l8;o`;1?d<3`9m=7>5$gg`>17>3glnn7<:;o3272<73-h3:7=m7:la<0=4?:%dfg?2612dmio4=6:l2561=82.i4;422110e9?j:18'b`e=<830bkkm:3:8j474?3:0(o69:2`4?kd?=3=07d:>d;29 ccd2=;27chjb;0:?k76;>0;7)l76;1a3>he0<0=76g;1b83>!`bk3>:56`iec81e>h69:=1<6*m8780f2=ij1?1965f40`94?"amj0?=45afd`96g=i989<6=5+b9497g16954i532>5<#nli18<74ngga>7e4$c:5>6d03gh397=4;h632?6=,ooh69?6;odff?4c3g;:?:4?;%`;2?5e?2di484=;:k0bg<72-lno7:>9:leag<5m2d:=>950:&a<3<4j>1en5;51:9j7c6=83.min4;189mb`d=:o1e=<=8:19'f=0=;k=0bo6::198kc7e290/jhm5f0c8jcce291/n585e6g8jg>22;l07bh>9;29 ccd2o;j7chjb;38 g>12l=n7cl75;0f?>ia910;6)hjc;d2e>hamk097)l76;g4a>he0<09h65`f0594?"amj0m=l5afd`97>"e0?0n;h5ab9796f=6?l4;nd21?6=,ooh6k?n;odff?3<,k2=6h9j;o`;1?4f32em=94?:%dfg?`6i2dmio49;%`;2?c0m2di484=9:9lb45=83.min4i1`9mb`d=?2.i4;4j7d9mf=3=:110ck?>:18'b`e=n8k0bkkm:99'f=0=m>o0bo6::358?j`683:1(kkl:g3b?k`bj330(o69:d5f?kd?=38=76ai0g83>!`bk3l:m6`iec8b?!d?>3o=hn9o1<7*ieb8e5d=inlh1n6*m878f3`=ij1?1>954og2g>5<#nli1jf=#j1<1i:k4nc:6>75<3fl;o7>5$gg`>c7f3glnn7j4$c:5>`1b3gh397<=;:me4g<72-lno7h>a:leag290/jhm5f0c8jcce28:0(o69:d5f?kd?=3;m76ai0983>!`bk3l:m6`iec825>"e0?0n;h5ab9795`=`1b3gh397?k;:me40<72-lno7h>a:leag<6;2.i4;4j7d9mf=3=9j10ck>;:18'b`e=n8k0bkkm:068 g>12l=n7cl75;3a?>ia8:0;6)hjc;d2e>hamk0:96*m878f3`=ij1?1=l54og21>5<#nli1j40<,k2=6h9j;o`;1?7>32em<<4?:%dfg?`6i2dmio4>7:&a<3!`bk3l:m6`iec82=>"e0?0n;h5ab97953=`1b3gh397?:;:mfba<72-lno7h>a:leag<6j2.i4;4j7d9mf=3=9=10chhm:18'b`e=n8k0bkkm:0a8 g>12l=n7cl75;30?>ibnh0;6)hjc;d2e>hamk0:h6*m878f3`=ij1?1=?54odd:>5<#nli1j4c<,k2=6h9j;o`;1?7632enj54?:%dfg?`6i2dmio4>f:&a<33oibn<0;6)hjc;d2e>hamk09>6*m878f3`=ij1?1h65`eg694?"amj0m=l5afd`966=#j1<1i:k4nc:6>f=`1b3gh397l4;nge6?6=,ooh6k?n;odff?423-h3:7k8e:la<0<4?:%dfg?`6i2dmio4=6:&a<31/n585e6g8jg>22110ck?i:18'b`e=n8k0bkkm:3:8 g>12l=n7cl75;58?j`6m3:1(kkl:g3b?k`bj3827)l76;g4a>he0<0=76ai1e83>!`bk3l:m6`iec81e>"e0?0n;h5ab9791>=hn8i1<7*ieb8e5d=inlh1>o5+b949a2c6954og31>5<#nli1j7e<,k2=6h9j;o`;1?5<3fl;;7>5$gg`>c7f3glnn7a:leag<5m2.i4;4j7d9mf=3=921dik?50;&eafo0bo6::198m`1>290/jhm5e6:8jcce291e=<=8:19'f=0=m?20bo6::698m`10290/jhm5e6:8jcce281e=<=8:19'f=0=m?20bo6::798m`11290/jhm5e6:8jcce2;1e=<=8:19'f=0=m?20bo6::498m`12290/jhm5e6:8jcce2:1e=<=8:19'f=0=m?20bo6::598m`13290/jhm5e6:8jcce2=1e=<=8:19'f=0=m?20bo6::298m`14290/jhm5e6:8jcce2<1e=<=8:19'f=0=m?20bo6::398m`15290/jhm5e6:8jcce2?1e=<=8:19'f=0=m?20bo6::098m`16290/jhm5e6:8jcce2>1e=<=8:19'f=0=m?20bo6::198m1e3290/jhm54b18jcce291e=<=8:19'f=0=<0o0bo6::038?l2d:3:1(kkl:5a0?k`bj3;0b12=3n7cl75;33?>o3k80;6)hjc;6`7>hamk097c?>3683?!d?>3>2i6`m848e?>o3k90;6)hjc;6`7>hamk087c?>3683?!d?>3>2i6`m848f?>o3jo0;6)hjc;6`7>hamk0?7c?>3683?!d?>3>2i6`m848g?>o3jl0;6)hjc;6`7>hamk0>7c?>3683?!d?>3>2i6`m848`?>o3jm0;6)hjc;6`7>hamk0=7c?>3683?!d?>3>2i6`m848a?>o3jj0;6)hjc;6`7>hamk0<7c?>3683?!d?>3>2i6`m848b?>o3km0;6)hjc;6`7>hamk037c?>3683?!d?>3>2i6`m848:?>o3kj0;6)hjc;6`7>hamk027c?>3683?!d?>3>2i6`m848;?>o3kk0;6)hjc;6`7>hamk0j7c?>3683?!d?>3>2i6`m8484?>o3kh0;6)hjc;6`7>hamk0i7c?>3683?!d?>3>2i6`m8485?>o3k00;6)hjc;6`7>hamk0h7c?>3683?!d?>3>2i6`m8486?>o3k10;6)hjc;6`7>hamk0o7c?>3683?!d?>3>2i6`m8487?>o3k>0;6)hjc;6`7>hamk0n7c?>3683?!d?>3>2i6`m8480?>o3k?0;6)hjc;6`7>hamk0m7c?>3683?!d?>3>2i6`m8481?>o3k<0;6)hjc;6`7>hamk0:<6`>12594>"e0?0?5h5ab9795>=n5=#j1<184k4nc:6>5=6?h4;ndgb?6=,ooh6kk?;odff?7<,k2=6k69;o`;1?4b32emhh4?:%dfg?`b82dmio4=;%`;2?`?>2di484=d:9lbab=83.min4ie19mb`d=;2.i4;4i879mf=3=:j10ckjl:18'b`e=nl:0bkkm:59'f=0=n1<0bo6::3`8?j`cj3:1(kkl:gg3?k`bj3?0(o69:g:5?kd?=38j76aid`83>!`bk3ln<6`iec85?!d?>3l3:6`m8481=>=hnm31<7*ieb8ea5=inlh1;6*m878e<3=ij1?1>554ogf4>5<#nli1jh>4ngga>==#j1<1j584nc:6>71<3flo:7>5$gg`>cc73glnn774$c:5>c>13gh397<9;:me`0<72-lno7hj0:leag22;907bhk2;29 ccd2oo;7chjb;f8 g>12o2=7cl75;01?>ial80;6)hjc;df4>hamk0n7)l76;d;2>he0<09=65`fe294?"amj0mi=5afd`9b>"e0?0m4;5ab97965=4$c:5>c>13gh397?i;:meg`<72-lno7hj0:leag<692.i4;4i879mf=3=9l10ckml:18'b`e=nl:0bkkm:008 g>12o2=7cl75;3g?>iakk0;6)hjc;df4>hamk0:?6*m878e<3=ij1?1=n54ogab>5<#nli1jh>4ngga>42<,k2=6k69;o`;1?7e32emo44?:%dfg?`b82dmio4>5:&a<3!`bk3ln<6`iec823>"e0?0m4;5ab9795==c>13gh397?8;:meg0<72-lno7hj0:leag<612.i4;4i879mf=3=9?10ckm;:18'b`e=nl:0bkkm:0c8 g>12o2=7cl75;36?>iak:0;6)hjc;df4>hamk0:n6*m878e<3=ij1?1=954oga2>5<#nli1jh>4ngga>4e<,k2=6k69;o`;1?7432emo=4?:%dfg?`b82dmio4>d:&a<3!`bk3ln<6`iec82b>"e0?0m4;5ab97955=4$c:5>c>13gh397h4;ndag?6=,ooh6kk?;odff?463-h3:7h76:la<022j10ckl6:18'b`e=nl:0bkkm:368 g>12o2=7cl75;`8?j`e03:1(kkl:gg3?k`bj38>7)l76;d;2>he0<0j76aie683>!`bk3ln<6`iec812>"e0?0m4;5ab979=>=hnl<1<7*ieb8ea5=inlh1>:5+b949b=06554ogg6>5<#nli1jh>4ngga>7><,k2=6k69;o`;1?1<3fln87>5$gg`>cc73glnn7<6;%`;2?`?>2di4849;:mea6<72-lno7hj0:leag<5i2.i4;4i879mf=3==21djh<50;&eaf3l3:6`m8481?>iak;0;6)hjc;df4>hamk09i6*m878e<3=ij1?1=65`fc594?"amj0mi=5afd`96c=#j1<1j584nc:6>5=5=#j1<1>?64nc:6>47<3`8>h7>5$gg`>73b3glnn7?4n0303?6<,k2=6?<7;o`;1?7732c99n4?:%dfg?42m2dmio4=;o3272<73-h3:7<=8:la<032c9::4?:%dfg?42m2dmio46;o3272<73-h3:7<=8:la<04?:%dfg?42m2dmio4k;o3272<73-h3:7<=8:la<0<332c9:?4?:%dfg?42m2dmio4j;o3272<73-h3:7<=8:la<0<432c9:<4?:%dfg?42m2dmio4i;o3272<73-h3:7<=8:la<0<532c9:=4?:%dfg?42m2dmio4>0:l2561=82.i4;4=299mf=3=921b>8850;&eaf<5=l1ejhl5109m5450291/n58523:8jg>22910c48<:18'b`e=1?80bkkm:19'f=0=1;;0bo6::318?j?193:1(kkl:841?k`bj3;0(o69:802?kd?=38976a66183>!`bk33=>6`iec81?!d?>339=6`m84815>=h1=54o87f>5<#nli15;<4ngga>1=#j1<15??4nc:6>4`<3f3>h7>5$gg`><053glnn7;4$c:5><463gh397?j;:m:1g<72-lno7792:leag<13-h3:77=1:la<0<6l21d58o50;&eaf<>>;1ejhl57:&a<3<>:81en5;51b98k<3>290/jhm59708jcce211/n5859338jg>228h07b7:8;29 ccd20<97chjb;;8 g>1208:7cl75;3b?>i>=>0;6)hjc;;56>hamk0j7)l76;;15>he0<0:565`94494?"amj02:?5afd`9f>"e0?02><5ab9795==6=4+fda9=346<94;n;60?6=,ooh648=;odff?b<,k2=64<>;o`;1?7132e29>4?:%dfg??1:2dmio4j;%`;2??592di484>5:9l=04=83.min46639mb`d=n2.i4;46209mf=3=9=10c4;?:18'b`e=1?80bkkm:028 g>1208:7cl75;30?>i>hamk0:=6*m878:64=ij1?1=?54o86f>5<#nli15;<4ngga>44<,k2=64<>;o`;1?7632e28i4?:%dfg??1:2dmio4>3:&a<3<>:81en5;51198k<2d290/jhm59708jcce28>0(o69:802?kd?=3l07b7;b;29 ccd20<97chjb;36?!d?>339=6`m848f?>i>hamk0::6*m878:64=ij1?1h65`95;94?"amj02:?5afd`952=#j1<15??4nc:6>f=36=4+fda9=34<463gh397l4;n;73?6=,ooh648=;odff?7>3-h3:77=1:la<0a:&a<3<>:81en5;59:9l=3?=83.min46639mb`d=9k1/n5859338jg>22110c487:18'b`e=1?80bkkm:0a8 g>1208:7cl75;58?j?1?3:1(kkl:841?k`bj3;o7)l76;;15>he0<0=76a66783>!`bk33=>6`iec82a>"e0?02><5ab9791>=h1??1<7*ieb8:27=inlh1=k5+b949=776954o847>5<#nli15;<4ngga>76<,k2=64<>;o`;1?5<3f3>o7>5$gg`><053glnn7<>;%`;2??592di484=;:m:14<72-lno7792:leag<5:2.i4;46209mf=3=921d59850;&eaf<>>;1ejhl5229'f=0=1;;0bo6::198m61c290/jhm536a8jcce291/n5853738jg>228;0D<>m4:9j72d=83.min4<7b9mb`d=92.i4;4<609mf=3=991C==l;;:k03d<72-lno7=8c:leag<53-h3:7=91:la<05<#nli1?:m4ngga>6=#j1<1?;?4nc:6>`=O99h?76g<7983>!`bk3939==6`m848g?M77j=10e>98:18'b`e=;>i0bkkm:49'f=0=;?;0bo6::b9K55d332c8;;4?:%dfg?50k2dmio49;%`;2?5192di484m;I33f1=6=4+fda972e6l5G11`7?>o40?0;6)hjc;14g>hamk037)l76;155>he0<027E??b598m6>2290/jhm536a8jcce201/n5853738jg>2211C==l;;:k0<1<72-lno7=8c:leag5<#nli1?:m4ngga>g=#j1<1?;?4nc:6>3=O99h?76g<8383>!`bk3939==6`m8486?M77j=10e>6>:18'b`e=;>i0bkkm:e9'f=0=;?;0bo6::59K55d332c84=4?:%dfg?50k2dmio4j;%`;2?5192di484<;I33f1=6?5G11`7?>o4?l0;6)hjc;14g>hamk0:<6*m878024=ij1?1=6F>0c68?l50<3:1(kkl:25`?k`bj3;:7)l76;155>he0<0;7E??b598yg36k90:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:4;b?!77l;0><:>4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd29j;1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;7:f>"68m819=9?;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e=8i96<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5686=f=#99n968>80:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;8j<7?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5686=a=#99n96?<71:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;6e:&24a4=:;2:7d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=28595?>=83:p(N6::i0D<2.2=?4;50f8j442?3?2j6*>0e0967>63`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a<=O9;9h7E?>429'573228:i<6*7b`8a=>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==o7:18'573228:o465`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68m>1<7*>247955b33A;:8?54}c01==<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<68o>;%33`7<5:1;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68o=;%33`7<5:1;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<=9782>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==h90(<>k2;01<4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;8297?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5686e1=#99n96?<71:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;n5:&24a4=:;2:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=28;95?0=83:p(N6::i0D7::1e9m5730215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24591d1<,8:o>7<=809j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f74>k3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:a99'55b52;83=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2012>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?j56*>0e0964763`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9=>>51;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0gf3-;;h?4=1038m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg46:10:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:4ca?!77l;09=5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd59;l1=7650;2x 474n3=n56F>22a8L473;2.:>8;511`3?!>ei3h27)7>2;665a=i9;?<68ol;%33`7<598;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj;;957?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24591db<,8:o>7<>109j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`===4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24591dc<,8:o>7<>109j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f775?3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:ag9'55b52;;:=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2005>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?i<6*>0e0964763`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9=?;51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0d63-;;h?4=1038m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg46:h0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8955c08 46c:38:=<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb331a?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>n>5+11f1>77692c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi><5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd59<=1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;7a1>"68m81><==;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:8?=6<47:183!76;o0o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;;>97?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>24591g1<,8:o>7<>339j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>0d?3-;;h?4=1208m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9=8=51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi><;=:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn??:b;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2jk1/==j=:3306>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:8?j6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5686ff=#99n96??<2:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;8:47?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5686fa=#99n96??<2:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;me:&24a4=:8997d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=20795?0=83:p(N6::i0D7::1e9m5730215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24591f6<,8:o>7<>339j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f746;3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:c09'55b52;;8>6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2331>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?h>6*>0e0964553`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0e43-;;h?4=1208m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg45990:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8955b68 46c:38:??5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb303b?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>o85+11f1>774:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>?>j:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?92.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5:9n1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:4a4?!77l;09=><4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c014f<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=19n64$02g6?46;;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2k01/==j=:3306>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:;:26<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;7`e>"68m81><==;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`165>=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68mm;%33`7<59:80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<=0682>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==ji0(<>k2;0277=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;8;:7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5686ga=#99n96??<2:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;le:&24a4=:8997d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=21695?0=83:p(N6::i0D7::1e9m5730215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24591a6<,8:o>7<>339j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f747:3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:d09'55b52;;8>6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2322>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?o>6*>0e0964553`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0b43-;;h?4=1208m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg459m0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8955e68 46c:38:??5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb302g?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>h85+11f1>774:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>??m:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?92.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5:8k1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:4f4?!77l;09=><4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c015<<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=19i64$02g6?46;;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?<>6;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2l01/==j=:3306>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:;:i6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;7ge>"68m81><==;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`1656=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68jm;%33`7<59:80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<>fg82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==mi0(<>k2;0277=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;;i97?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5686`a=#99n96??<2:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;ke:&24a4=:8997d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=1c095?0=83:p(N6::i0D7::1e9m5730215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24591`6<,8:o>7<>339j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f77e83;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:e09'55b52;;8>6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm20ce>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?n>6*>0e0964553`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9=lk51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0c43-;;h?4=1208m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg46im0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8955d68 46c:38:??5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb33bg?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>i85+11f1>774:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?92.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd59hk1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:4g4?!77l;09=><4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c02e<<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=19h64$02g6?46;;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn??n7;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2m01/==j=:3306>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:8k=6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;7fe>"68m81><==;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`15d3=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68km;%33`7<59:80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<>a582>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==li0(<>k2;0277=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;;j?7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5686aa=#99n96??<2:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7;je:&24a4=:8997d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=1`395?0=83:p(N6::i0D7::1e9m5730215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24591c6<,8:o>7<>339j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f77>n3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4:f09'55b52;;8>6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm20;f>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3?m>6*>0e0964553`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9=ol51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>0`43-;;h?4=1208m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg46jh0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8955g68 46c:38:??5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb33a=?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0>j85+11f1>774:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?92.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd59k=1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:4d4?!77l;09=><4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c02f3<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=19k64$02g6?46;;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn??m3;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<2n01/==j=:3306>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:8k36<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;7ee>"68m81><==;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`15t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<68hm;%33`7<59:80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<>9b82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601==oi0(<>k2;0277=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;8h:7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>24591cb<,8:o>7<=a09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>0`b3-;;h?4=2`38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9>n:51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>?m<:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=>9;0(<>k2;01e4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo<=c082>=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302?:97)??d3816d715a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=2bc95?0=83:p(N6::i0D7::1e9m57302?:87)??d3816d715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>3633-;;h?4=2`38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9?5951;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>3623-;;h?4=2`38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg440?0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8956148 46c:389m<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb31;0?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0=<:5+11f1>74f92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>>6<:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5;181=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:72:?!77l;09>l?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c00<4<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1:=o4$02g6?45i81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?=70;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<18k1/==j=:30b5>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e::=m6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;43g>"68m81>?o>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`172c=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6;>k;%33`7<5:h;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<<7e82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=>9o0(<>k2;01e4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;9N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56854c=#99n96?o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;78>0:&24a4=:;k:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=36;95?0=83:p(N6::i0D7::1e9m57302?;:7)??d3816d715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459244<,8:o>7<=a09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f750?3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:49129'55b52;8j=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2255>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3<:86*>0e0967g63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9?:;51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>3723-;;h?4=2`38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg44?=0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8956048 46c:389m<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb3147?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0==:5+11f1>74f92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>>9=:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5;>;1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:73:?!77l;09>l?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0035<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1:8=65f48g94?"6:46>021vn?=7d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<19k1/==j=:30b5>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e::2h6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;42g>"68m81>?o>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`17=d=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6;?k;%33`7<5:h;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<<8`82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=>8o0(<>k2;01e4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;9357?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56855c=#99n96?o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:?280=6=4?{%327c<0m81C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b;78=0:&24a4=:;k:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=39795?0=83:p(N6::i0D7::1e9m57302?8:7)??d3816d715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459274<,8:o>7<=a09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f751n3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:49229'55b52;8j=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm224f>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3<986*>0e0967g63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9??:51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>3423-;;h?4=2`38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg44::0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8956348 46c:389m<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb3115?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0=>:5+11f1>74f92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5;8l1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:70:?!77l;09>l?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c005`<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1:?o4$02g6?45i81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?=>d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<1:k1/==j=:30b5>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e::;h6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;41g>"68m81>?o>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`174d=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6;l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<<1`82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=>;o0(<>k2;01e4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;9:57?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56856c=#99n96?o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;78<0:&24a4=:;k:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=30495?0=83:p(N6::i0D7::1e9m57302?9:7)??d3816d715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459264<,8:o>7<=a09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f756<3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:49329'55b52;8j=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2230>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3<886*>0e0967g63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9?<<51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>3523-;;h?4=2`38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg44980:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8956248 46c:389m<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb3124?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0=?:5+11f1>74f92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>>>i:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5;9o1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:71:?!77l;09>l?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c004a<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1:>o4$02g6?45i81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?==a;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<1;k1/==j=:30b5>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e::826<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;40g>"68m81>?o>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`177>=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6;=k;%33`7<5:h;0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<<2682>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=>:o0(<>k2;01e4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;99:7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56857c=#99n96?o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;78;0:&24a4=:;k:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=33095?0=83:p(N6::i0D7::1e9m57302?>:7)??d3816d715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459214<,8:o>7<=a09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f757k3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:49429'55b52;8j=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm222a>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?30e0967g63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9>:k51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>3223-;;h?4=20d8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg45:m0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:765?!77l;09>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5:;o1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;473>"68m81>??i;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:;8h6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=56850==#99n96?<>f:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;8N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245921?<,8:o>7<=1g9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>32f3-;;h?4=20d8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9>:751;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>?97:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<1o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?<87;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=>=n0(<>k2;015c=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo<3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=>=o0(<>k2;00<`=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;92o7?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245921`<,8:o>7<<8d9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>3373-;;h?4=39g8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9?4l51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>>k6:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<1=;1/==j=:31;a>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?=ja;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=><90(<>k2;00<`=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo<=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302???7)??d3817=c15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=3d595?>=83:p(N6::i0D<2.2=?4;50f8j442?3<>96*>0e0966>b3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0=9;5+11f1>75?m2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb31af?7=;3:112d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8956458 46c:3885h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5;kk1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?=ne;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3<>56*>0e0966?b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f75e13;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245920g<,8:o>7<<9d9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9?lm51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=>k2;00=`=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:=9391<7>t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>>7j;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;9jm7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>89564g8 46c:3885h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5;h21=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245920`<,8:o>7<<9d9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=3c495?5=83:p(429'57322888m6*6138714b;7890:&24a4=::3n7d:97k8d:9~f75f>3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;455>"68m81>>7j;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?=m5;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1:;<4$02g6?441l1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8956718 46c:3885h5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9?o:51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3<=86*>0e0966?b3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7895:&24a4=::3n7d:0`a8?jd?j3:1(<<:5;g4`>=zj;9i?7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=>?<0(<>k2;00=`=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=3`295?2=83:p(N6::i0D<0(4?=:572`>h6:<=1:;94$02g6?441l1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5;k81=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?=6f;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3<=56*>0e0966?b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f75b93;147>50z&256`=?l?0D<<97??b19'136l2d:>89567c8 46c:3885h5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg44m90:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:74a?!77l;09?4k4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5;ml1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;45g>"68m81>>7j;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e::nn6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=56852a=#99n96?=6e:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;9oh7?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245923c<,8:o>7<<9d9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>30a3-;;h?4=38g8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9?il51;:94?6|,8;8j79j5:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>>j?:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<1?81/==j=:31:a>o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?=ld;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=>>80(<>k2;00=`=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo<=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302?=87)??d381715a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=3e095?>=83:p(N6::i0D<2.2=?4;50f8j442?3<<86*>0e0966?b3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0=;85+11f1>75>m2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb31g5?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1::84$02g6?441l1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c00g`<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6;98;%33`7<5;0o0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>?976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;8?n7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=>>30(<>k2;016c=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=22d95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1::o4$02g6?45:o1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5:=k1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?<h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3<0e09674a3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f74313;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245922b<,8:o>7<=2g9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9>>l51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=>>o0(<>k2;016c=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:=9391<7>t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>?976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;8857?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8956938 46c:389>k5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5::=1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24592=4<,8:o>7<=2g9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=25495?5=83:p(429'57322888m6*6138714b;7873:&24a4=:;8m7d:97k8d:9~f744=3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;4;0>"68m81>?976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?<;5;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1:5;4$02g6?45:o1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8956948 46c:389>k5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9>9:51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3<3;6*>0e09674a3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7878:&24a4=:;8m7d:0`a8?jd?j3:1(<<:5;g4`>=zj;8??7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=>130(<>k2;016c=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=22295?2=83:p(N6::i0D<0(4?=:572`>h6:<=1:5o4$02g6?45:o1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5:>81=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;4;f>"68m81>?97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:;=:6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5685o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;8<<7?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24592=b<,8:o>7<=2g9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>3>b3-;;h?4=23d8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9>;k51;:94?6|,8;8j79j5:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>?8k:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<1191/==j=:301b>o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?<9c;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=>0;0(<>k2;016c=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo<=6082>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302?397)??d38167`15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=24g95?>=83:p(N6::i0D<2.2=?4;50f8j442?3<2?6*>0e09674a3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0=595+11f1>745n2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3057?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1:4;4$02g6?45:o1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0121<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6;79;%33`7<5:;l0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"6:;7867:&24a4=:;8m7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:49999'55b52;89j6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f15dm3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:49989'55b52=93j6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm42ag>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3<2m6*>0e0906>a3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th??nm51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>3?e3-;;h?4;39d8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg238?0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:7;`?!77l;0??5h4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3<991=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;4:`>"68m818>h>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<=:96<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5685=`=#99n969=i1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=>;=7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24592<`<,8:o>7:8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==?<=4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>3g73-;;h?4;3g38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?8=751;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi89k=:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd322a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:7c0?!77l;0?8l?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c67a1<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1:l:4$02g6?23i81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9:j5;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<1i<1/==j=:56b5>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<=o=6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;4b2>"68m8189o>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`70`1=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6;o8;%33`7<3l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:;e982>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=>h20(<>k2;67e4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=>n57?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5685e<=#99n969:n1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;78na:&24a4=<=k:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;4e195?0=83:p(N6::i0D7::1e9m57302?ki7)??d3870d715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===?h94>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24592de<,8:o>7:;a09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f12c=3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:49ae9'55b52=>j=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm45f5>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?30e0901g63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?8i951;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>3ga3-;;h?4;4`38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg23l10:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8956c28 46c:3>?m<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb56g=?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0=n<5+11f1>12f92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi89m7:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9:m5;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=>k90(<>k2;67e4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo:;b782>=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302?h?7)??d3870d715a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;4c595?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?30e0901g63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0=n;5+11f1>12f92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb56fe?7=03:112d93`?<@888o6F>1518 =df2k30(<<:5;33f5=#188188?k;o3112<1j>1/==j=:56b5>o4j>0;6)?=54877c=15632c?5h4?:%3110n8;29 442=3;;h554o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99n?6=4+1376>46c<2B:=9<4;|`77`?=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6;l7;%33`7<3;1l0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=>k30(<>k2;60247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=9nn7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5685fd=#99n969=7f:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;78mb:&24a4=<:2m7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;3df95?0=83:p(N6::i0D7::1e9m57302?hh7)??d3877=`15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===8ih4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24592gb<,8:o>7:<8g9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f15bn3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:49bd9'55b52=93j6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm42d3>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?30e0906>a3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th??i951;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>3e73-;;h?4;39d8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg24l10:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8956b38 46c:3>84k5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb51g=?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0=o?5+11f1>15?n2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8>jn:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3;mh1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:7a7?!77l;0??5h4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c60`f<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1:n;4$02g6?240o1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9=kd;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<1k?1/==j=:51;b>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<:nn6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;4`3>"68m818>6i;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`76g?=9321<7>t$030b?1b=2B:>>m4H0377>"6:;78l8:&24a4=<;h=7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6:h9;%:ae?2<@8:286*6138714b;78l9:&24a4=<;h=7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;2e295?0=83:p(N6::i0D7::1e9m57302?ij7)??d3876g015a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===9ok4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>24592fd<,8:o>7:=b79j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f14dm3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:49cb9'55b52=8i:6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm43ag>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?30e0907d13`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?>nm51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>3eb3-;;h?4;2c48m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg25l00:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>8956bd8 46c:3>9n;5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb50g3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0=h=5+11f1>14e>2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8?j8:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3:m<1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:7f1?!77l;0?>o84i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c61`0<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1:i=4$02g6?25j?1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<1l=1/==j=:50a2>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<;n86<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;4g1>"68m818?l9;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`76a4=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6;j9;%33`7<3:k<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:=cc82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=>m=0(<>k2;61f3=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=8hm7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=5685`==#99n969o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:97?=3`9'=44=<<;o7c?=5685`<=#99n969=6c:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=8n84>:283>5}#989m6<<2479575f3-3:>7::1e9m57302?nj7)??d3877=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6;jm;%33`7<3;0i0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e<:h?6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<1lj1/==j=:51:g>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0=hi5+11f1>15>k2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>3bb3-;;h?4;38a8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi8>o6:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302?nm7)??d3877=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a06e1280=6=4?{%327c<0m81C=?=l;I3206=#0kk186*>24793c0<@8:286*6138714b;78j0:&24a4=<:3h7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;3b795?0=83:p(N6::i0D7::1e9m57302?o:7)??d387715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===8o94>:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>24592`4<,8:o>7:<9b9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f15d;3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:49e29'55b52=92o6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4426>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:49e59'55b52=>nn6g;3c83>!75=<03mo54i832>5<#9;?>65om;:ma4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>3c23-;;h?4;4d`8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi89hm:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302?o=7)??d3870`d=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a006428086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=5685a2=#99n969:jb:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=?jl4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<1m11/==j=:56ff>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c6647<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e<=l36<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>3cf3-;;h?4;4d`8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm4437>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?30e0901ce3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?9<=51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>3cd3-;;h?4;4d`8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg229;0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8956df8 46c:3>?io5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb5725?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0=ih5+11f1>12bj2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi88>7:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<1mo1/==j=:56ff>o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9:96;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1:k>4$02g6?238j1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8189>l;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=>847?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8956g18 46c:3>?976nb:9lf=d=83.:>8;5e6f8?xd3<:=1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>24592c2<,8:o>7:;0b9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;47195?5=83:p(429'57322888m6*6138714b;78i5:&24a4=<=:h7d:97k8d:9~f124>3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;4e2>"68m8189>l;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9:92;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1:k94$02g6?238j1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8956g:8 46c:3>?976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?8;?51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?30e09016d3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;78ia:&24a4=<=:h7d:0`a8?jd?j3:1(<<:5;g4`>=zj=>=j7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=>oh0(<>k2;674f=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;45395?2=83:p(N6::i0D<0(4?=:572`>h6:<=1:km4$02g6?238j1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3">9;0?9=n18;1<7*>24795$0061?c0l21vn9:;0;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?30e09016d3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f121l3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>24592c`<,8:o>7:;0b9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th?8>h51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=?9:0(<>k2;674f=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m8189>l;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=>8i7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>8957118 46c:3>?976nb:9lf=d=83.:>8;5e6f8?xd3<:n1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459352<,8:o>7:;0b9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;47c95?5=83:p(429'57322888m6*6138714b;79?5:&24a4=<=:h7d:97k8d:9~f124k3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;532>"68m8189>l;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9:99;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1;=94$02g6?238j1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89571:8 46c:3>?976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th?8;651;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3=;56*>0e09016d3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;79?a:&24a4=<=:h7d:0`a8?jd?j3:1(<<:5;g4`>=zj=>=;7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=?9h0(<>k2;674f=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl;42;95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1;=m4$02g6?238j1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3">9;0?9=n18;1<7*>24795$0061?c0l21vn9:<2;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3=;i6*>0e09016d3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f12>03;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:480g9'55b52=>;o6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm45;4>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3=:<6*>0e09016d3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?84851;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>2763-;;h?4;41a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg231<0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8957008 46c:3>?h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb56:0?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0<=>5+11f1>127k2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi897<:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd322a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:636?!77l;0?8=m4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c67=c<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1;<84$02g6?238j1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9:6e;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<09>1/==j=:563g>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<=3o6<49:183!76;o064:&:57<3=8n0b<<:7;52<>"68m8189>l;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`70t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6:?6;%33`7<3<9i0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:;9c82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=?8k0(<>k2;674f=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=>2m7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=56845g=#99n969:?c:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:280=6=4?{%327c<0m81C=?=l;I3206=#0kk186*>24793c0<@8:286*6138714b;79>c:&24a4=<=:h7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;48095?0=83:p(N6::i0D7::1e9m57302>;o7)??d38705e15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===?5<4>:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245934c<,8:o>7:;0b9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f12083;147>50z&256`=?l?0D<<97??b19'136l2d:>89570d8 46c:3>?h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg25nj0:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>2473-;;h?4;2d68m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi8>>9:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0<><5+11f1>14b<2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c61b2<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:48239'55b52=8n86g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=8<84>:283>5}#989m6<<2479575f3-3:>7::1e9m57302>887)??d3876`2=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6:<;;%33`7<3:l>0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e<::?6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<0:<1/==j=:50f0>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0<>;5+11f1>14b<2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>2403-;;h?4;2d68m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi8?h>:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302>837)??d3876`2=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a066528086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56846<=#99n969ie0k0;6)?=548f3a=9ik4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<0:h1/==j=:50f0>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c6044<62:0;6=u+101e>444j2B:>>m4H0377>"6:h:4i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e<;oo6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>24d3-;;h?4;2d68m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm4223>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:482e9'55b52=8n86g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6:0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a07c>280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:613?!77l;0?>h:4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi8?hj:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>014b<2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c61a2<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:48339'55b52=8n86g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=9jo4>:283>5}#989m6<<2479575f3-3:>7::1e9m57302>987)??d3876`2=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6:=;;%33`7<3:l>0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e<:;o6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<0;<1/==j=:50f0>o3;k0;6)?=548;eg==ge32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6:=9;%33`7<3:l>0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a067?280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:614?!77l;0?>h:4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi8><9:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>014b<2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c6053<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:48389'55b52=8n86g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=8>84>:283>5}#989m6<<2479575f3-3:>7::1e9m57302>9j7)??d3876`2=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6:=m;%33`7<3:l>0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e<:8?6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<0;j1/==j=:50f0>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>014b<2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>25b3-;;h?4;2d68m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi8>??:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302>9m7)??d3876`2=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a064528086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568405=#99n969ie0k0;6)?=548f3a=8

:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<0<81/==j=:50f0>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c6064<62:0;6=u+101e>444j2B:>>m4H0377>"6:h:4i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e<::h6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>2243-;;h?4;2d68m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm4203>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:48459'55b52=8n86g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6::9;%33`7<3:l>0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a066?280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:664?!77l;0?>h:4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi8>?l:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0<855+11f1>14b<2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c6042<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:48489'55b52=8n86g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=8:h4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>22f3-;;h?4;2d68m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th??:851;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8>9::08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<0o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9=84;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=?=n0(<>k2;61a1=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo:<7282>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302>>n7)??d3876`215a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;36095?>=83:p(N6::i0D<2.2=?4;50f8j442?3=?j6*>0e0907c33`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0<9=5+11f1>14b<2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb5144?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1;8?4$02g6?25m=1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c602c<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6:;=;%33`7<3:l>0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;79:3:&24a4=<;o?7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:48559'55b52=8n86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f15?>3;147>50z&256`=?l<0D<<97??b19'136l2d:>8957478 46c:3>9i95f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg240l0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:675?!77l;0?>h:4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3;1n1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;563>"68m818?k;;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<:2h6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=56841==#99n969o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=93n7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245930?<,8:o>7:=e59j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==84l4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>23f3-;;h?4;2d68m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th??5751;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8>67:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<0=j1/==j=:50f0>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9=77;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=?k2;61a1=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo:<8482>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302>?n7)??d3876`215a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;39695?>=83:p(N6::i0D<2.2=?4;50f8j442?3=>j6*>0e0907c33`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3==<6*>0e0907c33`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:;?;51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81=8j7;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=??80(<>k2;36`==n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6?;<1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93ab;7993:&24a4=96`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn<9<8;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>2459332<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:1<7>t$030b??002B:>>m4H0377>"6:N?j81/5<<5443g?k75=>0<:85+11f1>43c02c3m<4?:%31100`:94?"6:0858 <752=?:h6*7b`84`a=i9;?<6:89;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=::>:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>no7c?=568422=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c3452<62=0;6=u+101e><1?3A;9?n5G1060?!75=<02;;5G11;0?M>e92.2=?4;50f8j442?3==46*>0e0950b?3`2j=7>5$0061?>fk21b4l<50;&2603=0hn07d??a983>!75=<0:97k8d:9~f41483;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5g`>h6:<=1;;74$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:;9<51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81=8j7;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=2><@888o6F>1518 442=33<:6F>0818L=d63-3:>7::1e9m57302>=gd32c3m?4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a5256280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6fg?k75=>0<:n5+11f1>43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lm1e=?;8:64g?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm1603>4<3290;w)?>3g8:3==O9;9h7E?>429'573220==7E??929Kd:l2601=??o0(<>k2;36`==n0h;1<7*>24797>5$0061?>fl21b==o7:18'573228:jo65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8=?87?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1cl2d:>8957628 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>73395?2=83:p(N6::i0D<0D<>63:J;f4=#188188?k;o3112<0?81/==j=:07g<>o?i80;6)?=548;ef==gc32c:!75=<0n;i54}c3476<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48de9m57302>=97)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9>>>6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:48729'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:85;?M75;j1C=<:<;%3110<>??1C==7<;I:a5>">9;0?9f93:1(<<:5;:bg>=n0h81<7*>247912d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=?>?0(<>k2;36`==n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6?=<1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93=`;7986:&24a4=96`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:1<7>t$030b??002B:>>m4H0377>"6:N?j81/5<<5443g?k75=>0<;:5+11f1>43c02c3m<4?:%31100`:94?"6:0858 <752=?:h6*7b`84`a=i9;?<6:97;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=::8:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>2m7c?=56843<=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9>8?6<4;:183!76;o02;55G131`?M76<:1/=?;::855?M771:1C4o?4$831>136l2d:>89576c8 46c:3;>h55f8`394?"6:976nd:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th:;>851;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81=8j7;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=?>i0(<>k2;36`==n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6?;=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93ab;798d:&24a4=96`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn<9<9;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>245932c<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:=93=1<7>t$030b??6n2B:>>m4H0377>"6:0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8=8m7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1cl2d:>8957928 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>70:95?2=83:p(N6::i0D<0D<>63:J;f4=#188188?k;o3112<0081/==j=:07g<>o?i80;6)?=548;ef==gc32c:!75=<0n;i54}c346<<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48de9m57302>297)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9>9i6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:48829'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:85;?M75;j1C=<:<;%3110<>??1C==7<;I:a5>">9;0?9f93:1(<<:5;:bg>=n0h81<7*>247912d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=?1?0(<>k2;36`==n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6?:i1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93ab;7976:&24a4=96`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn<9>a;390?6=8r.:=>h596:8L444k2B:=9=4$0061??0>2B:<4=4H9`2?!?6:3>>=i5a1374>2>03-;;h?4>5e:8m=g6290/=?;::9c`?>o?i;0;6)?=548;ea=5$0061?77ij10co6m:18'57322l=o76sm160a>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:jk;o3112<0011/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84`a=i9;?<6:66;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=:?m:087>5<7s-;:?k46799K575d3A;:8>5+1376><113A;;5>5G8c38 <752=?:h6`>24593=g<,8:o>7?:d99j8;58`a8?l>f:3:1(<<:5;:b`>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl>73a95?1=83:p(N6::i0D2;665a=#0kk1;ij4n0063?1?j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f414m3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5g`>h6:<=1;5m4$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:;2479=20<@8:2?6F7b09'=44=<<;o7c?=5684o68h21<7*>247955gd32ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh02>b3-;;h?4>5e:8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a525a280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6fg?k75=>0<4k5+11f1>43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:583>5}#989m6497;I317f=O98>87)?=548:33=O99387E6m1:&:57<3=8n0b<<:7;5:4>"68m81=8j7;h:b5?6=,88>976nc:9j8;58`f8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn<9=e;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>24593<7<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:6*>0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8=:i7?54;294~"69:l15:64H000g>N69=90(<<:5;;42>N68090D5l>;%;26?229m1e=?;8:6;0?!77l;0:9i64i9c2>5<#9;?>65ol;:k;e7<72-;99847ae98m46f03:1(<<:5;33ef=`1c32wi=:k>:08;>5<7s-;:?k48e99K575d3A;:8>5+1376>46e82.3nl4m9:&:57<3=8n0b<<:7;5:0>"68m81=8j7;h1a3?6=,88>97:8;58``8?l77i10;6)?=54824a><3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24a2=83.:>8;511f7?M76<;10qo?8e182>=<729q/=<=i:6g;?M75;j1C=<:<;%3110<68k:0(5ln:c;8 <752=?:h6`>24593<3<,8:o>7?:d99j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99k36=4+1376>46c021d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46c<3:1(<<:5;33`1=O98>976sm19g0>43g84a<=O9;9h7E?>429'573228:i<6*7b`8a=>">9;0?9>2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==o7:18'573228:o465`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68m>1<7*>247955b33A;:8?54}c3;a7<6210;6=u+101e>2c>3A;9?n5G1060?!75=<0:4$9`b>g?<,0;969;>d:l2601=?0=0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??a983>!75=<0:976g>08:94?"6:5$0061?77l=1C=<:=;:a5gc>28036=4?{%327c<0m?1C=?=l;I3206=#9;?>6<>m0:&;fd<33A;;595+9009007c3g;99:48999'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4db03;147>50z&256`=?l<0D<<97??b19'136l2d:>89578;8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7em>0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:6;b?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6jl<1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;5:f>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9ko>6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5684=f=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8hn87?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245937?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>2?b3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:nh<51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9n2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=ok?:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<0i91/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnh57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=?h;0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo?mdd82>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302>k97)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>bef95?>=83:p(N6::i0D<2.2=?4;50f8j442?3=j?6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>043c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0`gf?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1;l;4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3a`d<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6:o9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;79n7:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:48a99'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4dc?3;147>50z&256`=?l<0D<<97??b19'136l2d:>8957`;8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7el<0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:6cb?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6jm>1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;5bf>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9kn86<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5684ef=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8ho>7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24593db<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>2gb3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:ni>51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=omi:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<0j91/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnh57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=?k;0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo?mce82>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302>h97)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>bbc95?>=83:p(N6::i0D<2.2=?4;50f8j442?3=i?6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>043c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0a7f?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1;o;4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3`0d<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6:l9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;79m7:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:48b99'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4e3?3;147>50z&256`=?l<0D<<97??b19'136l2d:>8957c;8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7do=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:6`b?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6k=?1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;5af>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9j>?6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5684ff=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8i??7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24593gb<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>2db3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:o9>51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=n=i:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<0k91/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnh57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=?j;0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo?l3e82>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302>i97)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>c2a95?>=83:p(N6::i0D<2.2=?4;50f8j442?3=h?6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>043c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0a0e?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1;n;4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3`7<<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6:m9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:=9321<7>t$030b?1b>2B:>>m4H0377>"6:;79l7:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:48c99'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4e4=3;147>50z&256`=?l<0D<<97??b19'136l2d:>8957b;8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7d;=0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:6ab?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6k:91=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;5`f>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9j996<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5684gf=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8i8=7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24593fb<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>2eb3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:o?h51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=n5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<0l91/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnh57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=?m;0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo?l2c82>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302>n97)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>c3c95?>=83:p(N6::i0D<2.2=?4;50f8j442?3=o?6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>043c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0a112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1;i;4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3`62<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6:j9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;79k7:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:48d99'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4e5:3;147>50z&256`=?l<0D<<97??b19'136l2d:>8957e;8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7d:80:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:6fb?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6k;:1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;5gf>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9j;n6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5684`f=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8i:h7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24593ab<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>2bb3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:o247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=n?n:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<0m91/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=?l;0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo?l1982>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302>o97)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>c0595?>=83:p(N6::i0D<2.2=?4;50f8j442?3=n?6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>043c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0a21?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1;h;4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3`56<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6:k9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;79j7:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:48e99'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4e683;147>50z&256`=?l<0D<<97??b19'136l2d:>8957d;8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7d8o0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:6gb?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6k9o1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;5ff>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9j:o6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5684af=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8i;o7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24593`b<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>2cb3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:o=o51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=n>7:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<0n91/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnh57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=?o;0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo?l0782>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302>l97)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>c1795?>=83:p(N6::i0D<2.2=?4;50f8j442?3=m?6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>043c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0a37?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1;k;4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3`47<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6:h9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;79i7:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:48f99'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4dal3;147>50z&256`=?l<0D<<97??b19'136l2d:>8957g;8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7enk0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:6db?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6jok1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;5ef>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9kl26<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5684bf=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8hm47?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>24593cb<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>2`b3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:nk851;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=oh::08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnh57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=09;0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo?mf282>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m573021:97)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>bg095?>=83:p(N6::i0D<2.2=?4;50f8j442?32;?6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>03<95+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0`fb?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=14=;4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3aa`<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<65>9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;76?7:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:47099'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4dbj3;147>50z&256`=?l<0D<<97??b19'136l2d:>89581;8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7emh0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:92b?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6jl;1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;:3f>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9kn=6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568;4f=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8hh57?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459<5b<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>=6b3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:o>951;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?97n2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=n5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnf;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=08;0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo?l1582>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m573021;97)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>c1;95?>=83:p(N6::i0D<2.2=?4;50f8j442?32:?6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>03=95+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0`e5?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=14<;4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3ag=<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<65?9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;76>7:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6:h9;%:ae?2<@8:286*6138714b;76>8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>86c95?0=83:p(N6::i0D7::1e9m573021;27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459<4g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4>003;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:471c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1954>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?32:o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:4:851;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>=7c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7??<0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89580g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0:40?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>03=k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=59<:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9582.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd60>81=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:902?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3;35<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=14?<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<69f;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e91ei3>0D<>64:&:57<3=8n0b<<:7;:10>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2<3b=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<65<:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?76b82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=0;<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj82=n7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568;62=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;76=8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>87595?0=83:p(N6::i0D7::1e9m573021827)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459<7g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4>1=3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:472c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1940>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?329o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:4;<51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>=4c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7?>80:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89583g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0:54?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>03>k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=5;i:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9482.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6022a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:912?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3;1a<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=14><4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<6:c;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e91?i6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;:00>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2<0g=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<65=:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?75982>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=0:<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj82>;7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568;72=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;76<8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>84795?0=83:p(N6::i0D7::1e9m573021927)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459<6g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4>2;3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:473c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1971>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?328o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:48?51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>=5c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7?=90:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>89582g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0:7b?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>03?k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=56?:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9382.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd60>l1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:962?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3;3`<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=149<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<68d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e91=h6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;:70>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2<27=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<65::;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?76582>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=0=<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj82>57?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568;02=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;76;8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>85;95?0=83:p(N6::i0D7::1e9m573021>27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459<1g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4d3;3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:474c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1c61>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?32?o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:n9?51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=2c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7e<90:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89585g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0`0b?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>038k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=o=j:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9282.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6j:<1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:972?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3a70<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=148<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9k986<49:183!76;o064:&:57<3=8n0b<<:7;:60>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2f64=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<65;:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?m3082>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=0<<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8h8<7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;12=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;76:8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>ae195?0=83:p(N6::i0D7::1e9m573021?27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459<0g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4gc93;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:475c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1`f3>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?32>o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:mnh51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=3c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7fk10:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89584g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0c`3?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>039k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=lm9:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9182.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6ij?1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:942?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3bg1<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=14;<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112:1/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9ho;6<49:183!76;o064:&:57<3=8n0b<<:7;:50>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2ea`=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<658:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?ndd82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=0?<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8koh7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;22=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7698:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>ae`95?0=83:p(N6::i0D7::1e9m573021<27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459<3g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4gfn3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:476c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1`cf>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?32=o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:mlj51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=0c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7fij0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89587g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0cbf?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>03:k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=lo;:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9082.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6ih91=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:952?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3be7<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=14:<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9hk;6<49:183!76;o064:&:57<3=8n0b<<:7;:40>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2e<`=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<659:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?nbb82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=0><0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8kin7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;32=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7688:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>ac;95?0=83:p(N6::i0D7::1e9m573021=27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459<2g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4ge?3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:477c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1`;;>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?320e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:m4951;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=1c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7f1?0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89586g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0c:1?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>03;k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=l7;:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9?82.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6i091=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:9:2?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3b2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=145<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9h2j6<49:183!76;o064:&:57<3=8n0b<<:7;:;0>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2e=?=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<656:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?n8982>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=01<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8k3;7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;<2=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7678:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>a7595?0=83:p(N6::i0D7::1e9m573021227)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459<=g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4g1=3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:478c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1`47>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?323o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:m;=51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=>c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7f=j0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89589g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0c6f?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>034k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=l;n:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9>82.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6i<31=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:9;2?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3b1=<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=144<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9h=?6<49:183!76;o064:&:57<3=8n0b<<:7;::0>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2e25=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<657:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?n7382>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=00<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8k<=7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;=2=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7668:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>a7d95?0=83:p(N6::i0D7::1e9m573021327)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459<7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4g3n3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:479c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1`6f>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?322o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:m9j51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=?c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7fo:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89588g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0c7f?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>035k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=l:;:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9f82.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6i=91=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:9c2?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3b07<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=14l<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9h>;6<49:183!76;o064:&:57<3=8n0b<<:7;:b0>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2e6`=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<65o:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?n2b82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=0h<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8k9n7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;e2=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;76n8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>a3;95?0=83:p(N6::i0D7::1e9m573021k27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===54>:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>24597?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4g5?3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:47ac9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1`03>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?32jo6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:m>=i5a1374>=gc3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7f9l0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8958`g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0c2`?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>03mk5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=l?l:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9e82.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6i8h1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:9`2?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3b7=<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=14o<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9h9=6<49:183!76;o064:&:57<3=8n0b<<:7;:a0>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2e63=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<65l:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?n3582>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=0k<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8k8?7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;f2=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;76m8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>a0195?0=83:p(N6::i0D7::1e9m573021h27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>24597?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4g693;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:47bc9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1`33>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?32io6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:m=h51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=dc3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7f810:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8958cg8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0c33?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>03nk5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=l>9:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9d82.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6i9?1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:9a2?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3b41<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=14n<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e90o?6<49:183!76;o064:&:57<3=8n0b<<:7;:`0>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2=`5=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<65m:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?6e382>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=0j<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj83n=7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;g2=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;76l8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>9ed95?0=83:p(N6::i0D7::1e9m573021i27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>24597?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4?c?3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:47cc9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm18f5>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?32ho6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:5i;51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=ec3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7>l=0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8958bg8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0;g7?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>03ok5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=4h?:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9c82.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd61ll1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:9f2?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3:a`<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=14i<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<7jd;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e90oh6<49:183!76;o064:&:57<3=8n0b<<:7;:g0>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2=`d=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<65j:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?6c182>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=0m<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj83ij7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;`2=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;76k8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>9cf95?0=83:p(N6::i0D7::1e9m573021n27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>24597?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4?ej3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:47dc9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm18`7>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?32oo6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:5o=51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=bc3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7>j;0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8958eg8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0;a5?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>03hk5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=4l?:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9b82.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd61hl1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:9g2?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3:gf<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=14h<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<7lb;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e90ij6<49:183!76;o064:&:57<3=8n0b<<:7;:f0>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2=f?=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<65k:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?6c982>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=0l<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj83h;7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;a2=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;76j8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>98`95?0=83:p(N6::i0D7::1e9m573021o27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459<`g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4?>13;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:47ec9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm18;;>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?32no6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:54951;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=cc3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7>190:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8958dg8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0;;b?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>03ik5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=46j:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9a82.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd611n1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:9d2?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3:2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=14k<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<77b;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e90k36<49:183!76;o064:&:57<3=8n0b<<:7;:e0>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2=d1=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<65h:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?6a782>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=0o<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj83j97?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568;b2=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;76i8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>9`195?0=83:p(N6::i0D7::1e9m573021l27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>24597?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4??;3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:47fc9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm18:1>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?32mo6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:55?51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>=`c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7>090:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8958gg8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0;4b?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>03jk5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=48l:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd61?h1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:822?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3:2d<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=15=<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<799;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<>8:1/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e90<36<49:183!76;o064:&:57<3=8n0b<<:7;;30>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2=31=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<64>:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?65582>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=19<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj83>?7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568:42=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;77?8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>94395?0=83:p(N6::i0D7::1e9m573020:27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459=5g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4?3n3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:460c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm186;>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?33;o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:59951;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374><6c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89591g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0;71?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0243c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=4:;:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd61=91=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:832?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3:25<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=15<<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<7:f;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<>9:1/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e90?n6<49:183!76;o064:&:57<3=8n0b<<:7;;20>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2=0b=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<64?:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?65b82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=18<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj83>n7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568:52=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;77>8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>93d95?0=83:p(N6::i0D7::1e9m573020;27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===h4>:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459=4g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4?5l3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:461c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm180`>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?33:o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:5?l51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374><7c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7>:=0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89590g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0;17?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>02=k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=4<=:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd61;;1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:802?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3:65<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=15?<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<7>f;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<>::1/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e909h6<49:183!76;o064:&:57<3=8n0b<<:7;;10>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2=6d=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<64<:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?63`82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=1;<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj83857?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568:62=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;77=8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>92595?0=83:p(N6::i0D7::1e9m573020827)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459=7g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4?7j3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:462c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm182b>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?339o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:5=751;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374><4c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7>810:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89593g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0;33?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>02>k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=4>?:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd60ol1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:812?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3;b`<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=15><4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<6id;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<>;:1/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e91lh6<49:183!76;o064:&:57<3=8n0b<<:7;;00>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<64=:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?61982>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=1:<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj83:;7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568:72=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;77<8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>90795?0=83:p(N6::i0D7::1e9m573020927)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459=6g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4?6;3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:463c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1c0;>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?338o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:n?951;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374><5c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7e:?0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89592g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0`11?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>02?k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=o<;:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6j;91=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:862?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3a5f<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=159<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnb;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<><:1/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9k;j6<49:183!76;o064:&:57<3=8n0b<<:7;;70>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2f4?=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<64::;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?m1982>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=1=<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8h:;7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568:02=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;77;8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>b1195?0=83:p(N6::i0D7::1e9m573020>27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459=1g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4d793;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:464c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1c23>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?33?o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:mkh51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374><2c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7fn10:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89595g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0ce3?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>028k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=lh9:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6io?1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:872?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3bb1<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=158<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<>=:1/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9k;;6<49:183!76;o064:&:57<3=8n0b<<:7;;60>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2f5`=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<64;:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?m0d82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=1<<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8h;h7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568:12=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;77:8:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>b1`95?0=83:p(N6::i0D7::1e9m573020?27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459=0g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4g0n3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:465c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1`5f>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?33>o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:m:j51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374><3c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7f?j0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89594g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0c4f?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>029k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=4hl:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd61oh1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:842?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3:bd<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=15;<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<7i9;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<>>:1/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e90l36<49:183!76;o064:&:57<3=8n0b<<:7;;50>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2=c1=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<648:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?neb82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=1?<0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8knn7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568:22=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7798:&24a4=98j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>ad;95?0=83:p(N6::i0D7::1e9m573020<27)??d3821a>15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459=3g<,8:o>7?:d99j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4gb?3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:466c9'55b528?o46g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm19d7>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?33=o6*>0e0950b?3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:4k=51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374><0c3-;;h?4>5e:8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7?n;0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89597g8 46c:3;>h55f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0:e5?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>02:k5+11f1>43c02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=5h?:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd60ll1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:852?!77l;0:9i64i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3:3=<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=15:<4$02g6?72l11b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<787;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<>?:1/==j=:07g<>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e90==6<49:183!76;o064:&:57<3=8n0b<<:7;;40>"68m81=8j7;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2=23=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<649:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?67582>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=1><0(<>k2;36`==n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj83N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568:32=#99n96<;k8:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:46799'55b52=:n>6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f176=3;147>50z&256`=?l?0D<<97??b19'136l2d:>89596;8 46c:3>;i?5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg269>0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:85b?!77l;0?5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd398<1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;;4f>"68m818=k=;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<8;86<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568:3f=#99n969>j2:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=;:=7?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459=2b<,8:o>7:?e39j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:=94>:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374><1b3-;;h?4;0d08m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?=247955d73-2im7:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8<5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<>091/==j=:52f6>o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9?=1;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=11;0(<>k2;63a7=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:>2382>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m573020297)??d3874`415a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;13195?>=83:p(N6::i0D<2.2=?4;50f8j442?333?6*>0e0905c53`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>02495+11f1>16b:2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb5311?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=155;4$02g6?27m;1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c63a6<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:46879'55b52=:n>6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=;j;4>:283>5}#989m6<<2479575f3-3:>7::1e9m5730202<7)??d3874`4=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6467;%33`7<38l80e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e<9l<6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<>001/==j=:52f6>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>024l5+11f1>16b:2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374><>e3-;;h?4;0d08m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi8=k7:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730202h7)??d3874`4=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a05`>28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568:j2:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=;il4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<>0l1/==j=:52f6>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c63bd<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e<9oh6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm41da>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:46909'55b52=:n>6g;3c83>!75=<03mo54i832>5<#9;?>65om;:maj2:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<647<;%33`7<38l80e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a05`7280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:8;7?!77l;0?5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi8=hk:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>02585+11f1>16b:2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c63b7<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:46979'55b52=:n>6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=;jh4>:283>5}#989m6<<2479575f3-3:>7::1e9m5730203<7)??d3874`4=ge32c2=<4?:%31104<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:46999'55b52=:n>6g;3c83>!75=<03mo54i832>5<#9;?>65om;:ma4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>3-;;h?4o>980;6)?=548;eg=`1c32wi?kkn:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>025l5+11f1>6`2l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1eg4<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:469c9'55b52:l>h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m5730203h7)??d380b0b=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<647k;%33`7<4n!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;oo<6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<>1l1/==j=:2d6`>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>025k5+11f1>6`2l2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>o>980;6)?=548;eg=`1c32wi?kl6:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573020k:7)??d380b0b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7cc228086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568:e7=#99n96>h:d:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<>i:1/==j=:2d6`>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1ea1<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;oh>6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3gg0>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:46a79'55b52:l>h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<64o7;%33`7<4n!75=<03mo54oc:a>5<#9;?>6h9k;:a7cd6280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:8c:?!77l;08j8j4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?kk>:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>02ml5+11f1>6`2l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1eec<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:46ac9'55b52:l>h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573020kh7)??d380b0b=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<64ok;%33`7<4n!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;onm6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<>il1/==j=:2d6`>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>02mk5+11f1>6`2l2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>o>980;6)?=548;eg=`1c32wi?ko8:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573020h:7)??d380b0b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7cbd28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568:f7=#99n96>h:d:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<>j:1/==j=:2d6`>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1e`g<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;ok86<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3gfb>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:46b79'55b52:l>h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<64l7;%33`7<4n!75=<03mo54oc:a>5<#9;?>6h9k;:a7c?a280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:8`:?!77l;08j8j4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?kj7:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>02nl5+11f1>6`2l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1e=a<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:46bc9'55b52:l>h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573020hh7)??d380b0b=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<64lk;%33`7<4n!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;on=6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<>jl1/==j=:2d6`>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>02nk5+11f1>6`2l2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>o>980;6)?=548;eg=`1c32wi?k78:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573020i:7)??d380b0b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7cb328086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568:g7=#99n96>h:d:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<>k:1/==j=:2d6`>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1eb6<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;oim6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3gd1>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:46c79'55b52:l>h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<64m7;%33`7<4n!75=<03mo54oc:a>5<#9;?>6h9k;:a7cee280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:8a:?!77l;08j8j4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?kh?:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>02ol5+11f1>6`2l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1eg<<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:46cc9'55b52:l>h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573020ih7)??d380b0b=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<64mk;%33`7<4n!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;oon6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<>kl1/==j=:2d6`>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>02ok5+11f1>6`2l2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>o>980;6)?=548;eg=`1c32wi?km<:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573020n:7)??d380b0b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7cc>28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568:`7=#99n96>h:d:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<>l:1/==j=:2d6`>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1e``<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;ok26<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3gf0>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:46d79'55b52:l>h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>02h55+11f1>6`2l2c??o4?:%31100`:94?"6:0:684?:1y'545a20;o7E?=3b9K54243-lnj7:?c29'573228:2;6*6138714b<,1hj6??m;%de4?27k:1e=?;8:8f:?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?k9j:086>5<7s-;:?k461e9K575d3A;:8>5+fdd905e43-;9984>0858 <752=?:h6*7b`8be>"an90?f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=21<@888o6F>1518 442=33<:6*6138714b;77kb:&24a4=;o?o7d:0`a8?jd?j3:1(<<:5;g4`>=zj:l<:7?55;294~"69:l15N69=90(kki:52`6>"6:6`>2459=ae<,8:o>7=i5e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07plN6::i0D6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a7c0b280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:8ff?!77l;08j8j4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?k9::086>5<7s-;:?k461e9K575d3A;:8>5+fdd905e63-;9984>0858 <752=?:h6*7b`815g=#no:18=m>;o3112<>lo1/==j=:2d6`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c1e3f<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3>;o<5+1376>46>?2.2=?4;50f8 =df2hk0(kh?:52`5>h6:<=15h>4$02g6?5a=m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4n?n1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459=`7<,8:o>7=i5e9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07plN6::i0D6*>0e097c3c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f6`0j3;197>50z&256`=18n0D<<l0:&2603=993<7)7>2;665a=#0kk1ml5+fg2905e73g;99:46e29'55b52:l>h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<>m=1/==j=:2d6`>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1e36<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3>;nk5+1376>46>?2.2=?4;50f8 =df2;;i7)hi0;63fc=i9;?<64k:;%33`7<4n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;o=j6<4::183!76;o02=i5G131`?M76<:1/jhh541`e?!75=<0:<494$831>136l2.3nl4na:&eb5<38kl0b<<:7;;f2>"68m81?k;k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>h9b;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?33n;6*>0e097c3c3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f6`0:3;197>50z&256`=18n0D<<me:&2603=993<7)7>2;665a=#0kk1>16em2d:>8959d:8 46c:39m9i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8j:751;794?6|,8;8j77>d:J266e<@8;??6*ieg874gc<,88>97??969'=44=<<;o7)6ma;cb?!`a83>;nh5a1374>3-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm3g4b>4<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<64kn;%33`7<4n!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;o=:6<4::183!76;o02=i5G131`?M76<:1/jhh541`g?!75=<0:<494$831>136l2.3nl4=1c9'bc6=<9ho7c?=568:ag=#99n96>h:d:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?27jm1/=?;::02:3>">9;0?9dg<,ol;69>md:l2601=1li0(<>k2;1e1a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8959df8 46c:39m9i5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8j5m51;794?6|,8;8j77>d:J266e<@8;??6*ieg874ge<,88>97??969'=44=<<;o7)6ma;02f>"an90?f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca2=:io6*>247955?03-3:>7::1e9'541``?k75=>02ik5+11f1>6`2l2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?27jk1/=?;::02:3>">9;0?977e3-lm<7:?bc9m573020l:7)??d380b0b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a7c?5280>6=4?{%327c<>9m1C=?=l;I3206=#nll18=lm;%3110<680=0(4?=:572`>"?jh0jm6*if1874gd;77i2:&24a4=;o?o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:l3?7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4b<@888o6F>1518 cca2=:im6*>247955?03-3:>7::1e9'h6:<=15k:4$02g6?5a=m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4n0;1=7;50;2x 474n33:h6F>22a8L473;2.mik4;0cc8 442=3;;5:5+9009007c3-2im7on;%de4?27jh1e=?;8:8d6?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?k6=:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573020l=7)??d380b0b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7c>>280>6=4?{%327c<>9m1C=?=l;I3206=#nll18=l6;%3110<680=0(4?=:572`>"?jh09=o5+fg2905d>3g;99:46f69'55b52:l>h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;63f<=#9;?>6<>67:&:57<3=8n0(5ln:`c8 c`72=:i56`>2459=c><,8:o>7=i5e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07plN6::i0D<0(4?=:572`>h6:<=15k74$02g6?5a=m1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd4n121=7;50;2x 474n33:h6F>22a8L473;2.mik4;0c:8 442=3;;5:5+9009007c3-2im7<>b:&eb5<38k20b<<:7;;ee>"68m81?k;k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>h7f;391?6=8r.:=>h590f8L444k2B:=9=4$gge>16e02.:>8;511;4?!?6:3>>=i5+8cc9ed=#no:18=l7;o3112<>nk1/==j=:2d6`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c1e<5<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:46fb9'55b52:l>h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;63f2=#9;?>6<>67:&:57<3=8n0(5ln:33a?!`a83>;n:5a1374><`c3-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm3g:f>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=<9h<7)?=54824<1<,0;969;>d:&;fd0e097c3c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f6`0n3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;;eb>"68m81?k;k;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>h76;391?6=8r.:=>h590f8L444k2B:=9=4$gge>16e>2.:>8;511;4?!?6:3>>=i5+8cc964d<,ol;69>m6:l2601=i9:0(<>k2;1e1a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0?1/5<<5443g?!>ei3kj7)hi0;63f3=i9;?<6l>>;%33`7<4n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;o<86<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>d653-;;h?4o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3g4:>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6??n;o3112o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0j<95+11f1>6`2l2c??o4?:%31100`:94?"6:10:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`815f=i9;?<6l>:;%33`7<4n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?k8>:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302h:=7)??d380b0b=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7c00280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:33`?k75=>0j<:5+11f1>6`2l2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1e23<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=1b9m57302h:27)??d380b0b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;o?m6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>d6f3-;;h?4o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3g46>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6??l;o3112o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09=n5a1374>d6c3-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a05ga28036=4?{%327c<0m<1C=?=l;I3206=#9;?>6<>m0:&;fd<33A;;595+9009007c3g;99:4n0d9'55b52:l>h6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f16fm3;147>50z&256`=?l?0D<<97??b19'136l2d:>895a1d8 46c:39m9i5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg27ij0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:`33?!77l;08j8j4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd38hh1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;c25>"68m81?k;k;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<9kj6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568b57=#99n96>h:d:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=:j57?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459e45<,8:o>7=i5e9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==;m54>:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>d733-;;h?4o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?247955d73-2im7:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8=o9:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9>n5;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=i8=0(<>k2;1e1a=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:?a582>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302h;37)??d380b0b15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;0`195?>=83:p(N6::i0D<2.2=?4;50f8j442?3k:56*>0e097c3c3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0j=l5+11f1>6`2l2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb52b4?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1m5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c63=c<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6l?l;%33`7<4nl8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"6:;7o>d:&24a4=;o?o7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4n1d9'55b52:l>h6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f16>k3;147>50z&256`=?l?0D<<97??b19'136l2d:>895a0d8 46c:39m9i5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg271k0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:`03?!77l;08j8j4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd380k1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;c15>"68m81?k;k;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<9326<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568b67=#99n96>h:d:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=:247?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459e75<,8:o>7=i5e9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==;n84>:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>d433-;;h?4o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?247955d73-2im7:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8=l<:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9>m2;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=i;=0(<>k2;1e1a=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:?b082>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302h837)??d380b0b15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;0c295?>=83:p(N6::i0D<2.2=?4;50f8j442?3k956*>0e097c3c3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0j>l5+11f1>6`2l2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb52b6?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1m?l4$02g6?5a=m1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c63=2<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6ll8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"6:;7o=d:&24a4=;o?o7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4n2d9'55b52:l>h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f17183;147>50z&256`=?l<0D<<97??b19'136l2d:>895a3d8 46c:39m9i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg26=o0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:`13?!77l;08j8j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3922a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;c05>"68m81?k;k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<8?o6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568b77=#99n96>h:d:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=;>o7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459e65<,8:o>7=i5e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==::44>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>d533-;;h?4o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?=;651;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8<88:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9?96;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=i:=0(<>k2;1e1a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo:>6482>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302h937)??d380b0b15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;17695?>=83:p(N6::i0D<2.2=?4;50f8j442?3k856*>0e097c3c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0j?l5+11f1>6`2l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb5356?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1m>l4$02g6?5a=m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c621g<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6l=l;%33`7<4nl8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7o8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568b7`=#99n96>h:d:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=;=n4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3j?1e=?;8:`1e?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8=:7:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0j8=5+11f1>6`2l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c634f<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4n409'55b52:l>h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=;=o4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3j?1e=?;8:`61?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8==n:080>5<7s-;:?k46749K575d3A;:8>5+1376>6463-3:>7::1e9m57302h>87)??d380b0b=ge32c3m?4?:%31104<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4n459'55b52:l>h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0?n;5a1374>d213-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm411:>4<4290;w)?>3g8:30=O9;9h7E?>429'57322:8:7)7>2;665a=i9;?<6l:8;%33`7<4n:18'573221ki76g7a383>!75=<03mo54o830>5<#9;?>6h9k;:a052128086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568b0==#99n96>h:d:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=;:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c635<<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;b79m57302h>j7)??d380b0b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a055?28086=4?{%327c<>?<1C=?=l;I3206=#9;?>6><>;%;26?229m1e=?;8:`6a?!77l;08j8j4i9c2>5<#9;?>65om;:k;e7<72-;99847ac98k<74290/=?;::d5g?>{e<9>>6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0j8i5+11f1>6`2l2c??o4?:%31100`:94?"6:0858 <752=?:h6*7b`87f3=i9;?<6l:j;%33`7<4n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<99<6<4<:183!76;o02;85G131`?M76<:1/=?;::202?!?6:3>>=i5a1374>d2a3-;;h?4o?i;0;6)?=548;eg=`1c32wi8=:;:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0j9=5+11f1>6`2l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c634=<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4n509'55b52:l>h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=;=:4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3j?1e=?;8:`71?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8=:=:080>5<7s-;:?k46749K575d3A;:8>5+1376>6463-3:>7::1e9m57302h?87)??d380b0b=ge32c3m?4?:%31104<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4n559'55b52:l>h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0?n;5a1374>d313-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm4162>4<4290;w)?>3g8:30=O9;9h7E?>429'57322:8:7)7>2;665a=i9;?<6l;8;%33`7<4n:18'573221ki76g7a383>!75=<03mo54o830>5<#9;?>6h9k;:a053628086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568b1==#99n96>h:d:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=;=94>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c6361<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;b79m57302h?j7)??d380b0b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a052728086=4?{%327c<>?<1C=?=l;I3206=#9;?>6><>;%;26?229m1e=?;8:`7a?!77l;08j8j4i9c2>5<#9;?>65om;:k;e7<72-;99847ac98k<74290/=?;::d5g?>{e<9?;6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0j9i5+11f1>6`2l2c??o4?:%31100`:94?"6:0858 <752=?:h6*7b`87f3=i9;?<6l;j;%33`7<4n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<99m6<4<:183!76;o02;85G131`?M76<:1/=?;::202?!?6:3>>=i5a1374>d3a3-;;h?4o?i;0;6)?=548;eg=`1c32wi8=:i:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0j:=5+11f1>6`2l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c6357<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4n609'55b52:l>h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=;>?4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3j?1e=?;8:`41?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8==j:080>5<7s-;:?k46749K575d3A;:8>5+1376>6463-3:>7::1e9m57302h<87)??d380b0b=ge32c3m?4?:%31104<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4n659'55b52:l>h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0?n;5a1374>d013-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm411g>4<4290;w)?>3g8:30=O9;9h7E?>429'57322:8:7)7>2;665a=i9;?<6l88;%33`7<4n:18'573221ki76g7a383>!75=<03mo54o830>5<#9;?>6h9k;:a052c28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568b2==#99n96>h:d:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=;==4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o311201/==j=:2d6`>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c6365<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;b79m57302h6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a055d28086=4?{%327c<>?<1C=?=l;I3206=#9;?>6><>;%;26?229m1e=?;8:`4a?!77l;08j8j4i9c2>5<#9;?>65om;:k;e7<72-;99847ac98k<74290/=?;::d5g?>{e<9>h6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112j1/==j=:2d6`>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0j:i5+11f1>6`2l2c??o4?:%31100`:94?"6:0858 <752=?:h6*7b`87f3=i9;?<6l8j;%33`7<4n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<99i6<4<:183!76;o02;85G131`?M76<:1/=?;::202?!?6:3>>=i5a1374>d0a3-;;h?4o?i;0;6)?=548;eg=`1c32wi8=:m:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0j;=5+11f1>6`2l2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c634`<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4n709'55b52:l>h6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=;=h4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3j?1e=?;8:`51?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8==9:080>5<7s-;:?k46749K575d3A;:8>5+1376>6463-3:>7::1e9m57302h=87)??d380b0b=ge32c3m?4?:%31104<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4n759'55b52:l>h6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mah:d:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0?n;5a1374>d113-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm4116>4<4290;w)?>3g8:30=O9;9h7E?>429'57322:8:7)7>2;665a=i9;?<6l98;%33`7<4n:18'573221ki76g7a383>!75=<03mo54o830>5<#9;?>6h9k;:a052428086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568b3==#99n96>h:d:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=;<:4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c6353<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>de9m57302h=j7)??d380b0b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a054128086=4?{%327c<>?<1C=?=l;I3206=#9;?>6><>;%;26?229m1e=?;8:`5a?!77l;08j8j4i9c2>5<#9;?>65om;:k;e7<72-;99847ac98k<74290/=?;::d5g?>{e<8h<6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;c4g>"68m81?k;k;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`75c>=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6l9k;%33`7<4nl8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:>8b82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=i>o0(<>k2;1e1a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=;3n7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568b3c=#99n96>h:d:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:f280=6=4?{%327c<0m81C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b;7o70:&24a4=;o?o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;19;95?0=83:p(N6::i0D7::1e9m57302h2:7)??d380b0b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:454>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459e=4<,8:o>7=i5e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f17??3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4n829'55b52:l>h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm40;7>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3k386*>0e097c3c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?=4=51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>d>23-;;h?4o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg261;0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895a948 46c:39m9i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb53:5?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0j4:5+11f1>6`2l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8<7?:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd391l1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:`::?!77l;08j8j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c62<`<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1m5o4$02g6?5a=m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9?7d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<82=6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;c;g>"68m81?k;k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`75=3=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6l6k;%33`7<4nl8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:>ad82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=i1o0(<>k2;1e1a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=;jh7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568bh:d:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7o60:&24a4=;o?o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;1``95?0=83:p(N6::i0D7::1e9m57302h3:7)??d380b0b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:ml4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459e<4<,8:o>7=i5e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f17f13;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4n929'55b52:l>h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm40`5>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3k286*>0e097c3c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?=o;51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>d?23-;;h?4o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg26j=0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895a848 46c:39m9i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb53a7?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0j5:5+11f1>6`2l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi85<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?902.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd39k;1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:`;:?!77l;08j8j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c62f5<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1m4o4$02g6?5a=m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9?nf;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<8k36<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;c:g>"68m81?k;k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`75d1=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6l7k;%33`7<4nl8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:>fb82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=i0o0(<>k2;1e1a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=;mn7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568b=c=#99n96>h:d:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4na19'55b52:l>h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f170:3;147>50z&256`=?l<0D<<97??b19'136l2d:>895a`38 46c:39m9i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg26?80:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:`c1?!77l;08j8j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd39?n1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;cb7>"68m81?k;k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<8"?jh0?7E??959'=44=<<;o7c?=568be1=#99n96>h:d:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=;=m7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459ed3<,8:o>7=i5e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==::o4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>dg13-;;h?4o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?=?751;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi85<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd39jo1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:`c:?!77l;08j8j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c62ga<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1mlo4$02g6?5a=m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9?lc;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<8ii6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;cbg>"68m81?k;k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`75fg=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6lok;%33`7<4nl8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:>d682>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=iho0(<>k2;1e1a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=;o:7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568bec=#99n96>h:d:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7om0:&24a4=;o?o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;1e695?0=83:p(N6::i0D7::1e9m57302hh:7)??d380b0b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:h>4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459eg4<,8:o>7=i5e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f17c:3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4nb29'55b52:l>h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm40f2>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3ki86*>0e097c3c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?=i>51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>dd23-;;h?4o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg26k00:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895ac48 46c:39m9i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb53`3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0jn:5+11f1>6`2l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi85<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd39lo1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:``:?!77l;08j8j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c62aa<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1moo4$02g6?5a=m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9?jc;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<8oi6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;cag>"68m81?k;k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`75`g=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6llk;%33`7<4nl8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:>f682>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=iko0(<>k2;1e1a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=;m:7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568bfc=#99n96>h:d:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7ol0:&24a4=;o?o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;1g695?0=83:p(N6::i0D7::1e9m57302hi:7)??d380b0b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:j>4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459ef4<,8:o>7=i5e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f17a:3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4nc29'55b52:l>h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm40d2>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3kh86*>0e097c3c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?=k>51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>de23-;;h?4o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg26m00:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895ab48 46c:39m9i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb53f3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0jo:5+11f1>6`2l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8<:>:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd39=:1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:`a:?!77l;08j8j4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c627c<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1mno4$02g6?5a=m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9?h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<89o6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;c`g>"68m81?k;k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`756e=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6lmk;%33`7<4nl8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:>4882>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=ijo0(<>k2;1e1a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=;?47?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568bgc=#99n96>h:d:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7ok0:&24a4=;o?o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;15495?0=83:p(N6::i0D7::1e9m57302hn:7)??d380b0b15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:884>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459ea4<,8:o>7=i5e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f173<3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4nd29'55b52:l>h6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4060>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3ko86*>0e097c3c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?=9<51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>db23-;;h?4o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg26;k0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895ae48 46c:39m9i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb530e?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0jh:5+11f1>6`2l2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8<9;:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd3:>21=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;cg=>"68m818?>6;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<;=<6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568b`d=#99n969o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=8<:7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459ead<,8:o>7:=089j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==9;84>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>dbd3-;;h?4;21;8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?>::51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8?9<:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9<82;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=iml0(<>k2;614<=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo:=7082>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302ho;7)??d38765?15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;22495?5=83:p(429'57322888m6*6138714b;7oj1:&24a4=<;:27d:97k8d:9~f14593;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;6a2>h6:<=1mh<4$02g6?25801b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3::?1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9<>9;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3kn86*>0e09076>3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f14583;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;6a2>h6:<=1mh;4$02g6?25801b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3:;o1=7=50;2x 474n33<96F>22a8L473;2.:>8;53338 <752=?:h6`>2459e`0<,8:o>7:=089j8;58``8?l>f:3:1(<<:5;:bf>=h1891<7*>2479a2b<3th?>>:51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3kn;6*>0e09076>3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7oj8:&24a4=<;:27d:0`a8?jd?j3:1(<<:5;g4`>=zj=8:j7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2e>2d:>895ad;8 46c:3>9<45f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?>?j51;194?6|,8;8j7785:J266e<@8;??6*>2479777<,0;969;>d:l2601=ilk0(<>k2;614<=n0h;1<7*>24797>5$0061?>fj21d5<=50;&2603=m>n07pl;22195?5=83:p(429'57322888m6*6138714b;7ojb:&24a4=<;:27d:97k8d:9~f146?3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;cfg>"68m818?>6;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9<>e;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>i:6`>2459e`b<,8:o>7:=089j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;23a95?5=83:p(N6::i0Dh6:<=1mhk4$02g6?25801b4l?50;&2603=0hh07d6n2;29 442=32jn65`90194?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m818?>6;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj=8::7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4b<@888o6F>1518 442=3;;5:5+9009007c3-2im7:m6:l2601=io;0(<>k2;614<=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??0=2B:>>m4H0377>"6:136l2d:>895ag08 46c:3>9<45f8`394?"6:976nb:9l=45=83.:>8;5e6f8?xd3::;1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn9<>5;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3km86*>0e09076>3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f146k3;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;6a2>h6:<=1mk;4$02g6?25801b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3:;k1=7=50;2x 474n33<96F>22a8L473;2.:>8;53338 <752=?:h6`>2459ec0<,8:o>7:=089j8;58``8?l>f:3:1(<<:5;:bf>=h1891<7*>2479a2b<3th?>>>51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3km;6*>0e09076>3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7oi8:&24a4=<;:27d:0`a8?jd?j3:1(<<:5;g4`>=zj=8:n7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2e>2d:>895ag;8 46c:3>9<45f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?>?751;194?6|,8;8j7785:J266e<@8;??6*>2479777<,0;969;>d:l2601=iok0(<>k2;614<=n0h;1<7*>24797>5$0061?>fj21d5<=50;&2603=m>n07pl;23d95?5=83:p(429'57322888m6*6138714b;7oib:&24a4=<;:27d:97k8d:9~f146;3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;ceg>"68m818?>6;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn9<>a;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oh6`>2459ecb<,8:o>7:=089j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;23095?5=83:p(N6::i0Dh6:<=1mkk4$02g6?25801b4l?50;&2603=0hh07d6n2;29 442=32jn65`90194?"6:t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6lhi;%33`7<3:930e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:=ad82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=j9:0(<>k2;614<=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=8jh7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568a44=#99n969o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:528036=4?{%327c<0m?1C=?=l;I3206=#9;?>6<>m0:&;fd<33A;;595+9009007c3g;99:4m039'55b52=8;56g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f14?93;147>50z&256`=?l<0D<<97??b19'136l2d:>895b118 46c:3>9<45f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg25090:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:c27?!77l;0?>=74i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3:>i1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;`31>"68m818?>6;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<;=26<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568a43=#99n969o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=8N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459f51<,8:o>7:=089j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==9;o4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>g6?3-;;h?4;21;8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?>4<51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>g6>3-;;h?4;21;8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg25180:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895b1c8 46c:3>9<45f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb50:4?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0i14712c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8?6i:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3:1o1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:c2g?!77l;0?>=74i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c612c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1n=k4$02g6?25801b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn9<7c;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<;2i6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;`24>"68m818?>6;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`7602=9321<7>t$030b?1b>2B:>>m4H0377>"6:;7l>1:&24a4=<;:27d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:280=6=4?{%327c<0m81C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b;7l>2:&24a4=<;:27d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl;2`:95?0=83:p(N6::i0D7::1e9m57302k;87)??d38765?15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===9m:4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459f42<,8:o>7:=089j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f14f>3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4m149'55b52=8;56g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm43c6>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3h::6*>0e09076>3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?>l:51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>g703-;;h?4;21;8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg25i:0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895b0:8 46c:3>9<45f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb50b6?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0i=45+11f1>14712c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8?8;:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3:?91=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:c3a?!77l;0?>=74i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c6127<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1n8=65f48g94?"6:46>021vn9<91;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<;<;6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;`2a>"68m818?>6;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`760`=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6o?i;%33`7<3:930e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo:=5d82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=j;:0(<>k2;614<=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj=8>h7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568a64=#99n969o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4m239'55b52;::86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f762:3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459f75<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9<8?51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3h986*>0e0965733`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7l=5:&24a4=:9;?7d:0`a8?jd?j3:1(<<:5;g4`>=zj;:><7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=j;<0(<>k2;0351=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=03a95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1n?94$02g6?479=1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd58=l1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?>=b;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3h956*>0e0965733`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f763m3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459f7g<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th92479=20<,0;969;>d:l2601=j;h0(<>k2;0351=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>=?;;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;:957?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895b3g8 46c:38;=95f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd58;21=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459f7`<,8:o>78;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=05`95?5=83:p(429'57322888m6*6138714b;7l<0:&24a4=:9;?7d:97k8d:9~f765?3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;`05>"68m81>=?;;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?>:b;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1n><4$02g6?479=1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895b218 46c:38;=95f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9<8o51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3h886*>0e0965733`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7l<5:&24a4=:9;?7d:0`a8?jd?j3:1(<<:5;g4`>=zj;:>57?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=j:<0(<>k2;0351=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=02695?2=83:p(N6::i0D<0(4?=:572`>h6:<=1n>94$02g6?479=1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd58<21=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?><3;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3h856*>0e0965733`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f762?3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459f6g<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9<><51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=j:h0(<>k2;0351=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>=?;;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;:8=7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895b2g8 46c:38;=95f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd58::1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459f6`<,8:o>78;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=04695?5=83:p(429'57322888m6*6138714b;7l;0:&24a4=:9;?7d:97k8d:9~f765n3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;`75>"68m81>=?;;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?>:3;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1n9<4$02g6?479=1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895b518 46c:38;=95f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9<9o51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3h?86*>0e0965733`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7l;5:&24a4=:9;?7d:0`a8?jd?j3:1(<<:5;g4`>=zj;:n;7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568a03=#99n96?>>4:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7l;7:&24a4=:9;?7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=0d795?0=83:p(N6::i0D7::1e9m57302k>37)??d38144215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459f1?<,8:o>78;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f76b;3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4m4`9'55b52;::86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm21g1>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3h?n6*>0e0965733`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th924793c0<,1hj695G11;7?!?6:3>>=i5a1374>g2d3-;;h?4=0068m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg47m90:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895b5f8 46c:38;=95f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb32fb?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0i8h5+11f1>766<2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>=kj:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd58ln1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:c73?!77l;09<<:4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c03af<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1n8?4$02g6?479=1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?>jb;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:9oj6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;`67>"68m81>=?;;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`14`?=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6o;;;%33`7<588>0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=jk2;0351=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;:oj7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568a13=#99n96?>>4:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7l:7:&24a4=:9;?7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=11595?0=83:p(N6::i0D7::1e9m57302k?37)??d38144215a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459f0?<,8:o>78;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f777=3;1:7>50z&256`=?mk0D<<979i6:&;fd<33A;;595+9009007c3g;99:4m5`9'55b52;::86g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm2027>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3h>n6*>0e0965733`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9===51;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>g3d3-;;h?4=0068m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg468;0:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>895b4f8 46c:38;=95f3c594?"6:h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb333b?7=>3:112d93ag<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0i9h5+11f1>766<2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi><>j:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd599n1=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:c43?!77l;09<<:4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c024f<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1n;?4$02g6?479=1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn???b;392?6=8r.:=>h57ec8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112;1/==j=:3220>o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:8:j6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;`57>"68m81>=?;;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`155?=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6o8;;%33`7<588>0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<>0982>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=j??0(<>k2;0351=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;;;=7?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568a23=#99n96?>>4:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7l97:&24a4=:9;?7d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=0cg95?0=83:p(N6::i0D7::1e9m57302k<37)??d38144215a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459f3?<,8:o>78;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f76ek3;1:7>50z&256`=?mk0D<<979i6:&;fd<33A;;595+9009007c3g;99:4m6`9'55b52;::86g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm21`a>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3h=n6*>0e0965733`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th924793c0<,1hj695G11;7?!?6:3>>=i5a1374>g0d3-;;h?4=0068m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg47j00:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>895b7f8 46c:38;=95f3c594?"6:h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb32`2?7=>3:112d93ag<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0i:h5+11f1>766<2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>=m::085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd58j>1=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:c53?!77l;09<<:4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c03g6<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1n:?4$02g6?479=1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn?>l2;392?6=8r.:=>h57ec8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:9i:6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;`47>"68m81>=?;;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`14f6=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6o9;;%33`7<588>0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=j>?0(<>k2;0351=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;:i47?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568a33=#99n96?>>4:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7l87:&24a4=:9;?7d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=0b595?0=83:p(N6::i0D7::1e9m57302k=37)??d38144215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>g1>3-;;h?4=0068m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:9<:51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=8?<:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn<;>2;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=j>i0(<>k2;37g4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo?:4b82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=j>n0(<>k2;37g4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8??n7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568a3`=#99n96<:l1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7l8f:&24a4=9=i:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>55;95?0=83:p(N6::i0D7::1e9m57302k2;7)??d3820f715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===854>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459f=7<,8:o>7?;c09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f433?3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4m839'55b528>h=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1477>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3h3?6*>0e0951e63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:98=51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>g>33-;;h?4>4b38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg72=;0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895b978 46c:3;?o<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0765?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0i4;5+11f1>42d92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=8;?:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6==l1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:c:;?!77l;0:8n?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c360`<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1n574$02g6?73k81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<;;d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9<>=6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;`;f>"68m81=9m>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2113=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6o6l;%33`7<6l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?:2b82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=j1n0(<>k2;37g4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8?9n7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568a<`=#99n96<:l1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7l7f:&24a4=9=i:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>53;95?0=83:p(N6::i0D7::1e9m57302k3;7)??d3820f715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===>54>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459f<7<,8:o>7?;c09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f435?3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4m939'55b528>h=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1417>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3h2?6*>0e0951e63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:9>=51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>g?33-;;h?4>4b38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg72;;0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895b878 46c:3;?o<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0705?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0i5;5+11f1>42d92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=8=?:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9?2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6=;l1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:c;;?!77l;0:8n?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c366`<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1n474$02g6?73k81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<;=d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9<8=6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;`:f>"68m81=9m>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2173=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6o7l;%33`7<6l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?:7b82>=<729q/=<=i:6g:?M75;j1C=<:<;%3110<68k:0(5ln:c;8 <752=?:h6`>2459f7?;c09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>2479!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46c<3:1(<<:5;33`1=O98>976sm145a>43g84a<=O9;9h7E?>429'573228:i<6*7b`8a=>">9;0?9m2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==o7:18'573228:o465`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68m>1<7*>247955b33A;:8?54}c363d<6210;6=u+101e>2c>3A;9?n5G1060?!75=<0:4$9`b>g?<,0;969;>d:l2601=j0l0(<>k2;37g4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??a983>!75=<0:976g>08:94?"6:5$0061?77l=1C=<:=;:a501>28036=4?{%327c<0m01C=?=l;I3206=#9;?>6<>m0:&;fd0e0951e63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f11c;>5<#9;?>6<>k8:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:4398yg72?10:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1nl?4$02g6?73k81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e:8?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>k4;29 442=3;;h95G1061?>{e9<=<6<47:183!76;o0"?jh0i56*6138714b;7ln2:&24a4=9=i:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0e694?"6:494>:983>5}#989m6:k6;I317f=O98>87)?=54824g6<,1hj6o74$831>136l2d:>895b`18 46c:3;?o<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77i10;6)?=54824a><3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=86<:08;>5<7s-;:?k48e89K575d3A;:8>5+1376>46e82.3nl4m9:&:57<3=8n0b<<:7;`b0>"68m81=9m>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99k36=4+1376>46c021d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24a2=83.:>8;511f7?M76<;10qo?:8382>=<729q/=<=i:6g:?M75;j1C=<:<;%3110<68k:0(5ln:c;8 <752=?:h6`>2459fd3<,8:o>7?;c09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>2479!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46c<3:1(<<:5;33`1=O98>976sm14:2>43g84a<=O9;9h7E?>429'573228:i<6*7b`8a=>">9;0?92.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==o7:18'573228:o465`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68m>1<7*>247955b33A;:8?54}c36<5<6210;6=u+101e>2c>3A;9?n5G1060?!75=<0:4$9`b>g?<,0;969;>d:l2601=jh=0(<>k2;37g4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??a983>!75=<0:976g>08:94?"6:5$0061?77l=1C=<:=;:a501a28036=4?{%327c<0m01C=?=l;I3206=#9;?>6<>m0:&;fd0e0951e63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f11c;>5<#9;?>6<>k8:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:4398yg72?l0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1nl74$02g6?73k81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e:8?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>k4;29 442=3;;h95G1061?>{e9<=o6<47:183!76;o0"?jh0i56*6138714b;7lna:&24a4=9=i:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0e694?"6:;;4>:983>5}#989m6:k6;I317f=O98>87)?=54824g6<,1hj6o74$831>136l2d:>895b``8 46c:3;?o<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77i10;6)?=54824a><3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=89::08;>5<7s-;:?k48e89K575d3A;:8>5+1376>46e82.3nl4m9:&:57<3=8n0b<<:7;`bg>"68m81=9m>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99k36=4+1376>46c021d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24a2=83.:>8;511f7?M76<;10qo?:c282>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=jhn0(<>k2;37g4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8?h>7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568ae`=#99n96<:l1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7lnf:&24a4=9=i:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>5b295?0=83:p(N6::i0D7::1e9m57302kh;7)??d3820f715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===nk4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459fg7<,8:o>7?;c09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f43em3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4mb39'55b528>h=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm14aa>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3hi?6*>0e0951e63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:9no51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>gd33-;;h?4>4b38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg72k00:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895bc78 46c:3;?o<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb07`3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0in;5+11f1>42d92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=8m8:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6=j<1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:c`;?!77l;0:8n?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c36g0<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1no74$02g6?73k81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<;l4;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9ei3>0D<>64:&:57<3=8n0b<<:7;`af>"68m81=9m>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`21ge=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6oll;%33`7<6l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?:cb82>=<729q/=<=i:6g:?M75;j1C=<:<;%3110<68k:0(5ln:c;8 <752=?:h6`>2459fgb<,8:o>7?;c09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>2479!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46c<3:1(<<:5;33`1=O98>976sm14;`>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3hii6*>0e0951e63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:94l51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>gda3-;;h?4>4b38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg721h0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895bb28 46c:3;?o<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb07:=?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0io<5+11f1>42d92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=877:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6=0=1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:ca0?!77l;0:8n?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c36=3<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1nn:4$02g6?73k81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn<;65;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:<>>6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568ag3=#99n96?:j1:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;?=:7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568ag2=#99n96?:j1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7ll8:&24a4=:=o:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=57g95?0=83:p(N6::i0D7::1e9m57302ki27)??d3810`715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:k4>:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459ffg<,8:o>7<;e09j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f73083;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4mcc9'55b52;>n=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2452>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3hho6*>0e0961c63`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th99:<51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>gec3-;;h?4=4d38m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg42?:0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895bbg8 46c:38?i<5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb3740?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0iok5+11f1>72b92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>89::085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5=?21=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:cf2?!77l;098h?4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c062<<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1ni<4$02g6?43m81b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?;9a;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:<64:&:57<3=8n0b<<:7;`g0>"68m81>9k>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`113e=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6oj:;%33`7<5l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<:6e82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=jm<0(<>k2;07a4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;?;;7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895be:8 46c:38?i<5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5=9o1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459fa?<,8:o>7<;e09j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=52a95?5=83:p(429'57322888m6*6138714b;7lka:&24a4=:=o:7d:97k8d:9~f737n3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;`gf>"68m81>9k>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?;h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1nim4$02g6?43m81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895bef8 46c:38?i<5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th99>k51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3hoi6*>0e0961c63`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7lkf:&24a4=:=o:7d:0`a8?jd?j3:1(<<:5;g4`>=zj;?8j7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=jl:0(<>k2;07a4=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=50095?2=83:p(N6::i0D<0(4?=:572`>h6:<=1nh?4$02g6?43m81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5==:1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?;>3;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3hn?6*>0e0961c63`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f73393;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459f`2<,8:o>7<;e09j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th99<:51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=jl?0(<>k2;07a4=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>9k>;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;?:97?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895bd:8 46c:38?i<5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5=8<1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459f`?<,8:o>7<;e09j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=55695?5=83:p(429'57322888m6*6138714b;7lja:&24a4=:=o:7d:97k8d:9~f73713;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;`ff>"68m81>9k>;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?;<6;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1nhm4$02g6?43m81b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895bdf8 46c:38?i<5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th99>951;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3hni6*>0e0961c63`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7ljf:&24a4=:=o:7d:0`a8?jd?j3:1(<<:5;g4`>=zj;?847?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=jo:0(<>k2;07a4=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=51a95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1nk?4$02g6?43m81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5=:31=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?;?d;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3hm?6*>0e0961c63`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f734i3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459fc2<,8:o>7<;e09j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th99>l51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3hm96*>0e0961c63`>8n7>5$0061?>fj21b512d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1nk84$02g6?42k91b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c06a3<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1nk94$02g6?42k91b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?;j7;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:64:&:57<3=8n0b<<:7;`e=>"68m81>8m?;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`11`?=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6ohn;%33`7<5=j:0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<:ce82>1<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0ijo5+11f1>73d82c??o4?:%31100`:94?"6:0:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>g`d3-;;h?4=5b28m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>8mi:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302klo7)??d3811f6=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a60b?28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568ab`=#99n96?;l0:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=h=4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c06`<<62:0;6=u+101e>444j2B:>>m4H0377>"6:4i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31103<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=k980(<>k2;0734=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;>257?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568`46=#99n96?:81:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7m?4:&24a4=:==:7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=48`95?0=83:p(N6::i0D7::1e9m57302j:>7)??d38102715a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c07<=<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:=2;6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>f6?3-;;h?4=4638m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm25::>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4l089'55b52;><=6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6n>m;%33`7<5<>;0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a61>e28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568`4f=#99n96?:81:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459g5b<,8:o>7<;659j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f72f:3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4l0d9'55b52;>=86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm25c2>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3i;j6*>0e0961033`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th98il51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>9j7:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?:k7;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=k880(<>k2;07g3=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo<;d782>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302j;87)??d3810f015a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=4e795?>=83:p(N6::i0D<2.2=?4;50f8j442?3i:86*>0e0961e13`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0h=85+11f1>721<2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb37a3?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0h=;5+11f1>730>2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>8l7:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5=k31=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:b3;?!77l;099:84i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c06fd<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1o<74$02g6?42??1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?;mb;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:ei3>0D<>64:&:57<3=8n0b<<:7;a2f>"68m81>899;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`11gb=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6n?l;%33`7<5=><0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<:bd82>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=k8n0(<>k2;0633=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;?j;7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568`5`=#99n96?;86:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7m>f:&24a4=:<==7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=5`;95?0=83:p(N6::i0D7::1e9m57302j8;7)??d38112015a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===ml4>:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459g77<,8:o>7<:779j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f73fj3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4l239'55b52;?<:6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm24c`>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3i9?6*>0e0960113`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th99lj51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>f433-;;h?4=5648m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg42il0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895c378 46c:38>;;5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb37:`?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1o?84$02g6?42??1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c062c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6n<8;%33`7<5=><0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7m=8:&24a4=:<==7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:d28036=4?{%327c<0m?1C=?=l;I3206=#0kk186*>247955d73A;;595+9009007c3g;99:4l289'55b52;?<:6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f73?l3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895c3c8 46c:38>;;5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg42jo0:654?:1y'545a2>o27E?=3b9K54243-2im7l6;%3110<68k:0(4?=:572`>h6:<=1o?l4$02g6?42??1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e:8?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>k4;29 442=3;;h95G1061?>{e:=hn6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;a1g>"68m81>98;;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`10g`=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6n0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<;c182>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=k;o0(<>k2;0721=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;>h=7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568`6c=#99n96?:94:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7m<0:&24a4=:=8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=4b195?0=83:p(N6::i0D7::1e9m57302j9:7)??d38103215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459g64<,8:o>7<;659j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f72d=3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4l329'55b52;>=86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm25c`>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3i886*>0e0961033`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th98lj51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>f523-;;h?4=4768m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg43il0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895c248 46c:38?:95f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb36bb?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0h?:5+11f1>721<2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>9l?:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd522a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:b1:?!77l;098;:4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c07f7<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1o>o4$02g6?43>=1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?:m3;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e::l86<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568`7f=#99n96?=i0:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;>;n7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568`7a=#99n96?=i0:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7m8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=41;95?0=83:p(N6::i0D7::1e9m57302j9m7)??d3817c615a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>2459g16<,8:o>7<8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f727?3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4l409'55b52;9m<6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2525>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3i?>6*>0e0966`73`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th98<=51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>f243-;;h?4=3g28m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg439;0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895c568 46c:388j=5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb3625?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0h885+11f1>75a82c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>9??:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?92.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5<9l1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:b64?!77l;09?k>4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c074`<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1o964$02g6?44n91b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?:?d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:=:h6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;a7e>"68m81>>h?;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`1053=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6n:m;%33`7<5;o:0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<;0582>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=k=i0(<>k2;00b5=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;>=?7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459g1b<,8:o>7<;179j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>f2b3-;;h?4=4048m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th98;?51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>98?:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?:;9;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=k<;0(<>k2;0753=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo<;4982>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302j?97)??d38104015a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=45595?>=83:p(N6::i0D<2.2=?4;50f8j442?3i>?6*>0e0961713`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0h995+11f1>726>2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3613?7=l381hv*>12d955>43A;9?n5G1060?!>ei3<0(4??:572g>N680>0(4?=:572`>h6:<=1o8;4$02g6?439?1b>?750;9j67b=831b>>950;9j617=831b84k50;9j35g=831b;=l50;9j35e=831b;=j50;9j54362900e>i0800;66l>25;94?>=83:p(<<:5;33<<=#989m6<<;9:&:57<3=8n0(5ln:79m57302j?=7)??d3810406753g;99>4?;:k051<72-;9984<139m57342810e>?::18'57322:;97c?=5281?>o49?0;6)?=548057=i9;?86>54i9ca>5<#9;?>65om;:k77c<72-;9984;3g98m472:3:1(<<:5;605>=hm>n1<7*>2479a2b<3th:>9650;a94?6|,88>97??899'545a288?46*6138714b<,1hj6;5a1374>f303-;;h?4=4048m460n3:1(<<:5;333`=i9;?86=54i02;4?6=,88>97??7d9m57342810e<>71;29 442=3;;;h5a1370>7=7>5$0061?77?l1e=?;<:298m473l3:1(<<:5;320f=i9;?86=54i037a?6=,88>97?>4b9m57342810e7=<7>5$0061?76o3;o0;6)?=54877c=>7>5$0061?24921di:j50;&2603=m>n07p};9d83>6}Y<0o01<<;9;:bf>;6:=214ll4}r3217<72:qU=<;=;<310<<69<801<<;8;3217=z{8;>=7>53z\2507<588?57:=<:l0q~<=9;297~X5:016=?:6:230?875<10:=9j4}r01`?6=;rT9>i52136:>67334;9854>15g8yv44?3:1?vP=369>572>2:;>70?=498251`53z\104=:9;>26>?9;<310=<69<:0q~9?a;296~X08h16=?:7:024b>{t?9h1<74}r53g?6=:rT<46?92wx;=j50;0xZ26c34;9854>0908yv77l90;6?uQ11f3?875<00n;i5rs62:>5<5sW=;563>25:9a2b5+8cc92>">990?9">9;0?9m3:17d9?a;29?l17j3:17d9?c;29?l17l3:17d?>5083>>o69<81<7`>12595>=h99n;6=44o62:>5<58;294~"6:"?jh0=7c?=568`1<=#99n96?:>6:k056<72-;9984<139m57342910e>?;:18'57322:;97c?=5282?>o49<0;6)?=548057=i9;?86?54i235>5<#9;?>6>?=;o3116<432c3mo4?:%311014094?"6:?4;ng4`?6=,88>97k8d:9~f44303:1o7>50z&2603=99237)?>3g8261><,0;969;>d:&;fd<13g;99:4l5`9'55b52;>::6g>06d94?"6:50;&2603=99=n7c?=5282?>o681;1<7*>2479551b3g;99>4=;:k24=4=83.:>8;5115f?k75=:0876g>15f94?"6:h7c?=5282?>o69=l1<7*>2479542d3g;99>4=;:k2506=83.:>8;5106`?k75=:0876g7ac83>!75=<03mo54i51e>5<#9;?>69=i;:k2504=83.:>8;54238?jc0l3:1(<<:5;g4`>=z{=3n6=4<{_6:a>;6:=314ll4=007fj2wx=<;=:180[76=;16=?:6:0366>;6:=21=<;=;|q2507=839pR{t:;31<7=t^30:?875<008=>52136;>473l2wx>?j50;1xZ74c34;9844<159>572?28;?i6s|22594?5|V;9<70?=488050=:9;>36;<310<<49?16=?:7:0364>{t?9k1<746?82wx;=m50;0xZ26d34;9854>0938yv17l3:1>vP80e9>572?28:3>6s|11f3>5<5sW;;h=52136:>`1c3ty<<44?:3y]35?<588?47k8d:~f72503;1h7<5dz&256`=99287E?=3b9K54243-2im784$833>136k2B:<4:4$831>136l2d:>895c4`8 46c:38?=;5f23;94?=n:;n1<75f22594?=n:=;1<75f48g94?=n?9k1<75f71`94?=n?9i1<75f71f94?=n98?:6=44i0366?6=f8;8;7?4;n33`5<722e<<44?::`261?=8321<7>t$0061?77001/=<=i:007=>">9;0?93=i9;?<6n;l;%33`7<5<8<0e>?<:18'57322:;97c?=5283?>o49=0;6)?=548057=i9;?86<54i236>5<#9;?>6>?=;o3116<532c8=;4?:%3110<49;1e=?;<:298m=ge290/=?;::9ca?>o3;o0;6)?=54877c=>7>5$0061?24921di:j50;&2603=m>n07pl>25:94?e=83:p(<<:5;33<==#989m6<<;8:&:57<3=8n0(5ln:79m57302j?o7)??d3810405$0061?77?l1e=?;<:198m46?83:1(<<:5;333`=i9;?86<54i02;5?6=,88>97??7d9m57342;10e<>72;29 442=3;;;h5a1370>6=5$0061?7697?>4b9m57342;10e6==ge32c??k4?:%3110<3;o10e8=65`e6f94?"6:fj27:>9658``8yv76=;0;6>uQ1071?875<00:=8<4=007;6:=218>h4}r01=?6=;rT9>452136:>67434;9854>15f8yv45l3:1?vP=2e9>572>2:;?70?=498251c53z\172=:9;>26>?:;<310=<69=l0q~<;1;297~X5<816=?:6:235?875<10:=8>4}r53e?6=:rT<460n2wx;=l50;0xZ26e34;9854>0928yv17k3:1>vP80b9>572?28:3=6s|71f94?4|V>:o70?=49824=42909wS9?9:?261>=m>n0qpl=43795?b=:3np(429'2.2==4;50a8L46><2.2=?4;50f8j442?3i>i6*>0e0961713`8957>5;h01`?6=3`88;7>5;h075?6=3`>2i7>5;h53e?6=3`=;n7>5;h53g?6=3`=;h7>5;h3214<722c:=8<50;l2561=921d==j?:188k26>2900n<<;9;298;511::?!76;o0:>974$831>136l2.3nl49;o3112o49:0;6)?=548057=i9;?86=54i237>5<#9;?>6>?=;o3116<632c8=84?:%3110<49;1e=?;<:398m671290/=?;::231?k75=:0876g7ac83>!75=<03mo54i51e>5<#9;?>69=i;:k2504=83.:>8;54238?jc0l3:1(<<:5;g4`>=zj88?47>5c;294~"6:"?jh0=7c?=568`25=#99n96?:>6:k242`=83.:>8;5115f?k75=:0;76g>09294?"6:o68181<7*>2479551b3g;99>4<;:k251b=83.:>8;5106`?k75=:0;76g>15g94?"6:h7c?=5281?>o69<:1<7*>2479542d3g;99>4<;:k;eg<72-;99847ac98m15a290/=?;::51e?>o69<81<7*>2479067<3fo5$0061?c0l21v97j:180[2>m27:>9758``89443032jn6s|1071>5<4sW;:9?52136:>472:27:>9651071?xu69<;1<7=t^0365>;6:=318>h4=007?750;1xZ74>34;9844<129>572?28;?h6s|23f94?5|V;8o70?=488051=:9;>36{t:=;1<7=t^362?875<008=;52136;>47282wx;=o50;0xZ26f34;9854>06d8yv17j3:1>vP80c9>572?28:3<6s|71a94?4|V>:h70?=49824=752z\44a=:9;>36<>72:p55b72909wS??d19>572>2l=o7p}80883>7}Y?9301<<;8;g4`>{zj;>987?5d;09`~"69:l1==6<;I317f=O98>87)6ma;48 <772=?:o6F>0868 <752=?:h6`>2459g37<,8:o>7<;179j67?=831b>?j50;9j661=831b>9?50;9j0:188m472:3:1b>d6:=31<7650;2x 442=3;;445+101e>44312.2=?4;50f8 =df2?1e=?;8:b41?!77l;098<84i230>5<#9;?>6>?=;o3116<732c8=94?:%3110<49;1e=?;<:098m672290/=?;::231?k75=:0976g<1783>!75=<08=?5a1370>6==ge32c??k4?:%3110<3;o10e8=65`e6f94?"6:=83i1<7>t$0061?77011/=<=i:007<>">9;0?93=i9;?<6n8<;%33`7<5<8<0e<>8f;29 442=3;;;h5a1370>5=5$0061?77?l1e=?;<:098m46?93:1(<<:5;333`=i9;?86?54i02;6?6=,88>97??7d9m57342:10e5=5$0061?7697?>4b9m57342:10e5om:18'573221ki76g;3g83>!75=<0??k54i0366?6=,88>97:<1:9la2b=83.:>8;5e6f8?xu31l0;6>uQ48g89443132jn63>25:91408944303;:9?5rs0365?6=;rT:=8?4=007=?24n27:>96542d8yv4513:1?vP=289>572>2:;870?=498251b53z\16a=:9;>26>?;;<310=<69=o0q~<<7;297~X5;>16=?:6:236?875<10:=9h4}r075?6=;rT98<52136:>67134;9854>1428yv17i3:1>vP80`9>572?28::i70?=49824=652z\44f=:9;>36<>71:p35b=838pR:>k;<310=<68180q~??d183>7}Y99n;70?=488f3a=z{>:26=4={_53=>;6:=21i:j4}|`1075=93n1>7jt$030b?770:1C=?=l;I3206=#0kk1:6*6118714e<@8:286*6138714b;7m94:&24a4=:=;=7d<=9;29?l45l3:17d<<7;29?l4393:17d:6e;29?l17i3:17d9?b;29?l17k3:17d9?d;29?l76=80;66g>14094?h69:=1=65`11f3>5<:26=44b007=?6=03:1247955>>3-;:?k4>25;8 <752=?:h6*7b`85?k75=>0h:85+11f1>726>2c8=>4?:%3110<49;1e=?;<:198m673290/=?;::231?k75=:0:76g<1483>!75=<08=?5a1370>7=6753g;99>4<;:k;eg<72-;99847ac98m15a290/=?;::51e?>o69<81<7*>2479067<3fo5$0061?c0l21vn<<;8;29g?6=8r.:>8;511:;?!76;o0:>964$831>136l2.3nl49;o3112?1/==j=:3622>o68>l1<7*>2479551b3g;99>4?;:k24=6=83.:>8;5115f?k75=:0:76g>09394?"6:o69=n1<7*>2479542d3g;99>4?;:k251c=83.:>8;5106`?k75=:0:76g>15d94?"6:50;&2603=98>h7c?=5280?>o?ik0;6)?=548;eg=15a32c:=8<50;&2603=<:;07bk8d;29 442=3o5<4sW>2i63>25;9539>572>28;>>63>25:954353ty:=8?50;1xZ472927:>97542d8944303>8j6s|23;94?5|V;8270?=488056=:9;>36{t::=1<7=t^314?875<008=852136;>473n2wx>9?50;1xZ72634;9844<179>572?28;><6s|71c94?4|V>:j70?=498242`52z\44g=:9;>36<>70:p35e=838pR:>l;<310=<681;0q~9?d;296~X08m16=?:7:02;6>{t99n;6=4={_33`5=:9;>26h9k;|q44<<72;qU;=74=007h511:0?M75;j1C=<:<;%:ae?0<,0;;69;>c:J24<2<,0;969;>d:l2601=k?=0(<>k2;0753=n:;31<75f23f94?=n::=1<75f25394?=n<0o1<75f71c94?=n?9h1<75f71a94?=n?9n1<75f1072>5<>7>5n0303?7<3f;;h=4?::m44<<722h:>9750;:94?6|,88>97??889'545a288?56*6138714b<,1hj6;5a1374>f0?3-;;h?4=4048m674290/=?;::231?k75=:0;76g<1583>!75=<08=?5a1370>4=6=4+1376>6753g;99>4=;:k053<72-;9984<139m57342:10e5om:18'573221ki76g;3g83>!75=<0??k54i0366?6=,88>97:<1:9la2b=83.:>8;5e6f8?xd6:=21<7m50;2x 442=3;;455+101e>44302.2=?4;50f8 =df2?1e=?;8:b4:?!77l;098<84i024b?6=,88>97??7d9m57342910e<>70;29 442=3;;;h5a1370>4=5$0061?77?l1e=?;<:398m46?:3:1(<<:5;333`=i9;?86>54i037`?6=,88>97?>4b9m57342910e4=5$0061?7654i9ca>5<#9;?>65om;:k77c<72-;9984;3g98m472:3:1(<<:5;605>=hm>n1<7*>2479a2b<3ty?5h4?:2y]0=0hh0q~?>5383>6}Y98?970?=4882504<588?47?>539~w47293:1?vP>1438944313>8j63>25:906`53z\16<=:9;>26>?<;<310=<69=n0q~<=d;297~X5:m16=?:6:237?875<10:=9k4}r003?6=;rT9?:52136:>67234;9854>15d8yv4393:1?vP=409>572>2:;=70?=498250652z\44d=:9;>36<>8f:p35d=838pR:>m;<310=<681:0q~9?c;296~X08j16=?:7:02;5>{t?9n1<76:181[17127:>965e6f8yxd5<;;1=7j52;fx 474n3;;4>5G131`?M76<:1/4oo56:&:55<3=8i0D<>64:&:57<3=8n0b<<:7;a5e>"68m81>9?9;h01=?6=3`89h7>5;h003?6=3`8?=7>5;h6:a?6=3`=;m7>5;h53f?6=3`=;o7>5;h53`?6=3`;:9<4?::k2504=83d:=>951:9l55b72900c:>6:188f44313:147>50z&2603=99227)?>3g8261?<,0;969;>d:&;fd<13g;99:4l6c9'55b52;>::6g<1283>!75=<08=?5a1370>5=6753g;99>4>;:k050<72-;9984<139m57342;10e>?9:18'57322:;97c?=5280?>o?ik0;6)?=548;eg=15a32c:=8<50;&2603=<:;07bk8d;29 442=3o247955>?3-;:?k4>25:8 <752=?:h6*7b`85?k75=>0h:n5+11f1>726>2c:<:h50;&2603=99=n7c?=5283?>o681:1<7*>2479551b3g;99>4>;:k24=7=83.:>8;5115f?k75=:0976g>09094?"6:h7c?=5283?>o69=o1<7*>2479542d3g;99>4>;:k251`=83.:>8;5106`?k75=:0976g>14294?"6:14094?"6:?4;ng4`?6=,88>97k8d:9~w1?b2908wS:6e:?261?=0hh01<<;8;:bf>{t98?96=4<{_3217=:9;>26=98?97p}>14394?5|V8;>=63>25;906`<588?47:{t:;n1<7=t^30g?875<008=952136;>473m2wx>>950;1xZ75034;9844<149>572?28;?j6s|25394?5|V;>:70?=488053=:9;>36n;<310=<68>l0q~9?b;296~X08k16=?:7:02;4>{t?9i1<746?:2wx==j?:181[77l916=?:6:d5g?xu0800;6?uQ71;8944303o3:112d93ag<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0h:i5+11f1>726>2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>9;7:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd5<<=1=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:b4e?!77l;098<84i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0713<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1o:>4$02g6?439?1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn?::5;392?6=8r.:=>h57ec8L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:=??6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;a46>"68m81>9?9;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`1005=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6n9<;%33`7<5<8<0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo<;5382>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=k>>0(<>k2;0753=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;>857?56;294~"69:l1;io4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568`30=#99n96?:>6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:4280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:b55?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>;6m:086>5<7s-;:?k461e9K575d3A;:8>5+fdd960858 <752=?:h6*7b`815g=#no:1>4m=;o31121/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c05=7<62<0;6=u+101e><7c3A;9?n5G1060?!`bn382o?5+1376>46>?2.2=?4;50f8 =df2hk0(kh?:3;`6>h6:<=1o:64$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd5>181=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459g2?<,8:o>7<:e`9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=69c95?3=83:p(N6::i0D0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f70>93;197>50z&256`=18n0D<<2;665a=#0kk1ml5+fg296!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c05<<<62<0;6=u+101e><7c3A;9?n5G1060?!`bn382o=5+1376>46>?2.2=?4;50f8 =df2;;i7)hi0;0:g5=i9;?<6n9k;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:?3;6<4::183!76;o02=i5G131`?M76<:1/jhh528a3?!75=<0:<494$831>136l2.3nl4na:&eb5<51j:0b<<:7;a4a>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn?870;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3i0e0960cf3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f70?03;197>50z&256`=18n0D<<2;665a=#0kk1>7?en2d:>895c928 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9:5h51;794?6|,8;8j77>d:J266e<@8;??6*ieg81=g`<,88>97??969'=44=<<;o7)6ma;cb?!`a8382nk5a1374>f>63-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm275e>4<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6n6=;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:?2<6<4::183!76;o02=i5G131`?M76<:1/jhh528`f?!75=<0:<494$831>136l2.3nl4=1c9'bc6=:0hn7c?=568`<6=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?4>jl1/=?;::02:3>">9;0?9dg<,ol;6?7me:l2601=k1>0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895c978 46c:38>il5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9:5851;794?6|,8;8j77>d:J266e<@8;??6*ieg81=gb<,88>97??969'=44=<<;o7)6ma;02f>"an9095oj4n0063?e?>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca2;3ih6*>247955?03-3:>7::1e9'528`g?k75=>0h4:5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:mao68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?4>jj1/=?;::02:3>">9;0?977e3-lm<7<6bb9m57302j227)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a63>d280>6=4?{%327c<>9m1C=?=l;I3206=#nll1>4ll;%3110<680=0(4?=:572`>"?jh0jm6*if181=ge;7m7a:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj;N69=90(<<:5;;42>">9;0?9=n247912d9=4b<@888o6F>1518 cca2;3in6*>247955?03-3:>7::1e9'h6:<=1o5m4$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd5>j81=7;50;2x 474n33:h6F>22a8L473;2.mik4=9c`8 442=3;;5:5+9009007c3-2im7on;%de4?4>jk1e=?;8:b:g?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi>;l;:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302j2n7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a63dd280>6=4?{%327c<>9m1C=?=l;I3206=#nll1>4ln;%3110<680=0(4?=:572`>"?jh09=o5+fg296!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;0:fd=#9;?>6<>67:&:57<3=8n0(5ln:`c8 c`72;3im6`>2459g<6<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=6c195?2=83:p(N6::i0D<0(4?=:572`>h6:<=1o4?4$02g6?42mh1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5>kh1=7;50;2x 474n33:h6F>22a8L473;2.mik4=9c;8 442=3;;5:5+9009007c3-2im7<>b:&eb5<51k30b<<:7;a:6>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn?8l0;391?6=8r.:=>h590f8L444k2B:=9=4$gge>7?e12.:>8;511;4?!?6:3>>=i5+8cc9ed=#no:1>4l6;o3112o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c05f7<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4l959'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;0:f==#9;?>6<>67:&:57<3=8n0(5ln:33a?!`a8382n55a1374>f?23-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm27`e>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=:0h37)?=54824<1<,0;969;>d:&;fd0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f70e93;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;a:3>"68m81>8kn;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?8m9;391?6=8r.:=>h590f8L444k2B:=9=4$gge>7?e?2.:>8;511;4?!?6:3>>=i5+8cc964d<,ol;6?7m7:l2601=k020(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo095o94$0061?771>1/5<<5443g?!>ei3kj7)hi0;0:f2=i9;?<6n76;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:?k=6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>f?f3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm27ca>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=:0h=7)?=54824<1<,0;969;>d:&;fd<59k1/jk>528`5?k75=>0h5o5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma7?e>2d:>895c8a8 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9:l;51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=k0n0(<>k2;06ad=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo095o;4$0061?771>1/5<<5443g?!>ei38:n6*if181=g3;7m6e:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj;N69=90(kki:3;a1>"6:7c?=568`=c=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0hm=5+11f1>73bi2c??o4?:%31100`:94?"6:j=1e=?;8:bc2?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi>;oj:086>5<7s-;:?k461e9K575d3A;:8>5+fdd960858 <752=?:h6*7b`8be>"an9095o:4n0063?ef:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=21<@888o6F>1518 442=33<:6*6138714b;7mn3:&24a4=:0`a8?jd?j3:1(<<:5;g4`>=zj;N69=90(kki:3;a7>"6:2459gd2<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=6`f95?3=83:p(N6::i0D7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a63g5280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:bc5?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>;o8:086>5<7s-;:?k461e9K575d3A;:8>5+fdd960858 <752=?:h6*7b`815g=#no:1>4l=;o31121/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c05ef<62<0;6=u+101e><7c3A;9?n5G1060?!`bn382n?5+1376>46>?2.2=?4;50f8 =df2hk0(kh?:3;a6>h6:<=1ol64$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd5>0=1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459gd?<,8:o>7<:e`9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=68a95?3=83:p(N6::i0D0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f70f93;197>50z&256`=18n0D<<2;665a=#0kk1ml5+fg296!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c05=g<62<0;6=u+101e><7c3A;9?n5G1060?!`bn382n=5+1376>46>?2.2=?4;50f8 =df2;;i7)hi0;0:f5=i9;?<6nok;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:?k;6<4::183!76;o02=i5G131`?M76<:1/jhh528`3?!75=<0:<494$831>136l2.3nl4na:&eb5<51k:0b<<:7;aba>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn?865;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3ijj6*>0e0960cf3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f70>i3;197>50z&256`=18n0D<<2;665a=#0kk1>7?fn2d:>895cc28 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9:4h51;794?6|,8;8j77>d:J266e<@8;??6*ieg81=d`<,88>97??969'=44=<<;o7)6ma;cb?!`a8382mk5a1374>fd63-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm27;7>4<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6nl=;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:?326<4::183!76;o02=i5G131`?M76<:1/jhh528cf?!75=<0:<494$831>136l2.3nl4=1c9'bc6=:0kn7c?=568`f6=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?4>il1/=?;::02:3>">9;0?9dg<,ol;6?7ne:l2601=kk>0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895cc78 46c:38>il5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9:4651;794?6|,8;8j77>d:J266e<@8;??6*ieg81=db<,88>97??969'=44=<<;o7)6ma;02f>"an9095lj4n0063?ee>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca2;3jh6*>247955?03-3:>7::1e9'528cg?k75=>0hn:5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma:0:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>fd?3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>:8=:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0hn45+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c040g<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4lb`9'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302jhi7)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6nll;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0hnh5+11f1>73bi2c??o4?:%31100`:94?"6:k0:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>fda3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>:;6:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302ji;7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a620f28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568`g4=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c042<<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:>?>6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>fe33-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm264;>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4lc49'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6nm8;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6236280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:ba;?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>:89:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0ho45+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c040c<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4lc`9'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302jii7)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6nml;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:><:6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0hoh5+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>fea3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>:=j:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0hh=5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0462<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4ld09'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302jn97)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6nj<;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:>>36<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0hh85+11f1>73bi2c??o4?:%31100`:94?"6:0:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>fb13-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>:=::087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302jn<7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a622128086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568``==#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0400<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:>9:6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>fbe3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm2667>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4ldb9'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6njj;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a624c280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:bfe?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>::=:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0hi=5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c046g<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4le09'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302jo97)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6nk<;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:>9o6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0hi85+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>fc13-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>;kk:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0hi:5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c05`7<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4le99'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302jo27)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6nkn;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:?oi6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0hin5+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>fcc3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>;ml:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302jon7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a63c>28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568`ac=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c05b=<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:?o?6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>f`53-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm27d4>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4lf29'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6nh:;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a63c7280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:bd5?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>;h::080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0hj:5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c05``<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4lf99'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302jl27)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6nhn;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:?l86<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0hjn5+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>f`c3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>;j7:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302jln7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a63`628086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568`bc=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c05b5<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:?n?6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>a653-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm27g;>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k029'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i>:;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a627f28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g43=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o31121/==j=:37fe>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0460<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:>;>6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>a6>3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm2607>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k0`9'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i>l;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6276280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e2g?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>:<=:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0o73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c044c<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4k0g9'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=<4>:283>5}#989m6<<2479575f3-3:>7::1e9m57302m;;7)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6i?>;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:>8;6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0o=>5+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a733-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>:>6:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m;>7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a627b28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g53=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o31121/==j=:37fe>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c045a<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:>:>6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>a7>3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm263:>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k1`9'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i?l;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a631f28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g5a=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c053<<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:?<<6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>a473-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm2752>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i<=;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6301280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e00?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>;9?:080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28kn7c?=568g61=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302m8>7)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6i<9;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:?">9;0?94gb3g;99:4k269'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a4?3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>;8;:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m827)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a630b28086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0cf?k75=>0o>l5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c0530<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:?<86<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>a4d3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm274g>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i!75=<03mo54oc:a>5<#9;?>6h9k;:a6305280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e0e?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>;8l:080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28kn7c?=568g75=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>ie0k0;6)?=548f3a=4>:283>5}#989m6<<2479575f3-3:>7::1e9m57302m9:7)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6i==;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:?">9;0?94gb3g;99:4k329'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a533-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>;8?:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m9>7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a630f28086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0cf?k75=>0o?;5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c0;g0<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:1i?6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0o?45+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a5f3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>5ol:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m9i7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6=ec28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g7f=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0;gf<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:1kj6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>a5a3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm29aa>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k419'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i:=;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=g?280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e60?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>5m6:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0o895+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;e2<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4k449'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302m>=7)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6i:8;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:1i<6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0o845+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a2f3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>5o;:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m>i7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6=e428086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g0f=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0;1<<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:1?36<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0o9=5+11f1>73bi2c??o4?:%31100`:94?"6:;0:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a363-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>5:?:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m?97)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6=0628086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g16=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0;25<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:19n6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>a313-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm297e>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k569'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i;6;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=5d280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e7b?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>5;k:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0o9o5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;7g<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4k5b9'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302m?o7)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6i;j;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:1?i6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0o:=5+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a063-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>5=7:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m<97)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6=3028086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g26=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112=1/==j=:37fe>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0;=2<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:13=6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112?1/==j=:37fe>o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0o::5+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a0?3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>587:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m<27)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6=?328086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g2d=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112k1/==j=:37fe>o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0;=6<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:1<=6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>a0c3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm29;1>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k6d9'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:ma<0:694?:1y'545a20=<7E?=3b9K54243-;99846779'=44=<<;o7c?=568g2c=#99n96?;ja:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i9?;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=15280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e52?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>57i:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0o;?5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;34<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4k729'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302m=?7)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6i9:;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:13o6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0o;:5+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a1?3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>58j:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m=27)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6=?e28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g3d=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0;=d<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:1>=i5a1374>a1c3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm29;:>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k7d9'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mak0:694?:1y'545a20=<7E?=3b9K54243-;99846779'=44=<<;o7c?=568g3c=#99n96?;ja:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i6?;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=0f280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e:2?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>57>:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0o4?5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;26<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4k829'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=o4>:283>5}#989m6<<2479575f3-3:>7::1e9m57302m2?7)??d3811`g=ge32c2=<4?:%31104<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k849'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i68;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=75280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e:;?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>5=<:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0o445+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;54<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4k8`9'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302m2i7)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6i6l;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:19:6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0o4h5+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>a>a3-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>5>j:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302m3;7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6=4a28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g=4=#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0;6`<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:1:h6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>a?33-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm290g>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k949'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i78;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=6f280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e;;?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>5<6:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0o545+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;42<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4k9`9'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302m3i7)??d3811`g=ge32c2=<4?:%31104<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4k9b9'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:ma0:694?:1y'545a20=<7E?=3b9K54243-;99846779'=44=<<;o7c?=568g=a=#99n96?;ja:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6i7j;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=c2280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e;e?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>4:>:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0om=5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;a1<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4ka09'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302mk97)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6io<;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:09m6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0om85+11f1>73bi2c??o4?:%31100`:94?"6:;l0:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>ag13-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>5k>:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302mk<7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6<5c28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568ge==#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0:7f<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:1nm6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>age3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm281a>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4kab9'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6ioj;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=bc280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:ece?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>4=6:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0on=5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;`f<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4kb09'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302mh97)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6il<;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:09=6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0on85+11f1>73bi2c??o4?:%31100`:94?"6:;<0:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>ad13-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>5j7:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302mh<7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6<5328086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568gf==#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0:76<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:1n=6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>ade3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm2811>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4kbb9'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6ilj;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=b3280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:e`e?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>4=?:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0oo=5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;`6<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4kc09'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=k4>:283>5}#989m6<<2479575f3-3:>7::1e9m57302mi97)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6im<;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:08n6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0oo85+11f1>73bi2c??o4?:%31100`:94?"6:4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>ae13-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>5kj:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302mi<7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6<2e28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568gg==#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0:0d<62:0;6=u+101e>444j2B:>>m4H0377>"6:5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:1oh6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>aee3-;;h?4=5dc8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm286:>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4kcb9'55b52;?nm6g;3c83>!75=<03mo54i832>5<#9;?>65om;:mao68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6imj;%33`7<5=lk0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6=cf280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:eae?!77l;099ho4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>4:8:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0oh=5+11f1>73bi2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0;a<<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4kd09'55b52;?nm6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302mn97)??d3811`g=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6ij<;%33`7<5=lk0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:0>86<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0oh85+11f1>73bi2c??o4?:%31100`:94?"6:;10:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>ab13-;;h?4=5dc8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>5jm:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m57302mn<7)??d3811`g=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6<4c28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=568g`==#99n96?;ja:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c04a6<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl461:l2601=lmk0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd5?l21=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9=4=i9;?<6ijm;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>:k6:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df20;0b<<:7;fgg>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4b<@888o6F>1518 442=3;;5:5+9009007c3-2im76?2:l2601=lmn0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:>oj6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9<7;7jkf:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?9jb;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei33:7c?=568ga5=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c04b6<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47039m57302mo:7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a62c2280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:838j442?3nn>6*>0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;=no7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae??63g;99:4ke29'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh02=6`>2459``2<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f71b>3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;;2?k75=>0oi;5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<>92d:>895dd58 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=7dd95?1=83:p(N6::i0D2;665a=#0kk15<5a1374>ac?3-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a62`2280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:921?k75=>0oi45+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0:684?:1y'545a20;o7E?=3b9K54243-lnj7?=;%de4?74m=1e=?;8:egb?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi>:h?:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df289o7c?=568gag=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e:>l:6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9<7;7jjc:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?9i6;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45b<2.:>8;511;4?!?6:3>>=i5+8cc93a4<,ol;6<=j4:l2601=lln0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj;k>?7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459``c<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>aca3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9m8?51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>l;?:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?o;f;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=lo80(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302ml87)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=a4`95?>=83:p(N6::i0D<2.2=?4;50f8j442?3nm86*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0oj85+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3c6=?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1hk84$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0b1=<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6ih8;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7ji8:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4kf89'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7g2=3;147>50z&256`=?l<0D<<97??b19'136l2d:>895dgc8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4f==0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:eda?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5i=n1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;feg>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:h>h6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568gba=#99n96?;ja:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;i987?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459`cc<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==>4>:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>a`a3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9o?m51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>n5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?m=a;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=m980(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302l:87)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=c3:95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3o;86*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0n<85+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3a12?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1i=84$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0`60<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6h>8;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7k?8:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4j089'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7e7k3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895e1c8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4d8k0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:d2a?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5k8>1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;g3g>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:j;86<47:183!76;o0o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;i:>7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459a5c<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>`6a3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9o<>51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>n>i:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?m?e;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=m880(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302l;87)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=c1c95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3o:86*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0n=85+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3`e0?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1i<84$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0ab6<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6h?8;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7k>8:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4j189'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7dai3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895e0c8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4en00:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:d3a?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5jo21=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;g2g>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:kl<6<47:183!76;o0o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;hm:7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459a4c<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>`7a3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9nk<51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>oh>:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?o76;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=m;80(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302l887)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=a9695?>=83:p(N6::i0D<2.2=?4;50f8j442?3o986*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0n>85+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3c;6?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1i?84$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0b61<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1i?94$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?o=3;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:h896<49:183!76;o064:&:57<3=8n0b<<:7;g1=>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`1e4e=9321<7>t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7k=a:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4j2c9'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7g6i3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895e3a8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4f900:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:d0g?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5i821=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;g1a>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:h;<6<47:183!76;o0o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;k::7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459a66<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>`563-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9m<:51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>l?<:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?o?8;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:h:<6<49:183!76;o064:&:57<3=8n0b<<:7;g01>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`1e50=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6h=9;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=m:=0(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;k;87?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568f7==#99n96?;ja:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7k<9:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=a1095?0=83:p(N6::i0D7::1e9m57302l9j7)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459a6d<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f7g783;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4j3b9'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2`17>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3o8h6*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9m>=51;494?6|,8;8j79j1:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>`5b3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg4f;;0:6;4?:1y'545a2>o:7E?=3b9K54243-;99848f79'136l2d:>895e2d8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb3c05?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>0n8=5+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>l=?:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5i;l1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:d61?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0b6`<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1i9=4$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?o=d;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:j9>6<49:183!76;o064:&:57<3=8n0b<<:7;g71>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`1g62=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6h:9;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=m==0(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;h9?7?56;294~"69:l1;io4H000g>N69=90(5ln:c;8 442=3=m:6F>0868 <752=?:h6`>2459a1><,8:o>7<:e`9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f7d5:3;1:7>50z&256`=?mk0D<<2`13A;;595+9009007c3g;99:4j489'55b52;?nm6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm2c03>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3o?m6*>0e0960cf3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9n>=i5a1374>`2e3-;;h?4=5dc8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg4e9l0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895e5a8 46c:38>il5f3c594?"6:h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb3`2`?7=>3:112d93ag<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0n8i5+11f1>73bi2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>o?l:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd5j8h1=7850;2x 474n3=om6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:d6e?!77l;099ho4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0a5d<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1i8>4$02g6?42mh1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn?l>9;392?6=8r.:=>h57ec8L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:k;36<49:183!76;o064:&:57<3=8n0b<<:7;g66>"68m81>8kn;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`1f41=93<1<7>t$030b?1ci2B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6h;<;%33`7<5=lk0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=m<>0(<>k2;06ad=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;h:87?56;294~"69:l1;io4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568f10=#99n96?;ja:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7k:6:&24a4=:8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=b0095?0=83:p(N6::i0D7::1e9m57302l?<7)??d3811`g15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459a0><,8:o>7<:e`9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f7d683;1:7>50z&256`=?mk0D<<2`13A;;595+9009007c3g;99:4j589'55b52;?nm6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm2c2e>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3o>m6*>0e0960cf3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9n=k51;494?6|,8;8j79ka:J266e<@8;??6*7b`87?!75=<0>=i5a1374>`3e3-;;h?4=5dc8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg4e8m0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895e4a8 46c:38>il5f3c594?"6:h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb3`3g?7=>3:112d93ag<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0n9i5+11f1>73bi2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>o<6:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd5j;21=7850;2x 474n3=om6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:d7e?!77l;099ho4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0a62<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1i;>4$02g6?42mh1b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vn?l=6;392?6=8r.:=>h57ec8L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o311281/==j=:37fe>o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:k8>6<49:183!76;o064:&:57<3=8n0b<<:7;g56>"68m81>8kn;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`1f72=93<1<7>t$030b?1ci2B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6h8<;%33`7<5=lk0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=m?>0(<>k2;06ad=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;h::7?56;294~"69:l1;io4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568f20=#99n96?;ja:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7k96:&24a4=:8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=b1c95?0=83:p(N6::i0D7::1e9m57302l<<7)??d3811`g15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459a3><,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f7gf83;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4j689'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm2`;e>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3o=m6*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9m4k51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>`0e3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg4f1m0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895e7a8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb3c:g?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0n:i5+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>l7m:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd5ih31=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:d4e?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0be=<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1i:>4$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn?on7;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:hk=6<49:183!76;o064:&:57<3=8n0b<<:7;g46>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`1ed3=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6h9<;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=m>>0(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj;kj?7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568f30=#99n96?;ja:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7k86:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl=a8c95?0=83:p(N6::i0D7::1e9m57302l=<7)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459a2><,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f7g413;147>50z&256`=?l?0D<<97??b19'136l2d:>895e6;8 46c:38>il5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4f;10:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:d5b?!77l;099ho4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5j==1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;g4f>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:k>=6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568f3f=#99n96?;ja:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;h?97?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459a2b<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>`1b3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9n9=51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>o:=:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?l;1;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=m1;0(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302l297)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=b6;95?>=83:p(N6::i0D<2.2=?4;50f8j442?3o3?6*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0n495+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3`43?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1i5;4$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0a33<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6h69;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7k77:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4j899'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7d1n3;147>50z&256`=?l<0D<<97??b19'136l2d:>895e9;8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4e>l0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:d:b?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5j?n1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;g;f>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:k"?jh0?7E??959'=44=<<;o7c?=568fo31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;h=n7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459a=b<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>`>b3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9n;?51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>o8?:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?l:f;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=m0;0(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302l397)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=b4f95?>=83:p(N6::i0D<2.2=?4;50f8j442?3o2?6*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0n595+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3`6f?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1i4;4$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0a1d<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6h79;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7k67:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4j999'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7dcl3;147>50z&256`=?l<0D<<97??b19'136l2d:>895e8;8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4elj0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:d;b?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5jmh1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;g:f>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:kn>6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568f=f=#99n96?;ja:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;ho87?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459a7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==4>:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>`?b3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9ni<51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9n2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>oj>:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?l:1;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=mh;0(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302lk97)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=b5d95?>=83:p(N6::i0D<2.2=?4;50f8j442?3oj?6*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0nm95+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3`7`?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1il;4$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0afg<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6ho9;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7kn7:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:28036=4?{%327c<0m?1C=?=l;I3206=#9;?>6<>m0:&;fd<33A;;595+9009007c3g;99:4ja99'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7de03;147>50z&256`=?l<0D<<97??b19'136l2d:>895e`;8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4ej>0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:dcb?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5jk;1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;gbf>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:kh;6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568fef=#99n96?;ja:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;hjj7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459adb<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>`gb3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9nnl51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>omn:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?ll9;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=mk;0(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302lh97)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=bb595?>=83:p(N6::i0D<2.2=?4;50f8j442?3oi?6*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0nn95+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3``1?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1io;4$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0ag1<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6hl9;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7km7:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4jb99'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7d>?3;147>50z&256`=?l<0D<<97??b19'136l2d:>895ec;8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4e1?0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:d`b?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5j0;1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;gaf>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:k3;6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568fff=#99n96?;ja:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;h3j7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459agb<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>`db3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9n5j51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>o6l:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?l7b;39h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=mj;0(<>k2;06ad=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302li97)??d3811`g15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=b`;95?>=83:p(N6::i0D<2.2=?4;50f8j442?3oh?6*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0no95+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3`b3?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1in;4$02g6?42mh1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0ae3<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6hm9;%33`7<5=lk0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7kl7:&24a4=:8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4jc99'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7df;3;147>50z&256`=?l<0D<<97??b19'136l2d:>895eb;8 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4ei;0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:dab?!77l;099ho4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5j1;1=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;g`f>"68m81>8kn;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:k2;6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568fgf=#99n96?;ja:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;hN69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459afb<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>`eb3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9m:o51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>`ea3-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg4f;<0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:df3?!77l;099ho4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5k??1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?m;d;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3oo>6*>0e096f253`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f7e213;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1ii=4$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>n:=;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;i?o7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895ee48 46c:38h8?5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5k<<1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459aa1<,8:o>78;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=c7f95?5=83:p(429'57322888m6*6138714b;7kk8:&24a4=:j>97d:97k8d:9~f7e2=3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;gg=>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?m91;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459aag<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9o;m51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3oon6*>0e096f253`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7kkc:&24a4=:j>97d:0`a8?jd?j3:1(<<:5;g4`>=zj;i=<7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>895eef8 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd5k?h1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?m:3;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3ooj6*>0e096f253`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f7e2n3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1ih>4$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>n:=;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;i>>7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=ml90(<>k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=c7;95?5=83:p(429'57322888m6*6138714b;7kj4:&24a4=:j>97d:97k8d:9~f7e293;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;gf1>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?m:d;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459a`0<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9o;651;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3on;6*>0e096f253`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7kj8:&24a4=:j>97d:0`a8?jd?j3:1(<<:5;g4`>=zj;i>o7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>895ed;8 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd5k?=1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?m;f;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3onn6*>0e096f253`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f7e2j3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1ihm4$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>n:=;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;i?i7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=mll0(<>k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=c7195?5=83:p(429'57322888m6*6138714b;7ki0:&24a4=:j>97d:97k8d:9~f7e3j3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;ge5>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?m:7;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459ac4<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9h5+1376>444i2.2=?4;50f8j442?3om?6*>0e096f253`>8n7>5$0061?>fj21b512d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895eg68 46c:38h8?5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5kon1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459ac3<,8:o>78;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=d1f95?5=83:p(N6::i0D2;665a=#0kk1=lk4n0063?ca>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn?j>c;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1ik94$02g6?4d<;1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895eg:8 46c:38h8?5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9h=m51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>n:=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;n:n7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=mok0(<>k2;0`07=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=cg`95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1ikl4$02g6?4d<;1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5l9h1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95dc;7kic:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f7b6i3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459acb<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oko51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=moo0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895f128 46c:38h8?5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5ko31=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459b57<,8:o>78;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=d1;95?5=83:p(N6::i0D2;665a=#0kk1=lk4n0063?`7:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn?j>8;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1j==4$02g6?4d<;1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:=93>1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895f168 46c:38h8?5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9h=651;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>n:=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;n:;7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=n9<0(<>k2;0`07=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=cg595?2=83:p(N6::i0D<0(4?=:572`>h6:<=1j=94$02g6?4d<;1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5l9=1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95dc;7h?8:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f7b5?3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b5?<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9h=851;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n9k0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895f1a8 46c:38h8?5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5l9?1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459b5b<,8:o>78;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=d0795?5=83:p(N6::i0D2;665a=#0kk1=lk4n0063?`7m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn?j=5;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1j=h4$02g6?4d<;1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895f028 46c:38h8?5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9h<:51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>n:=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;n987?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=n880(<>k2;0`07=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=d1195?2=83:p(N6::i0D<0(4?=:572`>h6:<=1j<=4$02g6?4d<;1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5l891=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95dc;7h>4:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f7b5;3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b43<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9h=<51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n8<0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895f0:8 46c:38h8?5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5l9;1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459b4?<,8:o>78;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=d0395?5=83:p(N6::i0D2;665a=#0kk1=lk4n0063?`6i2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn?j=1;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1jl50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895f0a8 46c:38h8?5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9h<>51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>n:=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;n9<7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=n8o0(<>k2;0`07=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=cgd95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1jl50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5l8l1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?mie;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3l9=6*>0e096f253`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f7b7m3;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;3gg>h6:<=1j?<4$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd5klo1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?mk3;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3l986*>0e096f253`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f7ebl3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b73<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oi<51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n;<0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ebk3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b7><,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oi?51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n;30(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ebj3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b7d<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oi>51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n;i0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ebi3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b7c<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9onh51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n;l0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7eb13;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b67<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9onk51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n:80(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ea>3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b62<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oil51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n:?0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:=93?1<7>t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ea=3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b61<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oio51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n:20(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ea<3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b6g<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oi751;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n:h0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ea;3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b6b<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oi651;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n:o0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ea:3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b16<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oi951;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n=;0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:6*>0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ea93;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b15<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oi851;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n=>0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ea83;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b10<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oi;51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n==0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7ebn3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b1?<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9oi:51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n=k0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7b1;3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b1e<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9h9o51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n=n0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>n:=;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;n?57?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895f428 46c:38h8?5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5l=21=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459b07<,8:o>78;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=d7295?5=83:p(429'57322888m6*6138714b;7h:2:&24a4=:j>97d:97k8d:9~f7b3?3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d67>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:5;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b02<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d4d95?5=83:p(429'57322888m6*6138714b;7h:5:&24a4=:j>97d:97k8d:9~f7b3>3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d62>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:4;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b01<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d4g95?5=83:p(429'57322888m6*6138714b;7h:8:&24a4=:j>97d:97k8d:9~f7b3=3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d6=>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:3;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b0g<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d4f95?5=83:p(429'57322888m6*6138714b;7h:b:&24a4=:j>97d:97k8d:9~f7b3<3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d6g>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:2;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b0b<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d7c95?5=83:p(429'57322888m6*6138714b;7h:e:&24a4=:j>97d:97k8d:9~f7b293;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d6b>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:c;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b36<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d7;95?5=83:p(429'57322888m6*6138714b;7h91:&24a4=:j>97d:97k8d:9~f7b283;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d56>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:b;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b35<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d7:95?5=83:p(429'57322888m6*6138714b;7h94:&24a4=:j>97d:97k8d:9~f7b3n3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d51>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:a;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b30<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d7595?5=83:p(429'57322888m6*6138714b;7h97:&24a4=:j>97d:97k8d:9~f7b3m3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d5<>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:9;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b3?<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d7495?5=83:p(429'57322888m6*6138714b;7h9a:&24a4=:j>97d:97k8d:9~f7b3l3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d5f>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:8;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b3e<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d7795?5=83:p(429'57322888m6*6138714b;7h9d:&24a4=:j>97d:97k8d:9~f7b3k3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d5a>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:7;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b3`<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=d7695?5=83:p(429'57322888m6*6138714b;7h80:&24a4=:j>97d:97k8d:9~f7b3j3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d45>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?j:6;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;oo6`>2459b24<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=c`695?5=83:p(429'57322888m6*6138714b;7h83:&24a4=:j>97d:97k8d:9~f7ef;3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459b22<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9o5751;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=n>?0(<>k2;0`07=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>n:=;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;i347?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4e<@888o6F>1518 cca2;oj96*>247955?03-3:>7::1e9'h6:<=1j:64$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn?m81;397?6=8r.:=>h590`8L444k2B:=9=4$gge>7cfl2.:>8;511;4?!?6:3>>=i5+8cc93=g<,ol;6?knd:l2601=n>30(<>k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=c`a95?5=83:p(429'57322888m6*6138714b;7h8a:&24a4=:j>97d:97k8d:9~f7e>93;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d4f>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?m64;390?6=8r.:=>h590a8L444k2B:=9=4$gge>7cfl2.:>8;511;4?!?6:3>>=i5+8cc95g?<,ol;6?knd:l2601=n>i0(<>k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj;i<57?53;294~"69:l15N69=90(kki:3gbg>"6:2459b2b<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9oll51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3l0e096f253`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7h8f:&24a4=:j>97d:0`a8?jd?j3:1(<<:5;g4`>=zj;i2i7?54;294~"69:l15N69=90(kki:3gbg>"6:2459b=6<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f7e003;1?7>50z&256`=18h0D<<2;665a=#0kk1;5o4$gd3>7cfj2d:>895f938 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd5khk1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9=n18;1<7*>24795$0061?c0l21vn?m7f;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3l3?6*>0e096f253`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f7e>l3;187>50z&256`=18i0D<<2;665a=#0kk1=o74$gd3>7cfj2d:>895f968 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4d<@888o6F>1518 cca2;ojm6*>247955?03-3:>7::1e9'h6:<=1j5;4$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>n:=;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;i3i7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9=n247912d9=4e<@888o6F>1518 cca2;ojm6*>247955?03-3:>7::1e9'h6:<=1j564$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn?m86;397?6=8r.:=>h590`8L444k2B:=9=4$gge>7cf12.:>8;511;4?!?6:3>>=i5+8cc93=g<,ol;6?kn9:l2601=n130(<>k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=c`:95?5=83:p(429'57322888m6*6138714b;7h7a:&24a4=:j>97d:97k8d:9~f7e?l3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d;f>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?m6b;390?6=8r.:=>h590a8L444k2B:=9=4$gge>7cf12.:>8;511;4?!?6:3>>=i5+8cc95g?<,ol;6?kn9:l2601=n1i0(<>k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj;i<97?53;294~"69:l15N69=90(kki:3gb<>"6:2459b=b<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9ol951;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3l3i6*>0e096f253`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7h7f:&24a4=:j>97d:0`a8?jd?j3:1(<<:5;g4`>=zj;i2m7?54;294~"69:l15N69=90(kki:3gb<>"6:2459b<6<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f7e0<3;1?7>50z&256`=18h0D<<2;665a=#0kk1;5o4$gd3>7cf?2d:>895f838 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd5kh<1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9:2.:=n18;1<7*>24795$0061?c0l21vn?m7b;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3l2?6*>0e096f253`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f7e>13;187>50z&256`=18i0D<<2;665a=#0kk1=o74$gd3>7cf?2d:>895f868 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4d<@888o6F>1518 cca2;oj:6*>247955?03-3:>7::1e9'h6:<=1j4;4$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>n:=;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;i3m7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9?2.:=n247912d9=4e<@888o6F>1518 cca2;oj:6*>247955?03-3:>7::1e9'h6:<=1j464$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn?m82;397?6=8r.:=>h590`8L444k2B:=9=4$gge>7cf<2.:>8;511;4?!?6:3>>=i5+8cc93=g<,ol;6?kn4:l2601=n030(<>k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=c`395?5=83:p(429'57322888m6*6138714b;7h6a:&24a4=:j>97d:97k8d:9~f7e??3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;d:f>"68m81>n:=;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?m67;390?6=8r.:=>h590a8L444k2B:=9=4$gge>7cf<2.:>8;511;4?!?6:3>>=i5+8cc95g?<,ol;6?kn4:l2601=n0i0(<>k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj;i<<7?53;294~"69:l15N69=90(kki:3gb7>"6:2459b78;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9ol>51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3l2i6*>0e096f253`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7h6f:&24a4=:j>97d:0`a8?jd?j3:1(<<:5;g4`>=zj;i2?7?54;294~"69:l15N69=90(kki:3gb7>"6:2459bd6<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f7e1n3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;5;e>h6:<=1jl?4$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<"68m81>n:=;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;iN69=90(<<:5;;42>">9;0?9=n247912d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7?m9:l2601=nh>0(<>k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj;omh7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459bd3<,8:o>78;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>cg13-;;h?4=c508m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9ikl51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>hhn:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?ki9;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=nh30(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302okj7)??d381g1415a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=eg595?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3ljn6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0mmn5+11f1>7e3:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3g2e?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1jlj4$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0f5<<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6koj;%33`7<5k=80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:=9321<7>t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7hnf:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4ib19'55b52;i?>6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7be:3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895fc38 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4cj80:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:g`1?!77l;09o9<4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5lk:1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;da7>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:mkm6<47:183!76;o0o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;o3>7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459bg3<,8:o>78;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>cd13-;;h?4=c508m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9i5>51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>h9i:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?jna;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=nk30(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302ohj7)??d381g1415a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=d`:95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3lin6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0mnn5+11f1>7e3:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3fb2?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1joj4$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0ge0<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6klj;%33`7<5k=80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7hmf:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4ic19'55b52;i?>6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7e3i3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895fb38 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4d<00:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:ga1?!77l;09o9<4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5k=21=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;d`7>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:j><6<47:183!76;o0o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;oj>7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459bf3<,8:o>78;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>ce13-;;h?4=c508m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9il>51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>h7i:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?k6e;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=nj30(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302oij7)??d381g1415a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=e8a95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3lhn6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0mon5+11f1>7e3:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3g7e?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1jnj4$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0f0<<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6kmj;%33`7<5k=80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:=9321<7>t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7hlf:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4id19'55b52;i?>6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7c3>3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895fe38 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4b<<0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:gf1?!77l;09o9<4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5m=>1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;dg7>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:l>86<47:183!76;o0o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;o>m7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459ba3<,8:o>78;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>cb13-;;h?4=c508m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9i8651;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>h;8:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?k:6;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=nm30(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302onj7)??d381g1415a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=e4695?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3lon6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0mhn5+11f1>7e3:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3g:6?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1jij4$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0f=4<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6kjj;%33`7<5k=80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7hkf:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:a28036=4?{%327c<0m?1C=?=l;I3206=#0kk186*>247955d73A;;595+9009007c3g;99:4ie19'55b52;i?>6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7c?m3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895fd38 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4b0m0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:gg1?!77l;09o9<4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5m1i1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;df7>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:l2i6<47:183!76;o0o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;oN69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459b`3<,8:o>78;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>cc13-;;h?4=c508m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9i:651;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>h98:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?k86;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=nl30(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302ooj7)??d381g1415a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=e6695?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3lnn6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0min5+11f1>7e3:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3g0e?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1jhj4$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0f7<<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6kkj;%33`7<5k=80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:=9321<7>t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7hjf:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4if19'55b52;i?>6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7c4>3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895fg38 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4b;<0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:gd1?!77l;09o9<4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5m:>1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;de7>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:l986<47:183!76;o0o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;o=m7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459bc3<,8:o>78;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>c`13-;;h?4=c508m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9i;651;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>h88:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?k96;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=no30(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302olj7)??d381g1415a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=e7695?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3lmn6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0mjn5+11f1>7e3:2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3ff6?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1jkj4$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0ga4<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6khj;%33`7<5k=80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7hif:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>0128 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4cll0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0235>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:mno6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>467;2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>ijm:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:0(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028:;96*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:<=84$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0gb5<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<>?7:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>01:8 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4cml0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:023=>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:moo6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>467j2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>ikm:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028:;h6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:<=k4$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0f45<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<>?f:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>0028 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4cnl0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0225>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:mlo6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>466;2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>ihm:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:0(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028::96*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:<<84$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0f55<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<>>7:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>00:8 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4b8l0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:022=>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:l:o6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>466j2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>h>m:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028::h6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:<8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0f6=<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<>>f:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>0328 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4b:?0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0215>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:l8>6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==94>:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>465;2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>h<<:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:0(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028:996*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0g`5<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<>=7:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>03:8 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4ckl0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:021=>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:mio6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>465j2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>imm:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028:9h6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0g3=<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<>=f:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>0228 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4c??0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0205>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:m=>6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>464;2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>i9<:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:0(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028:896*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:<>84$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0gg5<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<><7:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>02:8 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4cjl0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:020=>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:mho6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>464j2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>ilm:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028:8h6*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:<>k4$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0g==<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>0528 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4c1?0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0275>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:m3>6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>463;2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>i7<:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:0(<>k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028:?96*>0e096f253`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:<984$02g6?4d<;1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c0g<=<6210;6=u+101e>2c13A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<>;7:&24a4=:j>97d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:028036=4?{%327c<0m?1C=?=l;I3206=#0kk186*>247955d73A;;595+9009007c3g;99:4>05:8 46c:38h8?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4c0?0:654?:1y'545a2>o=7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:027=>"68m81>n:=;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:m2>6<47:183!76;o078;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>463j2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>i6<:08;>5<7s-;:?k48e79K575d3A;:8>5+8cc90>"6:k2;0`07=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=8bb82>6<729q/=<=i:000f>N6::i0D2;665a=i9;?<6<>;d:&24a4=;??=7d:97k8d:9~f61ej3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459552b3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:77:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:?j6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61ei3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955373-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:78:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:>=6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61e13;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955353-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:79:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:>?6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61e03;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955333-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7::087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:>96*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61e?3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955313-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7;:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:>;6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61e>3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459553?3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7<:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:>56*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61e=3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459553f3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7=:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:>n6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61d=3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459553d3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:o>:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:>h6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61d<3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459553b3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:o?:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:>j6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61d;3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955073-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7i:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:==6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61d:3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955053-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7j:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:=?6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61d93;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955033-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7k:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:=96*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61d83;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955013-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7l:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:=;6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61en3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459550?3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7m:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:=56*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61em3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459550f3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7n:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:=n6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61el3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459550d3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:76:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:=h6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61e<3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>2459550b3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:7>:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:=j6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f61??3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955173-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?:69:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<:?4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8951151?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6::080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<:=4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8951157?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6;:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<:;4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8951155?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6<:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<:94$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895115;?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6=:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<:74$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895115b?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6>:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<:l4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895115`?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6?:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<:j4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895115f?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:7?:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<:h4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511:3?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6i:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<5?4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:=93>1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511:1?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6j:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<5=4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511:7?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6k:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<5;4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511:5?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6l:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<594$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511:;?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6m:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<574$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511:b?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:6n:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<5l4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511:`?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:66:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<5j4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511:f?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:67:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<5h4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511;3?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:9i:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<4?4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:=93>1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511;1?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:>j:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:<4=4$02g6?51=?1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<8:6:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<680?0(<>k2;1513=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<8:6:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=4>:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<680=0(<>k2;1513=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<8:6:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<68030(<>k2;1513=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<8:6:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<680h0(<>k2;1513=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:=9391<7>t$030b?75;k1C=?=l;I3206=#9;?>6<<8:6:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<680n0(<>k2;1513=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<8:6:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<680l0(<>k2;1513=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<8:6:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<68h;0(<>k2;1513=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<8:6:k77g<72-;99847ac98m<76290/=?;::9ca?>ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<68h90(<>k2;1513=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c1441<62:0;6=u+101e>444j2B:>>m4H0377>"6:"68m81?;;9;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj:N69=90(<<:5;;42>">9;0?9o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c15b1<62:0;6=u+101e><7e3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>ad9m573028:j;6*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>89511c;?!77l;08:884i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;?n>6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>46f12.:=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=99kj7)??d3802006753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm3621>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>0``8 46c:39=9;5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd4>m>1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245955gd3-;;h?4<6448m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm37d1>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl<71395?5=83:p(429'57322888m6*6138714b;7??ad9'55b52:<>:6g;3c83>!75=<03mo54i832>5<#9;?>65om;:ma7=9579j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl<6g395?5=83:p(N6::i0D2;665a=#0kk1=lk4n0063?77j91/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6<>m1:&24a4=;??=7d:97k8d:9~f60c:3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;33f7=#99n96>8:6:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mh5a1374>46e;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn>9>7;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1==l;;%33`7<4><<0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a73cb280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:02a1>"68m81?;;9;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>8ie;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>245955d13-;;h?4<6448m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi?:?9:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511`;?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?;hk:080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28kn7c?=56824g?<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th8;<;51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;;nl5+11f1>602>2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c15af<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>0c`8 46c:39=9;5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8:km51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573028:ih6*>0e0973313`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7??bd9'55b52:<>:6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6il1e=?;8:02ab>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj:=:?7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=99i;7)??d380200=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6<>l1:&24a4=;??=7d:0`a8?jd?j3:1(<<:5;g4`>=zj:N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>89511a1?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e;>;96<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<68j90(<>k2;1513=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl<6d;95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1==m;;%33`7<4><<0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;?l26<4<:183!76;o02=o5G131`?M76<:1/=?;::02:3>">9;0?94gb3g;99:4>0b78 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd4?8;1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0:l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd4>o21=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95dc;7??c99'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>46d12.:=n18;1<7*>24795$0061?c0l21vn>8j7;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3;;ol5+11f1>602>2c??o4?:%31100`:94?"6:0:6>4?:1y'545a20;i7E?=3b9K54243-;9984>0858 <752=?:h6*7b`82e`=i9;?<6<>lb:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f617i3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245955ed3-;;h?4<6448m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?;k?:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028:hh6*>0e0973313`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f60a>3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1==mj;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a73`a28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56824f`<,8:o>7=9579j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8:i?51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=99n;7)??d380200=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a73ca28086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0cf?k75=>0:f:3:1(<<:5;126>h6:<91=65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89511f1?!77l;08:884i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?:<=:086>5<7s-;:?k461e9K575d3A;:8>5+fdd97=?13-;9984>0858 <752=?:h6*7b`815g=#no:1?579;o3112<68m90(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo084484$0061?771>1/5<<5443g?!>ei3kj7)hi0;1;=3=i9;?<6<>k4:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:=:n7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1464<62<0;6=u+101e><7c3A;9?n5G1060?!`bn393585+1376>46>?2.2=?4;50f8 =df2;;i7)hi0;1;=0=i9;?<6<>k6:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:=9:7?55;294~"69:l15N69=90(kki:2::1>"6:7c?=56824a1<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<70c95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1==j7;%33`7<4><<0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;>8;6<4::183!76;o02=i5G131`?M76<:1/jhh539;7?!75=<0:<494$831>136l2.3nl4=1c9'bc6=;13?7c?=56824a?<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<73795?3=83:p(N6::i0D0(<<:5;33=2=#188188?k;%:ae?gf3-lm<7=7959m573028:om6*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f61613;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;33`g=#99n96>8:6:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?5?1:1/=?;::02:3>">9;0?977e3-lm<7=7929m573028:oo6*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f615<3;197>50z&256`=18n0D<<663:&2603=993<7)7>2;665a=#0kk1ml5+fg297=?43g;99:4>0ef8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8;<651;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=99nn7)??d380200=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a727b280>6=4?{%327c<>9m1C=?=l;I3206=#nll1?57=;%3110<680=0(4?=:572`>"?jh09=o5+fg297=?53g;99:4>0ed8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8;?=51;794?6|,8;8j77>d:J266e<@8;??6*ieg80<<4<,88>97??969'=44=<<;o7)6ma;cb?!`a83935?5a1374>46b82.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1==k>;%33`7<4><<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7??e39'55b52:<>:6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6?003;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>89511g0?!77l;08:884i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd41>=1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;33a1=#99n96>8:6:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:3<:7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245955c23-;;h?4<6448m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th85:;51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>784;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=99o<7)??d38020015a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<96195?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;;i55+11f1>602>2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2;;6?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1==k6;%33`7<4><<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7??e`9'55b52:<>:6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6??83;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>89511ga?!77l;08:884i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd41>l1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;33af=#99n96>8:6:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:3N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245955cc3-;;h?4<6448m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th85:j51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>78c;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=99om7)??d38020015a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<96`95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;;j=5+11f1>602>2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2;46?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1==h>;%33`7<4><<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7??f39'55b52:<>:6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6>d?3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>89511d0?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd40j<1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;33b1=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:2h97?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245955`23-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th84n:51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>6l2;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=99l<7)??d38020015a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<8b395?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;;j55+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2:`4?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1==h6;%33`7<4><<0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7??f`9'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6>em3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>89511da?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd40kn1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;33bf=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:2io7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245955`c3-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th84ol51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>6ma;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=99lm7)??d38020015a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<8c;95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;:<=5+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2:`a?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=<>>;%33`7<4><<0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?>039'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6>dk3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>8951020?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd40jh1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3241=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:2hm7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245954623-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th84n751;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>6l8;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=98:<7)??d38020015a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<8b195?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;:<55+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2:a12d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=<>6;%33`7<4><<0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?>0`9'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6?603;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>895102a?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd418=1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;324f=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:3::7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459546c3-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th85<;51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>7>4;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=98:m7)??d38020015a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<90195?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;:==5+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2;26?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=;%33`7<4><<0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?>139'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6?583;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>8951030?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd418l1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3251=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:3:i7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245954723-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th854H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>7>c;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=98;<7)??d38020015a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<90`95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;:=55+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2;2e?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=<<0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?>1`9'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f6?683;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>895103a?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd419l1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;325f=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:2o97?56;294~"69:l1;ih4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568254b<,8:o>7=9579j7g1=83.:>8;542d8?l2?l3:1(<<:5;33====ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9~f6>ci3;1:7>50z&256`=?ml0D<<2`13A;;595+9009007c3g;99:4>10g8 46c:39=9;5f3c594?"6:h4;h6;`?6=,88>97??9998m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65rb2:`b?7=>3:112d93a`<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0:=5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21vn>9lc;3950g=83:p(N6::i0D7?88;34e?`32o;1=;>5}%611?c1m2d9>n4?;o002?66<5a33d94>h31<0;7c783;28j46083:0bh9n:19m072=82d?>54?;%33e7<68o90b:6=:19'7g0==o?0D<>ia:&2603=?1>0(>?>:02bb>N?1o1/5<<5443g?k75=>0:=?>4$02g6?51=?1b8>;50;9j55?d290e=<=8:198m46>l3:1bk76;>0;76g;3883>>o3;=0;66a;2683>>o68h:1<7`>12594>=n993m6=4a1014>5=k76;>0;76g;3983>>o3;:0;66g;2283>>o3;h0;66g;3383>>i3:?0;66g>08;94?h69:=1<65f11c2>55<#nli1?984ngga>5=i989<6=5+b9497756:9;odff?74$c:5>6443gh397??;:k001<72-lno7=;6:leag<53g;:?:4?;%`;2?55;2di484i;:k006<72-lno7=;6:leag<43g;:?:4?;%`;2?55;2di484j;:k007<72-lno7=;6:leag<33g;:?:4?;%`;2?55;2di484k;:k004<72-lno7=;6:leag<23g;:?:4?;%`;2?55;2di484l;:k005<72-lno7=;6:leag<13g;:?:4?;%`;2?55;2di484m;:k07c<72-lno7=;6:leag<03g;:?:4?;%`;2?55;2di484n;:k015<72-lno7=;6:leag3g;:?:4?;%`;2?55;2di4847;:k00`<72-lno7=;6:leag950:&a<3<4::1en5;51:9j76c=83.min4<479mb`d=981e=<=8:19'f=0=;;90bo6::198k6g4290/jhm53`08jcce291/n5853958jg>228;07b=n1;29 ccd2:k97chjb;38 g>12:2<7cl75;33?>i4i90;6)hjc;1b6>hamk097)l76;1;3>he0<0m76a<9g83>!`bk39j>6`iec80?!d?>393;6`m848f?>i41l0;6)hjc;1b6>hamk0?7)l76;1;3>he0<0o76a<9e83>!`bk39j>6`iec86?!d?>393;6`m848`?>i41j0;6)hjc;1b6>hamk0=7)l76;1;3>he0<0i76a<9c83>!`bk39j>6`iec84?!d?>393;6`m848b?>i4ij0;6)hjc;1b6>hamk037)l76;1;3>he0<0276a!`bk39j>6`iec8:?!d?>393;6`m848;?>i4ih0;6)hjc;1b6>hamk0j7)l76;1;3>he0<0<76a!`bk39j>6`iec8a?!d?>393;6`m8485?>i4i10;6)hjc;1b6>hamk0h7)l76;1;3>he0<0>76a!`bk39j>6`iec8g?!d?>393;6`m8487?>i4i?0;6)hjc;1b6>hamk0n7)l76;1;3>he0<0876a!`bk39j>6`iec8e?!d?>393;6`m8481?>i4i=0;6)hjc;1b6>hamk0:<6*m8780<2=ij1?1=65`38c94?"amj08m?5afd`954=#j1<1?594nc:6>5=6?h4;hd4`?6=,ooh6k9j;odff?7<,k2=6k<;;o`;1?4b32cm;n4?:%dfg?`0m2dmio4=;%`;2?`5<2di484=d:9jb2d=83.min4i7d9mb`d=;2.i4;4i259mf=3=:j10ek9n:18'b`e=n>o0bkkm:59'f=0=n;>0bo6::3`8?l`013:1(kkl:g5f?k`bj3?0(o69:g07?kd?=38j76gi7983>!`bk3l3l986`m8481=>=nn>=1<7*ieb8e3`=inlh1;6*m878e61=ij1?1>554ig56>5<#nli1j:k4ngga>==#j1<1j?:4nc:6>71<3`l<87>5$gg`>c1b3glnn774$c:5>c433gh397<9;:ke36<72-lno7h8e:leag22;907dh80;29 ccd2o=n7chjb;f8 g>12o8?7cl75;01?>oa>o0;6)hjc;d4a>hamk0n7)l76;d10>he0<09=65ff7g94?"amj0m;h5afd`9b>"e0?0m>95ab97965=4$c:5>c433gh397?i;:ke2f<72-lno7h8e:leag<692.i4;4i259mf=3=9l10ek8n:18'b`e=n>o0bkkm:008 g>12o8?7cl75;3g?>oa>00;6)hjc;d4a>hamk0:?6*m878e61=ij1?1=n54ig4;>5<#nli1j:k4ngga>42<,k2=6k<;;o`;1?7e32cm::4?:%dfg?`0m2dmio4>5:&a<3!`bk3l"e0?0m>95ab9795==c433gh397?8;:ke26<72-lno7h8e:leag<612.i4;4i259mf=3=9?10ek8=:18'b`e=n>o0bkkm:0c8 g>12o8?7cl75;36?>oa>80;6)hjc;d4a>hamk0:n6*m878e61=ij1?1=954ig7e>5<#nli1j:k4ngga>4e<,k2=6k<;;o`;1?7432cm9h4?:%dfg?`0m2dmio4>d:&a<3!`bk3l"e0?0m>95ab97955=4$c:5>c433gh397h4;hd6e?6=,ooh6k9j;odff?463-h3:7h=4:la<0=83.min4i7d9mb`d=::1/n585f368jg>22j10ek;8:18'b`e=n>o0bkkm:368 g>12o8?7cl75;`8?l`2>3:1(kkl:g5f?k`bj38>7)l76;d10>he0<0j76gi8483>!`bk3l"e0?0m>95ab979=>=nn1>1<7*ieb8e3`=inlh1>:5+b949b726554ig:0>5<#nli1j:k4ngga>7><,k2=6k<;;o`;1?1<3`l3>7>5$gg`>c1b3glnn7<6;%`;2?`5<2di4849;:ke<4<72-lno7h8e:leag<5i2.i4;4i259mf=3==21bj5>50;&eaf0bo6::598mc11290/jhm5f6g8jcce2;i0(o69:g07?kd?=3907dh9b;29 ccd2o=n7chjb;0g?!d?>3l986`m8481?>oa>90;6)hjc;d4a>hamk09i6*m878e61=ij1?1=65ff4794?"amj0m;h5afd`96c=#j1<1j?:4nc:6>5=5=#j1<1?o94nc:6>7`<3`>:47>5$gg`>17>3glnn7?4n0303?6<,k2=6>l8;o`;1?4b32c?=:4?:%dfg?2612dmio4=;o3272<73-h3:7=m7:la<0<5l21b8<850;&eaf<3901ejhl53:l2561=82.i4;422;h07d:>4;29 ccd2=;27chjb;78j474?3:0(o69:2`4?kd?=38j76g;1283>!`bk3>:56`iec85?k76;>0;7)l76;1a3>he0<09565f40094?"amj0?=45afd`93>h69:=1<6*m8780f2=ij1?1>554i533>5<#nli18<74ngga>==i989<6=5+b9497g16?94;h63b?6=,ooh69?6;odff??4$c:5>6d03gh397<9;:k74`<72-lno7:>9:leag950:&a<3<4j>1en5;52598m16d290/jhm540;8jcce2j1e=<=8:19'f=0=;k=0bo6::318?l27j3:1(kkl:53:?k`bj3n0b12:h<7cl75;01?>o38h0;6)hjc;62=>hamk0n7c?>3683?!d?>39i;6`m84815>=n<931<7*ieb875<=inlh1j6`>12594>"e0?08n:5ab97965=4n0303?6<,k2=6>l8;o`;1?7a32c?<:4?:%dfg?2612dmio4>1:l2561=82.i4;4::18'b`e=<830bkkm:008j474?3:0(o69:2`4?kd?=3;o76g;0583>!`bk3>:56`iec827>h69:=1<6*m8780f2=ij1?1=n54i520>5<#nli18<74ngga>424$c:5>6d03gh397?m;:k747<72-lno7:>9:leag<6=2d:=>950:&a<3<4j>1en5;51`98m166290/jhm540;8jcce28<0b12:h<7cl75;3:?>o3890;6)hjc;62=>hamk0:;6`>12594>"e0?08n:5ab9795==l8;o`;1?7032c8jh4?:%dfg?2612dmio4>9:l2561=82.i4;4hk:18'b`e=<830bkkm:0c8j474?3:0(o69:2`4?kd?=3;>76g!`bk3>:56`iec82f>h69:=1<6*m8780f2=ij1?1=954i2db>5<#nli18<74ngga>4e4$c:5>6d03gh397?<;:k0b<<72-lno7:>9:leag<6l2d:=>950:&a<3<4j>1en5;51398m6`?290/jhm540;8jcce28o0b12:h<7cl75;32?>o4n>0;6)hjc;62=>hamk0:j6`>12594>"e0?08n:5ab97955=4n0303?6<,k2=6>l8;o`;1?`<3`9m97>5$gg`>17>3glnn7<>;o3272<73-h3:7=m7:la<022j10e>h=:18'b`e=<830bkkm:368j474?3:0(o69:2`4?kd?=3h07d=i1;29 ccd2=;27chjb;06?k76;>0;7)l76;1a3>he0<0j76g;2183>!`bk3>:56`iec812>h69:=1<6*m8780f2=ij1?1565f40d94?"amj0?=45afd`962=i989<6=5+b9497g16554i53f>5<#nli18<74ngga>7>4$c:5>6d03gh39794;h62`?6=,ooh69?6;odff?4>3g;:?:4?;%`;2?5e?2di4849;:k75f<72-lno7:>9:leag<5i2d:=>950:&a<3<4j>1en5;55:9j04d=83.min4;189mb`d=:k1e=<=8:19'f=0=;k=0bo6::598m176290/jhm540;8jcce2;i0b12:h<7cl75;18?l27>3:1(kkl:53:?k`bj38o7c?>3683?!d?>39i;6`m8481?>o4nk0;6)hjc;62=>hamk09i6`>12594>"e0?08n:5ab9795>=n;o:1<7*ieb875<=inlh1>k5a1014>5=#j1<1?o94nc:6>5=6?h4;nd2=?6=,ooh6k?n;odff?7<,k2=6h9j;o`;1?4b32em=54?:%dfg?`6i2dmio4=;%`;2?c0m2di484=d:9lb41=83.min4i1`9mb`d=;2.i4;4j7d9mf=3=:j10ck?9:18'b`e=n8k0bkkm:59'f=0=m>o0bo6::3`8?j`6=3:1(kkl:g3b?k`bj3?0(o69:d5f?kd?=38j76ai1583>!`bk3l:m6`iec85?!d?>3o=hn891<7*ieb8e5d=inlh1;6*m878f3`=ij1?1>554og32>5<#nli1j==#j1<1i:k4nc:6>71<3fl:<7>5$gg`>c7f3glnn774$c:5>`1b3gh397<9;:me4c<72-lno7h>a:leag22;907bh?c;29 ccd2o;j7chjb;f8 g>12l=n7cl75;01?>ia8k0;6)hjc;d2e>hamk0n7)l76;g4a>he0<09=65`f1c94?"amj0m=l5afd`9b>"e0?0n;h5ab97965=4$c:5>`1b3gh397?i;:me4=<72-lno7h>a:leag<692.i4;4j7d9mf=3=9l10ck>9:18'b`e=n8k0bkkm:008 g>12l=n7cl75;3g?>ia8<0;6)hjc;d2e>hamk0:?6*m878f3`=ij1?1=n54og27>5<#nli1j42<,k2=6h9j;o`;1?7e32em<>4?:%dfg?`6i2dmio4>5:&a<3!`bk3l:m6`iec823>"e0?0n;h5ab9795==`1b3gh397?8;:mfbc<72-lno7h>a:leag<612.i4;4j7d9mf=3=9?10chhj:18'b`e=n8k0bkkm:0c8 g>12l=n7cl75;36?>ibnm0;6)hjc;d2e>hamk0:n6*m878f3`=ij1?1=954odda>5<#nli1j4e<,k2=6h9j;o`;1?7432enjl4?:%dfg?`6i2dmio4>d:&a<3290/jhm5f0c8jcce28o0(o69:d5f?kd?=3;:76ajf983>!`bk3l:m6`iec82b>"e0?0n;h5ab97955=4$c:5>`1b3gh397h4;nge2?6=,ooh6k?n;odff?463-h3:7k8e:la<022j10chh<:18'b`e=n8k0bkkm:368 g>12l=n7cl75;`8?jca:3:1(kkl:g3b?k`bj38>7)l76;g4a>he0<0j76ai2083>!`bk3l:m6`iec812>"e0?0n;h5ab979=>=hn;:1<7*ieb8e5d=inlh1>:5+b949a2c6554og3e>5<#nli1j7><,k2=6h9j;o`;1?1<3fl:i7>5$gg`>c7f3glnn7<6;%`;2?c0m2di4849;:me5a<72-lno7h>a:leag<5i2.i4;4j7d9mf=3==21djo0bo6::598kc75290/jhm5f0c8jcce2;i0(o69:d5f?kd?=3907bh?7;29 ccd2o;j7chjb;0g?!d?>3oibnj0;6)hjc;d2e>hamk09i6*m878f3`=ij1?1=65`eg394?"amj0m=l5afd`96c=#j1<1i:k4nc:6>5=5=#j1<1i;64nc:6>2=5=#j1<1i;64nc:6>3=5=#j1<1i;64nc:6>0=6=4+fda9a2>5a1014>5=#j1<1i;64nc:6>1=5=#j1<1i;64nc:6>6=5=#j1<1i;64nc:6>7=5=#j1<1i;64nc:6>4=5=#j1<1i;64nc:6>5=5=#j1<184k4nc:6>47<3`>h>7>5$gg`>1e43glnn7?4n0303?6<,k2=697j;o`;1?7732c?o<4?:%dfg?2d;2dmio4=;o3272<73-h3:7:6e:la<032c?on4?:%dfg?2d;2dmio46;o3272<73-h3:7:6e:la<00:l2561=82.i4;4;9d9mf=3=921b8ol50;&eaf<3k:1ejhl5109m5450291/n58548g8jg>22910ckk>:18'b`e=nl:0bkkm:19'f=0=n1<0bo6::3d8?j`cn3:1(kkl:gg3?k`bj3;0(o69:g:5?kd?=38n76aidd83>!`bk3ln<6`iec81?!d?>3l3:6`m8481`>=hnmn1<7*ieb8ea5=inlh1?6*m878e<3=ij1?1>n54ogf`>5<#nli1jh>4ngga>1=#j1<1j584nc:6>7d<3flon7>5$gg`>cc73glnn7;4$c:5>c>13gh39722;=07bhk6;29 ccd2oo;7chjb;;8 g>12o2=7cl75;05?>ial<0;6)hjc;df4>hamk0j7)l76;d;2>he0<09965`fe694?"amj0mi=5afd`9f>"e0?0m4;5ab97961=6?=4;ndg6?6=,ooh6kk?;odff?b<,k2=6k69;o`;1?4532emh<4?:%dfg?`b82dmio4j;%`;2?`?>2di484=1:9lba6=83.min4ie19mb`d=n2.i4;4i879mf=3=:910ckmi:18'b`e=nl:0bkkm:028 g>12o2=7cl75;3e?>iakl0;6)hjc;df4>hamk0:=6*m878e<3=ij1?1=h54oga`>5<#nli1jh>4ngga>44<,k2=6k69;o`;1?7c32emoo4?:%dfg?`b82dmio4>3:&a<30(o69:g:5?kd?=3;i76aic883>!`bk3ln<6`iec821>"e0?0m4;5ab9795d=c>13gh397?6;:meg2<72-lno7hj0:leag<6?2.i4;4i879mf=3=9110ckm9:18'b`e=nl:0bkkm:0:8 g>12o2=7cl75;34?>iak<0;6)hjc;df4>hamk0:56*m878e<3=ij1?1=;54oga7>5<#nli1jh>4ngga>4g<,k2=6k69;o`;1?7232emo>4?:%dfg?`b82dmio4>b:&a<3!`bk3ln<6`iec82`>"e0?0m4;5ab97957=c>13gh397?>;:mef`<72-lno7hj0:leag<6n2.i4;4i879mf=3=9910cklk:18'b`e=nl:0bkkm:328 g>12o2=7cl75;d8?j`ek3:1(kkl:gg3?k`bj38:7)l76;d;2>he0<0n76aibc83>!`bk3ln<6`iec816>"e0?0m4;5ab979`>=hnkk1<7*ieb8ea5=inlh1>>5+b949b=06n54og`:>5<#nli1jh>4ngga>72<,k2=6k69;o`;1?d<3fli47>5$gg`>cc73glnn7<:;%`;2?`?>2di484n;:mea2<72-lno7hj0:leag<5>2.i4;4i879mf=3=121djh850;&eaf3l3:6`m8485?>iam:0;6)hjc;df4>hamk09m6*m878e<3=ij1?1965`fd094?"amj0mi=5afd`96g=#j1<1j584nc:6>1=c>13gh397=4;nd``?6=,ooh6kk?;odff?4c3-h3:7h76:la<0<532emo?4?:%dfg?`b82dmio4=e:&a<322910e?;i:18'b`e=:228;07d<:d;29 ccd2;?n7chjb;38j474?3:0(o69:30;?kd?=3;;76g=5b83>!`bk38>i6`iec81?k76;>0;7)l76;01<>he0<0m76g=5c83>!`bk38>i6`iec80?k76;>0;7)l76;01<>he0<0n76g=5`83>!`bk38>i6`iec87?k76;>0;7)l76;01<>he0<0o76g=5883>!`bk38>i6`iec86?k76;>0;7)l76;01<>he0<0h76g=5983>!`bk38>i6`iec85?k76;>0;7)l76;01<>he0<0i76g=5683>!`bk38>i6`iec84?k76;>0;7)l76;01<>he0<0j76g=6983>!`bk38>i6`iec8;?k76;>0;7)l76;01<>he0<0276g=6683>!`bk38>i6`iec8:?k76;>0;7)l76;01<>he0<0376g=6783>!`bk38>i6`iec8b?k76;>0;7)l76;01<>he0<0<76g=6483>!`bk38>i6`iec8a?k76;>0;7)l76;01<>he0<0=76g=6583>!`bk38>i6`iec8`?k76;>0;7)l76;01<>he0<0>76g=6283>!`bk38>i6`iec8g?k76;>0;7)l76;01<>he0<0?76g=6383>!`bk38>i6`iec8f?k76;>0;7)l76;01<>he0<0876g=6083>!`bk38>i6`iec8e?k76;>0;7)l76;01<>he0<0976g=6183>!`bk38>i6`iec824>h69:=1<6*m87816==ij1?1=65f24494?"amj099h5afd`954=i989<6=5+b94967>6=54o840>5<#nli15;<4ngga>5=#j1<15??4nc:6>75<3f3==7>5$gg`><053glnn7?4$c:5><463gh397<=;:m:25<72-lno7792:leag<53-h3:77=1:la<0<5921d58h50;&eaf<>>;1ejhl53:&a<3<>:81en5;52198k<3b290/jhm59708jcce2=1/n5859338jg>228l07b7:d;29 ccd20<97chjb;78 g>1208:7cl75;3f?>i>=k0;6)hjc;;56>hamk0=7)l76;;15>he0<0:h65`94c94?"amj02:?5afd`93>"e0?02><5ab9795f=6;o`;1?7f32e29:4?:%dfg??1:2dmio4n;%`;2??592di484>9:9l=00=83.min46639mb`d=j2.i4;46209mf=3=9110c4;::18'b`e=1?80bkkm:b9'f=0=1;;0bo6::058?j?2<3:1(kkl:841?k`bj3n0(o69:802?kd?=3;=76a65283>!`bk33=>6`iec8f?!d?>339=6`m84821>=h1<81<7*ieb8:27=inlh1j6*m878:64=ij1?1=954o873>5<#nli15;<4ngga>46<,k2=64<>;o`;1?7432e28k4?:%dfg??1:2dmio4>1:&a<3<>:81en5;51398k<2b290/jhm59708jcce2880(o69:802?kd?=3;:76a64e83>!`bk33=>6`iec827>"e0?02><5ab97955=h6=4+fda9=34<463gh397h4;n;7f?6=,ooh648=;odff?723-h3:77=1:la<06:&a<3<>:81en5;5d:9l=1?=83.min46639mb`d=9>1/n5859338jg>22j10c4:7:18'b`e=1?80bkkm:0:8 g>1208:7cl75;`8?j?3?3:1(kkl:841?k`bj3;27)l76;;15>he0<0j76a66`83>!`bk33=>6`iec82e>"e0?02><5ab979=>=h1?31<7*ieb8:27=inlh1=o5+b949=776554o84;>5<#nli15;<4ngga>4e<,k2=64<>;o`;1?1<3f3=;7>5$gg`><053glnn7?k;%`;2??592di4849;:m:23<72-lno7792:leag<6m2.i4;46209mf=3==21d5;;50;&eaf<>>;1ejhl51g9'f=0=1;;0bo6::598k<03290/jhm59708jcce2;:0(o69:802?kd?=3907b7:c;29 ccd20<97chjb;02?!d?>339=6`m8481?>i>=80;6)hjc;;56>hamk09>6*m878:64=ij1?1=65`95494?"amj02:?5afd`966=#j1<15??4nc:6>5=6=n;>h1<7*ieb803f=inlh1=6*m878024=ij1?1==5G11`7?>o4?h0;6)hjc;14g>hamk097)l76;155>he0<0m7E??b598m61>290/jhm536a8jcce2:1/n5853738jg>22l1C==l;;:k03=<72-lno7=8c:leag<33-h3:7=91:la<05<#nli1?:m4ngga>0=#j1<1?;?4nc:6>f=O99h?76g<7783>!`bk3939==6`m848a?M77j=10e>9::18'b`e=;>i0bkkm:69'f=0=;?;0bo6::`9K55d332c84;4?:%dfg?50k2dmio47;%`;2?5192di4846;I33f1=6=4+fda972e655G11`7?>o40=0;6)hjc;14g>hamk0j7)l76;155>he0<0<7E??b598m6>4290/jhm536a8jcce2k1/n5853738jg>22?1C==l;;:k0<7<72-lno7=8c:leag5<#nli1?:m4ngga>a=#j1<1?;?4nc:6>1=O99h?76g<8183>!`bk3939==6`m8480?M77j=10e>9i:18'b`e=;>i0bkkm:g9'f=0=;?;0bo6::39K55d332c8;h4?:%dfg?50k2dmio4>0:&a<3<4>81en5;51:J24g2<3`9<87>5$gg`>61d3glnn7?>;%`;2?5192di484?;I33f1=:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245954463-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5f810:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951001?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1b54<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<<<;%33`7<4><<0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=n1182>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=988?7)??d38020015a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245954423-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5f8l0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951005?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1b4a<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<<8;%33`7<4><<0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=n0b82>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=98837)??d38020015a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459544>3-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5f8h0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895100b?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1b42<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<<<0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=n0782>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=988h7)??d38020015a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459544c3-;;h?4<6448m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg51k10:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895100f?!77l;08:884i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c15g2<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<<<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=9c482>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=989;7)??d38020015a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245954563-;;h?4<6448m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg51k:0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951011?!77l;08:884i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c15g7<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<=<;%33`7<4><<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=9c082>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=989?7)??d38020015a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245954523-;;h?4<6448m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg51jo0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951015?!77l;08:884i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c15f`<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<=8;%33`7<4><<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=9be82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=98937)??d38020015a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459545>3-;;h?4<6448m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg51l90:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895101b?!77l;08:884i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c15gc<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<=m;%33`7<4><<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=9cd82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=989h7)??d38020015a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459545c3-;;h?4<6448m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg51kj0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895101f?!77l;08:884i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c15gg<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<=i;%33`7<4><<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=9c`82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=98>;7)??d38020015a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245954263-;;h?4<6448m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg51jk0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951061?!77l;08:884i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c15fd<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<:<;%33`7<4><<0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=63582>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028;?86*>0e0973313`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:=9;4$02g6?51=?1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1:77<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<68j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>1558 46c:39=9;5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5>;j0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:037<>"68m81?;;9;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;09i6<47:183!76;o07=9579j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>473i2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?4=6:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;1513=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=63982>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028;?o6*>0e0973313`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:=9j4$02g6?51=?1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1:73<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<68j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>15d8 46c:39=9;5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5>;90:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0364>"68m81?;;9;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;08m6<47:183!76;o07=9579j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245954353-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5?n=0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0367>"68m81?;;9;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;1l86<47:183!76;o07=9579j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>472=2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?5h>:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;1513=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=7f182>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028;>;6*>0e0973313`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:=864$02g6?51=?1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1;bg<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<68j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>14c8 46c:39=9;5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5?n00:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:036f>"68m81?;;9;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;1l36<47:183!76;o07=9579j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>472l2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?5h9:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;1513=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=7f482>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028;>j6*>0e0973313`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:=;>4$02g6?51=?1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1;a`<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<68j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:24793c0<@8:286*6138714b;7?>639'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm38a5>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;::>5+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?4mi:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9=1/==j=:2462>o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;0in6<49:183!76;o064:&:57<3=8n0b<<:7;3220=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7?>679'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm38a`>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;:::5+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?4mm:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?911/==j=:2462>o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;0ij6<49:183!76;o064:&:57<3=8n0b<<:7;322<=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:280=6=4?{%327c<0lh1C=?=l;I3206=#0kk186*>24793c0<@8:286*6138714b;7?>6`9'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm38a;>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;::o5+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?4m::085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9j1/==j=:2462>o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;0i?6<49:183!76;o064:&:57<3=8n0b<<:7;322a=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7?>6d9'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm38f:>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;::k5+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?4k>:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;0o;6<49:183!76;o064:&:57<3=8n0b<<:7;3234=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7?>739'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm38ff>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;:;>5+11f1>602>2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?4jk:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;0nh6<49:183!76;o064:&:57<3=8n0b<<:7;3230=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:247955d73A;;595+9009007c3g;99:4>1648 46c:39=9;5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5>1l0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:0343>"68m81?;;9;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;03o6<47:183!76;o0<,8:o>7=9579j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>47012.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?47m:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k0(<>k2;1513=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=69`82>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028;0e0973313`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:=:m4$02g6?51=?1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1:==<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<68j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>16g8 46c:39=9;5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5>i?0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:034b>"68m81?;;9;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;0k>6<47:183!76;o07=9579j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>47?92.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?4o<:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;1513=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=6a382>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028;3?6*>0e0973313`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:=5:4$02g6?51=?1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1:e5<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<68j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>1948 46c:39=9;5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5>l>0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89510:4?!77l;08:884i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1:`=<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=<67;%33`7<4><<0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=75482>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=98227)??d38020015a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245954>f3-;;h?4<6448m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg539;0:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>47?j2.:=n18;1<7*>24795$0061?c0l21vn>:>1;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<6l;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76cb280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03;`>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>0;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<6j;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76cc280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03;b>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:?f;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<7?;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76cd280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03:5>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:?e;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<7=;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76ce280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03:7>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:?d;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<7;;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76cf280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03:1>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:?c;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<79;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76c>280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03:3>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:?b;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<77;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76c?280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03:=>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>b;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<7n;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76`0280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03:f>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>a;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<7l;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76`1280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03:`>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>9;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=<7j;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a76`2280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03:b>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>8;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=!75=<03mo54oc:a>5<#9;?>6h9k;:a76`3280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03b5>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>7;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=!75=<03mo54oc:a>5<#9;?>6h9k;:a76`4280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03b7>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>6;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=!75=<03mo54oc:a>5<#9;?>6h9k;:a76`5280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03b1>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>5;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=!75=<03mo54oc:a>5<#9;?>6h9k;:a76`6280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03b3>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>4;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=!75=<03mo54oc:a>5<#9;?>6h9k;:a76`7280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03b=>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:>3;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=!75=<03mo54oc:a>5<#9;?>6h9k;:a76ca280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03bf>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>:?a;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=!75=<03mo54oc:a>5<#9;?>6h9k;:a76c0280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:03b`>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>=kd;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=!75=<03mo54oc:a>5<#9;?>6h9k;:a76bd28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825d`<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5851;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98h;7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76be28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825g7<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5;51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98h97)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76bf28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825g5<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5:51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98h?7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76b>28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825g3<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5=51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98h=7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76b?28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825g1<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5<51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98h37)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76b028086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825g?<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5?51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98hj7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76b128086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825gd<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5>51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98hh7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76c128086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825gb<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5h51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98hn7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76c228086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825g`<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5k51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98i;7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76c328086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825f7<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5j51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98i97)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76c428086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825f5<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5m51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98i?7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76c528086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825f3<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5l51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98i=7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76c628086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825f1<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5o51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98i37)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76c728086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825f?<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5751;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98ij7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76ba28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825fd<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5651;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98ih7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76bb28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825fb<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?5951;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98in7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a76b228086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825f`<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?:k51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98n;7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a760328086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825a7<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?;=51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;:h?5+11f1>64fk2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c107g<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>1e18 46c:399mn5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8?;<51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;:h95+11f1>64fk2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c107<<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>1e78 46c:399mn5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8?;?51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;:h;5+11f1>64fk2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1072<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>1e58 46c:399mn5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8?8h51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;:h55+11f1>64fk2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1071<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>1e;8 46c:399mn5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8?8k51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;:hl5+11f1>64fk2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1077<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>1e`8 46c:399mn5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8?8j51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;:hn5+11f1>64fk2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c1075<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>1ef8 46c:399mn5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8?8m51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;:hh5+11f1>64fk2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c106`<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>1ed8 46c:399mn5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8?8l51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;:i=5+11f1>64fk2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c106a<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>1d38 46c:399mn5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th8?9l51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m573028;n?6*>0e0977gd3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7?>e59'55b52:8jo6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6il1e=?;8:03f1>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj:9>57?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=98o=7)??d3806de=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<60`a8?jd?j3:1(<<:5;g4`>=zj:9?57?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>89510g;?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e;:?36<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<69l30(<>k2;11ef=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl<33c95?2=83:p(N6::i0D<0(4?=:572`>h6:<=1=!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e;:>36<4<:183!76;o02=o5G131`?M76<:1/=?;::02:3>">9;0?94gb3g;99:4>1d`8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd4;<=1=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0:=hj4$02g6?55ij1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd4;==1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95dc;7?>ed9'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>47bn2.:=n18;1<7*>24795$0061?c0l21vn>==8;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3;:j=5+11f1>64fk2c??o4?:%31100`:94?"6:4?:1y'545a20;i7E?=3b9K54243-;9984>0858 <752=?:h6*7b`82e`=i9;?<66`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f651l3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245954`53-;;h?4<2`a8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?>:;:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m573028;m?6*>0e0977gd3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f652<3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1=:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a760d28086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=56825c3<,8:o>7==ab9j06d=83.:>8;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th8?9=51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=98l=7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a763428086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0cf?k75=>0:=k94$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<69o30(<>k2;11ef=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c102d<62:0;6=u+101e>444j2B:>>m4H0377>"6:"68m81??ol;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj:9?=7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c1014<62:0;6=u+101e><7e3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>ad9m573028;mh6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>89510df?!77l;08>lm4i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e;:>;6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>47an2.:=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=9;:;7)??d3806de6753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm324;>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>2138 46c:399mn5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd4;:l1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245957653-;;h?4<2`a8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm326e>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl<37595?5=83:p(429'57322888m6*6138714b;7?=059'55b52:8jo6g;3c83>!75=<03mo54i832>5<#9;?>65om;:ma7==ab9j06d=83.:>8;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl<35g95?5=83:p(N6::i0D2;665a=#0kk1=lk4n0063?758?1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6<97k8d:9~f654l3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;314==#99n96>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mh5a1374>44712.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn>=90;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=?>n;%33`7<4:hi0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a7651280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:003f>"68m81??ol;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn>=;c;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459576d3-;;h?4<2`a8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi?>;::080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>=j4$02g6?55ij1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895132f?!77l;08>lm4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?>:::080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28kn7c?=568265`<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th8?:<51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=9;;;7)??d3806de=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a761?280>6=4?{%327c<>9m1C=?=l;I3206=#nll1?9k7;%3110<680=0(4?=:572`>"?jh09=o5+fg2971c?3g;99:4>2038 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8?:j51;794?6|,8;8j77>d:J266e<@8;??6*ieg800`><,88>97??969'=44=<<;o7)6ma;cb?!`a839?i55a1374>446:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=21<@888o6F>1518 442=33<:6*6138714b;7?=129'55b52:8jo6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;17a2=#9;?>6<>67:&:57<3=8n0(5ln:33a?!`a839?i:5a1374>446<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca2:>n;6*>247955?03-3:>7::1e9'535g4?k75=>0:><;4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4;>:1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245957713-;;h?4<2`a8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm3255>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=;=o=7)?=54824<1<,0;969;>d:&;fd<59k1/jk>535g5?k75=>0:><94$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4;>h1=7;50;2x 474n33:h6F>22a8L473;2.mik4<4d48 442=3;;5:5+9009007c3-2im7on;%de4?53m?1e=?;8:002<>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>=9f;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3;9=45+11f1>64fk2c??o4?:%31100`:94?"6:"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>=8a;391?6=8r.:=>h590f8L444k2B:=9=4$gge>62b=2.:>8;511;4?!?6:3>>=i5+8cc9ed=#no:1?9k:;o3112<6:8h0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895133`?!77l;08>lm4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?>9;:086>5<7s-;:?k461e9K575d3A;:8>5+fdd971c33-;9984>0858 <752=?:h6*7b`815g=#no:1?9k;;o3112<6:8n0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo088h:4$0061?771>1/5<<5443g?!>ei3kj7)hi0;17a1=i9;?<6<<>e:&24a4=;;kh7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:?hm7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459577a3-;;h?4<2`a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th89n751;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>;l8;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9;8:7)??d3806de15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<5b595?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;9>?5+11f1>64fk2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb27`2?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=?<<;%33`7<4:hi0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?=259'55b52:8jo6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f63d<3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>8951306?!77l;08>lm4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4=j91=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3163=#99n96>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:?o>7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245957403-;;h?4<2`a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th89i?51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>;k0;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9;827)??d3806de15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<5bd95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;9>l5+11f1>64fk2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb27`a?7=03:112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=?l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?=2b9'55b52:8jo6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f63dk3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895130g?!77l;08>lm4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4=jh1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;316`=#99n96>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:?h>7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459574a3-;;h?4<2`a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th89n?51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>;>9;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9;9:7)??d3806de15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<50:95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;9??5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2723?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=?=<;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?=359'55b52:8jo6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f636<3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>8951316?!77l;08>lm4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4=891=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3173=#99n96>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:?:>7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245957503-;;h?4<2`a8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th894H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>;>0;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9;927)??d3806de15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<51d95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;9?l5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb273a?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=?=m;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?=3b9'55b52:8jo6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f637k3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>895131g?!77l;08>lm4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4=9h1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;317`=#99n96>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:?9<7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459575a3-;;h?4<2`a8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th894H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>;>e;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9;>:7)??d3806de15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<50f95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;98?5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb272g?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=?:<;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?=459'55b52:8jo6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f636i3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>8951366?!77l;08>lm4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4=8?1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3103=#99n96>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:?;m7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245957203-;;h?4<2`a8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th89=751;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>;98;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9;>27)??d3806de15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<57595?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;98l5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2752?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=?:m;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?=4b9'55b52:8jo6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f631<3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>895136g?!77l;08>lm4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4=?91=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;310`=#99n96>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:?=>7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459572a3-;;h?4<2`a8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th89;?51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>;80;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9;?:7)??d3806de15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<57d95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;99?5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb275a?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=?;<;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?=559'55b52:8jo6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f631k3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>8951376?!77l;08>lm4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4=?h1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3113=#99n96>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:?=m7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245957303-;;h?4<2`a8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th89;751;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>;90;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9;?27)??d3806de15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<54d95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;99l5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2712?7=>3:112d93a`<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0:>8l4$02g6?55ij1b?o950;&2603=<:l07d:7d;29 442=3;;5554i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21vn>;=a;392?6=8r.:=>h57ed8L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<6:k2;11ef=n;k=1<7*>247906`<3`>3h7>5$0061?771110e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=zj:?9=7?56;294~"69:l1;ih4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568260b<,8:o>7==ab9j7g1=83.:>8;542d8?l2?l3:1(<<:5;33====ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9~f626m3;1=8o50;2x 474n3=386F>22a8L473;2P8n84m{32b>c3=9>h1=:75f28e6?7003;997k9e:l16f<73g88:7>4n206>4=i;;l1<6`;9483?k?0;3:0b<>80;28j`1f291e8?:50:l76=<73-;;m?4>0g18j2>5291/?o855g78L46ai2.:>8;57968 67628:jj6F79g9'=44=<<;o7c?=568260c<,8:o>7==ab9j063=831b==7l:18m54502910e<>6d;29j474?3:07d:<7;29?l771l0;6c?>3683?>o3;00;66g;3583>>i3:>0;66g>0`294?h69:=1<65f11;e>553683?>o3;10;66g;3283>>o3::0;66g;3`83>>o3;;0;66a;2783>>o68031<7`>12594>=n99k:6=4a1014>5=<6=4+fda97105=#j1<1??=4nc:6>47<3`9?97>5$gg`>6213glnn7?4n0303?6<,k2=6><<;o`;1?7732c8894?:%dfg?53>2dmio4=;o3272<73-h3:7==3:la<04?:%dfg?53>2dmio4<;o3272<73-h3:7==3:la<02dmio4;;o3272<73-h3:7==3:la<02dmio4:;o3272<73-h3:7==3:la<02dmio49;o3272<73-h3:7==3:la<02dmio48;o3272<73-h3:7==3:la<02dmio47;o3272<73-h3:7==3:la<0<>32c88k4?:%dfg?53>2dmio46;o3272<73-h3:7==3:la<02dmio4n;o3272<73-h3:7==3:la<0<032c88i4?:%dfg?53>2dmio4m;o3272<73-h3:7==3:la<0<132c88n4?:%dfg?53>2dmio4l;o3272<73-h3:7==3:la<0<232c88o4?:%dfg?53>2dmio4k;o3272<73-h3:7==3:la<0<332c88l4?:%dfg?53>2dmio4j;o3272<73-h3:7==3:la<0<432c8844?:%dfg?53>2dmio4i;o3272<73-h3:7==3:la<0<532c8854?:%dfg?53>2dmio4>0:l2561=82.i4;4<229mf=3=921b?>k50;&eaf<422910c>o<:18'b`e=;h80bkkm:19'f=0=;1=0bo6::038?j5f93:1(kkl:2c1?k`bj3;0(o69:2:4?kd?=3;;76a!`bk39j>6`iec81?!d?>393;6`m848e?>i41o0;6)hjc;1b6>hamk087)l76;1;3>he0<0n76a<9d83>!`bk39j>6`iec87?!d?>393;6`m848g?>i41m0;6)hjc;1b6>hamk0>7)l76;1;3>he0<0h76a<9b83>!`bk39j>6`iec85?!d?>393;6`m848a?>i41k0;6)hjc;1b6>hamk0<7)l76;1;3>he0<0j76a!`bk39j>6`iec8;?!d?>393;6`m848:?>i4ik0;6)hjc;1b6>hamk027)l76;1;3>he0<0376a!`bk39j>6`iec8b?!d?>393;6`m8484?>i4i00;6)hjc;1b6>hamk0i7)l76;1;3>he0<0=76a!`bk39j>6`iec8`?!d?>393;6`m8486?>i4i>0;6)hjc;1b6>hamk0o7)l76;1;3>he0<0?76a!`bk39j>6`iec8f?!d?>393;6`m8480?>i4i<0;6)hjc;1b6>hamk0m7)l76;1;3>he0<0976a!`bk39j>6`iec824>"e0?084:5ab9795>=h;0k1<7*ieb80e7=inlh1=<5+b9497=16=54ig5e>5<#nli1j:k4ngga>5=#j1<1j?:4nc:6>7`<3`l5$gg`>c1b3glnn7?4$c:5>c433gh39722;h07dh89;29 ccd2o=n7chjb;78 g>12o8?7cl75;0b?>oa?10;6)hjc;d4a>hamk0=7)l76;d10>he0<09565ff6594?"amj0m;h5afd`93>"e0?0m>95ab9796==6=4+fda9b2c6?94;hd40?6=,ooh6k9j;odff??<,k2=6k<;;o`;1?4132cm;>4?:%dfg?`0m2dmio4n;%`;2?`5<2di484=5:9jb24=83.min4i7d9mb`d=j2.i4;4i259mf=3=:=10ek9>:18'b`e=n>o0bkkm:b9'f=0=n;>0bo6::318?l`083:1(kkl:g5f?k`bj3n0(o69:g07?kd?=38976gi6g83>!`bk3l3l986`m84815>=nn?o1<7*ieb8e3`=inlh1j6*m878e61=ij1?1>=54ig4g>5<#nli1j:k4ngga>46<,k2=6k<;;o`;1?7a32cm:n4?:%dfg?`0m2dmio4>1:&a<3!`bk3l"e0?0m>95ab9795f=c433gh397?m;:ke22<72-lno7h8e:leag<6=2.i4;4i259mf=3=9h10ek89:18'b`e=n>o0bkkm:048 g>12o8?7cl75;3:?>oa><0;6)hjc;d4a>hamk0:;6*m878e61=ij1?1=554ig47>5<#nli1j:k4ngga>4><,k2=6k<;;o`;1?7032cm:>4?:%dfg?`0m2dmio4>9:&a<376gi6083>!`bk3l"e0?0m>95ab97951=c433gh397?<;:ke1`<72-lno7h8e:leag<6l2.i4;4i259mf=3=9;10ek;k:18'b`e=n>o0bkkm:0g8 g>12o8?7cl75;32?>oa=j0;6)hjc;d4a>hamk0:j6*m878e61=ij1?1==54ig7a>5<#nli1j:k4ngga>76<,k2=6k<;;o`;1?`<3`l>m7>5$gg`>c1b3glnn7<>;%`;2?`5<2di484j;:ke1<<72-lno7h8e:leag<5:2.i4;4i259mf=3=l21bj8650;&eaf0bo6::b98mc30290/jhm5f6g8jcce2;>0(o69:g07?kd?=3h07dh:6;29 ccd2o=n7chjb;06?!d?>3l986`m848b?>oa0<0;6)hjc;d4a>hamk09:6*m878e61=ij1?1565ff9694?"amj0m;h5afd`962=#j1<1j?:4nc:6>==c433gh39794;hd;6?6=,ooh6k9j;odff?4>3-h3:7h=4:la<0<132cm4<4?:%dfg?`0m2dmio4=a:&a<322=10ek99:18'b`e=n>o0bkkm:3a8 g>12o8?7cl75;18?l`1j3:1(kkl:g5f?k`bj38o7)l76;d10>he0<0976gi6183>!`bk3l"e0?0m>95ab9795>=nnk5+b949b726=54i53b>5<#nli18<74ngga>5=i989<6=5+b9497g16?h4;h624$c:5>6d03gh3979:leag<53g;:?:4?;%`;2?5e?2di484=d:9j040=83.min4;189mb`d=;2d:=>950:&a<3<4j>1en5;52b98m172290/jhm540;8jcce2=1e=<=8:19'f=0=;k=0bo6::3`8?l26<3:1(kkl:53:?k`bj3?0b12:h<7cl75;0b?>o39:0;6)hjc;62=>hamk0=7c?>3683?!d?>39i;6`m8481=>=n<881<7*ieb875<=inlh1;6`>12594>"e0?08n:5ab9796==5=#j1<1?o94nc:6>71<3`>;j7>5$gg`>17>3glnn774n0303?6<,k2=6>l8;o`;1?4132c?l:18'b`e=<830bkkm:b9m5450291/n5853c58jg>22;907d:?b;29 ccd2=;27chjb;f8j474?3:0(o69:2`4?kd?=38976g;0`83>!`bk3>:56`iec8f?k76;>0;7)l76;1a3>he0<09=65f41;94?"amj0?=45afd`9b>h69:=1<6*m8780f2=ij1?1>=54i52;>5<#nli18<74ngga>464$c:5>6d03gh397?i;:k742<72-lno7:>9:leag<692d:=>950:&a<3<4j>1en5;51d98m162290/jhm540;8jcce2880b12:h<7cl75;3g?>o38=0;6)hjc;62=>hamk0:?6`>12594>"e0?08n:5ab9795f=l8;o`;1?7e32c?5:l2561=82.i4;4>:18'b`e=<830bkkm:048j474?3:0(o69:2`4?kd?=3;276g;0183>!`bk3>:56`iec823>h69:=1<6*m8780f2=ij1?1=554i2de>5<#nli18<74ngga>4>4$c:5>6d03gh397?8;:k0b`<72-lno7:>9:leag<612d:=>950:&a<3<4j>1en5;51798m6`c290/jhm540;8jcce28k0b12:h<7cl75;36?>o4nj0;6)hjc;62=>hamk0:n6`>12594>"e0?08n:5ab97951=l8;o`;1?7432c8j44?:%dfg?2612dmio4>d:l2561=82.i4;4h7:18'b`e=<830bkkm:0g8j474?3:0(o69:2`4?kd?=3;:76g!`bk3>:56`iec82b>h69:=1<6*m8780f2=ij1?1==54i2d5>5<#nli18<74ngga>764$c:5>6d03gh397h4;h1e1?6=,ooh69?6;odff?463g;:?:4?;%`;2?5e?2di484j;:k0b1<72-lno7:>9:leag<5:2d:=>950:&a<3<4j>1en5;5d:9j7c5=83.min4;189mb`d=::1e=<=8:19'f=0=;k=0bo6::b98m6`5290/jhm540;8jcce2;>0b12:h<7cl75;`8?l5a93:1(kkl:53:?k`bj38>7c?>3683?!d?>39i;6`m848b?>o3:90;6)hjc;62=>hamk09:6`>12594>"e0?08n:5ab979=>=n<8l1<7*ieb875<=inlh1>:5a1014>5=#j1<1?o94nc:6>==l8;o`;1?1<3`>:h7>5$gg`>17>3glnn7<6;o3272<73-h3:7=m7:la<0<132c?=n4?:%dfg?2612dmio4=a:l2561=82.i4;422=10e9?>:18'b`e=<830bkkm:3a8j474?3:0(o69:2`4?kd?=3907d:?6;29 ccd2=;27chjb;0g?k76;>0;7)l76;1a3>he0<0976g!`bk3>:56`iec81a>h69:=1<6*m8780f2=ij1?1=65f3g294?"amj0?=45afd`96c=i989<6=5+b9497g16=54og3a>5<#nli1j5=#j1<1i:k4nc:6>7`<3fl:57>5$gg`>c7f3glnn7?4$c:5>`1b3gh397a:leag<53-h3:7k8e:la<0<5l21dj<950;&eaf22;h07bh>5;29 ccd2o;j7chjb;78 g>12l=n7cl75;0b?>ia9=0;6)hjc;d2e>hamk0=7)l76;g4a>he0<09565`f0194?"amj0m=l5afd`93>"e0?0n;h5ab9796==6?94;nd24?6=,ooh6k?n;odff??<,k2=6h9j;o`;1?4132emk:18'b`e=n8k0bkkm:b9'f=0=m>o0bo6::318?j`7k3:1(kkl:g3b?k`bj3n0(o69:d5f?kd?=38976ai0c83>!`bk3l:m6`iec8f?!d?>3o=hn9k1<7*ieb8e5d=inlh1j6*m878f3`=ij1?1>=54og2:>5<#nli1j46<,k2=6h9j;o`;1?7a32em<54?:%dfg?`6i2dmio4>1:&a<3!`bk3l:m6`iec827>"e0?0n;h5ab9795f=`1b3gh397?m;:me46<72-lno7h>a:leag<6=2.i4;4j7d9mf=3=9h10ck>=:18'b`e=n8k0bkkm:048 g>12l=n7cl75;3:?>ia880;6)hjc;d2e>hamk0:;6*m878f3`=ij1?1=554og23>5<#nli1j4><,k2=6h9j;o`;1?7032enjk4?:%dfg?`6i2dmio4>9:&a<376ajfe83>!`bk3l:m6`iec82f>"e0?0n;h5ab97951=`1b3gh397?<;:mfbd<72-lno7h>a:leag<6l2.i4;4j7d9mf=3=9;10chh6:18'b`e=n8k0bkkm:0g8 g>12l=n7cl75;32?>ibn10;6)hjc;d2e>hamk0:j6*m878f3`=ij1?1==54odd4>5<#nli1j76<,k2=6h9j;o`;1?`<3fom:7>5$gg`>c7f3glnn7<>;%`;2?c0m2di484j;:mfb0<72-lno7h>a:leag<5:2.i4;4j7d9mf=3=l21dik:50;&eafo0bo6::b98k``4290/jhm5f0c8jcce2;>0(o69:d5f?kd?=3h07bki2;29 ccd2o;j7chjb;06?!d?>3oia:80;6)hjc;d2e>hamk09:6*m878f3`=ij1?1565`f3294?"amj0m=l5afd`962=#j1<1i:k4nc:6>==`1b3gh39794;nd2a?6=,ooh6k?n;odff?4>3-h3:7k8e:la<0<132em=i4?:%dfg?`6i2dmio4=a:&a<322=10ck?=:18'b`e=n8k0bkkm:3a8 g>12l=n7cl75;18?j`7?3:1(kkl:g3b?k`bj38o7)l76;g4a>he0<0976ajfb83>!`bk3l:m6`iec81a>"e0?0n;h5ab9795>=hmo;1<7*ieb8e5d=inlh1>k5+b949a2c6=54id5:>5<#nli1i:64ngga>5=i989<6=5+b949a3>6:54id54>5<#nli1i:64ngga>4=i989<6=5+b949a3>6;54id55>5<#nli1i:64ngga>7=i989<6=5+b949a3>6854id56>5<#nli1i:64ngga>6=i989<6=5+b949a3>6954id57>5<#nli1i:64ngga>1=i989<6=5+b949a3>6>54id50>5<#nli1i:64ngga>0=i989<6=5+b949a3>6?54id51>5<#nli1i:64ngga>3=i989<6=5+b949a3>6<54id52>5<#nli1i:64ngga>2=i989<6=5+b949a3>6=54i5a7>5<#nli18n=4ngga>5=i989<6=5+b949064$c:5>1?b3gh397??;:k7g4<72-lno7:l3:leag<53g;:?:4?;%`;2?2>m2di484i;:k7g5<72-lno7:l3:leag<43g;:?:4?;%`;2?2>m2di484j;:k7fc<72-lno7:l3:leag<33g;:?:4?;%`;2?2>m2di484k;:k7f`<72-lno7:l3:leag<23g;:?:4?;%`;2?2>m2di484l;:k7fa<72-lno7:l3:leag<13g;:?:4?;%`;2?2>m2di484m;:k7ff<72-lno7:l3:leag<03g;:?:4?;%`;2?2>m2di484n;:k7ga<72-lno7:l3:leagm2di4846;:k7gf<72-lno7:l3:leag<>3g;:?:4?;%`;2?2>m2di4847;:k7gg<72-lno7:l3:leagm2di4848;:k7gd<72-lno7:l3:leagm2di4849;:k7g<<72-lno7:l3:leagm2di484:;:k7g=<72-lno7:l3:leagm2di484;;:k7g2<72-lno7:l3:leagm2di484<;:k7g3<72-lno7:l3:leagm2di484=;:k7g0<72-lno7:l3:leag<682d:=>950:&a<3<31l1en5;51:9j0gd=83.min4;c29mb`d=981e=<=8:19'f=0=<0o0bo6::198kcc6290/jhm5fd28jcce291/n585f948jg>22;l07bhkf;29 ccd2oo;7chjb;38 g>12o2=7cl75;0f?>iall0;6)hjc;df4>hamk097)l76;d;2>he0<09h65`fef94?"amj0mi=5afd`97>"e0?0m4;5ab9796f=6?l4;ndgf?6=,ooh6kk?;odff?3<,k2=6k69;o`;1?4f32emhl4?:%dfg?`b82dmio49;%`;2?`?>2di484=9:9lba?=83.min4ie19mb`d=?2.i4;4i879mf=3=:110ckj8:18'b`e=nl:0bkkm:99'f=0=n1<0bo6::358?j`c>3:1(kkl:gg3?k`bj330(o69:g:5?kd?=38=76aid483>!`bk3ln<6`iec8b?!d?>3l3:6`m84811>=hnm>1<7*ieb8ea5=inlh1n6*m878e<3=ij1?1>954ogf0>5<#nli1jh>4ngga>f=#j1<1j584nc:6>75<3flo>7>5$gg`>cc73glnn7j4$c:5>c>13gh397<=;:me`4<72-lno7hj0:leag50;&eaf!`bk3ln<6`iec825>"e0?0m4;5ab9795`=c>13gh397?k;:megg<72-lno7hj0:leag<6;2.i4;4i879mf=3=9j10ckmn:18'b`e=nl:0bkkm:068 g>12o2=7cl75;3a?>iak00;6)hjc;df4>hamk0:96*m878e<3=ij1?1=l54oga;>5<#nli1jh>4ngga>40<,k2=6k69;o`;1?7>32emo:4?:%dfg?`b82dmio4>7:&a<3!`bk3ln<6`iec82=>"e0?0m4;5ab97953=c>13gh397?:;:meg6<72-lno7hj0:leag<6j2.i4;4i879mf=3=9=10ckm>:18'b`e=nl:0bkkm:0a8 g>12o2=7cl75;30?>iak90;6)hjc;df4>hamk0:h6*m878e<3=ij1?1=?54og`e>5<#nli1jh>4ngga>4c<,k2=6k69;o`;1?7632emnh4?:%dfg?`b82dmio4>f:&a<33l3:6`m848f?>iajk0;6)hjc;df4>hamk09>6*m878e<3=ij1?1h65`fcc94?"amj0mi=5afd`966=#j1<1j584nc:6>f=c>13gh397l4;nda1/n585f948jg>22110ckk::18'b`e=nl:0bkkm:3:8 g>12o2=7cl75;58?j`b<3:1(kkl:gg3?k`bj3827)l76;d;2>he0<0=76aie283>!`bk3ln<6`iec81e>"e0?0m4;5ab9791>=hnl81<7*ieb8ea5=inlh1>o5+b949b=06954ogf;>5<#nli1jh>4ngga>7e<,k2=6k69;o`;1?5<3flhh7>5$gg`>cc73glnn72di484=;:meg7<72-lno7hj0:leag<5m2.i4;4i879mf=3=921djo950;&eaf12;837cl75;33?>o5=j0;6)hjc;06a>hamk097c?>3683?!d?>38946`m848e?>o5=k0;6)hjc;06a>hamk087c?>3683?!d?>38946`m848f?>o5=h0;6)hjc;06a>hamk0?7c?>3683?!d?>38946`m848g?>o5=00;6)hjc;06a>hamk0>7c?>3683?!d?>38946`m848`?>o5=10;6)hjc;06a>hamk0=7c?>3683?!d?>38946`m848a?>o5=>0;6)hjc;06a>hamk0<7c?>3683?!d?>38946`m848b?>o5>10;6)hjc;06a>hamk037c?>3683?!d?>38946`m848:?>o5>>0;6)hjc;06a>hamk027c?>3683?!d?>38946`m848;?>o5>?0;6)hjc;06a>hamk0j7c?>3683?!d?>38946`m8484?>o5><0;6)hjc;06a>hamk0i7c?>3683?!d?>38946`m8485?>o5>=0;6)hjc;06a>hamk0h7c?>3683?!d?>38946`m8486?>o5>:0;6)hjc;06a>hamk0o7c?>3683?!d?>38946`m8487?>o5>;0;6)hjc;06a>hamk0n7c?>3683?!d?>38946`m8480?>o5>80;6)hjc;06a>hamk0m7c?>3683?!d?>38946`m8481?>o5>90;6)hjc;06a>hamk0:<6`>12594>"e0?09>55ab9795>=n:<<1<7*ieb811`=inlh1=<5a1014>5=#j1<1>?64nc:6>5=6?=4;n;55?6=,ooh648=;odff?7<,k2=64<>;o`;1?4532e2:=4?:%dfg??1:2dmio4=;%`;2??592di484=1:9l=0`=83.min46639mb`d=;2.i4;46209mf=3=:910c4;j:18'b`e=1?80bkkm:59'f=0=1;;0bo6::0d8?j?2l3:1(kkl:841?k`bj3?0(o69:802?kd?=3;n76a65c83>!`bk33=>6`iec85?!d?>339=6`m8482`>=h15<#nli15;<4ngga>==#j1<15??4nc:6>4d<3f3>47>5$gg`><053glnn774$c:5><463gh397?n;:m:12<72-lno7792:leag>;1ejhl5b:&a<3<>:81en5;51998k<32290/jhm59708jcce2j1/n5859338jg>228=07b7:4;29 ccd20<97chjb;f8 g>1208:7cl75;35?>i>=:0;6)hjc;;56>hamk0n7)l76;;15>he0<0:965`94094?"amj02:?5afd`9b>"e0?02><5ab97951=4$c:5><463gh397?<;:m:0c<72-lno7792:leag<692.i4;46209mf=3=9;10c4:j:18'b`e=1?80bkkm:008 g>1208:7cl75;32?>i>hamk0:?6*m878:64=ij1?1==54o86`>5<#nli15;<4ngga>42<,k2=64<>;o`;1?`<3f3?n7>5$gg`><053glnn7?:;%`;2??592di484j;:m:0d<72-lno7792:leag<6>2.i4;46209mf=3=l21d59750;&eaf<>>;1ejhl5169'f=0=1;;0bo6::b98k<2?290/jhm59708jcce2820(o69:802?kd?=3h07b7;7;29 ccd20<97chjb;3:?!d?>339=6`m848b?>i>>h0;6)hjc;;56>hamk0:m6*m878:64=ij1?1565`97;94?"amj02:?5afd`95g=#j1<15??4nc:6>==<463gh39794;n;53?6=,ooh648=;odff?7c3-h3:77=1:la<0<132e2:;4?:%dfg??1:2dmio4>e:&a<3<>:81en5;55:9l=33=83.min46639mb`d=9o1/n5859338jg>22=10c48;:18'b`e=1?80bkkm:328 g>1208:7cl75;18?j?2k3:1(kkl:841?k`bj38:7)l76;;15>he0<0976a65083>!`bk33=>6`iec816>"e0?02><5ab9795>=h1=<1<7*ieb8:27=inlh1>>5+b949=776=54i25g>5<#nli1?:m4ngga>5=#j1<1?;?4nc:6>47<@8:i865f36`94?"amj08;n5afd`95>"e0?08:<5ab97955=O99h?76g<7`83>!`bk3939==6`m848e?M77j=10e>96:18'b`e=;>i0bkkm:29'f=0=;?;0bo6::d9K55d332c8;54?:%dfg?50k2dmio4;;%`;2?5192di484k;I33f1=6n5G11`7?>o4??0;6)hjc;14g>hamk0=7)l76;155>he0<0i7E??b598m612290/jhm536a8jcce2>1/n5853738jg>22h1C==l;;:k0<3<72-lno7=8c:leag3A;;n954i2:6>5<#nli1?:m4ngga><=#j1<1?;?4nc:6>==O99h?76g<8583>!`bk3939==6`m8484?M77j=10e>6<:18'b`e=;>i0bkkm:c9'f=0=;?;0bo6::79K55d332c84?4?:%dfg?50k2dmio4l;%`;2?5192di484:;I33f1=695G11`7?>o4090;6)hjc;14g>hamk0n7)l76;155>he0<087E??b598m61a290/jhm536a8jcce2o1/n5853738jg>22;1C==l;;:k03`<72-lno7=8c:leag<682.i4;4<609mf=3=92B:9l;odff?763-h3:7=91:la<0<73A;;n954}c150a<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?;i;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=94b82>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;<;7)??d3806de15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245957063-;;h?4<2`a8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg51==0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951341?!77l;08>lm4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1516<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?8<;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=95382>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245957023-;;h?4<2`a8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg51=90:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951345?!77l;08>lm4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c150c<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?88;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=94d82>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;<37)??d3806de15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459570>3-;;h?4<2`a8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg51nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895134b?!77l;08>lm4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c105c<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?8m;%33`7<4:hi0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=<1d82>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459570c3-;;h?4<2`a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg549k0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895134f?!77l;08>lm4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c105d<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?8i;%33`7<4:hi0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=<1882>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;=;7)??d3806de15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245957163-;;h?4<2`a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg549>0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951351?!77l;08>lm4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1053<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?9<;%33`7<4:hi0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=<1482>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;=?7)??d3806de15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245957123-;;h?4<2`a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg549:0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951355?!77l;08>lm4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1057<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?98;%33`7<4:hi0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=<2782>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;=37)??d3806de15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===84>:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459571>3-;;h?4<2`a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg54:=0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895135b?!77l;08>lm4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1066<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?9m;%33`7<4:hi0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=<2382>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;=h7)??d3806de15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===<4>:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459571c3-;;h?4<2`a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg54:90:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>895135f?!77l;08>lm4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c105f<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?9i;%33`7<4:hi0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=<1082>3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;2;7)??d3806de15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245957>63-;;h?4<2`a8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg520=0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:00;6>"68m81??ol;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;<286<47:183!76;o07==ab9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==4?4>:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>44?<2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?86>:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;11ef=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=:8b82>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302883:6*>0e0977gd3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:>594$02g6?55ij1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c162c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<<78:&24a4=;;kh7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:>28036=4?{%327c<0m<1C=?=l;I3206=#0kk186*>247955d73A;;595+9009007c3g;99:4>29;8 46c:399mn5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg52010:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:00;e>"68m81??ol;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;<2<6<47:183!76;o07==ab9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==4;4>:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>44?k2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?86::08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;11ef=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=:8182>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302883i6*>0e0977gd3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:>5h4$02g6?55ij1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1545<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?7?;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=:4582>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302882=6*>0e0977gd3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:>4<4$02g6?55ij1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1607<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<<63:&24a4=;;kh7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>2868 46c:399mn5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg52<90:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:00:1>"68m81??ol;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;<>h6<47:183!76;o07==ab9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==8o4>:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>44>?2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?8:n:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;11ef=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=:4882>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m5730288256*>0e0977gd3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:>4o4$02g6?55ij1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1602<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<<6b:&24a4=;;kh7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>28a8 46c:399mn5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg52<<0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:00:`>"68m81??ol;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;<9m6<47:183!76;o07==ab9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==?h4>:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>44>n2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?;?8:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;?;=6<49:183!76;o064:&:57<3=8n0b<<:7;31e4=#99n96>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7?=a39'55b52:8jo6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm373f>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;9m>5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?;?k:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;?;h6<49:183!76;o064:&:57<3=8n0b<<:7;31e0=#99n96>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7?=a79'55b52:8jo6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm373b>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;9m:5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?;?6:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;?;36<49:183!76;o064:&:57<3=8n0b<<:7;31e<=#99n96>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7?=a`9'55b52:8jo6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm3737>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;9mo5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?8ki:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;11ef=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=:ed82>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m5730288jh6*>0e0977gd3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:>lk4$02g6?55ij1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c16af<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>2c28 46c:399mn5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg52mh0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:00a5>"68m81??ol;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;7==ab9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==i54>:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>44e;2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?8h8:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:0(<>k2;11ef=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=:f782>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m5730288i96*>0e0977gd3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:>o84$02g6?55ij1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c16b1<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>2c:8 46c:399mn5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg52n;0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:00a=>"68m81??ol;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;7==ab9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==j=4>:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>44ej2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?8k8:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;11ef=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=92282>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9;ho7)??d3806de15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===94>:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245957db3-;;h?4<2`a8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg53i>0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89513`e?!77l;08>lm4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1560<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=?m?;%33`7<4:hi0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=?6582>6<729q/=<=i:000f>N6::i0D2;665a=i9;?<6<97k8d:9~f661;3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957e53-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:?:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288h?6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f661:3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957e33-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?==i:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288h96*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f66193;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957e13-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?==j:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288h;6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f66183;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957e?3-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?==k:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288h56*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f662n3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957ef3-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?==l:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288hn6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f662m3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957ed3-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?==m:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288hh6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f662l3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957eb3-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?==n:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288hj6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f661l3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957b73-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:6:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288o=6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f661k3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957b53-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:7:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288o?6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f661j3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957b33-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:8:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288o96*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f661i3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957b13-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:9:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288o;6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f66113;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957b?3-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:::087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288o56*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f66103;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957bf3-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:;:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288on6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f661?3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957bd3-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:<:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288oh6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f661>3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957bb3-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:=:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288oj6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f661=3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957c73-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=:>:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288n=6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f662k3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957c53-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?==6:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730288n?6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f665n3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245957c33-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi?=5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>h;4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:=93>1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513g5?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?=5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>h94$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513g;?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?=5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>h74$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513gb?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?=5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>hl4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513g`?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?=5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>hj4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513gf?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?=<6:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>hh4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513d3?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?=<7:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>k?4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513d1?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?==7:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>k=4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513d7?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?==8:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>k;4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513d5?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?==9:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>k94$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513d;?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?==::080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>k74$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513db?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?==;:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>kl4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513d`?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?==<:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>kj4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>89513df?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?===:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:>kh4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8951223?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?==>:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:?=?4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8951221?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?==?:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:?==4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8951227?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi?=<8:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:?=;4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8951225?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>kl9:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:?=94$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6;930(<>k2;0fb`=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6;9h0(<>k2;0fb`=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6;9n0(<>k2;0fb`=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6;9l0(<>k2;0fb`=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6;8;0(<>k2;0fb`=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6;890(<>k2;0fb`=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6;8?0(<>k2;0fb`=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b?75;k1C=?=l;I3206=#9;?>6<<ie0k0;6)?=548f3a=:583>5}#989m6498;I317f=O98>87)?=548:33=#188188?k;o3112<6;8=0(<>k2;0fb`=n<:h1<7*>2479nn7>5$0061?>fk21b==o7:18'573228:jo65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c0eef<62:0;6=u+101e>444j2B:>>m4H0377>"6:"68m81>hhj;h60f?6=,88>976nb:9j=47=83.:>8;58``8?jd?j3:1(<<:5;g4`>=zj;lN69=90(<<:5;;42>">9;0?9o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0e=f<62:0;6=u+101e><7e3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>ad9m5730289:n6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9575e3A;9?n5G1060?!75=<0:>>o4$831>136l2d:>895123`?!77l;09ikk4i51a>5<#9;?>65om;:k:54<72-;99847ac98kg>e290/=?;::d5g?>{e:o=o6<4;:183!76;o02;:5G131`?M76<:1/=?;::855?!?6:3>>=i5a1374>456l2.:=n247912d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ne:l2601=9:;n7)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm2gcb>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>30d8 46c:38njh5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd5n>i1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245956473-;;h?4=egg8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm2g;b>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=f`;95?5=83:p(429'57322888m6*6138714b;7?<239'55b52;omi6g;3c83>!75=<03mo54i832>5<#9;?>65om;:ma78;58`f8?l2bj3:1(<<:5;:bg>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl=f8;95?5=83:p(N6::i0D2;665a=#0kk1=lk4n0063?74:=1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31106<729q/=<=i:000f>N6::i0D2;665a=i9;?<6<==5:&24a4=:lln7d:97k8d:9~f7`0i3;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;3063=#99n96?kie:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mh5a1374>455?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn?hmf;397?6=8r.:=>h5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=><7;%33`7<5moo0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a6c?1280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:011=>"68m81>hhj;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?hn6;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;ji6`>2459564f3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi>klj:080>5<7s-;:?k4>22`8L444k2B:=9=4$0061?75;h1/5<<5443g?k75=>0:??l4$02g6?4bnl1b8>l50;&2603=0hh07d7>1;29 442=32jn65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>895120`?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>ko::080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28kn7c?=568277b<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9joj51;194?6|,8;8j7?=3c9K575d3A;:8>5+1376>444i2.2=?4;50f8j442?3;8>h5+11f1>7cam2c??o4?:%3110:18'573221ki76am8c83>!75=<0n;i54}c0e=1<62=0;6=u+101e><103A;9?n5G1060?!75=<02;;5+9009007c3g;99:4>33d8 46c:38njh5f42`94?"6:976nc:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th9jl:51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'ie0k0;6)?=548f3a=:283>5}#989m6<<2479575f3-3:>7::1e9m57302898=6*>0e096``b3`>8n7>5$0061?>fj21b512d9=21<@888o6F>1518 442=33<:6*6138714b;7?<339'55b52;omi6g;3c83>!75=<03mi54i5ga>5<#9;?>65ol;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=4>:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6il1e=?;8:0107>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;lin7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=9:9?7)??d381acc=ge32c2=<4?:%31104<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6<=<5:&24a4=:lln7d:0`a8?jd?j3:1(<<:5;g4`>=zj;lj>7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fm2d:>8951215?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e:ohj6<4<:183!76;o0:>>l4H000g>N69=90(<<:5;317d=#188188?k;o3112<6;:=0(<>k2;0fb`=n<:h1<7*>24795$0061?>fj21dn5l50;&2603=m>n07pl=f8395?2=83:p(N6::i0D<0(4?=:572`>h6:<=1=>=7;%33`7<5moo0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:ok:6<4<:183!76;o02=o5G131`?M76<:1/=?;::02:3>">9;0?94gb3g;99:4>32;8 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd5nk31=7=50;2x 474n3;9?o5G131`?M76<:1/=?;::000e>">9;0?9o3;k0;6)?=548;eg==ge32ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>0:?>l4$02g6?4bnl1b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd5nh:1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95dc;7?<3b9'55b52;omi6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>454l2.:=n18;1<7*>24795$0061?c0l21vn?h7f;390?6=8r.:=>h59658L444k2B:=9=4$0061??0>2.2=?4;50f8j442?3;8?h5+11f1>7cam2c??o4?:%31100`:94?"6:4?:1y'545a20;i7E?=3b9K54243-;9984>0858 <752=?:h6*7b`82e`=i9;?<6<=6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f7`e:3;1?7>50z&256`=9;9i7E?=3b9K54243-;9984>22c8 <752=?:h6`>245956273-;;h?4=egg8m15e290/=?;::9ca?>o>980;6)?=548;eg=`1c32wi>k67:087>5<7s-;:?k46769K575d3A;:8>5+1376><113-3:>7::1e9m5730289?=6*>0e096``b3`>8n7>5$0061?>fl21b8hl50;&2603=0hi07d??a983>!75=<0:97k8d:9~f7`>m3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3ba>h6:<=1=>:=;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a6cg028086=4?{%327c<6::h0D<<97?=3`9'=44=<<;o7c?=5682715<,8:o>78;58``8?l?693:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th9j:751;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=9:>?7)??d381acc=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6c?028086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0cf?k75=>0:?9;4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:1<7>t$030b??0?2B:>>m4H0377>"6:136l2d:>8951265?!77l;09ikk4i51a>5<#9;?>65ok;:k7ag<72-;99847ab98m46f03:1(<<:5;33ef=`1c32wi>kmn:086>5<7s-;:?k461e9K575d3A;:8>5+fdd9745b3-;9984>0858 <752=?:h6*7b`815g=#no:1?<=j;o3112<6;==0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo08=>k4$0061?771>1/5<<5443g?!>ei3kj7)hi0;127`=i9;?<6<=;8:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj;lh?7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c0eg<<62<0;6=u+101e><7c3A;9?n5G1060?!`bn39:?i5+1376>46>?2.2=?4;50f8 =df2;;i7)hi0;127a=i9;?<6<=;a:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj;lhi7?55;294~"69:l15N69=90(kki:230`>"6:78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=fb095?2=83:p(N6::i0D<0(4?=:572`>h6:<=1=>:l;%33`7<5moo0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:oi36<4::183!76;o02=i5G131`?M76<:1/jhh5301`?!75=<0:<494$831>136l2.3nl4=1c9'bc6=;89h7c?=568271b<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=fbf95?3=83:p(N6::i0D3b9m5730289?i6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7`d93;187>50z&256`=1>=0D<<97786:&:57<3=8n0b<<:7;300c=#99n96?kie:k77g<72-;99847ae98m1ce290/=?;::9c`?>o68h21<7*>247955gd32ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?56;k1/=?;::02:3>">9;0?977e3-lm<7=>3c9m5730289><6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7`dk3;197>50z&256`=18n0D<<?2;665a=#0kk1ml5+fg29745e3g;99:4>3438 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9jn>51;694?6|,8;8j7787:J266e<@8;??6*>2479=20<,0;969;>d:l2601=9:?97)??d381acc=gc32c?io4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a6ce1280>6=4?{%327c<>9m1C=?=l;I3206=#nll1?<=n;%3110<680=0(4?=:572`>"?jh09=o5+fg29745f3g;99:4>3418 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9jnl51;794?6|,8;8j77>d:J266e<@8;??6*ieg8056g<,88>97??969'=44=<<;o7)6ma;cb?!`a839:?l5a1374>452<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=>;:;%33`7<5moo0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?<579'55b52;omi6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f646m3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>8951274?!77l;09ikk4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4:8n1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;301==#99n96?kie:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:8:o7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459563>3-;;h?4=egg8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8>4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn><>a;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9:?i7)??d381acc15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<20;95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;89n5+11f1>7cam2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb20112d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=>;k;%33`7<5moo0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?<5d9'55b52;omi6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f645>3;147>50z&256`=?l<0D<<46e82B:<4:4$831>136l2d:>895127e?!77l;09ikk4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd4:;?1=7650;2x 474n3=n:6F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3025=#99n96?kie:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:8987?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245956063-;;h?4=egg8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8>?=51;:94?6|,8;8j79j6:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9;1/==j=:3gea>o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn><=2;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9:<87)??d381acc15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<23395?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;8:95+11f1>7cam2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb20212d93`0<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=>8:;%33`7<5moo0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?<679'55b52;omi6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f671n3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>8951244?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd49?o1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;302==#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:;=h7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459560>3-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8=;m51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9h1/==j=:3gea>o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>?9a;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9:15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<17;95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;8:n5+11f1>7cam2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb23512d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=>8k;%33`7<5moo0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?<6d9'55b52;omi6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f671>3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>895124e?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd49??1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3035=#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:;=87?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245956163-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8=;=51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>?92;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9:=87)??d381acc15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<17395?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;8;95+11f1>7cam2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2342?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=>9:;%33`7<5moo0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?<779'55b52;omi6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f670<3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>8951254?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd49>91=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;303==#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:;<>7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459561>3-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8=:?51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>?80;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9:=i7)??d381acc15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<17`95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;8;n5+11f1>7cam2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb2354?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=>9k;%33`7<5moo0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?<7d9'55b52;omi6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f67em3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>895125e?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd49kn1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;30<5=#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:;io7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245956>63-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8=ol51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>?ma;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9:287)??d381acc15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<1c;95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;8495+11f1>7cam2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb23a12d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=>6:;%33`7<5moo0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?<879'55b52;omi6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f67d>3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>89512:4?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd49j?1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;30<==#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:;h87?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245956>>3-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th8=n=51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn>?l2;39h57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9:2i7)??d381acc15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl<1b395?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;84n5+11f1>7cam2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb23`4?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=>6k;%33`7<5moo0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?<8d9'55b52;omi6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f67e>3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>89512:e?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd49k?1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;30=5=#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj:;N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=56827<7<,8:o>78;542d8?l2?l3:1(<<:5;33====ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9~f67?83;1:7>50z&256`=?ml0D<<2`13A;;595+9009007c3g;99:4>3808 46c:38njh5f3c594?"6:h4;h6;`?6=,88>97??9998m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65rb2343?7=>3:112d93a`<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0:?4=4$02g6?4bnl1b?o950;&2603=<:l07d:7d;29 442=3;;5554i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21vn>>84;3950g=83:p(N6::i0D7?88;34e?`32o;1=;>5}%611?c1m2d9>n4?;o002?66<5a33d94>h31<0;7c783;28j46083:0bh9n:19m072=82d?>54?;%33e7<68o90b:6=:19'7g0==o?0D<>ia:&2603=?1>0(>?>:02bb>N?1o1/5<<5443g?k75=>0:?4:4$02g6?4bnl1b8>;50;9j55?d290e=<=8:198m46>l3:1bk76;>0;76g;3883>>o3;=0;66a;2683>>o68h:1<7`>12594>=n993m6=4a1014>5=k76;>0;76g;3983>>o3;:0;66g;2283>>o3;h0;66g;3383>>i3:?0;66g>08;94?h69:=1<65f11c2>55<#nli1?984ngga>5=i989<6=5+b9497756:9;odff?74$c:5>6443gh397??;:k001<72-lno7=;6:leag<53g;:?:4?;%`;2?55;2di484i;:k006<72-lno7=;6:leag<43g;:?:4?;%`;2?55;2di484j;:k007<72-lno7=;6:leag<33g;:?:4?;%`;2?55;2di484k;:k004<72-lno7=;6:leag<23g;:?:4?;%`;2?55;2di484l;:k005<72-lno7=;6:leag<13g;:?:4?;%`;2?55;2di484m;:k07c<72-lno7=;6:leag<03g;:?:4?;%`;2?55;2di484n;:k015<72-lno7=;6:leag3g;:?:4?;%`;2?55;2di4847;:k00`<72-lno7=;6:leag950:&a<3<4::1en5;51:9j76c=83.min4<479mb`d=981e=<=8:19'f=0=;;90bo6::198k6g4290/jhm53`08jcce291/n5853958jg>228;07b=n1;29 ccd2:k97chjb;38 g>12:2<7cl75;33?>i4i90;6)hjc;1b6>hamk097)l76;1;3>he0<0m76a<9g83>!`bk39j>6`iec80?!d?>393;6`m848f?>i41l0;6)hjc;1b6>hamk0?7)l76;1;3>he0<0o76a<9e83>!`bk39j>6`iec86?!d?>393;6`m848`?>i41j0;6)hjc;1b6>hamk0=7)l76;1;3>he0<0i76a<9c83>!`bk39j>6`iec84?!d?>393;6`m848b?>i4ij0;6)hjc;1b6>hamk037)l76;1;3>he0<0276a!`bk39j>6`iec8:?!d?>393;6`m848;?>i4ih0;6)hjc;1b6>hamk0j7)l76;1;3>he0<0<76a!`bk39j>6`iec8a?!d?>393;6`m8485?>i4i10;6)hjc;1b6>hamk0h7)l76;1;3>he0<0>76a!`bk39j>6`iec8g?!d?>393;6`m8487?>i4i?0;6)hjc;1b6>hamk0n7)l76;1;3>he0<0876a!`bk39j>6`iec8e?!d?>393;6`m8481?>i4i=0;6)hjc;1b6>hamk0:<6*m8780<2=ij1?1=65`38c94?"amj08m?5afd`954=#j1<1?594nc:6>5=6?h4;hd4`?6=,ooh6k9j;odff?7<,k2=6k<;;o`;1?4b32cm;n4?:%dfg?`0m2dmio4=;%`;2?`5<2di484=d:9jb2d=83.min4i7d9mb`d=;2.i4;4i259mf=3=:j10ek9n:18'b`e=n>o0bkkm:59'f=0=n;>0bo6::3`8?l`013:1(kkl:g5f?k`bj3?0(o69:g07?kd?=38j76gi7983>!`bk3l3l986`m8481=>=nn>=1<7*ieb8e3`=inlh1;6*m878e61=ij1?1>554ig56>5<#nli1j:k4ngga>==#j1<1j?:4nc:6>71<3`l<87>5$gg`>c1b3glnn774$c:5>c433gh397<9;:ke36<72-lno7h8e:leag22;907dh80;29 ccd2o=n7chjb;f8 g>12o8?7cl75;01?>oa>o0;6)hjc;d4a>hamk0n7)l76;d10>he0<09=65ff7g94?"amj0m;h5afd`9b>"e0?0m>95ab97965=4$c:5>c433gh397?i;:ke2f<72-lno7h8e:leag<692.i4;4i259mf=3=9l10ek8n:18'b`e=n>o0bkkm:008 g>12o8?7cl75;3g?>oa>00;6)hjc;d4a>hamk0:?6*m878e61=ij1?1=n54ig4;>5<#nli1j:k4ngga>42<,k2=6k<;;o`;1?7e32cm::4?:%dfg?`0m2dmio4>5:&a<3!`bk3l"e0?0m>95ab9795==c433gh397?8;:ke26<72-lno7h8e:leag<612.i4;4i259mf=3=9?10ek8=:18'b`e=n>o0bkkm:0c8 g>12o8?7cl75;36?>oa>80;6)hjc;d4a>hamk0:n6*m878e61=ij1?1=954ig7e>5<#nli1j:k4ngga>4e<,k2=6k<;;o`;1?7432cm9h4?:%dfg?`0m2dmio4>d:&a<3!`bk3l"e0?0m>95ab97955=4$c:5>c433gh397h4;hd6e?6=,ooh6k9j;odff?463-h3:7h=4:la<0=83.min4i7d9mb`d=::1/n585f368jg>22j10ek;8:18'b`e=n>o0bkkm:368 g>12o8?7cl75;`8?l`2>3:1(kkl:g5f?k`bj38>7)l76;d10>he0<0j76gi8483>!`bk3l"e0?0m>95ab979=>=nn1>1<7*ieb8e3`=inlh1>:5+b949b726554ig:0>5<#nli1j:k4ngga>7><,k2=6k<;;o`;1?1<3`l3>7>5$gg`>c1b3glnn7<6;%`;2?`5<2di4849;:ke<4<72-lno7h8e:leag<5i2.i4;4i259mf=3==21bj5>50;&eaf0bo6::598mc11290/jhm5f6g8jcce2;i0(o69:g07?kd?=3907dh9b;29 ccd2o=n7chjb;0g?!d?>3l986`m8481?>oa>90;6)hjc;d4a>hamk09i6*m878e61=ij1?1=65ff4794?"amj0m;h5afd`96c=#j1<1j?:4nc:6>5=5=#j1<1?o94nc:6>7`<3`>:47>5$gg`>17>3glnn7?4n0303?6<,k2=6>l8;o`;1?4b32c?=:4?:%dfg?2612dmio4=;o3272<73-h3:7=m7:la<0<5l21b8<850;&eaf<3901ejhl53:l2561=82.i4;422;h07d:>4;29 ccd2=;27chjb;78j474?3:0(o69:2`4?kd?=38j76g;1283>!`bk3>:56`iec85?k76;>0;7)l76;1a3>he0<09565f40094?"amj0?=45afd`93>h69:=1<6*m8780f2=ij1?1>554i533>5<#nli18<74ngga>==i989<6=5+b9497g16?94;h63b?6=,ooh69?6;odff??4$c:5>6d03gh397<9;:k74`<72-lno7:>9:leag950:&a<3<4j>1en5;52598m16d290/jhm540;8jcce2j1e=<=8:19'f=0=;k=0bo6::318?l27j3:1(kkl:53:?k`bj3n0b12:h<7cl75;01?>o38h0;6)hjc;62=>hamk0n7c?>3683?!d?>39i;6`m84815>=n<931<7*ieb875<=inlh1j6`>12594>"e0?08n:5ab97965=4n0303?6<,k2=6>l8;o`;1?7a32c?<:4?:%dfg?2612dmio4>1:l2561=82.i4;4::18'b`e=<830bkkm:008j474?3:0(o69:2`4?kd?=3;o76g;0583>!`bk3>:56`iec827>h69:=1<6*m8780f2=ij1?1=n54i520>5<#nli18<74ngga>424$c:5>6d03gh397?m;:k747<72-lno7:>9:leag<6=2d:=>950:&a<3<4j>1en5;51`98m166290/jhm540;8jcce28<0b12:h<7cl75;3:?>o3890;6)hjc;62=>hamk0:;6`>12594>"e0?08n:5ab9795==l8;o`;1?7032c8jh4?:%dfg?2612dmio4>9:l2561=82.i4;4hk:18'b`e=<830bkkm:0c8j474?3:0(o69:2`4?kd?=3;>76g!`bk3>:56`iec82f>h69:=1<6*m8780f2=ij1?1=954i2db>5<#nli18<74ngga>4e4$c:5>6d03gh397?<;:k0b<<72-lno7:>9:leag<6l2d:=>950:&a<3<4j>1en5;51398m6`?290/jhm540;8jcce28o0b12:h<7cl75;32?>o4n>0;6)hjc;62=>hamk0:j6`>12594>"e0?08n:5ab97955=4n0303?6<,k2=6>l8;o`;1?`<3`9m97>5$gg`>17>3glnn7<>;o3272<73-h3:7=m7:la<022j10e>h=:18'b`e=<830bkkm:368j474?3:0(o69:2`4?kd?=3h07d=i1;29 ccd2=;27chjb;06?k76;>0;7)l76;1a3>he0<0j76g;2183>!`bk3>:56`iec812>h69:=1<6*m8780f2=ij1?1565f40d94?"amj0?=45afd`962=i989<6=5+b9497g16554i53f>5<#nli18<74ngga>7>4$c:5>6d03gh39794;h62`?6=,ooh69?6;odff?4>3g;:?:4?;%`;2?5e?2di4849;:k75f<72-lno7:>9:leag<5i2d:=>950:&a<3<4j>1en5;55:9j04d=83.min4;189mb`d=:k1e=<=8:19'f=0=;k=0bo6::598m176290/jhm540;8jcce2;i0b12:h<7cl75;18?l27>3:1(kkl:53:?k`bj38o7c?>3683?!d?>39i;6`m8481?>o4nk0;6)hjc;62=>hamk09i6`>12594>"e0?08n:5ab9795>=n;o:1<7*ieb875<=inlh1>k5a1014>5=#j1<1?o94nc:6>5=6?h4;nd2=?6=,ooh6k?n;odff?7<,k2=6h9j;o`;1?4b32em=54?:%dfg?`6i2dmio4=;%`;2?c0m2di484=d:9lb41=83.min4i1`9mb`d=;2.i4;4j7d9mf=3=:j10ck?9:18'b`e=n8k0bkkm:59'f=0=m>o0bo6::3`8?j`6=3:1(kkl:g3b?k`bj3?0(o69:d5f?kd?=38j76ai1583>!`bk3l:m6`iec85?!d?>3o=hn891<7*ieb8e5d=inlh1;6*m878f3`=ij1?1>554og32>5<#nli1j==#j1<1i:k4nc:6>71<3fl:<7>5$gg`>c7f3glnn774$c:5>`1b3gh397<9;:me4c<72-lno7h>a:leag22;907bh?c;29 ccd2o;j7chjb;f8 g>12l=n7cl75;01?>ia8k0;6)hjc;d2e>hamk0n7)l76;g4a>he0<09=65`f1c94?"amj0m=l5afd`9b>"e0?0n;h5ab97965=4$c:5>`1b3gh397?i;:me4=<72-lno7h>a:leag<692.i4;4j7d9mf=3=9l10ck>9:18'b`e=n8k0bkkm:008 g>12l=n7cl75;3g?>ia8<0;6)hjc;d2e>hamk0:?6*m878f3`=ij1?1=n54og27>5<#nli1j42<,k2=6h9j;o`;1?7e32em<>4?:%dfg?`6i2dmio4>5:&a<3!`bk3l:m6`iec823>"e0?0n;h5ab9795==`1b3gh397?8;:mfbc<72-lno7h>a:leag<612.i4;4j7d9mf=3=9?10chhj:18'b`e=n8k0bkkm:0c8 g>12l=n7cl75;36?>ibnm0;6)hjc;d2e>hamk0:n6*m878f3`=ij1?1=954odda>5<#nli1j4e<,k2=6h9j;o`;1?7432enjl4?:%dfg?`6i2dmio4>d:&a<3290/jhm5f0c8jcce28o0(o69:d5f?kd?=3;:76ajf983>!`bk3l:m6`iec82b>"e0?0n;h5ab97955=4$c:5>`1b3gh397h4;nge2?6=,ooh6k?n;odff?463-h3:7k8e:la<022j10chh<:18'b`e=n8k0bkkm:368 g>12l=n7cl75;`8?jca:3:1(kkl:g3b?k`bj38>7)l76;g4a>he0<0j76ai2083>!`bk3l:m6`iec812>"e0?0n;h5ab979=>=hn;:1<7*ieb8e5d=inlh1>:5+b949a2c6554og3e>5<#nli1j7><,k2=6h9j;o`;1?1<3fl:i7>5$gg`>c7f3glnn7<6;%`;2?c0m2di4849;:me5a<72-lno7h>a:leag<5i2.i4;4j7d9mf=3==21djo0bo6::598kc75290/jhm5f0c8jcce2;i0(o69:d5f?kd?=3907bh?7;29 ccd2o;j7chjb;0g?!d?>3oibnj0;6)hjc;d2e>hamk09i6*m878f3`=ij1?1=65`eg394?"amj0m=l5afd`96c=#j1<1i:k4nc:6>5=5=#j1<1i;64nc:6>2=5=#j1<1i;64nc:6>3=5=#j1<1i;64nc:6>0=6=4+fda9a2>5a1014>5=#j1<1i;64nc:6>1=5=#j1<1i;64nc:6>6=5=#j1<1i;64nc:6>7=5=#j1<1i;64nc:6>4=5=#j1<1i;64nc:6>5=5=#j1<184k4nc:6>47<3`>h>7>5$gg`>1e43glnn7?4n0303?6<,k2=697j;o`;1?7732c?o<4?:%dfg?2d;2dmio4=;o3272<73-h3:7:6e:la<032c?on4?:%dfg?2d;2dmio46;o3272<73-h3:7:6e:la<00:l2561=82.i4;4;9d9mf=3=921b8ol50;&eaf<3k:1ejhl5109m5450291/n58548g8jg>22910ckk>:18'b`e=nl:0bkkm:19'f=0=n1<0bo6::3d8?j`cn3:1(kkl:gg3?k`bj3;0(o69:g:5?kd?=38n76aidd83>!`bk3ln<6`iec81?!d?>3l3:6`m8481`>=hnmn1<7*ieb8ea5=inlh1?6*m878e<3=ij1?1>n54ogf`>5<#nli1jh>4ngga>1=#j1<1j584nc:6>7d<3flon7>5$gg`>cc73glnn7;4$c:5>c>13gh39722;=07bhk6;29 ccd2oo;7chjb;;8 g>12o2=7cl75;05?>ial<0;6)hjc;df4>hamk0j7)l76;d;2>he0<09965`fe694?"amj0mi=5afd`9f>"e0?0m4;5ab97961=6?=4;ndg6?6=,ooh6kk?;odff?b<,k2=6k69;o`;1?4532emh<4?:%dfg?`b82dmio4j;%`;2?`?>2di484=1:9lba6=83.min4ie19mb`d=n2.i4;4i879mf=3=:910ckmi:18'b`e=nl:0bkkm:028 g>12o2=7cl75;3e?>iakl0;6)hjc;df4>hamk0:=6*m878e<3=ij1?1=h54oga`>5<#nli1jh>4ngga>44<,k2=6k69;o`;1?7c32emoo4?:%dfg?`b82dmio4>3:&a<30(o69:g:5?kd?=3;i76aic883>!`bk3ln<6`iec821>"e0?0m4;5ab9795d=c>13gh397?6;:meg2<72-lno7hj0:leag<6?2.i4;4i879mf=3=9110ckm9:18'b`e=nl:0bkkm:0:8 g>12o2=7cl75;34?>iak<0;6)hjc;df4>hamk0:56*m878e<3=ij1?1=;54oga7>5<#nli1jh>4ngga>4g<,k2=6k69;o`;1?7232emo>4?:%dfg?`b82dmio4>b:&a<3!`bk3ln<6`iec82`>"e0?0m4;5ab97957=c>13gh397?>;:mef`<72-lno7hj0:leag<6n2.i4;4i879mf=3=9910cklk:18'b`e=nl:0bkkm:328 g>12o2=7cl75;d8?j`ek3:1(kkl:gg3?k`bj38:7)l76;d;2>he0<0n76aibc83>!`bk3ln<6`iec816>"e0?0m4;5ab979`>=hnkk1<7*ieb8ea5=inlh1>>5+b949b=06n54og`:>5<#nli1jh>4ngga>72<,k2=6k69;o`;1?d<3fli47>5$gg`>cc73glnn7<:;%`;2?`?>2di484n;:mea2<72-lno7hj0:leag<5>2.i4;4i879mf=3=121djh850;&eaf3l3:6`m8485?>iam:0;6)hjc;df4>hamk09m6*m878e<3=ij1?1965`fd094?"amj0mi=5afd`96g=#j1<1j584nc:6>1=c>13gh397=4;nd``?6=,ooh6kk?;odff?4c3-h3:7h76:la<0<532emo?4?:%dfg?`b82dmio4=e:&a<322910e?;i:18'b`e=:228;07d<:d;29 ccd2;?n7chjb;38j474?3:0(o69:30;?kd?=3;;76g=5b83>!`bk38>i6`iec81?k76;>0;7)l76;01<>he0<0m76g=5c83>!`bk38>i6`iec80?k76;>0;7)l76;01<>he0<0n76g=5`83>!`bk38>i6`iec87?k76;>0;7)l76;01<>he0<0o76g=5883>!`bk38>i6`iec86?k76;>0;7)l76;01<>he0<0h76g=5983>!`bk38>i6`iec85?k76;>0;7)l76;01<>he0<0i76g=5683>!`bk38>i6`iec84?k76;>0;7)l76;01<>he0<0j76g=6983>!`bk38>i6`iec8;?k76;>0;7)l76;01<>he0<0276g=6683>!`bk38>i6`iec8:?k76;>0;7)l76;01<>he0<0376g=6783>!`bk38>i6`iec8b?k76;>0;7)l76;01<>he0<0<76g=6483>!`bk38>i6`iec8a?k76;>0;7)l76;01<>he0<0=76g=6583>!`bk38>i6`iec8`?k76;>0;7)l76;01<>he0<0>76g=6283>!`bk38>i6`iec8g?k76;>0;7)l76;01<>he0<0?76g=6383>!`bk38>i6`iec8f?k76;>0;7)l76;01<>he0<0876g=6083>!`bk38>i6`iec8e?k76;>0;7)l76;01<>he0<0976g=6183>!`bk38>i6`iec824>h69:=1<6*m87816==ij1?1=65f24494?"amj099h5afd`954=i989<6=5+b94967>6=54o840>5<#nli15;<4ngga>5=#j1<15??4nc:6>75<3f3==7>5$gg`><053glnn7?4$c:5><463gh397<=;:m:25<72-lno7792:leag<53-h3:77=1:la<0<5921d58h50;&eaf<>>;1ejhl53:&a<3<>:81en5;52198k<3b290/jhm59708jcce2=1/n5859338jg>228l07b7:d;29 ccd20<97chjb;78 g>1208:7cl75;3f?>i>=k0;6)hjc;;56>hamk0=7)l76;;15>he0<0:h65`94c94?"amj02:?5afd`93>"e0?02><5ab9795f=6;o`;1?7f32e29:4?:%dfg??1:2dmio4n;%`;2??592di484>9:9l=00=83.min46639mb`d=j2.i4;46209mf=3=9110c4;::18'b`e=1?80bkkm:b9'f=0=1;;0bo6::058?j?2<3:1(kkl:841?k`bj3n0(o69:802?kd?=3;=76a65283>!`bk33=>6`iec8f?!d?>339=6`m84821>=h1<81<7*ieb8:27=inlh1j6*m878:64=ij1?1=954o873>5<#nli15;<4ngga>46<,k2=64<>;o`;1?7432e28k4?:%dfg??1:2dmio4>1:&a<3<>:81en5;51398k<2b290/jhm59708jcce2880(o69:802?kd?=3;:76a64e83>!`bk33=>6`iec827>"e0?02><5ab97955=h6=4+fda9=34<463gh397h4;n;7f?6=,ooh648=;odff?723-h3:77=1:la<06:&a<3<>:81en5;5d:9l=1?=83.min46639mb`d=9>1/n5859338jg>22j10c4:7:18'b`e=1?80bkkm:0:8 g>1208:7cl75;`8?j?3?3:1(kkl:841?k`bj3;27)l76;;15>he0<0j76a66`83>!`bk33=>6`iec82e>"e0?02><5ab979=>=h1?31<7*ieb8:27=inlh1=o5+b949=776554o84;>5<#nli15;<4ngga>4e<,k2=64<>;o`;1?1<3f3=;7>5$gg`><053glnn7?k;%`;2??592di4849;:m:23<72-lno7792:leag<6m2.i4;46209mf=3==21d5;;50;&eaf<>>;1ejhl51g9'f=0=1;;0bo6::598k<03290/jhm59708jcce2;:0(o69:802?kd?=3907b7:c;29 ccd20<97chjb;02?!d?>339=6`m8481?>i>=80;6)hjc;;56>hamk09>6*m878:64=ij1?1=65`95494?"amj02:?5afd`966=#j1<15??4nc:6>5=6=n;>h1<7*ieb803f=inlh1=6*m878024=ij1?1==5G11`7?>o4?h0;6)hjc;14g>hamk097)l76;155>he0<0m7E??b598m61>290/jhm536a8jcce2:1/n5853738jg>22l1C==l;;:k03=<72-lno7=8c:leag<33-h3:7=91:la<05<#nli1?:m4ngga>0=#j1<1?;?4nc:6>f=O99h?76g<7783>!`bk3939==6`m848a?M77j=10e>9::18'b`e=;>i0bkkm:69'f=0=;?;0bo6::`9K55d332c84;4?:%dfg?50k2dmio47;%`;2?5192di4846;I33f1=6=4+fda972e655G11`7?>o40=0;6)hjc;14g>hamk0j7)l76;155>he0<0<7E??b598m6>4290/jhm536a8jcce2k1/n5853738jg>22?1C==l;;:k0<7<72-lno7=8c:leag5<#nli1?:m4ngga>a=#j1<1?;?4nc:6>1=O99h?76g<8183>!`bk3939==6`m8480?M77j=10e>9i:18'b`e=;>i0bkkm:g9'f=0=;?;0bo6::39K55d332c8;h4?:%dfg?50k2dmio4>0:&a<3<4>81en5;51:J24g2<3`9<87>5$gg`>61d3glnn7?>;%`;2?5192di484?;I33f1=4>:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956?23-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg55i;0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512;5?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c11eg<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>78;%33`7<5moo0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo==a`82>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9:337)??d381acc15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956?>3-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg55i10:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512;b?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c11e2<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>7m;%33`7<5moo0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo==a782>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9:3h7)??d381acc15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956?c3-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg55i=0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512;f?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c11e4<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>7i;%33`7<5moo0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo==a182>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9:k;7)??d381acc15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956g63-;;h?4=egg8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg4a?90:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512c1?!77l;09ikk4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0e2c<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>o<;%33`7<5moo0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9:k?7)??d381acc15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956g23-;;h?4=egg8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg4a>k0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512c5?!77l;09ikk4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0e2d<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>o8;%33`7<5moo0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9:k37)??d381acc15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956g>3-;;h?4=egg8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg4a>>0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512cb?!77l;09ikk4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0e23<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>om;%33`7<5moo0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9:kh7)??d381acc15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956gc3-;;h?4=egg8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg4a?10:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512cf?!77l;09ikk4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0e32<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>oi;%33`7<5moo0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9:h;7)??d381acc15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956d63-;;h?4=egg8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg4a?=0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512`1?!77l;09ikk4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0e36<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>l<;%33`7<5moo0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9:h?7)??d381acc15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956d23-;;h?4=egg8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg4a>:0:6;4?:1y'545a2>o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512`5?!77l;09ikk4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c0e27<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>l8;%33`7<5moo0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=>d`82>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m5730289i46*>0e096``b3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:?o74$02g6?4bnl1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c12`=<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<=ma:&24a4=:lln7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>3c`8 46c:38njh5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg56m;0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:01ag>"68m81>hhj;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;8o:6<47:183!76;o078;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>45em2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;0fb`=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=>dd82>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m5730289h<6*>0e096``b3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:?n?4$02g6?4bnl1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c12`f<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<=l2:&24a4=:lln7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>3b18 46c:38njh5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg56l?0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:01`0>"68m81>hhj;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;8n>6<47:183!76;o078;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956e13-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg561h0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:01`3>"68m81>hhj;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;8326<47:183!76;o0<,8:o>78;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>45d12.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?<78:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;0fb`=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=>9782>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m5730289hn6*>0e096``b3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:?nm4$02g6?4bnl1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c12e4<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<=ld:&24a4=:lln7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>3bg8 46c:38njh5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg561o0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:01`b>"68m81>hhj;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;83n6<47:183!76;o078;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>45c92.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?<7l:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;0fb`=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=>9c82>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m5730289o?6*>0e096``b3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:?i:4$02g6?4bnl1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c12=1<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<=k5:&24a4=:lln7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:24793c0<@8:286*6138714b;7?!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm334`>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;8h:5+11f1>7cam2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi??9::085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;;=?6<49:183!76;o064:&:57<3=8n0b<<:7;30`<=#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7?!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm3351>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;8ho5+11f1>7cam2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi??9>:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;;=;6<49:183!76;o064:&:57<3=8n0b<<:7;30`a=#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7?!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm334f>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?3;8hk5+11f1>7cam2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi??8m:085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;;64:&:57<3=8n0b<<:7;30a4=#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:247955d73A;;595+9009007c3g;99:4>3d08 46c:38njh5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg55<=0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:01f7>"68m81>hhj;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;;>86<47:183!76;o078;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>45b=2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi??:>:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;0fb`=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo==4182>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m5730289n;6*>0e096``b3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:?h64$02g6?4bnl1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c117`<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<=j9:&24a4=:lln7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>3dc8 46c:38njh5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg55o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:01ff>"68m81>hhj;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;;>i6<47:183!76;o078;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>45bl2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi??:6:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;0fb`=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo==4982>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m5730289nj6*>0e096``b3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>0:?k>4$02g6?4bnl1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1103<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6<=i1:&24a4=:lln7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4>3g08 46c:38njh5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg55?00:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89512d0?!77l;09ikk4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c113d<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=>h;;%33`7<5moo0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=?fe82>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9:l>7)??d381acc15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245956`13-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg7dl90:6>4?:1y'545a2888n6F>22a8L473;2.:>8;5131b?!?6:3>>=i5a1374>45a?2.:=n18;1<7*>24795$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=>h7;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg>280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:01e=>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=>hn;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg?280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:01ef>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=>hl;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg0280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:01e`>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=>hj;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg1280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:01eb>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9>?;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg2280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:0635>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9>=;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg3280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:0637>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9>;;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg4280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:0631>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9>9;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg5280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:0633>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9>7;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg6280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:063=>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9>n;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fd4280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:063f>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9>l;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fd5280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:063`>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9>j;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fd6280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:063b>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9??;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fd7280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:0625>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9?=;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fga280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:0627>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9?;;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fgb280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:0621>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9?9;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fgc280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:0623>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9?7;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fgd280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:062=>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9?n;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fge280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:062f>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh5131a?M75;j1C=<:<;%3110<6::k0(4?=:572`>h6:<=1=9?l;%33`7<6k0l0e9=m:18'573221ki76g61083>!75=<03mo54oc:a>5<#9;?>6h9k;:a5fg7280?6=4?{%327c<>?>1C=?=l;I3206=#9;?>6499;%;26?229m1e=?;8:062`>"68m81=n7i;h60f?6=,88>976nd:9j0`d=83.:>8;58`a8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vnh57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9=;n7)??d382g<`15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>d2195?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;?=k5+11f1>4e>n2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0f06?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=9l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?;209'55b528i2j6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4b483;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>8951501?!77l;0:o4h4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6l;l1=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;3766=#99n96o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8n9i7?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>245951433-;;h?4>c8d8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:h?j51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnh57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9=8=7)??d382g<`15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>d3`95?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;?>:5+11f1>4e>n2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0f0g?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=9<7;%33`7<6k0l0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?;289'55b528i2j6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4b4i3;147>50z&256`=?l?0D<<46e82B:<4:4$831>136l2d:>895150b?!77l;0:o4h4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd6l:31=7650;2x 474n3=n96F>22a8L473;2.3nl4;;%3110<68k:0D<>64:&:57<3=8n0b<<:7;376g=#99n96o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj8n847?58;294~"69:l1;h;4H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>2459514d3-;;h?4>c8d8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th:h>951;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnh57d78L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9=8n7)??d382g<`15a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl>d2795?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;?>k5+11f1>4e>n2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb0f1e?7=03:112d93`3<@888o6F>1518 =df2=1/=?;::02a4>N680>0(4?=:572`>h6:<=1=9=?;%33`7<6k0l0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?;309'55b528i2j6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f4b7k3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4>4208 46c:3;h5k5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0f3f?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0:8>=4$02g6?7d1o1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<6<:>0(<>k2;3`=c=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8n;57?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=5682063<,8:o>7?l9g9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4b703;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4>4248 46c:3;h5k5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0f33?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0:8>94$02g6?7d1o1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<6<:20(<>k2;3`=c=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8n;97?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568206?<,8:o>7?l9g9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4b7<3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4>42c8 46c:3;h5k5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0f37?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0:8>l4$02g6?7d1o1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn4;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<6<:i0(<>k2;3`=c=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8n:?7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568206b<,8:o>7?l9g9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4b6:3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4>42g8 46c:3;h5k5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0f25?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0:8>h4$02g6?7d1o1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn0;392?6=8r.:=>h57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<6<=:0(<>k2;3`=c=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8n;j7?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=5682017<,8:o>7?l9g9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4b7m3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4>4508 46c:3;h5k5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0f3`?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0:89=4$02g6?7d1o1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<6<=>0(<>k2;3`=c=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8no?7?53;294~"69:l1=?=m;I317f=O98>87)?=548266g<,0;969;>d:l2601=9=>>7)??d382`=c=ge32c2=<4?:%31104<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4548 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lhh1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245951203-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ef2>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>45:8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lhk1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459512>3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ef3>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>45c8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lh31=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459512e3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1eae>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>45a8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lh21=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459512c3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1eaf>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>45g8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lh=1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459512a3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1eag>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4428 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lh<1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245951363-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ea`>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4408 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lh?1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245951343-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1eaa>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4468 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lh>1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245951323-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1eab>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4448 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lh91=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245951303-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ef`>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>44:8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lk>1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459513>3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1efa>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>44c8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lk91=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459513e3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1efb>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>44a8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lk81=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459513c3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ef:>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>44g8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lk;1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459513a3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ef;>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4728 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lk:1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245951063-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ef4>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4708 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lhl1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245951043-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ef5>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4768 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lho1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245951023-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ef6>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>4748 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lhn1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>245951003-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ef7>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>47:8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lhi1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459510>3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1ea:>4<4290;w)?>3g8266d<@888o6F>1518 442=3;9?l5+9009007c3g;99:4>47c8 46c:3;o4h5f42`94?"6:976nb:9lf=d=83.:>8;5e6f8?xd6lh81=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459510e3-;;h?4>d9g8m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm1d00>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3;?:n5+11f1>4b?m2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=h<=:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9m1/==j=:0f;a>o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9l8:6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;372`=#99n96i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7?;6g9'55b528n3i6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm1d3e>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3;?;=5+11f1>4b?m2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=h?j:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9l;o6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;3737=#99n96i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7?;729'55b528n3i6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm1d3a>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3;?;95+11f1>4b?m2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=h?n:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9l8i6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;3733=#99n96i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7?;769'55b528n3i6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm1d0:>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3;?;55+11f1>4b?m2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=h<7:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9l8<6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;373d=#99n96i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7?;7c9'55b528n3i6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm1d06>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3;?;n5+11f1>4b?m2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=h<;:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9l;26<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;373`=#99n96i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7?;7g9'55b528n3i6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm1d20>43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>0:85>4$02g6?7c0l1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3gbd<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1=96>;%33`7<6l1o0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?kf082>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=9=297)??d382`=c15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245951>43-;;h?4>d9g8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg7cnk0:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>89515:7?!77l;0:h5k4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3gba<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1=96:;%33`7<6l1o0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?kfd82>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=9=2=7)??d382`=c15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245951>03-;;h?4>d9g8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg7cno0:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>89515:;?!77l;0:h5k4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3f45<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1=966;%33`7<6l1o0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?j0382>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=9=2j7)??d382`=c15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===4>:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245951>e3-;;h?4>d9g8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg7b880:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>89515:`?!77l;0:h5k4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3gb0<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1=96k;%33`7<6l1o0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?kf782>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=9=2n7)??d382`=c15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245951>a3-;;h?4>d9g8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg7cn10:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>89515;3?!77l;0:h5k4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3gb<<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1=97>;%33`7<6l1o0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?kf682>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=9=397)??d382`=c15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<00h1e=?;8:06:7>"68m81=k<6;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj8>347?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2e12d:>89515;7?!77l;0:8594i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi=9o=:087>5<7s-;:?k461b9K575d3A;:8>5+fdd951033-;9984>0858 <752=?:h6*7b`84k2;37<2=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj8>j=7?54;294~"69:l15N69=90(kki:0661>"6:>96`>245951?13-;;h?4>4958m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma"68m81=968;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:88:4$0061?771>1/5<<5443g?!>ei3=3n6*if182002;7?;999'55b528>3;6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm15;g>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=??7)?=54824<1<,0;969;>d:&;fd<00k1/jk>51577?k75=>0:8474$02g6?730>1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn<:6c;390?6=8r.:=>h590a8L444k2B:=9=4$gge>422;2.:>8;511;4?!?6:3>>=i5+8cc93=d<,ol;6<::3:l2601=9=3j7)??d3820=16753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;3716=#9;?>6<>67:&:57<3=8n0(5ln:6:a?!`a83;?9>5a1374>42>j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd6<0k1=7:50;2x 474n33:o6F>22a8L473;2.mik4>4408 442=3;;5:5+9009007c3-2im797b:&eb5<6<<80b<<:7;37=f=#99n96<:77:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a51?>280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=9;=;%3110<680=0(4?=:572`>"?jh0<4o5+fg2951353g;99:4>48f8 46c:3;?4:5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>>=6*>247955?03-3:>7::1e9'h6:<=1=97j;%33`7<6<1=0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?73=81/=?;::02:3>">9;0?92>e3-lm<7?;509m573028>2j6*>0e0951>03`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th:84851;694?6|,8;8j77>c:J266e<@8;??6*ieg82006<,88>97??969'=44=<<;o7)6ma;5;f>"an90:88>4n0063?73i91/==j=:06;3>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e9=3?6<4;:183!76;o02=n5G131`?M76<:1/jhh51573?!75=<0:<494$831>136l2.3nl488c9'bc6=9=?;7c?=56820d7<,8:o>7?;869j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f42>;3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>423n2d:>89515c1?!77l;0:8594i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c37=7<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?8k5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;370c=i9;?<6<:n3:&24a4=9=2<7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>48395?2=83:p(N6::i0D45g8j442?3;?m95+11f1>42??2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi=97?:087>5<7s-;:?k461b9K575d3A;:8>5+fdd9512b3-;9984>0858 <752=?:h6*7b`84k2;37<2=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj8>3j7?54;294~"69:l15N69=90(kki:067`>"6:?h6`>245951g13-;;h?4>4958m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma"68m81=968;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:89m4$0061?771>1/5<<5443g?!>ei3=3n6*if18201e;7?;a99'55b528>3;6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm15:`>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=>h7)?=54824<1<,0;969;>d:&;fd<00k1/jk>5156`?k75=>0:8l74$02g6?730>1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn<:7b;390?6=8r.:=>h590a8L444k2B:=9=4$gge>423j2.:>8;511;4?!?6:3>>=i5+8cc93=d<,ol;6<:;b:l2601=9=kj7)??d3820=16753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;370g=#9;?>6<>67:&:57<3=8n0(5ln:6:a?!`a83;?8o5a1374>42fj2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd622a8L473;2.mik4>4718 442=3;;5:5+9009007c3-2im797b:&eb5<6o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a51g0280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=98<;%3110<680=0(4?=:572`>"?jh0<4o5+fg2951043g;99:4>4`f8 46c:3;?4:5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>=>6*>247955?03-3:>7::1e9'h6:<=1=9oj;%33`7<6<1=0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?73>;1/=?;::02:3>">9;0?92>e3-lm<7?;639m573028>jj6*>0e0951>03`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th:8l:51;694?6|,8;8j77>c:J266e<@8;??6*ieg82037<,88>97??969'=44=<<;o7)6ma;5;f>"an90:8;?4n0063?73j91/==j=:06;3>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e9=k86<4;:183!76;o02=n5G131`?M76<:1/jhh51542?!75=<0:<494$831>136l2.3nl488c9'bc6=9=<:7c?=56820g7<,8:o>7?;869j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f42f83;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>42182d:>89515`1?!77l;0:8594i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c37=0<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?:=5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;3725=i9;?<6<:m3:&24a4=9=2<7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>49c95?2=83:p(N6::i0D0(<<:5;33=2=#188188?k;%:ae?1?j2.mj=4>4768j442?3;?n95+11f1>42??2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi?ok6:087>5<7s-;:?k461b9K575d3A;:8>5+fdd951253-;9984>0858 <752=?:h6*7b`84k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj:hnm7?54;294~"69:l15N69=90(kki:0676>"6:?>6`>245951d13-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:ma"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:89?4$0061?771>1/5<<5443g?!>ei3=3n6*if182017;7?;b99'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm3cgg>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=>;7)?=54824<1<,0;969;>d:&;fd<00k1/jk>51563?k75=>0:8o74$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn>lje;390?6=8r.:=>h590a8L444k2B:=9=4$gge>42382.:>8;511;4?!?6:3>>=i5+8cc93=d<,ol;6<:;0:l2601=9=hj7)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;377c=#9;?>6<>67:&:57<3=8n0(5ln:6:a?!`a83;??k5a1374>42ej2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4jo:1=7:50;2x 474n33:o6F>22a8L473;2.mik4>42d8 442=3;;5:5+9009007c3-2im797b:&eb5<6<:l0b<<:7;37ff=#99n96>lo?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a7g`6280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=9=j;%3110<680=0(4?=:572`>"?jh0<4o5+fg29515b3g;99:4>4cf8 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>8i6*>247955?03-3:>7::1e9'h6:<=1=9lj;%33`7<4j:n0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?73;m1/=?;::02:3>">9;0?92>e3-lm<7?;3e9m573028>ij6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8nh:51;694?6|,8;8j77>c:J266e<@8;??6*ieg8206b<,88>97??969'=44=<<;o7)6ma;5;f>"an90:8>j4n0063?73k91/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e;ko>6<4;:183!76;o02=n5G131`?M76<:1/jhh5151`?!75=<0:<494$831>136l2.3nl488c9'bc6=9=9h7c?=56820f7<,8:o>7=m3e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f6db>3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>424k2d:>89515a1?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c1aa2<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;??o5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;377g=i9;?<6<:l3:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D42`8j442?3;?o95+11f1>6d4l2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi?hlk:080>5<7s-;:?k461c9K575d3A;:8>5+fdd951673-;9984>0858 <752=?:h6*7b`82a0=#no:1=9>?;o3112<6k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl:27a95?2=83:p(N6::i0Dh:6*>0e09176e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th>>:>51;694?6|,8;8j77>c:J266e<@8;??6*ieg827g5<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8n>5a1374>42d?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4k:l1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<=d;7?;c99'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74nh1/=?;::02:3>">9;0?97203-lm<7?h56*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8nk=51;694?6|,8;8j77>c:J266e<@8;??6*ieg827cg<,88>97??969'=44=<<;o7)6ma;073>"an90:?ko4n0063?73kh1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e9oh96<4<:183!76;o02=o5G131`?M76<:1/jhh5152b?!75=<0:<494$831>136l2.3nl4;9d9'bc6=9=:j7c?=56820fd<,8:o>7?i289j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th8i:l51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'lo?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1`5g<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>c59m573028>hh6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07plN6::i0D?3-lm<7?hi6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8o>951;694?6|,8;8j77>c:J266e<@8;??6*ieg827f7<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8o<5a1374>42dn2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4k:?1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3b38 442=3;;5:5+9009007c3-2im767;%de4?74k81e=?;8:06g4>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?n>4$0061?771>1/5<<5443g?!>ei3237)hi0;30g5=i9;?<6<:k1:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D?3-lm<7?o>6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8o><51;694?6|,8;8j77>c:J266e<@8;??6*ieg827g`<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8nk5a1374>42c;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4k:;1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3cd8 442=3;;5:5+9009007c3-2im767;%de4?74jo1e=?;8:06g0>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?ok4$0061?771>1/5<<5443g?!>ei3237)hi0;30f`=i9;?<6<:k5:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D?3-lm<7?o:6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8o?k51;694?6|,8;8j77>c:J266e<@8;??6*ieg827gb<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8ni5a1374>42c?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4k;n1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3cf8 442=3;;5:5+9009007c3-2im767;%de4?74jm1e=?;8:06g<>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?om4$0061?771>1/5<<5443g?!>ei3237)hi0;30ff=i9;?<6<:k9:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D?3-lm<7?om6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8o?751;694?6|,8;8j77>c:J266e<@8;??6*ieg827gd<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8no5a1374>42cj2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4k;21=7:50;2x 474n33:o6F>22a8L473;2.mik4>3c`8 442=3;;5:5+9009007c3-2im767;%de4?74jk1e=?;8:06gg>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?oo4$0061?771>1/5<<5443g?!>ei3237)hi0;30fd=i9;?<6<:kd:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D?3-lm<7?oi6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8o?;51;694?6|,8;8j77>c:J266e<@8;??6*ieg827g?<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8n45a1374>42cn2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4k;>1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3c;8 442=3;;5:5+9009007c3-2im767;%de4?74j01e=?;8:06f4>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?o64$0061?771>1/5<<5443g?!>ei3237)hi0;30f==i9;?<6<:j1:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D?3-lm<7?n>6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8o??51;694?6|,8;8j77>c:J266e<@8;??6*ieg827g1<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8n:5a1374>42b;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4k8l1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3c58 442=3;;5:5+9009007c3-2im767;%de4?74j>1e=?;8:06f0>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?n84$0061?771>1/5<<5443g?!>ei3237)hi0;30g3=i9;?<6<:j5:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D?3-lm<7?n:6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8o>m51;694?6|,8;8j77>c:J266e<@8;??6*ieg827f3<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8o85a1374>42b?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4k:h1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3b68 442=3;;5:5+9009007c3-2im767;%de4?74k=1e=?;8:06f<>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?n:4$0061?771>1/5<<5443g?!>ei3237)hi0;30g1=i9;?<6<:j9:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D?3-lm<7?nm6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8o>851;694?6|,8;8j77>c:J266e<@8;??6*ieg827f5<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8o>5a1374>42bj2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4k;:1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3b08 442=3;;5:5+9009007c3-2im767;%de4?74k;1e=?;8:06fg>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?n<4$0061?771>1/5<<5443g?!>ei3237)hi0;30g7=i9;?<6<:jd:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1=k64n0063?73ml1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c1`56<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl474d9m573028>nj6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:i;?7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>3m2d:>89515d3?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3b21>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj65:j;o3112<6k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4jo?1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<1c;7?;f39'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh038h5a1374>42a;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6e713;187>50z&256`=18i0D<<2;665a=#0kk1>427=2d:>89515d7?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c1`4g<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8555+1376>46>?2.2=?4;50f8 =df2;897)hi0;30===i9;?<6<:i5:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma45>02d:>89515d4?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c1``2<62:0;6=u+101e><7e3A;9?n5G1060?!`bn3;?<<5+1376>46>?2.2=?4;50f8 =df2>2j7)hi0;3744=i9;?<6<:i8:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f6e6?3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;`g?k75=>0:8k74$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8o<:51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4e<@888o6F>1518 cca289mj6*>247955?03-3:>7::1e9'0(kh?:01eb>h6:<=1=9hm;%33`7<4j:n0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?75n?1/=?;::02:3>">9;0?9gg<,ol;6<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a7fgb280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>7>;%3110<680=0(4?=:572`>"?jh09;>5+fg2956?63g;99:4>4gf8 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8olm51;794?6|,8;8j77>d:J266e<@8;??6*ieg827<7<,88>97??969'=44=<<;o7)6ma;047>"an90:?4?4n0063?73nl1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c1bg0<62?0;6=u+101e><7b3A;9?n5G1060?!`bn3;?;85+1376>46>?2.2=?4;50f8 =df2130(kh?:0641>h6:<=1=9hi;%33`7<6m:h0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c1bg4<62?0;6=u+101e><7b3A;9?n5G1060?!`bn3;?;?5+1376>46>?2.2=?4;50f8 =df2<=0(kh?:0646>h6:<=1=8>?;%33`7<6m:h0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c1bg2<62?0;6=u+101e><7b3A;9?n5G1060?!`bn3;?;95+1376>46>?2.2=?4;50f8 =df28:37)hi0;3731=i9;?<6<;?1:&24a4=9l9i7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:8:=4$0061?771>1/5<<5443g?!>ei3;;46*if182025;7?:039'55b528o8n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a5`bd280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0g:?k75=>0:9==4$02g6?7bl=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8moh51;494?6|,8;8j77>e:J266e<@8;??6*ieg82022<,88>97??969'=44=<<;o7)6ma;6b?!`a83;?;95a1374>437<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th8moo51;494?6|,8;8j77>e:J266e<@8;??6*ieg82023<,88>97??969'=44=<<;o7)6ma;77?!`a83;?;85a1374>437=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th8mn=51;494?6|,8;8j77>e:J266e<@8;??6*ieg82025<,88>97??969'=44=<<;o7)6ma;::?!`a83;?;>5a1374>437>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th8o9j51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 cca2882j6*>247955?03-3:>7::1e9'h6:<=1=8>7;%33`7<4j:n0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c7123<62?0;6=u+101e><7b3A;9?n5G1060?!`bn3;?;?5+1376>46>?2.2=?4;50f8 =df28:37)hi0;3737=i9;?<6<;?9:&24a4==;:i7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:>494$0061?771>1/5<<5443g?!>ei3;<=6*if1826<1;7?:0`9'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7f16280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3;3?k75=>0:9=l4$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<72:l2601=9<:h7)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;j=>6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94cf3g;99:4>51f8 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl:20c95?1=83:p(N6::i0D2;665a=#0kk1;5m4n0063?728l1/==j=:403f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`846`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn8<=2;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=3o6`>245950773-;;h?4:21`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a1744280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6:`?k75=>0:9f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th>>?:51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c7160<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl488b9m573028?:?6*>0e09176e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj<89;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1?k2d:>8951437?!77l;0>>=l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm530:>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:6l;o3112<6=8?0(<>k2;714g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd2:;<1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93=e;7?:179'55b52<8;n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<4n5a1374>436?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f045l3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5;g>h6:<=1=8?7;%33`7<2:9h0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi9?=>:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>2h7c?=568214?<,8:o>7;=0c9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:=l5+11f1>047j2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<00j1e=?;8:072f>"68m819?>m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im766;o3112<6=8i0(<>k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn>km9;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38<;6`>2459507c3-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7f04280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=?77;%3110<680=0(4?=:572`>"?jh0?m6*if1826<>;7?:1d9'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7f22280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=?7i;%3110<680=0(4?=:572`>"?jh0856*if1826<`;7?:1g9'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7f1>280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=?78;%3110<680=0(4?=:572`>"?jh0356*if1826<1;7?:219'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7`?3280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=>6;;%3110<680=0(4?=:572`>"?jh02<6*if1827=2;7?:209'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a51de28086=4?{%327c<>9k1C=?=l;I3206=#nll1=99>;%3110<680=0(4?=:572`>"?jh0:o:5+fg2951163g;99:4>5308 46c:3;?ml5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd2:h>1=7;50;2x 474n33:h6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc963?;7?:229'55b52<83h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5i2d:>8951407?!77l;0>>5j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm53;g>4<1290;w)?>3g8:5`=O9;9h7E?>429'b``=9===7)?=54824<1<,0;969;>d:&;fd<6811/jk>51555?k75=>0:9?;4$02g6?350m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im766;o3112<6=;<0(<>k2;712479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn8<6b;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32>j6`>245950403-;;h?4:29f8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a17?528086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:041?k75=>0:9?64$02g6?350m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b??6j2B:>>m4H0377>"amo0:8==4$0061?771>1/5<<5443g?!>ei3;n96*if182055;7?:289'55b52<83h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma420>2d:>895140b?!77l;0>>5j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`807>h6:<=1=8:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8ol6:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2o7c?=568217e<,8:o>7:ndc9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=hin7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4?l2d:>895140g?!77l;0?mil4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`816`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:>k5+11f1>1gcj2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4ca2>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6k;o3112<6=::0(<>k2;6b`g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9ll3;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383h6`>245950563-;;h?4;ae`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=io84>:783>5}#989m64?j;I317f=O98>87)hjf;30<2=#9;?>6<>67:&:57<3=8n0(5ln:3:g?!`a83;84:5a1374>434:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?nn951;694?6|,8;8j77>c:J266e<@8;??6*ieg827=1<,88>97??969'=44=<<;o7)6ma;5ff>"an90:?594n0063?72;:1/==j=:5cgf>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e<>926<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>5268 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;bg:95?1=83:p(N6::i0D2;665a=#0kk1;ij4n0063?72;<1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84f==i9;?<6<;<6:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;abf95?2=83:p(N6::i0D2;665a=#0kk1>1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e">9;0?91513g;99:4>52:8 46c:3>jho5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f113m3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;5a3>h6:<=1=8=6;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c6a45<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;379m573028?8m6*>0e090dbe3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;b1395?0=83:p(N6::i0D2;665a=#0kk18>84n0063?72;k1/==j=:5cgf>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8o><:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=9=7c?=568216e<,8:o>7:ndc9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=h;87?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?24>2d:>895141g?!77l;0?mil4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`805<=i9;?<6<;6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:?k5+11f1>1gcj2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4c24>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>?6;o3112<6==:0(<>k2;6b`g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9l?8;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39:56`>245950263-;;h?4;ae`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=i<44>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3;?1e=?;8:0776>"68m818ljm;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd3j9k1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9060;7?:429'55b52=kon6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0202280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:2g:?k75=>0:99:4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?;9:l2601=9<>>7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<>>i6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?93c;7?:479'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?741k1/=?;::02:3>">9;0?92b53-lm<7?<9c9m573028??;6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?;>o51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6b`0<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;b:l2601=9<>27)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<>9h6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>55c8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;72595?1=83:p(N6::i0D2;665a=#0kk1;ij4n0063?72o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84`a=i9;?<6<;;c:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn99<8;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>2459502c3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0=7>280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:578j442?3;>8h5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lm1e=?;8:077b>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7:>;o3112<6=<:0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd300=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93g=i9;?<6<;:1:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9l>3;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei37:ndc9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:?6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;ab495?5=83:p(N6::i0D40d8j442?3;>995+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c6;0f<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47549m573028?>96*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;75c95?5=83:p(N6::i0D47g8j442?3;>9;5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c6403<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>e39m573028?>;6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj==?87?54;294~"69:l15N69=90(kki:01:e>"6:2459503?3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8;6d=i9;?<6<;:9:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn967a;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>?7c?=568210g<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:8>64$0061?771>1/5<<5443g?!>ei38:56*if18206>;7?:5c9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=34:4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im79ie:l2601=96753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03>l5a1374>432m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1>?=3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;5ea>h6:<=1=8;i;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c65<`<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>e59m573028?=<6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=<2<7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7b92d:>8951442?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`8;<`=i9;?<6<;92:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9671;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32896`>245950043-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a025a280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:2a8j442?3;>:95+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm49c4>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:hm;o3112<6=??0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn96n6;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44ej2.:>8;511;4?!?6:3>>=i5+8cc9<<=#no:1=?lm;o3112<6=?<0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn969e;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3987c?=5682131<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:8=k4$0061?771>1/5<<5443g?!>ei3=3n6*if18205c;7?:699'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm4912>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9:3m7)?=54824<1<,0;969;>d:&;fd<0111/jk>512;e?k75=>0:9;74$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn96<3;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45>n2.:>8;511;4?!?6:3>>=i5+8cc9<==#no:1=>7i;o3112<6=?k0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj==3n7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>?:2d:>895144a?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4e`3>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?o4n0063?72>j1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma44e12d:>895144g?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6:77<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<3:l2601=9<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<0kj6<4;:183!76;o02=n5G131`?M76<:1/jhh512;1?!75=<0:<494$831>136l2.3nl4>629'bc6=9:397c?=568213`<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1>d:3;187>50z&256`=18i0D<<2;665a=#0kk1455+fg2957d?3g;99:4>5628 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7?93:l2601=9<=:7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=2?:4>:583>5}#989m64?l;I317f=O98>87)hjf;300==#9;?>6<>67:&:57<3=8n0(5ln:040?!`a83;8855a1374>430:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd31:?1=7:50;2x 474n33:o6F>22a8L473;2.mik4>39c8 442=3;;5:5+9009007c3-2im79<;%de4?740h1e=?;8:0747>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?4=4$0061?771>1/5<<5443g?!>ei3=87)hi0;30=6=i9;?<6<;84:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;9e195?2=83:p(N6::i0D35:8j442?3;>;85+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8:j7:087>5<7s-;:?k461b9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=h37c?=5682120<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f11dm3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>45c>2d:>8951454?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c64gc<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?==5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;3755=i9;?<6<;88:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;7e695?2=83:p(N6::i0D41f8j442?3;>;45+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi858l:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df219i7c?=568212g<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj==o=7?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1?j2d:>895145a?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6;22<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<539m573028?0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;7b095?2=83:p(N6::i0D3548j442?3;>;i5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi849?:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956?73-;9984>0858 <752=?:h6*7b`847?;o3112<6=>o0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=3j;7?54;294~"69:l15N69=90(kki:017=>"6:27c?=568212`<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1?2>3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>425:2d:>89514:3?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6;g5<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?945+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;371<=i9;?<6<;71:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;9`;95?2=83:p(N6::i0D2.mj=4>3978j442?3;>4?5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi848<:087>5<7s-;:?k461b9K575d3A;:8>5+fdd9565d3-;9984>0858 <752=?:h6*7b`84=l;o3112<6=190(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj==o57?54;294~"69:l15N69=90(kki:0175>"6:245950>33-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:4;5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8587:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:?97c?=56821=1<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj==h?7?54;294~"69:l15N69=90(kki:0171>"6:245950>?3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:mail0:694?:1y'545a20;h7E?=3b9K54243-lnj7?<489'573228:2;6*6138714b<,1hj6<8<;%de4?74<01e=?;8:07;=>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?9>4$0061?771>1/5<<5443g?!>ei3=3n6*if182716;7?:8`9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm46f0>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=:o7)?=54824<1<,0;969;>d:&;fd<00k1/jk>5152g?k75=>0:95l4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn9699;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39>>6`>245950>d3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;3001=#9;?>6<>67:&:57<3=8n0(5ln:6:a?!`a83;8895a1374>43?l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd31<:1=7:50;2x 474n33:o6F>22a8L473;2.mik4>44;8 442=3;;5:5+9009007c3-2im797b:&eb5<6<<30b<<:7;36<`=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0<57280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>ml;%3110<680=0(4?=:572`>"?jh09=85+fg2956ed3g;99:4>59d8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>;i6*>247955?03-3:>7::1e9'h6:<=1=87?;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74l;1/=?;::02:3>">9;0?92>e3-lm<7?0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?;i951;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0=01280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:271?k75=>0:94=4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>:<6*>247955?03-3:>7::1e9'h6:<=1=87;;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74il1/=?;::02:3>">9;0?925<,ol;6<=ne:l2601=9<3>7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=3:l4>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4=;1e=?;8:07:2>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd3?mh1=7:50;2x 474n33:o6F>22a8L473;2.mik4>32d8 442=3;;5:5+9009007c3-2im797b:&eb5<6;:l0b<<:7;36=2=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0<5f280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=9?i;%3110<680=0(4?=:572`>"?jh0<4o5+fg29517a3g;99:4>58:8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca289o<6*>247955?03-3:>7::1e9'h6:<=1=876;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74l=1/=?;::02:3>">9;0?92>e3-lm<7?0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?;n?51;694?6|,8;8j77>c:J266e<@8;??6*ieg8205e<,88>97??969'=44=<<;o7)6ma;5;f>"an90:8=m4n0063?721k1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e<>n=6<4;:183!76;o02=n5G131`?M76<:1/=?;::02:3>">9;0?91d?3g;99:4>58a8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca289??6*>247955?03-3:>7::1e9'h6:<=1=87k;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh089>5a1374>43>m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f11d>3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>45c=2d:>89514;e?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c64fc<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?46>?2.2=?4;50f8 =df2>2i7)hi0;374f=i9;?<6<;n0:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;7e795?2=83:p(N6::i0D41d8j442?3;>m<5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8:jl:087>5<7s-;:?k461b9K575d3A;:8>5+fdd9516a3-;9984>0858 <752=?:h6*7b`84i;o3112<6=h80(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj==hn7?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2e02d:>89514c0?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c64f`<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;88?5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;3007=i9;?<6<;n4:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;7bc95?2=83:p(N6::i0D3e38j442?3;>m85+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi85j6:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956543-;9984>0858 <752=?:h6*7b`8;<>"an90:?>=4n0063?72i?1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e<1n<6<4;:183!76;o02=n5G131`?M76<:1/jhh5121a?!75=<0:<494$831>136l2.3nl4=169'bc6=9:9i7c?=56821d1<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1>003;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:6a>h6:<=1=8o7;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi859k:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21?h7c?=56821d?<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:ml5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=3;h4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7=:2:l2601=96753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74l:1/=?;::02:3>">9;0?92>e3-lm<7?0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?;h851;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6aeg<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl46f:l2601=96753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?73>11/=?;::02:3>">9;0?94d53-lm<7?;699m573028?i<6*>0e090dbe3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?n=l51;794?6|,8;8j77>d:J266e<@8;??6*ieg827<2<,88>97??969'=44=<<;o7)6ma;3:2>"an90:?4:4n0063?72j81/==j=:5cgf>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c6a4`<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>e89m573028?i>6*>0e090dbe3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=h;o7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?c53g;99:4>5c18 46c:3>jho5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;72195?0=83:p(N6::i0D2;665a=#0kk1;?5a1374>43e<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?mkk51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6bba<62?0;6=u+101e><7b3A;9?n5G1060?!`bn3;9ii5+1376>46>?2.2=?4;50f8 =df288h7)hi0;31aa=i9;?<6<;m6:&24a4=6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:>h74$0061?771>1/5<<5443g?!>ei3;9o6*if1826`?;7?:b69'55b52=kon6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0ad1280?6=4?{%327c<>9j1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:364?k75=>0:9o64$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn9oj7;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44bk2.:>8;511;4?!?6:3>>=i5+8cc9<6e<,ol;6<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03;:5a1374>43ei2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1gb>3;197>50z&256`=18n0D<<2;665a=#0kk14=k4$gd3>44bk2d:>89514`a?!77l;0?mil4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8l9j:087>5<7s-;:?k461b9K575d3A;:8>5+fdd957de3-;9984>0858 <752=?:h6*7b`82k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=km;7?54;294~"69:l15N69=90(kki:01e5>"6:245950dc3-;;h?4;ae`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma"68m818ljm;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:=93?1<7>t$030b??6l2B:>>m4H0377>"amo0:?4:4$0061?771>1/5<<5443g?!>ei3i>7)hi0;30=1=i9;?<6<;mf:&24a4=6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=km47?55;294~"69:l15N69=90(kki:00f=>"6:245950e73-;;h?4;ae`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm4`d`>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;oo7)?=54824<1<,0;969;>d:&;fd<6:k1/jk>513gg?k75=>0:9n?4$02g6?2flk1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3il?1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3g08 442=3;;5:5+9009007c3-2im79la:&eb5<6;o80b<<:7;36g7=#99n969okb:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0d`128086=4?{%327c<>9k1C=?=l;I3206=#nll1=>h>;%3110<680=0(4?=:572`>"?jh0::?5+fg2956`63g;99:4>5b18 46c:3>jho5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd3iok1=7=50;2x 474n33:n6F>22a8L473;2.mik4>3g68 442=3;;5:5+9009007c3-2im7?92:&eb5<6;o>0b<<:7;36g1=#99n969okb:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>ie0k0;6)?=548f3a=ji94>:283>5}#989m64?m;I317f=O98>87)hjf;30b7=#9;?>6<>67:&:57<3=8n0(5ln:5;f?!`a83;8j?5a1374>43d=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn9kn9;397?6=8r.:=>h590`8L444k2B:=9=4$gge>45fn2.:>8;511;4?!?6:3>>=i5+8cc9f<=#no:1=>oi;o3112<6=j<0(<>k2;6fe1=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl;f3;95?0=83:p(N6::i0D3`d8j442?3;>o:5+11f1>1cf<2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm50:g>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:7<;o3112<6=j20(<>k2;7335=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd29>31=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93<5;7?:c89'55b52<:<<6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31109582>2<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<5>5a1374>43di2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f07??3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5:7>h6:<=1=8mm;%33`7<28>:0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi9<6l:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:;j7c?=56821fe<,8:o>7;?719j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:=93=1<7>t$030b??6n2B:>>m4H0377>"6:oi5+11f1>06082c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<49h1e=?;8:07`a>"68m819=9?;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7=>a:l2601=96753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e=82i6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=4c3g;99:4>5e28 46c:3?;;=5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl:16595?1=83:p(N6::i0D2;665a=#0kk1>494n0063?72l81/==j=:4244>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`81=2=i9;?<6<;k2:&24a4==9=;7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn8?74;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei382;6`>245950b43-;;h?4:0628m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a1411280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3;2?k75=>0:9i:4$02g6?37?91b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th>=4?51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'80:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c72<6<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=909m573028?o:6*>0e0915173`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj<;2:7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4>;2d:>89514f4?!77l;0><:>4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm50;3>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?7<;o3112<6=m20(<>k2;7335=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd29>n1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96<5;7?:d89'55b52<:<<6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31109482>2<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094h5a1374>43ci2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f07?n3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0;a>h6:<=1=8jm;%33`7<28>:0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi9<9l:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2n7c?=56821ae<,8:o>7;?719j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:hi5+11f1>06082c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4==1e=?;8:07ga>"68m819=9?;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7=:4:l2601=96753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e=8=m6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?96333g;99:4>5d28 46c:3?;;=5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl:16395?1=83:p(N6::i0D2;665a=#0kk1>4l4n0063?72m81/==j=:4244>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`81=g=i9;?<6<;j2:&24a4==9=;7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn8?8e;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei382n6`>245950c43-;;h?4:0628m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a5f?128086=4?{%327c<>9k1C=?=l;I3206=#nll1=9;i;%3110<680=0(4?=:572`>"?jh0<4l5+fg29513a3g;99:4>5d68 46c:3;h;k5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd6k0=1=7=50;2x 474n33:n6F>22a8L473;2.mik4>44d8 442=3;;5:5+9009007c3-2im797a:&eb5<6<ie0k0;6)?=548f3a=:283>5}#989m64?m;I317f=O98>87)hjf;371`=#9;?>6<>67:&:57<3=8n0(5ln:6:b?!`a83;?9h5a1374>43b>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vnh590`8L444k2B:=9=4$gge>422m2.:>8;511;4?!?6:3>>=i5+8cc93=g<,ol;6<::e:l2601=96753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm1b:2>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=>j7)?=54824<1<,0;969;>d:&;fd<00k1/jk>5156b?k75=>0:9h64$02g6?7d?o1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$gge>422l2.:>8;511;4?!?6:3>>=i5+8cc93=d<,ol;6<::d:l2601=96753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;371a=#9;?>6<>67:&:57<3=8n0(5ln:6:a?!`a83;?9i5a1374>43bi2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd6k1l1=7:50;2x 474n33:o6F>22a8L473;2.mik4>44a8 442=3;;5:5+9009007c3-2im797b:&eb5<6<o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a5f?7280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=9;l;%3110<680=0(4?=:572`>"?jh0<4o5+fg29513d3g;99:4>5da8 46c:3;h;k5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>>n6*>247955?03-3:>7::1e9'h6:<=1=8kk;%33`7<6k>l0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?73=k1/=?;::02:3>">9;0?92>e3-lm<7?;5c9m573028?ni6*>0e095f1a3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th:o4=51;694?6|,8;8j77>c:J266e<@8;??6*ieg8200g<,88>97??969'=44=<<;o7)6ma;5;f>"an90:88o4n0063?72mo1/==j=:0a4b>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e9j3?6<4;:183!76;o02=n5G131`?M76<:1/jhh5157b?!75=<0:<494$831>136l2.3nl488c9'bc6=9=?j7c?=56821c6<,8:o>7?l7g9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f4e?83;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>42312d:>89514d2?!77l;0:o:h4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c3`<7<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?845+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;370<=i9;?<6<;i2:&24a4=9j=m7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>c9195?2=83:p(N6::i0D45:8j442?3;>j>5+11f1>4e0n2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi=n6;:087>5<7s-;:?k461b9K575d3A;:8>5+fdd9512?3-;9984>0858 <752=?:h6*7b`840(<>k2;3`3c=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj8i397?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1?j2d:>89514d6?!77l;0:o:h4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c3`<3<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?8l5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;370d=i9;?<6<;i6:&24a4=9j=m7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>c9595?2=83:p(N6::i0D4558j442?3;>j:5+11f1>4e0n2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi=n67:087>5<7s-;:?k461b9K575d3A;:8>5+fdd951203-;9984>0858 <752=?:h6*7b`84k2;3`3c=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj8i357?54;294~"69:l15N69=90(kki:0672>"6:?:6`>245950`>3-;;h?4>c6d8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma"68m81=n9i;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:89;4$0061?771>1/5<<5443g?!>ei3=3n6*if182013;7?:fc9'55b528i!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm1b:`>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=>>7)?=54824<1<,0;969;>d:&;fd<00k1/jk>51566?k75=>0:9km4$02g6?7d?o1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590`8L444k2B:=9=4$gge>425;2.:>8;511;4?!?6:3>>=i5+8cc9534<,ol;6<:=3:l2601=96753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm23:7>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj69k>;o3112<6=oo0(<>k2;01<4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?<7a;390?6=8r.:=>h590a8L444k2B:=9=4$gge>454m2.:>8;511;4?!?6:3>>=i5+8cc9535<,ol;6<=6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<>k2d:>8951723?!77l;09>5?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm23:`>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:9:7)?=54824<1<,0;969;>d:&;fd<6>=1/jk>51212?k75=>0::=?4$02g6?45081b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd5:1<1=7:50;2x 474n33:o6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93=d;7?9039'55b52;83=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm2037>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj69k>;o3112<6>990(<>k2;0254=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn??>b;390?6=8r.:=>h590a8L444k2B:=9=4$gge>454l2.:>8;511;4?!?6:3>>=i5+8cc9535<,ol;6<=6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<>k2d:>8951726?!77l;09=5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm203g>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:9:7)?=54824<1<,0;969;>d:&;fd<6>=1/jk>51212?k75=>0::=84$02g6?46981b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd598<1=7:50;2x 474n33:o6F>22a8L473;2.mik4>4748 442=3;;5:5+9009007c3-2im797b:&eb5<61:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a6470280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=989;%3110<680=0(4?=:572`>"?jh0<4o5+fg2951013g;99:4>61:8 46c:38:=<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7?60:l2601=9?:27)??d3815646753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103`82>0<729q/=<=i:83g?M75;j1C=<:<;%dfb?740;1/=?;::02:3>">9;0?905<,ol;6<=72:l2601=9?:j7)??d3815646753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a645>280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:00:?k75=>0::=l4$02g6?46;;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7:m3:l2601=9?:h7)??d3815646753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:8>j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92?e3g;99:4>61f8 46c:38:??5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=15795?1=83:p(N6::i0D2;665a=#0kk1?;5a1374>407m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f772l3;1:7>50z&256`=18o0D<<2;665a=#0kk1>:64$gd3>42702d:>895172e?!77l;09=><4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`810:&24a4=:8997d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn??:f;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383n6`>245953763-;;h?4=1208m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a643b280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3:a?k75=>0::<<4$02g6?46;;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th9=;>51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c0224<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=8c9m573028<:86*>0e0964553`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;;=>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4?j2d:>8951736?!77l;09=><4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm2040>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6m;o3112<6>8<0(<>k2;0277=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd59?>1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=d;7?9169'55b52;;8>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31106482>2<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094o5a1374>40602.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f771>3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0;f>h6:<=1=;?6;%33`7<59:80e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi><88:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2i7c?=568224g<,8:o>7<>339j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:=93=1<7>t$030b??6n2B:>>m4H0377>"6:774:2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50k1e=?;8:042g>"68m81><==;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<7b:l2601=9?;o7)??d3815646753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:8">9;0?97>e3g;99:4>60g8 46c:38:??5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=17a95?1=83:p(N6::i0D2;665a=#0kk1>5l4n0063?719o1/==j=:3306>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:mam0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn??9e;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383n6`>245953463-;;h?4=1208m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a640a280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3:a?k75=>0::?<4$02g6?46;;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th9=:>51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c0234<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=8c9m573028<986*>0e0964553`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;;<>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4?j2d:>8951706?!77l;09=><4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm2050>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6m;o3112<6>;<0(<>k2;0277=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd59>?1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=d;7?9269'55b52;;8>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31107582>2<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094o5a1374>40502.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f770>3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0;f>h6:<=1=;<6;%33`7<59:80e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi><98:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2i7c?=568227g<,8:o>7<>339j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:=93=1<7>t$030b??6n2B:>>m4H0377>"6:o5+11f1>774:2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50k1e=?;8:041g>"68m81><==;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<7b:l2601=9?8o7)??d3815646753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:8=i6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97>e3g;99:4>63g8 46c:38:??5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=12595?1=83:p(N6::i0D2;665a=#0kk1=no4n0063?71:o1/==j=:3306>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0:6>4?:1y'545a20;i7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8227=i9;?<6<8<0:&24a4=:8997d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f77393;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5g`>h6:<=1=;=>;%33`7<59:80e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi><=i:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956`>3-;9984>0858 <752=?:h6*7b`822f=#no:1=>h6;o3112<6>:80(<>k2;0277=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj;;?<7?55;294~"69:l15N69=90(kki:01;6>"6:6`>245953543-;;h?4=1208m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm201f>4<4290;w)?>3g8:5g=O9;9h7E?>429'b``=9:l27)?=54824<1<,0;969;>d:&;fd3g;8j442?3;=?95+11f1>774:2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c021<<62:0;6=u+101e><7e3A;9?n5G1060?!`bn3;?<55+1376>46>?2.2=?4;50f8 =df28<97)hi0;374==i9;?<6<8<5:&24a4=:8997d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f74ei3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;3:4>h6:<=1=;=9;%33`7<5:h;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c01e<<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;84<5+1376>46>?2.2=?4;50f8 =df2<90(kh?:01;5>h6:<=1=;=8;%33`7<5:h;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:;k36<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?944>3g;99:4>62:8 46c:389m<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f74fk3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;6a7>h6:<=1=;=6;%33`7<5:h;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>?l6:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>3i7c?=568226g<,8:o>7<=a09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0967g63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;8ho7?56;294~"69:l15N69=90(kki:0633>"6:;;6`>2459535d3-;;h?4=2`38m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50k1e=?;8:040`>"68m81>?o>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<7b:l2601=9?9n7)??d3816d76753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:;io6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97>e3g;99:4>62d8 46c:389m<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=2bd95?1=83:p(N6::i0D2;665a=#0kk1>5l4n0063?71<91/==j=:30b5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`816`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383n6`>245953253-;;h?4=2`38m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a67b5280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3:a?k75=>0::9=4$02g6?45i81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th9>i=51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c01`1<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=8c9m5730280e0967g63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;8o97?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4?j2d:>8951765?!77l;09>l?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm23f5>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6m;o3112<6>==0(<>k2;01e4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd5:m=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=d;7?9499'55b52;8j=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094o5a1374>40312.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f74c03;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0;f>h6:<=1=;:n;%33`7<5:h;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>?jn:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2i7c?=568221d<,8:o>7<=a09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:74f92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50k1e=?;8:047`>"68m81>?o>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<7b:l2601=9?>n7)??d3816d76753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:;nn6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97>e3g;99:4>65d8 46c:389m<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=2ed95?1=83:p(N6::i0D2;665a=#0kk1>5l4n0063?71=91/==j=:30b5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`816`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383n6`>245953353-;;h?4=2`38m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a67c5280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3:a?k75=>0::8=4$02g6?45i81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th9>h:51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c01a6<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=8c9m573028<>96*>0e0967g63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;8n97?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4?j2d:>8951775?!77l;09>l?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm23g5>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6m;o3112<6><=0(<>k2;01e4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd5:l=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=d;7?9599'55b52;8j=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094o5a1374>40212.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f74b13;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0;f>h6:<=1=;;n;%33`7<5:h;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>?kn:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2i7c?=568220d<,8:o>7<=a09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:74f92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6>;1e=?;8:046`>"68m81>?o>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;8i<7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1cl2d:>895177f?!77l;09>l?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm23cf>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9:l37)?=54824<1<,0;969;>d:&;fd<6>j1/jk>512d;?k75=>0::8h4$02g6?45i81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn?h590f8L444k2B:=9=4$gge>45?92.:>8;511;4?!?6:3>>=i5+8cc953`<,ol;6<=71:l2601=9?<;7)??d3816d76753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a67gc28086=4?{%327c<>9k1C=?=l;I3206=#nll1=>h7;%3110<680=0(4?=:572`>"?jh0i56*if1827c>;7?9609'55b52;8j=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a20;i7E?=3b9K54243-lnj7?;069'573228:2;6*6138714b<,1hj6<8=;%de4?738>1e=?;8:0456>"68m81>?o>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;8997?54;294~"69:l15N69=90(kki:01f2>"6:245953043-;;h?4=20d8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma"68m81>??i;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>hl4$0061?771>1/5<<5443g?!>ei3;=86*if1826`d;7?9649'55b52;8:j6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=>4>:483>5}#989m64?k;I317f=O98>87)hjf;31ag=#9;?>6<>67:&:57<3=8n0(5ln:0g4?!`a83;9io5a1374>401>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4e<@888o6F>1518 cca289n96*>247955?03-3:>7::1e9'h6:<=1=;88;%33`7<5;1o0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74m<1/=?;::02:3>">9;0?92e33-lm<7?0e0966>b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9?4>51;794?6|,8;8j77>d:J266e<@8;??6*ieg826`g<,88>97??969'=44=<<;o7)6ma;350>"an90:>ho4n0063?71>01/==j=:31;a>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c00=7<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9il5+1376>46>?2.2=?4;50f8 =df28o<7)hi0;31ad=i9;?<6<89a:&24a4=::2n7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=>ij7?56;294~"69:l15N69=90(kki:00e5>"6:7:;a09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=>ii7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>5l2d:>895174`?!77l;0?8l?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm45c5>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?9:;o3112<6>?n0(<>k2;67e4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9:n7;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44b=2.:>8;511;4?!?6:3>>=i5+8cc95<`<,ol;6<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?74?o1/=?;::02:3>">9;0?97323-lm<7?<7g9m573028<=j6*>0e0906>a3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f12f13;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;3ae>h6:<=1=;9?;%33`7<3:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?73>>1/=?;::02:3>">9;0?9a5<,ol;6<:97:l2601=9?=:7)??d3877=`6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a01g?280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=?h>;%3110<680=0(4?=:572`>"?jh0:n85+fg2957`63g;99:4>6608 46c:3>?m<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th??k951;794?6|,8;8j77>d:J266e<@8;??6*ieg826`1<,88>97??969'=44=<<;o7)6ma;5f?!`a83;9i:5a1374>400;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca288n96*>247955?03-3:>7::1e9'h6:<=1=;9;;%33`7<3:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<:l36<49:183!76;o02=h5G131`?M76<:1/jhh513g4?!75=<0:<494$831>136l2.3nl470:&eb5<6:l=0b<<:7;3530=#99n969=i1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<:l26<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=7;7?9779'55b52=9m=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?74m11/=?;::02:3>">9;0?92cc3-lm<7?0e0906`63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f15><3;187>50z&256`=18i0D<<2;665a=#0kk1>?94$gd3>450n2d:>895175;?!77l;0??5h4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c67eg<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl478c9m573028<<56*>0e0901g63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=9m:7?54;294~"69:l15N69=90(kki:01f<>"6:7:8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f15>;3;1?7>50z&256`=18h0D<<2;665a=#0kk1=n94$gd3>421?2d:>895175a?!77l;0??5h4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e<:k?6<4::183!76;o02=i5G131`?M76<:1/jhh51242?!75=<0:<494$831>136l2.3nl48f99'bc6=9:<:7c?=568222e<,8:o>7:<9b9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;3`595?3=83:p(N6::i0D3738j442?3;=;i5+11f1>15>k2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma91e=?;8:044a>"68m818>7l;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn9=n3;391?6=8r.:=>h590f8L444k2B:=9=4$gge>45182.:>8;511;4?!?6:3>>=i5+8cc93c><,ol;6<=90:l2601=9?=m7)??d38776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a06d?28086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6:b?k75=>0::5>4$02g6?241j1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>oj4$0061?771>1/5<<5443g?!>ei3?87)hi0;31fa=i9;?<6<871:&24a4=<:3h7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=>m>7?55;294~"69:l15N69=90(kki:00e4>"6:7:;ec9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;37295?0=83:p(N6::i0D2;665a=#0kk18:5a1374>40?;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th??9j51;194?6|,8;8j77>b:J266e<@8;??6*ieg827c0<,88>97??969'=44=<<;o7)6ma;02e>"an90:?k84n0063?710=1/==j=:50f0>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09=l5a1374>40?=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn9=;9;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38:m6`>245953>13-;;h?4;2d68m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi8>;=:080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;;j7c?=56822=1<,8:o>7:=e59j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th??8k51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c340d<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=3:l2601=9?227)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9>?96<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?973;7?98`9'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?75j;1/=?;::02:3>">9;0?9=7c3-lm<7?=b39m573028<3n6*>0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f412?3;197>50z&256`=18n0D<<2;665a=#0kk14<:4$gd3>44e:2d:>89517:`?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi=:;?:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;?0b<<:7;35o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c3411<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<0:l2601=9?2n7)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9>?=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?975;7?98g9'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0996`>245953?73-;;h?4>5e:8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a523f280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:378j442?3;=5<5+11f1>43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<482d:>89517;1?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm1643>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?;4n0063?711:1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma=0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`804>h6:<=1=;7;;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=:8=:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2::0b<<:7;35=0=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c3423<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=a:l2601=9?3=7)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9><36<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?973;7?9969'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh08<6`>245953??3-;;h?4>5e:8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a520f280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:228j442?3;=545+11f1>43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5i2d:>89517;b?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm1653>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?;4n0063?711k1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`804>h6:<=1=;7l;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=:9=:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2::0b<<:7;35=a=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c3433<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=a:l2601=9?3n7)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9>=36<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?973;7?99g9'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh08<6`>245953g73-;;h?4>5e:8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a521f280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:228j442?3;=m<5+11f1>43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5i2d:>89517c1?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm16:3>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>>4n0063?71i:1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`81e>h6:<=1=;o;;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=:6=:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;k0b<<:7;35e0=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c34<3<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<3:l2601=9?k=7)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9>236<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?966;7?9a69'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09m6`>245953g?3-;;h?4>5e:8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a52>f280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3c8j442?3;=m45+11f1>43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4;2d:>89517cb?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm16`3>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?=4n0063?71ik1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8;5a=i9;?<6<8nc:&24a4=96`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj8=i87?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?423g;99:4>6`f8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>78295?1=83:p(N6::i0D2;665a=#0kk1>85a1374>40fm2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f41><3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;13?k75=>0::lh4$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:;4<51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81=8j7;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7k;0(<>k2;36`==n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6?021=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc960=i9;?<6<8m2:&24a4=96`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn<96c;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39;7c?=56822g5<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8=2i7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4f3g;99:4>6c78 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>7`295?1=83:p(N6::i0D2;665a=#0kk1?=5a1374>40e>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f41f<3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0b?k75=>0::o94$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:;l<51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81=8j7;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7=<;o3112<6>k30(<>k2;36`==n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6?h21=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc975=i9;?<6<8ma:&24a4=96`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn<9nc;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38j7c?=56822gd<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8=ji7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?543g;99:4>6cf8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>8e395?0=83:p(N6::i0D=0(<<:5;33=2=#188188?k;%:ae?2f3-lm<7?;769m5730280e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl>85a95?0=83:p(N6::i0D2;665a=#0kk149:4n0063?71jo1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=n<9:085>5<7s-;:?k461d9K575d3A;:8>5+fdd9511>3-;9984>0858 <752=?:h6*7b`87e>"an90:8:74n0063?71k91/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=5:m:085>5<7s-;:?k461d9K575d3A;:8>5+fdd9511f3-;9984>0858 <752=?:h6*7b`80=>"an90:8:o4n0063?71k81/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=om8:085>5<7s-;:?k461d9K575d3A;:8>5+fdd9511>3-;9984>0858 <752=?:h6*7b`860>"an90:8:74n0063?71k;1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=oml:085>5<7s-;:?k461d9K575d3A;:8>5+fdd9511?3-;9984>0858 <752=?:h6*7b`8;=>"an90:8:64n0063?71k:1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=586:085>5<7s-;:?k461d9K575d3A;:8>5+fdd9511f3-;9984>0858 <752=?:h6*7b`860>"an90:8:o4n0063?71k=1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=n>>:085>5<7s-;:?k461d9K575d3A;:8>5+fdd9511?3-;9984>0858 <752=?:h6*7b`87e>"an90:8:64n0063?71k<1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=5l::085>5<7s-;:?k461d9K575d3A;:8>5+fdd951103-;9984>0858 <752=?:h6*7b`860>"an90:8:94n0063?71k?1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?k;8:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df282m7c?=56822f1<,8:o>7=i229j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:=93=1<7>t$030b??6n2B:>>m4H0377>"6:6`5;2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<60o1e=?;8:04`=>"68m81?k<<;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?7f:l2601=9?ij7)??d380b756753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;o?i6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94>a3g;99:4>6b`8 46c:39m>>5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D32c8j442?3;=on5+11f1>6`5;2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma"68m81?k<<;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>h=e;391?6=8r.:=>h590f8L444k2B:=9=4$gge>45412.:>8;511;4?!?6:3>>=i5+8cc9532<,ol;6<=<9:l2601=9?in7)??d380b756753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a7c57280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>=7;%3110<680=0(4?=:572`>"?jh0::95+fg29565?3g;99:4>6bd8 46c:39m>>5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8j>=51;794?6|,8;8j77>d:J266e<@8;??6*ieg8276><,88>97??969'=44=<<;o7)6ma;350>"an90:?>64n0063?71l91/==j=:2d17>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c1e71<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;8?:5+1376>46>?2.2=?4;50f8 =df286`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:l897?55;294~"69:l15N69=90(kki:0103>"6:245953b53-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm3g15>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:9=7)?=54824<1<,0;969;>d:&;fd<6>=1/jk>51215?k75=>0::i=4$02g6?5a::1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4n:=1=7;50;2x 474n33:h6F>22a8L473;2.mik4>3248 442=3;;5:5+9009007c3-2im7?94:&eb5<6;:<0b<<:7;35`1=#99n96>h=3:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?74;h1/=?;::02:3>">9;0?94033-lm<7?<3`9m5730280e097c443`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f6`4j3;197>50z&256`=18n0D<<2;665a=#0kk1=;:4$gd3>454=2d:>89517f5?!77l;08j?=4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?k=k:086>5<7s-;:?k461e9K575d3A;:8>5+fdd956523-;9984>0858 <752=?:h6*7b`8221=#no:1=>=:;o3112<6>m=0(<>k2;1e66=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?>:4$0061?771>1/5<<5443g?!>ei3;=86*if182762;7?9d99'55b52:l9?6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=4>:483>5}#989m64?k;I317f=O98>87)hjf;3071=#9;?>6<>67:&:57<3=8n0(5ln:047?!`a83;8?95a1374>40c12.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?7f:l2601=9?nj7)??d380b756753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;o8>6<4<:183!76;o02=o5G131`?M76<:1/=?;::02:3>">9;0?94603g;99:4>6e`8 46c:39m>>5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd4n;<1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9534;7?9db9'55b52:l9?6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma0858 <752=?:h6*7b`840>h6:<=1=;jk;%33`7<4n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?kh8:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df283;7c?=56822ac<,8:o>7=i5e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=:hn7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?423g;99:4>6ed8 46c:39m9i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;0e195?3=83:p(N6::i0D0e097c3c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f16c=3;197>50z&256`=18n0D<<2;665a=#0kk1=;:4$gd3>44fn2d:>89517g2?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8?><:086>5<7s-;:?k461e9K575d3A;:8>5+fdd957gd3-;9984>0858 <752=?:h6*7b`84e1=#no:1=?ol;o3112<6>l80(<>k2;1e1a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>lm4$0061?771>1/5<<5443g?!>ei3<87)hi0;31ef=i9;?<6<8j3:&24a4=;o?o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=:>?7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?76<2d:>89517g7?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm41f2>4<3290;w)?>3g8:5f=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<69;o3112<6>l?0(<>k2;1e1a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=8j<7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7c<2d:>89517g5?!77l;0?>=74i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm43;`>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>=>;o3112<6>l=0(<>k2;614<=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3:>:1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95=4;7?9e99'55b52=8;56g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?75ik1/=?;::02:3>">9;0?9gg<,ol;6<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a075b28086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0g6?k75=>0::ho4$02g6?25801b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:14712c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c610g<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9n<5+1376>46>?2.2=?4;50f8 =df286`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=8?57?55;294~"69:l15N69=90(kki:00a4>"6:7:=089j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;22a95?3=83:p(N6::i0D0e09076>3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f144?3;1:7>50z&256`=18o0D<<2;665a=#0kk1==64$gd3>44e92d:>89517ge?!77l;0?>=74i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma"68m81>=?;;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn?>8a;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32245953`63-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a650d280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=?o6;%3110<680=0(4?=:572`>"?jh0:i=5+fg2957g>3g;99:4>6g08 46c:38;=95f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th:9=751;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'0b<<:7;35b6=#99n96<:l1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9<:h6<4;:183!76;o02=n5G131`?M76<:1/jhh512;4?!75=<0:<494$831>136l2.3nl4>049'bc6=9:3<7c?=56822c2<,8:o>7?;c09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f43783;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;fe?k75=>0::k;4$02g6?73k81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:9=?51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c364`<62?0;6=u+101e><7b3A;9?n5G1060?!`bn3;9nk5+1376>46>?2.2=?4;50f8 =df28i37)hi0;31fc=i9;?<6<8i7:&24a4=9=i:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?584$0061?771>1/5<<5443g?!>ei38?86*if1827=0;7?9f99'55b528>h=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm15a5>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:2=7)?=54824<1<,0;969;>d:&;fd<3:k1/jk>512:5?k75=>0::k74$02g6?73k81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd6=jo1=7;50;2x 474n33:h6F>22a8L473;2.mik4>2cd8 442=3;;5:5+9009007c3-2im7?94:&eb5<6:kl0b<<:7;35bd=#99n96<:l1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh040aj2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f42al3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5g`>h6:<=1=;hl;%33`7<6:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=9hl:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>no7c?=56822cb<,8:o>7?;c09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:42d92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lm1e=?;8:04eb>"68m81=9m>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=9>:;7)??d3820f76753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9=l36<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>7138 46c:3;?o<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>4g595?1=83:p(N6::i0D2;665a=#0kk1;ij4n0063?708;1/==j=:06`5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84`a=i9;?<6<9?3:&24a4=9=i:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn<:i5;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>245952633-;;h?4>4b38m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a51`3280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6fg?k75=>0:;=;4$02g6?73k81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:8k=51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c37b7<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48de9m573028=;;6*>0e0951e63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8>m=7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1cl2d:>895162;?!77l;0:8n?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm15ge>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:jk;o3112<6?930(<>k2;37g4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd622a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93ab;7?80`9'55b528>h=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?73:h1/=?;::02:3>">9;0?92>e3-lm<7?;2`9m573028=;n6*>0e0951e63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th:8i751;694?6|,8;8j77>c:J266e<@8;??6*ieg8207?<,88>97??969'=44=<<;o7)6ma;5;f>"an90:8?74n0063?708j1/==j=:06`5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e9=nj6<4;:183!76;o02=n5G131`?M76<:1/jhh5150:?!75=<0:<494$831>136l2.3nl488c9'bc6=9=827c?=568235b<,8:o>7?;c09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f42cj3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>42502d:>895162f?!77l;0:8n?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c37`f<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?>55+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;376==i9;?<6<9?f:&24a4=9=i:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>4ef95?2=83:p(N6::i0D4358j442?3;<==5+11f1>42d92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi=9jj:087>5<7s-;:?k461b9K575d3A;:8>5+fdd951403-;9984>0858 <752=?:h6*7b`84k2;37g4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj8>oj7?53;294~"69:l15N69=90(kki:061e>"6:9m6`>245952753-;;h?4>4b38m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi=9m8:080>5<7s-;:?k461c9K575d3A;:8>5+fdd951163-;9984>0858 <752=?:h6*7b`815d=#no:1=99>;o3112<6?890(<>k2;37g4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=5b595?3=83:p(N6::i0D0e0960e73`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f720?3;197>50z&256`=18n0D<<2;665a=#0kk19>5+fg2957db3g;99:4>7078 46c:38?;<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th985j51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;3026=#9;?>6<>67:&:57<3=8n0(5ln:6d;?!`a83;8:>5a1374>416?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289=?6*>247955?03-3:>7::1e9'h6:<=1=:?7;%33`7<5<>;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:==h6<4::183!76;o02=i5G131`?M76<:1/jhh51241?!75=<0:<494$831>136l2.3nl48f99'bc6=9:<97c?=568234?<,8:o>7<;709j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=46;95?3=83:p(N6::i0D3708j442?3;<=l5+11f1>72092c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma44a<2d:>895163a?!77l;099:84i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`8;6a=i9;?<6<9>c:&24a4=:<==7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?;8b;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38<96`>2459527c3-;;h?4=5648m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=;n4>:783>5}#989m64?j;I317f=O98>87)hjf;31a3=#9;?>6<>67:&:57<3=8n0(5ln:0;e?!`a83;9i;5a1374>416m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th98;o51;794?6|,8;8j77>d:J266e<@8;??6*ieg827=6<,88>97??969'=44=<<;o7)6ma;061>"an90:?5>4n0063?709o1/==j=:3650>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c063`<62=0;6=u+101e><7d3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>b`9m573028=9<6*>0e0960113`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th98ij51;794?6|,8;8j77>d:J266e<@8;??6*ieg8203?<,88>97??969'=44=<<;o7)6ma;f0?!`a83;?:45a1374>41592.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca288m86*>247955?03-3:>7::1e9'h6:<=1=:<=;%33`7<5=><0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:=ih6<4::183!76;o02=i5G131`?M76<:1/jhh513g;?!75=<0:<494$831>136l2.3nl48e:&eb5<6:l20b<<:7;3466=#99n96?:l6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?75m?1/=?;::02:3>">9;0?94dc3-lm<7?=e79m573028=986*>0e0960113`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f72dl3;1:7>50z&256`=18o0D<<2;665a=#0kk14=5+fg2957c?3g;99:4>7378 46c:38?o;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f72dm3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:2?k75=>0:;?84$02g6?43k?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th98i>51;794?6|,8;8j77>d:J266e<@8;??6*ieg827`?<,88>97??969'=44=<<;o7)6ma;5f`>"an90:?h74n0063?70:>1/==j=:36`2>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c072<<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;84=5+1376>46>?2.2=?4;50f8 =df2;8<7)hi0;30<5=i9;?<6<9=8:&24a4=:=6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl=59295?1=83:p(N6::i0D2;665a=#0kk145l4n0063?70:01/==j=:3742>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma45b12d:>895160b?!77l;098n84i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c072=<62:0;6=u+101e><7e3A;9?n5G1060?!`bn3;?:45+1376>46>?2.2=?4;50f8 =df28i<7)hi0;372<=i9;?<6<9=b:&24a4=:=6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f722j3;1?7>50z&256`=18h0D<<2;665a=#0kk1=;<4$gd3>427:2d:>895160`?!77l;098<84i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e:=9h6<4::183!76;o02=i5G131`?M76<:1/jhh513a3?!75=<0:<494$831>136l2.3nl4=1c9'bc6=9;i;7c?=568237b<,8:o>7<;179j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=42`95?0=83:p(N6::i0D2;665a=#0kk1=k;4n0063?70:l1/==j=:3622>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi>9:>:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=k0b<<:7;346c=#99n96?:>6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e:=;n6<4;:183!76;o02=n5G131`?M76<:1/jhh5125f?!75=<0:<494$831>136l2.3nl4>b`9'bc6=9:=n7c?=5682366<,8:o>7<;179j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f726i3;187>50z&256`=18i0D<<2;665a=#0kk1=oo4$gd3>450l2d:>8951612?!77l;098<84i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c075c<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;8;h5+1376>46>?2.2=?4;50f8 =df28hn7)hi0;303`=i9;?<6<9<2:&24a4=:=;=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj;>:n7?55;294~"69:l15N69=90(kki:014`>"6:245952543-;;h?4=4048m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm253g>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj60(<>k2;0753=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=40;95?5=83:p(N6::i0D2;665a=#0kk1=lk4n0063?70;<1/==j=:3622>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%dfb?751j1/=?;::02:3>">9;0?94`a3-lm<7?=9b9m573028=8:6*>0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl=81095?0=83:p(N6::i0D28a8j442?3;73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm26d`>4<1290;w)?>3g8:5`=O9;9h7E?>429'b``=9;3i7)?=54824<1<,0;969;>d:&;fd<59l1/jk>513;a?k75=>0:;>64$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 cca2882n6*>247955?03-3:>7::1e9'h6:<=1=:=6;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c04bd<62?0;6=u+101e><7b3A;9?n5G1060?!`bn3;95l5+1376>46>?2.2=?4;50f8 =df2:om7)hi0;31=d=i9;?<6<96`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:>4o4$0061?771>1/5<<5443g?!>ei39>j6*if1826;7?83c9'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a62`b280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=?76;%3110<680=0(4?=:572`>"?jh08:=5+fg2957?>3g;99:4>72a8 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f7>783;1:7>50z&256`=18o0D<<2;665a=#0kk1;nk4$gd3>44>12d:>895161g?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:man?0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`811>h6:<=1=:=j;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>4h;:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:9h7c?=568236`<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4;2d:>8951662?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm2b1`>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=8:7)?=54824<1<,0;969;>d:&;fd<6ll1/jk>51502?k75=>0:;9<4$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn?7jf;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44?n2.:>8;511;4?!?6:3>>=i5+8cc90d=#no:1=?6i;o3112<6?=90(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7i0;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44?n2.:>8;511;4?!?6:3>>=i5+8cc9<<=#no:1=?6i;o3112<6?=>0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7k9;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44>92.:>8;511;4?!?6:3>>=i5+8cc911=#no:1=?7>;o3112<6?=?0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7kd;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44?m2.:>8;511;4?!?6:3>>=i5+8cc90d=#no:1=?6j;o3112<6?=<0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7j9;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44>92.:>8;511;4?!?6:3>>=i5+8cc90d=#no:1=?7>;o3112<6?==0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7jd;392?6=8r.:=>h590g8L444k2B:=9=4$gge>42?=2.:>8;511;4?!?6:3>>=i5+8cc9<<=#no:1=96:;o3112<6?=20(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7kb;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44?m2.:>8;511;4?!?6:3>>=i5+8cc911=#no:1=?6j;o3112<6?=30(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7jb;392?6=8r.:=>h590g8L444k2B:=9=4$gge>42?=2.:>8;511;4?!?6:3>>=i5+8cc90d=#no:1=96:;o3112<6?=k0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7j7;392?6=8r.:=>h590g8L444k2B:=9=4$gge>42?<2.:>8;511;4?!?6:3>>=i5+8cc911=#no:1=96;;o3112<6?=h0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7i2;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44>82.:>8;511;4?!?6:3>>=i5+8cc97<=#no:1=?7?;o3112<6?=i0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7kf;392?6=8r.:=>h590g8L444k2B:=9=4$gge>44>82.:>8;511;4?!?6:3>>=i5+8cc911=#no:1=?7?;o3112<6?=n0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7j5;392?6=8r.:=>h590g8L444k2B:=9=4$gge>42?<2.:>8;511;4?!?6:3>>=i5+8cc9<<=#no:1=96;;o3112<6?=o0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7j1;392?6=8r.:=>h590g8L444k2B:=9=4$gge>42?;2.:>8;511;4?!?6:3>>=i5+8cc90d=#no:1=96<;o3112<6?=l0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?7j3;392?6=8r.:=>h590g8L444k2B:=9=4$gge>42?;2.:>8;511;4?!?6:3>>=i5+8cc90d=#no:1=96<;o3112<6?<:0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?97b;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;;;6`>245952363-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi>:6n:080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28:<7c?=5682304<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9;5751;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'ie0k0;6)?=548f3a=:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<68>1e=?;8:0560>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;=3;7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?77?2d:>8951676?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e:>2=6<4<:183!76;o02=o5G131`?M76<:1/=?;::02:3>">9;0?94603g;99:4>7448 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd5?1>1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9551;7?8569'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a20;i7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8242=i9;?<6<9:8:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f71>83;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;333>h6:<=1=:;6;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a62>a28086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:024?k75=>0:;8o4$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c04<7e3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>069m573028=>o6*>0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7??7:l2601=9>?o7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm26:6>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<>8;o3112<6?k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=71395?0=83:p(N6::i0D2dg8j442?3;<9k5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm2623>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;on7)?=54824<1<,0;969;>d:&;fd<6jl1/jk>513gf?k75=>0:;;>4$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd5>oh1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95g`;7?8609'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a62d4280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>7k;%3110<680=0(4?=:572`>"?jh0=?6*if1827;7?8639'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;30=f=#9;?>6<>67:&:57<3=8n0(5ln:718 c`72892o6`>245952043-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm2`02>4<3290;w)?>3g8:5f=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:=4n0063?70>=1/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e:?lh6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94e63g;99:4>7778 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=c2c95?1=83:p(N6::i0D2;665a=#0kk15<5a1374>411>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f7e413;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;;2?k75=>0:;;94$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th9o>651;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im77>;o3112<6??30(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd5k:<1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9=4=i9;?<6<99a:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?8l8;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45>l2.:>8;511;4?!?6:3>>=i5+8cc9602<,ol;6<=6d:l2601=9>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;30b0=#9;?>6<>67:&:57<3=8n0(5ln:0`b?!`a83;8j85a1374>411k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd5>j=1=7=50;2x 474n33:n6F>22a8L473;2.mik4>41;8 442=3;;5:5+9009007c3-2im7<>a:&eb5<6<930b<<:7;342a=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>ie0k0;6)?=548f3a=:283>5}#989m64?m;I317f=O98>87)hjf;3765=#9;?>6<>67:&:57<3=8n0(5ln:0cf?!`a83;?>=5a1374>411m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn?8ie;397?6=8r.:=>h590`8L444k2B:=9=4$gge>45a=2.:>8;511;4?!?6:3>>=i5+8cc95dc<,ol;6<=i5:l2601=9>6753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm28ag>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=9ba95?5=83:p(N6::i0D4328j442?3;<;<5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c0:`0<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;85n5+1376>46>?2.2=?4;50f8 =df28<87)hi0;30=f=i9;?<6<982:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl=9bd95?5=83:p(N6::i0D4348j442?3;<;>5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c0f`7<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8545+1376>46>?2.2=?4;50f8 =df2120(kh?:01:=>h6:<=1=:9;;%33`7<5k=80e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%dfb?74:l1/=?;::02:3>">9;0?9=?<,ol;6<==e:l2601=9>=>7)??d381g146753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?741<1/=?;::02:3>">9;0?94043-lm<7?<949m573028=<:6*>0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9ii651;694?6|,8;8j77>c:J266e<@8;??6*ieg827<3<,88>97??969'=44=<<;o7)6ma;3f2>"an90:?4;4n0063?70?>1/==j=:3a76>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e:ln?6<4;:183!76;o02=n5G131`?M76<:1/jhh512;:?!75=<0:<494$831>136l2.3nl4>629'bc6=9:327c?=568232><,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f7ce:3;187>50z&256`=18i0D<<2;665a=#0kk1=;=4$gd3>455m2d:>895165:?!77l;09o9<4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c0fgf<62?0;6=u+101e><7b3A;9?n5G1060?!`bn3;?4=5+1376>46>?2.2=?4;50f8 =df28:37)hi0;37<5=i9;?<6<98a:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:85<4$0061?771>1/5<<5443g?!>ei3227)hi0;37<7=i9;?<6<98b:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:85<4$0061?771>1/5<<5443g?!>ei3>j7)hi0;37<7=i9;?<6<98c:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:8:j4$0061?771>1/5<<5443g?!>ei3227)hi0;373a=i9;?<6<98d:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:85?4$0061?771>1/5<<5443g?!>ei3;;46*if1820=7;7?87d9'55b52;i?>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a6`d1280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=99j;%3110<680=0(4?=:572`>"?jh0356*if18202c;7?87g9'55b52;i?>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a6`d?280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=96>;%3110<680=0(4?=:572`>"?jh0?m6*if1820=7;7?8819'55b52;i?>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a6`d3280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=99j;%3110<680=0(4?=:572`>"?jh0?m6*if18202c;7?8809'55b52;i?>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a6`dd280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=99k;%3110<680=0(4?=:572`>"?jh0356*if18202b;7?8839'55b52;i?>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a6`bc280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=96?;%3110<680=0(4?=:572`>"?jh0>86*if1820=6;7?8829'55b52;i?>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a6`e5280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=99l;%3110<680=0(4?=:572`>"?jh0356*if18202e;7?8859'55b52;i?>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a6`e1280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=99i;%3110<680=0(4?=:572`>"?jh0:<55+fg29511a3g;99:4>7978 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f7cdm3;1:7>50z&256`=18o0D<<2;665a=#0kk1=h64$gd3>420n2d:>89516:5?!77l;09o9<4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma7;%de4?73?k1e=?;8:05;3>"68m81>n:=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd5mj:1=7850;2x 474n33:i6F>22a8L473;2.mik4>46a8 442=3;;5:5+9009007c3-2im7:n;%de4?73?j1e=?;8:05;<>"68m81>n:=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd5mj21=7850;2x 474n33:i6F>22a8L473;2.mik4>46`8 442=3;;5:5+9009007c3-2im766;%de4?73?k1e=?;8:05;=>"68m81>n:=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd5kk21=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95`3;7?88`9'55b52;i?>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a20;i7E?=3b9K54243-;9984>0858 <752=?:h6*7b`82a0=i9;?<6<97b:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f7eej3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3f1>h6:<=1=:6l;%33`7<5k=80e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a6fdf28086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0g6?k75=>0:;5j4$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:7e3:2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c0`g0<62:0;6=u+101e><7e3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>e49m573028=3j6*>0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?j5:l2601=9>3;7)??d381g146753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm2ba0>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=cb095?5=83:p(N6::i0D2;665a=#0kk1=h;4n0063?701;1/==j=:3a76>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:i85a1374>41>;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn?ml0;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;n96`>245952?33-;;h?4=c508m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi>nli:080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28o>7c?=56823<3<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9ook51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'ie0k0;6)?=548f3a=:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6m<1e=?;8:05:3>"68m81>n:=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;ii<7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7b=2d:>89516;;?!77l;09o9<4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e:jkm6<4<:183!76;o02=o5G131`?M76<:1/=?;::02:3>">9;0?94c23g;99:4>78;8 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd5kho1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95`3;7?89`9'55b52;i?>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a20;i7E?=3b9K54243-;9984>0858 <752=?:h6*7b`82a0=i9;?<6<96b:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f7ee?3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;3f1>h6:<=1=:7l;%33`7<5k=80e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a6fd128086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0g6?k75=>0:;4j4$02g6?4d<;1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:7e3:2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c0`f1<62:0;6=u+101e><7e3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>e49m573028=2j6*>0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im7?j5:l2601=9>k;7)??d381g146753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm2b`1>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=cc395?5=83:p(N6::i0D2;665a=#0kk1=h;4n0063?70i;1/==j=:3a76>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:i85a1374>41f;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn?mlb;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;n96`>245952g33-;;h?4=c508m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi>nm6:080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28o>7c?=56823d3<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th9on951;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;301c=#9;?>6<>67:&:57<3=8n0(5ln:063?!`a83;89k5a1374>41f?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289>j6*>247955?03-3:>7::1e9'h6:<=1=:o7;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;1>96<4::183!76;o02=i5G131`?M76<:1/jhh51273?!75=<0:<494$831>136l2.3nl4>419'bc6=9:?;7c?=56823d?<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<85695?3=83:p(N6::i0D3428j442?3;602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>6;8;391?6=8r.:=>h590f8L444k2B:=9=4$gge>452m2.:>8;511;4?!?6:3>>=i5+8cc9516<,ol;6<=:e:l2601=9>kh7)??d3802006753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a7=2f280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>;k;%3110<680=0(4?=:572`>"?jh0:8=5+fg29563c3g;99:4>7`f8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th849m51;794?6|,8;8j77>d:J266e<@8;??6*ieg8270b<,88>97??969'=44=<<;o7)6ma;374>"an90:?8j4n0063?70il1/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c1;0`<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;89n5+1376>46>?2.2=?4;50f8 =df28>;7)hi0;301f=i9;?<6<9nf:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:2><7?55;294~"69:l15N69=90(kki:016g>"6:o6`>245952d73-;;h?4<6448m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm3971>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:?i7)?=54824<1<,0;969;>d:&;fd<6<91/jk>5127a?k75=>0:;o?4$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd40<>1=7;50;2x 474n33:h6F>22a8L473;2.mik4>34`8 442=3;;5:5+9009007c3-2im7?;0:&eb5<6;8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:i;5a1374>41e;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd409l1=7;50;2x 474n33:h6F>22a8L473;2.mik4>2b;8 442=3;;5:5+9009007c3-2im7?j7:&eb5<6:j30b<<:7;34f1=#99n96>8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74mj1/=?;::02:3>">9;0?94c13-lm<7?0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8;i751;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1;73<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8in5+1376>46>?2.2=?4;50f8 =df28o=7)hi0;30af=i9;?<6<9m7:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl<7ea95?1=83:p(N6::i0D2;665a=#0kk1?8;4n0063?70j11/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>9ka;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39>96`>245952df3-;;h?4<6448m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7=5d280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=?j7;%3110<680=0(4?=:572`>"?jh0::h5+fg2957b?3g;99:4>7c`8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8;il51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1;60<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9h:5+1376>46>?2.2=?4;50f8 =df28837)hi0;31`2=i9;?<6<9md:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:2897?55;294~"69:l15N69=90(kki:00g3>"6:245952db3-;;h?4<6448m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm391b>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;n=7)?=54824<1<,0;969;>d:&;fd<5?:1/jk>513f5?k75=>0:;oh4$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd40;=1=7;50;2x 474n33:h6F>22a8L473;2.mik4>2b18 442=3;;5:5+9009007c3-2im79n1:&eb5<6:j90b<<:7;34g5=#99n96>8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?75l?1/=?;::02:3>">9;0?94dc3-lm<7?=d79m573028=h=6*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f6>4;3;197>50z&256`=18n0D<<2;665a=#0kk1>9;4$gd3>44c=2d:>89516a1?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?55<7s-;:?k461e9K575d3A;:8>5+fdd957b23-;9984>0858 <752=?:h6*7b`8266=#no:1=?j:;o3112<6?j90(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>i:4$0061?771>1/5<<5443g?!>ei38?m6*if1826a2;7?8c59'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=i4>:483>5}#989m64?k;I317f=O98>87)hjf;31`1=#9;?>6<>67:&:57<3=8n0(5ln:0aa?!`a83;9h95a1374>41d=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 442=3;;5:5+9009007c3-2im7=>7:l2601=9>i=7)??d3802006753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a7=64280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0;:?k75=>0:;n94$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8::951;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1530<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=189m573028=h56*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f61ai3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;3:=>h6:<=1=:mn;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?5>;:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28327c?=56823fd<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6101e=?;8:05``>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?69:l2601=9>in7)??d3802006753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;?=:6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97603g;99:4>7bd8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<81295?1=83:p(N6::i0D2;665a=#0kk1=474n0063?70l91/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`82=<=i9;?<6<9k1:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>9i8;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;256`>245952b53-;;h?4<6448m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a72`c280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0;:?k75=>0:;i=4$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8;>k51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c14bf<62=0;6=u+101e><7d3A;9?n5G1060?!75=<0:<494$831>136l2.3nl488c9m573028=o96*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8;>l51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1400<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;e89m573028=o;6*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:2;>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7>12d:>89516f;?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm36d7>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<76;o3112<6?m30(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4?o=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95;7?8d`9'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:545a1374>41cj2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f60?i3;187>50z&256`=18i0D<<2;665a=#0kk1;hl4$gd3>45bn2d:>89516f`?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c150;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl478d9m573028=oh6*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:=>87?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2b12d:>89516ff?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm367;>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj69k6;o3112<6?ml0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4?<81=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc90`?;7?8e19'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0?i45a1374>41b92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f61283;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;6f=>h6:<=1=:k=;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?:;9:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=o27c?=56823`5<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3m01e=?;8:05f1>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7:j9:l2601=9>o=7)??d3802006753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;>>96<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?91c>3g;99:4>7d58 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<75695?1=83:p(N6::i0D2;665a=#0kk18h74n0063?70m11/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0:694?:1y'545a20;h7E?=3b9K54243-lnj7?<4`9'573228:2;6*6138714b<,1hj6"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:?9o4$0061?771>1/5<<5443g?!>ei38986*if18271g;7?8e`9'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7=6d280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>:k;%3110<680=0(4?=:572`>"?jh0:no5+fg29562c3g;99:4>7d`8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th84<751;794?6|,8;8j77>d:J266e<@8;??6*ieg826`2<,88>97??969'=44=<<;o7)6ma;375>"an90:>h:4n0063?70mj1/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c1;4=<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9o45+1376>46>?2.2=?4;50f8 =df289n7)hi0;31g<=i9;?<6<9jd:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:2;n7?55;294~"69:l15N69=90(kki:00`<>"6:245952cb3-;;h?4<6448m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm3936>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;o?7)?=54824<1<,0;969;>d:&;fd<59?1/jk>513g7?k75=>0:;hh4$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd408n1=7;50;2x 474n33:h6F>22a8L473;2.mik4>2d18 442=3;;5:5+9009007c3-2im7j6;%de4?75m:1e=?;8:05e4>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>6>f;391?6=8r.:=>h590f8L444k2B:=9=4$gge>44b;2.:>8;511;4?!?6:3>>=i5+8cc97`d<,ol;6<l:7)??d3802006753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a7=70280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=?k=;%3110<680=0(4?=:572`>"?jh0j86*if1826`4;7?8f39'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=4>:483>5}#989m64?k;I317f=O98>87)hjf;31a7=#9;?>6<>67:&:57<3=8n0(5ln:00b?!`a83;9i?5a1374>41a;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca288n=6*>247955?03-3:>7::1e9'h6:<=1=:h;;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;1:j6<4::183!76;o02=i5G131`?M76<:1/jhh513a;?!75=<0:<494$831>136l2.3nl4>319'bc6=9;i37c?=56823c3<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<83395?3=83:p(N6::i0D2d38j442?3;602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?8o4$0061?771>1/5<<5443g?!>ei3;?<6*if18270g;7?8f99'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;301d=#9;?>6<>67:&:57<3=8n0(5ln:063?!`a83;89l5a1374>41a12.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289?j6*>247955?03-3:>7::1e9'h6:<=1=:hn;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;=3=6<4::183!76;o02=i5G131`?M76<:1/jhh5126e?!75=<0:<494$831>136l2.3nl4>419'bc6=9:>m7c?=56823cd<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<48:95?3=83:p(N6::i0D34;8j442?3;64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>:6c;391?6=8r.:=>h590f8L444k2B:=9=4$gge>45202.:>8;511;4?!?6:3>>=i5+8cc9516<,ol;6<=:8:l2601=9>ln7)??d3806de6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a71?b280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>;7;%3110<680=0(4?=:572`>"?jh0:8=5+fg29563?3g;99:4>7gd8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th88l>51;794?6|,8;8j77>d:J266e<@8;??6*ieg82701<,88>97??969'=44=<<;o7)6ma;374>"an90:?894n0063?7?891/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c17e7<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;89:5+1376>46>?2.2=?4;50f8 =df28>;7)hi0;3012=i9;?<6<6?1:&24a4=;;kh7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:>j87?55;294~"69:l15N69=90(kki:0162>"6::6`>24595=653-;;h?4<2`a8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm35c5>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:?=7)?=54824<1<,0;969;>d:&;fd<6<91/jk>51275?k75=>0:4==4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd422a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95`0;7?7059'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm3542>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;i<7)?=54824<1<,0;969;>d:&;fd<6m>1/jk>513a4?k75=>0:4=;4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4<>i1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3d`8 442=3;;5:5+9009007c3-2im7?j6:&eb5<6;lh0b<<:7;3;43=#99n96>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a714e280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:276?k75=>0:4=94$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th885651;694?6|,8;8j77>c:J266e<@8;??6*ieg827`d<,88>97??969'=44=<<;o7)6ma;3f2>"an90:?hl4n0063?7?811/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e;=8n6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?96323g;99:4>81;8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<46`95?3=83:p(N6::i0D2e18j442?3;364fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`8010=i9;?<6<6?b:&24a4=;;kh7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>:7e;391?6=8r.:=>h590f8L444k2B:=9=4$gge>44c;2.:>8;511;4?!?6:3>>=i5+8cc953c<,ol;6<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a714c280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:276?k75=>0:4=j4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th88:951;794?6|,8;8j77>d:J266e<@8;??6*ieg826a4<,88>97??969'=44=<<;o7)6ma;31<>"an90:>i<4n0063?7?8l1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c17<2<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9h?5+1376>46>?2.2=?4;50f8 =df2;:h7)hi0;31`7=i9;?<6<6?f:&24a4=;;kh7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:>3o7?55;294~"69:l15N69=90(kki:00g5>"6:24595=773-;;h?4<2`a8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm355:>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;i97)?=54824<1<,0;969;>d:&;fd<0i81/jk>513a1?k75=>0:4f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4<1k1=7;50;2x 474n33:h6F>22a8L473;2.mik4>2e38 442=3;;5:5+9009007c3-2im7?md:&eb5<6:m;0b<<:7;3;57=#99n96>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?75l91/=?;::02:3>">9;0?97223-lm<7?=d19m5730282:?6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f62?93;197>50z&256`=18n0D<<2;665a=#0kk1=?=4$gd3>44c82d:>8951937?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?96<:086>5<7s-;:?k461e9K575d3A;:8>5+fdd957ea3-;9984>0858 <752=?:h6*7b`810d=#no:1=?mi;o3112<608?0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>nh4$0061?771>1/5<<5443g?!>ei3;hn6*if1826f`;7?7179'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<49>1e=?;8:0:23>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>::5;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;256`>24595=7?3-;;h?4<2`a8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a77ec280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6`:?k75=>0:4<74$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8>nl51;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:545a1374>4>6j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f622>3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;3:=>h6:<=1=5?l;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?9:7:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28327c?=5682<4b<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6101e=?;8:0:2b>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im76753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;=?96<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94?>3g;99:4>8338 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<44295?1=83:p(N6::i0D2;665a=#0kk1=474n0063?7?:;1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`82=<=i9;?<6<6=3:&24a4=;;kh7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>:;f;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;256`>24595=433-;;h?4<2`a8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a76?3280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:5g:?k75=>0:4?;4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th889k51;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a76?6280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:5g:?k75=>0:4?94$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8?4l51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1711<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>989m5730282956*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:>?:7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7>12d:>895190b?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm356:>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<76;o3112<60;h0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4<==1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95;7?72b9'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74ml1/=?;::02:3>">9;0?92ce3-lm<7?0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8>h?51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c10ed<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;e89m57302829j6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:9ji7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2b12d:>8951913?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm32c;>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj69k6;o3112<60:;0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4;h>1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc90`?;7?7339'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0?i45a1374>4>4;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f65fk3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;6f=>h6:<=1=5=;;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?>l?:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=o27c?=5682<63<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3m01e=?;8:0:03>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7:j9:l2601=91937)??d3806de6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;:3j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?91c>3g;99:4>82;8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<44g95?3=83:p(N6::i0D35a8j442?3;3?l5+11f1>64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:mak0:684?:1y'545a20;o7E?=3b9K54243-lnj7?=e19'573228:2;6*6138714b<,1hj6<:>;%de4?75m91e=?;8:0:0f>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>::a;391?6=8r.:=>h590f8L444k2B:=9=4$gge>44d?2.:>8;511;4?!?6:3>>=i5+8cc956c<,ol;6<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a713c280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=?m9;%3110<680=0(4?=:572`>"?jh09;=5+fg2957e13g;99:4>82f8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th88;951;794?6|,8;8j77>d:J266e<@8;??6*ieg826`6<,88>97??969'=44=<<;o7)6ma;022>"an90:>h>4n0063?7?;l1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c172c<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9hk5+1376>46>?2.2=?4;50f8 =df2m30(kh?:00gb>h6:<=1=5=i;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;==:6<4::183!76;o02=i5G131`?M76<:1/jhh513fe?!75=<0:<494$831>136l2.3nl47==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<47;95?3=83:p(N6::i0D0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f621=3;197>50z&256`=18n0D<<2;665a=#0kk1=?o4$gd3>44cm2d:>8951961?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?98k:086>5<7s-;:?k461e9K575d3A;:8>5+fdd957bc3-;9984>0858 <752=?:h6*7b`82f2=#no:1=?jk;o3112<60=90(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>n84$0061?771>1/5<<5443g?!>ei3;8<6*if1826f0;7?7459'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=4>:483>5}#989m64?k;I317f=O98>87)hjf;31`a=#9;?>6<>67:&:57<3=8n0(5ln:31:?!`a83;9hi5a1374>4>3=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4e<@888o6F>1518 cca289?o6*>247955?03-3:>7::1e9'h6:<=1=5:9;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?74=<1/=?;::02:3>">9;0?94273-lm<7?<549m5730282?;6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f66b03;197>50z&256`=18n0D<<2;665a=#0kk1=9>4$gd3>452=2d:>895196;?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?=kn:086>5<7s-;:?k461e9K575d3A;:8>5+fdd9562b3-;9984>0858 <752=?:h6*7b`8205=#no:1=>:j;o3112<60=30(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?9k4$0061?771>1/5<<5443g?!>ei3;?<6*if18271c;7?74`9'55b52;omi6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;3011=#9;?>6<>67:&:57<3=8n0(5ln:063?!`a83;8995a1374>4>3j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289>86*>247955?03-3:>7::1e9'h6:<=1=5:l;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;9l96<4::183!76;o02=i5G131`?M76<:1/jhh51270?!75=<0:<494$831>136l2.3nl4>419'bc6=9:?87c?=5682<1b<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<0g695?3=83:p(N6::i0D3418j442?3;38h5+11f1>7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>>i8;391?6=8r.:=>h590f8L444k2B:=9=4$gge>452:2.:>8;511;4?!?6:3>>=i5+8cc9516<,ol;6<=:2:l2601=91?;7)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a75`f280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>;>;%3110<680=0(4?=:572`>"?jh0:8=5+fg2956363g;99:4>8438 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8d:J266e<@8;??6*ieg82707<,88>97??969'=44=<<;o7)6ma;374>"an90:?8?4n0063?7?=;1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c13f3<62=0;6=u+101e><7d3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>e79m5730282>?6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8d:J266e<@8;??6*ieg826f3<,88>97??969'=44=<<;o7)6ma;3f3>"an90:>n;4n0063?7?==1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c13`7<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8il5+1376>46>?2.2=?4;50f8 =df28o=7)hi0;30ad=i9;?<6<6:5:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl<09395?1=83:p(N6::i0D2;665a=#0kk1?8;4n0063?7?=?1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;31g`=#9;?>6<>67:&:57<3=8n0(5ln:0a1?!`a83;9oh5a1374>4>212.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7=:5:l2601=91?j7)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;9o?6<4::183!76;o02=i5G131`?M76<:1/jhh513af?!75=<0:<494$831>136l2.3nl4>6d9'bc6=9;in7c?=5682<0d<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<09195?1=83:p(N6::i0D2;665a=#0kk1?8;4n0063?7?=j1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>>kd;391?6=8r.:=>h590f8L444k2B:=9=4$gge>44dl2.:>8;511;4?!?6:3>>=i5+8cc965e<,ol;6<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a75c5280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=?ml;%3110<680=0(4?=:572`>"?jh09;>5+fg2957ed3g;99:4>84d8 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8d:J266e<@8;??6*ieg826f7<,88>97??969'=44=<<;o7)6ma;5b5>"an90:>n?4n0063?7?>91/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c13a5<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9on5+1376>46>?2.2=?4;50f8 =df28ho7)hi0;31gf=i9;?<6<691:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj::on7?55;294~"69:l15N69=90(kki:00`f>"6:24595=053-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm31f4>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;ii7)?=54824<1<,0;969;>d:&;fd<6::1/jk>513aa?k75=>0:4;=4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd48m31=7;50;2x 474n33:h6F>22a8L473;2.mik4>2bc8 442=3;;5:5+9009007c3-2im7<;a:&eb5<6:jk0b<<:7;3;21=#99n96?kie:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?75kh1/=?;::02:3>">9;0?94ee3-lm<7?=c`9m5730282=96*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7`6j3;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;123>h6:<=1=589;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;9ki6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94?>3g;99:4>8758 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=f0d95?1=83:p(N6::i0D2;665a=#0kk1;o74n0063?7?>11/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`815<=i9;?<6<699:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj::j>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7>12d:>895194b?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm31c`>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<76;o3112<60?h0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd480o1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95;7?76b9'55b52;omi6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:545a1374>4>1l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f66f?3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;3:=>h6:<=1=58j;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>k?6:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;:<7c?=5682<3`<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:=93=1<7>t$030b??6n2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6101e=?;8:0:45>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?69:l2601=91=97)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;9k>6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94?>3g;99:4>8618 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=fd495?1=83:p(N6::i0D2;665a=#0kk18h74n0063?7??=1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`846`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl=fd195?1=83:p(N6::i0D2;665a=#0kk18h74n0063?7???1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`87a<=i9;?<6<687:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>>na;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;256`>24595=1?3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a75?d280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0;:?k75=>0:4:74$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8<4h51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c13=a<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>989m57302820e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;l8>7?54;294~"69:l15N69=90(kki:01f`>"6:24595=1d3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8;<`=i9;?<6<68d:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?hic;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>n56`>24595=1b3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7567280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:5g:?k75=>0:4:h4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th9jko51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c0eb3<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;e89m57302823=6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;lm47?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2b12d:>89519:1?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm2gdf>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj69k6;o3112<60190(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd48981=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc90`?;7?7859'55b52;omi6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0?i45a1374>4>?=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f7`b03;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;6f=>h6:<=1=569;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>kkn:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=o27c?=5682<=1<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6jh1e=?;8:0:;=>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm31`7>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:>i7)?=54824<1<,0;969;>d:&;fd<6jk1/jk>5126a?k75=>0:45l4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd48j;1=7;50;2x 474n33:h6F>22a8L473;2.mik4>2ea8 442=3;;5:5+9009007c3-2im7?;1:&eb5<6:mi0b<<:7;3;o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?75k<1/=?;::02:3>">9;0?945b3-lm<7?=c49m57302823h6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f66e;3;197>50z&256`=18n0D<<2;665a=#0kk1>:>4$gd3>44d<2d:>89519:f?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?=lk:086>5<7s-;:?k461e9K575d3A;:8>5+fdd957bd3-;9984>0858 <752=?:h6*7b`8153=#no:1=?jl;o3112<601l0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>il4$0061?771>1/5<<5443g?!>ei3n27)hi0;31`g=i9;?<6<660:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj::h;7?55;294~"69:l15N69=90(kki:00gf>"6:24595=?63-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm31`e>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;nj7)?=54824<1<,0;969;>d:&;fd2ec8j442?3;35?5+11f1>7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>>l3;391?6=8r.:=>h590f8L444k2B:=9=4$gge>44c12.:>8;511;4?!?6:3>>=i5+8cc95g1<,ol;6<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a75d5280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=?m;;%3110<680=0(4?=:572`>"?jh0:?=5+fg2957e33g;99:4>8878 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8d:J266e<@8;??6*ieg826a?<,88>97??969'=44=<<;o7)6ma;00=>"an90:>i74n0063?7?1?1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c13f<<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;88o5+1376>46>?2.2=?4;50f8 =df28o=7)hi0;300g=i9;?<6<667:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>d5295?5=83:p(N6::i0D3-lm<7?;079m5730282246*>0e095f?a3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<<;o3112<60030(<>k2;3e6<=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6nhh1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc966=i9;?<6<66a:&24a4=9o827d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vnh590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3887c?=5682<7?i289j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e095c4>3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8lji7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?443g;99:4>88f8 46c:3;m>45f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>f`d95?5=83:p(N6::i0D2;665a=#0kk1n45a1374>4>>m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vnh590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;n56`>24595=?a3-;;h?4>f3;8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7`g>280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:9:f?k75=>0:4l>4$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8ilo51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'lo?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1`24<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>8`9m5730282j>6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:i=87?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1c>2d:>89519c0?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3bgb>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj60(<>k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4klh1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95`c;7?7a49'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09=n5a1374>4>f>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6ebl3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;02g>h6:<=1=5o8;%33`7<4j:n0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?nkj:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28o<7c?=5682<,8:o>7=m3e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1>>5a1374>4>f12.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6e7n3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;00?k75=>0:4lo4$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8io;51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'lo?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1ff3<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl478d9m5730282jo6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:oi;7?53;294~"69:l15N69=90(kki:01e3>"6:24595=gc3-;;h?4!75=<08=?5a1370>4=`1c32wi?o:?:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28o27c?=56827=m3e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:h?>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?443g;99:4>8c28 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1>>5a1374>4>e92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6d3<3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;00?k75=>0:4o<4$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8n9;51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj:h?:7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7b12d:>89519`7?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3d:a>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6oh4n0063?7?j<1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`84bd=i9;?<6<6m6:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>m>c;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=no6`>24595=d03-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm3b6:>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:o;;o3112<60k20(<>k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj<8:>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4?12d:>89519`b?!77l;0>>=l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm5330>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj65?j;o3112<60kh0(<>k2;714g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?o;4$0061?771>1/5<<5443g?!>ei3l;7)hi0;30f0=i9;?<6<6mc:&24a4==;:i7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl:20495?1=83:p(N6::i0D2;665a=#0kk1>:74n0063?7?jm1/==j=:403f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma4?:1y'545a20;i7E?=3b9K54243-lnj7?;209'573228:2;6*6138714b<,1hj697j;%de4?73:81e=?;8:0:aa>"68m81>n=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;i?<7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?543g;99:4>8cd8 46c:38h?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1?o5a1374>4>d82.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f04593;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5g`>h6:<=1=5m>;%33`7<2:9h0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi9??l:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2j=0b<<:7;3;g7=#99n968o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c715g<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;e79m5730282h?6*>0e09176e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj<89j7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?e03g;99:4>8b68 46c:3?97>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl:23g95?1=83:p(N6::i0D2;665a=#0kk18h84n0063?7?k<1/==j=:403f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`84`a=i9;?<6<6l6:&24a4==;:i7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn8<h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>24595=e03-;;h?4:21`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a175a280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:b58j442?3;3o55+11f1>047j2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3m?1e=?;8:0:`=>"68m819?>m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7m8;o3112<60jk0(<>k2;714g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd2:=;1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc90`0;7?7cc9'55b52<8;n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0h;6`>24595=ed3-;;h?4:21`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a175d280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:5g5?k75=>0:4nj4$02g6?358k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8o=>51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'lo?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1f<<<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4n6:l2601=91im7)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;l2j6<4::183!76;o02=i5G131`?M76<:1/jhh51526?!75=<0:<494$831>136l2.3nl4ma:&eb5<6<9?0b<<:7;3;`5=#99n96>lo?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:=>5a1374>4>c92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6c?m3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;102>h6:<=1=5j=;%33`7<4j:n0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?h7?:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21;m7c?=56827=m3e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj:o3=7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?0a3g;99:4>8e68 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk14=j4n0063?7?l<1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?h6<:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=nh7c?=56827=m3e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6j2B:>>m4H0377>"amo0:8=:4$0061?771>1/5<<5443g?!>ei3h27)hi0;3741=i9;?<6<6k7:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f6c?=3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;3:g>h6:<=1=5j7;%33`7<4j:n0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?h69:086>5<7s-;:?k461e9K575d3A;:8>5+fdd9515f3-;9984>0858 <752=?:h6*7b`8;4f=#no:1=9=n;o3112<60m30(<>k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:6d4l2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4d<@888o6F>1518 cca28>8:6*>247955?03-3:>7::1e9'h6:<=1=5jl;%33`7<2:9h0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a174d280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6g0?k75=>0:4ij4$02g6?358k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th>>>>51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c710g<62:0;6=u+101e><7e3A;9?n5G1060?!`bn3;??;5+1376>46>?2.2=?4;50f8 =df28o>7)hi0;3773=i9;?<6<6kf:&24a4==;:i7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f043k3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;066>h6:<=1=5k?;%33`7<2:9h0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi9?:k:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>2o7c?=5682<`7<,8:o>7;=0c9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6j2B:>>m4H0377>"amo0:8>;4$0061?771>1/5<<5443g?!>ei3;n96*if182063;7?7e39'55b52<8;n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma0858 <752=?:h6*7b`8117=i9;?<6<6j3:&24a4==;:i7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn8<:1;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=3h6`>24595=c33-;;h?4:21`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a173428086=4?{%327c<>9k1C=?=l;I3206=#nll1=9=:;%3110<680=0(4?=:572`>"?jh0:i85+fg2951523g;99:4>8d78 46c:3?97>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd2:<>1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9604;7?7e79'55b52<8;n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<4i5a1374>4>b?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f042?3;1?7>50z&256`=18h0D<<2;665a=#0kk1=h;4$gd3>424<2d:>89519g;?!77l;0>>=l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e=;?36<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97353g;99:4>8d;8 46c:3?97>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl:24;95?1=83:p(N6::i0D2;665a=#0kk1;5j4n0063?7?mh1/==j=:403f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma4?:1y'545a20;i7E?=3b9K54243-lnj7?;359'573228:2;6*6138714b<,1hj6"68m819?>m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj<8>o7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?42:2d:>89519g`?!77l;0>>=l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm537g>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:6k;o3112<60ln0(<>k2;714g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd2:22a8L473;2.mik4>43a8 442=3;;5:5+9009007c3-2im797b:&eb5<6<;i0b<<:7;3;a`=#99n968o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a1707280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3;b?k75=>0:4hh4$02g6?358k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th>>;?51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c7126<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?>n5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;376f=i9;?<6<6i1:&24a4==;:i7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl:27695?1=83:p(N6::i0D2;665a=#0kk1>4o4n0063?7?n;1/==j=:403f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma<0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`846`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn8<;4;397?6=8r.:=>h590`8L444k2B:=9=4$gge>425j2.:>8;511;4?!?6:3>>=i5+8cc95`3<,ol;6<:=b:l2601=91l?7)??d38665d6753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm5366>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?;=;o3112<60o?0(<>k2;714g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd2:=<1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93=b;7?7f79'55b52<8;n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%dfb?73:k1/=?;::02:3>">9;0?94c23-lm<7?;2c9m5730282m;6*>0e09176e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79j3:l2601=91l37)??d38665d6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e=;>j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?91d53g;99:4>8g;8 46c:3?97>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D0(<<:5;33=2=#188188?k;%:ae?44?2.mj=4>3968j442?3;3jl5+11f1>6d4l2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi?h98:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2h=0b<<:7;3;bg=#99n96>lo?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1f3f<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>959m5730282mo6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f6c0l3;1:7>50z&256`=18o0D<<2;665a=#0kk1=?>4$gd3>42782d:>89519dg?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`8;31=i9;?<6<6ie:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>k9b;392?6=8r.:=>h590g8L444k2B:=9=4$gge>453?2.:>8;511;4?!?6:3>>=i5+8cc93fb<,ol;6<=;7:l2601=91lm7)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74<>1/=?;::02:3>">9;0?94>d3-lm<7?<469m5730283;<6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8i;j51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'?0b<<:7;3:44=#99n96>lo?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1ff4<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>969m5730283;>6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:oi>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4>=2d:>8951820?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3d`0>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6;<4n0063?7>8=1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84=a=i9;?<6<7?5:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>kma;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32:j6`>24595<613-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6mm1e=?;8:0;33>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7<>4:l2601=90:37)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fdl1e=?;8:0;3=>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im768d:l2601=90:j7)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;j">9;0?9=2a3g;99:4>91`8 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk14<=4n0063?7>8j1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8252=i9;?<6<7?d:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>kn6;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383<6`>24595<6b3-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7`g028086=4?{%327c<>9k1C=?=l;I3206=#nll1=9=n;%3110<680=0(4?=:572`>"?jh0i56*if18206g;7?60g9'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma0858 <752=?:h6*7b`8134=i9;?<6<7>0:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>knc;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei323h6`>24595<763-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7`?4280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0fe?k75=>0:5<<4$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8i4;51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<71:l2601=90;?7)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;l3j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=0a3g;99:4>9078 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk145o4n0063?7>9?1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`86g>h6:<=1=4?8;%33`7<4j:n0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?ohm:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21<;7c?=5682=4><,8:o>7=m3e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:6d4l2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd4joi1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93`c;7?61c9'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03>95a1374>4?6k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?4=851;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6;4=<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48d59m5730283:i6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;81;95?0=83:p(N6::i0D2;665a=#0kk1;4m4n0063?7>9o1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8::i:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=9;7c?=5682=76<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:<5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=<9<4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3;91e=?;8:0;16>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79n3:l2601=90887)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e136l2.3nl48d39'bc6=9;hh7c?=5682=72<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1gf<3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;01f>h6:<=1=4<:;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8576:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:?0b<<:7;3:63=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6;=d<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4:d:l2601=908<7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<13i6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?96gc3g;99:4>93:8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f1>>k3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0:2>h6:<=1=4<6;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi857k:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df283n7c?=5682=7g<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:o5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`86b>h6:<=1=4:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c6;41<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47e:l2601=908o7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<18<6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?96c;7?62d9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0=:6`>24595<4a3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0=6b280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:bd8j442?3;2?=5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=3:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<58=1e=?;8:0;05>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7ok;o3112<61:80(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd308k1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93c5;7?6329'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0::85a1374>4?4<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?4?<51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj=29?7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2c3g;99:4>9248 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;83695?0=83:p(N6::i0D2;665a=#0kk1;:5a1374>4?4?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?4?;51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6;51<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=759m5730283856*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=2:97?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7f:2d:>895181b?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4935>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9omb;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3?<7c?=5682=6e<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=kio7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7c:2d:>895181g?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4``g>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?9n;o3112<61:o0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3iko1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95a5;7?63g9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0=77280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:974?k75=>0:59>4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?4f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?>8:l2601=90>97)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e">9;0?94cb3g;99:4>9518 46c:3>jho5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;bc795?1=83:p(N6::i0D2;665a=#0kk1=hk4n0063?7><=1/==j=:5cgf>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`82a`=i9;?<6<7;5:&24a4=6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9lm7;390?6=8r.:=>h590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;n:6`>24595<213-;;h?4;ae`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma11e=?;8:0;73>"68m818ljm;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:1gcj2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=i=;4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0=2d:>895186:?!77l;0?mil4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4`a7>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;h<7)?=54824<1<,0;969;>d:&;fd<01o1/jk>513`4?k75=>0:59o4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3ij=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93f6;7?64c9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh038o5a1374>4?3k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1gd13;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;363>h6:<=1=4:k;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e">9;0?966;7?64d9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:8o5a1374>4?3n2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1b>l3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:05>h6:<=1=4;?;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8:h?:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28<=7c?=5682=07<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;89295?1=83:p(N6::i0D2;665a=#0kk14>o4n0063?7>=;1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`87a2=i9;?<6<7:3:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn99kf;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=m<6`>24595<333-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a02c6280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:920?k75=>0:58;4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?;kl51;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09?6`>24595<303-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a02`3280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>h?;%3110<680=0(4?=:572`>"?jh09:h5+fg2956`73g;99:4>94:8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?;k;51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6;<6<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9ik5+1376>46>?2.2=?4;50f8 =df2:;<7)hi0;31ac=i9;?<6<7:a:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=23>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>4i2d:>895187a?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm49:e>4<4290;w)?>3g8:5g=O9;9h7E?>429'b``=9=937)?=54824<1<,0;969;>d:&;fd<6m<1/jk>5151;?k75=>0:58m4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=35<4>:583>5}#989m64?l;I317f=O98>87)hjf;30<6=#9;?>6<>67:&:57<3=8n0(5ln:0ff?!`a83;84>5a1374>4?2m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd300:1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<63;7?65g9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?740:1/=?;::02:3>">9;0?94c73-lm<7?<829m5730283=<6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f1>>:3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:01>h6:<=1=48>;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8:k6:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956`73-;9984>0858 <752=?:h6*7b`825c=#no:1=>h?;o3112<61?80(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=n=h7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?443g;99:4>9718 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;d7g95?1=83:p(N6::i0D2;665a=#0kk1>>5a1374>4?1<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1b1n3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;00?k75=>0:5;;4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?h:>51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<<;o3112<61?=0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3l>81=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9f<=i9;?<6<798:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f1b0;3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;3f=>h6:<=1=486;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi85=;:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2k?0b<<:7;3:2d=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<18j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=1>3g;99:4>97`8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;83a95?2=83:p(N6::i0D2;665a=#0kk1;>5a1374>4?1k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd30;n1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<70;7?66e9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0i96`>24595<0b3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=3?84>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4d<@888o6F>1518 cca28>:>6*>247955?03-3:>7::1e9'h6:<=1=49?;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a0=4b280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:2`a?k75=>0:5:?4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?4>651;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<19<6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=003g;99:4>9618 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;82c95?0=83:p(N6::i0D2;665a=#0kk1?<74n0063?7>?=1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi85=6:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21<<7c?=5682=23<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm491a>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6588;o3112<61>=0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd30=81=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc974?;7?6799'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0=5c280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:944?k75=>0:5:74$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?4>h51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<19n6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=003g;99:4>96`8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;85395?0=83:p(N6::i0D2;665a=#0kk1?<74n0063?7>?j1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi85:?:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21<<7c?=5682=2b<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4960>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6588;o3112<61>l0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd30=<1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc974?;7?6819'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0=22280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:944?k75=>0:55?4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?49651;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<1><6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=003g;99:4>9918 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;d`g95?1=83:p(N6::i0D2;665a=#0kk1=k>4n0063?7>0=1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`86f>h6:<=1=46:;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8il=:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=k0b<<:7;3:<3=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e">9;0?9=7e3g;99:4>9958 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;dc695?1=83:p(N6::i0D2;665a=#0kk14;:4n0063?7>011/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84f0=i9;?<6<779:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=3h?4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7mn;o3112<611i0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn96nb;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38396`>24595<>c3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0=d0280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:36;?k75=>0:55k4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd30k31=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<00;7?68g9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0<41280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:91:?k75=>0:54>4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4b<@888o6F>1518 442=3;;5:5+9009007c3-2im7:m9:l2601=903:7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a0<5>280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:957?k75=>0:54<4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?5?j51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<1n?6<49:183!76;o02=h5G131`?M76<:1/jhh513`:?!75=<0:<494$831>136l2.3nl48909'bc6=9;h27c?=5682=<2<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=2oo7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>0=2d:>89518;6?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm49fg>4<4290;w)?>3g8:5g=O9;9h7E?>429'b``=9:lo7)?=54824<1<,0;969;>d:&;fd<6>;1/jk>512dg?k75=>0:5484$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=3i=4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7:=c:l2601=90327)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<1n=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92`c3g;99:4>98c8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;9dc95?2=83:p(N6::i0D4018j442?3;25o5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi84kj:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21=j7c?=5682=7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi84hi:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;:>7c?=5682=7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=2h84>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<0o86<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94g43g;99:4>9`08 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;9d695?0=83:p(N6::i0D2;665a=#0kk14?94n0063?7>i:1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi84k::085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df218m7c?=5682=d2<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=3>87?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1e=2d:>89518c6?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma=00:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;0a=i9;?<6<7n6:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn97:c;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32?56`>24595!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0<3c280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:bc8j442?3;2m55+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm487f>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6:;o3112<61h30(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3122a8L473;2.:>8;511;4?!?6:3>>=i5+8cc961>;7?6a`9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=29:4>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd31?;1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93g3;7?6ab9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0<01280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:96g?k75=>0:5lj4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?5;751;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6:2d<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4la:l2601=90km7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09485a1374>4?e82.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1?1k3;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;07<>h6:<=1=4l>;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<0">9;0?9=313g;99:4>9c08 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f1?1m3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;5a1>h6:<=1=4l<;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c6:36<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl474e9m5730283i86*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=3<:7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>312d:>89518`6?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4854>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6no4n0063?7>j?1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8497:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2>7c?=5682=g1<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma?80:6;4?:1y'545a20;n7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;13=i9;?<6<7m9:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4``3>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj68?4n0063?7>jk1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8;0==i9;?<6<7mc:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9o?9;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45fm2.:>8;511;4?!?6:3>>=i5+8cc936=#no:1=>oj;o3112<61kn0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=k;>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>6:2d:>89518`f?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4`20>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj69k7;o3112<61kl0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3i9=1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<66;7?6c19'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0=`d280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0df?k75=>0:5n?4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7?7e:l2601=90i97)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%dfb?74nl1/=?;::02:3>">9;0?94053-lm<7?0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?6d:l2601=90i?7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<1l<6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=0e3g;99:4>9b78 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;9g395?2=83:p(N6::i0D4308j442?3;2o;5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi84h7:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21=j7c?=5682=f1<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm48d1>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>h4n0063?7>k01/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi84h<:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21>97c?=5682=fg<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=2j;4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0nm1e=?;8:0;`g>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7?ie:l2601=90io7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%dfb?74nm1/=?;::02:3>">9;0?94>b3-lm<7?0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;8`d95?1=83:p(N6::i0D2;665a=#0kk14564n0063?7>ko1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84a7=i9;?<6<7k0:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=3ii4>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6nl1e=?;8:0;g6>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd30ll1=7850;2x 474n33:i6F>22a8L473;2.mik4>3gg8 442=3;;5:5+9009007c3-2im7?7e:&eb5<6;oo0b<<:7;3:`6=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<1l;6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=>?3g;99:4>9e68 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;8d795?0=83:p(N6::i0D2;665a=#0kk1;h<4n0063?7>l<1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi85k9:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df283o7c?=5682=a0<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4833>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<6j;o3112<61m20(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn97>1;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32346`>245953-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0<61280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6g1?k75=>0:5io4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?6d:l2601=90ni7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<0=i6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?9=5>3g;99:4>9ea8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f1??=3;1?7>50z&256`=18h0D<<2;665a=#0kk1n45+fg2956gc3g;99:4>9ef8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd31>o1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<44;7?6dd9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0?i55a1374>4?cn2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1g6<3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:7<>h6:<=1=4k?;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8l?9:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28ln7c?=5682=`7<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=k:<7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>6:2d:>89518g1?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4`32>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj69k7;o3112<61l90(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3i881=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93g`;7?6e59'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03<=5a1374>4?b=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?4n851;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'k0b<<:7;3:a3=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6;gd<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>fd9m5730283n;6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;8b`95?0=83:p(N6::i0D2;665a=#0kk1:85a1374>4?b02.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?4nk51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6;gc<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>269m5730283nm6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=2h;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae??13g;99:4>9d`8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;8b:95?1=83:p(N6::i0D2;665a=#0kk14?74n0063?7>mj1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma<>0:6;4?:1y'545a20;n7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;7<=i9;?<6<7jd:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"amo0:?lj4$0061?771>1/5<<5443g?!>ei3=2>6*if1827db;7?6ed9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0<2f280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:056?k75=>0:5hh4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?59l51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6:0f<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=7d9m5730283m=6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=3?h7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>1i2d:>89518d1?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm48c7>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj659n;o3112<61o90(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd31k81=7:50;2x 474n33:o6F>22a8L473;2.mik4>3ba8 442=3;;5:5+9009007c3-2im7?93:&eb5<6;ji0b<<:7;3:b1=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a09o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:23e?k75=>0:5k;4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?5o851;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6:e0<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;e99m5730283m;6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=3j:7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1d3g;99:4>9g:8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;9`:95?1=83:p(N6::i0D2;665a=#0kk14?74n0063?7>n01/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8;3d=i9;?<6<7ia:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9o=e;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;mi6`>24595<`e3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=j>k4>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<1=2d:>89518d`?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`8;0==i9;?<6<7id:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9o<4;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;9;6`>24595<`b3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0d4e280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:ba8j442?3;2jk5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=j>n4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd11e=?;8:0c34>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im768a:l2601=9h::7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e">9;0?94`b3g;99:4>a108 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f1g4j3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;46?k75=>0:m==4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im76;8:l2601=9h:?7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94403g;99:4>a178 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;a2595?1=83:p(N6::i0D2;665a=#0kk15;5a1374>4g7>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1g403;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:1=>h6:<=1=l>8;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8l:=:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21=j7c?=5682e5><,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4`64>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6;;4n0063?7f8h1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8l:m:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21>37c?=5682e5d<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=j8>4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<>>2d:>8951`2g?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4`67>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj65<6;o3112<6i9o0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3i=o1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<2g;7?n0g9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:jh5a1374>4g682.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?m8=51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'<1e=?;8:0c25>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd3i<=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<1>;7?n139'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:>:5a1374>4g6;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1g3n3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;;5?k75=>0:m<:4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?m8>51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6b1d<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl477`9m573028k::6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=k>i7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7am2d:>8951`34?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`851>h6:<=1=l?7;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c6b26<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47499m573028k:56*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=k=87?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?75?2d:>8951`3b?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4`7a>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6484n0063?7f9k1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8;6<=i9;?<6c:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9o96;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3224595d7c3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0d0f280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0df?k75=>0:mf:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im78:;o3112<6i8l0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9o9f;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32?46`>24595d473-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0d17280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:004?k75=>0:m??4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?m;951;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im76=9:l2601=9h887)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e">9;0?9=1f3g;99:4>a368 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;a6495?0=83:p(N6::i0D2;665a=#0kk1=kk4n0063?7f:<1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8l98:085>5<7s-;:?k461d9K575d3A;:8>5+fdd956?53-;9984>0858 <752=?:h6*7b`851>"an90:?4<4n0063?7f:?1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8l9m:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21>37c?=5682e71<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:55+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=j;>4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<>>2d:>8951`0:?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4`57>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj65<6;o3112<6i;k0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd318o1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95cc;7?n2c9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0<47280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=>6:;%3110<680=0(4?=:572`>"?jh0:4h5+fg2956>23g;99:4>a3a8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f1?593;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:;<>h6:<=1=l:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi84?9:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>o97c?=5682e7c<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=3:;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7>l2d:>8951`0e?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm48::>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj65=6;o3112<6i::0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9762;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;mi6`>24595d563-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=24i4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7:j8:l2601=9h987)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<02m6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92d33g;99:4>a268 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;a3395?1=83:p(N6::i0D2;665a=#0kk14964n0063?7f;<1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`82b`=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=j=h4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79me:l2601=9h927)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e">9;0?9=673g;99:4>a2c8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f1?b?3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:7<>h6:<=1=l=m;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi84k6:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28ln7c?=5682e6e<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=3o;7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?70;2d:>8951`1g?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:mal10:6;4?:1y'545a20;n7E?=3b9K54243-lnj7?"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd31m31=7=50;2x 474n33:n6F>22a8L473;2.mik4>3d18 442=3;;5:5+9009007c3-2im7?92:&eb5<6;l90b<<:7;3b7c=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>ie0k0;6)?=548f3a=2hl4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50?1e=?;8:0c74>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im76>2:l2601=9h>:7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<0nh6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=6?3g;99:4>a508 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;9ef95?1=83:p(N6::i0D2;665a=#0kk1;ok4n0063?7f<:1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:mall0:6;4?:1y'545a20;n7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;45=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm48;e>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9769;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32:>6`>24595d203-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a09o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:5g;?k75=>0:m964$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?54l51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'0b<<:7;3b0<=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6:ff<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47499m573028k?m6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=3ii7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7am2d:>8951`6a?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:maj10:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;57=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn97m9;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>n46`>24595d2c3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a09o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6`e?k75=>0:m9k4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?5ol51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<1?86<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97653g;99:4>a428 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;9b;95?1=83:p(N6::i0D2;665a=#0kk14964n0063?7f=81/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:makk0:6;4?:1y'545a20;n7E?=3b9K54243-;9984>0858 <752=?:h6*7b`82b`=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=2o;4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3m11e=?;8:0c60>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79mf:l2601=9h?>7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<0i36<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?9=673g;99:4>a448 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f1bf=3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;7b?k75=>0:m894$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?hl851;494?6|,8;8j77>e:J266e<@8;??6*ieg826<2<,88>97??969'=44=<<;o7)6ma;77?!`a83;9595a1374>4g202.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?hl951;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im766;o3112<6ik2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9jna;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32?<6`>24595d3e3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0=2b280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:960?k75=>0:m8m4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?;ol51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 cca2882?6*>247955?03-3:>7::1e9'h6:<=1=l;j;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c6;0<<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47809m573028k>j6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=2N69=90(<<:5;33=2=#188188?k;%:ae?1c;2d:>8951`43?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`803>h6:<=1=l8>;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8i79:086>5<7s-;:?k461e9K575d3A;:8>5+fdd957?43-;9984>0858 <752=?:h6*7b`8163=#no:1=?7<;o3112<6i?80(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=i8l4>:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<00h1e=?;8:0c50>"68m818ljm;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj=h?57?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?443g;99:4>a778 46c:3>jho5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;74195?1=83:p(N6::i0D2;665a=#0kk1i95a1374>4g1>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f112:3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5g4>h6:<=1=l88;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8:;::084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2l>0b<<:7;3b2==#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6411<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48d19m573028k=56*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj==>;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?c33g;99:4>a7c8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;74495?1=83:p(N6::i0D2;665a=#0kk1;i>4n0063?7f>k1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8f0>h6:<=1=l8l;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8:;7:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>n;7c?=5682e3b<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj==>m7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1c82d:>8951`4e?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm467g>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6h:4n0063?7f?91/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84`5=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn99:f;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3o?7c?=5682e24<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=<:<4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd8951`57?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4643>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:j?;o3112<6i>?0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3?>31=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9a1=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9992;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=o<6`>24595d103-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a021e280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:d68j442?3;j;55+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=<;l4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0l91e=?;8:0c4=>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7k;;o3112<6i>k0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3?>i1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93a6;7?n7c9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0n86`>24595d1d3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a021b280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6f3?k75=>0:m:j4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?;5?51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79k0:l2601=9h=m7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<>286<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9`2;7?n819'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh04g?92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f11?=3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;g7?k75=>0:m5<4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?;5:51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c64<2<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4j4:l2601=9h2?7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<>2=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92b73g;99:4>a978 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;77c95?0=83:p(N6::i0D2;665a=#0kk1>4<4n0063?7f0?1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8:8m:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df283>7c?=5682e=1<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj===o7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1bn2d:>8951`:;?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm464f>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?7=;o3112<6i130(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn999f;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;296`>24595d>f3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=<;=4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0mo1e=?;8:0c;f>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7<62:l2601=9h2h7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:585a1374>4g?l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?;::51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6433<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=939m573028k3j6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;76595?0=83:p(N6::i0D2;665a=#0kk1=4;4n0063?7f191/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8:97:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>om7c?=5682e<7<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4645>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<6k;o3112<6i090(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9998;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=nj6`>24595d?33-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0dcd280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>h<;%3110<680=0(4?=:572`>"?jh0;7?n949'55b52=kon6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm4`ga>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6599;o3112<6i0<0(<>k2;6b`g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3?;o1=7;50;2x 474n33:h6F>22a8L473;2.mik4>2c58 442=3;;5:5+9009007c3-2im76>e:&eb5<6:k=0b<<:7;3b=2=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0h86`>24595d??3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0dcf28086=4?{%327c<>9k1C=?=l;I3206=#nll1=>h<;%3110<680=0(4?=:572`>"?jh0i56*if1827c5;7?n989'55b52=kon6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma0858 <752=?:h6*7b`8;33=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9kn5;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;;<6`>24595d?e3-;;h?4;e`68m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a66db280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:e38j442?3;j5n5+11f1>75>m2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<61k1e=?;8:0c:`>"68m81>>7j;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd5;j:1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9g7=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:75>m2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m81>>7j;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7j>;o3112<6ih;0(<>k2;016c=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd5:<:1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95;7?na39'55b52;89j6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a6736280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:b08j442?3;jm>5+11f1>745n2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm2371>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?>j;o3112<6ih>0(<>k2;016c=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd5:<91=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<2>;7?na49'55b52;89j6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh04gf>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3<9k1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<1=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9:>f;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3887c?=5682ed><,8:o>7:;0b9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e09016d3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=>9=7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?573g;99:4>a`c8 46c:3>?7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;34295?1=83:p(N6::i0D2;665a=#0kk1>>5a1374>4gfj2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f4e183;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5g`>h6:<=1=lol;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=n8n:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>no7c?=5682edb<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lm1e=?;8:0cbb>"68m81=8j7;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=9hh;7)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9j">9;0?92bc3g;99:4>ac38 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>c7d95?1=83:p(N6::i0D2;665a=#0kk1;ij4n0063?7fj;1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84`a=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vnh590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>24595dd33-;;h?4>5e:8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a5f06280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6fg?k75=>0:mo;4$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:o;<51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c3`26<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48de9m573028ki;6*>0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8i=47?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7>i2d:>8951``;?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm1b4:>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<7n;o3112<6ik30(<>k2;36`==n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6k=o1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93ab;7?nb`9'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh04gej2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f4e203;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5g`>h6:<=1=lll;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=n;6:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>no7c?=5682egb<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lm1e=?;8:0cab>"68m81=8j7;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=9hi;7)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9j?o6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>ab38 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>c4g95?1=83:p(N6::i0D2;665a=#0kk1;ij4n0063?7fk;1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84`a=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vnh590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>24595de33-;;h?4>5e:8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a5f36280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6fg?k75=>0:mn;4$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:o8<51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c3`16<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48de9m573028kh;6*>0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8i>87?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1?j2d:>8951`a;?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c3`10<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>9`9m573028kh56*>0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8i>:7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7>i2d:>8951`ab?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm1636>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:jk;o3112<6ijh0(<>k2;36`==n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6?8>1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc970d;7?ncb9'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh04gdl2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f416:3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;16f>h6:<=1=lmj;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=:?>:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>no7c?=5682ef`<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lm1e=?;8:0cg5>"68m81=8j7;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7=:b:l2601=9hn97)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9>:o6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>ae18 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>71a95?1=83:p(N6::i0D2;665a=#0kk1?8l4n0063?7fl=1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84`a=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn<9?a;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39>n6`>24595db13-;;h?4>5e:8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a526>280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6fg?k75=>0:mi94$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:;=651;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c3442<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48de9m573028ko56*>0e0950b?3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8=;:7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?52j2d:>8951`fb?!77l;0:9i64i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm1627>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:jk;o3112<6imh0(<>k2;36`==n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6?991=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc970d;7?ndb9'55b528?o46g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh04gcl2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f41793;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;16f>h6:<=1=ljj;%33`7<6=m20e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=:>?:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>no7c?=5682ea`<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lm1e=?;8:0cf5>"68m81=8j7;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7=:b:l2601=9ho97)??d3821a>6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9?lh6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>ad18 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6g`95?1=83:p(N6::i0D2;665a=#0kk1?8l4n0063?7fm=1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84`a=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn<8ia;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39>n6`>24595dc13-;;h?4>5e:8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a53`3280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6fg?k75=>0:mh94$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th::k751;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?on6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>ad;8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6dd95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7fmh1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;h?:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3bag=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4gbk2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::k<51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?o36<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>adg8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6d;95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7fmo1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;kn:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3bb5=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4ga92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::hm51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?o96<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>ag18 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6d195?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7fn=1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;k;:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3bb0=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4ga>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::h851;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?nh6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>ag:8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6ef95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7fn01/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;jj:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3bbd=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4gaj2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::h>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?n=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>agf8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6e595?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7fnl1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;j7:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3bbc=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d782.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::io51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?n;6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b108 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6e395?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e8:1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;j=:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a41=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d7=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::i:51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?ij6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b158 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6b`95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e811/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;ml:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a4<=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d7i2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::nk51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?i?6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b1a8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6b795?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e8m1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;m9:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a4`=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d7n2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::n651;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?hn6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b038 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6cd95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e9;1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;m?:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a56=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d6<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::n<51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?h36<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b048 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6c;95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e9>1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;ln:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a5==#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d612.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::om51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?h96<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b0`8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6c195?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e9j1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;l;:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a5a=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d6m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::o851;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?kh6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b328 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6`f95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e:81/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;oj:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a67=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d5;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::o>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?k=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b378 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6`595?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e:?1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;o7:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a62=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d502.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::lo51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?k;6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b3c8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>6`395?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e:k1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;o=:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a6f=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d5l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::l:51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?3j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b3d8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>68`95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e;91/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;7l:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a74=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d4:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::4k51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?3?6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b268 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>68795?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e;<1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;79:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a73=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d4?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::4651;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?2n6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b2;8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>69d95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e;h1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;7?:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a7g=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d4k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::4<51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?236<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b2g8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>69;95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e;o1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;6n:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a05=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d392.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::5m51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?296<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b518 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>69195?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e<=1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;6;:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a00=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d3>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::5851;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?=h6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b5:8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>66f95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e<01/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;9j:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a0d=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d3j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::5>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?==6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b5f8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>66595?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7eo?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;97:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a0c=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d282.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:::o51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?=;6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b408 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>66395?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e=:1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;9=:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a11=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d2=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::::51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?">9;0?92bc3g;99:4>b458 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>67`95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e=11/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;8l:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a1<=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d2i2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::;k51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?">9;0?92bc3g;99:4>b4a8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>67795?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e=m1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;89:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a1`=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d2n2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::;651;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9??n6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b738 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>64d95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e>;1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;8?:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a26=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d1<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::;<51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9??36<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b748 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>64;95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e>>1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;;n:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a2==#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d112.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::8m51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9??96<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b7`8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>64195?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e>j1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;;;:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a2a=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d1m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::8851;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?>h6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b628 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>65f95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e?81/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;:j:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a37=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d0;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::8>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?>=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b678 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>65595?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e??1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;:7:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a32=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d002.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::9o51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?>;6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b6c8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>65395?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e?k1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;:=:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a3f=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d0l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::9:51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?9j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b6d8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>62`95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e091/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;=l:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a<4=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d?:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::>k51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?9?6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b968 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>62795?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e0<1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;=9:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a<3=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d??2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::>651;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?8n6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b9;8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>63d95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e0h1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;=?:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3ao?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d?k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::><51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?836<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b9g8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>63;95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e0o1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a=5=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d>92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::?m51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?896<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b818 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>63195?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e1=1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;<;:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a=0=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d>>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::?851;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?;h6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b8:8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>60f95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e101/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;?j:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a=d=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4d>j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::?>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?;=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b8f8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>60595?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7e1l1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;?7:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a=c=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4df82.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?;;6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b`08 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>60395?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7ei:1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;?=:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3ae1=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4df=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::<:51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?:j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b`58 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>61`95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7ei11/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;>l:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3ae<=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4dfi2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::=k51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9?:?6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4>b`a8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>61795?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7eim1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;>9:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3ae`=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4dfn2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::=651;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9">9;0?92bc3g;99:4>bc38 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>5gd95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7ej;1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=;>?:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3af6=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4de<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th::=<51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9">9;0?92bc3g;99:4>bc48 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>5g;95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7ej>1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=8hn:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3af==#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4de12.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:9km51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9">9;0?92bc3g;99:4>bc`8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>5g195?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7ejj1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=8h;:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3afa=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4dem2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:9k851;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9">9;0?92bc3g;99:4>bb28 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>5df95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7ek81/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=8kj:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3ag7=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4dd;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:9k>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9">9;0?92bc3g;99:4>bb78 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>5d595?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7ek?1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=8k7:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3ag2=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4dd02.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:9ho51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9">9;0?92bc3g;99:4>bbc8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>5d395?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7ekk1/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=8k=:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3agf=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4ddl2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:9h:51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9">9;0?92bc3g;99:4>bbd8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>5e`95?0=83:p(N6::i0D2;665a=#0kk1=i84n0063?7el91/==j=:07g<>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=8jl:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2kk0b<<:7;3a`4=#99n96<;k8:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:mi5a1374>4dc:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:9ik51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;o9j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97>d3g;99:4>be68 46c:39m>>5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1=l;4n0063?7el<1/==j=:2d17>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?k5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>no7c?=5682fa0<,8:o>7=i229j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:6`5;2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm3g0g>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=;:7)?=54824<1<,0;969;>d:&;fd<00k1/jk>51532?k75=>0:ni64$02g6?5a::1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn>hh590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>24595gb>3-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7c27280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6c1?k75=>0:nio4$02g6?5a::1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>:=6*>247955?03-3:>7::1e9'h6:<=1=ojm;%33`7<4n;90e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh04dck2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6`3>3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;5b6>h6:<=1=ojk;%33`7<4n;90e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c1e0=<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48de9m573028hoi6*>0e097c443`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:l?57?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1f:2d:>8951cfe?!77l;08j?=4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`84`a=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>h;c;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=j>6`>24595gc63-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lm1e=?;8:0`f6>"68m81?k<<;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im79n2:l2601=9ko87)??d380b756753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh04db<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6`2:3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;5b6>h6:<=1=ok:;%33`7<4n;90e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c1e10<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=8b9m573028hn:6*>0e097c443`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:l>:7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7f=2d:>8951cg4?!77l;08j?=4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`82<0=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9??3;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;2n6`>24595gc>3-;;h?4;0d08m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:<94>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<60=1e=?;8:0`fe>"68m818=k=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd399?1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc965c;7?mec9'55b52=:n>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31100782>2<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03495a1374>4dbk2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f16d?3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;02<>h6:<=1=okk;%33`7<4n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8=jj:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;;37c?=5682f`c<,8:o>7=i5e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:8>74$0061?771>1/5<<5443g?!>ei38:96*if18206?;7?meg9'55b52=8;56g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm43;e>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj69oi;o3112<6jo:0(<>k2;614<=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9<9e;390?6=8r.:=>h590a8L444k2B:=9=4$gge>42412.:>8;511;4?!?6:3>>=i5+8cc9643<,ol;6<:<9:l2601=9kl:7)??d38765?6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=9:k4>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3io1e=?;8:0`e6>"68m818?>6;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd3:=:1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc964e;7?mf29'55b52=8;56g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:j85a1374>4da<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?>>o51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;303g=#9;?>6<>67:&:57<3=8n0(5ln:6:a?!`a83;8;o5a1374>4da>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd58>i1=7:50;2x 474n33:o6F>22a8L473;2.mik4>36a8 442=3;;5:5+9009007c3-2im797b:&eb5<6;>i0b<<:7;3ab2=#99n96?>>4:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a651>280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>9n;%3110<680=0(4?=:572`>"?jh0<4o5+fg29561f3g;99:4>bg:8 46c:38;=95f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca289<56*>247955?03-3:>7::1e9'h6:<=1=oh6;%33`7<588>0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74?11/=?;::02:3>">9;0?92>e3-lm<7?<799m573028hmm6*>0e0965733`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9<:=51;694?6|,8;8j77>c:J266e<@8;??6*ieg82721<,88>97??969'=44=<<;o7)6ma;5;f>"an90:?:94n0063?7enk1/==j=:3220>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e:9=:6<4;:183!76;o02=n5G131`?M76<:1/jhh5125a?!75=<0:<494$831>136l2.3nl488c9'bc6=9:=i7c?=5682fce<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f761i3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>450k2d:>8951cdg?!77l;09<<:4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c032=<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8;?5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;3037=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl=07495?2=83:p(N6::i0D2;665a=#0kk1;5l4n0063?7eno1/==j=:3220>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e:9136l2.3nl488c9'bc6=9:=j7c?=5682g56<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f761:3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>45012d:>8951b22?!77l;09<<:4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c0325<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8;55+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;303==i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl=04g95?2=83:p(N6::i0D=0(<<:5;33=2=#188188?k;%:ae?1?j2.mj=4>3658j442?3;h<>5+11f1>766<2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi>=8i:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956153-;9984>0858 <752=?:h6*7b`849=;o3112<6k9>0(<>k2;0351=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj;>m87?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?443g;99:4>c178 46c:38?i<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=4g795?1=83:p(N6::i0D2;665a=#0kk1>>5a1374>4e7>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f72a>3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;13?k75=>0:o=94$02g6?43m81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th98h>51;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a61ba280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:968j442?3;h<45+11f1>721<2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;31g5=#9;?>6<>67:&:57<3=8n0(5ln:6a`?!`a83;9o=5a1374>4e7i2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im78m;o3112<6k9h0(<>k2;0753=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd5i>=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc934=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?o88;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3997c?=5682g5b<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?h94$0061?771>1/5<<5443g?!>ei3=m46*if1827`1;7?l0d9'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;30a2=#9;?>6<>67:&:57<3=8n0(5ln:618 c`7289n;6`>24595f6a3-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:mak<0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8077=i9;?<60:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?7l6;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;n96`>24595f763-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi>:ol:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>nh7c?=5682g44<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:8?;4$0061?771>1/5<<5443g?!>ei3=3n6*if182073;7?l129'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm26cf>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<=m;o3112<6k8>0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?9m0;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oo6`>24595f723-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a62d6280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=9<:;%3110<680=0(4?=:572`>"?jh0<4o5+fg2951423g;99:4>c048 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7?6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh039l5a1374>4e602.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f71f83;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:6e>h6:<=1=n?6;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>:o>:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21?j7c?=5682g4g<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lj1e=?;8:0a2g>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>986*>247955?03-3:>7::1e9'h6:<=1=n?k;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:?o5a1374>4e6m2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th9;l651;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c04e<<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?>95+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;3761=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl=7`c95?0=83:p(N6::i0D41;8j442?3;h><5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm2cg2>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?k4n0063?7d:;1/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`817>h6:<=1=n<<;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>o5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;90b<<:7;3`61=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c0a6`<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4:5:l2601=9j8>7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:k9=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?975;7?l279'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09?6`>24595f403-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a6g54280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:318j442?3;h>55+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5;2d:>8951b0:?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm2c13>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?=4n0063?7d:h1/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`817>h6:<=1=n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>;;7:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;90b<<:7;3`6f=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c051<<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=3:l2601=9j8o7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:??j6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?975;7?l2d9'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09?6`>24595f4a3-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a633d280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:318j442?3;h?=5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd8951b12?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e:??n6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94c>3g;99:4>c208 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=7c695?1=83:p(N6::i0D2;665a=#0kk1=h=4n0063?7d;:1/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`826`=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?9ke;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=3j6`>24595f523-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<49>1e=?;8:0a02>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn?9k9;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;9i6`>24595f503-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a62bf280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6:e?k75=>0:o>64$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4b<@888o6F>1518 cca28><<6*>247955?03-3:>7::1e9'h6:<=1=n=6;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:0>n6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?975;7?l3`9'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09?6`>24595f5e3-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a6<37280?6=4?{%327c<>9j1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:618j442?3;h?n5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi>4;>:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28n37c?=5682g6b<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj;ooj7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?52m2d:>8951b1f?!77l;09o9<4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma44e>2d:>8951b1e?!77l;09o9<4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi>hk>:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:?n7c?=5682g16<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj;on<7?55;294~"69:l15N69=90(kki:00a2>"6:78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=ed195?0=83:p(N6::i0D2;665a=#0kk1?8k4n0063?7d<;1/==j=:3a76>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi>hk=:086>5<7s-;:?k461e9K575d3A;:8>5+fdd957d23-;9984>0858 <752=?:h6*7b`8ae>"an90:>o;4n0063?7d<:1/==j=:3a76>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c0fa0<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<5d9m573028i?86*>0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl=ed695?3=83:p(N6::i0D0e096f253`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f7cb?3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;16a>h6:<=1=n:9;%33`7<5k=80e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c0fa3<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9n95+1376>46>?2.2=?4;50f8 =df2kk0(kh?:00a0>h6:<=1=n:8;%33`7<5k=80e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:lo26<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?963b3g;99:4>c5:8 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f7cb03;197>50z&256`=18n0D<<2;665a=#0kk1nl5+fg2957d33g;99:4>c5;8 46c:38h8?5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9ihl51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e:loj6<4::183!76;o02=i5G131`?M76<:1/jhh513`0?!75=<0:<494$831>136l2.3nl4ma:&eb5<6:k90b<<:7;3`0g=#99n96?m;2:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh089h5a1374>4e3k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th9ihm51;794?6|,8;8j77>d:J266e<@8;??6*ieg826g5<,88>97??969'=44=<<;o7)6ma;`b?!`a83;9n>5a1374>4e3l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4d<@888o6F>1518 cca28><<6*>247955?03-3:>7::1e9'h6:<=1=n:j;%33`7<5k=80e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a6`bf280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3c8j442?3;h8k5+11f1>7e3:2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5i2d:>8951b73?!77l;09o9<4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3743>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?=4n0063?7d=81/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma80:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`817>h6:<=1=n;=;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?;8=:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;90b<<:7;3`16=#99n96>8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1526<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;84k5+1376>46>?2.2=?4;50f8 =df28o=7)hi0;306`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl<64f95?1=83:p(N6::i0D2;665a=#0kk14594n0063?7d=<1/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`817>h6:<=1=n;9;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?;96:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2j90b<<:7;3`12=#99n96>8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;?=36<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?92?23g;99:4>c4:8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f6>>i3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;035>h6:<=1=n;6;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?57m:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;?0b<<:7;3`1d=#99n96>8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1;=f<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl472b9m573028i>n6*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl<66`95?1=83:p(N6::i0D2;665a=#0kk14>64n0063?7d=j1/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`805a=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<49m1e=?;8:0a6b>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd4>??1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<6>;7?l619'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh08=i5a1374>4e192.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th8:;l51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c152d<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<1e9m573028i=?6*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl<67d95?3=83:p(N6::i0D2;665a=#0kk1?<94n0063?7d>=1/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c152`<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<1e9m573028i=96*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl<67f95?1=83:p(N6::i0D2;665a=#0kk14>64n0063?7d>?1/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:maj0:6;4?:1y'545a20;n7E?=3b9K54243-;9984>0858 <752=?:h6*7b`805a=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm374:>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?>8;o3112<6k?30(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4>?21=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93<3;7?l6`9'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7300280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:324?k75=>0:o;l4$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8:;851;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;?=o6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97603g;99:4>c7f8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<66a95?0=83:p(N6::i0D2;665a=#0kk1;4;4n0063?7d>l1/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?;6<:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;:<7c?=5682g3`<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm37:6>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?>8;o3112<6k>;0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4>1>1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93<3;7?l739'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a731a280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:324?k75=>0:o:=4$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8::k51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;?236<4;:183!76;o02=n5G131`?M76<:1/jhh512ge?!75=<0:<494$831>136l2.3nl48c69'bc6=9:om7c?=5682g23<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f60??3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:;3>h6:<=1=n99;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?4oj:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21?27c?=5682g21<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj:3jh7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>?m2d:>8951b5;?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm38c:>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj65=4n0063?7d?01/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:maih0:6;4?:1y'545a20;n7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;02=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma>m0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;<`=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>79c;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3887c?=5682g2b<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma>o0:6;4?:1y'545a20;n7E?=3b9K54243-;9984>0858 <752=?:h6*7b`822a=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm39fg>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj65>;;o3112<6k1;0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd41?21=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95`?;7?l839'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh08<6`>24595f>43-;;h?4<6448m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7<0f280?6=4?{%327c<>9j1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:040?k75=>0:o5:4$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn>9lb;397?6=8r.:=>h590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;n96`>24595f>23-;;h?4<6448m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi?:mn:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:8i;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?443g;99:4>c9:8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<2c:95?1=83:p(N6::i0D2;665a=#0kk1>>5a1374>4e?12.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f64e13;187>50z&256`=18i0D<<2;665a=#0kk1=h84$gd3>45?m2d:>8951b:b?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c11f6<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47869m573028i3n6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:8i>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?443g;99:4>c9a8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<2bd95?0=83:p(N6::i0D2;665a=#0kk1o>5a1374>4e?l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th8>nk51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;=oh6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97663g;99:4>c9d8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<4df95?1=83:p(N6::i0D2;665a=#0kk1>85a1374>4e>82.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f62bm3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;:1g>h6:<=1=n7>;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c11`4<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47399m573028i2>6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:8o<7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?56l2d:>8951b;0?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;7==i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39:h6`>24595f?23-;;h?4<2`a8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7=>d:l2601=9j3<7)??d3806de6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03?55a1374>4e>02.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f64d83;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;12`>h6:<=1=n76;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c11g0<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<169m573028i2m6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f64d<3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;12`>h6:<=1=n7m;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c11g6<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47399m573028i2o6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:8h>7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?56l2d:>8951b;g?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`84b1=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<01<1e=?;8:0ab4>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd4:kn1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9651;7?la09'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<585a1374>4ef:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th8>i=51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c11`7<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48949m573028ij86*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl<2e;95?1=83:p(N6::i0D2;665a=#0kk1>=94n0063?7di<1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84=0=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<01<1e=?;8:0ab<>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd4:m?1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9651;7?la89'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<585a1374>4efi2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th8>ik51;694?6|,8;8j77>c:J266e<@8;??6*ieg827`c<,88>97??969'=44=<<;o7)6ma;5`3>"an90:?hk4n0063?7dik1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e;;no6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=>03g;99:4>c`a8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<5gg95?0=83:p(N6::i0D2;665a=#0kk14874n0063?7dim1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?8hk:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df212n7c?=5682gdc<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:?mm7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>3?2d:>8951b`3?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`84`2=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:?ih7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>?m2d:>8951b`1?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm34``>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?=4n0063?7dj:1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd4=j:1=7;50;2x 474n33:h6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95f5;7?lb49'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=nk4>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6>m1e=?;8:0aa2>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd4=;i1=7850;2x 474n33:i6F>22a8L473;2.mik4>28g8 442=3;;5:5+9009007c3-2im7?k0:&eb5<6:0o0b<<:7;3`f2=#99n96>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;<8o6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=633g;99:4>cc:8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<5c:95?1=83:p(N6::i0D2;665a=#0kk1=h74n0063?7dj01/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`804>h6:<=1=nln;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?8ln:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956>d3-;9984>0858 <752=?:h6*7b`8226=#no:1=>6l;o3112<6kkh0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj:?947?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?75:2d:>8951b``?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm353g>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl<40a95?1=83:p(N6::i0D2;665a=#0kk1>;h4n0063?7djl1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`817>h6:<=1=nli;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>k>6:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;90b<<:7;3`g5=#99n96?kie:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c0e4d<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=3:l2601=9ji:7)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:o:i6<4;:183!76;o02=n5G131`?M76<:1/jhh512:g?!75=<0:<494$831>136l2.3nl4>e79'bc6=9:2o7c?=5682gf4<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f7`7=3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:;3>h6:<=1=nm<;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>k>;:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;90b<<:7;3`g1=#99n96?kie:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c0e64<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4l3:l2601=9ji>7)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<585a1374>4ed>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th8=9<51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1206<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=5:l2601=9ji37)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;8>?6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?9=4d3g;99:4>cb;8 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f7`5;3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:0<>h6:<=1=nmn;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>k<=:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:;o7c?=5682gfd<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj;l957?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>402d:>8951ba`?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm2g0;>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>?k;o3112<6kjn0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?h?d;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32846`>24595feb3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a6c6d280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:23g?k75=>0:onh4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im76<8:l2601=9jn;7)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:o;96<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?967c3g;99:4>ce38 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f7`6?3;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;123>h6:<=1=nj=;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:o;=6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?967c3g;99:4>ce18 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f7`6=3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:0<>h6:<=1=nj;;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>k?;:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:;o7c?=5682ga3<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj:8>>7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1a<2d:>8951bf5?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`8142=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?h>0;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=296`>24595fb?3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<58>1e=?;8:0ag=>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7965:l2601=9jnj7)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09<:5a1374>4ecj2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f7`5<3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;5:1>h6:<=1=njl;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c0e6g<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=069m573028ioh6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;l9m7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1>=2d:>8951bff?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`8142=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?h=c;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=296`>24595fc73-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<58>1e=?;8:0af5>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7965:l2601=9jo97)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74mm1/=?;::02:3>">9;0?92e03-lm<7?0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9j?h51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1111<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47589m573028in96*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl<24195?1=83:p(N6::i0D2;665a=#0kk145k4n0063?7dm?1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8;7>h6:<=1=nk8;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi??;?:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21><7c?=5682g`><,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj:8>=7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1c?2d:>8951bg:?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi???<:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df212n7c?=5682g`g<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:;<57?56;294~"69:l15N69=90(kki:00:`>"6:24595fcd3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6k:1e=?;8:0af`>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn><>5;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;=h6`>24595fcb3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)hjf;31=a=#9;?>6<>67:&:57<3=8n0(5ln:0f3?!`a83;95i5a1374>4ebn2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th8=5=51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'0b<<:7;3`b5=#99n96?kie:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c114`<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>e89m573028im=6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:8;j7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?573g;99:4>cg08 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<20295?2=83:p(N6::i0D39`8j442?3;hj>5+11f1>7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi?<9j:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28897c?=5682gc2<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c1337<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=6g9m573028im:6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8:ho7?52;294~"69:l14l94H000g>N69=90(<<:5;1bb>N>8h1/5=m58``8 <752=?:h6`>24595f`03-;;h?4>e2`8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=:383>5}#989m65o8;I317f=O98>87)?=5480ec=O19k0(4>l:9ca?!?6:3>>=i5a1374>4ea02.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<9h4?:581>1}#989m64>7;I317f=O98>87)7>2;665a=i99hm68>4n0063?7dn01/==j=:0g0f>o?i90;66g>0g494?=hj1h1<75$91494?=e0h=1=7<50;2x 474n32j;6*>24797d`<@0:j7)7?c;:bf>">9;0?9o?i90;6)?=548;eg=`1c32wii;:51;194?6|,8;8j7k94:&2603=989j7E7?a:&:4f0:okl4$02g6?7b;k1b==h9:18'57322=3=7E?=5598m=g7290/=?;::9ca?>ie0k0;6E?>3c9'57322l=o76s|11d5>5<5sW;;j;52e76955`13ty3m=4?:3y]g>e3ty2<;4?:2y]=50<51k<65o?;7<3s-;:?k46099K575d3A;:8>5+9009007c3g;;nk4:0:l2601=9jlh7)??d382a6d5;*;32?6=3k2j;7?52;294~"69:l14l94$0061?5fn2B2d:l2601=9jlo7)??d382a6d=ge32ei4o4?:%3110h5e768 442=3;:?l5G91c8 <6d2l=o7)7>2;665a=i9;?<6!75=<0?5;5G1377?>o?i90;6)?=548;eg=f827n:947a19~wg>e2909wSl7b:?;e29:180[?7>273m:47a19>a32=j1h0qpl85983>1<52=q/=<=i:82;?M75;j1C=<:<;%;26?229m1e==li:428j442?3;hjk5+11f1>4c4j2c3m=4?::k24c0=831dn5l50;9(=50=831i4l951;094?6|,8;8j76n7:&2603=;hl0D4>n;%;3g?>fj2.2=?4;50f8j442?3;o<=5+11f1>4c4j2c3m=4?:%3110"6:">8j0n;i5+9009007c3g;99:4>d138 46c:3;n?o5f11d5>5<#9;?>6979;I3111==ge32ei4o4?:I327g=#9;?>6h9k;:p55`12909wS??f79>a32=99l=7p}7a183>7}Y0h:01h8;:9c3?xue0k0;6?uQb9`89=g02k2i7p}60783>6}Y19<015o8:9c3?8c1<3h3n6srb676>5<32;0?w)?>3g8:4==O9;9h7E?>429'=44=<<;o7c??bg864>h6:<=1=i>=;%33`7<6m:h0e5o?:188m46a>3:17bl7b;29?.?7>3:17o6n7;396?6=8r.:=>h58`58 442=39jj6F60`9'=5e=0hh0(4?=:572`>h6:<=1=i><;%33`7<6m:h0e5o?:18'573221ki76am8c83>!75=<0n;i54}cg50?7=;3:112d9a32<,88>97?>3`9K=5g<,0:h6h9k;%;26?229m1e=?;8:0f30>"68m81=h=m;h33b3<72-;9984;979K573332c3m=4?:%31105<5sW2j<63j658;e5=z{k2i6=4={_`;f>;?i>0i4o5rs825>5<4sW3;:637a68;e5=:m?>1n5l4}|`417<72=0969u+101e><6?3A;9?n5G1060?!?6:3>>=i5a11`e>06;7?k049'55b528o8n6g7a183>>o68o<1<75`b9`94?=,19<1<75m8`595?4=83:p("6:;7?k079'55b528o8n6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;:aa32=9391<7>t$030b?c1<2.:>8;5101b?M?7i2.27?j3c9j55`1290/=?;::5;5?M75==10e5o?:18'573221ki76am8c83>M76;k1/=?;::d5g?>{t99l=6=4={_33b3=:m?>1==h9;|q;e5<72;qU4l>4=d47>=g73tyi4o4?:3y]f=d<51k<6o6m;|q:43<72:qU5=84=9c4>=g734o=87l7b:~f22a290?6?4;{%327c<>811C=?=l;I3206=#188188?k;o33fc<282d:>8951e2;?!77l;0:i>l4i9c3>5<5;n`;f?6=3"3;:7>5;c:b3?7=:3:112d997=nf:J:4d=#19i14ll4$831>136l2d:>8951e2:?!77l;0:i>l4i9c3>5<#9;?>65om;:ma50z&256`=m?>0(<<:5;327d=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b7i2.:!75=<03mo54oc:a>57::1e9m55da2<:0b<<:7;3g4g=#99n96t$030b?>f?2.:>8;53`d8L<6f3-3;o76nb:&:57<3=8n0b<<:7;3g4f=#99n96e290/=?;::d5g?>{em?>1=7=50;2x 474n3o=86*>2479545f3A3;m6*60b8f3a=#188188?k;o3112<6l9n0(<>k2;3f7g=n99l=6=4+1376>1?13A;99954i9c3>5<#9;?>65om;:ma`1c32wx==h9:181[77n?16i;:511d5?xu?i90;6?uQ8`289`0321k;7p}m8c83>7}Yj1h015o8:c:a?xu>8?0;6>uQ91489=g021k;70k94;`;f>{zj>==6=4;:387!76;o02<55G131`?M76<:1/5<<5443g?k77jo0><6`>24595a6b3-;;h?4>e2`8m=g72900e<>i6;29?jd?j3:17&7?6;29?g>f?3;1>7>50z&256`=0h=0(<<:5;1bb>N>8h1/5=m58``8 <752=?:h6`>24595a6a3-;;h?4>e2`8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=`1c3-3:>7::1e9m573028n:<6*>0e095`5e3`;;j;4?:%3110<31?1C=?;;;:k;e5<72-;99847ac98kg>e290C=<=m;%31107}Y99l=70k94;33b3=z{1k;6=4={_:b4>;b>=03m=5rsc:a>5<5sWh3n637a68a;?i>03m=52e769f=d4?:581>1}#989m64>7;I317f=O98>87)7>2;665a=i99hm68>4n0063?7c981/==j=:0g0f>o?i90;66g>0g494?=hj1h1<75$91494?=e0h=1=7<50;2x 474n32j;6*>24797d`<@0:j7)7?c;:bf>">9;0?9o?i90;6)?=548;eg=`1c32wii;:51;194?6|,8;8j7k94:&2603=989j7E7?a:&:4f0:h<=4$02g6?7b;k1b==h9:18'57322=3=7E?=5598m=g7290/=?;::9ca?>ie0k0;6E?>3c9'57322l=o76s|11d5>5<5sW;;j;52e76955`13ty3m=4?:3y]g>e3ty2<;4?:2y]=50<51k<65o?;7<3s-;:?k46099K575d3A;:8>5+9009007c3g;;nk4:0:l2601=9m;?7)??d382a6d5;*;32?6=3k2j;7?52;294~"69:l14l94$0061?5fn2B2d:l2601=9m;>7)??d382a6d=ge32ei4o4?:%3110h5e768 442=3;:?l5G91c8 <6d2l=o7)7>2;665a=i9;?<66:&24a4=9l9i7d??f783>!75=<0?5;5G1377?>o?i90;6)?=548;eg=f827n:947a19~wg>e2909wSl7b:?;e29:180[?7>273m:47a19>a32=j1h0qpl86e83>1<52=q/=<=i:82;?M75;j1C=<:<;%;26?229m1e==li:428j442?3;o=:5+11f1>4c4j2c3m=4?::k24c0=831dn5l50;9(=50=831i4l951;094?6|,8;8j76n7:&2603=;hl0D4>n;%;3g?>fj2.2=?4;50f8j442?3;o=55+11f1>4c4j2c3m=4?:%3110"6:">8j0n;i5+9009007c3g;99:4>d0;8 46c:3;n?o5f11d5>5<#9;?>6979;I3111==ge32ei4o4?:I327g=#9;?>6h9k;:p55`12909wS??f79>a32=99l=7p}7a183>7}Y0h:01h8;:9c3?xue0k0;6?uQb9`89=g02k2i7p}60783>6}Y19<015o8:9c3?8c1<3h3n6srb64b>5<32;0?w)?>3g8:4==O9;9h7E?>429'=44=<<;o7c??bg864>h6:<=1=i?n;%33`7<6m:h0e5o?:188m46a>3:17bl7b;29?.?7>3:17o6n7;396?6=8r.:=>h58`58 442=39jj6F60`9'=5e=0hh0(4?=:572`>h6:<=1=i?m;%33`7<6m:h0e5o?:18'573221ki76am8c83>!75=<0n;i54}cg50?7=;3:112d9a32<,88>97?>3`9K=5g<,0:h6h9k;%;26?229m1e=?;8:0f2g>"68m81=h=m;h33b3<72-;9984;979K573332c3m=4?:%31105<5sW2j<63j658;e5=z{k2i6=4={_`;f>;?i>0i4o5rs825>5<4sW3;:637a68;e5=:m?>1n5l4}|`422<72=0969u+101e><6?3A;9?n5G1060?!?6:3>>=i5a11`e>06;7?k1e9'55b528o8n6g7a183>>o68o<1<75`b9`94?=,19<1<75m8`595?4=83:p("6:;7?k1d9'55b528o8n6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;:aa32=9391<7>t$030b?c1<2.:>8;5101b?M?7i2.27?j3c9j55`1290/=?;::5;5?M75==10e5o?:18'573221ki76am8c83>M76;k1/=?;::d5g?>{t99l=6=4={_33b3=:m?>1==h9;|q;e5<72;qU4l>4=d47>=g73tyi4o4?:3y]f=d<51k<6o6m;|q:43<72:qU5=84=9c4>=g734o=87l7b:~f203290?6?4;{%327c<>811C=?=l;I3206=#188188?k;o33fc<282d:>8951e03?!77l;0:i>l4i9c3>5<5;n`;f?6=3"3;:7>5;c:b3?7=:3:112d997=nf:J:4d=#19i14ll4$831>136l2d:>8951e02?!77l;0:i>l4i9c3>5<#9;?>65om;:ma50z&256`=m?>0(<<:5;327d=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b5:2.:!75=<03mo54oc:a>580;694=:5y'545a20:37E?=3b9K54243-3:>7::1e9m55da2<:0b<<:7;3g66=#99n96t$030b?>f?2.:>8;53`d8L<6f3-3;o76nb:&:57<3=8n0b<<:7;3g61=#99n96e290/=?;::d5g?>{em?>1=7=50;2x 474n3o=86*>2479545f3A3;m6*60b8f3a=#188188?k;o3112<6l;?0(<>k2;3f7g=n99l=6=4+1376>1?13A;99954i9c3>5<#9;?>65om;:ma`1c32wx==h9:181[77n?16i;:511d5?xu?i90;6?uQ8`289`0321k;7p}m8c83>7}Yj1h015o8:c:a?xu>8?0;6>uQ91489=g021k;70k94;`;f>{zj>>h6=4;:387!76;o02<55G131`?M76<:1/5<<5443g?k77jo0><6`>24595a413-;;h?4>e2`8m=g72900e<>i6;29?jd?j3:17&7?6;29?g>f?3;1>7>50z&256`=0h=0(<<:5;1bb>N>8h1/5=m58``8 <752=?:h6`>24595a403-;;h?4>e2`8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=`1c3-3:>7::1e9m573028n946*>0e095`5e3`;;j;4?:%3110<31?1C=?;;;:k;e5<72-;99847ac98kg>e290C=<=m;%31107}Y99l=70k94;33b3=z{1k;6=4={_:b4>;b>=03m=5rsc:a>5<5sWh3n637a68a;?i>03m=52e769f=d:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b512.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<=h4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b5i2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<=i4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b5j2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>54>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b5k2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>:4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b5l2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>;4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b5m2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>84>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b5n2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>94>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b482.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>>4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b492.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>?4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4:2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<><4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4;2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>=4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4<2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<=n4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4=2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>i4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4>2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>n4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4?2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<8o4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b402.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<8l4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b412.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<>k4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4i2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4j2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4k2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4l2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<;i4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4m2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th<;k4>:383>5}#989m6h8<;I317f=O98>87)?=5480ec=O19k0(4>l:d5g?!?6:3>>=i5a1374>4b4n2.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<3th:i?k51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vnh57ec8L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<6l=;0(<>k2;3e6<=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8loo7?56;294~"69:l1;io4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=5682`14<,8:o>7?i289j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>9e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4`cm3;1:7>50z&256`=?mk0D<<2`13A;;595+9009007c3g;99:4>d518 46c:3;m>45f3c594?"6:h4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il10e96k:18'573228:2465rb0df4?7=>3:112d93ag<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>0:h9:4$02g6?7a:01b?o950;&2603=<:l07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k774<72-;9984;3098m461m3:1(<<:5;33e`=46>021vnh57ec8L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<6l=?0(<>k2;3e6<=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g;3083>!75=<0??<54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8lm=7?56;294~"69:l1;io4H000g>N69=90(5ln:c;8 442=3=m:6F>0868 <752=?:h6`>24595a213-;;h?4>f3;8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5b;j0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7l6;%3110<0n?1C==7;;%;26?229m1e=?;8:0f73>"68m81?o=k;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`66`4=9321<7>t$030b?1b=2B:>>m4H0377>"6:;7?k499'55b52<83h6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f04b<3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;5;e>h6:<=1=i:6;%33`7<2:1n0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a17d028036=4?{%327c<0m11C=?=l;I3206=#9;?>6<>m0:&;fd04?l2c8n:4?:%3110<3;o10e97j:18'573221ki76g>0`:94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==j;:18'573228:o86F>1508?xd6k0i1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;3g0g=#99n96o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;;io7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4>d5a8 46c:38:??5f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi>>5<7s-;:?k48e99K575d3A;:8>5+1376>46e82.3nl4m9:&:57<3=8n0b<<:7;3g0a=#99n96?o68h21<7*>247955b?32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020DN69=807pl;4b495?>=83:p(N6::i0D<2.2=?4;50f8j442?3;o8h5+11f1>12f92c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb56`0?7=03:112d93`><@888o6F>1518 442=3;;n=5+8cc9f<=#188188?k;o3112<6l=l0(<>k2;67e4=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==o7:18'573228:o465`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l=1C=<:=;:a016328036=4?{%327c<0m<1C=?=l;I3206=#9;?>6<>m0:&;fd<33A;;595+9009007c3g;99:4>d428 46c:3>8j<5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg24lo0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:0f65>"68m818>6i;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:<3i6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5682`04<,8:o>7<:779j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==544>:983>5}#989m6:k7;I317f=O98>87)?=54824g6<,1hj6o74$831>136l2d:>8951e70?!77l;099:84i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46f03:1(<<:5;33`==5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33`1<72-;9984>0e68L473:21vn?:k9;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=9m??7)??d3810f015a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=4c695?>=83:p(N6::i0D<2.2=?4;50f8j442?3;o985+11f1>721<2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3c40?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1=i;9;%33`7<5=lk0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"6:;7?k569'55b52;?nm6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7g1n3;147>50z&256`=?l?0D<<97??b19'136l2d:>8951e7;?!77l;099ho4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5i>;1=7=50;2x 474n33:n6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93=g;7?k589'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:mam0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:0f6e>"68m81>8kn;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:h"?jh0?7E??959'=44=<<;o7c?=5682`0d<,8:o>7<:e`9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>4b2k2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>486:080>5<7s-;:?k461c9K575d3A;:8>5+fdd9510c3-;9984>0858 <752=?:h6*7b`84k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=97495?>=83:p(N6::i0D0c28L46><2.2=?4;50f8j442?3;o9h5+11f1>73bi2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb3;53?7=;3:112d9=4d<@888o6F>1518 cca28>=h6*>247955?03-3:>7::1e9'h6:<=1=i;i;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a6<0328036=4?{%327c<0m?1C=?=l;I3206=#0kk186*>247955d73A;;595+9009007c3g;99:4>d728 46c:38>il5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg4>><0:6>4?:1y'545a20;i7E?=3b9K54243-lnj7?;6b9'573228:2;6*6138714b<,1hj6:6n;%de4?73>j1e=?;8:0f55>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj;3=>7?58;294~"69:l1;h84H000g>N69=90(5ln:59'573228:i<6F>0868 <752=?:h6`>24595a053-;;h?4=5dc8m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th95;=51;194?6|,8;8j77>b:J266e<@8;??6*ieg8203e<,88>97??969'=44=<<;o7)6ma;5;e>"an90:8;m4n0063?7c>:1/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%3110=<729q/=<=i:6g5?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m573028n=86*>0e0960cf3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:4<4290;w)?>3g8:5g=O9;9h7E?>429'b``=9=d:&;fd<00h1/jk>5154a?k75=>0:h;;4$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b?1b>2B:>>m4H0377>"?jh0?7)?=54824g6<@8:286*6138714b;7?k679'55b52;?nm6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f7?2n3;1?7>50z&256`=18h0D<<2;665a=#0kk1;5o4$gd3>421j2d:>8951e44?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e:0?h6<47:183!76;o0<,8:o>7<:e`9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:283>5}#989m64?m;I317f=O98>87)hjf;372d=#9;?>6<>67:&:57<3=8n0(5ln:6:b?!`a83;?:l5a1374>4b112.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn?7:a;39h57d48L444k2B:=9=4$9`b>1=#9;?>6<>m0:J24<2<,0;969;>d:l2601=9m15a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=94`95?5=83:p(N6::i0D47c8j442?3;o:o5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c1:15<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=i8l;%33`7<4><<0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=:a182>3<729q/=<=i:6fb?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=9m15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>24595a0b3-;;h?4=egg8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg7c<=0:6;4?:1y'545a2>nj7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8951e4e?!77l;0:o4h4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3g04<62?0;6=u+101e>2bf3A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1=i9?;%33`7<6k0l0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?k7382>6<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<4l5a1374>4b092.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vnh590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=3m6`>24595a153-;;h?4>c8d8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi=i8l:080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>2j7c?=5682`25<,8:o>7?l9g9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th:h;751;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'ie0k0;6)?=548f3a=:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<00h1e=?;8:0f41>"68m81=n7i;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj8n=?7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1?i2d:>8951e55?!77l;0:o4h4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098kg>e290/=?;::d5g?>{e9m<;6<4<:183!76;o02=o5G131`?M76<:1/=?;::02:3>">9;0?92>f3g;99:4>d658 46c:3;h5k5f8`394?"6:7>5$0061?56:2d:>8=51:9lf=d=83.:>8;5e6f8?xd6l22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93=g;7?k799'55b528i2j6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:ma4?:1y'545a20;i7E?=3b9K54243-;9984>0858 <752=?:h6*7b`846`>24194>=n0h81<7*>2479744?7?4;n`;f?6=,88>97k8d:9~f4b?i3;1?7>50z&256`=18h0D<<97??969'=44=<<;o7)6ma;5;e>h6:<=1=i9n;%33`7<6k0l0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54oc:a>5<#9;?>6h9k;:a5a>028086=4?{%327c<>9k1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6:b?k75=>0:h:l4$02g6?7d1o1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65`b9`94?"6:t$030b??6j2B:>>m4H0377>"6:4e>n2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76am8c83>!75=<0n;i54}c3g<4<62:0;6=u+101e><7e3A;9?n5G1060?!75=<0:<494$831>136l2.3nl488`9m573028n0e095f?a3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07bl7b;29 442=3o12d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im797a:l2601=9m=n7)??d382g<`6753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm1e5a>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:6n;o3112<6l>l0(<>k2;3`=c=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl>d6:95?5=83:p(N6::i0D2;665a=#0kk1;5o4n0063?7c091/==j=:0a:b>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<4l5a1374>4b?92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vnh590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=3m6`>24595a>53-;;h?4>c8d8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi?o9::080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682`=5<,8:o>7=m3e9j8;53008j442;3:07bl7b;29 442=3o4;|`0f27=9391<7>t$030b??6i2B:>>m4H0377>"6:6d4l2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0f;1>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb2`5`?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9m2=7)??d380f6b6753g;99>4?;:ma3683?>{e;k">9;0?974?3g;99:4>d958 46c:39i?i5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07plN6::i0D2;665a=#0kk1>?64n0063?7c011/==j=:2`0`>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg5e>>0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn>l95;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595a>f3-;;h?4!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a7g0428086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:h5l4$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th8n;?51;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'l!75=<08=?5a1370>4=i989<6=54}c1a1c<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028n3h6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj:h>n7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951e:f?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm3c7:>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6l1l0(<>k2;1a7a=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?k919'55b52:h8h6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo=m5482>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4b>92.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f6d2;3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=i7=;%33`7<4j:n0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi?o;>:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682`<5<,8:o>7=m3e9j8;53008j442;3:07bl7b;29 442=3o4;|`0f1`=9391<7>t$030b??6i2B:>>m4H0377>"6:6d4l2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0f:1>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb2`7f?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9m3=7)??d380f6b6753g;99>4?;:ma3683?>{e;k>26<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>d858 46c:39i?i5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07plN6::i0D2;665a=#0kk1>?64n0063?7c111/==j=:2`0`>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg5e080:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn>l8f;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595a?f3-;;h?4!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a7g1c28086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:h4l4$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th8n:l51;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'l!75=<08=?5a1370>4=i989<6=54}c1a3<<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028n2h6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj:h<;7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951e;f?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm3c50>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6l0l0(<>k2;1a7a=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?ka19'55b52:h8h6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo:99g82>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4bf92.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f10>l3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=io=;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi8;7m:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682`d5<,8:o>7::209j8;53008j442;3:07bl7b;29 442=3o4;|`72t$030b??6i2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5==5:4>:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0fb1>"68m8188<>;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb544=?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9mk=7)??d3871776753g;99>4?;:ma3683?>{e">9;0?974?3g;99:4>d`58 46c:3>>><5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl;66795?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7ci11/==j=:5715>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg21?:0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn9881;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595agf3-;;h?4;5338m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a032728086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:hll4$02g6?22:81b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th?:>k51;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c657d<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028njh6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj=<847?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951ecf?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm4715>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6lhl0(<>k2;6664=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b:>1=7=50;2x 474n33:m6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?kb19'55b52=?9=6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo:93382>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4be92.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f10483;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=il=;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi8;5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682`g5<,8:o>7::209j8;53008j442;3:07bl7b;29 442=3o4;|`727e=9391<7>t$030b??6i2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5==>l4>:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0fa1>"68m8188<>;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb54112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9mh=7)??d3871776753g;99>4?;:ma3683?>{e">9;0?974?3g;99:4>dc58 46c:3>>><5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl;65g95?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7cj11/==j=:5715>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg214?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn98;a;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595adf3-;;h?4;5338m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a032?28086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:hol4$02g6?22:81b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th?:9851;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c6501<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028nih6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj=7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951e`f?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm471`>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6lkl0(<>k2;6664=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?kc19'55b52;92i6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo<6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4bd92.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f75fj3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=im=;%33`7<5;0o0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi>>o6:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682`f5<,8:o>7<<9d9j8;53008j442;3:07bl7b;29 442=3o4;|`17d1=9391<7>t$030b??6i2B:>>m4H0377>"6:75>m2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0f`1>"68m81>>7j;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb31b7?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9mi=7)??d38176753g;99>4?;:ma3683?>{e::k:6<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>db58 46c:3885h5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl=25295?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7ck11/==j=:301b>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg45;l0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn?<h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595aef3-;;h?4=23d8m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a675f28086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:hnl4$02g6?45:o1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th9>>651;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c0173<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028nhh6*>0e09674a3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj;8887?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951eaf?!77l;09>?h4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm2311>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6ljl0(<>k2;016c=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?kd19'55b52=92o6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo:;f882>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4bc92.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f124;3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=ij=;%33`7<3<9i0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi8?h7:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682`a5<,8:o>7:=e59j8;53008j442;3:07bl7b;29 442=3o4;|`76c0=9391<7>t$030b??6i2B:>>m4H0377>"6:14b<2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=9j94>:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0fg1>"68m818?k;;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb50e6?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9mn=7)??d3876`26753g;99>4?;:ma3683?>{e<;l;6<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>de58 46c:3>9i95f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl;2dg95?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7cl11/==j=:50f0>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg25mj0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn9h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595abf3-;;h?4;2d68m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a07c?28086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:hil4$02g6?25m=1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th??<751;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c6052<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028noh6*>0e0907c33`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj=9:97?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951eff?!77l;0?>h:4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm4230>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6lml0(<>k2;61a1=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?ke19'55b52=8n86g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo:<0g82>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4bb92.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f157l3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=ik=;%33`7<3:l>0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi8>>m:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682``5<,8:o>7:=e59j8;53008j442;3:07bl7b;29 442=3o4;|`775?=9391<7>t$030b??6i2B:>>m4H0377>"6:14b<2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=;i84>:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0ff1>"68m818=k=;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb52f3?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9mo=7)??d3874`46753g;99>4?;:ma3683?>{e<9o26<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>dd58 46c:3>;i?5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl;0d`95?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7cm11/==j=:52f6>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg27mm0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn9>jf;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595acf3-;;h?4;0d08m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a05`628086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:hhl4$02g6?27m;1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th?a:J266e<@8;??6*>247955?03-3:>7::1e9'j2:k;e4<72-;9984<139m57342910co6m:18'57322l=o76g7a383>!75=<08=?5a1370>4=i989<6=54}c1eg7<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028nnh6*>0e097c3c3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj:lii7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951egf?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm3g``>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6lll0(<>k2;1e1a=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?kf19'55b52:l>h6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo=ib982>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4ba92.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f6`e>3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=ih=;%33`7<4n:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi?kl;:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682`c5<,8:o>7=i5e9j8;53008j442;3:07bl7b;29 442=3o4;|`0bg4=9391<7>t$030b??6i2B:>>m4H0377>"6:6`2l2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0fe1>"68m81?k;k;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb2dba?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9ml=7)??d380b0b6753g;99>4?;:ma3683?>{e;okh6<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>dg58 46c:39m9i5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07plN6::i0D2;665a=#0kk1>?64n0063?7cn11/==j=:2d6`>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg5ai?0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn>hn4;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595a`f3-;;h?4!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a7cg528086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:hkl4$02g6?5a=m1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th8jl>51;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'h:d:k;e4<72-;9984<139m57342910co6m:18'57322l=o76g7a383>!75=<08=?5a1370>4=i989<6=54}c1e=`<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028nmh6*>0e097c3c3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj:l2o7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951edf?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm3g;b>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6lol0(<>k2;1e1a=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?j019'55b52:l>h6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo=i9782>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4c792.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f6`c83;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=h>=;%33`7<4n:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi?kmj:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682a55<,8:o>7=i5e9j8;53008j442;3:07bl7b;29 442=3o4;|`0bfe=9391<7>t$030b??6i2B:>>m4H0377>"6:6`2l2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0g31>"68m81?k;k;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb2d`12d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9l:=7)??d380b0b6753g;99>4?;:ma3683?>{e;oi=6<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>e158 46c:39m9i5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07plN6::i0D2;665a=#0kk1>?64n0063?7b811/==j=:2d6`>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg5ak90:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn>hna;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595`6f3-;;h?4!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a606?28086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:i=l4$02g6?43m81b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th99nk51;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c073c<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028o;h6*>0e0961163`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj;=?o7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951d2f?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm267f>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6m9l0(<>k2;06ad=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?j119'55b52;?nm6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo<85`82>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4c692.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f71203;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=h?=;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi>:;9:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682a45<,8:o>7<:e`9j8;53008j442;3:07bl7b;29 442=3o4;|`1302=9391<7>t$030b??6i2B:>>m4H0377>"6:73bi2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0g21>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb3564?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9l;=7)??d3811`g6753g;99>4?;:ma3683?>{e:>>n6<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>e058 46c:38>il5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl=73:95?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7b911/==j=:37fe>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg40;h0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<69:&24a4=:6`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn?9<8;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595`7f3-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a625128086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:i=n0h81<7*>2479744?7?4n0303?6<3th9;>:51;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c0477<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028o:h6*>0e0960cf3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj;=8<7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951d3f?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm260f>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6m8l0(<>k2;06ad=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?j219'55b52;?nm6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo<82`82>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4c592.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f70c;3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=h<=;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi>;j>:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682a75<,8:o>7<:e`9j8;53008j442;3:07bl7b;29 442=3o4;|`12f`=9391<7>t$030b??6i2B:>>m4H0377>"6:95+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0g11>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb34`f?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9l8=7)??d3811`g6753g;99>4?;:ma3683?>{e:?o>6<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>e358 46c:38>il5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl=6d195?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7b:11/==j=:37fe>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg41m80:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn?8kf;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595`4f3-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a63bc28086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:i?l4$02g6?42mh1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th9:il51;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c05`<<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028o9h6*>0e0960cf3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj;N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951d0f?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm27f6>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6m;l0(<>k2;06ad=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b1=7=50;2x 474n33:m6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?j319'55b52;?nm6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo<81782>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4c492.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f716<3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=h==;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi>:?=:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682a65<,8:o>7<:e`9j8;53008j442;3:07bl7b;29 442=3o4;|`1346=9391<7>t$030b??6i2B:>>m4H0377>"6:73bi2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0g01>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb353g?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9l9=7)??d3811`g6753g;99>4?;:ma3683?>{e:>:j6<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>e258 46c:38>il5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl=71:95?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7b;11/==j=:37fe>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg408?0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn?899;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595`5f3-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a6=g528086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:i>l4$02g6?42mh1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th94>851;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c0;21<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028o8h6*>0e0960cf3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj;2;47?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951d1f?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm29f3>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6m:l0(<>k2;06ad=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?j419'55b52:<>:6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo=9e782>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4c392.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f60b<3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=h:=;%33`7<4><<0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi?;k=:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682a15<,8:o>7=9579j8;53008j442;3:07bl7b;29 442=3o4;|`02a`=9391<7>t$030b??6i2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0g71>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb24gf?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9l>=7)??d3802006753g;99>4?;:ma3683?>{e;?n26<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>e558 46c:39=9;5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl<36d95?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7b<11/==j=:20bg>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg54;j0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn>=h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595`2f3-;;h?4<2`a8m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a765?28086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:i9l4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th8?>;51;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c1076<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028o?h6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj:98=7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951d6f?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm320e>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6m=l0(<>k2;11ef=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?j519'55b52;omi6g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4c292.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f7`?k3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=h;=;%33`7<5moo0e5o>:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi>k6n:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682a05<,8:o>78;53008j442;3:07bl7b;29 442=3o4;|`1b=1=9391<7>t$030b??6i2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0g61>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb3d;7?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9l?=7)??d381acc6753g;99>4?;:ma3683?>{e:o2:6<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>e458 46c:38njh5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl>c`c95?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7b=11/==j=:0a:b>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg5e1o0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn98n7;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595`3f3-;;h?4;5338m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a03>628086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:i8l4$02g6?22:81b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th?:;<51;194?6|,8;8j77>a:J266e<@8;??6*>247955?03-3:>7::1e9'!75=<08=?5a1370>4=i989<6=54}c00ff<62:0;6=u+101e><7f3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=299m573028o>h6*>0e0966?b3`2j=7>5$0061?56:2d:>8=50:9lf=d=83.:>8;5e6f8?l>f:3:1(<<:5;126>h6:<91=6`>12594>=zj;8?h7?53;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4502d:>8951d7f?!77l;09>?h4i9c2>5<#9;?>6>?=;o3116<732ei4o4?:%31100;76sm43dg>4<4290;w)?>3g8:5d=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?<7;o3112<6mk2;61a1=n0h;1<7*>2479744?7>4;n`;f?6=,88>97k8d:9j8;53008j442;3;0b22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc967>;7?j619'55b52=8n86g7a083>!75=<08=?5a1370>5=`1c32c3m?4?:%3110<49;1e=?;<:09m54502910qo:>0182>6<729q/=<=i:83b?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09>55a1374>4c192.:f93:1(<<:5;126>h6:<91<65`b9`94?"6:97=>2:l2605=92d:=>950:9~f6`bk3;1?7>50z&256`=18k0D<<97??969'=44=<<;o7)6ma;01<>h6:<=1=h8=;%33`7<4n:18'57322:;97c?=5283?>ie0k0;6)?=548f3a=6753g;99>4>;o3272<732wi>:8;:080>5<7s-;:?k461`9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;837c?=5682a35<,8:o>7<:e`9j8;53008j442;3:07bl7b;29 442=3o4;|`1316=9391<7>t$030b??6i2B:>>m4H0377>"6:73bi2c3m<4?:%3110<49;1e=?;<:198kg>e290/=?;::d5g?>o?i;0;6)?=548057=i9;?86<5a1014>5=:283>5}#989m64?n;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5:11e=?;8:0g51>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821dn5l50;&2603=m>n07d6n2;29 442=39:>6`>24195>h69:=1<65rb352g?7=;3:112d9=4g<@888o6F>1518 442=3;;5:5+9009007c3-2im7<=8:l2601=9l<=7)??d3811`g6753g;99>4?;:ma3683?>{e:?=h6<4<:183!76;o02=l5G131`?M76<:1/=?;::02:3>">9;0?974?3g;99:4>e758 46c:38>il5f8`394?"6:5$0061?c0l21b4l<50;&2603=;880b<<:3;38j474?3:07pl<71d95?5=83:p(N6::i0D2;665a=#0kk1>?64n0063?7b>11/==j=:2462>o?i80;6)?=548057=i9;?86=54oc:a>5<#9;?>6h9k;:k;e7<72-;9984<139m5734281e=<=8:198yg54><0:6>4?:1y'545a20;j7E?=3b9K54243-;9984>0858 <752=?:h6*7b`816==i9;?<66`>24194>=hj1h1<7*>2479a2b<3`2j>7>5$0061?56:2d:>8=51:l2561=821vn?hm7;397?6=8r.:=>h590c8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38946`>24595`0f3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76am8c83>!75=<0n;i54i9c1>5<#9;?>6>?=;o3116<63g;:?:4?;:a5fb628086=4?{%327c<>9h1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:30;?k75=>0:i;l4$02g6?7d1o1b4l?50;&2603=;880b<<:3;28?jd?j3:1(<<:5;g4`>=n0h81<7*>2479744?7?4n0303?6<3th?8n951;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09=45a1374>4c1l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im79md:l2601=9l6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh04c1n2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?59751;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<0>36<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94`c3g;99:4>e638 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;b`d95?1=83:p(N6::i0D2;665a=#0kk1?8m4n0063?7b?;1/==j=:5cgf>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma"68m819?>m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn8<<9;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=3j6`>24595`133-;;h?4:21`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6nl1e=?;8:0g41>"68m81=h=m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd4i1l1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95cc;7?j779'55b528o8n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a176a280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:`78j442?3;n;:5+11f1>047j2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm496a>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj65;7;o3112<6m>20(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6kkk1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc950b;7?j789'55b528i2j6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:9i5a1374>4c0i2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f4ee03;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;36`>h6:<=1=h9m;%33`7<6k0l0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi=nl8:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28?o7c?=5682a2e<,8:o>7?l9g9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:4e>n2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6=m1e=?;8:0g4a>"68m81=n7i;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7?n9:l2601=9l=m7)??d382g<`6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:m45a1374>4c?82.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:on=51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9ji96<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?94g>3g;99:4>e908 46c:3;h5k5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f4ed93;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;3b=>h6:<=1=h6<;%33`7<6k0l0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c3`g5<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>a89m573028o386*>0e095f?a3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl>ccd95?0=83:p(N6::i0D2;665a=#0kk1=l74n0063?7b0<1/==j=:0a:b>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi=nlj:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28k27c?=5682a=0<,8:o>7?l9g9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj8ii87?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7d82d:>8951d:4?!77l;0:o4h4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0:684?:1y'545a20;o7E?=3b9K54243-lnj7?"68m81=h=m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>on5;391?6=8r.:=>h590f8L444k2B:=9=4$gge>455j2.:>8;511;4?!?6:3>>=i5+8cc9532<,ol;6<==b:l2601=9l227)??d382a6d6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a7dg4280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>"?jh0::95+fg29564e3g;99:4>e9c8 46c:3;n?o5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8ml>51;794?6|,8;8j77>d:J266e<@8;??6*ieg8277g<,88>97??969'=44=<<;o7)6ma;350>"an90:??o4n0063?7b0k1/==j=:0g0f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c1b=c<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;8>l5+1376>46>?2.2=?4;50f8 =df286`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj8iih7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7em2d:>8951d:g?!77l;0:o4h4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8;9j:080>5<7s-;:?k461c9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;;j7c?=5682a=c<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th>>h=51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c0b35<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=829m573028o2<6*>0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:o8h7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae??23g;99:4>e838 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f7g1:3;187>50z&256`=18i0D<<2;665a=#0kk1;n:4$gd3>421n2d:>8951d;1?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c0b24<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl473d9m573028o2?6*>0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8n?97?53;294~"69:l15N69=90(kki:0632>"6:;:6`>24595`?33-;;h?4>c8d8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi?loi:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956ec3-;9984>0858 <752=?:h6*7b`84g1=#no:1=>mk;o3112<6m0?0(<>k2;3f7g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj:kji7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7?12d:>8951d;5?!77l;0:i>l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3`cg>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9:io7)?=54824<1<,0;969;>d:&;fd<0k=1/jk>512ag?k75=>0:i494$02g6?7b;k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn>onc;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;356`>24595`??3-;;h?4>e2`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7dge280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:216?k75=>0:i474$02g6?7b;k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8mlo51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1be4<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<349m573028o2n6*>0e095`5e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:k247?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?54=2d:>8951d;`?!77l;0:i>l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3`:g>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>=:;o3112<6m0n0(<>k2;3f7g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4i1;1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9607;7?j9d9'55b528o8n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh099<5a1374>4c>n2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6g?;3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;07f>h6:<=1=ho?;%33`7<6m:h0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c1b<7<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=4c9m573028oj=6*>0e095`5e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1>9l4n0063?7bi;1/==j=:0g0f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?l89:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;>i7c?=5682ad5<,8:o>7?j3c9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj:k><7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?43j2d:>8951dc7?!77l;0:i>l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`810g=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:4c4j2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5=81e=?;8:0gb3>"68m81=h=m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<:1:l2601=9lk37)??d382a6d6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;h3i6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97363g;99:4>e`;8 46c:3;n?o5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1>8?4n0063?7bih1/==j=:0g0f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`82fd=i9;?<697d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1;ol4n0063?7bij1/==j=:0g0f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8070=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>o6d;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39896`>24595`gb3-;;h?4>e2`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7d?f280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:216?k75=>0:ilh4$02g6?7b;k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8m4751;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1b=2<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<349m573028oi=6*>0e095`5e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:k2:7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?54=2d:>8951d`1?!77l;0:i>l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3`;6>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>=:;o3112<6mk90(<>k2;3f7g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4i0>1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9763;7?jb59'55b528o8n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh08?85a1374>4ce=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6g>:3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;101>h6:<=1=hl9;%33`7<6m:h0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?l7>:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:9>7c?=5682ag1<,8:o>7?j3c9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:4c4j2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4;<1e=?;8:0ga=>"68m81=h=m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7=<5:l2601=9lhj7)??d382a6d6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;h2<6<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?94033g;99:4>ec`8 46c:3;n?o5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8m5;51;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9'0b<<:7;3fff=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0::95a1374>4cel2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 442=3;;5:5+9009007c3-2im7?94:l2601=9lhn7)??d382a6d6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a7d0?280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:047?k75=>0:ioh4$02g6?7b;k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4i??1=7;50;2x 474n33:h6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9532;7?jc19'55b528o8n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6>=1e=?;8:0g`5>"68m81=h=m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>o91;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;=86`>24595`e53-;;h?4>e2`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm3`7e>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<8;;o3112<6mj90(<>k2;3f7g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:4c4j2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`8221=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:k>57?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?71<2d:>8951da5?!77l;0:i>l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?l;8:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df287?j3c9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1=;:4n0063?7bk11/==j=:0g0f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c1b<7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>659m573028oh56*>0e095`5e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f6g?13;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;350>h6:<=1=hmn;%33`7<6m:h0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<03h6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=4e3g;99:4>eb`8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;98295?1=83:p(N6::i0D2;665a=#0kk14?l4n0063?7bkj1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0:0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;20=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn97=c;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=2m6`>24595`eb3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0<4e280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:91g?k75=>0:inh4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?4l:51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<0h>6<4<:183!76;o02=o5G131`?M76<:1/jhh51546?!75=<0:<494$831>136l2.3nl4>e49'bc6=9=<>7c?=5682aa7<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=hj1h1<7*>2479a2b<3th?5o:51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6;ga<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8h;5+1376>46>?2.2=?4;50f8 =df2>i?7)hi0;30`3=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;8ba95?1=83:p(N6::i0D2;665a=#0kk18h84n0063?7bl=1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=j?h4>:583>5}#989m64?l;I317f=O98>87)hjf;30`0=#9;?>6<>67:&:57<3=8n0(5ln:6a7?!`a83;8h85a1374>4cc?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3i:n1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc90`0;7?jd99'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74l=1/=?;::02:3>">9;0?92e33-lm<7?0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?m9751;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6b13<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8h>5+1376>46>?2.2=?4;50f8 =df2>i?7)hi0;30`6=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;a4795?1=83:p(N6::i0D2;665a=#0kk18h84n0063?7blj1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma;0:694?:1y'545a20;h7E?=3b9K54243-lnj7?"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=j:h4>:583>5}#989m64?l;I317f=O98>87)hjf;30`4=#9;?>6<>67:&:57<3=8n0(5ln:6a7?!`a83;8h<5a1374>4ccn2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3i?n1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc90`0;7?je19'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74ko1/=?;::02:3>">9;0?92e33-lm<7?0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?m:751;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6;6=<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47b:l2601=9lo87)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<>2o6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92d63g;99:4>ed68 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<70f95?0=83:p(N6::i0D2;665a=#0kk18lh4n0063?7bm<1/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?>9<:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=km7c?=5682a`0<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj;lh97?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2fn2d:>8951dg4?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`84a>h6:<=1=hk7;%33`7<6l1o0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e9mi;6<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?92c;7?je89'55b528n3i6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0m2d:>8951dgb?!77l;09<<:4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi=im>:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>o0b<<:7;3fag=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh024595`cd3-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm1ea1>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:k4n0063?7bmm1/==j=:0f;a>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c0307<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48e:l2601=9lon7)??d3814426753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a5ae4280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6g8j442?3;nik5+11f1>4b?m2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`84a>h6:<=1=hh?;%33`7<588>0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e9mi?6<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?92c;7?jf09'55b528n3i6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0m2d:>8951dd1?!77l;09<<:4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi=im::086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>o0b<<:7;3fb6=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh024595``33-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm1ea5>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:k4n0063?7bn<1/==j=:0f;a>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c0303<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48e:l2601=9ll=7)??d3814426753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a5ae0280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6g8j442?3;nj:5+11f1>4b?m2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0:684?:1y'545a20;o7E?=3b9K54243-;9984>0858 <752=?:h6*7b`84a>h6:<=1=hh7;%33`7<588>0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e9mi36<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?92c;7?jf89'55b528n3i6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0m2d:>8951ddb?!77l;09<<:4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi=il9:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>o0b<<:7;3fbg=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh024595``d3-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm1e`4>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:k4n0063?7bnm1/==j=:0f;a>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c037=<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48e:l2601=9lln7)??d3814426753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a5ad?280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6g8j442?3;njk5+11f1>4b?m2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`84a>h6:<=1=k>?;%33`7<588>0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e9mh26<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?92c;7?i009'55b528n3i6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0m2d:>8951g21?!77l;09<<:4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi=iln:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>o0b<<:7;3e46=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh024595c633-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm1e`a>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:k4n0063?7a8<1/==j=:0f;a>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c037f<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48e:l2601=9o:=7)??d3814426753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a5add280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6g8j442?3;m<:5+11f1>4b?m2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`84a>h6:<=1=k>7;%33`7<588>0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e9mho6<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?92c;7?i089'55b528n3i6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0m2d:>8951g2b?!77l;09<<:4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi=ilj:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>o0b<<:7;3e4g=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31106<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<4l5a1374>4`7k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vn96k0;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=i<6`>24595c6c3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0<3f280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6`3?k75=>0:j=k4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?5;951;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7:?;o3112<6n8:0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd31:h1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc964b;7?i109'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:><5a1374>4`6:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1>e93;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;315>h6:<=1=k?<;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi85k<:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df288:7c?=5682b42<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=2=94>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6:81e=?;8:0d22>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79i;o3112<6n8=0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd30ml1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc964b;7?i199'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh04`612.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4jjk1=7:50;2x 474n33:o6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93a4;7?i1`9'55b52:h8h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm3caa>4<3290;w)?>3g8:5f=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:j=;o3112<6n8h0(<>k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj:hho7?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1c:2d:>8951g3`?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c1aga<62=0;6=u+101e><7d3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48d39m573028l:h6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8nnk51;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'lo?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a7gea280?6=4?{%327c<>9j1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6f1?k75=>0:jf:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn>lk0;390?6=8r.:=>h590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=o>6`>24595c473-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`84`7=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1;i<4n0063?7a:;1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e;ki>6<4;:183!76;o02=n5G131`?M76<:1/=?;::02:3>">9;0?92b53g;99:4>f318 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im79k2:l2601=9o8?7)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0l;1e=?;8:0d11>"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:=93>1<7>t$030b??6k2B:>>m4H0377>"6:;5+11f1>6d4l2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi?om6:087>5<7s-;:?k461b9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>90b<<:7;3e62=#99n96>lo?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a00>0280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:g58j442?3;m>55+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`8205=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=?2h7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7382d:>8951g0b?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi88o?:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28>;7c?=5682b7d<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;5`395?3=83:p(N6::i0D2;665a=#0kk1=9>4n0063?7a:j1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c66e7<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>419m573028l9h6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f13f;3;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;374>h6:<=1=k:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<">9;0?94273g;99:4>f3d8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?9l;51;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:8=5a1374>4`492.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 442=3;;5:5+9009007c3-2im7?;0:l2601=9o997)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a00>>280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:063?k75=>0:j>=4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3=1k1=7;50;2x 474n33:h6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9516;7?i359'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=>4o4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6<91e=?;8:0d01>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn9;7c;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;?<6`>24595c513-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm44:g>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<:?;o3112<6n:=0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`8205=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=?2<7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7382d:>8951g1b?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi887>:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28>;7c?=5682b6d<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;58195?3=83:p(N6::i0D2;665a=#0kk1=9>4n0063?7a;j1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c66=1<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>419m573028l8h6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f13>=3;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;374>h6:<=1=k=j;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<<3=6<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?94273g;99:4>f2d8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?94951;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:8=5a1374>4`392.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 442=3;;5:5+9009007c3-2im7?;0:l2601=9o>97)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a00?f280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:063?k75=>0:j9=4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3=0h1=7;50;2x 474n33:h6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9516;7?i459'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=>5n4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6<91e=?;8:0d71>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn9;6e;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;?<6`>24595c213-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm44;e>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<:?;o3112<6n==0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:=93<1<7>t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm381f>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6i;o3112<6n=30(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4=1o1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=`;7?i4`9'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%3110e582>2<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094k5a1374>4`3j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f11bk3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;5ae>h6:<=1=k:l;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c6bg5<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;95;5+1376>46>?2.2=?4;50f8 =df28o;7)hi0;31=3=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=kij7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?063g;99:4>f5g8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;34a95?2=83:p(N6::i0D2;665a=#0kk1;hl4n0063?7ao?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e<:?i6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94`e3g;99:4>f428 46c:3>9i95f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D7?2.mj=4>3848j442?3;m9<5+11f1>6d4l2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm49fa>4<1290;w)?>3g8:5`=O9;9h7E?>429'b``=9:9i7)?=54824<1<,0;969;>d:&;fd32`8j442?3;m9?5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm46``>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj65>n;o3112<6n<90(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:06082c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0l<1e=?;8:0d61>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4d<@888o6F>1518 442=3;;5:5+9009007c3-2im797a:l2601=9o?=7)??d3814426753g;99>4?;:k;e7<72-;9984<139m57342810co6m:18'57322l=o76sm4921>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6i<4n0063?7a=>1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84fd=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:56*>0e097c443`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:l9;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?073g;99:4>f4c8 46c:39m>>5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;53195?1=83:p(N6::i0D2;665a=#0kk1?>5a1374>4`2j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f12d93;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;13?k75=>0:j8m4$02g6?23i81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th994851;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>899;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<:;o3112<6nk2;67e4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd5=021=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc960=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn8?8b;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;=;6`>24595c073-;;h?4:0628m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0n>1e=?;8:0d55>"68m819=9?;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79m6:l2601=9o<97)??d3864266753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<0oh6<49:183!76;o02=h5G131`?M76<:1/jhh51210?!75=<0:<494$831>136l2.3nl4l0:&eb5<6;:90b<<:7;3e26=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<1ko6<4::183!76;o02=i5G131`?M76<:1/jhh513;7?!75=<0:<494$831>136l2.3nl4>929'bc6=9;3?7c?=5682b32<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;35595?1=83:p(N6::i0D2;665a=#0kk1><1/==j=:50f0>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8;77=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn??;8;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=j7c?=5682b31<,8:o>7<>339j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0967g63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=9j:7?56;294~"69:l15N69=90(kki:00a`>"6:24595c0>3-;;h?4;38a8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)hjf;31f`=#9;?>6<>67:&:57<3=8n0(5ln:3:b?!`a83;9nh5a1374>4`1i2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th??4l51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'"68m818>6i;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd3;0=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc91<=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9=69;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>j7c?=5682b3b<,8:o>7:<8g9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj;><<7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>>3g;99:4>f7g8 46c:38?:95f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f721k3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;7:?k75=>0:j;h4$02g6?43>=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th98;k51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>98;;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd409;1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc900=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>::3;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>>7c?=5682b24<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:3>>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?113g;99:4>f668 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<5`095?1=83:p(N6::i0D2;665a=#0kk1;;5a1374>4`0=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f67a03;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;55?k75=>0:j:84$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?nn751;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6b`5<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47379m573028l<46*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=kj>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?533g;99:4>f6;8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;e`495?3=83:p(N6::i0D2;665a=#0kk14=h4n0063?7a?h1/==j=:5gb0>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c6;5`<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=6b9m573028l0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;b`g95?0=83:p(N6::i0D2848j442?3;m;n5+11f1>1gcj2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm3b7e>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6om4n0063?7a?m1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma44ek2d:>8951g5f?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8?=m:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;?0b<<:7;3e3c=#99n969o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c64ag<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9j?5+1376>46>?2.2=?4;50f8 =df28nj7)hi0;31b7=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj;;??7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?df3g;99:4>f938 46c:38:??5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9>o<51;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>?o>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn9jn8;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3k97c?=5682b=5<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj8oom7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?71<2d:>8951g:7?!77l;0:ii:4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi=9mi:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>3<7c?=5682b=3<,8:o>7?;c09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:42d92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<01>1e=?;8:0d;3>"68m81=9m>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7967:l2601=9o237)??d3820f76753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9=ii6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92?03g;99:4>f9;8 46c:3;?o<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>4bc95?1=83:p(N6::i0D2;665a=#0kk1;494n0063?7a0h1/==j=:06`5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`84=2=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn<:k7;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=2;6`>24595c>d3-;;h?4>4b38m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a51b1280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6;4?k75=>0:j5j4$02g6?73k81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th:8i:51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c37`6<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48969m573028l3j6*>0e0951e63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj8>o>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1>?2d:>8951g;3?!77l;0:8n?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm15f2>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:78;o3112<6n0;0(<>k2;37g4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd622a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93<1;7?i939'55b528>h=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<5:5a1374>4`>;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6?e?3;197>50z&256`=18n0D<<2;665a=#0kk1;4k4$gd3>44f=2d:>8951g;7?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?;>8:086>5<7s-;:?k461e9K575d3A;:8>5+fdd957g33-;9984>0858 <752=?:h6*7b`84=`=#no:1=?o;;o3112<6n0?0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>l=4$0061?771>1/5<<5443g?!>ei3=2i6*if1826d5;7?i979'55b52;omi6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4=m1e=?;8:0d:3>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7=:d:l2601=9o337)??d3806de6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;;?>6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?963c3g;99:4>f8;8 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1=h74n0063?7a1h1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`812a=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn8<64;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38=h6`>24595c?d3-;;h?4:29f8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0dbf280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:838j442?3;m5i5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=2?54>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<69?1e=?;8:0d:a>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd31=l1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9540;7?i9g9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0=bf280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:035?k75=>0:jl>4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7?>6:l2601=9ok:7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:=;5a1374>4`f:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?8k=51;494?6|,8;8j77>e:J266e<@8;??6*ieg826c6<,88>97??969'=44=<<;o7)6ma;77?!`a83;9j=5a1374>4`f;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th99n651;494?6|,8;8j77>e:J266e<@8;??6*ieg826c5<,88>97??969'=44=<<;o7)6ma;77?!`a83;9j>5a1374>4`f<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th>=:>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'"68m819=9?;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd29181=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc91`=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:06082c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=i=n4>:483>5}#989m64?k;I317f=O98>87)hjf;306a=#9;?>6<>67:&:57<3=8n0(5ln:04a?!`a83;8>i5a1374>4`f02.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca2899h6*>247955?03-3:>7::1e9'h6:<=1=ko6;%33`7<3imh0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;j=86<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92`f3g;99:4>f`c8 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;69a95?0=83:p(N6::i0D38c8j442?3;mmo5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm49c`>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:227)?=54824<1<,0;969;>d:&;fd<6m>1/jk>512::?k75=>0:jlm4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd30lo1=7;50;2x 474n33:h6F>22a8L473;2.mik4>39;8 442=3;;5:5+9009007c3-2im7?j7:&eb5<6;130b<<:7;3eea=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?74011/=?;::02:3>">9;0?94c03-lm<7?<899m573028lji6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f1?6n3;197>50z&256`=18n0D<<2;665a=#0kk1=h94$gd3>45?02d:>8951gce?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi84=k:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:90b<<:7;3ef5=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c64=4<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;9mh5+1376>46>?2.2=?4;50f8 =df21:=7)hi0;31e`=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj==2>7?55;294~"69:l15N69=90(kki:00ba>"6:24595cd53-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm3b3:>4<1290;w)?>3g8:5`=O9;9h7E?>429'b``=9;337)?=54824<1<,0;969;>d:&;fd513;;?k75=>0:jo=4$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4b<@888o6F>1518 cca288h?6*>247955?03-3:>7::1e9'h6:<=1=kl;;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e;==j6<4::183!76;o02=i5G131`?M76<:1/jhh513a1?!75=<0:<494$831>136l2.3nl4>e69'bc6=9;i97c?=5682bg3<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<0e295?3=83:p(N6::i0D2b38j442?3;mn;5+11f1>7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`87a5=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn99nd;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>n<6`>24595cd?3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a02?1280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:5g3?k75=>0:jo74$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?;4:51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c71=5<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=019m573028lin6*>0e0917>c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj<82?7?55;294~"69:l15N69=90(kki:0637>"6:7;=8e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl:39g95?3=83:p(N6::i0D2;665a=#0kk18l74n0063?7ajm1/==j=:41;`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c043g<62=0;6=u+101e><7d3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>389m573028lii6*>0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9;;h51;694?6|,8;8j77>c:J266e<@8;??6*ieg827ab<,88>97??969'=44=<<;o7)6ma;30=>"an90:?ij4n0063?7ajo1/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e:>386<4;:183!76;o02=n5G131`?M76<:1/jhh512g1?!75=<0:<494$831>136l2.3nl4>389'bc6=9:o97c?=5682bf6<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f15003;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;30b>h6:<=1=km>;%33`7<3:l>0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<1?>6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=5f3g;99:4>fb08 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;7`g95?3=83:p(N6::i0D2;665a=#0kk1>964n0063?7ak:1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c64ec<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=499m573028lh86*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f11e83;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;07<>h6:<=1=km:;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e136l2.3nl478:&eb5<6;k;0b<<:7;3eg3=#99n969kn4:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0<5a280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:578j442?3;mo:5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=<854>:783>5}#989m64?j;I317f=O98>87)hjf;31b7=#9;?>6<>67:&:57<3=8n0(5ln:0fa?!`a83;9j?5a1374>4`d02.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:h5h51;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81=i6j;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:0e095a>b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9<<;51;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>=?;;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:0e095a>b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9<c:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>=?;;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:0e095a>b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9<c:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81>=?;;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:0e095a>b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?n<;51;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0346`>24595cb53-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8;<>h6:<=1=kj<;%33`7<6l1o0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0346`>24595cb33-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8;<>h6:<=1=kj:;%33`7<6l1o0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0346`>24595cb13-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8;<>h6:<=1=kj8;%33`7<6l1o0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0346`>24595cb?3-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8;<>h6:<=1=kj6;%33`7<6l1o0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0346`>24595cbf3-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8;<>h6:<=1=kjm;%33`7<6l1o0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?73881/=?;::02:3>">9;0?97343-lm<7?;009m573028loo6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f765<3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;:;?k75=>0:jij4$02g6?479=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3237c?=5682bac<,8:o>7?k8d9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f765=3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;:;?k75=>0:jih4$02g6?479=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3237c?=5682b`6<,8:o>7?k8d9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f766>3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;:;?k75=>0:jh?4$02g6?479=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3237c?=5682b`4<,8:o>7?k8d9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f766?3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;:;?k75=>0:jh=4$02g6?479=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3237c?=5682b`2<,8:o>7?k8d9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f76603;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;:;?k75=>0:jh;4$02g6?479=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3237c?=5682b`0<,8:o>7?k8d9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f76613;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;:;?k75=>0:jh94$02g6?479=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3237c?=5682b`><,8:o>7?k8d9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f766i3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;:;?k75=>0:jh74$02g6?479=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3237c?=5682b`g<,8:o>7?k8d9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f766j3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;:;?k75=>0:jhl4$02g6?479=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3237c?=5682b`e<,8:o>7?k8d9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f766k3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;:;?k75=>0:jhj4$02g6?479=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vnh590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3237c?=5682b`c<,8:o>7?k8d9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f07ei3;187>50z&256`=18i0D<<2;665a=#0kk1;>5+fg2957df3g;99:4>fdd8 46c:3?;;=5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca288im6*>247955?03-3:>7::1e9'513`b?k75=>0:jk>4$02g6?37?91b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn9;?7;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45e82.:>8;511;4?!?6:3>>=i5+8cc93`d<,ol;6<=m0:l2601=9ol:7)??d3870`d6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=hn4>:583>5}#989m64?l;I317f=O98>87)hjf;30f7=#9;?>6<>67:&:57<3=8n0(5ln:6ga?!`a83;8n?5a1374>4`a:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd38<>1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3208 442=3;;5:5+9009007c3-2im79jb:&eb5<6;:80b<<:7;3eb6=#99n96>h:d:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0532280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>l9;%3110<680=0(4?=:572`>"?jh0346*if1827g0;7?if59'55b52:l>h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm15f6>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>?j;o3112<6no?0(<>k2;37g4=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn<:j7;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45f?2.:>8;511;4?!?6:3>>=i5+8cc93`d<,ol;6<=n7:l2601=9ol=7)??d3820f76753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;30e3=#9;?>6<>67:&:57<3=8n0(5ln:9:8 c`7289j:6`>24595c`03-;;h?4>4b38m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma45f=2d:>8951gd;?!77l;0:8n?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c37ad<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8m85+1376>46>?2.2=?4;50f8 =df2>oi7)hi0;30e0=i9;?<66`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl>4d`95?2=83:p(N6::i0D0(<<:5;33=2=#188188?k;%:ae?>?3-lm<7?0e0951e63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th:8hm51;694?6|,8;8j77>c:J266e<@8;??6*ieg827d2<,88>97??969'=44=<<;o7)6ma;5ff>"an90:?l:4n0063?7ank1/==j=:06`5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e9=oo6<4;:183!76;o02=n5G131`?M76<:1/jhh512c4?!75=<0:<494$831>136l2.3nl478:&eb5<6;h=0b<<:7;3ebf=#99n96<:l1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a51cb280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>o9;%3110<680=0(4?=:572`>"?jh0346*if1827d0;7?ife9'55b528>h=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm15g3>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9:k87)?=54824<1<,0;969;>d:&;fd3`18j442?3;mjh5+11f1>42d92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi=9k>:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956g43-;9984>0858 <752=?:h6*7b`8;<>"an90:?l=4n0063?7ano1/==j=:06`5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e9=o96<4;:183!76;o02=n5G131`?M76<:1/jhh512c1?!75=<0:<494$831>136l2.3nl478:&eb5<6;h80b<<:7;0345=#99n96<:l1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a51c4280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>o=;%3110<680=0(4?=:572`>"?jh0346*if1827d4;7h=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm15g7>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9:k:7)?=54824<1<,0;969;>d:&;fd3`38j442?38;42d92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi=9k::087>5<7s-;:?k461b9K575d3A;:8>5+fdd956g63-;9984>0858 <752=?:h6*7b`8;<>"an90:?l?4n0063?478:1/==j=:06`5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e9=o=6<4;:183!76;o02=n5G131`?M76<:1/jhh51211?!75=<0:<494$831>136l2.3nl478:&eb5<6;:80b<<:7;0341=#99n96<:l1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0=60280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:9::?k75=>09<=;4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?;o;51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c64f3<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=4b9m57302;:;;6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj==i;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?43k2d:>895212;?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm46`7>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?:l;o3112<58930(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3?k21=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc961e;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh098n5a1374>767j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f11e:3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;07g>h6:<=1>=>l;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8:o8:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;>h7c?=568145b<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm48d4>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?><;o3112<589l0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn99j3;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei388i6`>245965773-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0=>3280=6=4?{%327c<>9l1C=?=l;I3206=#nll1=?ki;%3110<680=0(4?=:572`>"?jh09?k5+fg2957ca3g;99:4=0038 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f16ci3;187>50z&256`=18i0D<<2;665a=#0kk1455+fg29516e3g;99:4=0008 46c:39m9i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7mm;o3112<58890(<>k2;1e1a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3;=<1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95cg;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03;95a1374>766=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1?ai3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:40>h6:<=1>=?9;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi84l?:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21=?7c?=5681441<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm46ge>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<:8;o3112<58830(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?>8d;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei329>6`>2459657f3-;;h?4=0068m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a651e280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:901?k75=>09<f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th9<:651;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'>4:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c0333<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47239m57302;::h6*>0e0965733`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;:<87?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>5:2d:>895213f?!77l;09<<:4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm2151>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj65<=;o3112<588l0(<>k2;0351=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd58>:1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<74;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03>?5a1374>76592.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f761?3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:16>h6:<=1>=<=;%33`7<588>0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>=8::084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21897c?=5681475<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:95+11f1>766<2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m81>=?;;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im76=2:l2601=:98=7)??d3814426753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:9?o6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=453g;99:4=0358 46c:38;=95f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=04a95?1=83:p(N6::i0D2;665a=#0kk14?<4n0063?47:11/==j=:3220>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`81e>h6:<=1>=<6;%33`7<3:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>87>:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;k0b<<:7;036d=#99n96?;86:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6:bf<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?=>5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;3756=i9;?<6?>=b:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;9g`95?1=83:p(N6::i0D2;665a=#0kk1>=64n0063?47:j1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`853>h6:<=1>=0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi85h;:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=no7c?=568147c<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:k5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=3i94>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3lm1e=?;8:3204>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7:kd:l2601=:99:7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<0;>6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?91bc3g;99:4=0208 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>c8795?0=83:p(N6::i0D0e095f1a3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1=;:4n0063?47;=1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c7152<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl48f09m57302;:896*>0e09176e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:o257?55;294~"69:l15N69=90(kki:01e3>"6:245965513-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm3b32>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6574n0063?47;>1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8?>m:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df283;7c?=568146><,8:o>7:=089j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj==i=7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4302d:>895211:?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi?h7j:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956?13-;9984>0858 <752=?:h6*7b`84ag=#no:1=>79;o3112<58:k0(<>k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj==2<7?55;294~"69:l15N69=90(kki:00b`>"6:2459655e3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm1b;b>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9=887)?=54824<1<,0;969;>d:&;fd<68m1/jk>51500?k75=>09<>m4$02g6?7d?o1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd580<1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3c68 442=3;;5:5+9009007c3-2im79jb:&eb5<6;k>0b<<:7;037a=#99n96?>>4:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a79l1C=?=l;I3206=#nll1=?o:;%3110<680=0(4?=:572`>"?jh039=5+fg2957g23g;99:4=02g8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f607=3;1:7>50z&256`=18o0D<<2;665a=#0kk148>4$gd3>44f<2d:>895211e?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd3ik81=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc961=i9;?<6?>;1:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?98e;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45f12.:>8;511;4?!?6:3>>=i5+8cc956?<,ol;6<=n9:l2601=:9>97)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;30`a=#9;?>6<>67:&:57<3=8n0(5ln:01:?!`a83;8hi5a1374>763;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd5?021=7:50;2x 474n33:o6F>22a8L473;2.mik4>3d08 442=3;;5:5+9009007c3-2im7?<9:&eb5<6;l80b<<:7;0301=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a02?0280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:23`?k75=>09<9;4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?;4651;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c64=<<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<1b9m57302;:?;6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj==297?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?56k2d:>895216;?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm46;b>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>?l;o3112<58=30(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3?kk1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc974e;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh08=n5a1374>763j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f11>;3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;12g>h6:<=1>=:l;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi84ki:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;=h7c?=568141b<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=2h57?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?40k2d:>895216f?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`813f=i9;?<6?>;f:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4`66>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?9l;o3112<58<;0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9o:1;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38245965353-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=j9i4>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5?j1e=?;8:3267>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd3i?31=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc962e;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a0d12280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:35`?k75=>09<8;4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7964:l2601=:9?=7)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<>o>6<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?967?3g;99:4=0458 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m81?k;k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<;e:l2601=:9?27)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<;>>6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?966;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh08=55a1374>762j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4e<@888o6F>1518 cca289h;6*>247955?03-3:>7::1e9'h6:<=1>=;l;%33`7<4n:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh02;6`>2459653c3-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a05c7280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>m8;%3110<680=0(4?=:572`>"?jh0:i;5+fg2956e03g;99:4=04g8 46c:39m9i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im778;o3112<58k2;1e1a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3i181=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<1g;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7043280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:b48j442?38;:<5+11f1>64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd2d:>8952141?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm43`0>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9;ki7)?=54824<1<,0;969;>d:&;fd<0i=1/jk>513ca?k75=>09<;=4$02g6?25801b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4mh>1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95f3;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74:o1/=?;::02:3>">9;0?94>13-lm<7?<2g9m57302;:=96*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?m5>51;794?6|,8;8j77>d:J266e<@8;??6*ieg826c3<,88>97??969'=44=<<;o7)6ma;:2a>"an90:>k;4n0063?47>?1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c6114<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>a19m57302;:=;6*>0e09076>3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj==n<7?55;294~"69:l15N69=90(kki:00e1>"6:2459650?3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm230:>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6nk4n0063?47>01/==j=:302b>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8`a>h6:<=1>=8n;%33`7<5;1o0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi85k>:085>5<7s-;:?k461d9K575d3A;:8>5+fdd956>f3-;9984>0858 <752=?:h6*7b`82ec=#no:1=>6n;o3112<58?h0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9olb;390?6=8r.:=>h590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38?;6`>2459650d3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`814<=i9;?<6?>9d:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn96>d;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39>:6`>2459650b3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0=`2280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6f5?k75=>09<;h4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?5k:51;494?6|,8;8j77>e:J266e<@8;??6*ieg827<5<,88>97??969'=44=<<;o7)6ma;:07>"an90:?4=4n0063?47?91/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi855<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2j90b<<:7;0334=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;j;96<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=533g;99:4=0608 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk14>:4n0063?47?:1/==j=:2`0`>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8;71=i9;?<6?>84:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>m>6;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32946`>245965123-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a62e1280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=><<;%3110<680=0(4?=:572`>"?jh0=?6*if182775;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:483>5}#989m64?k;I317f=O98>87)hjf;3066=#9;?>6<>67:&:57<3=8n0(5ln:718 c`72899?6`>245965103-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm26a0>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:897)?=54824<1<,0;969;>d:&;fd<1;2.mj=4>3308j442?38;;55+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma455:2d:>895215:?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi>:m?:086>5<7s-;:?k461e9K575d3A;:8>5+fdd9567c3-;9984>0858 <752=?:h6*7b`857>"an90:?o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c04fc<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;8><5+1376>46>?2.2=?4;50f8 =df2?90(kh?:0115>h6:<=1>=9m;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:>hn6<4::183!76;o02=i5G131`?M76<:1/jhh51202?!75=<0:<494$831>136l2.3nl493:&eb5<6;;;0b<<:7;033f=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?74:91/=?;::02:3>">9;0?935<,ol;6<==0:l2601=:9=o7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a522d280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:977?k75=>09<:k4$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th9;om51;794?6|,8;8j77>d:J266e<@8;??6*ieg82776<,88>97??969'=44=<<;o7)6ma;40?!`a83;8>=5a1374>760n2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289:j6*>247955?03-3:>7::1e9':1/jk>5123e?k75=>09<5>4$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd5?kk1=7;50;2x 474n33:h6F>22a8L473;2.mik4>30d8 442=3;;5:5+9009007c3-2im78<;%de4?749o1e=?;8:32;5>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn?9m9;391?6=8r.:=>h590f8L444k2B:=9=4$gge>456m2.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=>?j;o3112<58180(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?1/5<<5443g?!>ei3<87)hi0;305`=i9;?<6?>73:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj;=i97?55;294~"69:l15N69=90(kki:012f>"6:7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=7e695?3=83:p(N6::i0D0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f71c;3;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg29564?3g;99:4=0948 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9;i<51;794?6|,8;8j77>d:J266e<@8;??6*ieg82771<,88>97??969'=44=<<;o7)6ma;40?!`a83;8>:5a1374>76??2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289:h6*>247955?03-3:>7::1e9'h6:<=1>=67;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e:>i<6<4::183!76;o02=i5G131`?M76<:1/jhh5123`?!75=<0:<494$831>136l2.3nl471d9'bc6=9:;h7c?=56814=?<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=7b695?3=83:p(N6::i0D6m2.mj=4>30a8j442?38;4l5+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn?9m6;391?6=8r.:=>h590f8L444k2B:=9=4$gge>455?2.:>8;511;4?!?6:3>>=i5+8cc9<4c<,ol;6<==7:l2601=:92h7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a0=d?280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:94g?k75=>09<5j4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?58;51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'0b<<:7;03<`=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6:27<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=959m57302;:3j6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=3=j7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4><2d:>89521;3?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm23:;>4<1290;w)?>3g8:5`=O9;9h7E?>429'b``=9:9n7)?=54824<1<,0;969;>d:&;fd<3i2.mj=4>32g8j442?38;5<5+11f1>74?92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm203:>4<1290;w)?>3g8:5`=O9;9h7E?>429'b``=9:9o7)?=54824<1<,0;969;>d:&;fd<3i2.mj=4>32f8j442?38;5?5+11f1>77692c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm43`;>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>=4n0063?471:1/==j=:50a2>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`807>h6:<=1>=7;;%33`7<5;o:0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?::9:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=o27c?=56814<3<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3m01e=?;8:32:3>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4b<@888o6F>1518 cca2899:6*>247955?03-3:>7::1e9':1/jk>51205?k75=>09<464$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd30o31=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9`5=i9;?<6?>69:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:=93=1<7>t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=3nn4>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd89521;a?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`876`=i9;?<6?>6c:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn96ja;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3n;7c?=568147::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=2n57?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?25m2d:>89521;f?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm482a>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6i>4n0063?471o1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi84>n:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=8n7c?=56814d6<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;90c95?1=83:p(N6::i0D2;665a=#0kk18?k4n0063?47i;1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma455>2d:>89521c0?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi>:mi:086>5<7s-;:?k461e9K575d3A;:8>5+fdd956423-;9984>0858 <752=?:h6*7b`857>"an90:??;4n0063?47i=1/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c6471<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>689m57302;:j96*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f71dk3;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg2956423g;99:4=0`48 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9;n?51;794?6|,8;8j77>d:J266e<@8;??6*ieg82772<,88>97??969'=44=<<;o7)6ma;40?!`a83;8>95a1374>76f?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<;f:l2601=:9k37)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<>oo6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?91d03g;99:4=0`;8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;8b395?1=83:p(N6::i0D2;665a=#0kk1o45a1374>76fi2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1?203;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;a:?k75=>09f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?5;;51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7m6;o3112<58hn0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3022a8L473;2.:>8;511;4?!?6:3>>=i5+8cc974>;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=3:<4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4911e=?;8:32bb>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn9694;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39:46`>245965d73-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm4946>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9=;97)?=54824<1<,0;969;>d:&;fd<4911/jk>51531?k75=>09f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd30?81=7;50;2x 474n33:h6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc974>;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=39k4>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4911e=?;8:32a7>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn96:d;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39:46`>245965d33-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm497`>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>?7;o3112<58k?0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`805==i9;?<6?>m7:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=2<87?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?5602d:>89521`;?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi859>:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2:;37c?=56814g?<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;86795?3=83:p(N6::i0D2;665a=#0kk1?<64n0063?47jh1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c6;35<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<199m57302;:in6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f1>1n3;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;12<>h6:<=1>=ll;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<1<;6<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?967?3g;99:4=0cf8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?>>751;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'"68m818?>6;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4b<@888o6F>1518 442=3;;5:5+9009007c3-2im7=>8:l2601=:9hm7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a0=3?280>6=4?{%327c<>9m1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:23;?k75=>094$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd30<31=7;50;2x 474n33:h6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc974>;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=3994>:483>5}#989m64?k;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4911e=?;8:32`6>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn96:a;391?6=8r.:=>h590f8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39:46`>245965e43-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm4954>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6>?7;o3112<58j>0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`805==i9;?<6?>l6:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj<8:87?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>3?2d:>89521a4?!77l;0>>=l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`84g0=i9;?<6?>l8:&24a4=;k9o7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9>k7;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=j<6`>245965e>3-;;h?4!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a05ea280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:95;?k75=>09f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?>9j51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c032`<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<3:l2601=:9ih7)??d3814426753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e9<:36<49:183!76;o02=h5G131`?M76<:1/jhh512;4?!75=<0:<494$831>136l2.3nl47409'bc6=9:3<7c?=56814fb<,8:o>7?;c09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj;:287?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4f3g;99:4=0bg8 46c:38;=95f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;8g`95?0=83:p(N6::i0D2.mj=4>3828j442?38;ok5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm49g`>4<1290;w)?>3g8:5`=O9;9h7E?>429'b``=9:9h7)?=54824<1<,0;969;>d:&;fd<69?1/jk>5121`?k75=>094$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7?>6:l2601=:9n:7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:=;5a1374>76c:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th9>5>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'f:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e::om6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?914c3g;99:4=0e68 46c:3884h5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f71dm3;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg2956433g;99:4=0e78 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th9;nj51;794?6|,8;8j77>d:J266e<@8;??6*ieg8274g<,88>97??969'=44=<<;o7)6ma;40?!`a83;8=l5a1374>76c>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7?<9:l2601=:9n<7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;30`f=#9;?>6<>67:&:57<3=8n0(5ln:01:?!`a83;8hn5a1374>76c02.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd5?031=7:50;2x 474n33:o6F>22a8L473;2.mik4>3d38 442=3;;5:5+9009007c3-2im7?<9:&eb5<6;l;0b<<:7;03`<=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a62ee280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>?n;%3110<680=0(4?=:572`>"?jh0=?6*if18274g;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5"68m81?o=k;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4e<@888o6F>1518 cca289j56*>247955?03-3:>7::1e9'h6:<=1>=jl;%33`7<5=lk0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74lj1/=?;::02:3>">9;0?945>3-lm<7?0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9;4o51;694?6|,8;8j77>c:J266e<@8;??6*ieg827`7<,88>97??969'=44=<<;o7)6ma;30=>"an90:?h?4n0063?47ll1/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e:>ij6<4::183!76;o02=i5G131`?M76<:1/jhh5123:?!75=<0:<494$831>136l2.3nl493:&eb5<6;830b<<:7;03`c=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74lk1/=?;::02:3>">9;0?945>3-lm<7?0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th9;4951;694?6|,8;8j77>c:J266e<@8;??6*ieg827`6<,88>97??969'=44=<<;o7)6ma;30=>"an90:?h>4n0063?47m81/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e:>=o6<4;:183!76;o02=n5G131`?M76<:1/jhh512;f?!75=<0:<494$831>136l2.3nl4>389'bc6=9:3n7c?=56814`4<,8:o>7<:e`9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f71093;187>50z&256`=18i0D<<2;665a=#0kk1=>74$gd3>45c?2d:>89521g0?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c0437<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8ho5+1376>46>?2.2=?4;50f8 =df28927)hi0;30`g=i9;?<6?>j4:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl=78795?2=83:p(N6::i0D3ec8j442?38;i85+11f1>73bi2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi>:79:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956c73-;9984>0858 <752=?:h6*7b`827<=#no:1=>k?;o3112<58l<0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj;=N69=90(kki:01:a>"6:245965c03-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?i94$0061?771>1/5<<5443g?!>ei3;856*if1827a1;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm264f>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9:n27)?=54824<1<,0;969;>d:&;fd<6;01/jk>512f:?k75=>09f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn?964;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45ci2.:>8;511;4?!?6:3>>=i5+8cc956?<,ol;6<=ka:l2601=:9oi7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;30`c=#9;?>6<>67:&:57<3=8n0(5ln:01:?!`a83;8hk5a1374>76bk2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd5?191=7:50;2x 474n33:o6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc956?;7!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm26:1>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9:k37)?=54824<1<,0;969;>d:&;fd<6;01/jk>512c;?k75=>09f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn?989;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45c12.:>8;511;4?!?6:3>>=i5+8cc956?<,ol;6<=k9:l2601=:9om7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;30`==#9;?>6<>67:&:57<3=8n0(5ln:01:?!`a83;8h55a1374>76a82.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd5?0n1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3ed8 442=3;;5:5+9009007c3-2im7?<9:&eb5<6;ml0b<<:7;03b4=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a62?d280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>jj;%3110<680=0(4?=:572`>"?jh0:?45+fg2956bb3g;99:4=0g08 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7?<9:l2601=:9l87)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=:583>5}#989m64?l;I317f=O98>87)hjf;30`==#9;?>6<>67:&:57<3=8n0(5ln:01:?!`a83;8h55a1374>76a<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd5?0h1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3eg8 442=3;;5:5+9009007c3-2im7?<9:&eb5<6;mo0b<<:7;03b0=#99n96?;ja:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0=7a280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:628j442?38;j;5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4923>4<1290;w)?>3g8:5`=O9;9h7E?>429'b``=9:3i7)?=54824<1<,0;969;>d:&;fd<6i?1/jk>512;a?k75=>09f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<8d:l2601=:9l37)??d380f6b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;j::6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92e43g;99:4=0g;8 46c:39i?i5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=43295?3=83:p(N6::i0D0e0961713`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f71d03;197>50z&256`=18n0D<<9:&2603=993<7)7>2;665a=#0kk1:>5+fg29567>3g;99:4=0g`8 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th:9=:51;794?6|,8;8j77>d:J266e<@8;??6*ieg826dg<,88>97??969'=44=<<;o7)6ma;:1?!`a83;9ml5a1374>76ak2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca288jm6*>247955?03-3:>7::1e9':1/jk>513cb?k75=>09f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd5mhl1=7:50;2x 474n33:o6F>22a8L473;2.mik4>3`28 442=3;;5:5+9009007c3-2im79<;%de4?74i91e=?;8:32ea>"68m81>n:=;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0967>63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;;:j7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4f3g;99:4=1128 46c:38:=<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<8e695?2=83:p(N6::i0D?3-lm<7?0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th84ik51;694?6|,8;8j77>c:J266e<@8;??6*ieg827de<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8mn5a1374>777:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd4=;31=7:50;2x 474n33:o6F>22a8L473;2.mik4>3``8 442=3;;5:5+9009007c3-2im767;%de4?74ik1e=?;8:3337>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:?lo4$0061?771>1/5<<5443g?!>ei3237)hi0;30ed=i9;?<6???4:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl<53g95?2=83:p(N6::i0D?3-lm<7?0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th8=5:51;694?6|,8;8j77>c:J266e<@8;??6*ieg827dg<,88>97??969'=44=<<;o7)6ma;:;?!`a83;8ml5a1374>777>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3i9i1=7;50;2x 474n33:h6F>22a8L473;2.mik4>3548 442=3;;5:5+9009007c3-2im7<;8:&eb5<6;=<0b<<:7;0242=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh077702.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1g613;197>50z&256`=18n0D<<2;665a=#0kk1>964$gd3>453=2d:>895202:?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi8l?7:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>no7c?=568155g<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?9:4$0061?771>1/5<<5443g?!>ei38?46*if182712;7<>0c9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=2o<4>:483>5}#989m64?k;I317f=O98>87)hjf;3006=#9;?>6<>67:&:57<3=8n0(5ln:36;?!`a83;88>5a1374>777k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=:8:o7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<0in6<4::183!76;o02=i5G131`?M76<:1/jhh51261?!75=<0:<494$831>136l2.3nl4=499'bc6=9:>97c?=568155c<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;9bf95?1=83:p(N6::i0D2;665a=#0kk1;ij4n0063?468o1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0>0:684?:1y'545a20;o7E?=3b9K54243-lnj7?<409'573228:2;6*6138714b<,1hj6?:7;%de4?74<81e=?;8:3324>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn9776;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=oh6`>245964763-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a06=4?{%327c<>9m1C=?=l;I3206=#nll1=>:?;%3110<680=0(4?=:572`>"?jh09855+fg2956273g;99:4=1008 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?54=51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c6:e7<62<0;6=u+101e><7c3A;9?n5G1060?!`bn3;8?k5+1376>46>?2.2=?4;50f8 =df2;>37)hi0;307c=i9;?<6??>4:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=3j=7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1cl2d:>8952036?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm38d0>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:==7)?=54824<1<,0;969;>d:&;fd<0k01/jk>51255?k75=>09=<84$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd41o81=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<4g;7<>169'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7<`6280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>9>;%3110<680=0(4?=:572`>"?jh07>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th85k>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;h:>6<4::183!76;o02=i5G131`?M76<:1/jhh51255?!75=<0:<494$831>136l2.3nl48c89'bc6=9:==7c?=568154g<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?l><:086>5<7s-;:?k461e9K575d3A;:8>5+fdd956123-;9984>0858 <752=?:h6*7b`84g<=#no:1=>9:;o3112<598i0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm3`22>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:=>7)?=54824<1<,0;969;>d:&;fd<0k01/jk>51256?k75=>09=f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4i9:1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<4g;7<>1g9'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7<`a280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>9;;%3110<680=0(4?=:572`>"?jh07>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th85kk51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;0lo6<4::183!76;o02=i5G131`?M76<:1/jhh51257?!75=<0:<494$831>136l2.3nl48c89'bc6=9:=?7c?=5681574<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<9ga95?0=83:p(N6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?4hm:086>5<7s-;:?k461e9K575d3A;:8>5+fdd956143-;9984>0858 <752=?:h6*7b`84g<=#no:1=>9<;o3112<59;>0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:85+11f1>602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm38d:>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:=;7)?=54824<1<,0;969;>d:&;fd<0k01/jk>51253?k75=>09=?84$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd41o21=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<4g;7<>269'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7<`0280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>9<;%3110<680=0(4?=:572`>"?jh07>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th85k851;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;0l>6<4::183!76;o02=i5G131`?M76<:1/jhh51252?!75=<0:<494$831>136l2.3nl48c89'bc6=9:=:7c?=568157g<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<9g695?0=83:p(N6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?4ki:086>5<7s-;:?k461e9K575d3A;:8>5+fdd956173-;9984>0858 <752=?:h6*7b`84g<=#no:1=>9?;o3112<59;i0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:i5+11f1>602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm3714>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:d:&;fd<0k01/jk>5124e?k75=>09=?k4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4>:<1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<4g;7<>2g9'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7352280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>8:;%3110<680=0(4?=:572`>"?jh07>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8:>:51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;?>26<4::183!76;o02=i5G131`?M76<:1/jhh5124e?!75=<0:<494$831>136l2.3nl48c89'bc6=9:7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<65:95?0=83:p(N6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?;:8:086>5<7s-;:?k461e9K575d3A;:8>5+fdd9560b3-;9984>0858 <752=?:h6*7b`84g<=#no:1=>8j;o3112<59:>0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm3766>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:d:&;fd<0k01/jk>5124f?k75=>09=>84$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4>=>1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<4g;7<>369'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7324280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>8k;%3110<680=0(4?=:572`>"?jh07>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8:9<51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;?>:6<4::183!76;o02=i5G131`?M76<:1/jhh5124g?!75=<0:<494$831>136l2.3nl48c89'bc6=9:7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<65295?0=83:p(N6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?;=i:086>5<7s-;:?k461e9K575d3A;:8>5+fdd9560d3-;9984>0858 <752=?:h6*7b`84g<=#no:1=>8l;o3112<59:i0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm371g>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:d:&;fd<0k01/jk>5124a?k75=>09=>k4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4>:i1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<4g;7<>3g9'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a735e280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>8l;%3110<680=0(4?=:572`>"?jh07>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8:>o51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;?926<4::183!76;o02=i5G131`?M76<:1/jhh51246?!75=<0:<494$831>136l2.3nl48c89'bc6=9:<>7c?=5681514<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<62:95?0=83:p(N6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?;=<:086>5<7s-;:?k461e9K575d3A;:8>5+fdd9560e3-;9984>0858 <752=?:h6*7b`84g<=#no:1=>8m;o3112<59=>0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm33:g>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:d:&;fd<0k01/jk>5124b?k75=>09=984$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4:1i1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<4g;7<>469'55b52;omi6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a77>e280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>8;;%3110<680=0(4?=:572`>"?jh07>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8>5o51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;;3m6<4::183!76;o02=i5G131`?M76<:1/jhh5124b?!75=<0:<494$831>136l2.3nl48c89'bc6=9:78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<28g95?0=83:p(N6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi??7k:086>5<7s-;:?k461e9K575d3A;:8>5+fdd9560>3-;9984>0858 <752=?:h6*7b`84g<=#no:1=>86;o3112<59=i0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm33;a>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:<27)?=54824<1<,0;969;>d:&;fd<0k01/jk>5124:?k75=>09=9k4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4:0k1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<4g;7<>4g9'55b52;omi6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a77?>280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>87;%3110<680=0(4?=:572`>"?jh07>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8>4651;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;;3<6<4::183!76;o02=i5G131`?M76<:1/jhh5124;?!75=<0:<494$831>136l2.3nl48c89'bc6=9:<37c?=5681504<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<28495?0=83:p(N6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi??7::086>5<7s-;:?k461e9K575d3A;:8>5+fdd956003-;9984>0858 <752=?:h6*7b`84g<=#no:1=>88;o3112<59<>0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm33;0>4<2290;w)?>3g8:5a=O9;9h7E?>429'b``=9:<=7)?=54824<1<,0;969;>d:&;fd<0k01/jk>51245?k75=>09=884$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4:081=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<4g;7<>569'55b52;omi6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a77?6280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>88;%3110<680=0(4?=:572`>"?jh07>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8>4>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;;2m6<4::183!76;o02=i5G131`?M76<:1/jhh51247?!75=<0:<494$831>136l2.3nl48c89'bc6=9:78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<29g95?0=83:p(N6::i0D2;665a=#0kk14o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi??66:086>5<7s-;:?k461e9K575d3A;:8>5+fdd956013-;9984>0858 <752=?:h6*7b`84g<=#no:1=>89;o3112<59k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:=93<1<7>t$030b??6m2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm483:>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:h=;o3112<59k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn97>8;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32:=6`>2459643a3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a0<6>280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:6d1?k75=>09=;>4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im76>1:l2601=:8<:7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<1o36<49:183!76;o02=h5G131`?M76<:1/jhh513`;?!75=<0:<494$831>136l2.3nl48f39'bc6=9;h37c?=5681534<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=2n;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>692d:>8952040?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4`3a>4<2290;w)?>3g8:5a=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma0858 <752=?:h6*7b`8165=i9;?<6??96:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj=3o<7?55;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4582d:>8952044?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=`1c32wi84mi:086>5<7s-;:?k461e9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;9j7c?=568153><,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl;9b195?3=83:p(N6::i0D2;665a=#0kk1>?>4n0063?46>01/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c6:g7<62<0;6=u+101e><7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=3`9m57302;;=m6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f1?>03;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;014>h6:<=1><8m;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<03<6<4::183!76;o02=i5G131`?M76<:1/=?;::02:3>">9;0?975f3g;99:4=17a8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th?55l51;794?6|,8;8j77>d:J266e<@8;??6*ieg82033<,88>97??969'=44=<<;o7)6ma;014>"an90:8;;4n0063?46>m1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c6:<7c3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=3`9m57302;;=i6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f1?0k3;197>50z&256`=18n0D<<97??969'=44=<<;o7)6ma;014>h6:<=1><8i;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<;>86<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=>13g;99:4=1628 46c:3>9<45f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;25095?1=83:p(N6::i0D2;665a=#0kk1>n5a1374>77092.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6g113;187>50z&256`=1>20D<<97786:J24<5<@1h:7)7>2;665a=i9;?<6??82:&24a4=9l9i7d6n1;29 442=32jo65f8`094?"6:0`a8?jd?j3:1(<<:5;g4`>=zj:k=m7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?1cl2d:>8952050?!77l;0:i>l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3`4a>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:o=;o3112<59>>0(<>k2;3f7g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn>o:1;390?6=8r.:=>h596:8L444k2B:=9=4$0061??0>2B:<4=4H9`2?!?6:3>>=i5a1374>770=2.:f93:1(<<:5;:bg>=n0h81<7*>247912d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=:8==7)??d382a6d6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;h?86<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?92g53g;99:4=1658 46c:3;n?o5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f11al3;187>50z&256`=1>20D<<97786:J24<5<@1h:7)7>2;665a=i9;?<6??88:&24a4=<<8:7d6n1;29 442=32jo65f8`094?"6:0`a8?jd?j3:1(<<:5;g4`>=zj==mi7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?233g;99:4=16;8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;7gd95?1=83:p(N6::i0D2;665a=#0kk145=4n0063?46?h1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma;7<>7c9'55b52<8;n6g7a083>!75=<03mn54i9c1>5<#9;?>65ok;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6l<1e=?;8:334g>"68m819?>m;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im79n2:l2601=:8=o7)??d38665d6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31101<729q/=<=i:85;?M75;j1C=<:<;%3110<>??1C==7<;I:a5>">9;0?9o?i80;6)?=548;ef==gc32c:!75=<0n;i54}c04a4<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>749m57302;;0e0960cf3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;=n>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?75m2d:>89520:3?!77l;099ho4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3b4g>4<3290;w)?>3g8:3==O9;9h7E?>429'573220==7E??929Kd:l2601=:82:7)??d380f6b=gd32c3m?4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7f0b280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:93;?k75=>09=5<4$02g6?5e;m1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8o;h51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'lo?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e9j<>6<4;:183!76;o02;55G131`?M76<:1/=?;::855?M771:1C4o?4$831>136l2d:>89520:7?!77l;0:9i64i9c2>5<#9;?>65ol;:k;e7<72-;99847ae98m46f03:1(<<:5;33ef=`1c32wi=n89:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>no7c?=56815=3<,8:o>7?:d99j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:43c02c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=k4>:583>5}#989m6497;I317f=O98>87)?=548:33=O99387E6m1:&:57<3=8n0b<<:7;02<2=#99n96>h=3:k;e4<72-;99847ab98m=g5290/=?;::9cg?>o68h21<7*>247955gd32ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh077?02.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f6`4:3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;5b6>h6:<=1><66;%33`7<4n;90e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c0aa7<62=0;6=u+101e><1?3A;9?n5G1060?!75=<02;;5G11;0?M>e92.2=?4;50f8j442?38:4l5+11f1>73bi2c3m<4?:%31100`:94?"6:0858 <752=?:h6*7b`8;35=i9;?<6??7b:&24a4=:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?lj4;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32245964>d3-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a53`1280?6=4?{%327c<>?11C=?=l;I3206=#9;?>6499;I33=6=O0k;0(4?=:572`>h6:<=1><6k;%33`7<6=m20e5o>:18'573221kh76g7a383>!75=<03mi54i02b97??ab98kg>e290/=?;::d5g?>{e9?l<6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?94g23g;99:4=19g8 46c:3;>h55f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f40a03;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;g2?k75=>09=5h4$02g6?72l11b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th??8=51;694?6|,8;8j7788:J266e<@8;??6*>2479=20<@8:2?6F7b09'=44=<<;o7c?=56815<6<,8:o>7:=e59j8;58`a8?l>f:3:1(<<:5;:b`>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;34695?1=83:p(N6::i0D2;665a=#0kk1855a1374>77>92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f152=3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;05f>h6:<=1><7=;%33`7<3:l>0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8>;8:087>5<7s-;:?k46799K575d3A;:8>5+1376><113A;;5>5G8c38 <752=?:h6`>245964?43-;;h?4;2d68m=g6290/=?;::9c`?>o?i;0;6)?=548;ea=5$0061?77ij10co6m:18'57322l=o76sm39f4>4<3290;w)?>3g8:3==O9;9h7E?>429'573220==7E??929Kd:l2601=:83?7)??d380200=gd32c3m?4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7=b?280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:7:8j442?38:585+11f1>602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3>2d:>89520;5?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm39f2>4<3290;w)?>3g8:3==O9;9h7E?>429'573220==7E??929Kd:l2601=:83<7)??d380200=gd32c3m?4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a7=b5280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:940?k75=>09=464$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th84i=51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<>l36<4;:183!76;o02;55G131`?M76<:1/=?;::855?M771:1C4o?4$831>136l2d:>89520;b?!77l;0?9??4i9c2>5<#9;?>65ol;:k;e7<72-;99847ae98m46f03:1(<<:5;33ef=`1c32wi8:h6:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=8j7c?=568157::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)hjf;31=0=#9;?>6<>67:&:57<3=8n0(5ln:23a?!`a83;9585a1374>77>l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th9:5:51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c601d<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>0`9m57302;;2j6*>0e0907c33`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=:h:7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7bj2d:>89520c3?!77l;08j8j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm41fg>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;1e1a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd59kn1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95d6;7<>a39'55b52;;8>6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:m=5a1374>77f;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f7g1m3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:47>h6:<=1>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi>l8l:086>5<7s-;:?k461e9K575d3A;:8>5+fdd951413-;9984>0858 <752=?:h6*7b`8;4d=#no:1=9<9;o3112<59h?0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:4c5l2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=?<84>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd89520c4?!77l;0??k?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm25fb>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6nj4n0063?46i11/==j=:36`2>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8;4g=i9;?<6??n9:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=3387?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?g?3g;99:4=1``8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;92395?1=83:p(N6::i0D2;665a=#0kk1;5k4n0063?46ij1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8g0>h6:<=1>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi85l::084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21>h7c?=56815dc<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:8;h4$0061?771>1/5<<5443g?!>ei3;=?6*if18203`;7<>ag9'55b52;?nm6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm2`7g>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj65?6;o3112<59k:0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3;=>1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc95cg;7<>b09'55b52=8n86g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%3110a482>2<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:h95a1374>77e:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1>c93;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;:56>h6:<=1>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi84;m:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21<97c?=56815g2<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:=93=1<7>t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=2;84>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fdm1e=?;8:33a2>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im767b:l2601=:8h<7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e=;136l2.3nl4ma:&eb5<6:080b<<:7;02f==#99n968o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?751;1/=?;::02:3>">9;0?9=4<,ol;6<<62:l2601=:8h27)??d38665d6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54oc:a>5<#9;?>6h9k;:a17?0280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>"?jh0346*if18277e;7<>b`9'55b52<83h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm50:;>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6884n0063?46jk1/==j=:4244>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?h:7:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df28o27c?=56815ge<,8:o>7=m3e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>lj4$0061?771>1/5<<5443g?!>ei32;o6*if1826db;7<>be9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd89520`f?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`8`<>h6:<=1>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c12b0<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4l8:l2601=:8i;7)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094i5a1374>77d92.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th?8>>51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<=9:6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?97>c3g;99:4=1b18 46c:3>?7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f125:3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;0;`>h6:<=1>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c07b2<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=8e9m57302;;h96*>0e0961c63`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl=51495?0=83:p(N6::i0D2;665a=#0kk1>5j4n0063?46k?1/==j=:36f5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi>8>::085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2o7c?=56815f1<,8:o>7<;e09j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj;>m47?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4?l2d:>89520a;?!77l;098h?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`816`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:72b92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm4507>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6k;o3112<59jh0(<>k2;674f=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?:ia;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383h6`>245964ed3-;;h?4=4d38m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=?>84>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50m1e=?;8:33``>"68m8189>l;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd522a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=b;7<>cd9'55b52;>n=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a01`2280>6=4?{%327c<>9m1C=?=l;I3206=#nll1=>?9;%3110<680=0(4?=:572`>"?jh03=h5+fg2956713g;99:4=1bd8 46c:3>?io5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th99no51;794?6|,8;8j77>d:J266e<@8;??6*ieg8274><,88>97??969'=44=<<;o7)6ma;:2a>"an90:?<64n0063?46l91/==j=:37`4>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c6763<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=8e9m57302;;o=6*>0e09016d3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl=4ga95?0=83:p(N6::i0D2;665a=#0kk1>5j4n0063?46l;1/==j=:36f5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi89<8:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2o7c?=56815a5<,8:o>7:;0b9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj;>mh7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4?l2d:>89520f7?!77l;098h?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`816`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:72b92c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm450b>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6k;o3112<59m=0(<>k2;674f=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn?:if;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383h6`>245964b?3-;;h?4=4d38m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=?>o4>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50m1e=?;8:33g=>"68m8189>l;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd5=9:1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=b;7<>d`9'55b52;>n=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a014d280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3:g?k75=>09=il4$02g6?238j1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im7<7d:l2601=:8nh7)??d3810`76753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094i5a1374>77cl2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th99=<51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e<=8m6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?97>c3g;99:4=1ed8 46c:3>?7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f737<3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;0;`>h6:<=1>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c676`<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=8e9m57302;;n=6*>0e09016d3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl=51195?0=83:p(N6::i0D2;665a=#0kk1>5j4n0063?46m;1/==j=:36f5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi89h;:086>5<7s-;:?k461e9K575d3A;:8>5+fdd956713-;9984>0858 <752=?:h6*7b`8;5`=#no:1=>?9;o3112<59l90(<>k2;67ag=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?<64$0061?771>1/5<<5443g?!>ei32:i6*if18274>;7<>e59'55b52;?h<6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>ie0k0;6)?=548f3a=?j:4>:483>5}#989m64?k;I317f=O98>87)hjf;3050=#9;?>6<>67:&:57<3=8n0(5ln:93f?!`a83;8=85a1374>77b=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289:;6*>247955?03-3:>7::1e9'h6:<=1>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<=l=6<4::183!76;o02=i5G131`?M76<:1/jhh51236?!75=<0:<494$831>136l2.3nl471d9'bc6=9:;>7c?=56815`1<,8:o>7:;ec9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl=5b`95?3=83:p(N6::i0D6m2.mj=4>3058j442?38:i55+11f1>73d82c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma"68m818ljm;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd6nm21=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<6`;7<>e`9'55b528l956g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a5cbc280=6=4?{%327c<>9l1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:91e?k75=>09=hl4$02g6?7a:01b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07bl7b;29 442=3o3:112d9=4c<@888o6F>1518 442=3;;5:5+9009007c3-2im766753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31103<729q/=<=i:83f?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03?k5a1374>77bl2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=hj1h1<7*>2479a2b<3th:jh851;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e=;h36<49:183!76;o02=h5G131`?M76<:1/jhh5120`?!75=<0:<494$831>136l2.3nl48d89'bc6=9:8h7c?=56815``<,8:o>7;=8e9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=>h97?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>6>2d:>89520d3?!77l;0?8l?4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm24;b>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj65?9;o3112<59o;0(<>k2;0633=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd6no81=7850;2x 474n33:i6F>22a8L473;2.mik4>41c8 442=3;;5:5+9009007c3-2im7?84:&eb5<6<9k0b<<:7;02b7=#99n96o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e:h=>6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?9=5a3g;99:4=1g18 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;n`;f?6=,88>97k8d:9~f7g0;3;1:7>50z&256`=18o0D<<97??969'=44=<<;o7)6ma;:0b>h6:<=1>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76am8c83>!75=<0n;i54}c6:=a<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4n8:l2601=:8l>7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;0?86<4::183!76;o02=i5G131`?M76<:1/jhh512:e?!75=<0:<494$831>136l2.3nl48c79'bc6=9:2m7c?=56815c0<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<5`195?3=83:p(N6::i0D2.mj=4>39g8j442?38:j:5+11f1>64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:ma"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn?<=7;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32>56`>245964`>3-;;h?4=20d8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd"68m81>>6j;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd40021=7850;2x 474n33:i6F>22a8L473;2.mik4>2`:8 442=3;;5:5+9009007c3-2im76:2:&eb5<6:h20b<<:7;02bg=#99n96>8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e;=oj6<49:183!76;o02=h5G131`?M76<:1/jhh513c4?!75=<0:<494$831>136l2.3nl47539'bc6=9;k<7c?=56815ce<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj:;?<7?56;294~"69:l15N69=90(kki:00b2>"6:245964`c3-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6?;1e=?;8:33ea>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?82:l2601=:8lm7)??d3802006753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;>>h6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94153g;99:4=2128 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<7eg95?0=83:p(N6::i0D2:2.mj=4>2`08j442?389<<5+11f1>602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm32;f>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<9=;o3112<5:980(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4;h:1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9524;7<=029'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:;?5a1374>747<2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f62483;1:7>50z&256`=18o0D<<2;665a=#0kk148<4$gd3>44f92d:>8952326?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`8237=i9;?<6?6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn?hi2;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;<>6`>245967603-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a6c`3280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:051?k75=>09>=64$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8<5851;494?6|,8;8j77>e:J266e<@8;??6*ieg826d6<,88>97??969'=44=<<;o7)6ma;:66>"an90:>l>4n0063?45801/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8i>k:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df21;;7c?=568165g<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?=64$0061?771>1/5<<5443g?!>ei3<87)hi0;304==i9;?<6?6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:=nn7?55;294~"69:l15N69=90(kki:013<>"6:7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<7dc95?3=83:p(N6::i0D0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f61b03;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg2956603g;99:4=21g8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8;h951;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9':1e=?;8:303b>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>9j6;391?6=8r.:=>h590f8L444k2B:=9=4$gge>457>2.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=>>9;o3112<5:8:0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?=84$0061?771>1/5<<5443g?!>ei3<87)hi0;3043=i9;?<6?<>1:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:=n87?55;294~"69:l15N69=90(kki:0131>"6:7c?=5681644<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<7d195?3=83:p(N6::i0D0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f61b:3;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg2956633g;99:4=2068 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8;h?51;794?6|,8;8j77>d:J266e<@8;??6*ieg82752<,88>97??969'=44=<<;o7)6ma;40?!`a83;8<95a1374>746=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289;?6*>247955?03-3:>7::1e9':1/jk>51220?k75=>09><84$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4?ml1=7;50;2x 474n33:h6F>22a8L473;2.mik4>3118 442=3;;5:5+9009007c3-2im78<;%de4?748:1e=?;8:3023>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>9kd;391?6=8r.:=>h590f8L444k2B:=9=4$gge>44f:2.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=?o=;o3112<5:820(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?<:4$0061?771>1/5<<5443g?!>ei3<87)hi0;3051=i9;?<6?<>9:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:=m>7?55;294~"69:l15N69=90(kki:0120>"6:7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<7g395?3=83:p(N6::i0D0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f61a83;197>50z&256`=18n0D<<3:&2603=993<7)7>2;665a=#0kk1:>5+fg2956743g;99:4=20a8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8;hh51;794?6|,8;8j77>d:J266e<@8;??6*ieg82744<,88>97??969'=44=<<;o7)6ma;40?!`a83;8=?5a1374>746l2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289:>6*>247955?03-3:>7::1e9':1/jk>51231?k75=>09>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4?ln1=7;50;2x 474n33:h6F>22a8L473;2.mik4>3038 442=3;;5:5+9009007c3-2im78<;%de4?74981e=?;8:302b>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>9j9;391?6=8r.:=>h590f8L444k2B:=9=4$gge>45692.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=>?>;o3112<5:;:0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?=<4$0061?771>1/5<<5443g?!>ei3<87)hi0;3047=i9;?<6?<=1:&24a4=;;kh7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:>8h7?55;294~"69:l15N69=90(kki:0136>"6:7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<42a95?3=83:p(N6::i0D0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f624i3;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg2956663g;99:4=2368 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th88>751;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9':1e=?;8:3011>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>:<8;391?6=8r.:=>h590f8L444k2B:=9=4$gge>45782.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=>>?;o3112<5:;<0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?=>4$0061?771>1/5<<5443g?!>ei3<87)hi0;3045=i9;?<6?<=7:&24a4=;;kh7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:>8:7?55;294~"69:l15N69=90(kki:00eb>"6:<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<42795?3=83:p(N6::i0D0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f624<3;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg2957`b3g;99:4=23c8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th88>=51;794?6|,8;8j77>d:J266e<@8;??6*ieg826cc<,88>97??969'=44=<<;o7)6ma;40?!`a83;9jh5a1374>745j2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca288mh6*>247955?03-3:>7::1e9':1/jk>513dg?k75=>09>?m4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4<:;1=7;50;2x 474n33:h6F>22a8L473;2.mik4>2gf8 442=3;;5:5+9009007c3-2im78<;%de4?75nm1e=?;8:301`>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>:=f;391?6=8r.:=>h590f8L444k2B:=9=4$gge>44f92.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=?o>;o3112<5:;o0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?<>4$0061?771>1/5<<5443g?!>ei3<87)hi0;3055=i9;?<6?<=f:&24a4=;;kh7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj:>?87?55;294~"69:l15N69=90(kki:0124>"6:7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<45195?3=83:p(N6::i0D0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f623:3;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg29566a3g;99:4=2208 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th889?51;794?6|,8;8j77>d:J266e<@8;??6*ieg8275c<,88>97??969'=44=<<;o7)6ma;40?!`a83;8744;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289;i6*>247955?03-3:>7::1e9':1/jk>5122f?k75=>09>>:4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd4<:l1=7;50;2x 474n33:h6F>22a8L473;2.mik4>31f8 442=3;;5:5+9009007c3-2im78<;%de4?748m1e=?;8:3001>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>:h590f8L444k2B:=9=4$gge>457l2.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=>>k;o3112<5::<0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>km4$0061?771>1/5<<5443g?!>ei3<87)hi0;31bf=i9;?<6?<<7:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj::2?7?55;294~"69:l15N69=90(kki:00eg>"6:<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<08095?3=83:p(N6::i0D0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f66>83;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg2957`e3g;99:4=22c8 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8<5h51;794?6|,8;8j77>d:J266e<@8;??6*>247955?03-3:>7::1e9':1e=?;8:300f>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>>7e;391?6=8r.:=>h590f8L444k2B:=9=4$gge>44ai2.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=?hn;o3112<5::i0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:>ko4$0061?771>1/5<<5443g?!>ei3<87)hi0;31bd=i9;?<6?<6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj::3o7?55;294~"69:l15N69=90(kki:00e=>"6:78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<09`95?3=83:p(N6::i0D0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f66?i3;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg2957`?3g;99:4=2528 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8<5751;794?6|,8;8j77>d:J266e<@8;??6*ieg826c><,88>97??969'=44=<<;o7)6ma;40?!`a83;9j55a1374>74392.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca288m;6*>247955?03-3:>7::1e9':1/jk>513d4?k75=>09>9<4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd481=1=7;50;2x 474n33:h6F>22a8L473;2.mik4>2g58 442=3;;5:5+9009007c3-2im78<;%de4?75n>1e=?;8:3077>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>>75;391?6=8r.:=>h590f8L444k2B:=9=4$gge>44f82.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=?o?;o3112<5:=>0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6l2B:>>m4H0377>"amo0:?=m4$0061?771>1/5<<5443g?!>ei3<87)hi0;304f=i9;?<6?<;5:&24a4=:lln7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?jd?j3:1(<<:5;g4`>=zj::2m7?55;294~"69:l15N69=90(kki:013g>"6:78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<08;95?3=83:p(N6::i0D0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f66>03;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg29566e3g;99:4=25:8 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8<4951;794?6|,8;8j77>d:J266e<@8;??6*ieg8275g<,88>97??969'=44=<<;o7)6ma;40?!`a83;874312.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289;m6*>247955?03-3:>7::1e9':1/jk>5122b?k75=>09>9o4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd480?1=7;50;2x 474n33:h6F>22a8L473;2.mik4>31;8 442=3;;5:5+9009007c3-2im78<;%de4?74801e=?;8:307f>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn>>61;391?6=8r.:=>h590f8L444k2B:=9=4$gge>45712.:>8;511;4?!?6:3>>=i5+8cc926=#no:1=>>6;o3112<5:=i0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:14712c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=<8?4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<482d:>895236f?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm38`0>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6:h>;o3112<5:=l0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4>991=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93c7;7<=519'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh074292.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f635=3;1:7>50z&256`=18o0D<<2;665a=#0kk14?k4$gd3>45?k2d:>8952371?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd3?lo1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9<=d;7<=559'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh03:;5a1374>742=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f15203;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;;b?k75=>09>884$02g6?25m=1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?=kh51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'h:d:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c62b`<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4<0:l2601=:;?37)??d380b0b6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e=;o>6<4<:183!76;o02=o5G131`?M76<:1/jhh512c3?!75=<0:<494$831>136l2.3nl4m9:&eb5<6;h:0b<<:7;011<=#99n968<7d:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5;11e=?;8:306e>"68m81>8kn;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<<8:l2601=:;?i7)??d3811`g6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e:>n=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94gf3g;99:4=24a8 46c:38>il5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl=7e795?1=83:p(N6::i0D2;665a=#0kk1=lo4n0063?45=m1/==j=:37fe>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`8;40=i9;?<6?<:e:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=3=n4>:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4::1e=?;8:3054>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj=2:n7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?5?3g;99:4=2738 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;94095?1=83:p(N6::i0D2;665a=#0kk14l5a1374>741:2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1`5k3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;3g=>h6:<=1>?8<;%33`7<3mh>0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi89ln:086>5<7s-;:?k461e9K575d3A;:8>5+fdd956d73-;9984>0858 <752=?:h6*7b`8ae>"an90:?o>4n0063?45>=1/==j=:56b5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876am8c83>!75=<0n;i54}c06<7c3A;9?n5G1060?!`bn3;8n?5+1376>46>?2.2=?4;50f8 =df2kk0(kh?:01a6>h6:<=1>?8:;%33`7<5=><0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298kg>e290/=?;::d5g?>{e<>k;6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?94gd3g;99:4=2748 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;7`695?1=83:p(N6::i0D2;665a=#0kk1=lm4n0063?45>>1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`82ef=i9;?<6?<98:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn99nc;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;jo6`>2459670>3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a02g1280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0c`?k75=>09>;o4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?;l<51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c64=`<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4>ab9m57302;8=o6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj==2o7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7fk2d:>895234g?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4866>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6;o3112<5:?o0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn97;4;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei32996`>2459670a3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a01g4280?6=4?{%327c<>?11C=?=l;I3206=#9;?>6499;I33=6=O0k;0(4?=:572`>h6:<=1>?9?;%33`7<3:18'573221kh76g7a383>!75=<03mi54i02b97??ab98kg>e290/=?;::d5g?>{e<=k?6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?92bc3g;99:4=2638 46c:3>?m<5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl;4`795?1=83:p(N6::i0D2;665a=#0kk1;ij4n0063?45?;1/==j=:56b5>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma;7<=729'55b52;?<:6g7a083>!75=<03mn54i9c1>5<#9;?>65ok;:k24d>=83.:>8;511c`?>ie0k0;6)?=548f3a=;44>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<0lm1e=?;8:3040>"68m81>899;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im79kd:l2601=:;=>7)??d3811206753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e136l2d:>8952355?!77l;0?9??4i9c2>5<#9;?>65ol;:k;e7<72-;99847ae98m46f03:1(<<:5;33ef=`1c32wi8l>::084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;=97c?=5681621<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6m2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10co6m:18'57322l=o76sm48:3>4<3290;w)?>3g8:3==O9;9h7E?>429'573220==7E??929Kd:l2601=:;=27)??d387177=gd32c3m?4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a0<>6280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:351?k75=>09>:o4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8m:m51;694?6|,8;8j7788:J266e<@8;??6*>2479=20<@8:2?6F7b09'=44=<<;o7c?=568162d<,8:o>7?j3c9j8;58`a8?l>f:3:1(<<:5;:b`>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1;l<4n0063?45?j1/==j=:0g0f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?l9j:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;9h7c?=568162b<,8:o>7?j3c9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:1<7>t$030b??002B:>>m4H0377>"6:N?j81/5<<5443g?k75=>09>:k4$02g6?7b;k1b4l?50;&2603=0hi07d6n2;29 442=32jh65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd4i>k1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93d4;7<=7g9'55b528o8n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7d1e280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:31`?k75=>09>5>4$02g6?7b;k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8m:851;694?6|,8;8j7788:J266e<@8;??6*>2479=20<@8:2?6F7b09'=44=<<;o7c?=56816=7<,8:o>7?j3c9j8;58`a8?l>f:3:1(<<:5;:b`>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1;l<4n0063?450;1/==j=:0g0f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?l97:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;9h7c?=56816=5<,8:o>7?j3c9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:1<7>t$030b??002B:>>m4H0377>"6:N?j81/5<<5443g?k75=>09>5:4$02g6?7b;k1b4l?50;&2603=0hi07d6n2;29 442=32jh65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd4i>>1=7850;2x 474n33:i6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93d4;7<=849'55b528o8n6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a7d12280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:31`?k75=>09>584$02g6?7b;k1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8m:>51;694?6|,8;8j7788:J266e<@8;??6*>2479=20<@8:2?6F7b09'=44=<<;o7c?=56816=1<,8:o>7?j3c9j8;58`a8?l>f:3:1(<<:5;:b`>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07plN6::i0D2;665a=#0kk1;l<4n0063?45011/==j=:0g0f>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi?l9=:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;9h7c?=56816=?<,8:o>7?j3c9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:1<7>t$030b??002B:>>m4H0377>"6:N?j81/5<<5443g?k75=>09>5o4$02g6?22:81b4l?50;&2603=0hi07d6n2;29 442=32jh65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3i;=1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc965b;7<=8c9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09845a1374>74?k2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f046l3;187>50z&256`=1>20D<<97786:J24<5<@1h:7)7>2;665a=i9;?<6?<7d:&24a4==;:i7d6n1;29 442=32jo65f8`094?"6:0`a8?jd?j3:1(<<:5;g4`>=zj<8:j7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?25n2d:>89523:f?!77l;0>>=l4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm5303>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj69k2;714g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd2::>1=7:50;2x 474n33<46F>22a8L473;2.:>8;59648L46>;2B3n<5+9009007c3g;99:4=2828 46c:3?9976nd:9j55g?290/=?;::02bg>=hj1h1<7*>2479a2b<3th>>>;51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c7173<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;2g9m57302;82>6*>0e09176e3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;N69=90(<<:5;;42>N68090D5l>;%;26?229m1e=?;8:30:7>"68m81>8kn;h:b5?6=,88>976nc:9j8;58`f8?l77i10;6)?=54824de<3fh3n7>5$0061?c0l21vn?8m7;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei39io6`>245967?33-;;h?4=5dc8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a63d?280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:965?k75=>09>4;4$02g6?42mh1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?4kh51;694?6|,8;8j7788:J266e<@8;??6*>2479=20<@8:2?6F7b09'=44=<<;o7c?=56816<0<,8:o>7::209j8;58`a8?l>f:3:1(<<:5;:b`>=n99k36=4+1376>46fk21dn5l50;&2603=m>n07pl;91295?1=83:p(N6::i0D2;665a=#0kk14;m4n0063?451>1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma880:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`8;3g=i9;?<6?<68:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn9=;8;392?6=8r.:=>h590g8L444k2B:=9=4$gge>45a>2.:>8;511;4?!?6:3>>=i5+8cc95c?<,ol;6<=i6:l2601=:;327)??d3876`26753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%dfb?738=1/=?;::02:3>">9;0?94??3-lm<7?;059m57302;82m6*>0e097g5c3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f6e7i3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;5ga>h6:<=1>?7m;%33`7<4j:n0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?oh7:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956`a3-;9984>0858 <752=?:h6*7b`81=<=#no:1=>hi;o3112<5:0i0(<>k2;1a7a=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj:hm;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7e<2d:>89523;g?!77l;08n>j4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm427:>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj69m4n0063?451l1/==j=:50f0>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`82e1=i9;?<6?<6f:&24a4=;??=7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>=i8;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;j86`>245967g73-;;h?4<2`a8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a752f280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:0c7?k75=>09>l?4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8;ll51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1744<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=869m57302;8j?6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj::>?7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4??2d:>89523c7?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm36c`>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?68;o3112<5:h?0(<>k2;1513=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4<981=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=1;7<=a79'55b52:8jo6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094:5a1374>74f?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f61fl3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0;3>h6:<=1>?o7;%33`7<4><<0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?9><:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2<7c?=56816d?<,8:o>7==ab9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:7cam2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50>1e=?;8:30bf>"68m81?;;9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<77:l2601=:;kh7)??d3806de6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;9?=6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97>03g;99:4=2`f8 46c:38njh5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<7`d95?1=83:p(N6::i0D2;665a=#0kk1>594n0063?45il1/==j=:2462>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`81<2=i9;?<6?6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>>:7;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383;6`>245967d73-;;h?4=egg8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a72d7280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3:4?k75=>09>o?4$02g6?51=?1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th88=851;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c131=<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=869m57302;8i?6*>0e096``b3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:=i=7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4??2d:>89523`7?!77l;08:884i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm3524>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?68;o3112<5:k?0(<>k2;11ef=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd48<31=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=1;7<=b79'55b52;omi6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094:5a1374>74e?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f62703;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0;3>h6:<=1>?l7;%33`7<4:hi0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?=;n:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2<7c?=56816g?<,8:o>78;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:602>2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50>1e=?;8:30af>"68m81??ol;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<77:l2601=:;hh7)??d381acc6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;>k86<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97>03g;99:4=2cf8 46c:39=9;5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<3g;95?1=83:p(N6::i0D2;665a=#0kk1>594n0063?45jl1/==j=:20bg>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`81<2=i9;?<6?6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>9n4;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383;6`>245967e73-;;h?4<6448m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a76`f280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3:4?k75=>09>n?4$02g6?55ij1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8<9m51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c14e0<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=869m57302;8h?6*>0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj:9mn7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4??2d:>89523a7?!77l;08>lm4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm316g>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?68;o3112<5:j?0(<>k2;0fb`=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd4?h<1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96=1;7<=c79'55b52:<>:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh094:5a1374>74d?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f663m3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;0;3>h6:<=1>?m7;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?:o8:084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2<7c?=56816f?<,8:o>7=9579j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:64fk2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50>1e=?;8:30`f>"68m81>hhj;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<77:l2601=:;ih7)??d3802006753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e;:ln6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?97>03g;99:4=2bf8 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl<04295?1=83:p(N6::i0D2;665a=#0kk1>594n0063?45kl1/==j=:3gea>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:ma0858 <752=?:h6*7b`81<2=i9;?<6?6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn>=if;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383;6`>245967b73-;;h?4<2`a8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a7536280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3:4?k75=>09>i?4$02g6?4bnl1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th8;lo51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'8:6:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c1745<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=869m57302;8o?6*>0e0977gd3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj::>>7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?4??2d:>89523f7?!77l;09ikk4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm46;e>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?=m;o3112<5:m?0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3?h91=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc966d;7<=d79'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh09?o5a1374>74c?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f11fj3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;00f>h6:<=1>?j7;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi8:o::084>5<7s-;:?k461g9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;9i7c?=56816a?<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=<5i4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<5;k1e=?;8:30gf>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9j8;53008j442;3?07bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7<6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<0296<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9=e;7<=de9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:85;?M75;j1C=<:<;%3110<>??1C==7<;I:a5>">9;0?9o?i80;6)?=548;ef==gc32c:!75=<0n;i54}c6;e7<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47739m57302;8oj6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=2j?7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>092d:>89523g3?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm1df6>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?389i<5+11f1>4cc<2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=hj9:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e64:&:57<3=8n0b<<:7;01a6=#99n969okb:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7<=e59'55b52<:<<6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm42a4>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?389i85+11f1>15?n2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8?jn:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e<:h26<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;01a2=#99n969=6c:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7<=e99'55b52:l>h6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm4371>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?389i45+11f1>14712c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>96j:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e:=3h6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;01ag=#99n96?:94:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7<=eb9'55b52;9m<6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm2`1b>4<1290;w)?>3g84`d=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?389ii5+11f1>73bi2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi>l8;:085>5<7s-;:?k48d`9K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;13o6<49:183!76;o064:&:57<3=8n0b<<:7;01ac=#99n96>8:6:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7<=f19'55b52:<>:6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm35ge>4<1290;w)?>3g84`d=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?389j<5+11f1>64fk2c8n:4?:%3110<3;o10e97j:18'573221ki76a>06594?"6:97:<1:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi?8k::085>5<7s-;:?k48d`9K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c==ge32e:<:950;&2603=m>n07d:<1;29 442=3>8=65f114f>5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e;8>>6<49:183!76;o064:&:57<3=8n0b<<:7;01b6=#99n96?kie:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==8j:18'573228:ji65f49f94?"6:24793c0<@8:286*6138714b;7<=f59'55b52;omi6g!75=<0??k54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l2493:1(<<:5;605>=n9946fm21b85j50;&2603=993376sm2`7e>4<4290;w)?>3g8:5g=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<8=;o3112<5:o?0(<>k2;06ad=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921dn5l50;&2603=m>n07pl=a4a95?0=83:p(N6::i0D7::1e9m57302;8m:6*>0e0960cf3`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th9m;=51;194?6|,8;8j77>b:J266e<@8;??6*>247955?03-3:>7::1e9'ie0k0;6)?=548f3a=:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245967`?3-;;h?4=5dc8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg268>0:654?:1y'545a2>o37E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>?h6;%33`7<38l80e>l8:18'57322=9m76g;9d83>!75=<03mo54i02b97??d998k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj=;;47?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245967`f3-;;h?4;0d08m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?==751;:94?6|,8;8j79j5:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9??a;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=:;lh7)??d3874`415a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;11`95?>=83:p(N6::i0D<2.2=?4;50f8j442?389ji5+11f1>16b:2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb533g?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1>?hj;%33`7<38l80e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"6:;7<=fg9'55b52=:n>6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f177m3;147>50z&256`=?l?0D<<97??b19'136l2d:>8952223?!77l;0?5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd399l1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;0044=#99n969>j2:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=;:<7?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245966653-;;h?4;0d08m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th?hl:51;494?6|,8;8j79j1:J266e<@8;??6*7b`87?!75=<0>=i5a1374>757;2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd3?kn1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:3130>"68m8188<>;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0e44=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?=?5:&24a4=:j>97d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9m57302;9;:6*>0e096f253`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8m<651;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>757?2.:=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd4i831=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:313<>"68m81>n:=;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0e4g=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?=?9:&24a4=:j>97d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9m57302;9;m6*>0e096f253`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8m24793c0<,1hj695G11;7?!?6:3>>=i5a1374>757j2.:=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd4i8n1=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:313g>"68m81>n:=;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0e4c=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?=?d:&24a4=:j>97d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9m57302;9;i6*>0e096f253`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th8m<=51;494?6|,8;8j79ka:J266e<@8;??6*>24793c0<,1hj695G11;7?!?6:3>>=i5a1374>757n2.:=n<0o1<7*>2479:18'57322=9:76g>07g94?"6:08:8?xd4i8>1=7850;2x 474n3=om6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:3124>"68m81>n:=;h1a3?6=,88>97:8;58``8?j77?>0;6)?=548f3a=15632c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`0e43=93<1<7>t$030b?1ci2B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?=>1:&24a4=:j>97d=m7;29 442=3>8j65f48g94?"6:o68?o1<7*>247955gb32c?4i4?:%3110<680207plN6::i0D7::1e9m57302;9:>6*>0e096f253`9i;7>5$0061?24n21b84k50;&2603=0hh07b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th?mn?51;494?6|,8;8j77>e:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e">9;0?93b;7<<159'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh089<5a1374>756=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3?1o1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc950e;7<<179'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:854?M75;j1C=<:<;%3110<>??1/5<<5443g?k75=>09?<94$02g6?22:81b8>l50;&2603=0hn07d:jb;29 442=32jo65f11c;>5<#9;?>6<>nc:9lf=d=83.:>8;5e6f8?xd3>ln1=7:50;2x 474n33<;6F>22a8L473;2.:>8;59648 <752=?:h6`>2459667?3-;;h?4;5338m15e290/=?;::9cg?>o3mk0;6)?=548;ef=5$0061?77ij10co6m:18'57322l=o76sm47`:>4<3290;w)?>3g8:32=O9;9h7E?>429'573220==7)7>2;665a=i9;?<6?=>9:&24a4=<<8:7d:0`a8?jd?j3:1(<<:5;g4`>=zj=N69=90(<<:5;;42>">9;0?9o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c00g6<6210;6=u+101e>2c?3A;9?n5G1060?!75=<0:4$9`b>g?<,0;969;>d:l2601=::;i7)??d381715a32c?5h4?:%3110n8;29 442=3;;h554o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;h94?:%3110<68m>0D50z&256`=?l?0D<<97??b19'136l2d:>895223`?!77l;09?4k4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5;j?1=7650;2x 474n3=n96F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;005a=#99n96?=6e:k0f2<72-;9984;3g98m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d:<1;29 442=3>8=65f11cf>5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj;9h:7?58;294~"69:l1;h;4H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>2459667b3-;;h?4=38g8m6d0290/=?;::51e?>o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o?4;h33e`<72-;9984>0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th9?n951;:94?6|,8;8j79j5:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn?=l8;39h57d78L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=::8;7)??d381715a32c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j067=83.:>8;54238?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl=3b;95?>=83:p(N6::i0D<2.2=?4;50f8j442?388><5+11f1>75>m2c8n:4?:%3110<3;o10e97j:18'573221ki76g>06;94?"6:8;5e6f8?l2493:1(<<:5;605>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb31`e?7=03:112d93`3<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1>><=;%33`7<5;0o0e>l8:18'57322=9m76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b=2B:>>m4H0377>"6:;7<<229'55b52;92i6g!75=<0??k54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`>8=7>5$0061?24921b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f75dk3;147>50z&256`=?l?0D<<97??b19'136l2d:>8952207?!77l;09?4k4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b8>?50;&2603=<:;07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd5:<>1=7650;2x 474n3=n46F>22a8L473;2.:>8;511`3?!>ei3h27)7>2;665a=i9;?<6?==5:&24a4=:;8m7d=m7;29 442=3>8j65f48g94?"6:0e:8?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0e694?"6::983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>755>2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi>?;9:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5;;=0(<>k2;016c=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo<=5682>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302;9946*>0e09674a3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>09??74$02g6?45:o1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c011<<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6?==a:&24a4=:;8m7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4=33`8 46c:389>k5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg45=k0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:311g>"68m81>?97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e:;?h6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568177b<,8:o>7<=2g9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>755m2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8>5<7s-;:?k48e89K575d3A;:8>5+1376>46e82.3nl4m9:&:57<3=8n0b<<:7;006c=#99n969o31l0;6)?=548;eg=5$0061?77l110c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020DN69=807pl;32395?>=83:p(N6::i0D<2.2=?4;50f8j442?388?=5+11f1>14b<2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb5107?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1>>=>;%33`7<3:l>0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b>2B:>>m4H0377>"6:;7<<339'55b52=8n86g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98m46013:1(<<:5;33`1=5$0061?c0l21b==oj:18'573228:ji6F>1508?l77110;6)?=54824<><@8;?>65f11c;>5<#9;?>6<>k8:9~f154?3;147>50z&256`=?l<0D<<97??b19'136l2d:>8952210?!77l;0?>h:4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>o68>31<7*>247955b332e:<:950;&2603=m>n07d??ad83>!75=<0:=n99336=4+1376>46>02B:=9<4;h33e=<72-;9984>0e:8?xd3;:31=7650;2x 474n3=n:6F>22a8L473;2.:>8;511`3?!>ei3>0D<>64:&:57<3=8n0b<<:7;0071=#99n969o31l0;6)?=548;eg=5$0061?77l=10c<>87;29 442=3o5<#9;?>6<>ne:J2514<3`;;554?:%3110<68020D=zj=98n7?58;294~"69:l1;h84H000g>N69=90(<<:5;33f5=#0kk186F>0868 <752=?:h6`>245966523-;;h?4;2d68m6d0290/=?;::51e?>o3;80;6)?=548774==ge32c:<:750;&2603=99n?76a>06594?"6:0`g8L473:21b==77:18'573228:246F>1508?l77i10;6)?=54824a><3th??>j51;:94?6|,8;8j79j6:J266e<@8;??6*>247955d73-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311089;29 442=3;;h954o0243?6=,88>97k8d:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9=h57d48L444k2B:=9=4$0061?77j91/4oo54:J24<2<,0;969;>d:l2601=::9<7)??d3876`215a32c??<4?:%3110<3;810e97j:18'573221ki76g>06;94?"6:8;5e6f8?l77il0;6)?=54824dc<@8;?>65f11;;>5<#9;?>6<>68:J2514<3`;;m54?:%3110<68m207pl;35395?>=83:p(N6::i0D<2.2=?4;50f8j442?388?55+11f1>14b<2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54i024=?6=,88>97??d598k460?3:1(<<:5;g4`>=n99kn6=4+1376>46fm2B:=9<4;h33==<72-;9984>08:8L473:21b==o7:18'573228:o465rb511b?7=03:112d93`0<@888o6F>1518 442=3;;n=5+8cc90>N680>0(4?=:572`>h6:<=1>>=6;%33`7<3:l>0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k242?=83.:>8;511f7?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??a983>!75=<0:t$030b?1b02B:>>m4H0377>"6:3-3:>7::1e9m57302;98m6*>0e097c3c3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??a983>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:4398yg27>80:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:310f>"68m81?k;k;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<9"?jh0?7E??959'=44=<<;o7c?=568176e<,8:o>7=i5e9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==;:k4>:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>754l2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8=9?:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5;:o0(<>k2;1e1a=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:?7082>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302;98j6*>0e097c3c3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>09?9>4$02g6?5a=m1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c6336<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6?=;1:&24a4=;o?o7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4=3508 46c:39m9i5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg27?<0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:3177>"68m81?k;k;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<9?<6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5681712<,8:o>7=i5e9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==;954>:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>753=2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8=;6:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5;=<0(<>k2;1e1a=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:?5`82>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302;9?;6*>0e097c3c3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>09?964$02g6?5a=m1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c631f<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6?=;9:&24a4=;o?o7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4=35c8 46c:39m9i5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg27=l0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:317f>"68m81?k;k;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<9?m6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568171e<,8:o>7=i5e9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==;:=4>:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>753l2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8=8=:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5;=o0(<>k2;1e1a=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:?6282>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302;9?j6*>0e097c3c3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>09?8>4$02g6?5a=m1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c6320<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6?=:1:&24a4=;o?o7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4=3408 46c:39m9i5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg27>>0:654?:1y'545a2>o>7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:3167>"68m81?k;k;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e<9<36<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5681702<,8:o>7=i5e9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==;:44>:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>752=2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8=8n:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5;<<0(<>k2;1e1a=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:?6c82>=<729q/=<=i:6g6?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302;9>;6*>0e097c3c3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>09?864$02g6?5a=m1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c632`<6210;6=u+101e>2c23A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6?=:9:&24a4=;o?o7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6:h9;%:ae?2<@8:286*6138714b;7<<5`9'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4d13>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3889o5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8h=>:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;001a=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7<<5d9'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4e1e>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?3889k5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8i:>:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?991/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e86<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;0024=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7<<639'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4e64>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?388:>5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8i=::085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9=1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;0020=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:280=6=4?{%327c<0m81C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b;7<<679'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4e1a>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?388::5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8i=k:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?911/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;002<=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7<<6`9'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4e34>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?388:o5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8i?6:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9j1/==j=:5715>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;002a=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:280=6=4?{%327c<0m81C=?=l;I3206=#9;?>6:h9;%:ae?2<@8:286*6138714b;7<<6d9'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4e0a>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?388:k5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8i5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;0034=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7<<739'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4e10>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?388;>5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8i?k:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;0030=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:6:h9;%:ae?2<@8:286*6138714b;7<<779'55b52=?9=6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm4e00>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?388;:5+11f1>13592c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi8i<::085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{eei3>0D<>64:&:57<3=8n0b<<:7;003<=#99n969;=1:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:247955d73A;;595+9009007c3g;99:4=36c8 46c:3;h5k5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7c?80:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:314f>"68m81=n7i;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9m7?l9g9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>750l2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=i8n:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:o0(<>k2;3`=c=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo?k6c82>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302;90e095f?a3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>09?5>4$02g6?7d1o1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3g2=<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6?=71:&24a4=9j3m7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4=3908 46c:3;h5k5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7c><0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:31;7>"68m81=n7i;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9m<:6<47:183!76;o07?l9g9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>75?=2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=i;j:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;3`=c=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo?k5g82>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302;93;6*>0e095f?a3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>09?564$02g6?7d1o1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3g1f<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6?=79:&24a4=9j3m7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:e28036=4?{%327c<0m<1C=?=l;I3206=#0kk186*>247955d73A;;595+9009007c3g;99:4=39c8 46c:3;h5k5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7c0j0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:31;f>"68m81=n7i;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9m236<47:183!76;o07?l9g9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>75?l2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=i6::08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;3`=c=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo?k8782>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302;93j6*>0e095f?a3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>09?4>4$02g6?7d1o1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3g<6<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6?=61:&24a4=9j3m7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4=3808 46c:3;h5k5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7c090:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:31:7>"68m81=n7i;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9m=h6<47:183!76;o07?l9g9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>75>=2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi=i96:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;3`=c=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo?k7`82>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302;92;6*>0e095f?a3`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a0=O9;9h7E?>429'8;511`3?M771=1/5<<5443g?k75=>09?464$02g6?7d1o1b?o950;&2603=<:l07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o3;80;6)?=548774=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c3g32<6210;6=u+101e>2c23A;9?n5G1060?!>ei3>0(<<:5;33f5=O993?7)7>2;665a=i9;?<6?=69:&24a4=9j3m7d=m7;29 442=3>8j65f48g94?"6:0e68?j77?>0;6)?=548f3a=15632c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:247955d73A;;595+9009007c3g;99:4=38c8 46c:3;h5k5f3c594?"6:h4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg7c?=0:654?:1y'545a2>o>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:31:f>"68m81=n7i;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e9m?36<47:183!76;o07?l9g9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>75>l2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?i:9:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5;0o0(<>k2;1a7a=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo=k4682>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=::3m7)??d380f6b15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===:783>5}#989m6:jn;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245966g73-;;h?4o31l0;6)?=548;eg=5$0061?c0l21b8>?50;&2603=<:;07d??6d83>!75=<0:97??9998yg5c<00:6;4?:1y'545a2>nj7E?=3b9K54243-;99848f79'136l2d:>89522c2?!77l;08n>j4i2`4>5<#9;?>69=i;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n<:;1<7*>2479067<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c1g0d<62?0;6=u+101e>2bf3A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1>>o=;%33`7<4j:n0e>l8:18'57322=9m76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j067=83.:>8;54238?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo=k4c82>3<729q/=<=i:6fb?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=::k87)??d380f6b15a32c?5h4?:%311087;29 442=3o?4;h332`<72-;9984>0`g8?l2?l3:1(<<:5;33===2i<4>:283>5}#989m64?m;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<6m<1e=?;8:31b0>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?jd?j3:1(<<:5;g4`>=zj=3oj7?54;294~"69:l15:94H000g>N69=90(<<:5;;42>">9;0?9o3;k0;6)?=548;ea==gd32c:!75=<0n;i54}c6:a7<62?0;6=u+101e><7b3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4=419m57302;9j:6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21dn5l50;&2603=m>n07pl;9d295?2=83:p(N6::i0D<0(4?=:572`>h6:<=1>>o8;%33`7<3=;;0e9=m:18'573221ko76g;ec83>!75=<03mn54i02b97??ab98kg>e290/=?;::d5g?>{e:=3o6<49:183!76;o02=h5G131`?M76<:1/=?;::02:3>">9;0?96?;7<=86g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954oc:a>5<#9;?>6h9k;:a5`b?280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:3c8j442?388m45+11f1>4cc<2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=8o54>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<412d:>89522cb?!77l;0??5h4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma;0:6:4?:1y'545a20;m7E?=3b9K54243-;9984>0858 <752=?:h6*7b`82ff=i9;?<6?=nb:&24a4=:j>97d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vnh590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3;>h6`>245966gd3-;;h?4>c8d8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a5fde280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:07g?k75=>09?lj4$02g6?7d1o1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?nl951;794?6|,8;8j77>d:J266e<@8;??6*ieg827g5<,88>97??969'=44=<<;o7)6ma;`b?!`a83;8n>5a1374>75fm2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4b<@888o6F>1518 cca289i=6*>247955?03-3:>7::1e9'512`2?k75=>09?lh4$02g6?37?91b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9lf=d=83.:>8;5e6f8?xd3:mh1=7;50;2x 474n33:h6F>22a8L473;2.mik4>3c48 442=3;;5:5+9009007c3-2im78<;%de4?74j?1e=?;8:31a4>"68m818?l9;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?c0l21vn9=ma;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei38>7c?=56817g7<,8:o>7:<9b9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:t$030b??6n2B:>>m4H0377>"6:6*>0e0961163`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj;>:97?55;294~"69:l15N69=90(kki:01a0>"6:7<8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21dn5l50;&2603=m>n07pl<88g95?3=83:p(N6::i0D0e0973313`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;n`;f?6=,88>97k8d:9~f62a83;197>50z&256`=18n0D<<2;665a=#0kk1:>5+fg2957g03g;99:4=3c78 46c:399mn5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=hj1h1<7*>2479a2b<3th8=9851;794?6|,8;8j77>d:J266e<@8;??6*ieg826d0<,88>97??969'=44=<<;o7)6ma;40?!`a83;9m;5a1374>75e>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907bl7b;29 442=3o12d9=4`<@888o6F>1518 442=3;;5:5+9009007c3-2im7?78:l2601=::h<7)??d38765?6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>o?i=0;6)?=548057=i9;?86>54i9c6>5<#9;?>6>?=;o3116<332c3m;4?:%3110<49;1e=?;<:498kg>e290/=?;::d5g?>{e<88<6<48:183!76;o02=k5G131`?M76<:1/=?;::02:3>">9;0?9;7<h6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31100<729q/=<=i:83g?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0=?6`>245966d>3-;;h?4>ee68m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10co6m:18'57322l=o76sm2b;5>4<3290;w)?>3g8:5f=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;0`07=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj;i>47?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?7e92d:>89522`a?!77l;09o9<4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm441b>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3=l<1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc917=i9;?<6?=md:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn98je;393?6=8r.:=>h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei329?6`>245966db3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>o?i?0;6)?=548057=i9;?86854oc:a>5<#9;?>6h9k;:a03de280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:900?k75=>09?oh4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?56:2d:>8=53:9j8;53008j442;3>07d6n6;29 442=39:>6`>24191>=hj1h1<7*>2479a2b<3th?:hh51;594?6|,8;8j77>f:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598m=g1290/=?;::231?k75=:0>76am8c83>!75=<0n;i54}c65ff<62>0;6=u+101e><7a3A;9?n5G1060?!75=<0:<494$831>136l2.3nl47169m57302;9h=6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj=2>n7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?>?=2d:>89522a1?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm495`>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6586;o3112<5;j90(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd410<1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9520;7<:6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:;;5a1374>75d=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f644k3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;342>h6:<=1>>m9;%33`7<5moo0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi85:k:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df218;7c?=56817f1<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=kj;7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?45j2d:>89522a;?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4``7>4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6<:n;o3112<5;j30(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9mm0;390?6=8r.:=>h590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei382o6`>245966ef3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`81=f=i9;?<6?=lb:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;cbg95?2=83:p(N6::i0D2;665a=#0kk18??4n0063?44kj1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e">9;0?91463g;99:4=3bf8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7:=1:l2601=::in7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=hh94>:583>5}#989m64?l;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3:81e=?;8:31`b>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8nj7:087>5<7s-;:?k461b9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=8:7c?=56817a7<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1eci3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;615>h6:<=1>>j=;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0?><5a1374>75c;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3kk91=7:50;2x 474n33:o6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc9077;7<!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm4b`6>4<3290;w)?>3g8:5f=O9;9h7E?>429'573228:2;6*6138714b<,1hj69<>;o3112<5;m?0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=ii;7?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2592d:>89522f5?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6`f<<62=0;6=u+101e><7d3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;209m57302;9o;6*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?ool51;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0fdc280?6=4?{%327c<>9j1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:502?k75=>09?i74$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn9mmf;390?6=8r.:=>h590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>9=6`>245966bf3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8764=i9;?<6?=kb:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;cb195?2=83:p(N6::i0D2;665a=#0kk18??4n0063?44lj1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e6<4;:183!76;o02=n5G131`?M76<:1/=?;::02:3>">9;0?91463g;99:4=3ef8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7:=1:l2601=::nn7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=hoo4>:583>5}#989m64?l;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3:81e=?;8:31gb>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8ll<:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2=90b<<:7;00a4=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54i9c7>5<#9;?>6>?=;o3116<432c3m84?:%3110<49;1e=?;<:598kg>e290/=?;::d5g?>{e">9;0?91463g;99:4=3d08 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>:i6*>247955?03-3:>7::1e9'h6:<=1>>k<;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?739<1/=?;::02:3>">9;0?91463-lm<7?;149m57302;9n86*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?o5l51;694?6|,8;8j77>c:J266e<@8;??6*ieg8204c<,88>97??969'=44=<<;o7)6ma;615>"an90:8o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e136l2.3nl4;209'bc6=9=;o7c?=56817`0<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1e?n3;187>50z&256`=18i0D<<d:&2603=993<7)7>2;665a=#0kk18??4$gd3>426l2d:>89522g4?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6`=4<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?=n5+1376>46>?2.2=?4;50f8 =df2=8:7)hi0;375f=i9;?<6?=j8:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;c8195?2=83:p(N6::i0D40a8j442?388i45+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8n7::087>5<7s-;:?k461b9K575d3A;:8>5+fdd9517e3-;9984>0858 <752=?:h6*7b`8764=#no:1=9?m;o3112<5;lk0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=i2;7?54;294~"69:l15N69=90(kki:062f>"6::n6`>245966ce3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:mal0:694?:1y'545a20;h7E?=3b9K54243-lnj7?;1`9'573228:2;6*6138714b<,1hj69<>;%de4?739h1e=?;8:31fg>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:81/5<<5443g?!>ei3>9=6*if18204g;7<!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm4b51>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=;27)?=54824<1<,0;969;>d:&;fd<3:81/jk>5153:?k75=>09?hk4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn9m84;390?6=8r.:=>h590a8L444k2B:=9=4$gge>42612.:>8;511;4?!?6:3>>=i5+8cc9077<,ol;6<:>9:l2601=::om7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=h;;4>:583>5}#989m64?l;I317f=O98>87)hjf;375==#9;?>6<>67:&:57<3=8n0(5ln:502?!`a83;?=55a1374>75a82.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3k>21=7:50;2x 474n33:o6F>22a8L473;2.mik4>40:8 442=3;;5:5+9009007c3-2im7:=1:&eb5<6<820b<<:7;00b4=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0f1f280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=9?8;%3110<680=0(4?=:572`>"?jh0?><5+fg2951703g;99:4=3g08 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>:;6*>247955?03-3:>7::1e9'h6:<=1>>h<;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?739?1/=?;::02:3>">9;0?91463-lm<7?;179m57302;9m86*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?o5>51;694?6|,8;8j77>c:J266e<@8;??6*ieg82040<,88>97??969'=44=<<;o7)6ma;615>"an90:8<84n0063?44n<1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e136l2.3nl4;209'bc6=9=;>7c?=56817c0<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1e?>3;187>50z&256`=18i0D<<4:&2603=993<7)7>2;665a=#0kk18??4$gd3>426<2d:>89522d4?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6`<=<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?=95+1376>46>?2.2=?4;50f8 =df2=8:7)hi0;3751=i9;?<6?=i8:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;a`:95?1=83:p(N6::i0D2;665a=#0kk1>?l4n0063?44n01/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=6753g;99>4:;:mao>7E?=3b9K54243-2im7:4$0061?77j91C==7;;%;26?229m1e=?;8:31ee>"68m8188<>;h1a3?6=,88>97:8;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110:18'57322=9:76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e7::209j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==jmo4>:583>5}#989m64?l;I317f=O98>87)hjf;306<=#9;?>6<>67:&:57<3=8n0(5ln:618 c`7289956`>245966`d3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma1e=?;8:31e`>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:8>94$0061?771>1/5<<5443g?!>ei3=3n6*if182061;7<!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm4bg0>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=>?7)?=54824<1<,0;969;>d:&;fd<00k1/jk>51567?k75=>09?kh4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn9mj5;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45dm2.:>8;511;4?!?6:3>>=i5+8cc93=d<,ol;6<=le:l2601=:=:;7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=hi:4>:583>5}#989m64?l;I317f=O98>87)hjf;3701=#9;?>6<>67:&:57<3=8n0(5ln:6:a?!`a83;?895a1374>72792.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3kl31=7:50;2x 474n33:o6F>22a8L473;2.mik4>4518 442=3;;5:5+9009007c3-2im797b:&eb5<6<=90b<<:7;0747=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0fce280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=9:<;%3110<680=0(4?=:572`>"?jh0<4o5+fg2951243g;99:4=4118 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca28>8?6*>247955?03-3:>7::1e9'h6:<=1>9>;;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?73;:1/=?;::02:3>">9;0?92>e3-lm<7?;329m57302;>;96*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?h9>51;694?6|,8;8j77>c:J266e<@8;??6*ieg82067<,88>97??969'=44=<<;o7)6ma;5;f>"an90:8>?4n0063?438?1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e96<4;:183!76;o02=n5G131`?M76<:1/jhh512d`?!75=<0:<494$831>136l2.3nl488c9'bc6=9:lh7c?=5681051<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1b3<3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>42492d:>895252;?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6g03<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;??=5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;3775=i9;?<6?:?9:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;d5:95?2=83:p(N6::i0D4228j442?38?13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8i=9:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956`d3-;9984>0858 <752=?:h6*7b`84hl;o3112<5<9h0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=n847?54;294~"69:l15N69=90(kki:01`f>"6:2459616d3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:8?h4$0061?771>1/5<<5443g?!>ei3=3n6*if18207`;7<;0d9'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm4e1f>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=8m7)?=54824<1<,0;969;>d:&;fd<00k1/jk>5150e?k75=>098=h4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn9j>6;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45dj2.:>8;511;4?!?6:3>>=i5+8cc93=d<,ol;6<=lb:l2601=:=;;7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=o=54>:583>5}#989m64?l;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<00k1e=?;8:3625>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:8><4$0061?771>1/5<<5443g?!>ei3=3n6*if182064;7<;139'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm4e3`>4<3290;w)?>3g8:5f=O9;9h7E?>429'b``=9=997)?=54824<1<,0;969;>d:&;fd<00k1/jk>51511?k75=>098<=4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn9j=a;390?6=8r.:=>h590a8L444k2B:=9=4$gge>45aj2.:>8;511;4?!?6:3>>=i5+8cc93=d<,ol;6<=ib:l2601=:=;?7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=o>n4>:583>5}#989m64?l;I317f=O98>87)hjf;30g<=#9;?>6<>67:&:57<3=8n0(5ln:6:a?!`a83;8o45a1374>726=2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3l;o1=7:50;2x 474n33:o6F>22a8L473;2.mik4>43g8 442=3;;5:5+9009007c3-2im797b:&eb5<6<;o0b<<:7;0753=#99n969;=1:k;e4<72-;9984<139m57342910e5o=:18'57322:;97c?=5282?>o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0a57280?6=4?{%327c<>9j1C=?=l;I3206=#nll1=>mn;%3110<680=0(4?=:572`>"?jh0<4o5+fg2956ef3g;99:4=4058 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 cca289h46*>247955?03-3:>7::1e9'h6:<=1>9?7;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?73:l1/=?;::02:3>">9;0?92>e3-lm<7?;2d9m57302;>:56*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?hc:J266e<@8;??6*ieg827cd<,88>97??969'=44=<<;o7)6ma;5;f>"an90:?kl4n0063?439h1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e136l2.3nl488c9'bc6=9:i27c?=568104d<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1b5:3;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>425l2d:>895253`?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6g61<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;8oh5+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;30g`=i9;?<6?:>d:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;d3495?2=83:p(N6::i0D43f8j442?38?=h5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8i<7:087>5<7s-;:?k461b9K575d3A;:8>5+fdd956e?3-;9984>0858 <752=?:h6*7b`84m7;o3112<5<8l0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=hj?7?56;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?71i2d:>8952503?!77l;0?mil4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:ma0858 <752=?:h6*7b`8764=i9;?<6?:=1:&24a4=6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;b8495?2=83:p(N6::i0D2;665a=#0kk18??4n0063?43:;1/==j=:5cgf>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e">9;0?91463g;99:4=4318 46c:3>jho5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7:=1:l2601=:=8?7)??d387ead6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=i5n4>:583>5}#989m64?l;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<51j1e=?;8:3611>"68m818ljm;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:;5+11f1>1gcj2c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8oo?:087>5<7s-;:?k461b9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;3h7c?=5681071<,8:o>7:ndc9j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1df:3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;0:g>h6:<=1>9<7;%33`7<3imh0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh095n5a1374>72512.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9lf=d=83.:>8;5e6f8?xd3j>i1=7:50;2x 474n33:o6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc96;7<;2`9'55b52=kon6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm4c5f>4<3290;w)?>3g8:5f=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?7l;o3112<5<;h0(<>k2;6b`g=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=h3<7?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2592d:>895250`?!77l;0?mil4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6a<7<62=0;6=u+101e><7d3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;209m57302;>9h6*>0e090dbe3`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?n5:51;694?6|,8;8j77>c:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a0g>1280?6=4?{%327c<>9j1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:502?k75=>098?h4$02g6?2flk1b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn9l78;390?6=8r.:=>h590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>9=6`>245961573-;;h?4;ae`8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8764=i9;?<6?:<1:&24a4=6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;b9a95?2=83:p(N6::i0D2;665a=#0kk18??4n0063?43;;1/==j=:5cgf>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e">9;0?91463g;99:4=4218 46c:3>jho5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7:=1:l2601=:=9?7)??d387ead6753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=i5>4>:583>5}#989m64?l;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<3:81e=?;8:3601>"68m818ljm;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"amo0:8;k4$0061?771>1/5<<5443g?!>ei3>9=6*if18203c;7<;379'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10co6m:18'57322l=o76sm5107>4<3290;w)?>3g8:5f=O9;9h7E?>429'573228:2;6*6138714b<,1hj69<>;o3112<5<:=0(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj<:9:7?54;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?2592d:>895251;?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c736=<62=0;6=u+101e><7d3A;9?n5G1060?!75=<0:<494$831>136l2.3nl4;209m57302;>856*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th>c:J266e<@8;??6*>247955?03-3:>7::1e9'o?i:0;6)?=548057=i9;?86?54oc:a>5<#9;?>6h9k;:a154d280?6=4?{%327c<>9j1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:502?k75=>098>l4$02g6?22:81b4l?50;&2603=;880b<<:3;28?l>f:3:1(<<:5;126>h6:<91=65f8`194?"6:5$0061?c0l21vn8>=e;390?6=8r.:=>h590a8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>9=6`>2459615d3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma0858 <752=?:h6*7b`8764=i9;?<6?:6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl:00a95?2=83:p(N6::i0D2;665a=#0kk18??4n0063?43;l1/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398kg>e290/=?;::d5g?>{e=9;n6<4;:183!76;o02=n5G131`?M76<:1/=?;::02:3>">9;0?91463g;99:4=42d8 46c:3>>><5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807bl7b;29 442=3o12d9=4e<@888o6F>1518 442=3;;5:5+9009007c3-2im7:=1:l2601=:=>;7)??d3871776753g;99>4?;:k;e7<72-;9984<139m57342810e5o<:18'57322:;97c?=5281?>ie0k0;6)?=548f3a=jm>4>:683>5}#989m64?i;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<4<2d:>8952562?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976g7a583>!75=<08=?5a1370>6=6=4+1376>6753g;99>4;;:k;e3<72-;9984<139m57342<10co6m:18'57322l=o76sm4`c:>4<0290;w)?>3g8:5c=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?56:2d:>8=55:9lf=d=83.:>8;5e6f8?xd3ihn1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc963g;7<;429'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31101<729q/=<=i:83`?M75;j1C=<:<;%dfb?74;91/=?;::02:3>">9;0?97763-lm<7?<319m57302;>?86*>0e0900463`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=hj1h1<7*>2479a2b<3th?ho;51;:94?6|,8;8j79j5:J266e<@8;??6*7b`87?!75=<0:4H02:0>">9;0?9o4j>0;6)?=54877c==ge32c:<:750;&2603=99n?76a>06594?"6:97:<1:9j55gb290/=?;::02ba>N69=807d??9983>!75=<0:<464H0376>=n99k36=4+1376>46c021vn9m?7;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383h6`>245961213-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=o5:4>:983>5}#989m6:k:;I317f=O98>87)6ma;68 442=3;;n=5G11;7?!?6:3>>=i5a1374>723?2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8lol:085>5<7s-;:?k461d9K575d3A;:8>5+fdd9564a3-;9984>0858 <752=?:h6*7b`84<>"an90:??h4n0063?43<11/==j=:5715>o?i80;6)?=548057=i9;?86=54i9c1>5<#9;?>6>?=;o3116<632c3m>4?:%3110<49;1e=?;<:398m=g3290/=?;::231?k75=:0876g7a483>!75=<08=?5a1370>1=`1c32wi8n:9:087>5<7s-;:?k461b9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2>2i7c?=568101?<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;n`;f?6=,88>97k8d:9~f1e303;187>50z&256`=18i0D<<2;665a=#0kk1;5l4$gd3>42202d:>895256b?!77l;0?9??4i9c2>5<#9;?>6>?=;o3116<732c3m?4?:%3110<49;1e=?;<:098m=g4290/=?;::231?k75=:0976am8c83>!75=<0n;i54}c6`0d<62=0;6=u+101e><7d3A;9?n5G1060?!`bn3;?955+1376>46>?2.2=?4;50f8 =df2>2i7)hi0;371==i9;?<6?:;b:&24a4=<<8:7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21dn5l50;&2603=m>n07pl;c5a95?2=83:p(N6::i0D4458j442?38?8n5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8n:j:087>5<7s-;:?k461b9K575d3A;:8>5+fdd951303-;9984>0858 <752=?:h6*7b`84k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?jd?j3:1(<<:5;g4`>=zj=i><7?54;294~"69:l15N69=90(kki:0662>"6:>:6`>2459612b3-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:ma"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65`b9`94?"6:1<7>t$030b??6k2B:>>m4H0377>"6:13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=`1c32wi8i7i:08;>5<7s-;:?k48e49K575d3A;:8>5+8cc90>"6:k2;6664=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo:k9982>=<729q/=<=i:6g6?M75;j1C=<:<;%:ae?2<,88>97??b19K55?33-3:>7::1e9m57302;>>>6*>0e0900463`9i;7>5$0061?24n21b84k50;&2603=0hh07d??7883>!75=<0:o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:4<1290;w)?>3g8:5`=O9;9h7E?>429'573228:2;6*6138714b<,1hj6?6k;o3112<5<<90(<>k2;6664=n0h;1<7*>2479744?7>4;h:b6?6=,88>97=>2:l2605=921b4l=50;&2603=;880b<<:3;08?l>f<3:1(<<:5;126>h6:<91?65f8`794?"6:5$0061?c0l21vn9j>4;392?6=8r.:=>h590g8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei383h6`>245961333-;;h?4;5338m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=6753g;99>4=;:k;e1<72-;9984<139m57342:10e5o::18'57322:;97c?=5287?>ie0k0;6)?=548f3a=h<44>:783>5}#989m64?j;I317f=O98>87)?=54824<1<,0;969;>d:&;fd<50m1e=?;8:3661>"68m8188<>;h:b5?6=,88>97=>2:l2605=821b4l<50;&2603=;880b<<:3;38?l>f;3:1(<<:5;126>h6:<91>65f8`694?"6:5$0061?56:2d:>8=54:9lf=d=83.:>8;5e6f8?xd3?:n1=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc93ab;7<;579'55b52=?9=6g7a083>!75=<08=?5a1370>5=6753g;99>4>;:k;e6<72-;9984<139m57342;10e5o;:18'57322:;97c?=5280?>o?i<0;6)?=548057=i9;?86954i9c5>5<#9;?>6>?=;o3116<232ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0722?2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1be?3;187>50z&256`=18i0D<<97??969'=44=<<;o7)6ma;073>h6:<=1>9;7;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532ei4o4?:%31103<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=:=?27)??d387ad215a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>722i2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi8n>k:085>5<7s-;:?k461d9K575d3A;:8>5+1376>46>?2.2=?4;50f8 =df2;2o7c?=568100d<,8:o>7::209j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?jd?j3:1(<<:5;g4`>=zj=o?h7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=568100e<,8:o>7::209j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f6d?=3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;071a=#99n96>le290/=?;::d5g?M75==10qo:95382>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>0988k4$02g6?22:81b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd5;k;1=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>2459613a3-;;h?4=38g8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm2361>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:90:&24a4=:;8m7d6n0;29 442=32jn65`b9`94?"6:=zj=9i>7?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?981/==j=:51:g>o?i90;6)?=548;eg=`1c3A;99954}c60f5<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4708 46c:3>85n5f8`294?"6:97k8d:J2602<3th??lk51;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:=<87)??d3877=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:3650>"68m8189km;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn9:if;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?:85+11f1>12bj2c3m=4?:%31107:;ec9j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl;45f95?4=83:p(N6::i0Dh6:<=1>988;%33`7<3<9i0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e<=>i6<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72102.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb567=?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;689'55b52=>;o6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=?8:4>:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5k2;674f=n0h:1<7*>24795$0061?c0l2B:>8:4;|`7013=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>895254a?!77l;0?8=m4i9c3>5<#9;?>65om;:ma5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>=o6*>0e09016d3`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f122n3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;072a=#99n969:?c:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo:;5e82>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>098;k4$02g6?238j1b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd3<22a8L473;2.:>8;53`d8 <752=?:h6`>2459610a3-;;h?4;41a8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm457:>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:80:&24a4=<=:h7d6n0;29 442=32jn65`b9`94?"6:=zj=>>;7?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c6710<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4608 46c:3>?97k8d:J2602<3th?88=51;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:==87)??d38705e=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:3640>"68m8189>l;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn9:;f;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?;85+11f1>127k2c3m=4?:%31107:=e59j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl;30`95?4=83:p(N6::i0Dh6:<=1>998;%33`7<3:l>0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e<9l>6<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72002.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb2dg6?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;789'55b52:l>h6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=>94>:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5<>k0(<>k2;07a4=n0h:1<7*>24795$0061?c0l2B:>8:4;|`1170=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>895255a?!77l;098h?4i9c3>5<#9;?>65om;:ma8<7:081>5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>0e0961c63`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f735i3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;073a=#99n96?:j1:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo<:2b82>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>098:k4$02g6?43m81b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd5=;o1=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>2459611a3-;;h?4=4d38m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm2413>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:70:&24a4=:=o:7d6n0;29 442=32jn65`b9`94?"6:=zj;?8>7?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c0671<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4908 46c:38?i<5f8`294?"6:97k8d:J2602<3th99<651;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:=287)??d3810`7=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:36;0>"68m81>9k>;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn?;>c;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?485+11f1>72b92c3m=4?:%31107<;e09j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl=53295?4=83:p(N6::i0Dh6:<=1>968;%33`7<5!75=<0n;i5G1377?>{e:<896<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72?02.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb37g6?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;889'55b52;?h<6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=h94>:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5<1k0(<>k2;06g5=n0h:1<7*>24795$0061?c0l2B:>8:4;|`11a0=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>89525:a?!77l;099n>4i9c3>5<#9;?>65om;:ma96<:081>5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>3o6*>0e0961163`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f72?=3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;07e290/=?;::d5g?M75==10qo<;8682>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>0985k4$02g6?43?81b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd5??:1=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>245961>a3-;;h?4=5dc8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm261`>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:60:&24a4=:=zj;N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c045=<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4808 46c:38>il5f8`294?"6:97k8d:J2602<3th94o>51;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:=387)??d3811`g=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:36:0>"68m81>8kn;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn?6l2;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?585+11f1>73bi2c3m=4?:%31107<:e`9j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl=8cg95?4=83:p(N6::i0Dh6:<=1>978;%33`7<5=lk0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e:1hh6<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72>02.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb3:ae?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;989'55b52;?nm6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5<0k0(<>k2;06ad=n0h:1<7*>24795$0061?c0l2B:>8:4;|`1t$030b??792B:>>m4H0377>"6:136l2d:>89525;a?!77l;099ho4i9c3>5<#9;?>65om;:ma5l;:081>5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>2o6*>0e0960cf3`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f7>e:3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;07=a=#99n96?;ja:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo<74582>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>0984k4$02g6?42mh1b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd50=81=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>245961?a3-;;h?4=5dc8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm2975>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:n0:&24a4=:=zj;2>87?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c0;17<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4`08 46c:38>il5f8`294?"6:97k8d:J2602<3th948>51;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:=k87)??d3811`g=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:36b0>"68m81>8kn;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn?6;c;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?m85+11f1>73bi2c3m=4?:%31107<:e`9j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl=85:95?4=83:p(N6::i0Dh6:<=1>9o8;%33`7<5=lk0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e:1>=6<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72f02.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb3:4a?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;a89'55b52;?nm6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5k2;06ad=n0h:1<7*>24795$0061?c0l2B:>8:4;|`1<2g=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>89525ca?!77l;099ho4i9c3>5<#9;?>65om;:ma597:081>5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>jo6*>0e0960cf3`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f7>0>3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;07ea=#99n96?;ja:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo<77582>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>098lk4$02g6?42mh1b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd500:1=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>245961ga3-;;h?4=5dc8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm29:f>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:m0:&24a4=:=zj;23o7?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c0;<663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4c08 46c:38>il5f8`294?"6:97k8d:J2602<3th945651;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:=h87)??d3811`g=ge32ei4o4?:%3110128096=4?{%327c<>881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:36a0>"68m81>8kn;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn?674;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?n85+11f1>73bi2c3m=4?:%31107<:e`9j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl=89295?4=83:p(N6::i0Dh6:<=1>9l8;%33`7<5=lk0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e:1;=6<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72e02.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb3:20?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;b89'55b52;?nm6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=54>:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5k2;06ad=n0h:1<7*>24795$0061?c0l2B:>8:4;|`1<70=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>89525`a?!77l;099ho4i9c3>5<#9;?>65om;:ma5<;:081>5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>io6*>0e0960cf3`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f7>5:3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;07fa=#99n96?;ja:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo<72182>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>098ok4$02g6?42mh1b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd508o1=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>245961da3-;;h?4=5dc8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm293`>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:l0:&24a4=:=zj;2:m7?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c0;5=<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4b08 46c:38>il5f8`294?"6:97k8d:J2602<3th9524797d`<,0;969;>d:l2601=:=i87)??d3811`g=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:36`0>"68m81>8kn;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn?7>8;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?o85+11f1>73bi2c3m=4?:%31109?0:6?4?:1y'545a20::7E?=3b9K54243-;99847<:e`9j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl=90695?4=83:p(N6::i0Dh6:<=1>9m8;%33`7<5=lk0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e:0;96<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72d02.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb3;24?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;c89'55b52;?nm6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5k2;06ad=n0h:1<7*>24795$0061?c0l2B:>8:4;|`1=5e=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>89525aa?!77l;099ho4i9c3>5<#9;?>65om;:ma4>n:081>5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>ho6*>0e0960cf3`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f7?703;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;07ga=#99n96?;ja:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo<60782>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>098nk4$02g6?42mh1b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd51981=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>245961ea3-;;h?4=5dc8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm2823>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:k0:&24a4=:=zj;2mi7?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c0;bf<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4e08 46c:38>il5f8`294?"6:97k8d:J2602<3th94ko51;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:=n87)??d3811`g=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:36g0>"68m81>8kn;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn?6i6;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?h85+11f1>73bi2c3m=4?:%31107<:e`9j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl=8g095?4=83:p(N6::i0Dh6:<=1>9j8;%33`7<5=lk0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e:1l;6<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72c02.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb3;1g?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;d89'55b52;?nm6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=l4>:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5k2;06ad=n0h:1<7*>24795$0061?c0l2B:>8:4;|`1=7>=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>89525fa?!77l;099ho4i9c3>5<#9;?>65om;:ma4<9:081>5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>oo6*>0e0960cf3`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f7?5<3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;07`a=#99n96?;ja:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo<62382>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>098ik4$02g6?42mh1b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd51;:1=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>245961ba3-;;h?4=5dc8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm283b>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:j0:&24a4=:=zj;3;87?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c142f<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4d08 46c:39=9;5f8`294?"6:97k8d:J2602<3th8;;o51;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:=o87)??d380200=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:36f0>"68m81?;;9;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn>996;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?i85+11f1>602>2c3m=4?:%3110=0:6?4?:1y'545a20::7E?=3b9K54243-;99847=9579j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl<77095?4=83:p(N6::i0Dh6:<=1>9k8;%33`7<4><<0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e;><;6<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72b02.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb256a?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;e89'55b52:<>:6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5k2;1513=n0h:1<7*>24795$0061?c0l2B:>8:4;|`032e=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>89525ga?!77l;08:884i9c3>5<#9;?>65om;:ma5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>no6*>0e0973313`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f61003;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;07aa=#99n96>8:6:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo=87782>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>098hk4$02g6?51=?1b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd4?>>1=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>245961ca3-;;h?4<6448m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm3651>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?:i0:&24a4=;??=7d6n0;29 442=32jn65`b9`94?"6:=zj:=<<7?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c142`<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=4g08 46c:39=9;5f8`294?"6:97k8d:J2602<3th8?n<51;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:=l87)??d3806de=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:36e0>"68m81??ol;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn>=me;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38?j85+11f1>64fk2c3m=4?:%31107==ab9j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl<3cc95?4=83:p(N6::i0Dh6:<=1>9h8;%33`7<4:hi0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e;:h36<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>72a02.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb21a2?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<;f89'55b52:8jo6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5k2;11ef=n0h:1<7*>24795$0061?c0l2B:>8:4;|`07a2=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>89525da?!77l;08>lm4i9c3>5<#9;?>65om;:maj=:081>5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;>mo6*>0e0977gd3`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f65c83;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;07ba=#99n96>e290/=?;::d5g?M75==10qo=7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>098kk4$02g6?55ij1b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd4;ji1=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>245961`a3-;;h?4<2`a8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm32ab>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?;?0:&24a4=;;kh7d6n0;29 442=32jn65`b9`94?"6:=zj:9h47?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c10g3<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=5108 46c:399mn5f8`294?"6:97k8d:J2602<3th8?n:51;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:<:87)??d3806de=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:3730>"68m81>hhj;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vn>>>2;396?6=8r.:=>h59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38><85+11f1>7cam2c3m=4?:%311078;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl<01g95?4=83:p(N6::i0Dh6:<=1>8>8;%33`7<5moo0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e;9:h6<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>73702.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb223e?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<:089'55b52;omi6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5=9k0(<>k2;0fb`=n0h:1<7*>24795$0061?c0l2B:>8:4;|`0450=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>895242a?!77l;09ikk4i9c3>5<#9;?>65om;:ma5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;?;o6*>0e096``b3`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f665<3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;064a=#99n96?kie:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo=?2382>7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>099=k4$02g6?4bnl1b4l>50;&2603=0hh07bl7b;29 442=3o2468?xd48;:1=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>2459606a3-;;h?4=egg8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm313f>4<5290;w)?>3g8:44=O9;9h7E?>429'57322:km7)7>2;665a=i9;?<6?;>0:&24a4=:lln7d6n0;29 442=32jn65`b9`94?"6:=zj:::o7?52;294~"69:l15=?4H000g>N69=90(<<:5;1bb>">9;0?9o?i90;6)?=548;eg=`1c3A;99954}c135d<62;0;6=u+101e><663A;9?n5G1060?!75=<08mk5+9009007c3g;99:4=5008 46c:38njh5f8`294?"6:97k8d:J2602<3th8<<651;094?6|,8;8j77?1:J266e<@8;??6*>24797d`<,0;969;>d:l2601=:<;87)??d381acc=ge32ei4o4?:%3110881C=?=l;I3206=#9;?>6>oi;%;26?229m1e=?;8:3720>"68m81>hhj;h:b4?6=,88>976nb:9lf=d=83.:>8;5e6f8L442<21vnh59138L444k2B:=9=4$0061?5fn2.2=?4;50f8j442?38>=85+11f1>4`512c3m=4?:%31107=m3e9j8;58``8?jd?j3:1(<<:5;g4`>N6:<>07pl=26f95?4=83:p(N6::i0Dh6:<=1>8?8;%33`7<5:8l0e5o?:18'573221ki76am8c83>!75=<0n;i5G1377?>{e::oh6<4=:183!76;o02<<5G131`?M76<:1/=?;::2ce?!?6:3>>=i5a1374>73602.:f83:1(<<:5;:bf>=hj1h1<7*>2479a2b<@88>865rb362g?7=:3:112d9=57<@888o6F>1518 442=39jj6*6138714b;7<:189'55b52;>::6g7a183>!75=<03mo54oc:a>5<#9;?>6h9k;I3111=:383>5}#989m64>>;I317f=O98>87)?=5480ec=#188188?k;o3112<5=8k0(<>k2;0753=n0h:1<7*>24795$0061?c0l2B:>8:4;|`12f0=9381<7>t$030b??792B:>>m4H0377>"6:136l2d:>895243a?!77l;099ho4i9c3>5<#9;?>65om;:ma;hk:081>5<7s-;:?k46009K575d3A;:8>5+1376>6ga3-3:>7::1e9m57302;?:o6*>0e0960cf3`2j<7>5$0061?>fj21dn5l50;&2603=m>n0D<<:4:9~f7g4?3;1>7>50z&256`=19;0D<<97=nf:&:57<3=8n0b<<:7;065a=#99n96?;ja:k;e5<72-;99847ac98kg>e290/=?;::d5g?M75==10qo7<729q/=<=i:822?M75;j1C=<:<;%3110<4io1/5<<5443g?k75=>09950;&2603=0hh07bl7b;29 442=3o2468?xd6l=91=7<50;2x 474n33;=6F>22a8L473;2.:>8;53`d8 <752=?:h6`>2459607a3-;;h?4>c8d8m=g7290/=?;::9ca?>ie0k0;6)?=548f3a=O9;??76sm4`f4>4<3290;w)?>3g8:3==O9;9h7E?>429'573220==7E??929Kd:l2601=:<8;7)??d387177=gd32c3m?4?:%3110n8;29 442=3;;mn54oc:a>5<#9;?>6h9k;:a0db?280<6=4?{%327c<>9o1C=?=l;I3206=#9;?>6<>67:&:57<3=8n0(5ln:578j442?38>><5+11f1>13592c3m<4?:%3110<49;1e=?;<:198m=g5290/=?;::231?k75=:0:76g7a283>!75=<08=?5a1370>7=6753g;99>4<;:k;e0<72-;9984<139m57342=10e5o9:18'57322:;97c?=5286?>ie0k0;6)?=548f3a=3<84>:583>5}#989m6497;I317f=O98>87)?=548:33=O99387E6m1:&:57<3=8n0b<<:7;0667=#99n969;=1:k;e4<72-;99847ab98m=g5290/=?;::9cg?>o68h21<7*>247955gd32ei4o4?:%31102<729q/=<=i:83e?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0:m<5a1374>735;2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?56:2d:>8=52:9j8;53008j442;3907d6n5;29 442=39:>6`>24190>=n0h<1<7*>2479744?7;4;n`;f?6=,88>97k8d:9~f1>7j3;1;7>50z&256`=18l0D<<97??969'=44=<<;o7)6ma;32e>h6:<=1>8<;;%33`7<3=;;0e5o>:18'57322:;97c?=5283?>o?i;0;6)?=548057=i9;?86<54i9c0>5<#9;?>6>?=;o3116<532c3m94?:%3110<49;1e=?;<:298m=g2290/=?;::231?k75=:0?76g7a783>!75=<08=?5a1370>0=`1c32wi?i>k:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5=;?0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=k0c82>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302;?9:6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>099?94$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1g5f<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6?;=8:&24a4=;k9o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4=53;8 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5c910:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:371e>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;m;=6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=568117d<,8:o>7=m3e9j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>247907b??7683>!75=<0n;i54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k9;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>735k2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?i?=:08;>5<7s-;:?k48e79K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5=;n0(<>k2;1a7a=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07d??7883>!75=<0:976g>08:94?"6:5$0061?77l110qo=k1182>=<729q/=<=i:6g5?M75;j1C=<:<;%3110<68k:0(5ln:59K55?33-3:>7::1e9m57302;?9i6*>0e097g5c3`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65f115:>5<#9;?>6<>k4:9l5510290/=?;::d5g?>o68ho1<7*>247955gb3A;:8?54i02:97??999K542532c:43g84a3=O9;9h7E?>429'573228:i<6*7b`87?M771=1/5<<5443g?k75=>099?h4$02g6?5e;m1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:0e68?j77?>0;6)?=548f3a=5$0061?77il1C=<:=;:k24<>=83.:>8;511;;?M76<;10e<>n8;29 442=3;;h554}c1g4=<6210;6=u+101e>2c13A;9?n5G1060?!75=<0:4$9`b>1=O993?7)7>2;665a=i9;?<6?;<0:&24a4=;k9o7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9j551>290/=?;::02g0>=h99=<6=4+1376>`1c32c:4398m46>03:1(<<:5;33===O98>976g>0`:94?"6:6<>m0:&;fd<33A;;595+9009007c3g;99:4=5238 46c:39i?i5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?l77?00;6)?=54824a2<3f;;;:4?:%3110ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02b97??d998yg5c:;0:654?:1y'545a2>o=7E?=3b9K54243-;9984>0c28 =df2=1C==7;;%;26?229m1e=?;8:3706>"68m81?o=k;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g>0`g94?"6:5$0061?77111C=<:=;:k24d>=83.:>8;511f;?>{e;lkn6<47:183!76;o0"?jh0?7E??959'=44=<<;o7c?=5681165<,8:o>7=m3e9j7g1=83.:>8;542d8?l2>m3:1(<<:5;:bf>=n99=26=4+1376>46c<21d==98:18'57322l=o76g;3083>!75=<0??<54i02ba?6=,88>97??ad9K542532c:<4650;&2603=99337E?>4398m46f03:1(<<:5;33`==:983>5}#989m6:k:;I317f=O98>87)?=54824g6<,1hj695G11;7?!?6:3>>=i5a1374>734<2.:=n<0o1<7*>247907b??7683>!75=<0n;i54i512>5<#9;?>69=>;:k24dc=83.:>8;511cf?M76<;10e<>68;29 442=3;;555G1061?>o68h21<7*>247955b?32wi?hlm:08;>5<7s-;:?k48e49K575d3A;:8>5+1376>46e82.3nl4;;I33=1=#188188?k;o3112<5=:?0(<>k2;1a7a=n;k=1<7*>247906`<3`>2i7>5$0061?>fj21b==96:18'573228:o865`1154>5<#9;?>6h9k;:k774<72-;9984;3098m46fm3:1(<<:5;33e`=O98>976g>08:94?"6:5$0061?77l110qo?ifc82>6<729q/=<=i:83a?M75;j1C=<:<;%3110<680=0(4?=:572`>"?jh0<4l5a1374>734>2.:f93:1(<<:5;126>h6:<91<65f8`094?"6:5$0061?c0l21vnh590`8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3=3m6`>245960503-;;h?4>f3;8m=g6290/=?;::231?k75=:0;76g7a383>!75=<08=?5a1370>4=`1c32wi=<79:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=52:8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=52;8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459605f3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?jj0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8=m;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=io7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=52a8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=<7<:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=52f8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=52g8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459605a3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?jk0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8:?;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=in7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5538 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=<7?:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5508 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5518 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960233-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?jh0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8::;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=im7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5548 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=<6k:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5558 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=55:8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459602>3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?j00:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8:n;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=i57?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=55`8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=<6n:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=55a8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=55f8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459602b3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?j10:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8:i;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=i47?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5428 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=<68:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5438 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5408 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960343-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?j>0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8;;;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=i;7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5478 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5448 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5458 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459603?3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?m10:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8;6;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=n:7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=54c8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=54`8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=54a8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459603c3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?m>0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8;j;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=n97?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=54d8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5728 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5738 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960053-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?m?0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>88<;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=n87?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5768 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5778 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5748 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960003-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?m<0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>887;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=n?7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=57;8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=57c8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=57`8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459600d3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?m=0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>88k;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=n>7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=57g8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=57d8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5628 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960163-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?l00:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>89=;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o;7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5618 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=<7i:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5668 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5678 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960113-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?kj0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>898;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=ho7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=56:8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=<7l:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=56;8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=56c8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459601e3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k80:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>89l;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h=7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=56f8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=<76:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=56g8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=56d8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960>73-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?j?0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>86>;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=i:7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5908 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=<6;:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5918 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5968 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960>23-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?j:0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>869;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=i97?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5958 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=59:8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=59;8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960>f3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?kl0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>86m;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=hi7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=59a8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=59f8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=59g8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960>a3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?km0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>87?;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=hh7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5838 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5808 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5818 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960?33-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?kk0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>87:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=hn7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5848 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5858 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=58:8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960?>3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?kh0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>87n;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=hm7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=58`8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=58a8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=58f8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960?b3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k00:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>87i;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h57?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5`28 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5`38 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5`08 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960g43-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k10:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8o;;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h47?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5`78 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5`48 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5`58 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960g?3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k>0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8o6;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h;7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5`c8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5``8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5`a8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960gc3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k?0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8oj;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h:7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5`d8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5c28 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5c38 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960d53-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k<0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8l<;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h97?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5c68 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5c78 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5c48 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960d03-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k=0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8l7;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h87?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5c;8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5cc8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5c`8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960dd3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k:0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8lk;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h?7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5cg8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5cd8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5b28 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960e63-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k;0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8m=;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h>7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5b18 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5b68 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5b78 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960e13-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?k90:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8m8;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=h<7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5b:8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5b;8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5bc8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960ee3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?jo0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8ml;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=ij7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5bf8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5bg8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5bd8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960b73-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?jl0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8j>;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=ii7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5e08 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5e18 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5e68 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960b23-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?jm0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8j9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=ih7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5e58 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=??7:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5e:8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5e;8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960bf3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?m80:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8jm;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=oj7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5ea8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=??::187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5ef8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5eg8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960ba3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?m90:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8k?;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=oi7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5d38 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=??=:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5d08 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5d18 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960c33-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?lo0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8k:;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=oh7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5d48 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?>i:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5d58 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5d:8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960c>3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?ll0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8kn;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=oo7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5d`8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?>l:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5da8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5df8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960cb3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?lm0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8ki;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=on7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5g28 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?>6:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5g38 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5g08 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960`43-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?lj0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8h;;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=om7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5g78 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?=<:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5g48 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5g58 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960`?3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?lk0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8h6;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o57?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5gc8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?=?:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=5g`8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=5ga8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245960`c3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?lh0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>8hj;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o47?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=5gd8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=6128 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=6138 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245963653-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?l10:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>;><;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o:7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=6168 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=6178 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=6148 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245963603-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?l>0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>;>7;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o97?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=61;8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?<8:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=61c8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=61`8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459636d3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?l?0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>;>k;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o87?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=61g8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?<;:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=61d8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=6028 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245963763-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?l<0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>;?=;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o?7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=6018 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?<>:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=6068 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=6078 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245963713-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?l=0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>;?8;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o>7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=60:8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=??j:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=60;8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=60c8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>2459637e3-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?l:0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>;?l;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o=7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=60f8 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=??m:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=60g8 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=60d8 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245963473-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?l;0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>;<>;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=o<7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=6308 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=?>9:187>7<3s-;:?k46099K575d3A;:8>5+9009007c3g;99:4=6318 46c:3;n?o5f8`294?=n99l=6=44oc:a>5<<#0:=6=44b9c4>4<5290;w)?>3g8;e2=#9;?>6>oi;I;3e>">8j03mo5+9009007c3g;99:4=6368 46c:3;n?o5f8`294?"6:97k8d:9~f`0328086=4?{%327c=1/=?;::030e>N>8h1/5=m5e6f8 <752=?:h6`>245963423-;;h?4>e2`8m46a>3:1(<<:5;6:2>N6:<>07d6n0;29 442=32jn65`b9`94?N69:h0(<<:5;g4`>=z{8:m:7>52z\24c0<5li6:pf82wxn5l50;0xZg>e342j;7l7b:p=50=839pR4>9;<:b3?>f827n:94m8c9~yg7?ko0:654?:1y'545a2>o27E?=3b9K54243-;9984>0c28 =df2k30(4?=:572`>h6:<=1>;<9;%33`7<6=m20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:k24d>=83.:>8;511f;?>i68>=1<7*>2479a2b<3`;;mh4?:%3110<68ho0DN69=807d??d583>!75=<0:=zj8=hj7?58;294~"69:l1;h64H000g>N69=90(<<:5;33f5=#0kk1n45+9009007c3g;99:4=6358 46c:3;>h55f3c594?"6:h4;h6:a?6=,88>976nb:9j55g?290/=?;::02g<>=h99=<6=4+1376>`1c32c??<4?:%3110<3;810e<>ne;29 442=3;;mh5G1061?>o68021<7*>247955??3A;:8?54i02g0?6=,88>97??d59K542532wi=hjj:08ag?6=8r.:=>h511:5?M75;j1C=<:<;[1a1?e|lk0oo7?8c;3;4?70l3;3=7?8e;36e?7213;>n7?8f;361mn5+8c795g><,1h=6l=4$9`4>4613-2i479m3:&;f<<1k2.3no4>0:&;ff<>j2.3ni4>3:&;f`<6<2.3nk4j;%:`4?473-2h=7:i;%:`6?413-2h?7?7;%:`0?403-2h97h4$9a5>06<,1i<6n5+8b:9`>"?k00:>6*7c`82g>"?kk0ii6*7cb82<2=#0jn1=9;4$9af>dc<,1im6:ll;%:g4?d53-2o=7?i7:&;`7<6mj1/4i=51ba8 =b32j;0(5j::0f4?!>c>3;?86*7d682ga=#0m21i85+8e;950`<,1nj6cn3;?>6*7e187e`=#0l;1=9=4$9g1>6df3-2n?7?i3:&;a1<3im1/4h;52318 =c1282i7)6j7;5:4>"?m109><5+8d;9572<,1oj6"?n80i?6*7f382g<=#0o91=984$9d7>4`13-2m97?61:&;b3<0n01/4k95a19'=ik1/4k75289'"6::21=?=9;o6ge?753g>on7?=;o4;5?63b9'8;511:5?!5693;;mk5+9009007c3-;;n84<219m57302;<946*>0e095`bc3`;;4i4?::k703<72Alnh65f7`594?=n993j6=44i56`>5>o68031<75f7`794?=n=mo1<7*ieb86`a=inlh1<6*m8786`0=ij1?1?65f5ea94?"amj0>hi5afd`95>"e0?0>h85ab9796>=n=mh1<7*ieb86`a=inlh1>6*m8786`0=ij1?1=65f5ec94?"amj0>hi5afd`97>"e0?0>h85ab9794>=n98?h6=4+fda9543e3glnn7>4$c:5>472;2di484<;:k250g=83.min4>14`8jcce281/n5851070?kd?=3807d?>5883>!`bk3;:9o5afd`96>"e0?0:=8=4nc:6>4=47>5$gg`>472j2dmio4<;%`;2?76=:1en5;50:9l201=83.min49579mb`d=82.i4;491e9mf=3=9o10c;;::18'b`e=><<0bkkm:09'f=0=>8n0bo6::0g8?j02;3:1(kkl:775?k`bj380(o69:73g?kd?=3;o76a95383>!`bk3<>:6`iec80?!d?>3<:h6`m8482g>=h><;1<7*ieb8513=inlh186*m87855a=ij1?1=o54o773>5<#nli1:884ngga>0=#j1<1:4g<3f5$gg`>3313glnn784$c:5>37c3gh397?6;:m50`<72-lno78:6:leag<03-h3:78>d:la<0<6021d:9j50;&eaf<1=?1ejhl58:&a<3<19m1en5;51698k32d290/jhm56448jcce201/n58560f8jg>228<07b8;b;29 ccd2??=7chjb;c8 g>12?;o7cl75;36?>i1hamk0i7)l76;42`>he0<0:865`65:94?"amj0=9;5afd`9g>"e0?0==i5ab97956=<6=4+fda92006<<4;n472?6=,ooh6;;9;odff?c<,k2=6;?k;o`;1?7632e=884?:%dfg?02>2dmio4i;%`;2?06l2di484>0:9l212=83.min49579mb`d=991/n58560f8jg>22o10c;:<:18'b`e=><<0bkkm:038 g>12?;o7cl75;g8?j03:3:1(kkl:775?k`bj3;97)l76;42`>he0<0o76a94083>!`bk3<>:6`iec827>"e0?0==i5ab979g>=h>=:1<7*ieb8513=inlh1=95+b94924b6o54o71e>5<#nli1:884ngga>43<,k2=6;?k;o`;1?g<3f<>i7>5$gg`>3313glnn7?9;%`;2?06l2di4846;:m51a<72-lno78:6:leag<6?2.i4;491e9mf=3=021d:8m50;&eaf<1=?1ejhl5199'f=0=>8n0bo6::698k33e290/jhm56448jcce2830(o69:73g?kd?=3<07b8:a;29 ccd2??=7chjb;3b?!d?>3<:h6`m8486?>i1=00;6)hjc;462>hamk0:n6*m87855a=ij1?1865`64:94?"amj0=9;5afd`95f=#j1<1:6=37c3gh397<4;n47=?6=,ooh6;;9;odff?7b3-h3:78>d:la<0<632e=?h4?:%dfg?02>2dmio4>f:&a<3<19m1en5;50:9j6g7=83.min4=b19mb`d=82.i4;4=9e9mf=3=l21b>lh50;&eaf<5j91ejhl51:&a<3<51m1en5;5c:9j6dc=83.min4=b19mb`d=:2.i4;4=9e9mf=3=j21b>lj50;&eaf<5j91ejhl53:&a<3<51m1en5;5a:9j6gg=83.min4=b19mb`d=<2.i4;4=9e9mf=3=121b>o750;&eaf<5j91ejhl55:&a<3<51m1en5;58:9j6g>=83.min4=b19mb`d=>2.i4;4=9e9mf=3=?21b>o950;&eaf<5j91ejhl57:&a<3<51m1en5;56:9j6g0=83.min4=b19mb`d=02.i4;4=9e9mf=3==21b>o;50;&eaf<5j91ejhl59:&a<3<51m1en5;54:9j6g2=83.min4=b19mb`d=i2.i4;4=9e9mf=3=;21b>o=50;&eaf<5j91ejhl5b:&a<3<51m1en5;52:9j6g4=83.min4=b19mb`d=k2.i4;4=9e9mf=3=921b>lm50;&eaf<5j91ejhl5d:&a<3<51m1en5;50:9j6`d=83.min4=e`9mb`d=82.i4;4=d69mf=3=l21b>h750;&eaf<5mh1ejhl51:&a<3<5l>1en5;5c:9j6`>=83.min4=e`9mb`d=:2.i4;4=d69mf=3=j21b>h950;&eaf<5mh1ejhl53:&a<3<5l>1en5;5a:9j6c2=83.min4=e`9mb`d=<2.i4;4=d69mf=3=121b>k=50;&eaf<5mh1ejhl55:&a<3<5l>1en5;58:9j6c4=83.min4=e`9mb`d=>2.i4;4=d69mf=3=?21b>k?50;&eaf<5mh1ejhl57:&a<3<5l>1en5;56:9j6c6=83.min4=e`9mb`d=02.i4;4=d69mf=3==21b>hh50;&eaf<5mh1ejhl59:&a<3<5l>1en5;54:9j6`c=83.min4=e`9mb`d=i2.i4;4=d69mf=3=;21b>hj50;&eaf<5mh1ejhl5b:&a<3<5l>1en5;52:9j6`e=83.min4=e`9mb`d=k2.i4;4=d69mf=3=921b>h850;&eaf<5mh1ejhl5d:&a<3<5l>1en5;50:9j1dd=83.min4:a`9mb`d=82.i4;4:709mf=3=9o10e8o6:18'b`e==hk0bkkm:09'f=0==>;0bo6::0g8?l3f?3:1(kkl:4cb?k`bj380(o69:452?kd?=3;o76g:a783>!`bk3?jm6`iec80?!d?>3?<=6`m8482g>=n=h?1<7*ieb86ed=inlh186*m878634=ij1?1=o54i4c7>5<#nli19lo4ngga>0=#j1<19:?4nc:6>4g<3`?j?7>5$gg`>0gf3glnn784$c:5>0163gh397?6;:k6e7<72-lno7;na:leag<03-h3:7;81:la<0<6021b9l?50;&eaf<2ih1ejhl58:&a<3<2?81en5;51698m0g7290/jhm55`c8jcce201/n5855638jg>228<07d;6f;29 ccd212<=:7cl75;36?>o21l0;6)hjc;7be>hamk0i7)l76;745>he0<0:865f58a94?"amj0>ml5afd`9g>"e0?0>;<5ab97956=6<<4;h7:e?6=,ooh68on;odff?c<,k2=689>;o`;1?7632c>544?:%dfg?3fi2dmio4i;%`;2?3092di484>0:9j1<>=83.min4:a`9mb`d=991/n5855638jg>22o10e878:18'b`e==hk0bkkm:038 g>12<=:7cl75;g8?l3>>3:1(kkl:4cb?k`bj3;97)l76;745>he0<0o76g:9483>!`bk3?jm6`iec827>"e0?0>;<5ab979g>=n=0>1<7*ieb86ed=inlh1=95+b9491276o54i4;0>5<#nli19lo4ngga>43<,k2=689>;o`;1?g<3`?i>7>5$gg`>0gf3glnn7?9;%`;2?3092di4846;:k6f4<72-lno7;na:leag<6?2.i4;4:709mf=3=021b9o>50;&eaf<2ih1ejhl5199'f=0==>;0bo6::698m0ga290/jhm55`c8jcce2830(o69:452?kd?=3<07d;ne;29 ccd23?<=6`m8486?>o2im0;6)hjc;7be>hamk0:n6*m878634=ij1?1865f5`a94?"amj0>ml5afd`95f=#j1<19:?4nc:6>6=0163gh397<4;h7:`?6=,ooh68on;odff?7b3-h3:7;81:la<0<632c>5?4?:%dfg?3fi2dmio4>f:&a<3<2?81en5;50:9l2c7=83.min49f19mb`d=82.i4;49e99mf=3=;21d:hh50;&eaf<1n91ejhl51:&a<3<1m11en5;52:9l2`c=83.min49f19mb`d=:2.i4;49e99mf=3=921d:hj50;&eaf<1n91ejhl53:&a<3<1m11en5;50:9j1`c=83.min4:ee9mb`d=82.i4;4:e49mf=3=;21b9hm50;&eaf<2mm1ejhl51:&a<3<2m<1en5;52:9j1`d=83.min4:ee9mb`d=:2.i4;4:e49mf=3=921b9ho50;&eaf<2mm1ejhl53:&a<3<2m<1en5;50:9l357=83.min48019mb`d=82.i4;49f99mf=3=;21d:kh50;&eaf<0891ejhl51:&a<3<1n11en5;52:9l2cc=83.min48019mb`d=:2.i4;49f99mf=3=921d:kj50;&eaf<0891ejhl53:&a<3<1n11en5;50:9l2ge=83.min49bc9mb`d=82.i4;49839mf=3=9o10c;ln:18'b`e=>kh0bkkm:09'f=0=>180bo6::0g8?j0e03:1(kkl:7`a?k`bj380(o69:7:1?kd?=3;o76a9b683>!`bk33<3>6`m8482g>=h>k<1<7*ieb85fg=inlh186*m8785<7=ij1?1=o54o7`6>5<#nli1:ol4ngga>0=#j1<1:5<4nc:6>4g<3f5$gg`>3de3glnn784$c:5>3>53gh397?6;:m5f6<72-lno78mb:leag<03-h3:7872:la<0<6021d:o<50;&eaf<1jk1ejhl58:&a<3<10;1en5;51698k3d6290/jhm56c`8jcce201/n5856908jg>228<07b8m0;29 ccd2?hi7chjb;c8 g>12?297cl75;36?>i1io0;6)hjc;4af>hamk0i7)l76;4;6>he0<0:865`6`f94?"amj0=no5afd`9g>"e0?0=4?5ab97956=6<<4;n4bf?6=,ooh6;lm;odff?c<,k2=6;6=;o`;1?7632e=ml4?:%dfg?0ej2dmio4i;%`;2?0?:2di484>0:9l2d?=83.min49bc9mb`d=991/n5856908jg>22o10c;o7:18'b`e=>kh0bkkm:038 g>12?297cl75;g8?j0f?3:1(kkl:7`a?k`bj3;97)l76;4;6>he0<0o76a9a783>!`bk3"e0?0=4?5ab979g>=h>h?1<7*ieb85fg=inlh1=95+b9492=46o54o7c7>5<#nli1:ol4ngga>43<,k2=6;6=;o`;1?g<3f5$gg`>3de3glnn7?9;%`;2?0?:2di4846;:m5g7<72-lno78mb:leag<6?2.i4;49839mf=3=021d:n?50;&eaf<1jk1ejhl5199'f=0=>180bo6::698k3e7290/jhm56c`8jcce2830(o69:7:1?kd?=3<07b8mf;29 ccd2?hi7chjb;3b?!d?>3<3>6`m8486?>i1jl0;6)hjc;4af>hamk0:n6*m8785<7=ij1?1865`6cf94?"amj0=no5afd`95f=#j1<1:5<4nc:6>6=3>53gh397<4;n4ba?6=,ooh6;lm;odff?7b3-h3:7872:la<0<632e=m>4?:%dfg?0ej2dmio4>f:&a<3<10;1en5;50:9j540d290/jhm5104a?k`bj3:0(o69:0357>he0<0876g>17c94?"amj0:=;l4ngga>4=#j1<1=<8<;o`;1?4<3`;::44?:%dfg?76>k1ejhl52:&a<3<69?90bo6::098m47103:1(kkl:035f>hamk087)l76;3226=ij1?1<65f55594?"amj0>8;5afd`94>"e0?0>>6=4+fda91106k;o`;1?7c32c>8?4?:%dfg?33>2dmio4<;%`;2?37l2di484>c:9j117=83.min4:479mb`d=<2.i4;4:0e9mf=3=9k10e8:?:18'b`e===<0bkkm:49'f=0==9n0bo6::0c8?l34n3:1(kkl:465?k`bj3<0(o69:42g?kd?=3;276g:3d83>!`bk3??:6`iec84?!d?>3?;h6`m8482<>=n=:n1<7*ieb8603=inlh146*m87864a=ij1?1=:54i41`>5<#nli19984ngga><=#j1<19=j4nc:6>40<3`?8n7>5$gg`>0213glnn7o4$c:5>06c3gh397?:;:k67d<72-lno7;;6:leag650;&eaf<2228807d;<6;29 ccd2<>=7chjb;g8 g>12<:o7cl75;32?>o2;<0;6)hjc;772>hamk0m7)l76;73`>he0<0:<65f52694?"amj0>8;5afd`955=#j1<19=j4nc:6>c=06c3gh397k4;h706?6=,ooh68:9;odff?753-h3:7;?d:la<0?<4?:%dfg?33>2dmio4>3:&a<3<28m1en5;5c:9j166=83.min4:479mb`d=9=1/n58551f8jg>22k10e812<:o7cl75;c8?l33m3:1(kkl:465?k`bj3;=7)l76;73`>he0<0276g:4e83>!`bk3??:6`iec823>"e0?0>=n==i1<7*ieb8603=inlh1=55+b94915b6:54i46a>5<#nli19984ngga>4?<,k2=68>k;o`;1?0<3`??m7>5$gg`>0213glnn7?n;%`;2?37l2di484:;:k60<<72-lno7;;6:leag<6j2.i4;4:0e9mf=3=<21b99650;&eaf<2=7chjb;3f?!d?>3?;h6`m8482?>o2:l0;6)hjc;772>hamk0:j6*m87864a=ij1?1<65rb0gf5?7=jj0;6=u+101e>46?>2B:>>m4H0377>\4j<0hwil5db823f<6090:;i4>80823`<6=h0:944>5c823c<6=10v(5lm:048 =dd28;:7)6md;3g?!>em3;n7)6mf;34?!>d83897)6l1;6:?!>d:3837)6l3;3b?!>d<39:7)6l5;36?!>d>3=27)6l7;`8 =e?28;0(5m6:0`8 =ef2830(5mm:033?!>dk3;:96*7ce8e<>"?kl0"?l90::<5+8e3955e<,1n964:4$9f0>ag<,1n?65h4$9f6>4633-2o:7?>d:&;`2b93;:n6*7e38:=>"?m:0i46*7e58:6>"?m<0oh6*7e78257=#0l=1jo5+8d:9bf=#0l31jh5+8dc9ba=#0lh1==h4$9g`>3g<,1oo6<>m;%:fa?75=2.3ik4>3b9'a03>n7)6i9;0a?!>ai3;m7)?=3682660<,88847?=379m0ag=9;1e8il5139m2=7=82d=i;4?;%5bf?76;j1/;lm5101`?!>e:3k0(5l<:`9'"?j00j7)6ib;;8 =`d201/==o;:512?!77i<0??<5+11c1>46a;2.:al3h356F>0gc8 442=3;;4;5+303955ga3-3:>7::1e9'55d22:8;7c?=568127?<,8:o>7?jde9j55>c2900e9:9:18Kb`b<3`=j;7>5;h33=d<722c?8n4?:Idf`>=n992n6=44i02:=?6=3`=j97>5;h7ga?6=,ooh68jk;odff?6<,k2=68j:;o`;1?5<3`?oo7>5$gg`>0bc3glnn7?4$c:5>0b23gh397<4;h7gf?6=,ooh68jk;odff?4<,k2=68j:;o`;1?7<3`?om7>5$gg`>0bc3glnn7=4$c:5>0b23gh397>4;h321f<72-lno7?>5c9mb`d=82.i4;4>1418jg>22:10en6`iec82?!d?>3;:9>5ab9796>=n98?26=4+fda9543e3glnn7<4$c:5>472;2di484>;:k250>=83.min4>14`8jcce2:1/n5851070?kd?=3:07b8:7;29 ccd2??=7chjb;28 g>12?;o7cl75;3e?>i1=<0;6)hjc;462>hamk0:7)l76;42`>he0<0:i65`64194?"amj0=9;5afd`96>"e0?0==i5ab9795a=5+b94924b62dmio4:;%`;2?06l2di484>a:9l21`=83.min49579mb`d=>2.i4;491e9mf=3=9010c;:j:18'b`e=><<0bkkm:69'f=0=>8n0bo6::0:8?j03l3:1(kkl:775?k`bj320(o69:73g?kd?=3;<76a94b83>!`bk3<>:6`iec8:?!d?>3<:h6`m84822>=h>=h1<7*ieb8513=inlh1m6*m87855a=ij1?1=854o76b>5<#nli1:884ngga>g=#j1<1:42<3f5$gg`>3313glnn7m4$c:5>37c3gh397?<;:m502<72-lno78:6:leagd:la<0<6:21d:9850;&eaf<1=?1ejhl5e:&a<3<19m1en5;51098k322290/jhm56448jcce2o1/n58560f8jg>228:07b8;4;29 ccd2??=7chjb;33?!d?>3<:h6`m848e?>i1<:0;6)hjc;462>hamk0:=6*m87855a=ij1?1i65`65094?"amj0=9;5afd`957=#j1<1:a=:6=4+fda920037c3gh397m4;n474?6=,ooh6;;9;odff?733-h3:78>d:la<02dmio4>5:&a<3<19m1en5;5a:9l20c=83.min49579mb`d=9?1/n58560f8jg>22010c;;k:18'b`e=><<0bkkm:058 g>12?;o7cl75;:8?j02k3:1(kkl:775?k`bj3;37)l76;42`>he0<0<76a95c83>!`bk3<>:6`iec82=>"e0?0==i5ab9792>=h>6854o77:>5<#nli1:884ngga>4d<,k2=6;?k;o`;1?2<3f<>47>5$gg`>3313glnn7?l;%`;2?06l2di484<;:m511<72-lno78:6:leag<6l2.i4;491e9mf=3=:21d:9750;&eaf<1=?1ejhl51d9'f=0=>8n0bo6::098k35b290/jhm56448jcce28l0(o69:73g?kd?=3:07d12;3o7cl75;f8?l4fn3:1(kkl:3`3?k`bj3;0(o69:3;g?kd?=3i07d12;3o7cl75;`8?l4fl3:1(kkl:3`3?k`bj390(o69:3;g?kd?=3k07d12;3o7cl75;;8?l4e13:1(kkl:3`3?k`bj3?0(o69:3;g?kd?=3207d12;3o7cl75;58?l4e?3:1(kkl:3`3?k`bj3=0(o69:3;g?kd?=3<07d12;3o7cl75;78?l4e=3:1(kkl:3`3?k`bj330(o69:3;g?kd?=3>07d12;3o7cl75;18?l4e;3:1(kkl:3`3?k`bj3h0(o69:3;g?kd?=3807d12;3o7cl75;38?l4fk3:1(kkl:3`3?k`bj3n0(o69:3;g?kd?=3:07d12;n<7cl75;f8?l4b13:1(kkl:3gb?k`bj3;0(o69:3f4?kd?=3i07d12;n<7cl75;`8?l4b?3:1(kkl:3gb?k`bj390(o69:3f4?kd?=3k07d12;n<7cl75;;8?l4a;3:1(kkl:3gb?k`bj3?0(o69:3f4?kd?=3207d12;n<7cl75;58?l4a93:1(kkl:3gb?k`bj3=0(o69:3f4?kd?=3<07d12;n<7cl75;78?l4bn3:1(kkl:3gb?k`bj330(o69:3f4?kd?=3>07d12;n<7cl75;18?l4bl3:1(kkl:3gb?k`bj3h0(o69:3f4?kd?=3807d12;n<7cl75;38?l4b>3:1(kkl:3gb?k`bj3n0(o69:3f4?kd?=3:07d;nb;29 ccd212<=:7cl75;3e?>o2i00;6)hjc;7be>hamk0:7)l76;745>he0<0:i65f5`594?"amj0>ml5afd`96>"e0?0>;<5ab9795a=5+b9491276;o`;1?7e32c>m94?:%dfg?3fi2dmio4:;%`;2?3092di484>a:9j1d5=83.min4:a`9mb`d=>2.i4;4:709mf=3=9010e8o=:18'b`e==hk0bkkm:69'f=0==>;0bo6::0:8?l3f93:1(kkl:4cb?k`bj320(o69:452?kd?=3;<76g:a183>!`bk3?jm6`iec8:?!d?>3?<=6`m84822>=n=0l1<7*ieb86ed=inlh1m6*m878634=ij1?1=854i4;f>5<#nli19lo4ngga>g=#j1<19:?4nc:6>42<3`?2o7>5$gg`>0gf3glnn7m4$c:5>0163gh397?<;:k6=g<72-lno7;na:leag290/jhm55`c8jcce2o1/n5855638jg>228:07d;68;29 ccd23?<=6`m848e?>o21>0;6)hjc;7be>hamk0:=6*m878634=ij1?1i65f58494?"amj0>ml5afd`957=#j1<19:?4nc:6>a=6=4+fda91dg0163gh397m4;h7:0?6=,ooh68on;odff?733-h3:7;81:la<05>4?:%dfg?3fi2dmio4>5:&a<3<2?81en5;5a:9j1g4=83.min4:a`9mb`d=9?1/n5855638jg>22010e8l>:18'b`e==hk0bkkm:058 g>12<=:7cl75;:8?l3e83:1(kkl:4cb?k`bj3;37)l76;745>he0<0<76g:ag83>!`bk3?jm6`iec82=>"e0?0>;<5ab9792>=n=ho1<7*ieb86ed=inlh1=l5+b9491276854i4cg>5<#nli19lo4ngga>4d<,k2=689>;o`;1?2<3`?jo7>5$gg`>0gf3glnn7?l;%`;2?3092di484<;:k6e=<72-lno7;na:leag<6l2.i4;4:709mf=3=:21b94j50;&eaf<2ih1ejhl51d9'f=0==>;0bo6::098m0?5290/jhm55`c8jcce28l0(o69:452?kd?=3:07b8i1;29 ccd2?l;7chjb;28 g>12?o37cl75;18?j0bn3:1(kkl:7d3?k`bj3;0(o69:7g;?kd?=3807b8je;29 ccd2?l;7chjb;08 g>12?o37cl75;38?j0bl3:1(kkl:7d3?k`bj390(o69:7g;?kd?=3:07d;je;29 ccd2127cl75;18?l3bk3:1(kkl:4gg?k`bj3;0(o69:4g6?kd?=3807d;jb;29 ccd2127cl75;38?l3bi3:1(kkl:4gg?k`bj390(o69:4g6?kd?=3:07b9?1;29 ccd2>:;7chjb;28 g>12?l37cl75;18?j0an3:1(kkl:623?k`bj3;0(o69:7d;?kd?=3807b8ie;29 ccd2>:;7chjb;08 g>12?l37cl75;38?j0al3:1(kkl:623?k`bj390(o69:7d;?kd?=3:07b8mc;29 ccd2?hi7chjb;28 g>12?297cl75;3e?>i1jh0;6)hjc;4af>hamk0:7)l76;4;6>he0<0:i65`6c:94?"amj0=no5afd`96>"e0?0=4?5ab9795a=5+b9492=46a:9l2g2=83.min49bc9mb`d=>2.i4;49839mf=3=9010c;l<:18'b`e=>kh0bkkm:69'f=0=>180bo6::0:8?j0e:3:1(kkl:7`a?k`bj320(o69:7:1?kd?=3;<76a9b083>!`bk33<3>6`m84822>=h>k:1<7*ieb85fg=inlh1m6*m8785<7=ij1?1=854o7ce>5<#nli1:ol4ngga>g=#j1<1:5<4nc:6>42<3f5$gg`>3de3glnn7m4$c:5>3>53gh397?<;:m5ef<72-lno78mb:leag228:07b8n9;29 ccd2?hi7chjb;33?!d?>3<3>6`m848e?>i1i10;6)hjc;4af>hamk0:=6*m8785<7=ij1?1i65`6`594?"amj0=no5afd`957=#j1<1:5<4nc:6>a=3>53gh397m4;n4b1?6=,ooh6;lm;odff?733-h3:7872:la<05:&a<3<10;1en5;5a:9l2f5=83.min49bc9mb`d=9?1/n5856908jg>22010c;m=:18'b`e=>kh0bkkm:058 g>12?297cl75;:8?j0d93:1(kkl:7`a?k`bj3;37)l76;4;6>he0<0<76a9c183>!`bk3"e0?0=4?5ab9792>=h>kl1<7*ieb85fg=inlh1=l5+b9492=46854o7`f>5<#nli1:ol4ngga>4d<,k2=6;6=;o`;1?2<3f5$gg`>3de3glnn7?l;%`;2?0?:2di484<;:m5f<<72-lno78mb:leag<6l2.i4;49839mf=3=:21d:lk50;&eaf<1jk1ejhl51d9'f=0=>180bo6::098k3g4290/jhm56c`8jcce28l0(o69:7:1?kd?=3:07d?>6b83>!`bk3;::o5afd`94>"e0?0:=;=4nc:6>6=5$gg`>471j2dmio4>;%`;2?76>:1en5;52:9j540>290/jhm5104a?k`bj380(o69:0357>he0<0:76g>17:94?"amj0:=;l4ngga>6=#j1<1=<8<;o`;1?6<3`??;7>5$gg`>0213glnn7>4$c:5>06c3gh397?i;:k600<72-lno7;;6:leag<63-h3:7;?d:la<0<6m21b99=50;&eaf<2228i07d;;1;29 ccd2<>=7chjb;68 g>12<:o7cl75;3a?>o2<90;6)hjc;772>hamk0>7)l76;73`>he0<0:m65f52d94?"amj0>8;5afd`92>"e0?0>6<64;h70`?6=,ooh68:9;odff?><,k2=68>k;o`;1?7032c>?n4?:%dfg?33>2dmio46;%`;2?37l2di484>6:9j16d=83.min4:479mb`d=i2.i4;4:0e9mf=3=9<10e8=n:18'b`e===<0bkkm:c9'f=0==9n0bo6::068?l3403:1(kkl:465?k`bj3i0(o69:42g?kd?=3;876g:3683>!`bk3??:6`iec8g?!d?>3?;h6`m84826>=n=:<1<7*ieb8603=inlh1i6*m87864a=ij1?1=<54i416>5<#nli19984ngga>c=#j1<19=j4nc:6>46<3`?887>5$gg`>0213glnn7??;%`;2?37l2di484i;:k676<72-lno7;;6:leag<692.i4;4:0e9mf=3=m21b9><50;&eaf<2=7chjb;37?!d?>3?;h6`m848a?>o2:o0;6)hjc;772>hamk0:96*m87864a=ij1?1m65f55g94?"amj0>8;5afd`953=#j1<19=j4nc:6><=o6=4+fda911006c3gh39764;h77g?6=,ooh68:9;odff?7?3-h3:7;?d:la<0<032c>8o4?:%dfg?33>2dmio4>9:&a<3<28m1en5;56:9j11g=83.min4:479mb`d=9h1/n58551f8jg>22<10e8:6:18'b`e===<0bkkm:0`8 g>12<:o7cl75;68?l3303:1(kkl:465?k`bj3;h7)l76;73`>he0<0876g:4583>!`bk3??:6`iec82`>"e0?0>=n=:31<7*ieb8603=inlh1=h5+b94915b6<54i40f>5<#nli19984ngga>4`<,k2=68>k;o`;1?6<3th>?4751;``>5<7s-;:?k4>0948L444k2B:=9=4Z2`6>f}cj3nh6<9l:0:3>41c282:6<9j:07b>43>28?i6<9i:07;>x"?j;0j7)6m3;c8 =d32h1/4o;5a:&;f3d=#0k31m6*7bc8b?!>ek3k0(5lk:`9'"?k:0j7)6l4;c8 =e22h1/4n85a:&;g2d=#0jk1m6*7cc8b?!>dk3k0(5mk:`9'"?l:0j7)6k4;c8 =b22h1/4i85a:&;`2d=#0mk1m6*7dc8b?!>ck3k0(5jk:`9'"?m:0j7)6j4;c8 =c22h1/4h85a:&;a2d=#0lk1m6*7ec8b?!>bk3k0(5kk:`9'<`c=i2.3ik4n;%:e4?g<,1l:6l5+8g09e>"?n:0j7)6i4;c8 =`22h1/4k85a:&;b2d=#0ok1m6*7fc8:?!>ak330(<>k6;;8 46c?330(<<<7;3173=#9;936<<<6:l7`d<>3g>on774n7:2>5=i>l<1<6*8ac8256e<,>kh6n5;605>"68h81==h<;%33f3<68j30(5hk:c::?M77nh1/=?;::02;2>"4980:136l2.:l5+11f1>05?n2c:<5j50;9j010=83Bmii54i6c4>5<5;h67g?6=@ooo76g>09g94?=n99326=44i6c6>5<6>54i4f`>5<#nli19ij4ngga>4=#j1<19i;4nc:6>7=6<54i4fb>5<#nli19ij4ngga>6=#j1<19i;4nc:6>5=o7>5$gg`>472j2dmio4?;%`;2?76=:1en5;53:9j543f290/jhm5107a?k`bj3;0(o69:0367>he0<0976g>14;94?"amj0:=8l4ngga>7=#j1<1=<;<;o`;1?7<3`;:954?:%dfg?76=k1ejhl53:&a<3<69<90bo6::198k330290/jhm56448jcce291/n58560f8jg>228l07b8:5;29 ccd2??=7chjb;38 g>12?;o7cl75;3f?>i1=:0;6)hjc;462>hamk097)l76;42`>he0<0:h65`64094?"amj0=9;5afd`97>"e0?0==i5ab9795f=62dmio49;%`;2?06l2di484>9:9l21c=83.min49579mb`d=?2.i4;491e9mf=3=9110c;:k:18'b`e=><<0bkkm:99'f=0=>8n0bo6::058?j03k3:1(kkl:775?k`bj330(o69:73g?kd?=3;=76a94c83>!`bk3<>:6`iec8b?!d?>3<:h6`m84821>=h>=k1<7*ieb8513=inlh1n6*m87855a=ij1?1=954o76;>5<#nli1:884ngga>f=#j1<1:45<3f5$gg`>3313glnn7j4$c:5>37c3gh397?=;:m503<72-lno78:6:leagd:la<0<6921d:9;50;&eaf<1=?1ejhl5f:&a<3<19m1en5;51198k323290/jhm56448jcce28:0(o69:73g?kd?=3l07b8;3;29 ccd2??=7chjb;32?!d?>3<:h6`m848f?>i1<;0;6)hjc;462>hamk0:>6*m87855a=ij1?1h65`65394?"amj0=9;5afd`956=#j1<1:f=;6=4+fda920037c3gh397l4;n40b?6=,ooh6;;9;odff?723-h3:78>d:la<02dmio4>6:&a<3<19m1en5;59:9l20b=83.min49579mb`d=9>1/n58560f8jg>22110c;;l:18'b`e=><<0bkkm:0:8 g>12?;o7cl75;58?j02j3:1(kkl:775?k`bj3;27)l76;42`>he0<0=76a95`83>!`bk3<>:6`iec82e>"e0?0==i5ab9791>=h><31<7*ieb8513=inlh1=o5+b94924b6954o77;>5<#nli1:884ngga>4e<,k2=6;?k;o`;1?5<3f<>87>5$gg`>3313glnn7?k;%`;2?06l2di484=;:m50<<72-lno78:6:leag<6m2.i4;491e9mf=3=921d:>k50;&eaf<1=?1ejhl51g9'f=0=>8n0bo6::198m7d6290/jhm52c28jcce291/n58528f8jg>22m10e?oi:18'b`e=:k:0bkkm:09'f=0=:0n0bo6::b98m7gb290/jhm52c28jcce2;1/n58528f8jg>22k10e?ok:18'b`e=:k:0bkkm:29'f=0=:0n0bo6::`98m7df290/jhm52c28jcce2=1/n58528f8jg>22010e?l6:18'b`e=:k:0bkkm:49'f=0=:0n0bo6::998m7d?290/jhm52c28jcce2?1/n58528f8jg>22>10e?l8:18'b`e=:k:0bkkm:69'f=0=:0n0bo6::798m7d1290/jhm52c28jcce211/n58528f8jg>22<10e?l::18'b`e=:k:0bkkm:89'f=0=:0n0bo6::598m7d3290/jhm52c28jcce2h1/n58528f8jg>22:10e?l<:18'b`e=:k:0bkkm:c9'f=0=:0n0bo6::398m7d5290/jhm52c28jcce2j1/n58528f8jg>22810e?ol:18'b`e=:k:0bkkm:e9'f=0=:0n0bo6::198m7ce290/jhm52dc8jcce291/n5852e58jg>22m10e?k6:18'b`e=:lk0bkkm:09'f=0=:m=0bo6::b98m7c?290/jhm52dc8jcce2;1/n5852e58jg>22k10e?k8:18'b`e=:lk0bkkm:29'f=0=:m=0bo6::`98m7`3290/jhm52dc8jcce2=1/n5852e58jg>22010e?h<:18'b`e=:lk0bkkm:49'f=0=:m=0bo6::998m7`5290/jhm52dc8jcce2?1/n5852e58jg>22>10e?h>:18'b`e=:lk0bkkm:69'f=0=:m=0bo6::798m7`7290/jhm52dc8jcce211/n5852e58jg>22<10e?ki:18'b`e=:lk0bkkm:89'f=0=:m=0bo6::598m7cb290/jhm52dc8jcce2h1/n5852e58jg>22:10e?kk:18'b`e=:lk0bkkm:c9'f=0=:m=0bo6::398m7cd290/jhm52dc8jcce2j1/n5852e58jg>22810e?k9:18'b`e=:lk0bkkm:e9'f=0=:m=0bo6::198m0ge290/jhm55`c8jcce291/n5855638jg>228l07d;n9;29 ccd212<=:7cl75;3f?>o2i>0;6)hjc;7be>hamk097)l76;745>he0<0:h65f5`494?"amj0>ml5afd`97>"e0?0>;<5ab9795f=6=4+fda91dg6;o`;1?7f32c>m>4?:%dfg?3fi2dmio49;%`;2?3092di484>9:9j1d4=83.min4:a`9mb`d=?2.i4;4:709mf=3=9110e8o>:18'b`e==hk0bkkm:99'f=0==>;0bo6::058?l3f83:1(kkl:4cb?k`bj330(o69:452?kd?=3;=76g:9g83>!`bk3?jm6`iec8b?!d?>3?<=6`m84821>=n=0o1<7*ieb86ed=inlh1n6*m878634=ij1?1=954i4;`>5<#nli19lo4ngga>f=#j1<19:?4nc:6>45<3`?2n7>5$gg`>0gf3glnn7j4$c:5>0163gh397?=;:k6=d<72-lno7;na:leag3?<=6`m848f?>o21?0;6)hjc;7be>hamk0:>6*m878634=ij1?1h65f58794?"amj0>ml5afd`956=#j1<19:?4nc:6>f=0163gh397l4;h7:7?6=,ooh68on;odff?723-h3:7;81:la<0n?4?:%dfg?3fi2dmio4>6:&a<3<2?81en5;59:9j1g7=83.min4:a`9mb`d=9>1/n5855638jg>22110e8l?:18'b`e==hk0bkkm:0:8 g>12<=:7cl75;58?l3fn3:1(kkl:4cb?k`bj3;27)l76;745>he0<0=76g:ad83>!`bk3?jm6`iec82e>"e0?0>;<5ab9791>=n=hn1<7*ieb86ed=inlh1=o5+b9491276954i4c`>5<#nli19lo4ngga>4e<,k2=689>;o`;1?5<3`?j47>5$gg`>0gf3glnn7?k;%`;2?3092di484=;:k6=a<72-lno7;na:leag<6m2.i4;4:709mf=3=921b94<50;&eaf<2ih1ejhl51g9'f=0==>;0bo6::198k3`6290/jhm56g28jcce291/n5856d:8jg>22:10c;ki:18'b`e=>o:0bkkm:09'f=0=>l20bo6::398k3cb290/jhm56g28jcce2;1/n5856d:8jg>22810c;kk:18'b`e=>o:0bkkm:29'f=0=>l20bo6::198m0cb290/jhm55df8jcce291/n5855d78jg>22:10e8kl:18'b`e==ln0bkkm:09'f=0==l?0bo6::398m0ce290/jhm55df8jcce2;1/n5855d78jg>22810e8kn:18'b`e==ln0bkkm:29'f=0==l?0bo6::198k266290/jhm57128jcce291/n5856g:8jg>22:10c;hi:18'b`e=?9:0bkkm:09'f=0=>o20bo6::398k3`b290/jhm57128jcce2;1/n5856g:8jg>22810c;hk:18'b`e=?9:0bkkm:29'f=0=>o20bo6::198k3dd290/jhm56c`8jcce291/n5856908jg>228l07b8ma;29 ccd2?hi7chjb;38 g>12?297cl75;3f?>i1j10;6)hjc;4af>hamk097)l76;4;6>he0<0:h65`6c594?"amj0=no5afd`97>"e0?0=4?5ab9795f=69:9l2g5=83.min49bc9mb`d=?2.i4;49839mf=3=9110c;l=:18'b`e=>kh0bkkm:99'f=0=>180bo6::058?j0e93:1(kkl:7`a?k`bj330(o69:7:1?kd?=3;=76a9b183>!`bk33<3>6`m84821>=h>hl1<7*ieb85fg=inlh1n6*m8785<7=ij1?1=954o7cg>5<#nli1:ol4ngga>f=#j1<1:5<4nc:6>45<3f5$gg`>3de3glnn7j4$c:5>3>53gh397?=;:m5eg<72-lno78mb:leag290/jhm56c`8jcce28:0(o69:7:1?kd?=3l07b8n8;29 ccd2?hi7chjb;32?!d?>3<3>6`m848f?>i1i>0;6)hjc;4af>hamk0:>6*m8785<7=ij1?1h65`6`494?"amj0=no5afd`956=#j1<1:5<4nc:6>f=6=4+fda92gd3>53gh397l4;n4b0?6=,ooh6;lm;odff?723-h3:7872:la<04?:%dfg?0ej2dmio4>6:&a<3<10;1en5;59:9l2f4=83.min49bc9mb`d=9>1/n5856908jg>22110c;m>:18'b`e=>kh0bkkm:0:8 g>12?297cl75;58?j0d83:1(kkl:7`a?k`bj3;27)l76;4;6>he0<0=76a9bg83>!`bk3"e0?0=4?5ab9791>=h>ko1<7*ieb85fg=inlh1=o5+b9492=46954o7`g>5<#nli1:ol4ngga>4e<,k2=6;6=;o`;1?5<3f5$gg`>3de3glnn7?k;%`;2?0?:2di484=;:m5e`<72-lno78mb:leag<6m2.i4;49839mf=3=921d:l=50;&eaf<1jk1ejhl51g9'f=0=>180bo6::198m471k3:1(kkl:035f>hamk0;7)l76;3226=ij1?1?65f104b>5<#nli1=<8m;odff?7<,k2=610;6)hjc;322g=inlh1?6*m87825356=54i464>5<#nli19984ngga>5=#j1<19=j4nc:6>4`<3`??97>5$gg`>0213glnn7?4$c:5>06c3gh397?j;:k606<72-lno7;;6:leag<53-h3:7;?d:la<0<6l21b99<50;&eaf<2228h07d;;0;29 ccd2<>=7chjb;78 g>12<:o7cl75;3b?>o2;o0;6)hjc;772>hamk0=7)l76;73`>he0<0:565f52g94?"amj0>8;5afd`93>"e0?0>6<94;h70g?6=,ooh68:9;odff??<,k2=68>k;o`;1?7132c>?o4?:%dfg?33>2dmio4n;%`;2?37l2di484>5:9j16g=83.min4:479mb`d=j2.i4;4:0e9mf=3=9=10e8=7:18'b`e===<0bkkm:b9'f=0==9n0bo6::018?l34?3:1(kkl:465?k`bj3n0(o69:42g?kd?=3;976g:3783>!`bk3??:6`iec8f?!d?>3?;h6`m84825>=n=:?1<7*ieb8603=inlh1j6*m87864a=ij1?1==54i417>5<#nli19984ngga>46<,k2=68>k;o`;1?`<3`?8?7>5$gg`>0213glnn7?>;%`;2?37l2di484j;:k677<72-lno7;;6:leag<6:2.i4;4:0e9mf=3=l21b9>?50;&eaf<20(o69:42g?kd?=3h07d;=f;29 ccd2<>=7chjb;36?!d?>3?;h6`m848b?>o2hamk0::6*m87864a=ij1?1565f55f94?"amj0>8;5afd`952=#j1<19=j4nc:6>==h6=4+fda911006c3gh39794;h77f?6=,ooh68:9;odff?7>3-h3:7;?d:la<0<132c>8l4?:%dfg?33>2dmio4>a:&a<3<28m1en5;55:9j11?=83.min4:479mb`d=9k1/n58551f8jg>22=10e8:7:18'b`e===<0bkkm:0a8 g>12<:o7cl75;18?l33<3:1(kkl:465?k`bj3;o7)l76;73`>he0<0976g:3883>!`bk3??:6`iec82a>"e0?0>=n=;o1<7*ieb8603=inlh1=k5+b94915b6=54}c70=3<62ki1<7>t$030b?770?1C=?=l;I3206=];k?1ovjm:ea952e=91:1=:j5193952c=9d=#0k21m6*7b88b?!>ej3k0(5ll:`9'"?k;0j7)6l3;c8 =e32h1/4n;5a:&;g3d=#0j31m6*7c`8b?!>dj3k0(5ml:`9'"?l;0j7)6k3;c8 =b32h1/4i;5a:&;`3d=#0m31m6*7d`8b?!>cj3k0(5jl:`9'"?m;0j7)6j3;c8 =c32h1/4h;5a:&;a3d=#0l31m6*7e`8b?!>bj3k0(5kl:`9'<`b=i2.3ih4n;%:fb?g<,1l;6l5+8g39e>"?n;0j7)6i3;c8 =`32h1/4k;5a:&;b3d=#0o31m6*7f`8b?!>aj330(5hl:89'55b1201/==j8:89'57502888:6*>22:957513g>om774n5fa><=i>1;1<6`9e783?!1fj3;:?n5+7`a9545d3-;;m94;309'55g22=9:7)??a3824c5<,8:i:7??c89'ia:&2603=992=7)=>1;33ec=#188188?k;%33f0"68m819>6i;h33=n?h=1<75f11;b>5<h6=4Gfdf8?l770l0;66g>08;94?=n?h?1<75f5eg94?"amj0>hi5afd`94>"e0?0>h85ab9797>=n=mi1<7*ieb86`a=inlh1=6*m8786`0=ij1?1>65f5e`94?"amj0>hi5afd`96>"e0?0>h85ab9795>=n=mk1<7*ieb86`a=inlh1?6*m8786`0=ij1?1<65f107`>5<#nli1=<;m;odff?6<,k2=66*m87825056<54i036529mf=3=821d:8950;&eaf<1=?1ejhl50:&a<3<19m1en5;51g98k332290/jhm56448jcce281/n58560f8jg>228o07b8:3;29 ccd2??=7chjb;08 g>12?;o7cl75;3g?>i1=;0;6)hjc;462>hamk087)l76;42`>he0<0:o65`64394?"amj0=9;5afd`90>"e0?0==i5ab9795g=632e=8h4?:%dfg?02>2dmio48;%`;2?06l2di484>8:9l21b=83.min49579mb`d=02.i4;491e9mf=3=9>10c;:l:18'b`e=><<0bkkm:89'f=0=>8n0bo6::048?j03j3:1(kkl:775?k`bj3k0(o69:73g?kd?=3;>76a94`83>!`bk3<>:6`iec8a?!d?>3<:h6`m84820>=h>=21<7*ieb8513=inlh1o6*m87855a=ij1?1=>54o764>5<#nli1:884ngga>a=#j1<1:44<3f5$gg`>3313glnn7k4$c:5>37c3gh397?>;:m500<72-lno78:6:leagd:la<0<6821d:9:50;&eaf<1=?1ejhl5119'f=0=>8n0bo6::g98k324290/jhm56448jcce28;0(o69:73g?kd?=3o07b8;2;29 ccd2??=7chjb;31?!d?>3<:h6`m848g?>i1<80;6)hjc;462>hamk0:?6*m87855a=ij1?1o65`65294?"amj0=9;5afd`951=#j1<1:g=37c3gh397o4;n46a?6=,ooh6;;9;odff?713-h3:78>d:la<0<>32e=9i4?:%dfg?02>2dmio4>7:&a<3<19m1en5;58:9l20e=83.min49579mb`d=911/n58560f8jg>22>10c;;m:18'b`e=><<0bkkm:0;8 g>12?;o7cl75;48?j02i3:1(kkl:775?k`bj3;j7)l76;42`>he0<0>76a95883>!`bk3<>:6`iec82f>"e0?0==i5ab9790>=h><21<7*ieb8513=inlh1=n5+b94924b6>54o777>5<#nli1:884ngga>4b<,k2=6;?k;o`;1?4<3f5$gg`>3313glnn7?j;%`;2?06l2di484>;:m57`<72-lno78:6:leag<6n2.i4;491e9mf=3=821b>o?50;&eaf<5j91ejhl50:&a<3<51m1en5;5d:9j6d`=83.min4=b19mb`d=92.i4;4=9e9mf=3=k21b>lk50;&eaf<5j91ejhl52:&a<3<51m1en5;5b:9j6db=83.min4=b19mb`d=;2.i4;4=9e9mf=3=i21b>oo50;&eaf<5j91ejhl54:&a<3<51m1en5;59:9j6g?=83.min4=b19mb`d==2.i4;4=9e9mf=3=021b>o650;&eaf<5j91ejhl56:&a<3<51m1en5;57:9j6g1=83.min4=b19mb`d=?2.i4;4=9e9mf=3=>21b>o850;&eaf<5j91ejhl58:&a<3<51m1en5;55:9j6g3=83.min4=b19mb`d=12.i4;4=9e9mf=3=<21b>o:50;&eaf<5j91ejhl5a:&a<3<51m1en5;53:9j6g5=83.min4=b19mb`d=j2.i4;4=9e9mf=3=:21b>o<50;&eaf<5j91ejhl5c:&a<3<51m1en5;51:9j6de=83.min4=b19mb`d=l2.i4;4=9e9mf=3=821b>hl50;&eaf<5mh1ejhl50:&a<3<5l>1en5;5d:9j6`?=83.min4=e`9mb`d=92.i4;4=d69mf=3=k21b>h650;&eaf<5mh1ejhl52:&a<3<5l>1en5;5b:9j6`1=83.min4=e`9mb`d=;2.i4;4=d69mf=3=i21b>k:50;&eaf<5mh1ejhl54:&a<3<5l>1en5;59:9j6c5=83.min4=e`9mb`d==2.i4;4=d69mf=3=021b>k<50;&eaf<5mh1ejhl56:&a<3<5l>1en5;57:9j6c7=83.min4=e`9mb`d=?2.i4;4=d69mf=3=>21b>k>50;&eaf<5mh1ejhl58:&a<3<5l>1en5;55:9j6``=83.min4=e`9mb`d=12.i4;4=d69mf=3=<21b>hk50;&eaf<5mh1ejhl5a:&a<3<5l>1en5;53:9j6`b=83.min4=e`9mb`d=j2.i4;4=d69mf=3=:21b>hm50;&eaf<5mh1ejhl5c:&a<3<5l>1en5;51:9j6`0=83.min4=e`9mb`d=l2.i4;4=d69mf=3=821b9ll50;&eaf<2ih1ejhl50:&a<3<2?81en5;51g98m0g>290/jhm55`c8jcce281/n5855638jg>228o07d;n7;29 ccd212<=:7cl75;3g?>o2i?0;6)hjc;7be>hamk087)l76;745>he0<0:o65f5`794?"amj0>ml5afd`90>"e0?0>;<5ab9795g=6;o`;1?7>32c>m?4?:%dfg?3fi2dmio48;%`;2?3092di484>8:9j1d7=83.min4:a`9mb`d=02.i4;4:709mf=3=9>10e8o?:18'b`e==hk0bkkm:89'f=0==>;0bo6::048?l3>n3:1(kkl:4cb?k`bj3k0(o69:452?kd?=3;>76g:9d83>!`bk3?jm6`iec8a?!d?>3?<=6`m84820>=n=0i1<7*ieb86ed=inlh1o6*m878634=ij1?1=>54i4;a>5<#nli19lo4ngga>a=#j1<19:?4nc:6>44<3`?2m7>5$gg`>0gf3glnn7k4$c:5>0163gh397?>;:k6=<<72-lno7;na:leag;0bo6::g98m0?0290/jhm55`c8jcce28;0(o69:452?kd?=3o07d;66;29 ccd23?<=6`m848g?>o21<0;6)hjc;7be>hamk0:?6*m878634=ij1?1o65f58694?"amj0>ml5afd`951=#j1<19:?4nc:6>g=0163gh397o4;h7a6?6=,ooh68on;odff?713-h3:7;81:la<0<>32c>n<4?:%dfg?3fi2dmio4>7:&a<3<2?81en5;58:9j1g6=83.min4:a`9mb`d=911/n5855638jg>22>10e8oi:18'b`e==hk0bkkm:0;8 g>12<=:7cl75;48?l3fm3:1(kkl:4cb?k`bj3;j7)l76;745>he0<0>76g:ae83>!`bk3?jm6`iec82f>"e0?0>;<5ab9790>=n=hi1<7*ieb86ed=inlh1=n5+b9491276>54i4c;>5<#nli19lo4ngga>4b<,k2=689>;o`;1?4<3`?2h7>5$gg`>0gf3glnn7?j;%`;2?3092di484>;:k6=7<72-lno7;na:leag<6n2.i4;4:709mf=3=821d:k?50;&eaf<1n91ejhl50:&a<3<1m11en5;53:9l2``=83.min49f19mb`d=92.i4;49e99mf=3=:21d:hk50;&eaf<1n91ejhl52:&a<3<1m11en5;51:9l2`b=83.min49f19mb`d=;2.i4;49e99mf=3=821b9hk50;&eaf<2mm1ejhl50:&a<3<2m<1en5;53:9j1`e=83.min4:ee9mb`d=92.i4;4:e49mf=3=:21b9hl50;&eaf<2mm1ejhl52:&a<3<2m<1en5;51:9j1`g=83.min4:ee9mb`d=;2.i4;4:e49mf=3=821d;=?50;&eaf<0891ejhl50:&a<3<1n11en5;53:9l2c`=83.min48019mb`d=92.i4;49f99mf=3=:21d:kk50;&eaf<0891ejhl52:&a<3<1n11en5;51:9l2cb=83.min48019mb`d=;2.i4;49f99mf=3=821d:om50;&eaf<1jk1ejhl50:&a<3<10;1en5;51g98k3df290/jhm56c`8jcce281/n5856908jg>228o07b8m8;29 ccd2?hi7chjb;08 g>12?297cl75;3g?>i1j>0;6)hjc;4af>hamk087)l76;4;6>he0<0:o65`6c494?"amj0=no5afd`90>"e0?0=4?5ab9795g=6=4+fda92gd632e=n>4?:%dfg?0ej2dmio48;%`;2?0?:2di484>8:9l2g4=83.min49bc9mb`d=02.i4;49839mf=3=9>10c;l>:18'b`e=>kh0bkkm:89'f=0=>180bo6::048?j0e83:1(kkl:7`a?k`bj3k0(o69:7:1?kd?=3;>76a9ag83>!`bk33<3>6`m84820>=h>hn1<7*ieb85fg=inlh1o6*m8785<7=ij1?1=>54o7c`>5<#nli1:ol4ngga>a=#j1<1:5<4nc:6>44<3f5$gg`>3de3glnn7k4$c:5>3>53gh397?>;:m5ed<72-lno78mb:leag180bo6::g98k3g?290/jhm56c`8jcce28;0(o69:7:1?kd?=3o07b8n7;29 ccd2?hi7chjb;31?!d?>3<3>6`m848g?>i1i?0;6)hjc;4af>hamk0:?6*m8785<7=ij1?1o65`6`794?"amj0=no5afd`951=#j1<1:5<4nc:6>g=3>53gh397o4;n4`7?6=,ooh6;lm;odff?713-h3:7872:la<0<>32e=o?4?:%dfg?0ej2dmio4>7:&a<3<10;1en5;58:9l2f7=83.min49bc9mb`d=911/n5856908jg>22>10c;m?:18'b`e=>kh0bkkm:0;8 g>12?297cl75;48?j0en3:1(kkl:7`a?k`bj3;j7)l76;4;6>he0<0>76a9bd83>!`bk3"e0?0=4?5ab9790>=h>kn1<7*ieb85fg=inlh1=n5+b9492=46>54o7`:>5<#nli1:ol4ngga>4b<,k2=6;6=;o`;1?4<3f5$gg`>3de3glnn7?j;%`;2?0?:2di484>;:m5e6<72-lno78mb:leag<6n2.i4;49839mf=3=821b=<8l:18'b`e=98128;=?6`m8480?>o69?k1<7*ieb8253d6c9mb`d=:2.i4;4>1718jg>22810e3;::>5ab9794>=n===1<7*ieb8603=inlh1<6*m87864a=ij1?1=k54i466>5<#nli19984ngga>4=#j1<19=j4nc:6>4c<3`???7>5$gg`>0213glnn7<4$c:5>06c3gh397?k;:k607<72-lno7;;6:leag<43-h3:7;?d:la<0<6k21b99?50;&eaf<2228k07d;=7chjb;48 g>12<:o7cl75;3:?>o2;l0;6)hjc;772>hamk0<7)l76;73`>he0<0:465f52f94?"amj0>8;5afd`9<>"e0?0>6<84;h70f?6=,ooh68:9;odff?g<,k2=68>k;o`;1?7232c>?l4?:%dfg?33>2dmio4m;%`;2?37l2di484>4:9j16>=83.min4:479mb`d=k2.i4;4:0e9mf=3=9:10e8=8:18'b`e===<0bkkm:e9'f=0==9n0bo6::008?l34>3:1(kkl:465?k`bj3o0(o69:42g?kd?=3;:76g:3483>!`bk3??:6`iec8e?!d?>3?;h6`m84824>=n=:>1<7*ieb8603=inlh1==5+b94915b6k54i410>5<#nli19984ngga>47<,k2=68>k;o`;1?c<3`?8>7>5$gg`>0213glnn7?=;%`;2?37l2di484k;:k674<72-lno7;;6:leag<6;2.i4;4:0e9mf=3=k21b9>>50;&eaf<2=7chjb;35?!d?>3?;h6`m848:?>o2hamk0:;6*m87864a=ij1?1465f55a94?"amj0>8;5afd`95==#j1<19=j4nc:6>2=i6=4+fda911006c3gh39784;h77e?6=,ooh68:9;odff?7f3-h3:7;?d:la<0<232c>844?:%dfg?33>2dmio4>b:&a<3<28m1en5;54:9j11>=83.min4:479mb`d=9j1/n58551f8jg>22:10e8:;:18'b`e===<0bkkm:0f8 g>12<:o7cl75;08?l3413:1(kkl:465?k`bj3;n7)l76;73`>he0<0:76g:2d83>!`bk3??:6`iec82b>"e0?0>=zj<92?7?5bb83>5}#989m6<>76:J266e<@8;??6T81823a<6080:;h4>5`821<<6=k0:;k4>598~ =d52h1/4o=5a:&;f1d=#0k=1m6*7b98b?!>e13k0(5lm:`9'"?k80j7)6l2;c8 =e42h1/4n:5a:&;g0d=#0j21m6*7c88b?!>di3k0(5mm:`9'"?l80j7)6k2;c8 =b42h1/4i:5a:&;`0d=#0m21m6*7d88b?!>ci3k0(5jm:`9'"?m80j7)6j2;c8 =c42h1/4h:5a:&;a0d=#0l21m6*7e88b?!>bi3k0(5km:`9'<`e=i2.3ii4n;%:fa?g<,1om6l5+8g29e>"?n80j7)6i2;c8 =`42h1/4k:5a:&;b0d=#0o21m6*7f88b?!>ai3k0(5hm:89'>951315?!75;10:>>84n5fb><=i3:0(:om:030g>"0ij0:=>m4$02b0?2492.:5+11`5>46d12.3ji4m889K55`f3-;9984>0948 67628:jj6*6138714b<,8:i97l79:l2601=:?8h7)??d3867=`5;h672?6=@ooo76g8a683>>o680k1<75f45a94?Namm10e<>7e;29?l77100;66g8a483>>o2ll0;6)hjc;7g`>hamk0;7)l76;7g1>he0<0876g:db83>!`bk3?oh6`iec82?!d?>3?o96`m8481?>o2lk0;6)hjc;7g`>hamk097)l76;7g1>he0<0:76g:d`83>!`bk3?oh6`iec80?!d?>3?o96`m8483?>o695c9mb`d=92.i4;4>1418jg>22;10en6`iec81?!d?>3;:9>5ab9795>=n98?36=4+fda9543e3glnn7=4$c:5>472;2di484?;:m512<72-lno78:6:leag<73-h3:78>d:la<0<6n21d:8;50;&eaf<1=?1ejhl51:&a<3<19m1en5;51d98k334290/jhm56448jcce2;1/n58560f8jg>228n07b8:2;29 ccd2??=7chjb;18 g>12?;o7cl75;3`?>i1=80;6)hjc;462>hamk0?7)l76;42`>he0<0:n65`64294?"amj0=9;5afd`91>"e0?0==i5ab9795d=m6=4+fda92006<74;n47a?6=,ooh6;;9;odff?1<,k2=6;?k;o`;1?7?32e=8i4?:%dfg?02>2dmio47;%`;2?06l2di484>7:9l21e=83.min49579mb`d=12.i4;491e9mf=3=9?10c;:m:18'b`e=><<0bkkm:`9'f=0=>8n0bo6::078?j03i3:1(kkl:775?k`bj3h0(o69:73g?kd?=3;?76a94983>!`bk3<>:6`iec8`?!d?>3<:h6`m84827>=h>==1<7*ieb8513=inlh1h6*m87855a=ij1?1=?54o765>5<#nli1:884ngga>`=#j1<1:47<3f5$gg`>3313glnn7h4$c:5>37c3gh397??;:m501<72-lno78:6:leag<682.i4;491e9mf=3=n21d:9=50;&eaf<1=?1ejhl5109'f=0=>8n0bo6::d98k325290/jhm56448jcce2880(o69:73g?kd?=3n07b8;1;29 ccd2??=7chjb;30?!d?>3<:h6`m848`?>i1<90;6)hjc;462>hamk0:86*m87855a=ij1?1n65`62d94?"amj0=9;5afd`950=#j1<1:d=37c3gh39774;n46`?6=,ooh6;;9;odff?703-h3:78>d:la<02dmio4>8:&a<3<19m1en5;57:9l20d=83.min49579mb`d=901/n58560f8jg>22?10c;;n:18'b`e=><<0bkkm:0c8 g>12?;o7cl75;78?j0213:1(kkl:775?k`bj3;i7)l76;42`>he0<0?76a95983>!`bk3<>:6`iec82g>"e0?0==i5ab9797>=h><>1<7*ieb8513=inlh1=i5+b94924b6?54o76:>5<#nli1:884ngga>4c<,k2=6;?k;o`;1?7<3f<8i7>5$gg`>3313glnn7?i;%`;2?06l2di484?;:k1f4<72-lno7;%`;2?4>l2di484l;:k1e`<72-lno7l2di484n;:k1fd<72-lno732c9n44?:%dfg?4e82dmio4:;%`;2?4>l2di4847;:k1f=<72-lno7l2di4849;:k1f3<72-lno7l2di484;;:k1f1<72-lno74?:%dfg?4e82dmio4m;%`;2?4>l2di484=;:k1f7<72-lno7l2di484?;:k1ag<72-lno7;%`;2?4c?2di484l;:k1a=<72-lno732c9j>4?:%dfg?4bi2dmio4:;%`;2?4c?2di4847;:k1b7<72-lno7228n07d;n6;29 ccd212<=:7cl75;3`?>o2i<0;6)hjc;7be>hamk0?7)l76;745>he0<0:n65f5`694?"amj0>ml5afd`91>"e0?0>;<5ab9795d=6<74;h7b6?6=,ooh68on;odff?1<,k2=689>;o`;1?7?32c>m<4?:%dfg?3fi2dmio47;%`;2?3092di484>7:9j1d6=83.min4:a`9mb`d=12.i4;4:709mf=3=9?10e87i:18'b`e==hk0bkkm:`9'f=0==>;0bo6::078?l3>m3:1(kkl:4cb?k`bj3h0(o69:452?kd?=3;?76g:9b83>!`bk3?jm6`iec8`?!d?>3?<=6`m84827>=n=0h1<7*ieb86ed=inlh1h6*m878634=ij1?1=?54i4;b>5<#nli19lo4ngga>`=#j1<19:?4nc:6>47<3`?257>5$gg`>0gf3glnn7h4$c:5>0163gh397??;:k6==<72-lno7;na:leag<682.i4;4:709mf=3=n21b94950;&eaf<2ih1ejhl5109'f=0==>;0bo6::d98m0?1290/jhm55`c8jcce2880(o69:452?kd?=3n07d;65;29 ccd23?<=6`m848`?>o21=0;6)hjc;7be>hamk0:86*m878634=ij1?1n65f58194?"amj0>ml5afd`950=#j1<19:?4nc:6>d=0163gh39774;h7a5?6=,ooh68on;odff?703-h3:7;81:la<0n=4?:%dfg?3fi2dmio4>8:&a<3<2?81en5;57:9j1d`=83.min4:a`9mb`d=901/n5855638jg>22?10e8oj:18'b`e==hk0bkkm:0c8 g>12<=:7cl75;78?l3fl3:1(kkl:4cb?k`bj3;i7)l76;745>he0<0?76g:ab83>!`bk3?jm6`iec82g>"e0?0>;<5ab9797>=n=h21<7*ieb86ed=inlh1=i5+b9491276?54i4;g>5<#nli19lo4ngga>4c<,k2=689>;o`;1?7<3`?2>7>5$gg`>0gf3glnn7?i;%`;2?3092di484?;:m5b4<72-lno78i0:leag<73-h3:78j8:la<0<432e=ik4?:%dfg?0a82dmio4>;%`;2?0b02di484=;:m5a`<72-lno78i0:leag<53-h3:78j8:la<0<632e=ii4?:%dfg?0a82dmio4<;%`;2?0b02di484?;:k6a`<72-lno7;jd:leag<73-h3:7;j5:la<0<432c>in4?:%dfg?3bl2dmio4>;%`;2?3b=2di484=;:k6ag<72-lno7;jd:leag<53-h3:7;j5:la<0<632c>il4?:%dfg?3bl2dmio4<;%`;2?3b=2di484?;:m444<72-lno79?0:leag<73-h3:78i8:la<0<432e=jk4?:%dfg?1782dmio4>;%`;2?0a02di484=;:m5b`<72-lno79?0:leag<53-h3:78i8:la<0<632e=ji4?:%dfg?1782dmio4<;%`;2?0a02di484?;:m5ff<72-lno78mb:leag<73-h3:7872:la<0<6n21d:oo50;&eaf<1jk1ejhl51:&a<3<10;1en5;51d98k3d?290/jhm56c`8jcce2;1/n5856908jg>228n07b8m7;29 ccd2?hi7chjb;18 g>12?297cl75;3`?>i1j?0;6)hjc;4af>hamk0?7)l76;4;6>he0<0:n65`6c794?"amj0=no5afd`91>"e0?0=4?5ab9795d=6<74;n4a7?6=,ooh6;lm;odff?1<,k2=6;6=;o`;1?7?32e=n?4?:%dfg?0ej2dmio47;%`;2?0?:2di484>7:9l2g7=83.min49bc9mb`d=12.i4;49839mf=3=9?10c;l?:18'b`e=>kh0bkkm:`9'f=0=>180bo6::078?j0fn3:1(kkl:7`a?k`bj3h0(o69:7:1?kd?=3;?76a9ae83>!`bk33<3>6`m84827>=h>hi1<7*ieb85fg=inlh1h6*m8785<7=ij1?1=?54o7ca>5<#nli1:ol4ngga>`=#j1<1:5<4nc:6>47<3f5$gg`>3de3glnn7h4$c:5>3>53gh397??;:m5e<<72-lno78mb:leag<682.i4;49839mf=3=n21d:l650;&eaf<1jk1ejhl5109'f=0=>180bo6::d98k3g0290/jhm56c`8jcce2880(o69:7:1?kd?=3n07b8n6;29 ccd2?hi7chjb;30?!d?>3<3>6`m848`?>i1i<0;6)hjc;4af>hamk0:86*m8785<7=ij1?1n65`6`694?"amj0=no5afd`950=#j1<1:5<4nc:6>d=3>53gh39774;n4`6?6=,ooh6;lm;odff?703-h3:7872:la<08:&a<3<10;1en5;57:9l2f6=83.min49bc9mb`d=901/n5856908jg>22?10c;li:18'b`e=>kh0bkkm:0c8 g>12?297cl75;78?j0em3:1(kkl:7`a?k`bj3;i7)l76;4;6>he0<0?76a9be83>!`bk3"e0?0=4?5ab9797>=h>k31<7*ieb85fg=inlh1=i5+b9492=46?54o7cf>5<#nli1:ol4ngga>4c<,k2=6;6=;o`;1?7<3f5$gg`>3de3glnn7?i;%`;2?0?:2di484?;:k253e=83.min4>17`8jcce291/n5851040?kd?=3907d?>6`83>!`bk3;::o5afd`95>"e0?0:=;=4nc:6>7=5$gg`>471j2dmio4=;%`;2?76>:1en5;51:9j540?290/jhm5104a?k`bj390(o69:0357>he0<0;76g:4683>!`bk3??:6`iec83?!d?>3?;h6`m8482b>=n==?1<7*ieb8603=inlh1=6*m87864a=ij1?1=h54i460>5<#nli19984ngga>7=#j1<19=j4nc:6>4b<3`??>7>5$gg`>0213glnn7=4$c:5>06c3gh397?l;:k604<72-lno7;;6:leag<33-h3:7;?d:la<0<6j21b99>50;&eaf<2228307d;=7chjb;58 g>12<:o7cl75;3;?>o2;m0;6)hjc;772>hamk037)l76;73`>he0<0:;65f52a94?"amj0>8;5afd`9=>"e0?0>6<;4;h70e?6=,ooh68:9;odff?d<,k2=68>k;o`;1?7332c>?54?:%dfg?33>2dmio4l;%`;2?37l2di484>3:9j161=83.min4:479mb`d=l2.i4;4:0e9mf=3=9;10e8=9:18'b`e===<0bkkm:d9'f=0==9n0bo6::038?l34=3:1(kkl:465?k`bj3l0(o69:42g?kd?=3;;76g:3583>!`bk3??:6`iec824>"e0?0>=n=:91<7*ieb8603=inlh1=<5+b94915b6h54i411>5<#nli19984ngga>44<,k2=68>k;o`;1?b<3`?8=7>5$gg`>0213glnn7?<;%`;2?37l2di484l;:k675<72-lno7;;6:leag<6<2.i4;4:0e9mf=3=j21b9?h50;&eaf<2=7chjb;34?!d?>3?;h6`m848;?>o2hamk0:46*m87864a=ij1?1;65f55`94?"amj0>8;5afd`95<=#j1<19=j4nc:6>3=j6=4+fda911006c3gh397;4;h77=?6=,ooh68:9;odff?7e3-h3:7;?d:la<0<332c>854?:%dfg?33>2dmio4>c:&a<3<28m1en5;53:9j112=83.min4:479mb`d=9m1/n58551f8jg>22;10e8=6:18'b`e===<0bkkm:0g8 g>12<:o7cl75;38?l35m3:1(kkl:465?k`bj3;m7)l76;73`>he0<0;76sm52;3>412d955>13A;9?n5G1060?_5e=3ipho4kc;34g?7?83;57?:b;34b?7203w/4o<5a:&;f6d=#0k<1m6*7b68b?!>e03k0(5l6:`9'"?k90j7)6l1;c8 =e52h1/4n=5a:&;g1d=#0j=1m6*7c98b?!>d13k0(5mn:`9'"?l90j7)6k1;c8 =b52h1/4i=5a:&;`1d=#0m=1m6*7d98b?!>c13k0(5jn:`9'"?m90j7)6j1;c8 =c52h1/4h=5a:&;a1d=#0l=1m6*7e98b?!>b13k0(5kn:`9'<`d=i2.3in4n;%:f`?g<,1on6l5+8dd9e>"?n90j7)6i1;c8 =`52h1/4k=5a:&;b1d=#0o=1m6*7f98b?!>a13k0(5hn:`9'3-;;h:46;%3172<6::<0(<<<8;3173=i;%33e0<3;81/==o=:02e7>"68k<1==m6;%:e`?d?12B:>=i5+11`6>g>>3g;99:4=63f8 46c:3?84k5f11:g>5<=6=4Gfdf8?l1f?3:17d??9`83>>o3b2900e<>69;29?l1f=3:17d;ke;29 ccd2127cl75;18?l3ck3:1(kkl:4fg?k`bj3;0(o69:4f6?kd?=3807d;kb;29 ccd2127cl75;38?l3ci3:1(kkl:4fg?k`bj390(o69:4f6?kd?=3:07d?>5b83>!`bk3;:9o5afd`94>"e0?0:=8=4nc:6>6=m7>5$gg`>472j2dmio4>;%`;2?76=:1en5;52:9j543>290/jhm5107a?k`bj380(o69:0367>he0<0:76g>14:94?"amj0:=8l4ngga>6=#j1<1=<;<;o`;1?6<3f<>;7>5$gg`>3313glnn7>4$c:5>37c3gh397?i;:m510<72-lno78:6:leag<63-h3:78>d:la<0<6m21d:8=50;&eaf<1=?1ejhl52:&a<3<19m1en5;51e98k335290/jhm56448jcce2:1/n58560f8jg>228i07b8:1;29 ccd2??=7chjb;68 g>12?;o7cl75;3a?>i1=90;6)hjc;462>hamk0>7)l76;42`>he0<0:m65`65d94?"amj0=9;5afd`92>"e0?0==i5ab9795<=n6=4+fda92006<64;n47`?6=,ooh6;;9;odff?><,k2=6;?k;o`;1?7032e=8n4?:%dfg?02>2dmio46;%`;2?06l2di484>6:9l21d=83.min49579mb`d=i2.i4;491e9mf=3=9<10c;:n:18'b`e=><<0bkkm:c9'f=0=>8n0bo6::068?j0303:1(kkl:775?k`bj3i0(o69:73g?kd?=3;876a94683>!`bk3<>:6`iec8g?!d?>3<:h6`m84826>=h>=<1<7*ieb8513=inlh1i6*m87855a=ij1?1=<54o766>5<#nli1:884ngga>c=#j1<1:46<3f5$gg`>3313glnn7??;%`;2?06l2di484i;:m506<72-lno78:6:leag<692.i4;491e9mf=3=m21d:9<50;&eaf<1=?1ejhl5139'f=0=>8n0bo6::e98k326290/jhm56448jcce2890(o69:73g?kd?=3i07b8;0;29 ccd2??=7chjb;37?!d?>3<:h6`m848a?>i1;o0;6)hjc;462>hamk0:96*m87855a=ij1?1m65`64g94?"amj0=9;5afd`953=#j1<1:<=37c3gh39764;n46g?6=,ooh6;;9;odff?7?3-h3:78>d:la<0<032e=9o4?:%dfg?02>2dmio4>9:&a<3<19m1en5;56:9l20g=83.min49579mb`d=9h1/n58560f8jg>22<10c;;6:18'b`e=><<0bkkm:0`8 g>12?;o7cl75;68?j0203:1(kkl:775?k`bj3;h7)l76;42`>he0<0876a95583>!`bk3<>:6`iec82`>"e0?0==i5ab9796>=h>=31<7*ieb8513=inlh1=h5+b94924b6<54o71f>5<#nli1:884ngga>4`<,k2=6;?k;o`;1?6<3`8i=7>5$gg`>7d73glnn7>4$c:5>7?c3gh397j4;h0bb?6=,ooh6?l?;odff?7<,k2=6?7k;o`;1?e<3`8ji7>5$gg`>7d73glnn7<4$c:5>7?c3gh397l4;h0b`?6=,ooh6?l?;odff?5<,k2=6?7k;o`;1?g<3`8im7>5$gg`>7d73glnn7:4$c:5>7?c3gh39774;h0a=?6=,ooh6?l?;odff?3<,k2=6?7k;o`;1?><3`8i47>5$gg`>7d73glnn784$c:5>7?c3gh39794;h0a3?6=,ooh6?l?;odff?1<,k2=6?7k;o`;1?0<3`8i:7>5$gg`>7d73glnn764$c:5>7?c3gh397;4;h0a1?6=,ooh6?l?;odff??<,k2=6?7k;o`;1?2<3`8i87>5$gg`>7d73glnn7o4$c:5>7?c3gh397=4;h0a7?6=,ooh6?l?;odff?d<,k2=6?7k;o`;1?4<3`8i>7>5$gg`>7d73glnn7m4$c:5>7?c3gh397?4;h0bg?6=,ooh6?l?;odff?b<,k2=6?7k;o`;1?6<3`8nn7>5$gg`>7cf3glnn7>4$c:5>7b03gh397j4;h0f=?6=,ooh6?kn;odff?7<,k2=6?j8;o`;1?e<3`8n47>5$gg`>7cf3glnn7<4$c:5>7b03gh397l4;h0f3?6=,ooh6?kn;odff?5<,k2=6?j8;o`;1?g<3`8m87>5$gg`>7cf3glnn7:4$c:5>7b03gh39774;h0e7?6=,ooh6?kn;odff?3<,k2=6?j8;o`;1?><3`8m>7>5$gg`>7cf3glnn784$c:5>7b03gh39794;h0e5?6=,ooh6?kn;odff?1<,k2=6?j8;o`;1?0<3`8m<7>5$gg`>7cf3glnn764$c:5>7b03gh397;4;h0fb?6=,ooh6?kn;odff??<,k2=6?j8;o`;1?2<3`8ni7>5$gg`>7cf3glnn7o4$c:5>7b03gh397=4;h0f`?6=,ooh6?kn;odff?d<,k2=6?j8;o`;1?4<3`8no7>5$gg`>7cf3glnn7m4$c:5>7b03gh397?4;h0f2?6=,ooh6?kn;odff?b<,k2=6?j8;o`;1?6<3`?jn7>5$gg`>0gf3glnn7>4$c:5>0163gh397?i;:k6e<<72-lno7;na:leag<63-h3:7;81:la<0<6m21b9l950;&eaf<2ih1ejhl52:&a<3<2?81en5;51e98m0g1290/jhm55`c8jcce2:1/n5855638jg>228i07d;n5;29 ccd212<=:7cl75;3a?>o2i=0;6)hjc;7be>hamk0>7)l76;745>he0<0:m65f5`194?"amj0>ml5afd`92>"e0?0>;<5ab9795<=6<64;h7b5?6=,ooh68on;odff?><,k2=689>;o`;1?7032c>m=4?:%dfg?3fi2dmio46;%`;2?3092di484>6:9j1<`=83.min4:a`9mb`d=i2.i4;4:709mf=3=9<10e87j:18'b`e==hk0bkkm:c9'f=0==>;0bo6::068?l3>k3:1(kkl:4cb?k`bj3i0(o69:452?kd?=3;876g:9c83>!`bk3?jm6`iec8g?!d?>3?<=6`m84826>=n=0k1<7*ieb86ed=inlh1i6*m878634=ij1?1=<54i4;:>5<#nli19lo4ngga>c=#j1<19:?4nc:6>46<3`?247>5$gg`>0gf3glnn7??;%`;2?3092di484i;:k6=2<72-lno7;na:leag<692.i4;4:709mf=3=m21b94850;&eaf<2ih1ejhl5139'f=0==>;0bo6::e98m0?2290/jhm55`c8jcce2890(o69:452?kd?=3i07d;64;29 ccd23?<=6`m848a?>o21:0;6)hjc;7be>hamk0:96*m878634=ij1?1m65f5c094?"amj0>ml5afd`953=#j1<19:?4nc:6><=0163gh39764;h7a4?6=,ooh68on;odff?7?3-h3:7;81:la<0<032c>mk4?:%dfg?3fi2dmio4>9:&a<3<2?81en5;56:9j1dc=83.min4:a`9mb`d=9h1/n5855638jg>22<10e8ok:18'b`e==hk0bkkm:0`8 g>12<=:7cl75;68?l3fk3:1(kkl:4cb?k`bj3;h7)l76;745>he0<0876g:a983>!`bk3?jm6`iec82`>"e0?0>;<5ab9796>=n=0n1<7*ieb86ed=inlh1=h5+b9491276<54i4;1>5<#nli19lo4ngga>4`<,k2=689>;o`;1?6<3f5$gg`>3`73glnn7>4$c:5>3c?3gh397=4;n4fb?6=,ooh6;h?;odff?7<,k2=6;k7;o`;1?4<3f5$gg`>3`73glnn7<4$c:5>3c?3gh397?4;n4f`?6=,ooh6;h?;odff?5<,k2=6;k7;o`;1?6<3`?ni7>5$gg`>0cc3glnn7>4$c:5>0c23gh397=4;h7fg?6=,ooh68kk;odff?7<,k2=68k:;o`;1?4<3`?nn7>5$gg`>0cc3glnn7<4$c:5>0c23gh397?4;h7fe?6=,ooh68kk;odff?5<,k2=68k:;o`;1?6<3f=;=7>5$gg`>2673glnn7>4$c:5>3`?3gh397=4;n4eb?6=,ooh6:>?;odff?7<,k2=6;h7;o`;1?4<3f5$gg`>2673glnn7<4$c:5>3`?3gh397?4;n4e`?6=,ooh6:>?;odff?5<,k2=6;h7;o`;1?6<3f5$gg`>3de3glnn7>4$c:5>3>53gh397?i;:m5fd<72-lno78mb:leag<63-h3:7872:la<0<6m21d:o650;&eaf<1jk1ejhl52:&a<3<10;1en5;51e98k3d0290/jhm56c`8jcce2:1/n5856908jg>228i07b8m6;29 ccd2?hi7chjb;68 g>12?297cl75;3a?>i1j<0;6)hjc;4af>hamk0>7)l76;4;6>he0<0:m65`6c694?"amj0=no5afd`92>"e0?0=4?5ab9795<=6<64;n4a6?6=,ooh6;lm;odff?><,k2=6;6=;o`;1?7032e=n<4?:%dfg?0ej2dmio46;%`;2?0?:2di484>6:9l2g6=83.min49bc9mb`d=i2.i4;49839mf=3=9<10c;oi:18'b`e=>kh0bkkm:c9'f=0=>180bo6::068?j0fl3:1(kkl:7`a?k`bj3i0(o69:7:1?kd?=3;876a9ab83>!`bk33<3>6`m84826>=h>hh1<7*ieb85fg=inlh1i6*m8785<7=ij1?1=<54o7cb>5<#nli1:ol4ngga>c=#j1<1:5<4nc:6>46<3f5$gg`>3de3glnn7??;%`;2?0?:2di484i;:m5e=<72-lno78mb:leag<692.i4;49839mf=3=m21d:l950;&eaf<1jk1ejhl5139'f=0=>180bo6::e98k3g1290/jhm56c`8jcce2890(o69:7:1?kd?=3i07b8n5;29 ccd2?hi7chjb;37?!d?>3<3>6`m848a?>i1i=0;6)hjc;4af>hamk0:96*m8785<7=ij1?1m65`6b194?"amj0=no5afd`953=#j1<1:5<4nc:6><=3>53gh39764;n4`5?6=,ooh6;lm;odff?7?3-h3:7872:la<0<032e=o=4?:%dfg?0ej2dmio4>9:&a<3<10;1en5;56:9l2g`=83.min49bc9mb`d=9h1/n5856908jg>22<10c;lj:18'b`e=>kh0bkkm:0`8 g>12?297cl75;68?j0el3:1(kkl:7`a?k`bj3;h7)l76;4;6>he0<0876a9b883>!`bk3"e0?0=4?5ab9796>=h>ho1<7*ieb85fg=inlh1=h5+b9492=46<54o7c0>5<#nli1:ol4ngga>4`<,k2=6;6=;o`;1?6<3`;::n4?:%dfg?76>k1ejhl50:&a<3<69?90bo6::298m471i3:1(kkl:035f>hamk0:7)l76;3226=ij1?1>65f104:>5<#nli1=<8m;odff?4<,k2=6!`bk3??:6`iec82?!d?>3?;h6`m8482a>=n==91<7*ieb8603=inlh1>6*m87864a=ij1?1=i54i461>5<#nli19984ngga>6=#j1<19=j4nc:6>4e<3`??=7>5$gg`>0213glnn7:4$c:5>06c3gh397?m;:k605<72-lno7;;6:leag<23-h3:7;?d:la<0<6i21b9>h50;&eaf<21/n58551f8jg>228207d;=7chjb;:8 g>12<:o7cl75;34?>o2;j0;6)hjc;772>hamk027)l76;73`>he0<0::65f52`94?"amj0>8;5afd`9e>"e0?0>6<:4;h70k;o`;1?7432c>?:4?:%dfg?33>2dmio4k;%`;2?37l2di484>2:9j160=83.min4:479mb`d=m2.i4;4:0e9mf=3=9810e8=::18'b`e===<0bkkm:g9'f=0==9n0bo6::028?l34<3:1(kkl:465?k`bj3;;7)l76;73`>he0<0m76g:3283>!`bk3??:6`iec825>"e0?0>=n=:81<7*ieb8603=inlh1=?5+b94915b6i54i412>5<#nli19984ngga>45<,k2=68>k;o`;1?e<3`?8<7>5$gg`>0213glnn7?;;%`;2?37l2di484m;:k66c<72-lno7;;6:leag<6=2.i4;4:0e9mf=3=i21b99k50;&eaf<2=7chjb;3;?!d?>3?;h6`m8484?>o2hamk0:56*m87864a=ij1?1:65f55c94?"amj0>8;5afd`95d=#j1<19=j4nc:6>0=26=4+fda911006c3gh397:4;h77894?:%dfg?33>2dmio4>d:&a<3<28m1en5;52:9j16?=83.min4:479mb`d=9l1/n58551f8jg>22810e812<:o7cl75;28?xd3j:81=7ll:183!76;o0:<584H000g>N69=90V>l::bygf?bd28=h6<6?:05g>4>628=n6<;n:07:>43e28=m6<;7:|&;fgd=#0ko1m6*7bg8b?!>d83k0(5m>:`9'4n;%:`0?g<,1i>6l5+8b49e>"?k>0j7)6l8;c8 =e>2h1/4no5a:&;ggd=#0jo1m6*7cg8b?!>c83k0(5j>:`9'4n;%:g0?g<,1n>6l5+8e49e>"?l>0j7)6k8;c8 =b>2h1/4io5a:&;`gd=#0mo1m6*7dg8b?!>b83k0(5k>:`9'<`4=i2.3i>4n;%:f0?g<,1o>6l5+8d49e>"?m>0j7)6j8;c8 =c>2h1/4ho5a:&;agd=#0lo1m6*7eg8b?!>a83k0(5h>:`9'4n;%:e0?g<,1l>6l5+8g49e>"?n>0j7)6i8;c8 =`>2h1/4ko5a:&2661=9;9=7)?=3982660h1080;7c8j6;28 2ge28;8o6*8ab8256e<,1h96l5+8c19e>"?j=0j7)6m5;c8 =d12h1/4o95a:&;f=<=#0oi156*>0`69067<,8:j97:<1:&24d4=99l87)??d78:?!77l>027)??b7824f?<,1lo6o66;I33bd=#9;?>6<>76:&054<68hl0(4?=:572`>"68k?1??>4n0063?41:l1/==j=:5`1<>o681n1<75f45494?Namm10e:o8:188m46>i3:17d:;c;29Lccc32c:<5k50;9j55?>2900e:o::188m0bb290/jhm55ef8jcce291/n5855e78jg>22:10e8jl:18'b`e==mn0bkkm:09'f=0==m?0bo6::398m0be290/jhm55ef8jcce2;1/n5855e78jg>22810e8jn:18'b`e==mn0bkkm:29'f=0==m?0bo6::198m472k3:1(kkl:036f>hamk0;7)l76;3216=ij1?1?65f107b>5<#nli1=<;m;odff?7<,k2=66=54o774>5<#nli1:884ngga>5=#j1<1:4`<3f<>97>5$gg`>3313glnn7?4$c:5>37c3gh397?j;:m516<72-lno78:6:leag<53-h3:78>d:la<0<6l21d:8<50;&eaf<1=?1ejhl53:&a<3<19m1en5;51b98k336290/jhm56448jcce2=1/n58560f8jg>228h07b8:0;29 ccd2??=7chjb;78 g>12?;o7cl75;3b?>i1hamk0=7)l76;42`>he0<0:565`65g94?"amj0=9;5afd`93>"e0?0==i5ab9795==o6=4+fda92006<94;n47g?6=,ooh6;;9;odff??<,k2=6;?k;o`;1?7132e=8o4?:%dfg?02>2dmio4n;%`;2?06l2di484>5:9l21g=83.min49579mb`d=j2.i4;491e9mf=3=9=10c;:7:18'b`e=><<0bkkm:b9'f=0=>8n0bo6::018?j03?3:1(kkl:775?k`bj3n0(o69:73g?kd?=3;976a94783>!`bk3<>:6`iec8f?!d?>3<:h6`m84825>=h>=?1<7*ieb8513=inlh1j6*m87855a=ij1?1==54o767>5<#nli1:884ngga>46<,k2=6;?k;o`;1?`<3f5$gg`>3313glnn7?>;%`;2?06l2di484j;:m507<72-lno78:6:leag<6:2.i4;491e9mf=3=l21d:9?50;&eaf<1=?1ejhl5129'f=0=>8n0bo6::b98k327290/jhm56448jcce28>0(o69:73g?kd?=3h07b83<:h6`m848b?>i1=l0;6)hjc;462>hamk0::6*m87855a=ij1?1565`64f94?"amj0=9;5afd`952=#j1<1:==37c3gh39794;n46f?6=,ooh6;;9;odff?7>3-h3:78>d:la<0<132e=9l4?:%dfg?02>2dmio4>a:&a<3<19m1en5;55:9l20?=83.min49579mb`d=9k1/n58560f8jg>22=10c;;7:18'b`e=><<0bkkm:0a8 g>12?;o7cl75;18?j02<3:1(kkl:775?k`bj3;o7)l76;42`>he0<0976a94883>!`bk3<>:6`iec82a>"e0?0==i5ab9795>=h>:o1<7*ieb8513=inlh1=k5+b94924b6=54i3`2>5<#nli1>o>4ngga>5=#j1<1>4j4nc:6>a=6n54i3cf>5<#nli1>o>4ngga>7=#j1<1>4j4nc:6>g=5+b94966l54i3`b>5<#nli1>o>4ngga>1=#j1<1>4j4nc:6><=6554i3`;>5<#nli1>o>4ngga>3=#j1<1>4j4nc:6>2=6;54i3`5>5<#nli1>o>4ngga>==#j1<1>4j4nc:6>0=6=4+fda96g66954i3`7>5<#nli1>o>4ngga>d=#j1<1>4j4nc:6>6=6?54i3`1>5<#nli1>o>4ngga>f=#j1<1>4j4nc:6>4=6=54i3ga>5<#nli1>ho4ngga>5=#j1<1>i94nc:6>a=6n54i3g;>5<#nli1>ho4ngga>7=#j1<1>i94nc:6>g=5+b9496a16l54i3d7>5<#nli1>ho4ngga>1=#j1<1>i94nc:6><=6554i3d1>5<#nli1>ho4ngga>3=#j1<1>i94nc:6>2=6;54i3d3>5<#nli1>ho4ngga>==#j1<1>i94nc:6>0=6954i3gf>5<#nli1>ho4ngga>d=#j1<1>i94nc:6>6=6?54i3g`>5<#nli1>ho4ngga>f=#j1<1>i94nc:6>4=6=54i4ca>5<#nli19lo4ngga>5=#j1<19:?4nc:6>4`<3`?j57>5$gg`>0gf3glnn7?4$c:5>0163gh397?j;:k6e2<72-lno7;na:leag<53-h3:7;81:la<0<6l21b9l850;&eaf<2ih1ejhl53:&a<3<2?81en5;51b98m0g2290/jhm55`c8jcce2=1/n5855638jg>228h07d;n4;29 ccd212<=:7cl75;3b?>o2i:0;6)hjc;7be>hamk0=7)l76;745>he0<0:565f5`094?"amj0>ml5afd`93>"e0?0>;<5ab9795==6<94;h7b4?6=,ooh68on;odff??<,k2=689>;o`;1?7132c>5k4?:%dfg?3fi2dmio4n;%`;2?3092di484>5:9j1;0bo6::018?l3>j3:1(kkl:4cb?k`bj3n0(o69:452?kd?=3;976g:9`83>!`bk3?jm6`iec8f?!d?>3?<=6`m84825>=n=031<7*ieb86ed=inlh1j6*m878634=ij1?1==54i4;;>5<#nli19lo4ngga>46<,k2=689>;o`;1?`<3`?2;7>5$gg`>0gf3glnn7?>;%`;2?3092di484j;:k6=3<72-lno7;na:leag<6:2.i4;4:709mf=3=l21b94;50;&eaf<2ih1ejhl5129'f=0==>;0bo6::b98m0?3290/jhm55`c8jcce28>0(o69:452?kd?=3h07d;63;29 ccd23?<=6`m848b?>o2j;0;6)hjc;7be>hamk0::6*m878634=ij1?1565f5c394?"amj0>ml5afd`952=#j1<19:?4nc:6>==0163gh39794;h7bb?6=,ooh68on;odff?7>3-h3:7;81:la<0<132c>mh4?:%dfg?3fi2dmio4>a:&a<3<2?81en5;55:9j1db=83.min4:a`9mb`d=9k1/n5855638jg>22=10e8ol:18'b`e==hk0bkkm:0a8 g>12<=:7cl75;18?l3f03:1(kkl:4cb?k`bj3;o7)l76;745>he0<0976g:9e83>!`bk3?jm6`iec82a>"e0?0>;<5ab9795>=n=081<7*ieb86ed=inlh1=k5+b9491276=54o7d2>5<#nli1:k>4ngga>5=#j1<1:h64nc:6>6=6?54o7gf>5<#nli1:k>4ngga>7=#j1<1:h64nc:6>4=5+b9492`>6=54i4gf>5<#nli19hj4ngga>5=#j1<19h;4nc:6>6=6?54i4ga>5<#nli19hj4ngga>7=#j1<19h;4nc:6>4=5+b9491`36=54o622>5<#nli1;=>4ngga>5=#j1<1:k64nc:6>6=6?54o7df>5<#nli1;=>4ngga>7=#j1<1:k64nc:6>4=5+b9492c>6=54o7``>5<#nli1:ol4ngga>5=#j1<1:5<4nc:6>4`<3f5$gg`>3de3glnn7?4$c:5>3>53gh397?j;:m5f=<72-lno78mb:leag<53-h3:7872:la<0<6l21d:o950;&eaf<1jk1ejhl53:&a<3<10;1en5;51b98k3d1290/jhm56c`8jcce2=1/n5856908jg>228h07b8m5;29 ccd2?hi7chjb;78 g>12?297cl75;3b?>i1j=0;6)hjc;4af>hamk0=7)l76;4;6>he0<0:565`6c194?"amj0=no5afd`93>"e0?0=4?5ab9795==6<94;n4a5?6=,ooh6;lm;odff??<,k2=6;6=;o`;1?7132e=n=4?:%dfg?0ej2dmio4n;%`;2?0?:2di484>5:9l2d`=83.min49bc9mb`d=j2.i4;49839mf=3=9=10c;ok:18'b`e=>kh0bkkm:b9'f=0=>180bo6::018?j0fk3:1(kkl:7`a?k`bj3n0(o69:7:1?kd?=3;976a9ac83>!`bk33<3>6`m84825>=h>hk1<7*ieb85fg=inlh1j6*m8785<7=ij1?1==54o7c:>5<#nli1:ol4ngga>46<,k2=6;6=;o`;1?`<3f5$gg`>3de3glnn7?>;%`;2?0?:2di484j;:m5e2<72-lno78mb:leag<6:2.i4;49839mf=3=l21d:l850;&eaf<1jk1ejhl5129'f=0=>180bo6::b98k3g2290/jhm56c`8jcce28>0(o69:7:1?kd?=3h07b8n4;29 ccd2?hi7chjb;36?!d?>3<3>6`m848b?>i1k:0;6)hjc;4af>hamk0::6*m8785<7=ij1?1565`6b094?"amj0=no5afd`952=#j1<1:5<4nc:6>==3>53gh39794;n4`4?6=,ooh6;lm;odff?7>3-h3:7872:la<0<132e=nk4?:%dfg?0ej2dmio4>a:&a<3<10;1en5;55:9l2gc=83.min49bc9mb`d=9k1/n5856908jg>22=10c;lk:18'b`e=>kh0bkkm:0a8 g>12?297cl75;18?j0e13:1(kkl:7`a?k`bj3;o7)l76;4;6>he0<0976a9ad83>!`bk3"e0?0=4?5ab9795>=h>h91<7*ieb85fg=inlh1=k5+b9492=46=54i035g?6=,ooh6629mf=3=;21b=<8n:18'b`e=98128;=?6`m8481?>o69?31<7*ieb8253d6c9mb`d=;2.i4;4>1718jg>22910e8:8:18'b`e===<0bkkm:19'f=0==9n0bo6::0d8?l33=3:1(kkl:465?k`bj3;0(o69:42g?kd?=3;n76g:4283>!`bk3??:6`iec81?!d?>3?;h6`m8482`>=n==81<7*ieb8603=inlh1?6*m87864a=ij1?1=n54i462>5<#nli19984ngga>1=#j1<19=j4nc:6>4d<3`??<7>5$gg`>0213glnn7;4$c:5>06c3gh397?n;:k67c<72-lno7;;6:leag<13-h3:7;?d:la<0<6121b9>k50;&eaf<2228=07d;=7chjb;;8 g>12<:o7cl75;35?>o2;k0;6)hjc;772>hamk0j7)l76;73`>he0<0:965f52c94?"amj0>8;5afd`9f>"e0?0>6<=4;h703?6=,ooh68:9;odff?b<,k2=68>k;o`;1?7532c>?;4?:%dfg?33>2dmio4j;%`;2?37l2di484>1:9j163=83.min4:479mb`d=n2.i4;4:0e9mf=3=9910e8=;:18'b`e===<0bkkm:028 g>12<:o7cl75;d8?l34;3:1(kkl:465?k`bj3;:7)l76;73`>he0<0n76g:3383>!`bk3??:6`iec826>"e0?0>=n=:;1<7*ieb8603=inlh1=>5+b94915b6n54i413>5<#nli19984ngga>42<,k2=68>k;o`;1?d<3`?9j7>5$gg`>0213glnn7?:;%`;2?37l2di484n;:k60`<72-lno7;;6:leag<6>2.i4;4:0e9mf=3=121b99j50;&eaf<2=7chjb;3:?!d?>3?;h6`m8485?>o2hamk0:m6*m87864a=ij1?1965f55;94?"amj0>8;5afd`95g=#j1<19=j4nc:6>1=36=4+fda911006c3gh397=4;h770?6=,ooh68:9;odff?7c3-h3:7;?d:la<0<532c>?44?:%dfg?33>2dmio4>e:&a<3<28m1en5;51:9j17c=83.min4:479mb`d=9o1/n58551f8jg>22910qo:m2b82>ge=83:p(429Y7g3=krni6im516a95=6=9>n1=5?516g950g=9<31=8l516d950>=u-2i>7o4$9`0>d=#0k>1m6*7b48b?!>e>3k0(5l8:`9'=i2.3n44n;%:af?g<,1hh6l5+8cf9e>"?jl0j7)6mf;c8 =e72h1/4n?5a:&;g7d=#0j?1m6*7c78b?!>d?3k0(5m7:`9'"?kl0j7)6lf;c8 =b72h1/4i?5a:&;`7d=#0m?1m6*7d78b?!>c?3k0(5j7:`9'"?ll0j7)6kf;c8 =c72h1/4h?5a:&;a7d=#0l?1m6*7e78b?!>b?3k0(5k7:`9'<`?=i2.3il4n;%:ff?g<,1oh6l5+8df9e>"?ml0j7)6jf;c8 =`72h1/4k?5a:&;b7d=#0o?1m6*7f78b?!>a?3k0(5h7:`9'1563-;;m?4>0g18 46c>330(<>k7;;8 46e>3;;o45+8gf9f=?<@8:mm6*>247955>13-9:=7??ag9'=44=<<;o7)??b48065=i9;?<6?8=f:&24a4=>o3128;>?6`m8480?>o695c9mb`d=:2.i4;4>1418jg>22810en6`iec80?!d?>3;:9>5ab9794>=h><=1<7*ieb8513=inlh1<6*m87855a=ij1?1=k54o776>5<#nli1:884ngga>4=#j1<1:4c<3f<>?7>5$gg`>3313glnn7<4$c:5>37c3gh397?k;:m517<72-lno78:6:leag<43-h3:78>d:la<0<6k21d:8?50;&eaf<1=?1ejhl54:&a<3<19m1en5;51c98k337290/jhm56448jcce2<1/n58560f8jg>228k07b8;f;29 ccd2??=7chjb;48 g>12?;o7cl75;3:?>i1hamk0<7)l76;42`>he0<0:465`65f94?"amj0=9;5afd`9<>"e0?0==i5ab97952=h6=4+fda92006<84;n47f?6=,ooh6;;9;odff?g<,k2=6;?k;o`;1?7232e=8l4?:%dfg?02>2dmio4m;%`;2?06l2di484>4:9l21>=83.min49579mb`d=k2.i4;491e9mf=3=9:10c;:8:18'b`e=><<0bkkm:e9'f=0=>8n0bo6::008?j03>3:1(kkl:775?k`bj3o0(o69:73g?kd?=3;:76a94483>!`bk3<>:6`iec8e?!d?>3<:h6`m84824>=h>=>1<7*ieb8513=inlh1==5+b94924b6k54o760>5<#nli1:884ngga>47<,k2=6;?k;o`;1?c<3f7>5$gg`>3313glnn7?=;%`;2?06l2di484k;:m504<72-lno78:6:leag<6;2.i4;491e9mf=3=k21d:9>50;&eaf<1=?1ejhl5159'f=0=>8n0bo6::c98k35a290/jhm56448jcce28?0(o69:73g?kd?=3k07b8:e;29 ccd2??=7chjb;35?!d?>3<:h6`m848:?>i1=m0;6)hjc;462>hamk0:;6*m87855a=ij1?1465`64a94?"amj0=9;5afd`95==#j1<1:2=37c3gh39784;n46e?6=,ooh6;;9;odff?7f3-h3:78>d:la<0<232e=944?:%dfg?02>2dmio4>b:&a<3<19m1en5;54:9l20>=83.min49579mb`d=9j1/n58560f8jg>22:10c;;;:18'b`e=><<0bkkm:0f8 g>12?;o7cl75;08?j0313:1(kkl:775?k`bj3;n7)l76;42`>he0<0:76a93d83>!`bk3<>:6`iec82b>"e0?0==i5ab9794>=n:k;1<7*ieb81f5=inlh1<6*m8781=a=ij1?1h65f2`d94?"amj09n=5afd`95>"e0?095i5ab979g>=n:ho1<7*ieb81f5=inlh1>6*m8781=a=ij1?1n65f2`f94?"amj09n=5afd`97>"e0?095i5ab979e>=n:kk1<7*ieb81f5=inlh186*m8781=a=ij1?1565f2c;94?"amj09n=5afd`91>"e0?095i5ab979<>=n:k21<7*ieb81f5=inlh1:6*m8781=a=ij1?1;65f2c594?"amj09n=5afd`93>"e0?095i5ab9792>=n:k<1<7*ieb81f5=inlh146*m8781=a=ij1?1965f2c794?"amj09n=5afd`9=>"e0?095i5ab9790>=n:k>1<7*ieb81f5=inlh1m6*m8781=a=ij1?1?65f2c194?"amj09n=5afd`9f>"e0?095i5ab9796>=n:k81<7*ieb81f5=inlh1o6*m8781=a=ij1?1=65f2`a94?"amj09n=5afd`9`>"e0?095i5ab9794>=n:lh1<7*ieb81ad=inlh1<6*m8781`2=ij1?1h65f2d;94?"amj09il5afd`95>"e0?09h:5ab979g>=n:l21<7*ieb81ad=inlh1>6*m8781`2=ij1?1n65f2d594?"amj09il5afd`97>"e0?09h:5ab979e>=n:o>1<7*ieb81ad=inlh186*m8781`2=ij1?1565f2g194?"amj09il5afd`91>"e0?09h:5ab979<>=n:o81<7*ieb81ad=inlh1:6*m8781`2=ij1?1;65f2g394?"amj09il5afd`93>"e0?09h:5ab9792>=n:o:1<7*ieb81ad=inlh146*m8781`2=ij1?1965f2dd94?"amj09il5afd`9=>"e0?09h:5ab9790>=n:lo1<7*ieb81ad=inlh1m6*m8781`2=ij1?1?65f2df94?"amj09il5afd`9f>"e0?09h:5ab9796>=n:li1<7*ieb81ad=inlh1o6*m8781`2=ij1?1=65f2d494?"amj09il5afd`9`>"e0?09h:5ab9794>=n=hh1<7*ieb86ed=inlh1<6*m878634=ij1?1=k54i4c:>5<#nli19lo4ngga>4=#j1<19:?4nc:6>4c<3`?j;7>5$gg`>0gf3glnn7<4$c:5>0163gh397?k;:k6e3<72-lno7;na:leag<43-h3:7;81:la<0<6k21b9l;50;&eaf<2ih1ejhl54:&a<3<2?81en5;51c98m0g3290/jhm55`c8jcce2<1/n5855638jg>228k07d;n3;29 ccd212<=:7cl75;3:?>o2i;0;6)hjc;7be>hamk0<7)l76;745>he0<0:465f5`394?"amj0>ml5afd`9<>"e0?0>;<5ab97952=6<84;h7:b?6=,ooh68on;odff?g<,k2=689>;o`;1?7232c>5h4?:%dfg?3fi2dmio4m;%`;2?3092di484>4:9j1;0bo6::008?l3>i3:1(kkl:4cb?k`bj3o0(o69:452?kd?=3;:76g:9883>!`bk3?jm6`iec8e?!d?>3?<=6`m84824>=n=021<7*ieb86ed=inlh1==5+b9491276k54i4;4>5<#nli19lo4ngga>47<,k2=689>;o`;1?c<3`?2:7>5$gg`>0gf3glnn7?=;%`;2?3092di484k;:k6=0<72-lno7;na:leag<6;2.i4;4:709mf=3=k21b94:50;&eaf<2ih1ejhl5159'f=0==>;0bo6::c98m0?4290/jhm55`c8jcce28?0(o69:452?kd?=3k07d;m2;29 ccd23?<=6`m848:?>o2j80;6)hjc;7be>hamk0:;6*m878634=ij1?1465f5c294?"amj0>ml5afd`95==#j1<19:?4nc:6>2=0163gh39784;h7ba?6=,ooh68on;odff?7f3-h3:7;81:la<0<232c>mi4?:%dfg?3fi2dmio4>b:&a<3<2?81en5;54:9j1de=83.min4:a`9mb`d=9j1/n5855638jg>22:10e8o7:18'b`e==hk0bkkm:0f8 g>12<=:7cl75;08?l3>l3:1(kkl:4cb?k`bj3;n7)l76;745>he0<0:76g:9383>!`bk3?jm6`iec82b>"e0?0>;<5ab9794>=h>o;1<7*ieb85b5=inlh1<6*m8785a==ij1?1?65`6dd94?"amj0=j=5afd`95>"e0?0=i55ab9796>=h>lo1<7*ieb85b5=inlh1>6*m8785a==ij1?1=65`6df94?"amj0=j=5afd`97>"e0?0=i55ab9794>=n=lo1<7*ieb86aa=inlh1<6*m8786a0=ij1?1?65f5da94?"amj0>ii5afd`95>"e0?0>i85ab9796>=n=lh1<7*ieb86aa=inlh1>6*m8786a0=ij1?1=65f5dc94?"amj0>ii5afd`97>"e0?0>i85ab9794>=h?9;1<7*ieb8445=inlh1<6*m8785b==ij1?1?65`6gd94?"amj0<<=5afd`95>"e0?0=j55ab9796>=h>oo1<7*ieb8445=inlh1>6*m8785b==ij1?1=65`6gf94?"amj0<<=5afd`97>"e0?0=j55ab9794>=h>ki1<7*ieb85fg=inlh1<6*m8785<7=ij1?1=k54o7`b>5<#nli1:ol4ngga>4=#j1<1:5<4nc:6>4c<3f5$gg`>3de3glnn7<4$c:5>3>53gh397?k;:m5f2<72-lno78mb:leag<43-h3:7872:la<0<6k21d:o850;&eaf<1jk1ejhl54:&a<3<10;1en5;51c98k3d2290/jhm56c`8jcce2<1/n5856908jg>228k07b8m4;29 ccd2?hi7chjb;48 g>12?297cl75;3:?>i1j:0;6)hjc;4af>hamk0<7)l76;4;6>he0<0:465`6c094?"amj0=no5afd`9<>"e0?0=4?5ab97952=6<84;n4a4?6=,ooh6;lm;odff?g<,k2=6;6=;o`;1?7232e=mk4?:%dfg?0ej2dmio4m;%`;2?0?:2di484>4:9l2db=83.min49bc9mb`d=k2.i4;49839mf=3=9:10c;ol:18'b`e=>kh0bkkm:e9'f=0=>180bo6::008?j0fj3:1(kkl:7`a?k`bj3o0(o69:7:1?kd?=3;:76a9a`83>!`bk33<3>6`m84824>=h>h31<7*ieb85fg=inlh1==5+b9492=46k54o7c;>5<#nli1:ol4ngga>47<,k2=6;6=;o`;1?c<3f5$gg`>3de3glnn7?=;%`;2?0?:2di484k;:m5e3<72-lno78mb:leag<6;2.i4;49839mf=3=k21d:l;50;&eaf<1jk1ejhl5159'f=0=>180bo6::c98k3g3290/jhm56c`8jcce28?0(o69:7:1?kd?=3k07b8l3;29 ccd2?hi7chjb;35?!d?>3<3>6`m848:?>i1k;0;6)hjc;4af>hamk0:;6*m8785<7=ij1?1465`6b394?"amj0=no5afd`95==#j1<1:5<4nc:6>2=3>53gh39784;n4ab?6=,ooh6;lm;odff?7f3-h3:7872:la<0<232e=nh4?:%dfg?0ej2dmio4>b:&a<3<10;1en5;54:9l2gb=83.min49bc9mb`d=9j1/n5856908jg>22:10c;l6:18'b`e=>kh0bkkm:0f8 g>12?297cl75;08?j0fm3:1(kkl:7`a?k`bj3;n7)l76;4;6>he0<0:76a9a283>!`bk3"e0?0=4?5ab9794>=n984$c:5>471;2di484<;:k253g=83.min4>17`8jcce281/n5851040?kd?=3807d?>6883>!`bk3;::o5afd`96>"e0?0:=;=4nc:6>4=5$gg`>471j2dmio4<;%`;2?76>:1en5;50:9j111=83.min4:479mb`d=82.i4;4:0e9mf=3=9o10e8:::18'b`e===<0bkkm:09'f=0==9n0bo6::0g8?l33;3:1(kkl:465?k`bj380(o69:42g?kd?=3;o76g:4383>!`bk3??:6`iec80?!d?>3?;h6`m8482g>=n==;1<7*ieb8603=inlh186*m87864a=ij1?1=o54i463>5<#nli19984ngga>0=#j1<19=j4nc:6>4g<3`?8j7>5$gg`>0213glnn784$c:5>06c3gh397?6;:k67`<72-lno7;;6:leag<03-h3:7;?d:la<0<6021b9>j50;&eaf<2228<07d;=7chjb;c8 g>12<:o7cl75;36?>o2;h0;6)hjc;772>hamk0i7)l76;73`>he0<0:865f52:94?"amj0>8;5afd`9g>"e0?0>6<<4;h702?6=,ooh68:9;odff?c<,k2=68>k;o`;1?7632c>?84?:%dfg?33>2dmio4i;%`;2?37l2di484>0:9j162=83.min4:479mb`d=991/n58551f8jg>22o10e8=<:18'b`e===<0bkkm:038 g>12<:o7cl75;g8?l34:3:1(kkl:465?k`bj3;97)l76;73`>he0<0o76g:3083>!`bk3??:6`iec827>"e0?0>=n=::1<7*ieb8603=inlh1=95+b94915b6o54i40e>5<#nli19984ngga>43<,k2=68>k;o`;1?g<3`??i7>5$gg`>0213glnn7?9;%`;2?37l2di4846;:k60a<72-lno7;;6:leag<6?2.i4;4:0e9mf=3=021b99m50;&eaf<2=7chjb;3b?!d?>3?;h6`m8486?>o2<00;6)hjc;772>hamk0:n6*m87864a=ij1?1865f55:94?"amj0>8;5afd`95f=#j1<19=j4nc:6>6=?6=4+fda911006c3gh397<4;h70=?6=,ooh68:9;odff?7b3-h3:7;?d:la<0<632c>>h4?:%dfg?33>2dmio4>f:&a<3<28m1en5;50:9~f1d513;1nn4?:1y'545a28:3:6F>22a8L473;2P8n84l{e`9`f<6?j0:4=4>7e82<4<6?l0:9l4>58821g<6?o0:954r$9`1>d=#0k91m6*7b58b?!>e=3k0(5l9:`9'"?jm0j7)6me;c8 =da2h1/4n>5a:&;g47o4$9a0>d=#0j>1m6*7c48b?!>d>3k0(5m8:`9'=i2.3o44n;%:`e?g<,1ii6l5+8ba9e>"?km0j7)6le;c8 =ea2h1/4i>5a:&;`47o4$9f0>d=#0m>1m6*7d48b?!>c>3k0(5j8:`9'=i2.3h44n;%:ge?g<,1ni6l5+8ea9e>"?lm0j7)6ke;c8 =ba2h1/4h>5a:&;a47o4$9g0>d=#0l>1m6*7e48b?!>b>3k0(5k8:`9'<`>=i2.3i44n;%:fe?g<,1oi6l5+8da9e>"?mm0j7)6je;c8 =ca2h1/4k>5a:&;b47o4$9d0>d=#0o>1m6*7f48b?!>a>3k0(5h8:`9'=i2.3j44n;%:ee?g<,888;7?=379'575?2888:6`;d`8:?k2cj330b;6>:19m2`0=82.12a8 2gd28;8o6*7fc8:?!>ak330(<>n4;605>"68h?18>?4$02b6?77n:1/==j9:89'55b0201/==l9:02`=>"?nm0i445G11db?!75=<0:<584$232>46fn2.2=?4;50f8 46e=399<6`>245963573-;;h?4;b3:8m46?l3:17d:;6;29Lccc32c5;h33=<<722chn4?:%dfg?3cl2dmio4>;%`;2?3c=2di484=;:k6`g<72-lno7;kd:leag<53-h3:7;k5:la<0<632c>hl4?:%dfg?3cl2dmio4<;%`;2?3c=2di484?;:k250e=83.min4>14`8jcce291/n5851070?kd?=3907d?>5`83>!`bk3;:9o5afd`95>"e0?0:=8=4nc:6>7=57>5$gg`>472j2dmio4=;%`;2?76=:1en5;51:9j543?290/jhm5107a?k`bj390(o69:0367>he0<0;76a95683>!`bk3<>:6`iec83?!d?>3<:h6`m8482b>=h>5<#nli1:884ngga>7=#j1<1:4b<3f<>>7>5$gg`>3313glnn7=4$c:5>37c3gh397?l;:m514<72-lno78:6:leag<33-h3:78>d:la<0<6j21d:8>50;&eaf<1=?1ejhl55:&a<3<19m1en5;51`98k32a290/jhm56448jcce2?1/n58560f8jg>228307b8;e;29 ccd2??=7chjb;58 g>12?;o7cl75;3;?>i1hamk037)l76;42`>he0<0:;65`65a94?"amj0=9;5afd`9=>"e0?0==i5ab97953=i6=4+fda92006<;4;n47e?6=,ooh6;;9;odff?d<,k2=6;?k;o`;1?7332e=854?:%dfg?02>2dmio4l;%`;2?06l2di484>3:9l211=83.min49579mb`d=l2.i4;491e9mf=3=9;10c;:9:18'b`e=><<0bkkm:d9'f=0=>8n0bo6::038?j03=3:1(kkl:775?k`bj3l0(o69:73g?kd?=3;;76a94583>!`bk3<>:6`iec824>"e0?0==i5ab979b>=h>=91<7*ieb8513=inlh1=<5+b94924b6h54o761>5<#nli1:884ngga>44<,k2=6;?k;o`;1?b<3f5$gg`>3313glnn7?<;%`;2?06l2di484l;:m505<72-lno78:6:leag<6<2.i4;491e9mf=3=j21d:>h50;&eaf<1=?1ejhl5149'f=0=>8n0bo6::`98k33b290/jhm56448jcce28<0(o69:73g?kd?=3307b8:d;29 ccd2??=7chjb;34?!d?>3<:h6`m848;?>i1=j0;6)hjc;462>hamk0:46*m87855a=ij1?1;65`64`94?"amj0=9;5afd`95<=#j1<1:3=37c3gh397;4;n46=?6=,ooh6;;9;odff?7e3-h3:78>d:la<0<332e=954?:%dfg?02>2dmio4>c:&a<3<19m1en5;53:9l202=83.min49579mb`d=9m1/n58560f8jg>22;10c;:6:18'b`e=><<0bkkm:0g8 g>12?;o7cl75;38?j04m3:1(kkl:775?k`bj3;m7)l76;42`>he0<0;76g=b083>!`bk38i<6`iec83?!d?>382h6`m848g?>o5io0;6)hjc;0a4>hamk0:7)l76;0:`>he0<0h76g=ad83>!`bk38i<6`iec81?!d?>382h6`m848a?>o5im0;6)hjc;0a4>hamk087)l76;0:`>he0<0j76g=b`83>!`bk38i<6`iec87?!d?>382h6`m848:?>o5j00;6)hjc;0a4>hamk0>7)l76;0:`>he0<0376g=b983>!`bk38i<6`iec85?!d?>382h6`m8484?>o5j>0;6)hjc;0a4>hamk0<7)l76;0:`>he0<0=76g=b783>!`bk38i<6`iec8;?!d?>382h6`m8486?>o5j<0;6)hjc;0a4>hamk027)l76;0:`>he0<0?76g=b583>!`bk38i<6`iec8b?!d?>382h6`m8480?>o5j:0;6)hjc;0a4>hamk0i7)l76;0:`>he0<0976g=b383>!`bk38i<6`iec8`?!d?>382h6`m8482?>o5ij0;6)hjc;0a4>hamk0o7)l76;0:`>he0<0;76g=ec83>!`bk38nm6`iec83?!d?>38o;6`m848g?>o5m00;6)hjc;0fe>hamk0:7)l76;0g3>he0<0h76g=e983>!`bk38nm6`iec81?!d?>38o;6`m848a?>o5m>0;6)hjc;0fe>hamk087)l76;0g3>he0<0j76g=f583>!`bk38nm6`iec87?!d?>38o;6`m848:?>o5n:0;6)hjc;0fe>hamk0>7)l76;0g3>he0<0376g=f383>!`bk38nm6`iec85?!d?>38o;6`m8484?>o5n80;6)hjc;0fe>hamk0<7)l76;0g3>he0<0=76g=f183>!`bk38nm6`iec8;?!d?>38o;6`m8486?>o5mo0;6)hjc;0fe>hamk027)l76;0g3>he0<0?76g=ed83>!`bk38nm6`iec8b?!d?>38o;6`m8480?>o5mm0;6)hjc;0fe>hamk0i7)l76;0g3>he0<0976g=eb83>!`bk38nm6`iec8`?!d?>38o;6`m8482?>o5m?0;6)hjc;0fe>hamk0o7)l76;0g3>he0<0;76g:ac83>!`bk3?jm6`iec83?!d?>3?<=6`m8482b>=n=h31<7*ieb86ed=inlh1=6*m878634=ij1?1=h54i4c4>5<#nli19lo4ngga>7=#j1<19:?4nc:6>4b<3`?j:7>5$gg`>0gf3glnn7=4$c:5>0163gh397?l;:k6e0<72-lno7;na:leag<33-h3:7;81:la<0<6j21b9l:50;&eaf<2ih1ejhl55:&a<3<2?81en5;51`98m0g4290/jhm55`c8jcce2?1/n5855638jg>228307d;n2;29 ccd212<=:7cl75;3;?>o2i80;6)hjc;7be>hamk037)l76;745>he0<0:;65f5`294?"amj0>ml5afd`9=>"e0?0>;<5ab97953=6<;4;h7:a?6=,ooh68on;odff?d<,k2=689>;o`;1?7332c>5n4?:%dfg?3fi2dmio4l;%`;2?3092di484>3:9j1;0bo6::038?l3>13:1(kkl:4cb?k`bj3l0(o69:452?kd?=3;;76g:9983>!`bk3?jm6`iec824>"e0?0>;<5ab979b>=n=0=1<7*ieb86ed=inlh1=<5+b9491276h54i4;5>5<#nli19lo4ngga>44<,k2=689>;o`;1?b<3`?297>5$gg`>0gf3glnn7?<;%`;2?3092di484l;:k6=1<72-lno7;na:leag<6<2.i4;4:709mf=3=j21b94=50;&eaf<2ih1ejhl5149'f=0==>;0bo6::`98m0d5290/jhm55`c8jcce28<0(o69:452?kd?=3307d;m1;29 ccd23?<=6`m848;?>o2j90;6)hjc;7be>hamk0:46*m878634=ij1?1;65f5`d94?"amj0>ml5afd`95<=#j1<19:?4nc:6>3=0163gh397;4;h7b`?6=,ooh68on;odff?7e3-h3:7;81:la<0<332c>mn4?:%dfg?3fi2dmio4>c:&a<3<2?81en5;53:9j1d>=83.min4:a`9mb`d=9m1/n5855638jg>22;10e87k:18'b`e==hk0bkkm:0g8 g>12<=:7cl75;38?l3>:3:1(kkl:4cb?k`bj3;m7)l76;745>he0<0;76a9f083>!`bk33i1mo0;6)hjc;4e4>hamk0:7)l76;4f<>he0<0976a9ed83>!`bk33i1mm0;6)hjc;4e4>hamk087)l76;4f<>he0<0;76g:ed83>!`bk3?nh6`iec83?!d?>3?n96`m8480?>o2mj0;6)hjc;7f`>hamk0:7)l76;7f1>he0<0976g:ec83>!`bk3?nh6`iec81?!d?>3?n96`m8482?>o2mh0;6)hjc;7f`>hamk087)l76;7f1>he0<0;76a80083>!`bk3=;<6`iec83?!d?>3i1no0;6)hjc;534>hamk0:7)l76;4e<>he0<0976a9fd83>!`bk3=;<6`iec81?!d?>3i1nm0;6)hjc;534>hamk087)l76;4e<>he0<0;76a9bb83>!`bk33<3>6`m8482b>=h>kk1<7*ieb85fg=inlh1=6*m8785<7=ij1?1=h54o7`;>5<#nli1:ol4ngga>7=#j1<1:5<4nc:6>4b<3f5$gg`>3de3glnn7=4$c:5>3>53gh397?l;:m5f3<72-lno78mb:leag<33-h3:7872:la<0<6j21d:o;50;&eaf<1jk1ejhl55:&a<3<10;1en5;51`98k3d3290/jhm56c`8jcce2?1/n5856908jg>228307b8m3;29 ccd2?hi7chjb;58 g>12?297cl75;3;?>i1j;0;6)hjc;4af>hamk037)l76;4;6>he0<0:;65`6c394?"amj0=no5afd`9=>"e0?0=4?5ab97953=6<;4;n4bb?6=,ooh6;lm;odff?d<,k2=6;6=;o`;1?7332e=mi4?:%dfg?0ej2dmio4l;%`;2?0?:2di484>3:9l2de=83.min49bc9mb`d=l2.i4;49839mf=3=9;10c;om:18'b`e=>kh0bkkm:d9'f=0=>180bo6::038?j0fi3:1(kkl:7`a?k`bj3l0(o69:7:1?kd?=3;;76a9a883>!`bk3"e0?0=4?5ab979b>=h>h21<7*ieb85fg=inlh1=<5+b9492=46h54o7c4>5<#nli1:ol4ngga>44<,k2=6;6=;o`;1?b<3f5$gg`>3de3glnn7?<;%`;2?0?:2di484l;:m5e0<72-lno78mb:leag<6<2.i4;49839mf=3=j21d:l:50;&eaf<1jk1ejhl5149'f=0=>180bo6::`98k3e4290/jhm56c`8jcce28<0(o69:7:1?kd?=3307b8l2;29 ccd2?hi7chjb;34?!d?>3<3>6`m848;?>i1k80;6)hjc;4af>hamk0:46*m8785<7=ij1?1;65`6b294?"amj0=no5afd`95<=#j1<1:5<4nc:6>3=3>53gh397;4;n4aa?6=,ooh6;lm;odff?7e3-h3:7872:la<0<332e=ni4?:%dfg?0ej2dmio4>c:&a<3<10;1en5;53:9l2g?=83.min49bc9mb`d=9m1/n5856908jg>22;10c;oj:18'b`e=>kh0bkkm:0g8 g>12?297cl75;38?j0f;3:1(kkl:7`a?k`bj3;m7)l76;4;6>he0<0;76g>17a94?"amj0:=;l4ngga>5=#j1<1=<8<;o`;1?5<3`;::l4?:%dfg?76>k1ejhl51:&a<3<69?90bo6::398m47113:1(kkl:035f>hamk097)l76;3226=ij1?1=65f104;>5<#nli1=<8m;odff?5<,k2=68:4?:%dfg?33>2dmio4?;%`;2?37l2di484>f:9j113=83.min4:479mb`d=92.i4;4:0e9mf=3=9l10e8:<:18'b`e===<0bkkm:39'f=0==9n0bo6::0f8?l33:3:1(kkl:465?k`bj390(o69:42g?kd?=3;h76g:4083>!`bk3??:6`iec87?!d?>3?;h6`m8482f>=n==:1<7*ieb8603=inlh196*m87864a=ij1?1=l54i41e>5<#nli19984ngga>3=#j1<19=j4nc:6>4?<3`?8i7>5$gg`>0213glnn794$c:5>06c3gh397?7;:k67a<72-lno7;;6:leagm50;&eaf<2228?07d;=7chjb;`8 g>12<:o7cl75;37?>o2;10;6)hjc;772>hamk0h7)l76;73`>he0<0:?65f52594?"amj0>8;5afd`9`>"e0?0>6k;o`;1?7732c>?94?:%dfg?33>2dmio4>0:&a<3<28m1en5;5f:9j165=83.min4:479mb`d=981/n58551f8jg>22l10e8==:18'b`e===<0bkkm:008 g>12<:o7cl75;f8?l3493:1(kkl:465?k`bj3;87)l76;73`>he0<0h76g:3183>!`bk3??:6`iec820>"e0?0>=n=;l1<7*ieb8603=inlh1=85+b94915b6l54i46f>5<#nli19984ngga>40<,k2=68>k;o`;1??<3`??h7>5$gg`>0213glnn7?8;%`;2?37l2di4847;:k60f<72-lno7;;6:leag<602.i4;4:0e9mf=3=?21b99l50;&eaf<2=7chjb;3a?!d?>3?;h6`m8487?>o2<10;6)hjc;772>hamk0:o6*m87864a=ij1?1?65f55694?"amj0>8;5afd`95a=#j1<19=j4nc:6>7=06c3gh397?4;h71a?6=,ooh68:9;odff?7a3-h3:7;?d:la<0<732wi8oh511:5?M75;j1C=<:<;[1a1?e|lk0oo7?8c;3;4?70l3;3=7?8e;36e?7213;>n7?8f;36e<3k0(5l::`9'"?jj0j7)6md;c8 =db2h1/4oh5a:&;g5d=#0j91m6*7c58b?!>d=3k0(5m9:`9'"?kj0j7)6ld;c8 =eb2h1/4nh5a:&;`5d=#0m91m6*7d58b?!>c=3k0(5j9:`9'"?lj0j7)6kd;c8 =bb2h1/4ih5a:&;a5d=#0l91m6*7e58b?!>b=3k0(5k9:`9'<`1=i2.3i54n;%:f=?g<,1oj6l5+8d`9e>"?mj0j7)6jd;c8 =cb2h1/4hh5a:&;b5d=#0o91m6*7f58b?!>a=3k0(5h9:`9'444>2.:>>651315?k2ci330b9jm:89m2=7=82d=i;4?;%5bf?76;j1/;lm5101`?!>aj330(5hl:89'55g32=9:7)??a48774=#99k96<>i3:&24a0=12.:N68ok0(<<:5;33<3=#;8;1==oi;%;26?229m1/==l::203?k75=>09:>?4$02g6?2e:11b==6k:188m121290Cjhj4;h5b3?6=3`;;5l4?::k70f<72Alnh65f11:f>5<5;h5b1?6=3`?oi7>5$gg`>0bc3glnn7>4$c:5>0b23gh397=4;h7gg?6=,ooh68jk;odff?7<,k2=68j:;o`;1?4<3`?on7>5$gg`>0bc3glnn7<4$c:5>0b23gh397?4;h7ge?6=,ooh68jk;odff?5<,k2=68j:;o`;1?6<3`;:9n4?:%dfg?76=k1ejhl50:&a<3<69<90bo6::298m472i3:1(kkl:036f>hamk0:7)l76;3216=ij1?1>65f107:>5<#nli1=<;m;odff?4<,k2=6!`bk3<>:6`iec82?!d?>3<:h6`m8482a>=h><91<7*ieb8513=inlh1>6*m87855a=ij1?1=i54o771>5<#nli1:884ngga>6=#j1<1:4e<3f<>=7>5$gg`>3313glnn7:4$c:5>37c3gh397?m;:m515<72-lno78:6:leag<23-h3:78>d:la<0<6i21d:9h50;&eaf<1=?1ejhl56:&a<3<19m1en5;51898k32b290/jhm56448jcce2>1/n58560f8jg>228207b8;d;29 ccd2??=7chjb;:8 g>12?;o7cl75;34?>i1hamk027)l76;42`>he0<0::65`65`94?"amj0=9;5afd`9e>"e0?0==i5ab97950=j6=4+fda92006<:4;n472dmio4k;%`;2?06l2di484>2:9l210=83.min49579mb`d=m2.i4;491e9mf=3=9810c;:::18'b`e=><<0bkkm:g9'f=0=>8n0bo6::028?j03<3:1(kkl:775?k`bj3;;7)l76;42`>he0<0m76a94283>!`bk3<>:6`iec825>"e0?0==i5ab979a>=h>=81<7*ieb8513=inlh1=?5+b94924b6i54o762>5<#nli1:884ngga>45<,k2=6;?k;o`;1?e<3f5$gg`>3313glnn7?;;%`;2?06l2di484m;:m57c<72-lno78:6:leag<6=2.i4;491e9mf=3=i21d:8k50;&eaf<1=?1ejhl5179'f=0=>8n0bo6::898k33c290/jhm56448jcce28=0(o69:73g?kd?=3207b8:c;29 ccd2??=7chjb;3;?!d?>3<:h6`m8484?>i1=k0;6)hjc;462>hamk0:56*m87855a=ij1?1:65`64c94?"amj0=9;5afd`95d=#j1<1:0=37c3gh397:4;n46d:la<0<432e=994?:%dfg?02>2dmio4>d:&a<3<19m1en5;52:9l21?=83.min49579mb`d=9l1/n58560f8jg>22810c;=j:18'b`e=><<0bkkm:0d8 g>12?;o7cl75;28?l4e93:1(kkl:3`3?k`bj3:0(o69:3;g?kd?=3n07d12;3o7cl75;a8?l4fm3:1(kkl:3`3?k`bj380(o69:3;g?kd?=3h07d12;3o7cl75;c8?l4ei3:1(kkl:3`3?k`bj3>0(o69:3;g?kd?=3307d12;3o7cl75;:8?l4e03:1(kkl:3`3?k`bj3<0(o69:3;g?kd?=3=07d12;3o7cl75;48?l4e>3:1(kkl:3`3?k`bj320(o69:3;g?kd?=3?07d12;3o7cl75;68?l4e<3:1(kkl:3`3?k`bj3k0(o69:3;g?kd?=3907d12;3o7cl75;08?l4e:3:1(kkl:3`3?k`bj3i0(o69:3;g?kd?=3;07d12;3o7cl75;28?l4bj3:1(kkl:3gb?k`bj3:0(o69:3f4?kd?=3n07d12;n<7cl75;a8?l4b03:1(kkl:3gb?k`bj380(o69:3f4?kd?=3h07d12;n<7cl75;c8?l4a<3:1(kkl:3gb?k`bj3>0(o69:3f4?kd?=3307d12;n<7cl75;:8?l4a:3:1(kkl:3gb?k`bj3<0(o69:3f4?kd?=3=07d12;n<7cl75;48?l4a83:1(kkl:3gb?k`bj320(o69:3f4?kd?=3?07d12;n<7cl75;68?l4bm3:1(kkl:3gb?k`bj3k0(o69:3f4?kd?=3907d12;n<7cl75;08?l4bk3:1(kkl:3gb?k`bj3i0(o69:3f4?kd?=3;07d12;n<7cl75;28?l3fj3:1(kkl:4cb?k`bj3:0(o69:452?kd?=3;m76g:a883>!`bk3?jm6`iec82?!d?>3?<=6`m8482a>=n=h=1<7*ieb86ed=inlh1>6*m878634=ij1?1=i54i4c5>5<#nli19lo4ngga>6=#j1<19:?4nc:6>4e<3`?j97>5$gg`>0gf3glnn7:4$c:5>0163gh397?m;:k6e1<72-lno7;na:leag<23-h3:7;81:la<0<6i21b9l=50;&eaf<2ih1ejhl56:&a<3<2?81en5;51898m0g5290/jhm55`c8jcce2>1/n5855638jg>228207d;n1;29 ccd212<=:7cl75;34?>o2i90;6)hjc;7be>hamk027)l76;745>he0<0::65f58d94?"amj0>ml5afd`9e>"e0?0>;<5ab97950=6<:4;h7:g?6=,ooh68on;odff?e<,k2=689>;o`;1?7432c>5o4?:%dfg?3fi2dmio4k;%`;2?3092di484>2:9j1;0bo6::028?l3>03:1(kkl:4cb?k`bj3;;7)l76;745>he0<0m76g:9683>!`bk3?jm6`iec825>"e0?0>;<5ab979a>=n=0<1<7*ieb86ed=inlh1=?5+b9491276i54i4;6>5<#nli19lo4ngga>45<,k2=689>;o`;1?e<3`?287>5$gg`>0gf3glnn7?;;%`;2?3092di484m;:k6=6<72-lno7;na:leag<6=2.i4;4:709mf=3=i21b9o<50;&eaf<2ih1ejhl5179'f=0==>;0bo6::898m0d6290/jhm55`c8jcce28=0(o69:452?kd?=3207d;m0;29 ccd23?<=6`m8484?>o2io0;6)hjc;7be>hamk0:56*m878634=ij1?1:65f5`g94?"amj0>ml5afd`95d=#j1<19:?4nc:6>0=0163gh397:4;h7bg?6=,ooh68on;odff?7d3-h3:7;81:la<0<432c>m54?:%dfg?3fi2dmio4>d:&a<3<2?81en5;52:9j122810e87=:18'b`e==hk0bkkm:0d8 g>12<=:7cl75;28?j0a93:1(kkl:7d3?k`bj3:0(o69:7g;?kd?=3907b8jf;29 ccd2?l;7chjb;38 g>12?o37cl75;08?j0bm3:1(kkl:7d3?k`bj380(o69:7g;?kd?=3;07b8jd;29 ccd2?l;7chjb;18 g>12?o37cl75;28?l3bm3:1(kkl:4gg?k`bj3:0(o69:4g6?kd?=3907d;jc;29 ccd2127cl75;08?l3bj3:1(kkl:4gg?k`bj380(o69:4g6?kd?=3;07d;ja;29 ccd2127cl75;28?j1793:1(kkl:623?k`bj3:0(o69:7d;?kd?=3907b8if;29 ccd2>:;7chjb;38 g>12?l37cl75;08?j0am3:1(kkl:623?k`bj380(o69:7d;?kd?=3;07b8id;29 ccd2>:;7chjb;18 g>12?l37cl75;28?j0ek3:1(kkl:7`a?k`bj3:0(o69:7:1?kd?=3;m76a9b`83>!`bk33<3>6`m8482a>=h>k21<7*ieb85fg=inlh1>6*m8785<7=ij1?1=i54o7`4>5<#nli1:ol4ngga>6=#j1<1:5<4nc:6>4e<3f5$gg`>3de3glnn7:4$c:5>3>53gh397?m;:m5f0<72-lno78mb:leag<23-h3:7872:la<0<6i21d:o:50;&eaf<1jk1ejhl56:&a<3<10;1en5;51898k3d4290/jhm56c`8jcce2>1/n5856908jg>228207b8m2;29 ccd2?hi7chjb;:8 g>12?297cl75;34?>i1j80;6)hjc;4af>hamk027)l76;4;6>he0<0::65`6c294?"amj0=no5afd`9e>"e0?0=4?5ab97950=6<:4;n4b`?6=,ooh6;lm;odff?e<,k2=6;6=;o`;1?7432e=mn4?:%dfg?0ej2dmio4k;%`;2?0?:2di484>2:9l2dd=83.min49bc9mb`d=m2.i4;49839mf=3=9810c;on:18'b`e=>kh0bkkm:g9'f=0=>180bo6::028?j0f13:1(kkl:7`a?k`bj3;;7)l76;4;6>he0<0m76a9a983>!`bk3"e0?0=4?5ab979a>=h>h=1<7*ieb85fg=inlh1=?5+b9492=46i54o7c5>5<#nli1:ol4ngga>45<,k2=6;6=;o`;1?e<3f5$gg`>3de3glnn7?;;%`;2?0?:2di484m;:m5e1<72-lno78mb:leag<6=2.i4;49839mf=3=i21d:n=50;&eaf<1jk1ejhl5179'f=0=>180bo6::898k3e5290/jhm56c`8jcce28=0(o69:7:1?kd?=3207b8l1;29 ccd2?hi7chjb;3;?!d?>3<3>6`m8484?>i1k90;6)hjc;4af>hamk0:56*m8785<7=ij1?1:65`6cd94?"amj0=no5afd`95d=#j1<1:5<4nc:6>0=3>53gh397:4;n4a`?6=,ooh6;lm;odff?7d3-h3:7872:la<0<432e=n44?:%dfg?0ej2dmio4>d:&a<3<10;1en5;52:9l2dc=83.min49bc9mb`d=9l1/n5856908jg>22810c;o<:18'b`e=>kh0bkkm:0d8 g>12?297cl75;28?l76>j0;6)hjc;322g=inlh1<6*m87825356>54i035e?6=,ooh6629mf=3=:21b=<86:18'b`e=98128;=?6`m8482?>o69?21<7*ieb8253d5+b94954043gh397>4;h773?6=,ooh68:9;odff?6<,k2=68>k;o`;1?7a32c>884?:%dfg?33>2dmio4>;%`;2?37l2di484>e:9j115=83.min4:479mb`d=:2.i4;4:0e9mf=3=9m10e8:=:18'b`e===<0bkkm:29'f=0==9n0bo6::0a8?l3393:1(kkl:465?k`bj3>0(o69:42g?kd?=3;i76g:4183>!`bk3??:6`iec86?!d?>3?;h6`m8482e>=n=:l1<7*ieb8603=inlh1:6*m87864a=ij1?1=454i41f>5<#nli19984ngga>2=#j1<19=j4nc:6>4><3`?8h7>5$gg`>0213glnn764$c:5>06c3gh397?8;:k67f<72-lno7;;6:leag<>3-h3:7;?d:la<0<6>21b9>l50;&eaf<2228>07d;<8;29 ccd2<>=7chjb;a8 g>12<:o7cl75;30?>o2;>0;6)hjc;772>hamk0o7)l76;73`>he0<0:>65f52494?"amj0>8;5afd`9a>"e0?0>6=4+fda91106<>4;h700?6=,ooh68:9;odff?773-h3:7;?d:la<0?>4?:%dfg?33>2dmio4>1:&a<3<28m1en5;5e:9j164=83.min4:479mb`d=9;1/n58551f8jg>22m10e8=>:18'b`e===<0bkkm:018 g>12<:o7cl75;a8?l3483:1(kkl:465?k`bj3;?7)l76;73`>he0<0i76g:2g83>!`bk3??:6`iec821>"e0?0>=n==o1<7*ieb8603=inlh1=;5+b94915b6454i46g>5<#nli19984ngga>41<,k2=68>k;o`;1?><3`??o7>5$gg`>0213glnn7?7;%`;2?37l2di4848;:k60g<72-lno7;;6:leag<612.i4;4:0e9mf=3=>21b99o50;&eaf<2290/jhm55548jcce28h0(o69:42g?kd?=3>07d;;8;29 ccd2<>=7chjb;3`?!d?>3?;h6`m8480?>o2<=0;6)hjc;772>hamk0:h6*m87864a=ij1?1>65f52;94?"amj0>8;5afd`95`=#j1<19=j4nc:6>4=06c3gh397>4;|`7ffe=936nuk5;f5>452289=6<==:012>454289?6hk5eb8ff?ca2t.3no4n;%:ag?g<,1ho6l5+8cg9e>"?jo0j7)6l0;c8 =e62h1/4n<5a:&2661=9;9=7)?=39824=d44"0ik0:=>m4$6c`>474k2.3n?4n;%:a7?g<,1h?6l5+8c79e>"?k:0j7)6l4;c8 =e22h1/4n85a:&;g2d=#0jk1m6*7cc8b?!>dk3k0(5mk:`9'"?l:0j7)6k4;c8 =b22h1/4i85a:&;`2d=#0mk1m6*7fc84?!>ak3=0(<>7a;33b<=#99k?69=>;%33e0<3;81/==o=:02e7>"68m<1;6*>0e593>"?nm0i445G11db?!75=<0:<594$232>46fn2.2=?4;50f8 46e=399<6`>245963553-;;h?4;bb`8m46>j3:17d9n8;29?l770m0;66g8a783>>o31738jcce291/n585107g?kd?=3;07d?>6183>!`bk3;::<5afd`95>"e0?0:=8j4nc:6>5=6k54o756>5<#nli1::84ngga>4=#j1<1:8h4nc:6>`=6i54o750>5<#nli1::84ngga>6=#j1<1:8h4nc:6>f=6o54o752>5<#nli1::84ngga>0=#j1<1:8h4nc:6>d=6454o75e>5<#nli1::84ngga>2=#j1<1:8h4nc:6>==6:54o75g>5<#nli1::84ngga><=#j1<1:8h4nc:6>3=6854o75a>5<#nli1::84ngga>g=#j1<1:8h4nc:6>1=6>54o75:>5<#nli1::84ngga>a=#j1<1:8h4nc:6>7=6<54o753>5<#nli1::84ngga>c=#j1<1:8h4nc:6>5=6<54o7d6>5<#nli1:k84ngga>4=#j1<1:k<4nc:6>5=:<6=4+fda93506<54o626>5<#nli1;=84ngga>4=#j1<1;=<4nc:6>5=7>5$gg`>47092dmio4?;%`;2?76>m1en5;51:9j5417290/jhm51052?k`bj3;0(o69:035`>he0<0;76g=ce83>!`bk38ho6`iec83?!d?>38in6`m848`?>o5kk0;6)hjc;0`g>hamk0:7)l76;0af>he0<0i76g=c`83>!`bk38ho6`iec81?!d?>38in6`m848b?>o5l?0;6)hjc;0`g>hamk087)l76;0af>he0<0276g=d483>!`bk38ho6`iec87?!d?>38in6`m848;?>o5l=0;6)hjc;0`g>hamk0>7)l76;0af>he0<0<76g=d283>!`bk38ho6`iec85?!d?>38in6`m8485?>o5l;0;6)hjc;0`g>hamk0<7)l76;0af>he0<0>76g=d083>!`bk38ho6`iec8;?!d?>38in6`m8487?>o5l90;6)hjc;0`g>hamk027)l76;0af>he0<0876g=cg83>!`bk38ho6`iec8b?!d?>38in6`m8481?>o5kl0;6)hjc;0`g>hamk0i7)l76;0af>he0<0:76g=c883>!`bk38ho6`iec8`?!d?>38in6`m8483?>o2n=0;6)hjc;7e7>hamk0;7)l76;7fb>he0<0:76g:f383>!`bk3?m?6`iec82?!d?>3?nj6`m8483?>o2kk0;6)hjc;7`e>hamk0;7)l76;7a7>he0<0m76g:c883>!`bk3?hm6`iec82?!d?>3?i?6`m848f?>o2k10;6)hjc;7`e>hamk097)l76;7a7>he0<0o76g:c683>!`bk3?hm6`iec80?!d?>3?i?6`m848`?>o2k?0;6)hjc;7`e>hamk0?7)l76;7a7>he0<0i76g:c483>!`bk3?hm6`iec86?!d?>3?i?6`m848b?>o2l=0;6)hjc;7`e>hamk0=7)l76;7a7>he0<0276g:d283>!`bk3?hm6`iec84?!d?>3?i?6`m848;?>o2l;0;6)hjc;7`e>hamk037)l76;7a7>he0<0<76g:d083>!`bk3?hm6`iec8:?!d?>3?i?6`m8485?>o2l90;6)hjc;7`e>hamk0j7)l76;7a7>he0<0>76g:cg83>!`bk3?hm6`iec8a?!d?>3?i?6`m8487?>o2kl0;6)hjc;7`e>hamk0h7)l76;7a7>he0<0876g:ce83>!`bk3?hm6`iec8g?!d?>3?i?6`m8481?>o2kj0;6)hjc;7`e>hamk0n7)l76;7a7>he0<0:76g:c583>!`bk3?hm6`iec8e?!d?>3?i?6`m8483?>o2>>0;6)hjc;752>hamk0;7)l76;77b>he0<0m76g:6483>!`bk3?=:6`iec82?!d?>3??j6`m848f?>o2>=0;6)hjc;752>hamk097)l76;77b>he0<0o76g:6283>!`bk3?=:6`iec80?!d?>3??j6`m848`?>o2>;0;6)hjc;752>hamk0?7)l76;77b>he0<0i76g:6083>!`bk3?=:6`iec86?!d?>3??j6`m848b?>o2?90;6)hjc;752>hamk0=7)l76;77b>he0<0276g:6g83>!`bk3?=:6`iec84?!d?>3??j6`m848;?>o2>l0;6)hjc;752>hamk037)l76;77b>he0<0<76g:6e83>!`bk3?=:6`iec8:?!d?>3??j6`m8485?>o2>j0;6)hjc;752>hamk0j7)l76;77b>he0<0>76g:6c83>!`bk3?=:6`iec8a?!d?>3??j6`m8487?>o2>h0;6)hjc;752>hamk0h7)l76;77b>he0<0876g:6883>!`bk3?=:6`iec8g?!d?>3??j6`m8481?>o2>10;6)hjc;752>hamk0n7)l76;77b>he0<0:76g:6183>!`bk3?=:6`iec8e?!d?>3??j6`m8483?>o48>0;6)hjc;132>hamk0;7)l76;0e1>he0<0h76g<0483>!`bk39;:6`iec82?!d?>38m96`m848a?>o48=0;6)hjc;132>hamk097)l76;0e1>he0<0j76g<1183>!`bk39;:6`iec80?!d?>38m96`m848:?>o48o0;6)hjc;132>hamk0?7)l76;0e1>he0<0376g<0d83>!`bk39;:6`iec86?!d?>38m96`m8484?>o48m0;6)hjc;132>hamk0=7)l76;0e1>he0<0=76g<0b83>!`bk39;:6`iec84?!d?>38m96`m8486?>o48k0;6)hjc;132>hamk037)l76;0e1>he0<0?76g<0`83>!`bk39;:6`iec8:?!d?>38m96`m8480?>o4800;6)hjc;132>hamk0j7)l76;0e1>he0<0976g<0983>!`bk39;:6`iec8a?!d?>38m96`m8482?>o48:0;6)hjc;132>hamk0h7)l76;0e1>he0<0;76a9db83>!`bk33i1lh0;6)hjc;4gf>hamk0:7)l76;4`0>he0<0n76a9d883>!`bk33i1l10;6)hjc;4gf>hamk087)l76;4`0>he0<0h76a9d683>!`bk33i1l?0;6)hjc;4gf>hamk0>7)l76;4`0>he0<0j76a9e483>!`bk33i1m=0;6)hjc;4gf>hamk0<7)l76;4`0>he0<0376a9e283>!`bk33i1m;0;6)hjc;4gf>hamk027)l76;4`0>he0<0=76a9e083>!`bk33i1m90;6)hjc;4gf>hamk0i7)l76;4`0>he0<0?76a9dg83>!`bk33i1ll0;6)hjc;4gf>hamk0o7)l76;4`0>he0<0976a9de83>!`bk33i1l<0;6)hjc;4gf>hamk0m7)l76;4`0>he0<0;76g:e583>!`bk3?n?6`iec83?!d?>3?oj6`m8482?>o2m;0;6)hjc;7f7>hamk0:7)l76;7gb>he0<0;76sm420:>412d955>13A;9?n5G1060?_5e=3ipho4kc;34g?7?83;57?:b;34b?7203w/4ol5a:&;ffd=#0kl1m6*7c18b?!>d93k0(5m=:`9'"?k10j7)6l9;c8 =ef2h1/4nl5a:&;gfd=#0jl1m6*7d18b?!>c93k0(5j=:`9'"?l10j7)6k9;c8 =bf2h1/4il5a:&;`fd=#0ml1m6*7e18b?!>b93k0(5k=:`9'<`5=i2.3i94n;%:f1?g<,1o=6l5+8d59e>"?m10j7)6j9;c8 =cf2h1/4hl5a:&;afd=#0ll1m6*7f18b?!>a93k0(5h=:`9'"?n10j7)6i9;c8 =`f2h1/=?=8:02;f>"6::21=?=9;o6ge??h1m?0;7)9nb;327f=#?hi1=<=l;%:a6?g<,1h86l5+8c69e>"?j<0j7)6m6;c8 =d02h1/4o65a:&;f<<=#99k?69=>;%33e0<3;81/==o=:02e7>"68m<156*>0e59=>"68k<1==m6;%:e`?d?12B:>=i5+11`6>6473g;99:4=6218 46c:3>9i95f11:g>5<=6=4Gfdf8?l1f?3:17d??9`83>>o3b2900e<>69;29?l1f=3:17d;ke;29 ccd2127cl75;18?l3ck3:1(kkl:4fg?k`bj3;0(o69:4f6?kd?=3807d;kb;29 ccd2127cl75;38?l3ci3:1(kkl:4fg?k`bj390(o69:4f6?kd?=3:07d?>5b83>!`bk3;:9o5afd`94>"e0?0:=8=4nc:6>6=m7>5$gg`>472j2dmio4>;%`;2?76=:1en5;52:9j543>290/jhm5107a?k`bj380(o69:0367>he0<0:76g>14:94?"amj0:=8l4ngga>6=#j1<1=<;<;o`;1?6<3f<>;7>5$gg`>3313glnn7>4$c:5>37c3gh397?i;:m510<72-lno78:6:leag<63-h3:78>d:la<0<6m21d:8=50;&eaf<1=?1ejhl52:&a<3<19m1en5;51e98k335290/jhm56448jcce2:1/n58560f8jg>228i07b8:1;29 ccd2??=7chjb;68 g>12?;o7cl75;3a?>i1=90;6)hjc;462>hamk0>7)l76;42`>he0<0:m65`65d94?"amj0=9;5afd`92>"e0?0==i5ab9795<=n6=4+fda92006<64;n47`?6=,ooh6;;9;odff?><,k2=6;?k;o`;1?7032e=8n4?:%dfg?02>2dmio46;%`;2?06l2di484>6:9l21d=83.min49579mb`d=i2.i4;491e9mf=3=9<10c;:n:18'b`e=><<0bkkm:c9'f=0=>8n0bo6::068?j0303:1(kkl:775?k`bj3i0(o69:73g?kd?=3;876a94683>!`bk3<>:6`iec8g?!d?>3<:h6`m84826>=h>=<1<7*ieb8513=inlh1i6*m87855a=ij1?1=<54o766>5<#nli1:884ngga>c=#j1<1:46<3f5$gg`>3313glnn7??;%`;2?06l2di484i;:m506<72-lno78:6:leag<692.i4;491e9mf=3=m21d:9<50;&eaf<1=?1ejhl5139'f=0=>8n0bo6::e98k326290/jhm56448jcce2890(o69:73g?kd?=3i07b8;0;29 ccd2??=7chjb;37?!d?>3<:h6`m848a?>i1;o0;6)hjc;462>hamk0:96*m87855a=ij1?1m65`64g94?"amj0=9;5afd`953=#j1<1:<=37c3gh39764;n46g?6=,ooh6;;9;odff?7?3-h3:78>d:la<0<032e=9o4?:%dfg?02>2dmio4>9:&a<3<19m1en5;56:9l20g=83.min49579mb`d=9h1/n58560f8jg>22<10c;;6:18'b`e=><<0bkkm:0`8 g>12?;o7cl75;68?j0203:1(kkl:775?k`bj3;h7)l76;42`>he0<0876a95583>!`bk3<>:6`iec82`>"e0?0==i5ab9796>=h>=31<7*ieb8513=inlh1=h5+b94924b6<54o71f>5<#nli1:884ngga>4`<,k2=6;?k;o`;1?6<3`8i=7>5$gg`>7d73glnn7>4$c:5>7?c3gh397j4;h0bb?6=,ooh6?l?;odff?7<,k2=6?7k;o`;1?e<3`8ji7>5$gg`>7d73glnn7<4$c:5>7?c3gh397l4;h0b`?6=,ooh6?l?;odff?5<,k2=6?7k;o`;1?g<3`8im7>5$gg`>7d73glnn7:4$c:5>7?c3gh39774;h0a=?6=,ooh6?l?;odff?3<,k2=6?7k;o`;1?><3`8i47>5$gg`>7d73glnn784$c:5>7?c3gh39794;h0a3?6=,ooh6?l?;odff?1<,k2=6?7k;o`;1?0<3`8i:7>5$gg`>7d73glnn764$c:5>7?c3gh397;4;h0a1?6=,ooh6?l?;odff??<,k2=6?7k;o`;1?2<3`8i87>5$gg`>7d73glnn7o4$c:5>7?c3gh397=4;h0a7?6=,ooh6?l?;odff?d<,k2=6?7k;o`;1?4<3`8i>7>5$gg`>7d73glnn7m4$c:5>7?c3gh397?4;h0bg?6=,ooh6?l?;odff?b<,k2=6?7k;o`;1?6<3`8nn7>5$gg`>7cf3glnn7>4$c:5>7b03gh397j4;h0f=?6=,ooh6?kn;odff?7<,k2=6?j8;o`;1?e<3`8n47>5$gg`>7cf3glnn7<4$c:5>7b03gh397l4;h0f3?6=,ooh6?kn;odff?5<,k2=6?j8;o`;1?g<3`8m87>5$gg`>7cf3glnn7:4$c:5>7b03gh39774;h0e7?6=,ooh6?kn;odff?3<,k2=6?j8;o`;1?><3`8m>7>5$gg`>7cf3glnn784$c:5>7b03gh39794;h0e5?6=,ooh6?kn;odff?1<,k2=6?j8;o`;1?0<3`8m<7>5$gg`>7cf3glnn764$c:5>7b03gh397;4;h0fb?6=,ooh6?kn;odff??<,k2=6?j8;o`;1?2<3`8ni7>5$gg`>7cf3glnn7o4$c:5>7b03gh397=4;h0f`?6=,ooh6?kn;odff?d<,k2=6?j8;o`;1?4<3`8no7>5$gg`>7cf3glnn7m4$c:5>7b03gh397?4;h0f2?6=,ooh6?kn;odff?b<,k2=6?j8;o`;1?6<3`?jn7>5$gg`>0gf3glnn7>4$c:5>0163gh397?i;:k6e<<72-lno7;na:leag<63-h3:7;81:la<0<6m21b9l950;&eaf<2ih1ejhl52:&a<3<2?81en5;51e98m0g1290/jhm55`c8jcce2:1/n5855638jg>228i07d;n5;29 ccd212<=:7cl75;3a?>o2i=0;6)hjc;7be>hamk0>7)l76;745>he0<0:m65f5`194?"amj0>ml5afd`92>"e0?0>;<5ab9795<=6<64;h7b5?6=,ooh68on;odff?><,k2=689>;o`;1?7032c>m=4?:%dfg?3fi2dmio46;%`;2?3092di484>6:9j1<`=83.min4:a`9mb`d=i2.i4;4:709mf=3=9<10e87j:18'b`e==hk0bkkm:c9'f=0==>;0bo6::068?l3>k3:1(kkl:4cb?k`bj3i0(o69:452?kd?=3;876g:9c83>!`bk3?jm6`iec8g?!d?>3?<=6`m84826>=n=0k1<7*ieb86ed=inlh1i6*m878634=ij1?1=<54i4;:>5<#nli19lo4ngga>c=#j1<19:?4nc:6>46<3`?247>5$gg`>0gf3glnn7??;%`;2?3092di484i;:k6=2<72-lno7;na:leag<692.i4;4:709mf=3=m21b94850;&eaf<2ih1ejhl5139'f=0==>;0bo6::e98m0?2290/jhm55`c8jcce2890(o69:452?kd?=3i07d;64;29 ccd23?<=6`m848a?>o21:0;6)hjc;7be>hamk0:96*m878634=ij1?1m65f5c094?"amj0>ml5afd`953=#j1<19:?4nc:6><=0163gh39764;h7a4?6=,ooh68on;odff?7?3-h3:7;81:la<0<032c>mk4?:%dfg?3fi2dmio4>9:&a<3<2?81en5;56:9j1dc=83.min4:a`9mb`d=9h1/n5855638jg>22<10e8ok:18'b`e==hk0bkkm:0`8 g>12<=:7cl75;68?l3fk3:1(kkl:4cb?k`bj3;h7)l76;745>he0<0876g:a983>!`bk3?jm6`iec82`>"e0?0>;<5ab9796>=n=0n1<7*ieb86ed=inlh1=h5+b9491276<54i4;1>5<#nli19lo4ngga>4`<,k2=689>;o`;1?6<3f5$gg`>3`73glnn7>4$c:5>3c?3gh397=4;n4fb?6=,ooh6;h?;odff?7<,k2=6;k7;o`;1?4<3f5$gg`>3`73glnn7<4$c:5>3c?3gh397?4;n4f`?6=,ooh6;h?;odff?5<,k2=6;k7;o`;1?6<3`?ni7>5$gg`>0cc3glnn7>4$c:5>0c23gh397=4;h7fg?6=,ooh68kk;odff?7<,k2=68k:;o`;1?4<3`?nn7>5$gg`>0cc3glnn7<4$c:5>0c23gh397?4;h7fe?6=,ooh68kk;odff?5<,k2=68k:;o`;1?6<3f=;=7>5$gg`>2673glnn7>4$c:5>3`?3gh397=4;n4eb?6=,ooh6:>?;odff?7<,k2=6;h7;o`;1?4<3f5$gg`>2673glnn7<4$c:5>3`?3gh397?4;n4e`?6=,ooh6:>?;odff?5<,k2=6;h7;o`;1?6<3f5$gg`>3de3glnn7>4$c:5>3>53gh397?i;:m5fd<72-lno78mb:leag<63-h3:7872:la<0<6m21d:o650;&eaf<1jk1ejhl52:&a<3<10;1en5;51e98k3d0290/jhm56c`8jcce2:1/n5856908jg>228i07b8m6;29 ccd2?hi7chjb;68 g>12?297cl75;3a?>i1j<0;6)hjc;4af>hamk0>7)l76;4;6>he0<0:m65`6c694?"amj0=no5afd`92>"e0?0=4?5ab9795<=6<64;n4a6?6=,ooh6;lm;odff?><,k2=6;6=;o`;1?7032e=n<4?:%dfg?0ej2dmio46;%`;2?0?:2di484>6:9l2g6=83.min49bc9mb`d=i2.i4;49839mf=3=9<10c;oi:18'b`e=>kh0bkkm:c9'f=0=>180bo6::068?j0fl3:1(kkl:7`a?k`bj3i0(o69:7:1?kd?=3;876a9ab83>!`bk33<3>6`m84826>=h>hh1<7*ieb85fg=inlh1i6*m8785<7=ij1?1=<54o7cb>5<#nli1:ol4ngga>c=#j1<1:5<4nc:6>46<3f5$gg`>3de3glnn7??;%`;2?0?:2di484i;:m5e=<72-lno78mb:leag<692.i4;49839mf=3=m21d:l950;&eaf<1jk1ejhl5139'f=0=>180bo6::e98k3g1290/jhm56c`8jcce2890(o69:7:1?kd?=3i07b8n5;29 ccd2?hi7chjb;37?!d?>3<3>6`m848a?>i1i=0;6)hjc;4af>hamk0:96*m8785<7=ij1?1m65`6b194?"amj0=no5afd`953=#j1<1:5<4nc:6><=3>53gh39764;n4`5?6=,ooh6;lm;odff?7?3-h3:7872:la<0<032e=o=4?:%dfg?0ej2dmio4>9:&a<3<10;1en5;56:9l2g`=83.min49bc9mb`d=9h1/n5856908jg>22<10c;lj:18'b`e=>kh0bkkm:0`8 g>12?297cl75;68?j0el3:1(kkl:7`a?k`bj3;h7)l76;4;6>he0<0876a9b883>!`bk3"e0?0=4?5ab9796>=h>ho1<7*ieb85fg=inlh1=h5+b9492=46<54o7c0>5<#nli1:ol4ngga>4`<,k2=6;6=;o`;1?6<3`;::n4?:%dfg?76>k1ejhl50:&a<3<69?90bo6::298m471i3:1(kkl:035f>hamk0:7)l76;3226=ij1?1>65f104:>5<#nli1=<8m;odff?4<,k2=6!`bk3??:6`iec82?!d?>3?;h6`m8482a>=n==91<7*ieb8603=inlh1>6*m87864a=ij1?1=i54i461>5<#nli19984ngga>6=#j1<19=j4nc:6>4e<3`??=7>5$gg`>0213glnn7:4$c:5>06c3gh397?m;:k605<72-lno7;;6:leag<23-h3:7;?d:la<0<6i21b9>h50;&eaf<21/n58551f8jg>228207d;=7chjb;:8 g>12<:o7cl75;34?>o2;j0;6)hjc;772>hamk027)l76;73`>he0<0::65f52`94?"amj0>8;5afd`9e>"e0?0>6<:4;h70k;o`;1?7432c>?:4?:%dfg?33>2dmio4k;%`;2?37l2di484>2:9j160=83.min4:479mb`d=m2.i4;4:0e9mf=3=9810e8=::18'b`e===<0bkkm:g9'f=0==9n0bo6::028?l34<3:1(kkl:465?k`bj3;;7)l76;73`>he0<0m76g:3283>!`bk3??:6`iec825>"e0?0>=n=:81<7*ieb8603=inlh1=?5+b94915b6i54i412>5<#nli19984ngga>45<,k2=68>k;o`;1?e<3`?8<7>5$gg`>0213glnn7?;;%`;2?37l2di484m;:k66c<72-lno7;;6:leag<6=2.i4;4:0e9mf=3=i21b99k50;&eaf<2=7chjb;3;?!d?>3?;h6`m8484?>o2hamk0:56*m87864a=ij1?1:65f55c94?"amj0>8;5afd`95d=#j1<19=j4nc:6>0=26=4+fda911006c3gh397:4;h77894?:%dfg?33>2dmio4>d:&a<3<28m1en5;52:9j16?=83.min4:479mb`d=9l1/n58551f8jg>22810e812<:o7cl75;28?xd2:931=7950;2x 474n33:j6F>22a8L473;2.:>8;511;4?!?6:3>>=i5+8cc97d=i9;?<6?8<4:&24a4=<<8;7d6n1;29 442=39:>6`>24194>=n0h81<7*>2479744?7?4;h:b7?6=,88>97=>2:l2605=:21b4l:50;&2603=;880b<<:3;18?l>f=3:1(<<:5;126>h6:<91865f8`494?"6:5$0061?c0l21vn8h590d8L444k2B:=9=4$0061?771>1/5<<5443g?!>ei3>?7c?=5681263<,8:o>7::219j8;53008j442;3:07d6n2;29 442=39:>6`>24195>=n0h91<7*>2479744?7<4;h:b0?6=,88>97=>2:l2605=;21b4l;50;&2603=;880b<<:3;68?l>f>3:1(<<:5;126>h6:<91965`b9`94?"6:=93=1<7>t$030b??6n2B:>>m4H0377>"6:0e0900473`2j=7>5$0061?56:2d:>8=50:9j8;53008j442;3;07d6n3;29 442=39:>6`>24196>=n0h>1<7*>2479744?7=4;h:b1?6=,88>97=>2:l2605=<21b4l850;&2603=;880b<<:3;78?jd?j3:1(<<:5;g4`>=zj<8;m7?57;294~"69:l15N69=90(<<:5;33=2=#188188?k;%:ae?g63g;99:4=6258 46c:3>>>=5f8`394?"6:7>5$0061?56:2d:>8=51:9j8;53008j442;3807d6n4;29 442=39:>6`>24197>=n0h?1<7*>2479744?7:4;h:b2?6=,88>97=>2:l2605==21dn5l50;&2603=m>n07pl>ee395??=83:p(429'2.:>8;511f0?!77>o034k5G11db?M771=1/5<<5443g?k75=>09:>64$02g6?7bl91b>?750;9j67b=831b>>950;9j617=831b8>?50;l2561=921b8>h50;Jeaa=24793c0<@8:286*6138714b;7<9389'55b528oo<6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1b56>4<>290;w)?>3g824a5<@888o6F>1518 =df2?1/=?;::02g7>"68?l145h4H02ee>N680>0(4?=:572`>h6:<=1>;=n;%33`7<6k>>0e?<6:188m74c2900e?=8:188m7262900e9=>:18m54502810e9=i:18Kb`b<3`>2i7>5;n3332<722e:<:650;9~f4e003;157>50z&256`=99n87E?=3b9K54243-2im784$0061?77l:1/==8i:9:e?M77nh1C==7;;%;26?229m1e=?;8:340f>"68m81=n98;h01=?6=3`89h7>5;h003?6=3`8?=7>5;h605?6=f8;8;7?4;h60b?6=@ooo76g;9d83>>i68>=1<75`115;>5<:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459635d3-;;h?4>c658m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7bk10:644?:1y'545a28:o?6F>22a8L473;2.3nl49;%3110<68m90(<>9f;:;b>N68ok0D<>64:&:57<3=8n0b<<:7;057a=#99n96i4?::k172<722c98<4?::k774<72g;:?:4>;:k77c<72Alnh65f48g94?=h99=<6=44o024>=i5a1374>704m2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6mjo1=7750;2x 474n3;;h>5G131`?M76<:1/4oo56:&2603=99n87)??6g8;7?jce9j67?=831b>?j50;9j661=831b>9?50;9j067=83d:=>951:9j06`=83Bmii54i5;f>5<5;n333=<722wi=hmi:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9lii6<46:183!76;o0:N69=90(5ln:79'573228:o?6*>07d9<=`<@8:mm6F>0868 <752=?:h6`>245963263-;;h?4>ebc8m74>2900e?:188m156290e=<=8:098m15a290Cjhj4;h6:a?6=3f;;;:4?::m242>=831vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<5>=80(<>k2;3fgd=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8oij7?59;294~"69:l1==j<;I317f=O98>87)6ma;48 442=3;;h>5+114e>=>a3A;;jl5G11;7?!?6:3>>=i5a1374>703;2.:3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=:?>?7)??d382agc15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:883>5}#989m6<>k3:J266e<@8;??6*7b`85?!75=<0:?n2B:">9;0?9o5:00;66g=2e83>>o5;>0;66g=4083>>o3;80;6c?>3682?>o3;o0;6Ehjd:9j0eb495?0=83:p(N6::i0D7::1e9m57302;0e095`e33`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:in<51;;94?6|,8;8j7??d29K575d3A;:8>5+8cc92>"6:==0(<>k2;3fg4=n:;31<75f23f94?=n::=1<75f25394?=n<:;1<7`>12595>=n<:l1<7Fiee98m1?b2900c<>87;29?j77?10;66sm1da0>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?38=855+11f1>4cd92c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=hll:08:>5<7s-;:?k4>0e18L444k2B:=9=4$9`b>3=#9;?>6<>k3:&243`=01l0D<>ia:J24<2<,0;969;>d:l2601=:?>27)??d382agd5<5<4=m3:17b??7683>>i68>21<75rb0ga`?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>09:9o4$02g6?7bjk1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh511f0?M75;j1C=<:<;%:ae?0<,88>97??d29'550a212m7E??f`9K55?33-3:>7::1e9m57302;0e095`d?3`8957>5;h01`?6=3`88;7>5;h075?6=3`>8=7>5n0303?7<3`>8j7>5Hggg?>o31l0;66a>06594?=h99=36=44}c3ffd<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1>;:l;%33`7<6mk20e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?jb182><<729q/=<=i:02g7>N6::i0D0e18 461n323j6F>0gc8L46><2.2=?4;50f8j442?38=8i5+11f1>4cfn2c9>44?::k16a<722c9?:4?::k104<722c??<4?:o3272<632c??k4?:Idf`>=n<0o1<75`1154>5<5;|`2ag7=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6?8;e:&24a4=9lkm7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>ec495??=83:p(429'2.:>8;511f0?!77>o034k5G11db?M771=1/5<<5443g?k75=>09:9h4$02g6?7bj<1b>?750;9j67b=831b>>950;9j617=831b8>?50;l2561=921b8>h50;Jeaa=24793c0<@8:286*6138714b;7<9519'55b528oi96g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1d`0>4<>290;w)?>3g824a5<@888o6F>1518 =df2?1/=?;::02g7>"68?l145h4H02ee>N680>0(4?=:572`>h6:<=1>;;>;%33`7<6mk80e?<6:188m74c2900e?=8:188m7262900e9=>:18m54502810e9=i:18Kb`b<3`>2i7>5;n3332<722e:<:650;9~f4ce<3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4=6408 46c:3;nn?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0gb`?7=13:112d955b43A;9?n5G1060?!>ei3<0(<<:5;33`6=#992;665a=i9;?<6?8:3:&24a4=9lkh7d<=9;29?l45l3:17d<<7;29?l4393:17d:<1;29j474?3;07d:o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8952777?!77l;0:ilm4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3fed<6200;6=u+101e>46c;2B:>>m4H0377>"?jh0=7)?=54824a5<,8:=j767f:J24cg<@8:286*6138714b;7<9549'55b528oj56g=2883>>o5:m0;66g=3683>>o5<80;66g;3083>k76;>0:76g;3g83>M`bl21b84k50;9l55102900c<>88;29?xd6mhh1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:3462>"68m81=ho6;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2ad7=9331<7>t$030b?77l:1C=?=l;I3206=#0kk1:6*>247955b43-;;:k478g9K55`f3A;;595+9009007c3g;99:4=6458 46c:3;nm=5f23;94?=n:;n1<75f22594?=n:=;1<75f42394?h69:=1=65f42d94?Namm10e97j:188k460?3:17b??7983>>{e9lk96<49:183!76;o064:&:57<3=8n0b<<:7;051==#99n96o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:46c;2.:<;h589d8L46ai2B:<4:4$831>136l2d:>895277:?!77l;0:il84i30:>5<5<:6=44i512>550;66a>06:94?=zj8oj47?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568120g<,8:o>7?ja79j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4cf<3;157>50z&256`=99n87E?=3b9K54243-2im784$0061?77l:1/==8i:9:e?M77nh1C==7;;%;26?229m1e=?;8:346f>"68m81=ho<;h01=?6=3`89h7>5;h003?6=3`8?=7>5;h605?6=f8;8;7?4;h60b?6=@ooo76g;9d83>>i68>=1<75`115;>5<:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459633d3-;;h?4>e`18m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7b1l0:644?:1y'545a28:o?6F>22a8L473;2.3nl49;%3110<68m90(<>9f;:;b>N68ok0D<>64:&:57<3=8n0b<<:7;051a=#99n96i4?::k172<722c98<4?::k774<72g;:?:4>;:k77c<72Alnh65f48g94?=h99=<6=44o024>=i5a1374>702m2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6m0h1=7750;2x 474n3;;h>5G131`?M76<:1/4oo56:&2603=99n87)??6g8;7?j9`9j67?=831b>?j50;9j661=831b>9?50;9j067=83d:=>951:9j06`=83Bmii54i5;f>5<5;n333=<722wi=h7l:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?991/==j=:0g:e>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9l396<46:183!76;o0:N69=90(5ln:79'573228:o?6*>07d9<=`<@8:mm6F>0868 <752=?:h6`>245963063-;;h?4>e838m74>2900e?:188m156290e=<=8:098m15a290Cjhj4;h6:a?6=3f;;;:4?::m242>=831vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<5>?80(<>k2;3f=4=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8o247?59;294~"69:l1==j<;I317f=O98>87)6ma;48 442=3;;h>5+114e>=>a3A;;jl5G11;7?!?6:3>>=i5a1374>701;2.:3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=:?15a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:883>5}#989m6<>k3:J266e<@8;??6*7b`85?!75=<0:?n2B:">9;0?9<1/==j=:0g:0>o5:00;66g=2e83>>o5;>0;66g=4083>>o3;80;6c?>3682?>o3;o0;6Ehjd:9j0e8495?0=83:p(N6::i0D7::1e9m57302;<=:6*>0e095`?33`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:i5751;;94?6|,8;8j7??d29K575d3A;:8>5+8cc92>"6:?=0(<>k2;3f<==n:;31<75f23f94?=n::=1<75f25394?=n<:;1<7`>12595>=n<:l1<7Fiee98m1?b2900c<>87;29?j77?10;66sm1d:b>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?38=:55+11f1>4c?02c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=h6i:08:>5<7s-;:?k4>0e18L444k2B:=9=4$9`b>3=#9;?>6<>k3:&243`=01l0D<>ia:J24<2<,0;969;>d:l2601=:?<27)??d382a=c5<5<4=m3:17b??7683>>i68>21<75rb0g:4?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>09:;o4$02g6?7b0l1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh511f0?M75;j1C=<:<;%:ae?0<,88>97??d29'550a212m7E??f`9K55?33-3:>7::1e9m57302;<=n6*>0e095`>e3`8957>5;h01`?6=3`88;7>5;h075?6=3`>8=7>5n0303?7<3`>8j7>5Hggg?>o31l0;66a>06594?=h99=36=44}c3f2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1>;8l;%33`7<6m1h0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?j8182><<729q/=<=i:02g7>N6::i0D0e18 461n323j6F>0gc8L46><2.2=?4;50f8j442?38=:i5+11f1>4c0n2c9>44?::k16a<722c9?:4?::k104<722c??<4?:o3272<632c??k4?:Idf`>=n<0o1<75`1154>5<5;|`2a=7=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6?89e:&24a4=9l=m7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>e9495??=83:p(429'2.:>8;511f0?!77>o034k5G11db?M771=1/5<<5443g?k75=>09:;h4$02g6?7b0<1b>?750;9j67b=831b>>950;9j617=831b8>?50;l2561=921b8>h50;Jeaa=0280=6=4?{%327c<0m81C=?=l;I3206=#0kk186*>24793c0<@8:286*6138714b;7<9719'55b528o396g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1d:0>4<>290;w)?>3g824a5<@888o6F>1518 =df2?1/=?;::02g7>"68?l145h4H02ee>N680>0(4?=:572`>h6:<=1>;9>;%33`7<6m180e?<6:188m74c2900e?=8:188m7262900e9=>:18m54502810e9=i:18Kb`b<3`>2i7>5;n3332<722e:<:650;9~f4c?<3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4=6608 46c:3;n4?5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0g4`?7=13:112d955b43A;9?n5G1060?!>ei3<0(<<:5;33`6=#992;665a=i9;?<6?883:&24a4=9l=h7d<=9;29?l45l3:17d<<7;29?l4393:17d:<1;29j474?3;07d:o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>8952757?!77l;0:i:m4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3f3d<6200;6=u+101e>46c;2B:>>m4H0377>"?jh0=7)?=54824a5<,8:=j767f:J24cg<@8:286*6138714b;7<9749'55b528o<56g=2883>>o5:m0;66g=3683>>o5<80;66g;3083>k76;>0:76g;3g83>M`bl21b84k50;9l55102900c<>88;29?xd6m>h1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:3442>"68m81=h96;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`2a27=9331<7>t$030b?77l:1C=?=l;I3206=#0kk1:6*>247955b43-;;:k478g9K55`f3A;;595+9009007c3g;99:4=6658 46c:3;n;=5f23;94?=n:;n1<75f22594?=n:=;1<75f42394?h69:=1=65f42d94?Namm10e97j:188k460?3:17b??7983>>{e9l=96<49:183!76;o064:&:57<3=8n0b<<:7;053==#99n96o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:46c;2.:<;h589d8L46ai2B:<4:4$831>136l2d:>895275:?!77l;0:i:84i30:>5<5<:6=44i512>550;66a>06:94?=zj8o<47?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568122g<,8:o>7?j779j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4c0<3;157>50z&256`=99n87E?=3b9K54243-2im784$0061?77l:1/==8i:9:e?M77nh1C==7;;%;26?229m1e=?;8:344f>"68m81=h9<;h01=?6=3`89h7>5;h003?6=3`8?=7>5;h605?6=f8;8;7?4;h60b?6=@ooo76g;9d83>>i68>=1<75`115;>5<:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>2459631d3-;;h?4>e618m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg7b>l0:644?:1y'545a28:o?6F>22a8L473;2.3nl49;%3110<68m90(<>9f;:;b>N68ok0D<>64:&:57<3=8n0b<<:7;053a=#99n96i4?::k172<722c98<4?::k774<72g;:?:4>;:k77c<72Alnh65f48g94?=h99=<6=44o024>=i5a1374>700m2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd6m?h1=7750;2x 474n3;;h>5G131`?M76<:1/4oo56:&2603=99n87)??6g8;7?j6`9j67?=831b>?j50;9j661=831b>9?50;9j067=83d:=>951:9j06`=83Bmii54i5;f>5<5;n333=<722wi=h8l:085>5<7s-;:?k48e09K575d3A;:8>5+8cc90>"6:">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e9l<96<46:183!76;o0:N69=90(5ln:79'573228:o?6*>07d9<=`<@8:mm6F>0868 <752=?:h6`>245963>63-;;h?4>e738m74>2900e?:188m156290e=<=8:098m15a290Cjhj4;h6:a?6=3f;;;:4?::m242>=831vnh57d38L444k2B:=9=4$9`b>1=#9;?>6:h9;I33=1=#188188?k;o3112<5>180(<>k2;3f24=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj8o=47?59;294~"69:l1==j<;I317f=O98>87)6ma;48 442=3;;h>5+114e>=>a3A;;jl5G11;7?!?6:3>>=i5a1374>70?;2.:3<729q/=<=i:6g2?M75;j1C=<:<;%:ae?2<,88>979i6:J24<2<,0;969;>d:l2601=:?2?7)??d382a3115a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:883>5}#989m6<>k3:J266e<@8;??6*7b`85?!75=<0:?n2B:">9;0?9o5:00;66g=2e83>>o5;>0;66g=4083>>o3;80;6c?>3682?>o3;o0;6Ehjd:9j0e7495?0=83:p(N6::i0D7::1e9m57302;<3:6*>0e095`033`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th:i8h51;;94?6|,8;8j7??d29K575d3A;:8>5+8cc92>"6:1=0(<>k2;3f1`=n:;31<75f23f94?=n::=1<75f25394?=n<:;1<7`>12595>=n<:l1<7Fiee98m1?b2900c<>87;29?j77?10;66sm1d43>4<1290;w)?>3g84a4=O9;9h7E?>429'8;57g48L46><2.2=?4;50f8j442?38=455+11f1>4c2m2c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi=h;l:08:>5<7s-;:?k4>0e18L444k2B:=9=4$9`b>3=#9;?>6<>k3:&243`=01l0D<>ia:J24<2<,0;969;>d:l2601=:?227)??d382a0d5<5<4=m3:17b??7683>>i68>21<75rb0g6`?7=>3:112d93`7<@888o6F>1518 =df2=1/=?;::6d5?M771=1/5<<5443g?k75=>09:5o4$02g6?7b=k1b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vnh511f0?M75;j1C=<:<;%:ae?0<,88>97??d29'550a212m7E??f`9K55?33-3:>7::1e9m57302;<3n6*>0e095`353`8957>5;h01`?6=3`88;7>5;h075?6=3`>8=7>5n0303?7<3`>8j7>5Hggg?>o31l0;66a>06594?=h99=36=44}c3f11<62?0;6=u+101e>2c63A;9?n5G1060?!>ei3>0(<<:5;5e2>N680>0(4?=:572`>h6:<=1>;6l;%33`7<6m<80e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo?j5882><<729q/=<=i:02g7>N6::i0D0e18 461n323j6F>0gc8L46><2.2=?4;50f8j442?38=4i5+11f1>4c202c9>44?::k16a<722c9?:4?::k104<722c??<4?:o3272<632c??k4?:Idf`>=n<0o1<75`1154>5<5;|`2a0g=93<1<7>t$030b?1b92B:>>m4H0377>"?jh0?7)?=5484b3=O993?7)7>2;665a=i9;?<6?87e:&24a4=9l?37d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl>e4495??=83:p(429'2.:>8;511f0?!77>o034k5G11db?M771=1/5<<5443g?k75=>09:5h4$02g6?7b=<1b>?750;9j67b=831b>>950;9j617=831b8>?50;l2561=921b8>h50;Jeaa=24793c0<@8:286*6138714b;7<9919'55b528o>96g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm1d6b>4<>290;w)?>3g824a5<@888o6F>1518 =df2?1/=?;::02g7>"68?l145h4H02ee>N680>0(4?=:572`>h6:<=1>;7>;%33`7<6m=30e?<6:188m74c2900e?=8:188m7262900e9=>:18m54502810e9=i:18Kb`b<3`>2i7>5;n3332<722e:<:650;9~f4c3j3;1:7>50z&256`=?l;0D<<2`13A;;595+9009007c3g;99:4=6808 46c:3;n845f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb0g64?7=13:112d955b43A;9?n5G1060?!>ei3<0(<<:5;33`6=#992;665a=i9;?<6?863:&24a4=9l>m7d<=9;29?l45l3:17d<<7;29?l4393:17d:<1;29j474?3;07d:o:7E?=3b9K54243-2im7:4$0061?1a>2B:<4:4$831>136l2d:>89527;7?!77l;0:i9h4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c3f0a<6200;6=u+101e>46c;2B:>>m4H0377>"?jh0=7)?=54824a5<,8:=j767f:J24cg<@8:286*6138714b;7<9949'55b528o?o6g=2883>>o5:m0;66g=3683>>o5<80;66g;3083>k76;>0:76g;3g83>M`bl21b84k50;9l55102900c<>88;29?xd6m=o1=7850;2x 474n3=n=6F>22a8L473;2.3nl4;;%3110<0n?1C==7;;%;26?229m1e=?;8:34:2>"68m81=h:l;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`65<1=9331<7>t$030b?77l:1C=?=l;I3206=#0kk1:6*>247955b43-;;:k478g9K55`f3A;;595+9009007c3g;99:4=6858 46c:3?;;=5f23;94?=n:;n1<75f22594?=n:=;1<75f42394?h69:=1=65f42d94?Namm10e97j:188k460?3:17b??7983>>{e=9lj6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;05===#99n968>80:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:46c;2.:<;h589d8L46ai2B:<4:4$831>136l2d:>89527;:?!77l;0:i984i30:>5<5<:6=44i512>550;66a>06:94?=zj8o?47?56;294~"69:l1;h?4H000g>N69=90(5ln:59'57322>l=7E??959'=44=<<;o7c?=568127?j479j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f4c3<3;157>50z&256`=99n87E?=3b9K54243-2im784$0061?77l:1/==8i:9:e?M77nh1C==7;;%;26?229m1e=?;8:34:f>"68m81=h:<;h01=?6=3`89h7>5;h003?6=3`8?=7>5;h605?6=f8;8;7?4;h60b?6=@ooo76g;9d83>>i68>=1<75`115;>5<:783>5}#989m6:k>;I317f=O98>87)6ma;68 442=3=m:6F>0868 <752=?:h6`>245963?d3-;;h?4>e518m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg36110:644?:1y'545a28:o?6F>22a8L473;2.3nl49;%3110<68m90(<>9f;:;b>N68ok0D<>64:&:57<3=8n0b<<:7;05=a=#99n968>80:k16<<722c9>i4?::k172<722c98<4?::k774<72g;:?:4>;:k77c<72Alnh65f48g94?=h99=<6=44o02424793c0<,1hj695G11;7?!?6:3>>=i5a1374>70>m2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd29h:1=7750;2x 474n3;;h>5G131`?M76<:1/4oo56:&2603=99n87)??6g8;7;?719j67?=831b>?j50;9j661=831b>9?50;9j067=83d:=>951:9j06`=83Bmii54i5;f>5<5;n333=<722wi9<><:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?9o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e=8k86<46:183!76;o0:N69=90(5ln:79'573228:o?6*>07d9<=`<@8:mm6F>0868 <752=?:h6`>245963g63-;;h?4:0628m74>2900e?:188m156290e=<=8:098m15a290Cjhj4;h6:a?6=3f;;;:4?::m242>=831vn8??6;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<5>h80(<>k2;7335=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<;j=7?59;294~"69:l1==j<;I317f=O98>87)6ma;48 442=3;;h>5+114e>=>a3A;;jl5G11;7?!?6:3>>=i5a1374>70f;2.:0582>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=:?k?7)??d38642615a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:883>5}#989m6<>k3:J266e<@8;??6*7b`85?!75=<0:?n2B:">9;0?9o5:00;66g=2e83>>o5;>0;66g=4083>>o3;80;6c?>3682?>o3;o0;6Ehjd:9j0N6::i0D7::1e9m57302;0e0915173`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th>=l:51;;94?6|,8;8j7??d29K575d3A;:8>5+8cc92>"6:h=0(<>k2;7335=n:;31<75f23f94?=n::=1<75f25394?=n<:;1<7`>12595>=n<:l1<7Fiee98m1?b2900c<>87;29?j77?10;66sm5024>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?38=m55+11f1>06082c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi95<7s-;:?k4>0e18L444k2B:=9=4$9`b>3=#9;?>6<>k3:&243`=01l0D<>ia:J24<2<,0;969;>d:l2601=:?k27)??d3864265<5<4=m3:17b??7683>>i68>21<75rb4333:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>09:lo4$02g6?37?91b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn8?69;39=?6=8r.:=>h511f0?M75;j1C=<:<;%:ae?0<,88>97??d29'550a212m7E??f`9K55?33-3:>7::1e9m57302;0e0915173`8957>5;h01`?6=3`88;7>5;h075?6=3`>8=7>5n0303?7<3`>8j7>5Hggg?>o31l0;66a>06594?=h99=36=44}c73bf<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1>;ol;%33`7<28>:0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo;>a782><<729q/=<=i:02g7>N6::i0D0e18 461n323j6F>0gc8L46><2.2=?4;50f8j442?38=mi5+11f1>06082c9>44?::k16a<722c9?:4?::k104<722c??<4?:o3272<632c??k4?:Idf`>=n<0o1<75`1154>5<5;|`655?=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?8ne:&24a4==9=;7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:1`595??=83:p(429'2.:>8;511f0?!77>o034k5G11db?M771=1/5<<5443g?k75=>09:lh4$02g6?37?91b>?750;9j67b=831b>>950;9j617=831b8>?50;l2561=921b8>h50;Jeaa=6:h9;%:ae?2<@8:286*6138714b;7<9b19'55b52<:<<6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm50;b>4<>290;w)?>3g824a5<@888o6F>1518 =df2?1/=?;::02g7>"68?l145h4H02ee>N680>0(4?=:572`>h6:<=1>;l>;%33`7<28>:0e?<6:188m74c2900e?=8:188m7262900e9=>:18m54502810e9=i:18Kb`b<3`>2i7>5;n3332<722e:<:650;9~f06al3;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4=6c08 46c:3?;;=5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb43:f?7=13:112d955b43A;9?n5G1060?!>ei3<0(<<:5;33`6=#992;665a=i9;?<6?8m3:&24a4==9=;7d<=9;29?l45l3:17d<<7;29?l4393:17d:<1;29j474?3;07d:o:7E?=3b9K54243-;99848f79'136l2d:>89527`7?!77l;0><:>4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c72=`<6200;6=u+101e>46c;2B:>>m4H0377>"?jh0=7)?=54824a5<,8:=j767f:J24cg<@8:286*6138714b;7<9b49'55b52<:<<6g=2883>>o5:m0;66g=3683>>o5<80;66g;3083>k76;>0:76g;3g83>M`bl21b84k50;9l55102900c<>88;29?xd299;1=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:34a2>"68m819=9?;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`65t$030b?77l:1C=?=l;I3206=#0kk1:6*>247955b43-;;:k478g9K55`f3A;;595+9009007c3g;99:4=6c58 46c:3?;;=5f23;94?=n:;n1<75f22594?=n:=;1<75f42394?h69:=1=65f42d94?Namm10e97j:188k460?3:17b??7983>>{e=9lm6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;05f==#99n968>80:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:46c;2.:<;h589d8L46ai2B:<4:4$831>136l2d:>89527`:?!77l;0><:>4i30:>5<5<:6=44i512>550;66a>06:94?=zj<;;<7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56812gg<,8:o>7;?719j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f07f13;157>50z&256`=99n87E?=3b9K54243-2im784$0061?77l:1/==8i:9:e?M77nh1C==7;;%;26?229m1e=?;8:34af>"68m819=9?;h01=?6=3`89h7>5;h003?6=3`8?=7>5;h605?6=f8;8;7?4;h60b?6=@ooo76g;9d83>>i68>=1<75`115;>5<:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245963dd3-;;h?4:0628m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg361o0:644?:1y'545a28:o?6F>22a8L473;2.3nl49;%3110<68m90(<>9f;:;b>N68ok0D<>64:&:57<3=8n0b<<:7;05fa=#99n968>80:k16<<722c9>i4?::k172<722c98<4?::k774<72g;:?:4>;:k77c<72Alnh65f48g94?=h99=<6=44o024=l651;;94?6|,8;8j7??d29K575d3A;:8>5+8cc92>"6:ko0(<>k2;7335=n:;31<75f23f94?=n::=1<75f25394?=n<:;1<7`>12595>=n<:l1<7Fiee98m1?b2900c<>87;29?j77?10;66sm502a>4<1290;w)?>3g84a4=O9;9h7E?>429'57322>l=7)6ma;68L46><2.2=?4;50f8j442?38=nk5+11f1>06082c8n:4?:%3110<3;o10e9=>:18'57322=9:76g;9d83>!75=<03mo54o0243?6=,88>97k8d:9j550b290/=?;::02ba>=n<1n1<7*>247955??32wi95<7s-;:?k4>0e18L444k2B:=9=4$9`b>3=#9;?>6<>k3:&243`=01l0D<>ia:J24<2<,0;969;>d:l2601=:?i;7)??d3864265<5<4=m3:17b??7683>>i68>21<75rb4322?7=>3:112d93`7<@888o6F>1518 442=3=m:6*7b`87?M771=1/5<<5443g?k75=>09:n?4$02g6?37?91b?o950;&2603=<:l07d:<1;29 442=3>8=65f48g94?"6:46>021vn8?m1;39=?6=8r.:=>h511f0?M75;j1C=<:<;%:ae?0<,88>97??d29'550a212m7E??f`9K55?33-3:>7::1e9m57302;6*>0e0915173`8957>5;h01`?6=3`88;7>5;h075?6=3`>8=7>5n0303?7<3`>8j7>5Hggg?>o31l0;66a>06594?=h99=36=44}c7251<62?0;6=u+101e>2c63A;9?n5G1060?!75=<0N680>0(4?=:572`>h6:<=1>;m<;%33`7<28>:0e>l8:18'57322=9m76g;3083>!75=<0??<54i5;f>5<#9;?>65om;:m2421=83.:>8;5e6f8?l77>l0;6)?=54824dc<3`>3h7>5$0061?771110qo;>b382><<729q/=<=i:02g7>N6::i0D0e18 461n323j6F>0gc8L46><2.2=?4;50f8j442?38=o95+11f1>06082c9>44?::k16a<722c9?:4?::k104<722c??<4?:o3272<632c??k4?:Idf`>=n<0o1<75`1154>5<5;|`6543=93<1<7>t$030b?1b92B:>>m4H0377>"6:1=O993?7)7>2;665a=i9;?<6?8l5:&24a4==9=;7d=m7;29 442=3>8j65f42394?"6:?4;h6:a?6=,88>976nb:9l5510290/=?;::d5g?>o68?o1<7*>247955gb32c?4i4?:%3110<680207pl:1c695??=83:p(429'2.:>8;511f0?!77>o034k5G11db?M771=1/5<<5443g?k75=>09:n84$02g6?37?91b>?750;9j67b=831b>>950;9j617=831b8>?50;l2561=921b8>h50;Jeaa=6:h9;%:ae?2<@8:286*6138714b;7<9c69'55b52<:<<6g!75=<0??k54i512>5<#9;?>69=>;:k7=`<72-;99847ac98k460?3:1(<<:5;g4`>=n9946fm21b85j50;&2603=993376sm50`6>4<>290;w)?>3g824a5<@888o6F>1518 =df2?1/=?;::02g7>"68?l145h4H02ee>N680>0(4?=:572`>h6:<=1>;m7;%33`7<28>:0e?<6:188m74c2900e?=8:188m7262900e9=>:18m54502810e9=i:18Kb`b<3`>2i7>5;n3332<722e:<:650;9~f07603;1:7>50z&256`=?l;0D<<979i6:&;fd<33A;;595+9009007c3g;99:4=6b;8 46c:3?;;=5f3c594?"6:h4;h605?6=,88>97:<1:9j08;58``8?j77?>0;6)?=548f3a=5$0061?77il10e96k:18'573228:2465rb43a12d955b43A;9?n5G1060?!>ei3<0(<<:5;33`6=#992;665a=i9;?<6?8la:&24a4==9=;7d<=9;29?l45l3:17d<<7;29?l4393:17d:<1;29j474?3;07d:o:7E?=3b9K54243-;99848f79'136l2d:>89527aa?!77l;0><:>4i2`4>5<#9;?>69=i;:k774<72-;9984;3098m1?b290/=?;::9ca?>i68>=1<7*>2479a2b<3`;;:h4?:%3110<68ho07d:7d;29 442=3;;5554}c72f3<6200;6=u+101e>46c;2B:>>m4H0377>"?jh0=7)?=54824a5<,8:=j767f:J24cg<@8:286*6138714b;7<9cb9'55b52<:<<6g=2883>>o5:m0;66g=3683>>o5<80;66g;3083>k76;>0:76g;3g83>M`bl21b84k50;9l55102900c<>88;29?xd29831=7850;2x 474n3=n=6F>22a8L473;2.:>8;57g48 =df2=1C==7;;%;26?229m1e=?;8:34``>"68m819=9?;h1a3?6=,88>97:8;54238?l2>m3:1(<<:5;:bf>=h99=<6=4+1376>`1c32c:<;k50;&2603=99kn76g;8e83>!75=<0:<464;|`65g1=9331<7>t$030b?77l:1C=?=l;I3206=#0kk1:6*>247955b43-;;:k478g9K55`f3A;;595+9009007c3g;99:4=6bg8 46c:3?;;=5f23;94?=n:;n1<75f22594?=n:=;1<75f42394?h69:=1=65f42d94?Namm10e97j:188k460?3:17b??7983>>{e=8;j6<49:183!76;o0ei3>0D<>64:&:57<3=8n0b<<:7;05gc=#99n968>80:k0f2<72-;9984;3g98m156290/=?;::512?>o31l0;6)?=548;eg=5$0061?c0l21b==8j:18'573228:ji65f49f94?"6:46c;2.:<;h589d8L46ai2B:<4:4$831>136l2d:>89527f3?!77l;0><:>4i30:>5<5<:6=44i512>550;66a>06:94?=zj<;;h7?56;294~"69:l1;h?4H000g>N69=90(<<:5;5e2>"?jh0?7E??959'=44=<<;o7c?=56812a7<,8:o>7;?719j7g1=83.:>8;542d8?l2493:1(<<:5;605>=n<0o1<7*>24799e;29 442=3;;mh54i5:g>5<#9;?>6<>68:9~f07fj3;157>50z&256`=99n87E?=3b9K54243-2im784$0061?77l:1/==8i:9:e?M77nh1C==7;;%;26?229m1e=?;8:34g6>"68m819=9?;h01=?6=3`89h7>5;h003?6=3`8?=7>5;h605?6=f8;8;7?4;h60b?6=@ooo76g;9d83>>i68>=1<75`115;>5<:783>5}#989m6:k>;I317f=O98>87)?=5484b3=#0kk186F>0868 <752=?:h6`>245963b43-;;h?4:0628m6d0290/=?;::51e?>o3;80;6)?=548774==ge32e:<:950;&2603=m>n07d??6d83>!75=<0:97??9998yg36il0:644?:1y'545a28:o?6F>22a8L473;2.3nl49;%3110<68m90(<>9f;:;b>N68ok0D<>64:&:57<3=8n0b<<:7;05`1=#99n968>80:k16<<722c9>i4?::k172<722c98<4?::k774<72g;:?:4>;:k77c<72Alnh65f48g94?=h99=<6=44o024=24793c0<,1hj695G11;7?!?6:3>>=i5a1374>70c=2.:=n<:;1<7*>2479067<3`>2i7>5$0061?>fj21d==98:18'57322l=o76g>07g94?"6:08:8?xd29hi1=7750;2x 474n3;;h>5G131`?M76<:1/4oo56:&2603=99n87)??6g8;7;?719j67?=831b>?j50;9j661=831b>9?50;9j067=83d:=>951:9j06`=83Bmii54i5;f>5<5;n333=<722wi9<>i:085>5<7s-;:?k48e09K575d3A;:8>5+1376>2`13-2im7:4H02:0>">9;0?91/==j=:4244>o4j>0;6)?=54877c=15632c?5h4?:%311087;29 442=3o5<#9;?>6<>ne:9j0=b=83.:>8;511;;?>{e=8ko6<46:183!76;o0:N69=90(5ln:79'573228:o?6*>07d9<=`<@8:mm6F>0868 <752=?:h6`>245963b?3-;;h?4:0628m74>2900e?:188m156290e=<=8:098m15a290Cjhj4;h6:a?6=3f;;;:4?::m242>=831vn8?>0;392?6=8r.:=>h57d38L444k2B:=9=4$0061?1a>2.3nl4;;I33=1=#188188?k;o3112<5>m30(<>k2;7335=n;k=1<7*>247906`<3`>8=7>5$0061?24921b84k50;&2603=0hh07b??7683>!75=<0n;i54i025a?6=,88>97??ad98m1>c290/=?;::02:<>=zj<;jj7?59;294~"69:l1==j<;I317f=O98>87)6ma;48 442=3;;h>5+114e>=>a3A;;jl5G11;7?!?6:3>>=i5a1374>70ci2.:1382>3<729q/=<=i:6g2?M75;j1C=<:<;%3110<0n?1/4oo54:J24<2<,0;969;>d:l2601=:?ni7)??d38642615a32c??<4?:%3110<3;810e97j:18'573221ki76a>06594?"6:0`g8?l2?l3:1(<<:5;33===:883>5}#989m6<>k3:J266e<@8;??6*7b`85?!75=<0:?n2B:">9;0?9o5:00;66g=2e83>>o5;>0;66g=4083>>o3;80;6c?>3682?>o3;o0;6Ehjd:9j0N6::i0D7::1e9m57302;0e0915173`9i;7>5$0061?24n21b8>?50;&2603=<:;07d:6e;29 442=32jn65`1154>5<#9;?>6h9k;:k243c=83.:>8;511cf?>o30m0;6)?=54824<><3th??k4>:083>5}#9;?>6k<=;I3206=O0ho0(4>l:9ca?!?6=3ono6*60c8:65=i9;?<6?8ke:ke67<72-;9984i2398yg76?:0:6<4?:1y'57322o897E?>429K6hm7;%;3f??582d:>89527fe?.`5:3:1(<<:5;d16>=zj8;N?il1/5<;5eb`8 <6e208;7c?=56812`6<#o896=4+1376>c4532wi=<9l:082>5<7s-;9984i239K54243A2ji6*6148fga=#19h15?>4n0063?41m81 j?<50;&2603=n;807pl>16f95?7=83:p(<<:5;d16>N69=90D5oj;%;21?cdn2.26%i2383>!75=<0m>?54}c323`<6280;6=u+1376>c453A;:8>5G8`g8 <722ln87)7?b;;14>h6:<=1>;k<;*d16?6=,88>97h=2:9~f470n3;1=7>50z&2603=n;80D<473g;99:4=6d68/c45290/=?;::g01?>{e982;6<4>:183!75=<0m>?5G1060?M>fm2.2=84jd99'=5d=1;:0b<<:7;05a0=,n;81<7*>2479b74<3th:=5?51;394?6|,88>97h=2:J2515<@1kn7)7>5;gg`>">8k02>=5a1374>70b>2!m>?4?:%31108382>4<729q/=?;::g01?M76<:1C4lk4$836>`ba3-3;n77=0:l2601=:?o<7&h=2;29 442=3l9>65rb03;7?7=93:12479b74<@8;??6F7ad9'=43=ml80(4>m:803?k75=>09:h64+g01>5<#9;?>6k<=;:a5413280:6=4?{%3110">9<0ni95+91`9=76;7<9e89(b74=83.:>8;5f308?xd69>?1=7?50;2x 442=3l9>6F>1518L=gb3-3:97kj6:&:4g<>:91e=?;8:34fe>-a:;0;6)?=548e67=:083>5}#9;?>6k<=;I3206=O0ho0(4?::dg;?!?7j339<6`>245963ce3"l9>7>5$0061?`5:21vn8;5f308L473;2B3mh5+9079a`d<,0:i64li0'k<=:18'57322o8976sm105;>4<6290;w)?=548e67=O98>87E6ne:&:50t$0061?`5:2B:=9=4H9cf?!?6=3o386*60c8:65=i9;?<6?8je:)e67<72-;9984i2398yg76j=0:6<4?:1y'57322o897E?>429K6hh?;%;3f??582d:>89527ge?.`5:3:1(<<:5;d16>=zj8;io7?51;294~"6:N?il1/5<;5edg8 <6e208;7c?=56812c6<#o896=4+1376>c4532wi=5<7s-;9984i239K54243A2ji6*6148fad=#19h15?>4n0063?41n81 j?<50;&2603=n;807pl>1cg95?7=83:p(<<:5;d16>N69=90D5oj;%;21?cb?2.26%i2383>!75=<0m>?54}c32fc<6280;6=u+1376>c453A;:8>5G8`g8 <722lo>7)7?b;;14>h6:<=1>;h<;*d16?6=,88>97h=2:9~f47d83;1=7>50z&2603=n;80D<473g;99:4=6g68/c45290/=?;::g01?>{e98i:6<4>:183!75=<0m>?5G1060?M>fm2.2=84je09'=5d=1;:0b<<:7;05b0=,n;81<7*>2479b74<3th:=n<51;394?6|,88>97h=2:J2515<@1kn7)7>5;gga>">8k02>=5a1374>70a>2!m>?4?:%3110c282>4<729q/=?;::g01?M76<:1C4lk4$836>`bd3-3;n77=0:l2601=:?l<7&h=2;29 442=3l9>65rb03`0?7=93:12479b74<@8;??6F7ad9'=43=mln0(4>m:803?k75=>09:k64+g01>5<#9;?>6k<=;:a54d2280:6=4?{%3110">9<0nh95+91`9=76;7<9f89(b74=83.:>8;5f308?xd69k<1=7?50;2x 442=3l9>6F>1518L=gb3-3:97kk0:&:4g<>:91e=?;8:34ee>-a:;0;6)?=548e67=:083>5}#9;?>6k<=;I3206=O0ho0(4?::daf?!?7j339<6`>245963`e3"l9>7>5$0061?`5:21vn8;5f308L473;2B3mh5+9079afe<,0:i64oi0'k<=:18'57322o8976sm10`:>4<6290;w)?=548e67=O98>87E6ne:&:50t$0061?`5:2B:=9=4H9cf?!?6=3oh;6*60c8:65=i9;?<6?8ie:)e67<72-;9984i2398yg76n<0:6<4?:1y'57322o897E?>429K6h66;%;3f??582d:>89527de?.`5:3:1(<<:5;d16>=zj8;mh7?51;294~"6:N?il1/5<;5e9c8 <6e208;7c?=5681356<#o896=4+1376>c4532wi=5<7s-;9984i239K54243A2ji6*6148f4n0063?40881 j?<50;&2603=n;807pl>1gd95?7=83:p(<<:5;d16>N69=90D5oj;%;21?c?k2.26%i2383>!75=<0m>?54}c3145<6280;6=u+1376>c453A;:8>5G8`g8 <722l2o7)7?b;;14>h6:<=1>:><;*d16?6=,88>97h=2:9~f44793;1=7>50z&2603=n;80D<473g;99:4=7168/c45290/=?;::g01?>{e9;:96<4>:183!75=<0m>?5G1060?M>fm2.2=84j919'=5d=1;:0b<<:7;0440=,n;81<7*>2479b74<3th:>==51;394?6|,88>97h=2:J2515<@1kn7)7>5;g:5>">8k02>=5a1374>717>2!m>?4?:%31104<729q/=?;::g01?M76<:1C4lk4$836>`?53-3;n77=0:l2601=:>:<7&h=2;29 442=3l9>65rb0031?7=93:12479b74<@8;??6F7ad9'=43=m090(4>m:803?k75=>09;=64+g01>5<#9;?>6k<=;:a54`1280:6=4?{%3110">9<0n595+91`9=76;7<8089(b74=83.:>8;5f308?xd69o=1=7?50;2x 442=3l9>6F>1518L=gb3-3:97k65:&:4g<>:91e=?;8:353e>-a:;0;6)?=548e67=:083>5}#9;?>6k<=;I3206=O0ho0(4?::d;4?!?7j339<6`>2459626e3"l9>7>5$0061?`5:21vn8;5f308L473;2B3mh5+9079a<><,0:i644<6290;w)?=548e67=O98>87E6ne:&:50t$0061?`5:2B:=9=4H9cf?!?6=3o2m6*60c8:65=i9;?<6?9?e:)e67<72-;9984i2398yg14l3;1=7>50z&2603=n;80D<473g>n?7>4n02ab?373g;99:4=71d8/c45290/=?;::g01?>{e?:h1=7?50;2x 442=3l9>6F>1518L=gb3-3:97km3:&:4g<>:91e8h=50:l24g`==91e=?;8:3524>-a:;0;6)?=548e67=N?il1/5<;5ec38 <6e208;7c:j3;28j46en3?;7c?=5681347<#o896=4+1376>c4532wi;>751;394?6|,88>97h=2:J2515<@1kn7)7>5;ga4>">8k02>=5a4d194>h68kl19=5a1374>716:2!m>?4?:%31108;5f308L473;2B3mh5+9079adc<,0:i64N69=90D5oj;%;21?cfl2.2!75=<0m>?54}c572?7=93:12479b74<@8;??6F7ad9'=43=mhi0(4>m:803?k2b;3:0b<>mf;73?k75=>09;<;4+g01>5<#9;?>6k<=;:a313=93;1<7>t$0061?`5:2B:=9=4H9cf?!?6=3ojn6*60c8:65=i0cd915=i9;?<6?9>6:)e67<72-;9984i2398yg13<3;1=7>50z&2603=n;80D4$82a><473g>n?7>4n02ab?373g;99:4=7058/c45290/=?;::g01?>{e?=91=7?50;2x 442=3l9>6F>1518L=gb3-3:97kn5:&:4g<>:91e8h=50:l24g`==91e=?;8:352<>-a:;0;6)?=548e67=7?51;294~"6:N?il1/5<;5e`68 <6e208;7c:j3;28j46en3?;7c?=568134?<#o896=4+1376>c4532wi;9?51;394?6|,88>97h=2:J2515<@1kn7)7>5;g:a>">8k02>=5a4d194>h68kl19=5a1374>716i2!m>?4?:%31108;5f308L473;2B3mh5+9079a=`<,0:i64N69=90D5oj;%;21?c??2.2!75=<0m>?54}c50a?7=93:12479b74<@8;??6F7ad9'=43=m190(4>m:803?k2b;3:0b<>mf;73?k75=>09;5<#9;?>6k<=;:a360=93;1<7>t$0061?`5:2B:=9=4H9cf?!?6=3on56*60c8:65=i0cd915=i9;?<6?9>e:)e67<72-;9984i2398yg77kl0:6<4?:1y'57322o897E?>429K!75=<0m>?54}c33gc<6280;6=u+1376>c453A;:8>5G8`g8 <6d2l=o7)7>5;ggf>">8k02>=5a7919<>h68kl19=5a1374>71582em>?4?:%31103d82>4<729q/=?;::g01?M76<:1C4lk4$82`>`1c3-3:97k76:&:4g<>:91e;5=58:l24g`==91e=?;8:3515>ia:;0;6)?=548e67=:083>5}#9;?>6k<=;I3206=O0ho0(4>l:d5g?!?6=3oih6*60c8:65=i?19146`>0cd915=i9;?<6?9=2:me67<72-;9984i2398yg?7n3;1=7>50z&2603=n;80D`>?3-3;n77=0:l4<64:l2601=:>887bh=2;29 442=3l9>65rb02`f?7=93:12479b74<@8;??6F7ad9'=5e=0hh0(4?::df5?!?7j339<6`8828;?k77jo0><6`>245962433`l9>7>5$0061?`5:21vn<>n6;395?6=8r.:>8;5f308L473;2B3mh5+91a96h6:;%;3f??582d<4>47;o33fc<282d:>8952606?l`5:3:1(<<:5;d16>=zj>;96<4>:183!75=<0m>?5G1060?M>fm2.2m:803?k2b;3:0b<>mf;73?k75=>09;?84og01>5<#9;?>6k<=;:a346=93;1<7>t$0061?`5:2B:=9=4H9cf?!?7k3o4n5g0>5=i99hm68>4n0063?40:>1dj?<50;&2603=n;807pl80g82>4<729q/=?;::g01?M76<:1C4lk4$82`>`1c3-3:97kn0:&:4g<>:91e8h=50:l24g`==91e=?;8:351<>ia:;0;6)?=548e67=N?il1/5=m5e6f8 <722li;7)7?b;;14>h3m:0;7c??bg864>h6:<=1>:<6;nd16?6=,88>97h=2:9~f27f280:6=4?{%3110">8j0n;i5+9079af7<,0:i64N69=90D5oj;%;3g?c0l2.2=84jc29'=5d=1;:0b9k<:19m55da2<:0b<<:7;046g=hn;81<7*>2479b74<3th<=54>:083>5}#9;?>6k<=;I3206=O0ho0(4>l:d5g?!?6=3oh86*60c8:65=i0cd915=i9;?<6?9=c:me67<72-;9984i2398yg16?3;1=7>50z&2603=n;80D`e23-3;n77=0:l7a6<73g;;nk4:0:l2601=:>8o7bh=2;29 442=3l9>65rb635>4<6290;w)?=548e67=O98>87E6ne:&:4fc4532wi;<;51;394?6|,88>97h=2:J2515<@1kn7)7?c;g4`>">9<0n5o5+91`9=7606;7<82g9lb74=83.:>8;5f308?xd09=0:6<4?:1y'57322o897E?>429Kk2.2!75=<0m>?54}c527?7=93:12479b74<@8;??6F7ad9'=5e=m>n0(4?::d;g?!?7j339<6`;e283?k77jo0><6`>245962563fl9>7>5$0061?`5:21vn:>j:082>5<7s-;9984i239K54243A2ji6*60b8f3a=#18?1i4h4$82a><473g>n?7>4n02ab?373g;99:4=7208kc45290/=?;::g01?>{e?;h1=7?50;2x 442=3l9>6F>1518L=gb3-3;o7k8d:&:50c4532wi;?751;394?6|,88>97h=2:J2515<@1kn7)7?c;g4`>">9<0nm?5+91`9=760ck<=:18'57322o8976sm75;95?7=83:p(<<:5;d16>N69=90D5oj;%;3g?c0l2.2=84ja79'=5d=1;:0b<>mf;73?k75=>09;>;4og01>5<#9;?>6k<=;:a311=93;1<7>t$0061?`5:2B:=9=4H9cf?!?7k3o4n02ab?373g;99:4=7248kc45290/=?;::g01?>{e?;o1=7?50;2x 442=3l9>6F>1518L=gb3-3;o7k8d:&:50c4532wi;>>51;394?6|,88>97h=2:J2515<@1kn7)7?c;g4`>">9<0nn55+91`9=76N69=90D5oj;%;3g?c0l2.2=84jb69'=5d=1;:0b<>mf;73?k75=>09;>74og01>5<#9;?>6k<=;:a362=93;1<7>t$0061?`5:2B:=9=4H9cf?!?7k3o4n02ab?373g;99:4=72c8kc45290/=?;::g01?>{e?>i1=7?50;2x 442=3l9>6F>1518L=gb3-3;o7k8d:&:50c4532wi;:k51;394?6|,88>97h=2:J2515<@1kn7)7?c;g4`>">9<0nh<5+91`9=764<6290;w)?=5482420<,8;8j7??779'=44=<<;o7E?>429m57302;=8h6*>0e095`5e3fo5$0061?c0l21vnh54838L47392B:>03;p==9>:|l2601=:>9n7p};3g83>6}Y<:l01<:m5;:b4>;3;o0m>?5rs02`g?6=:r7:2i6s|11c4>5<5s4;;m:4m8c9>5f1?2=3n7p}?=653c58904b>39i;63:31;97g1<5<83=7=m7:?66=0=;k=018<77;1a3>;2:121?o94=40;=?5e?27>>5o53c58904?j39i;63:29a97g1<5<8;2:191?o94=40;0?5e?27>>5;53c589055k39i;63:33f97g1<5<9847=m7:?6715=;k=018=;6;1a3>;2;==1?o94=417?9753c589053i39i;63:35`97g1<5<99i7=m7:?677`=;k=018=<0;1a3>;2;:;1?o94=4106?5e?27>?>=53c589054<39i;63:32797g1<5<98:7=m7:?6761=;k=018=<9;1a3>;2;:k1?o94=410f?5e?27>?>m53c589054l39i;63:32g97g1<5<98j7=m7:?6716=;k=018=;1;1a3>;2;=81?o94=4170?5e?27>?9;53c589057j39i;63:35a97g1<5<9=h7=m7:?673c=;k=018=89;1a3>;2;1>1?o94=41;3?5e?27>?5653c58905?139i;63:39c97g1<5<93n7=m7:?67=e=;k=018=9f;1a3>;2;>:1?o94=4145?5e?27>?:<53c589050;39i;63:36697g1<5<9<97=m7:?6720=;k=018=87;1a3>;2;>21?o94=414e?5e?27>?:l53c589050k39i;63:36f97g1<5<9;2;1;1?o94=41;6?5e?27>?5=53c58905?=39i;63:39497g1<58lm57=m7:?1g6c=;k=01;6nm91?o94=0de7?5e?27:jh=53c5894`bn39i;63>fg497g1<58lm87=m7:?2bc3=;k=01;6no=1?o94=0de39i;63>fec97g1<58lon7=m7:?2b`4=;k=01;6nl>1?o94=0dfe?5e?27:jh653c5894`b139i;63>fdf97g1<58lnn7=m7:?2b`e=;k=01;6no:1?o94=2gaa?5e?278iih53c5896cb839i;63kia;1a3>;4moh1?o94=2geg?5e?278ikj53c5896cam39i;637=m7:?0a`5=;k=01>kj4;1a3>;4ml?1?o94=2gf2?5e?278ih953c5896cb039i;63kjd;1a3>;4mlo1?o94=2gfb?5e?278ik>53c5896ca939i;63ki5;1a3>;4mo=1?o94=2ge7=m7:?0`<6=;k=01>j64;1a3>;4l0?1?o94=2f:2?5e?278h4953c5896b>039i;63j77;1a3>;4l121?o94=2f;=?5e?278h5o53c5896b?j39i;63j7f;1a3>;4l0;1?o94=2f:6?5e?278h4=53c5896bej39i;63jk5;1a3>;4lm<1?o94=2fg3?5e?278hi653c5896bc139i;63jmf;1a3>;4lj:1?o94=2f`5?5e?278hn<53c5896bd;39i;63jl8;1a3>;4lj31?o94=2f`e?5e?278hnl53c5896bdk39i;63jk0;1a3>;4lm;1?o94=2fg7?5e?278hi:53c5896c3<39i;63mi4;1a3>;4ko?1?o94=2ae2?5e?278ok953c5896ea039i;63mi2;1a3>;4kmk1?o94=2agf?5e?278i?=53c5896c5<39i;63k;4m=:1?o94=2g75?5e?278i9<53c5896c3;39i;63k=8;1a3>;4m;31?o94=2g1e?5e?278i?l53c5896c5k39i;63k<1;1a3>;4m:81?o94=2g07?5e?278i>:53c5896c4=39i;63=;k=01>k<9;1a3>;4m:h1?o94=2f5a?5e?278h??53c5896b5;39i;63k;5;1a3>;4m<31?o94=2g6e?5e?278i;?53c5896c1:39i;63k96;1a3>;4m?=1?o94=2g5i7=m7:?0a0`=;k=01>k90;1a3>;4k1i1?o94=2a;`?5e?278o4:53c5896e>=39i;63=;k=01>m69;1a3>;4k0k1?o94=2a:f?5e?278o5k53c5896e?n39i;63m63;1a3>;4l:?1?o94=2f02?5e?278h>j53c5896b4m39i;63j;2;1a3>;4l=91?o94=2f70?5e?278h>953c5896b4039i;63j;4l9=1?o94=2f3=?5e?278h=h53c5896b6939i;63j>9;1a3>;4l8h1?o94=2f2`?5e?278h=o53c5896b7k39i;63j7=m7:?0`36=;k=01>j96;1a3>;4l?=1?o94=2f5j91;1a3>;4l?81?o94=2f57?5e?278h;:53c5896b1=39i;63k77;1a3>;4m?31?o94=2g40?5e?278i;k53c58942d839i;63>4cd97g1<58>i>7l7b:?66dd=;k=018;2:hl1?o94=40a4?5e?27>>nl53c58904dk39i;63:2e797g1<5<8o:7=m7:?66a1=;k=018;2:m31?o94=40ge?5e?27>>il53c58904ck39i;63:2bf97g1<5<8hi7=m7:?66f`=;k=018;2:m;1?o94=40g6?5e?27>>i=53c58904c<39i;63:2eg97g1<5<8j<7=m7:?66d7=;k=018;2:k<1?o94=40b3?5e?27>>l653c5891e3=39i;63;c5597g1<5=i?57=m7:?7g1d=;k=019m;d;1a3>;3k=l1?o94=5a65?5e?27?h::53c5891b0=39i;63;d9297g1<5=n3n7=m7:?7`=c=;k=019j7f;1a3>;3l0:1?o94=5f:5?5e?27?h4<53c5891b>;39i;63;d6497g1<5=n<;7=m7:?7`2>=;k=019j89;1a3>;3l>k1?o94=5f4f?5e?27?h:m53c5891b0l39i;63;d6g97g1<5=n;3l191?o94=5f;0?5e?27?h5;53c5891b?>39i;63;d9597g1<5=n347=m7:?7`=?=;k=019j7a;1a3>;3l1i1?o94=5f;`?5e?27?i::53c5891c0=39i;63;e9297g1<5=o3n7=m7:?7a=c=;k=019k7f;1a3>;3m0:1?o94=5g:5?5e?27?i4<53c5891c>;39i;63;e6497g1<5=o<;7=m7:?7a2>=;k=019k89;1a3>;3m>k1?o94=5g4f?5e?27?i:m53c5891c0l39i;63;e6g97g1<5=o;3m191?o94=5g;0?5e?27?i5;53c5891c?>39i;63;e9597g1<5=o347=m7:?7a=?=;k=019k7a;1a3>;3m1i1?o94=5g;`?5e?27?olh53c5891ee939i;63;cb597g1<5=ihj7=m7:?7ga7=;k=019mk3;1a3>;3km?1?o94=5ag3?5e?27?oi753c5891ecj39i;63;cc097g1<5=ii87=m7:?7gg0=;k=019mm8;1a3>;3kkk1?o94=5aag?5e?27?ook53c5891ed839i;63;cb097g1<5=ih87=m7:?7gf>=;k=019mla;1a3>;3kji1?o94=5`a5?5e?27?n>h53c5891`dn39i;63;fe297g1<5=lo=7=m7:?7ba4=;k=019hk3;1a3>;3nm>1?o94=5dg1?5e?27?jo>53c5891`e939i;63;fca97g1<5=lh<7=m7:?7bf7=;k=019hl2;1a3>;3nj91?o94=5d`0?5e?27?jn;53c5891`d>39i;63;fc097g1<5=li?7=m7:?7bg2=;k=019hm5;1a3>;3nk<1?o94=5da3?5e?27?jo653c5891`e139i;63;fcc97g1<5=lin7=m7:?7bgb=;k=019hme;1a3>;3nkl1?o94=5d`3?5e?27?o;o53c5891e1k39i;63;c9097g1<5=i3m7=m7:?7g=e=;k=019m7e;1a3>;3k0:1?o94=5a:6?5e?27?o4:53c5891e>>39i;63;c7f97g1<5=i=j7=m7:?7g27=;k=019m83;1a3>;3k>?1?o94=5a43?5e?27?o:753c5891e0j39i;63;c6f97g1<5=i;3k1=1?o94=5afa?5e?27?ok>53c5891`bl39i;63;fdg97g1<5=lm57=m7:?7bcb=;k=019hie;1a3>;3nol1?o94=4234?5e?27><=?53c589067:39i;63:01197g1<5=lnj7=m7:?7bc6=;k=019hi1;1a3>;3no81?o94=5de7?5e?27?jk:53c5891`a=39i;63;fg497g1<5=lm;7=m7:?7bc>=;k=019hia;1a3>;3noh1?o94=5deg?5e?27><;<53c589061;39i;63:07697g1<5<:=97=m7:?6430=;k=019lla;1a3>;3j831?o94=5`74?5e?27?n?4;1a3>;3l=k1?o94=4261?5e?27><8853c589062?39i;63:04:97g1<5<:>57=m7:?640g=;k=018>:b;1a3>;28<8=53c589062<39i;63:03397g1<5<:9?7=m7:?6473=;k=018>=7;1a3>;28;31?o94=421f?5e?27>;3m:l1?o94=5g7e?5e?27?i9k53c5891c3n39i;63;e4297g1<5=o>=7=m7:?7a04=;k=019k:3;1a3>;3m:?1?o94=5g02?5e?27?i>953c5891c4039i;63;e2;97g1<5=o8m7=m7:?7a6d=;k=019k;3m:n1?o94=5g0a?5e?27?i9>53c5891c3939i;63;e5097g1<5=o??7=m7:?7a12=;k=019k;5;1a3>;3m=<1?o94=5g73?5e?27?i9653c5891c3139i;63;e5`97g1<5=o?o7=m7:?7g73=;k=019m=6;1a3>;3k:;1?o94=5a01?5e?27?o>853c5891e4?39i;63;c2:97g1<5=i857=m7:?7g6g=;k=019m;3k;=1?o94=5a1;3k;l1?o94=5a04?5e?27?o><53c5891e4;39i;63;c2697g1<5=h3h7=m7:?7f<3=;k=019l67;1a3>;3j031?o94=5`:f?5e?27?n4j53c5891d>n39i;63;b`397g1<5=h<57=m7:?7f2d=;k=019l8d;1a3>;3j>l1?o94=5`;5?5e?27?n5=53c5891d?=39i;63;b9597g1<5=h357=m7:?7f=d=;k=019l7e;1a3>;3j0:1?o94=5`:6?5e?27?oh<53c5891eb<39i;63;cd497g1<5=in47=m7:?7g`g=;k=019m;3k981?o94=5a30?5e?27?o=853c5891e7039i;63;c1c97g1<5=i;o7=m7:?7b<5=;k=019h64;1a3>;3n0?1?o94=5d:2?5e?27?j4953c5891`>039i;63:21497g1<5=hj47=m7:?7f1d=;k=019l;c;1a3>;3j=n1?o94=5`7a?5e?27?n9h53c5891d2839i;63;b4397g1<5=hjo7=m7:?7`d6=;k=019jnc;1a3>;3j<=1?o94=5`6;3j?;1?o94=5`56?5e?27?n;=53c5891b7j39i;63;egd97g1<5=l;<7=m7:?7b5d=;k=019h>6;1a3>;3n831?o94=5d2e?5e?27?j;3n991?o94=5d30?5e?27?j=;53c5891`7>39i;63;f1597g1<5=l;47=m7:?7b5?=;k=019h?a;1a3>;3n9i1?o94=5d3`?5e?27?j=k53c5891`7n39i;63;f0297g1<5=l:=7=m7:?7b44=;k=019h>3;1a3>;3n8>1?o94=5d21?5e?27?j<953c5891`6039i;63;f3197g1<5=l987=m7:?7b73=;k=019h=6;1a3>;3mjo1?o94=5gg;3mj>1?o94=5g`1?5e?27?in853c5891cd?39i;63;eb:97g1<5=oh57=m7:?7afg=;k=019klb;1a3>;3mji1?o94=5g``?5e?27?inh53c5891cc839i;63;ee397g1<5=oo>7=m7:?7aa5=;k=019kk4;1a3>;3mm?1?o94=5gg2?5e?27?j?o53c5891`5?39i;63:1b097g1<5<;h=7=m7:?65f6=;k=018>81;60b>;28>818>h4=42a1?24n27>k39i;63=28f97g1<5;8257=m7:?16<2=;k=01?<65;1a3>;5:0<1?o94=30;b?5e?279>4653c58974>m39i;63=28597g1<5;82j7=m7:?16d6=;k=01??=d;1a3>;59;o1?o94=331e?5e?279=?;53c589775>39i;63=13597g1<5;;9<7=m7:?157?=;k=01??=f;1a3>;59;21?o94=3304?5e?279=>?53c58977>k39i;63=18f97g1<5;;j47=m7:?15g5=;k=01??m6;1a3>;59k=1?o94=33a;59h;1?o94=33b6?5e?279=l=53c58977f<39i;63=1`797g1<5;;j:7=m7:?15d1=;k=01??n9;1a3>;59hk1?o94=33bf?5e?279=lm53c58977fl39i;63=1`g97g1<5;;jj7=m7:?15g6=;k=01??m1;1a3>;59k81?o94=33a0?5e?279=o;53c58977an39i;63=21297g1<5;8;n7=m7:?1640=;k=01?<>9;1a3>;5:8k1?o94=302f?5e?279>;5:9>1?o94=3031?5e?279>=853c589747?39i;63=21:97g1<5;8;57=m7:?165g=;k=01?;5:9n1?o94=303a?5e?279>=h53c589746839i;63=20397g1<5;8:>7=m7:?1645=;k=01?<>4;1a3>;5:8?1?o94=3023?5e?279><653c589772i39i;63=14`97g1<5;;>>7=m7:?1505=;k=01??:4;1a3>;59;5;;<1?o94=3113?5e?279??653c589755139i;63=33c97g1<5;9;h7=m7:?175c=;k=01?=?f;1a3>;5;8:1?o94=3125?5e?279?<<53c589756;39i;63=30697g1<5;9:97=m7:?1740=;k=01?=>8;1a3>;5;831?o94=312e?5e?279?;5;;;1?o94=3117?5e?279??:53c589751m39i;63=37d97g1<5;9;5;131?o94=31;e?5e?279?5l53c58975?k39i;63=39f97g1<5;9<<7=m7:?1727=;k=01?=82;1a3>;5;>91?o94=3140?5e?279?:;53c589750>39i;63=36597g1<5;9<47=m7:?172?=;k=01?=8b;1a3>;5;>i1?o94=314`?5e?279?:k53c589750n39i;63=39297g1<5;93=7=m7:?17=4=;k=01?=73;1a3>;5;1>1?o94=31;2?5e?279?5953c58974d139i;63=2bc97g1<5;8h=7=m7:?16f4=;k=01?;5:j>1?o94=30`1?5e?279>n853c589740?39i;63=26:97g1<5;8<57=m7:?162d=;k=01?<8a;1a3>;5:;i1?o94=301a?5e?279>?j53c589740m39i;63=3d497g1<5;9n;7=m7:?17`>=;k=01?=ja;1a3>;5;l31?o94=31:f?5e?279?4j53c58975>k39i;63=3df97g1<5;9hi7=m7:?17a7=;k=01?=k3;1a3>;5;m81?o94=31`b?5e?279?nj53c58975c839i;63=3e`97g1<5;9oo7=m7:?17ab=;k=01?=ke;1a3>;5;ml1?o94=31f4?5e?279?h?53c589742n39i;63=27097g1<5;8=87=m7:?1635=;k=01?<90;1a3>;5:;m53c589741l39i;63=27g97g1<5;8=j7=m7:?1626=;k=01?<81;1a3>;5:>81?o94=50`e?5e?27?>nl53c58914c:39i;63;2e197g1<5=8o87=m7:?76a3=;k=019;3:m=1?o94=50gi753c58914dk39i;63;2bf97g1<5=8hi7=m7:?76f`=;k=019;3:m;1?o94=50a=?5e?27??h753c58915bi39i;63;3d`97g1<5=9no7=m7:?77`b=;k=019=je;1a3>;3;ll1?o94=51e4?5e?27??i953c58915c039i;63;3e;97g1<5=9om7=m7:?77ad=;k=019=kc;1a3>;3;mn1?o94=51ga?5e?27?8h<53c58912b;39i;63;4d697g1<5=>n97=m7:?70`0=;k=019:j7;1a3>;3o97=m7:?70a0=;k=019:k7;1a3>;3i;7=m7:?70g>=;k=019:ja;1a3>;3<931?o94=5634?5e?27?8=?53c589127:39i;63;41197g1<5=>;:7=m7:?77fe=;k=019=ld;1a3>;3;jo1?o94=51`7?5e?27??n:53c58915d=39i;63;3b497g1<5=?;47=m7:?7147=;k=019;>2;1a3>;3=891?o94=5720?5e?27?8:>53c58912>939i;63;48097g1<5=>257=m7:?70;3<0i1?o94=56:`?5e?27?84k53c58912>n39i;63;4`297g1<5=>2?7=m7:?70<2=;k=019:65;1a3>;3<0<1?o94=56:3?5e?27?84653c589153:39i;63;39697g1<5=9397=m7:?77=1=;k=019=78;1a3>;3;131?o94=51;e?5e?27??5l53c58915?k39i;63;39f97g1<5=93i7=m7:?77=0=;k=019=9c;1a3>;3;?n1?o94=515b?5e?27??:>53c589150939i;63;36097g1<5=9;3;><1?o94=515a?5e?27:5:=53c5894?0<39i;63>96797g1<583<:7=m7:?2=21=;k=01<788;1a3>;60ll1?o94=0:e4?5e?27:4k?53c5894>a:39i;63>8g197g1<582m87=m7:?2e`1=;k=01;6il31?o94=0cfe?5e?27:mhl53c5894gbk39i;63>9g597g1<583m47=m7:?2=c?=;k=01<7ia;1a3>;61oh1?o94=0;eg?5e?27:m:l53c5894g0k39i;63>a6f97g1<58k;6j9h1?o94=0`3g?5e?27:n=j53c5894d7m39i;63>b1d97g1<58h:<7=m7:?2ec5=;k=01;6io?1?o94=0ce2?5e?27:mk953c5894ga039i;63>agd97g1<58h;<7=m7:?2f57=;k=01;6j991?o94=0`30?5e?27:n<953c5894d6039i;63>b0;97g1<58h:m7=m7:?2f4d=;k=01c;1a3>;6j;91?o94=0`10?5e?27:n?;53c5894d5>39i;63>b3597g1<58h947=m7:?2=45=;k=01<7>4;1a3>;618?1?o94=0;22?5e?27:5<953c5894?6039i;63>8g`97g1<582mo7=m7:?2;60ol1?o94=0;34?5e?27:5=953c5894?7039i;63>91;97g1<583;m7=m7:?2=5d=;k=01<7?c;1a3>;61:=1?o94=0;0753c5894?4i39i;63>92`97g1<5838o7=m7:?2=4`=;k=01<7=0;1a3>;61;;1?o94=0;16?5e?27:5?=53c5894?5<39i;63>93`97g1<5839o7=m7:?2=7b=;k=01<7=e;1a3>;61;l1?o94=0;04?5e?27:58l53c5894?2k39i;63>94f97g1<583>i7=m7:?2=0`=;k=01<790;1a3>;61=91?o94=0;70?5e?27:59;53c5894?3>39i;63>95597g1<583?47=m7:?2=1`=;k=01<7:0;1a3>;61<;1?o94=0;66?5e?27:58=53c5894?2<39i;63>97597g1<583=47=m7:?2=3?=;k=01<79a;1a3>;61?h1?o94=0;5g?5e?27:5:h53c5894??839i;63>99397g1<5833>7=m7:?2==5=;k=01<774;1a3>;61h91?o94=0;b0?5e?27:5l;53c5894?f>39i;63>9`597g1<583j47=m7:?2==d=;k=01<77c;1a3>;611n1?o94=0;;a?5e?27:55h53c5894?>839i;63>98597g1<583247=m7:?2=;610h1?o94=0;:g?5e?27:5n953c5894?d039i;63>9b;97g1<583hm7=m7:?2=fd=;k=01<7lc;1a3>;61hl1?o94=0;a4?5e?27:5o?53c5894?e:39i;63>9c197g1<583i87=m7:?2=gd=;k=01<7mc;1a3>;61kn1?o94=0;aa?5e?27:5oh53c5894?d839i;63>9d`97g1<583no7=m7:?2=`b=;k=01<7je;1a3>;61ll1?o94=0;e4?5e?27:5i=53c5894?c<39i;63>9e797g1<583o:7=m7:?2=a1=;k=01<7k8;1a3>;61ml1?o94=0;f4?5e?27:5h?53c5894?b:39i;63>9d197g1<583n87=m7:?2e55=;k=01;6i9?1?o94=0c32?5e?27:m=953c5894g7039i;63>a1d97g1<58k:<7=m7:?2e47=;k=012;1a3>;6i891?o94=0c20?5e?27:m>=53c5894g4<39i;63>a2797g1<58k8:7=m7:?2e61=;k=01;6i8h1?o94=0c2g?5e?27:ma0d97g1<58k9<7=m7:?2e71=;k=01;6i;31?o94=0c1e?5e?27:m?l53c5894g5k39i;63>a2d97g1<58k?<7=m7:?2e17=;k=01;6i=91?o94=0c70?5e?27:m9l53c5894g3k39i;63>a5f97g1<58k?i7=m7:?2e1`=;k=01;6i?l1?o94=0c44?5e?27:m:?53c5894g0:39i;63>a6197g1<58k<87=m7:?2e01=;k=01;6i<31?o94=0c6e?5e?27:m8l53c5894g2k39i;63>a7197g1<58k=87=m7:?2e33=;k=01;6i?=1?o94=0c5a9;97g1<58k3m7=m7:?2e=d=;k=01;6i091?o94=0c:0?5e?27:m4;53c5894g>>39i;63>a8597g1<58k247=m7:?2eg1=;k=01;6ik31?o94=0cae?5e?27:mol53c5894gek39i;63>a8d97g1<58kj<7=m7:?2ed7=;k=01;6ih91?o94=0cb0?5e?27:mll53c5894gfk39i;63>a`f97g1<58kji7=m7:?2ed`=;k=01;6imh1?o94=0cgg?5e?27:mij53c5894gcm39i;63>aed97g1<58kn<7=m7:?2ef5=;k=01;6ij?1?o94=0c`2?5e?27:mn953c5894gd039i;63>abd97g1<58ko<7=m7:?2ea7=;k=01;6im91?o94=0cg0?5e?27:n>>53c5894d4939i;63>b2097g1<58h8?7=m7:?2f62=;k=01;6j:<1?o94=0`0a?5e?27:n>h53c5894d3839i;63>b5397g1<58h?>7=m7:?2f15=;k=01;60=31?o94=0:7a?5e?27:48753c5894>1<39i;63>86397g1<582;60>l1?o94=0:;4?5e?27:49h53c5894>2839i;63>84397g1<582>>7=m7:?2<05=;k=01<6:4;1a3>;602039i;63>84c97g1<582>n7=m7:?2<0e=;k=01<6:d;1a3>;6053c5894>1939i;63>87097g1<582=?7=m7:?2<33=;k=01<696;1a3>;60?=1?o94=0:5e?5e?27:4;l53c5894>1k39i;63>87f97g1<582=i7=m7:?2<3`=;k=01<680;1a3>;60>81?o94=0:47?5e?27:4::53c5894>0=39i;63>86497g1<582<;7=m7:?2<2>=;k=01<689;1a3>;60>k1?o94=0:4f?5e?27:nn;53c5894dd039i;63>bg397g1<58hmo7=m7:?2g5?=;k=014;1a3>;6k8l1?o94=0a1g?5e?27:o>953c5894e3:39i;63>bb;97g1<58ho:7=m7:?2f`7=;k=01;6jlh1?o94=0`fg?5e?27:nhj53c5894dbm39i;63>bdd97g1<58hm<7=m7:?2fc4=;k=01;6jo>1?o94=0`e1?5e?27:nk853c5894da?39i;63>bg:97g1<58hm57=m7:?2fcg=;k=01;6jon1?o94=0`ea?5e?27:nkh53c5894e7:39i;63>c1197g1<58i;87=m7:?2g53=;k=01;6k9=1?o94=0a3c1a97g1<58i;h7=m7:?2g5c=;k=01;6k8:1?o94=0a25?5e?27:o<<53c5894e6;39i;63>c0797g1<58i::7=m7:?2g41=;k=018;1a3>;6k831?o94=0a2e?5e?27:oc0f97g1<58i:i7=m7:?2g76=;k=01;6k;81?o94=0a17?5e?27:o?:53c5894e5?39i;63>c3:97g1<58i957=m7:?2g7g=;k=01;6k;n1?o94=0a1a?5e?27:o?h53c5894e4839i;63>c2397g1<58i8>7=m7:?2g65=;k=01;6k:?1?o94=0a02?5e?27:o>653c5894e4139i;63>c2c97g1<58i8n7=m7:?2g6e=;k=01;6k:o1?o94=0a0b?5e?27:o9>53c5894e3939i;63>c5197g1<58i?87=m7:?2g13=;k=01;6k==1?o94=0a7c5`97g1<58i?o7=m7:?2ffg=;k=01;6jjo1?o94=0``b?5e?27:ni>53c5894dc939i;63>be097g1<58ho?7=m7:?2fa2=;k=01;6jm=1?o94=0`gbe`97g1<58hoo7=m7:?2fab=;k=01;6jml1?o94=0`f4?5e?27:nh<53c5894db;39i;63>bd697g1<58hn97=m7:?2f`0=;k=01;6jl21?o94=0`f=?5e?27:4h<53c5894>b;39i;63>7d297g1<58=n=7=m7:?7522=;k=019?;39:h1?o94=5376?5e?27?=9=53c589173<39i;63;15797g1<5=;?:7=m7:?7511=;k=019?;8;1a3>;39=31?o94=530g?5e?27?=>j53c589174m39i;63;12d97g1<5=;?<7=m7:?7517=;k=019?j8;1a3>;39l31?o94=53e4?5e?27?=k?53c58917a:39i;63;1g197g1<5=;m87=m7:?75c3=;k=019?i6;1a3>;39o=1?o94=53fe?5e?27?=hl53c58917bk39i;63;1df97g1<5=;ni7=m7:?75``=;k=019?l8;1a3>;39j31?o94=53g4?5e?27?=i?53c58917c:39i;63;1e197g1<5=;o87=m7:?75a3=;k=019?k6;1a3>;39m=1?o94=53`e?5e?27?=nl53c58917dk39i;63;1bf97g1<5=;hi7=m7:?75f`=;k=019?=9;1a3>;39?h1?o94=535e?5e?27?=;m53c589171l39i;63;16397g1<5=;<>7=m7:?7525=;k=019?ib;1a3>;39oi1?o94=53b3?5e?27?=l653c58917fn39i;63;1c297g1<5=;i=7=m7:?75g4=;k=019?m3;1a3>;39k>1?o94=53a1?5e?27?=o853c58917f139i;63;1`c97g1<5=;jn7=m7:?75de=;k=019?nd;1a3>;39ho1?o94=53;1?5e?27?=5853c58917?l39i;63;19g97g1<5=;3j7=m7:?75<6=;k=019?61;1a3>;39081?o94=53:7?5e?27?=4:53c58917??39i;63;19:97g1<5=;357=m7:?75=g=;k=019?7b;1a3>;391i1?o94=53e7=m7:?7535=;k=019?94;1a3>;39??1?o94=5352?5e?27?=;953c589171039i;63;17;97g1<5=;>o7=m7:?750b=;k=019?:e;1a3>;39>39i;63;08597g1<5=:j>7=m7:?74db=;k=019>m0;1a3>;38k;1?o94=52a6?5e?27?6a;1a3>;380h1?o94=52:g?5e?27?<4j53c58916>m39i;63;08d97g1<5=:j<7=m7:?74d7=;k=019>n3;1a3>;38h>1?o94=52b1?5e?27?nb;1a3>;38hi1?o94=52ba?5e?27?3;1a3>;398;1?o94=5320?5e?27?=7=m7:?7575=;k=019?=4;1a3>;39;?1?o94=506`?5e?27?>8k53c589142n39i;63;27297g1<5=8==7=m7:?7634=;k=019<93;1a3>;3:?>1?o94=50b6?5e?27?>l=53c58914f<39i;63;2`797g1<5=8j:7=m7:?76d1=;k=019;3:h31?o94=5060?5e?27?>5l53c58914?k39i;63;29f97g1<5=83i7=m7:?76=`=;k=019<60;1a3>;3:0;1?o94=50:6?5e?27?>:l53c589140i39i;63;26;97g1<5=8;3:181?o94=50b`?5e?27?>lk53c58914fi39i;63;26397g1<5=8<>7=m7:?7625=;k=019<84;1a3>;3:>?1?o94=5042?5e?27?>:953c589140039i;63=0b;97g1<5;:h;7=m7:?14g1=;k=01?>m8;1a3>;58kl1?o94=32`4?5e?279l6;1a3>;58k31?o94=32ae?5e?279;59921?o94=333=?5e?279==o53c589777j39i;63=11a97g1<5;;;h7=m7:?155c=;k=01???f;1a3>;59981?o94=3337?5e?279==:53c589777=39i;63=11497g1<5;;;;7=m7:?14ac=;k=01?>kf;1a3>;58l21?o94=32f=?5e?279jf;1a3>;58l:1?o94=32f5?5e?279j7;1a3>;58jh1?o94=07:1?5e?27:94853c58943>?39i;63>58:97g1<58?257=m7:?21;6=0i1?o94=07`g?5e?27:9om53c58943el39i;63>5b697g1<58?h97=m7:?21f0=;k=01<;l7;1a3>;6=j21?o94=07`=?5e?27:9no53c58943dj39i;63>5cg97g1<58?ij7=m7:?21f6=;k=01<;l1;1a3>;6=j81?o94=07`7?5e?27:9:;53c589430>39i;63>56f97g1<58?;6=1;1?o94=07;6?5e?27:95=53c58943?<39i;63>56597g1<58?<47=m7:?212?=;k=01<;8a;1a3>;6=>h1?o94=074g?5e?27:9?;53c589435>39i;63>53f97g1<58?9i7=m7:?217`=;k=01<;<0;1a3>;6=:;1?o94=0706?5e?27:9>=53c589434<39i;63>53597g1<58?947=m7:?217?=;k=01<;=a;1a3>;6=;h1?o94=071g?5e?27:99;53c589433>39i;63>55f97g1<58??i7=m7:?211`=;k=01<;:0;1a3>;6=<;1?o94=0766?5e?27:98=53c589432<39i;63>55597g1<58??47=m7:?211?=;k=01<;;a;1a3>;6==h1?o94=077g?5e?27:9<<53c589436;39i;63>50697g1<5;>;87=m7:?1053=;k=01?:?c;1a3>;5<9n1?o94=363a?5e?2798=h53c589726839i;63=40397g1<5;>:>7=m7:?1045=;k=01?:?6;1a3>;5<9=1?o94=363;5h97=m7:?10de=;k=01?:nd;1a3>;553c58972e939i;63=4c097g1<5;>i?7=m7:?11g1=;k=01?;m8;1a3>;5=k31?o94=37ae?5e?2799ol53c58973ek39i;63=5cf97g1<5;?ii7=m7:?11d1=;k=01?;n8;1a3>;5=h31?o94=37be?5e?2799ll53c58973fk39i;63=5`f97g1<5;?ji7=m7:?11;5=1h1?o94=37;g?5e?27995j53c58973en39i;63=4eg97g1<5;>o97=m7:?10a0=;k=01?:k7;1a3>;5247=m7:?10;5<0h1?o94=37g`?5e?2799h853c58973b?39i;63=5d:97g1<5;?n57=m7:?1113=;k=01?;96;1a3>;5=?=1?o94=375a?5e?2799;h53c589730839i;63=56397g1<5;?<>7=m7:?1125=;k=01?;84;1a3>;5=>?1?o94=375;5<<81?o94=3667?5e?27988:53c589722=39i;63=44497g1<5;>>;7=m7:?100>=;k=01?::9;1a3>;5<;;1=<;>;<0767<69<;01?:=3;3214=::=8?69:7?>509>614028;>=63=45497g1<5;>?;7=m7:?101>=;k=01?:;9;1a3>;5;5j1:1?o94=3`;5?5e?279nl<53c5897df;39i;63=b`697g1<5;hj97=m7:?1fd0=;k=01?ln7;1a3>;5jh21?o94=3`b=?5e?279n5o53c5897d?j39i;63=b9a97g1<5;h3h7=m7:?1f=c=;k=01?l7f;1a3>;5j0:1?o94=3`:5?5e?279n4853c5897d>?39i;63=b8:97g1<5;h257=m7:?1ff2=;k=01?ll5;1a3>;5jj<1?o94=3``3?5e?279nn653c5897dd139i;63=bbc97g1<5;hhn7=m7:?1fdc=;k=01?lnf;1a3>;5jk:1?o94=3`a5?5e?279no953c5897de039i;63=bc;97g1<5;him7=m7:?1fgd=;k=01?l;d;1a3>;5j=o1?o94=3`7b?5e?279n8>53c5897d2939i;63=be397g1<5;ho>7=m7:?1fa5=;k=01?lk4;1a3>;5jm?1?o94=3`gf?5e?279nim53c5897dcl39i;63=beg97g1<5;hoj7=m7:?1f0g=;k=01?l:b;1a3>;5j;5j?i1?o94=3`5`?5e?279n;k53c5897d1n39i;63=b6297g1<5;h<=7=m7:?1f20=;k=01?l87;1a3>;5j>21?o94=3`4=?5e?279n9>53c5897d3939i;63=b5097g1<5;h??7=m7:?1f12=;k=01?l;5;1a3>;5j=<1?o94=3`73?5e?279m>653c5897g4139i;63=a8;97g1<5;k2m7=m7:?1ed4=;k=01?on3;1a3>;5ih>1?o94=3cb1?5e?279ml853c5897gf?39i;63=a`:97g1<5;kj57=m7:?1e;5i0n1?o94=3c:a?5e?279m4h53c5897gf839i;63=a`397g1<5;h;m7=m7:?1f5d=;k=01?l>6;1a3>;5j;;1?o94=3`10?5e?279n?;53c5897d5>39i;63=b3597g1<5;h947=m7:?1f7?=;k=01?l?c;1a3>;5j9n1?o94=3`3a?5e?279n=h53c5897d6839i;63=b0397g1<5;h:>7=m7:?1f45=;k=01?l>4;1a3>;5j8?1?o94=3`23?5e?279n<653c5897d6139i;63=b0c97g1<5;h:n7=m7:?1f4e=;k=01?l>d;1a3>;5j8o1?o94=3`2b?5e?279n?>53c5897d5:39i;63=b3197g1<5;i8?7=m7:?1g62=;k=01?m<5;1a3>;5i;n1?o94=3c1a?5e?279m?h53c5897g4839i;63=a2397g1<5;k8>7=m7:?1e65=;k=01?o<4;1a3>;5i9:1?o94=3c35?5e?279m=<53c5897g7;39i;63=a1697g1<5;k;97=m7:?1e50=;k=01?o?7;1a3>;5i921?o94=3c27?5e?279m<:53c5897g6=39i;63=a0497g1<5;k:;7=m7:?1e4>=;k=01?o>9;1a3>;5i8k1?o94=3c2f?5e?279m;5i1>1?o94=3c;1?5e?279m5853c5897da939i;63=bg097g1<5;hm97=m7:?1fc0=;k=01?li7;1a3>;5jo21?o94=3`e=?5e?279nko53c5897daj39i;63=bga97g1<5;hm?7=m7:?1fc2=;k=01?m?9;1a3>;5k9k1?o94=3a3`?5e?279o=k53c5897e7n39i;63=c0297g1<5;i:=7=m7:?1g44=;k=01?m>3;1a3>;5k8>1?o94=3a3f?5e?279o=m53c5897e5939i;63=c3097g1<5;i997=m7:?1g70=;k=01?m=7;1a3>;5k;21?o94=3a1=?5e?279o?o53c5897e5j39i;63=c3a97g1<5;i9?7=m7:?1g72=;k=01?o;c;1a3>;5i=n1?o94=3c60?5e?279m8;53c5897g2>39i;63=a4597g1<5;k>47=m7:?1e0?=;k=01?o:a;1a3>;5i>7=m7:?1e05=;k=01?j73;1a3>;5l1>1?o94=3f;1?5e?279h5853c5897b??39i;63=d9:97g1<5;n357=m7:?1`=g=;k=01?j63;1a3>;5l0>1?o94=3f:1?5e?279h4853c5897b>?39i;63=d8:97g1<5;n257=m7:?1`;5lki1?o94=3fa`?5e?279hok53c5897ben39i;63=db297g1<5;nh=7=m7:?1`f4=;k=01?j83;1a3>;5l>>1?o94=3f41?5e?279h:853c5897b0?39i;63=d6:97g1<5;n<57=m7:?1`2g=;k=01?jlb;1a3>;5lji1?o94=3f``?5e?279hnk53c5897bdn39i;63=de297g1<5;no=7=m7:?1`a4=;k=01?k=3;1a3>;5m;>1?o94=3g11?5e?279i?853c5897c5?39i;63=e3:97g1<5;o957=m7:?1a7g=;k=01?k?b;1a3>;5m9i1?o94=3g3`?5e?279i=k53c5897c7n39i;63=e0297g1<5;o:=7=m7:?1a44=;k=01?jib;1a3>;5loi1?o94=3fe`?5e?279hkk53c5897ban39i;63=e1297g1<5;o;=7=m7:?1a54=;k=01?jjb;1a3>;5lli1?o94=3ff`?5e?279hhk53c5897bbn39i;63=dg297g1<5;nm=7=m7:?1`c4=;k=01?jkb;1a3>;5lmi1?o94=3fg`?5e?279hik53c5897bcn39i;63=dd297g1<5;nn=7=m7:?1``4=;k=01?k93;1a3>;5m?>1?o94=3g51?5e?279i;853c5897c1?39i;63=e7:97g1<5;o=57=m7:?1a3g=;k=01?k<3;1a3>;5m:>1?o94=3g01?5e?279i>853c5897c4?39i;63=e2:97g1<5;o857=m7:?1a6g=;k=01?k83;1a3>;5m>>1?o94=3g41?5e?279i:853c5897c0?39i;63=e6:97g1<5;o<57=m7:?1a2g=;k=01?k7b;1a3>;5m1i1?o94=3g;`?5e?279i5k53c5897c?n39i;63=e8297g1<5;o2=7=m7:?1a<4=;k=01?k:3;1a3>;5m<>1?o94=3g61?5e?279i8853c5897c2?39i;63=e4:97g1<5;o>57=m7:?1a0g=;k=01?k;3;1a3>;5m=>1?o94=3g71?5e?279i9853c5897c3?39i;63=e5:97g1<5;o?57=m7:?1a1g=;k=01?k6b;1a3>;5m0i1?o94=3g:`?5e?279i4k53c5897c>n39i;63=e`297g1<5;oj=7=m7:?1ad4=;k=01?m;7;1a3>;5k=21?o94=3a7=?5e?279o9o53c5897bf;39i;63=d`697g1<5;nj97=m7:?1`d0=;k=01?jn7;1a3>;5lh21?o94=3fb=?5e?279hlo53c5897c0n39i;63=e9297g1<5;o3=7=m7:?1a=4=;k=01?jnf;1a3>;5lk:1?o94=3fa5?5e?279ho<53c5897c6?39i;63=e0:97g1<5;o:57=m7:?1a4g=;k=01?ki6;1a3>;5mo=1?o94=3ge;6l9n1?o94=0f3a?5e?27:h=h53c5894b6839i;63>d0397g1<58n:>7=m7:?2`45=;k=014;1a3>;6l991?o94=0f30?5e?27:h=;53c5894b7>39i;63>d1597g1<58n;47=m7:?2`5?=;k=01;6l9h1?o94=0f3g?5e?27:h?753c5894b5i39i;63>d2797g1<58n8:7=m7:?2`61=;k=01;6l:31?o94=0f0e?5e?27:h>l53c5894b4k39i;63>d3`97g1<58n9o7=m7:?2`7b=;k=01;6l;l1?o94=0f04?5e?27:h>?53c5894b4:39i;63>d2197g1<58n887=m7:?2`c1=;k=01;6lo21?o94=0fe0?5e?27:hk853c5894ba=39i;63>e1397g1<58nm?7=m7:?2a54=;k=01;6lol1?o94=0feg?5e?27:hkk53c5894bal39i;63>dg`97g1<58nm>7=m7:?2`c7=;k=01;6m991?o94=0g2e3797g1<58o9:7=m7:?2a71=;k=01;6m;31?o94=0g1e?5e?27:i?l53c5894c6i39i;63>e0`97g1<58o:o7=m7:?2a4b=;k=01e;1a3>;6m8l1?o94=0g14?5e?27:i??53c5894c5:39i;63>e3197g1<58o9i7=m7:?2ba1=;k=01;6nmo1?o94=0df4?5e?27:jh;53c5894`a939i;637=m7:?66g1=;k=01;59ki1?o94=311f?5e?27?8n853c58912d<39i;63;41697g1<5=9oj7=m7:?11;5;51?<1?o94=3;50?5e?2795;<53c5897?1839i;63=94g97g1<5;3>o7=m7:?1=0g=;k=01;6l=;1?o94=0gg1?5e?27:ii853c5891df>39i;63:1b197g1<5=9h;7=m7:?76ag=;k=019=m9;1a3>;39;<1?o94=5066?5e?27985k53c58972>k39i;63=40697g1<5;k8m7=m7:?1e32=;k=01?o:c;1a3>;5i?:1?o94=5333?5e?27?==653c589177139i;63;11c97g1<5=;;n7=m7:?755e=;k=019??d;1a3>;399o1?o94=533b?5e?27?=<>53c5891bf<39i;63;7cf97g1<5;9h?7=m7:?17f2=;k=01?=l5;1a3>;5;j<1?o94=31`3?5e?279?n653c58975d139i;63=3bc97g1<5;9hn7=m7:?17fe=;k=01?<:4;1a3>;5:8953c589742039i;63=24;97g1<5;8>m7=m7:?160d=;k=01?<:c;1a3>;5:?53c589154;39i;63;32797g1<5=98;7=m7:?776?=;k=019=;3;:n1?o94=510b?5e?27??9?53c589155n39i;63;04497g1<5=:==7=m7:?743e=;k=019>9f;1a3>;38>:1?o94=5245?5e?27?<:<53c589160;39i;63;06697g1<5=:<97=m7:?7401=;k=019>:8;1a3>;38<31?o94=526e?5e?27?<8l53c589162k39i;63;04f97g1<5=:>i7=m7:?740`=;k=019>90;1a3>;38?81?o94=5257?5e?27?<;:53c589161=39i;63;07497g1<5=:=;7=m7:?743>=;k=019>99;1a3>;38?k1?o94=525f?5e?27?<;j53c589161m39i;63;e3d97g1<5=o8<7=m7:?7a67=;k=019k<2;1a3>;3m:91?o94=5f0b?5e?27?h9?53c5891b3;39i;63;d5797g1<5=n?;7=m7:?7`63=;k=019j<7;1a3>;3l:31?o94=5f0f?5e?27?h>j53c5891b6;39i;63;d0797g1<5=n:;7=m7:?7`4?=;k=019j>b;1a3>;3l;31?o94=5f1f?5e?27?h?j53c5891b5n39i;63;d2397g1<5=n8?7=m7:?7`4b=;k=019j>f;1a3>;3l;;1?o94=5f17?5e?27?h?;53c5891b5?39i;63>d6297g1<58n<=7=m7:?2`3b=;k=01;6l?k1?o94=0f5f?5e?27:h;953c5894b1039i;63>d7697g1<58n=97=m7:?2`37=;k=01;6ld9`97g1<58n3o7=m7:?2`=>=;k=01;6l1?1?o94=0f;2?5e?27:h5<53c5894b?;39i;63>d6d97g1<58n3<7=m7:?2`2e=;k=01;6l>31?o94=0f4e?5e?27:h:853c5894b0?39i;63>d6197g1<58n<87=m7:?2`0>=;k=01;4l=<1?o94=2f73?5e?278h9653c5896b3139i;63;3lk?1?o94=5f:3?5e?27?h4h53c5891b>039i;63;ee597g1<58i2h7=m7:?7a1b=;k=01>j?d;1a3>;4l9h1?o94=2f2a?5e?278hj>2;1a3>;4l8:1?o94=2f3a?5e?278h=653c5896b5<39i;63kmb;1a3>;60ki1?o94=05ag?5e?27:4ol53c58941ej39i;63>8cc97g1<58=im7=m7:?2;60k21?o94=05a8d:97g1<58=n:7=m7:?2<`1=;k=01<9j5;1a3>;60l<1?o94=05f0?5e?27:4h;53c58941b;39i;63>8d697g1<58=n>7=m7:?2;60ji1?o94=05`g?5e?27:4n?53c58941d939i;63>8c497g1<58=i:7=m7:?2;60jo1?o94=05`a?5e?27:4nj53c58941dl39i;63>8b`97g1<58=hn7=m7:?2;60j31?o94=05`=?5e?27:4n653c58941d039i;63>8b597g1<58=h;7=m7:?2;60j?1?o94=05`1?5e?27:4n:53c58941d<39i;63>8b197g1<58=h?7=m7:?2;60j:1?o94=05`4?5e?27:4oh53c58941en39i;63>8cg97g1<58=ii7=m7:?2;60l;1?o94=05gb?5e?27:4h>53c58941cm39i;63>8ed97g1<58=oh7=m7:?2;60mn1?o94=05gf?5e?27:4im53c58941ci39i;63>8e`97g1<58=o57=m7:?2;60m21?o94=05g2?5e?27:4i953c58941c=39i;63>8e497g1<58=o87=m7:?2;60m>1?o94=05g6?5e?27:4i=53c58941c939i;63>8e097g1<58=o<7=m7:?2;6mmo18984=0gga?23k27:ih?5454894cb93>?o63:38;9010<5<9257:;c:?67<0=<=<018=66;67g>;2;0918984=41:7?23k27>?4>54548905>83>?o63;b209010<5=h8>7:;c:?7f7e=<=<019l=c;67g>;3j;318984=5`1=?23k27?n?h5454891d5n3>?o63;bba901d<5=hho7:;e:?777?=<=<019==9;67g>;6mm;18>h4=0gg6?5e?27:o:;542d894e003>8j63>c6;97g1<58oh47:;6mjl1?o94=0g`f?24n27:inm53c5894cen3>8j63>eb297g1<58oh97:;6mj91?o94=0gag?24n27:ioj53c5894ce13>8j63>ecc97g1<58oi<7:;6mk=1?o94=0ga7?24n27:io:53c5894cfl3>8j63>e`g97g1<58ojm7:;6mh81?o94=0gb3?24n27:il653c5894cf<3>8j63>e`797g1<58o2i7:;6m0i1?o94=0g:6?24n27:i4=53c5894c>03>8j63>e8;97g1<58o297:;6m1k1?o94=0g;b?24n27:i4>53c5894c?k3>8j63>e9f97g1<58o3<7:;6m1=1?o94=0g;7?24n27:i5:53c5894c0l3>8j63>e6g97g1<58o;6m>81?o94=0g43?24n27:i:653c5894c0<3>8j63>e6797g1<58o=i7:;6m?i1?o94=0g56?24n27:i;=53c5894c103>8j63>e7;97g1<58o=97:;6m?:1?o94=0g6g?24n27:i8j53c5894c2;3>8j63>e4697g1<58o>57:;6m<=1?o94=0g7e?24n27:i9l53c5894c283>8j63>e4397g1<58o?h7:;28ok1?o94=0g73?24n27:i9653c5894c3<3>8j63>e5797g1<5<;247:;29991?o94=43b7?24n27>==853c58907f93>8j63:11697g1<5<;j>7:;299=1?o94=43b1?24n27>==653c58907>13>8j63:0ga97g1<5<;j:7:;299k1?o94=43:e?24n27>j3>8j63:0gg97g1<5<;2i7:;28ol1?o94=43:`?24n27>==>53c58907f13>8j63:11a97g1<5<;2j7:=<:l018??b;1a3>;29k918>h4=4322?5e?27>=o?542d89076<39i;63:1c0906`<5<;:97=m7:?65g2=<:l018?>7;1a3>;29k?18>h4=432=o6542d89076j39i;63:1c4906`<5<;:57=m7:?65g1=<:l018?>a;1a3>;29hk18>h4=433`?5e?27>=ll542d89077m39i;63:1`g906`<5<;:=7=m7:?65de=<:l018??f;1a3>;29hn18>h4=4324?5e?27>=lh542d89076:39i;63:1c2906`<5<;:?7=m7:p7c7a2908w0=l9b80f==:9=km6o6m;<1``3h>e;29650|58>i?7l7b:?0=ad=;k=01>6:5;1a3>;41m21?o94=2;g3?5e?27854953c5896?f839i;63<9`397g1<5:3j>7=m7:?0=d5=;k=01>7n4;1a3>;41h?1?o94=2;b2?5e?2785l953c5896?>039i;63<98;97g1<5:32m7=m7:?0=76c;1a3>;410n1?o94=2;:a?5e?27854h53c5896?ck39i;63<9ef97g1<5:3oi7=m7:?0=a`=;k=01>7j0;1a3>;41l;1?o94=2;g=?5e?2785io53c5896?d<39i;63<9b797g1<5:3h47=m7:?0=f?=;k=01>7la;1a3>;41jh1?o94=2;`g?5e?2785nj53c5896?dm39i;63<9bd97g1<5:3h:7=m7:?0=f1=;k=01>6je;1a3>;40ll1?o94=2:e1?5e?2784k853c5896>a?39i;63<8g:97g1<5:2m57=m7:?06ib;1a3>;40oi1?o94=2:e4?5e?2784k?53c5896>a:39i;63<8g197g1<5:2m87=m7:?0=g6=;k=01>7=f;1a3>;41::1?o94=2;01?5e?2785>853c5896?4?39i;63<92:97g1<5:3857=m7:?0=6g=;k=01>7;41:i1?o94=2;05?5e?2785><53c5896?4;39i;63<92697g1<5:8l6;1a3>;4>jk1?o94=24`f?5e?278:nm53c58960dl39i;63<6bg97g1<5:8mc;1a3>;4>kn1?o94=24aa?5e?278:oh53c58960d839i;63<6b397g1<5:7=m7:?02f5=;k=01>8l4;1a3>;4>j?1?o94=24`3?5e?278:n653c58960d139i;63o?b;1a3>;4i9i1?o94=2c3`?5e?278m=k53c5896g7n39i;63=;k=01>o?9;1a3>;4?ji18>h4=2:`b?5e?2784io53c5896>c=39i;63<91d97g1<5:3:<7=m7:?0=4?=;k=01>7>a;1a3>;418h1?o94=2;2g?5e?27857>2;1a3>;41891?o94=2;20?5e?2785<;53c5896?6>39i;63<90597g1<5:3:47=m7:?06m8;1a3>;40j91?o94=2:`di39i;63<8b`97g1<5:2ho7=m7:?06le;1a3>;40k31?o94=2:ae?5e?2784ol53c5896>ek39i;63<8cf97g1<5:2ii7=m7:?06l0;1a3>;40j;1?o94=2:`6?5e?2784n:53c5896>d=39i;63<8b497g1<5:2h;7=m7:?0=27=;k=01>782;1a3>;41>h1?o94=2;4g?5e?2785:j53c5896?0m39i;63<96d97g1<5:33<7=m7:?0==7=;k=01>772;1a3>;41>91?o94=2;40?5e?2785:;53c5896?0>39i;63<96597g1<5:3<47=m7:?0=2?=;k=01>78a;1a3>;4>;?1?o94=26b3?5e?278:?:53c589605;39i;63<5d597g1<5:?m<7=m7:?01c7=;k=01>;i2;1a3>;4=o91?o94=27e0?5e?2789k;53c58963a>39i;63<5g597g1<5:?n47=m7:?01`?=;k=01>;ja;1a3>;4=lh1?o94=27fg?5e?2789hj53c58963bm39i;63<5dd97g1<5:<:87=m7:?0243=;k=01>8>8;1a3>;4>831?o94=242e?5e?278:8>6;1a3>;4>8=1?o94=270a?5e?2789>h53c589633=39i;63<55497g1<5:??;7=m7:?011>=;k=01>;;9;1a3>;4==k1?o94=277f?5e?27899m53c589633839i;63<55397g1<5:??>7=m7:?0115=;k=01>;;4;1a3>;4>9:1?o94=274b?5e?27895>53c58963?=39i;63<59497g1<5:?3;7=m7:?01=>=;k=01>;79;1a3>;4=1k1?o94=27;f?5e?27895m53c58963?939i;63<59097g1<5:?3?7=m7:?01=2=;k=01>=>0;1a3>;4;8;1?o94=212g?5e?278??>53c589655939i;63<33097g1<5:99?7=m7:?0772=;k=01>==5;1a3>;4;;<1?o94=2126?5e?278?<=53c589656<39i;63<30797g1<5:9::7=m7:?0741=;k=01>=>8;1a3>;4;831?o94=212e?5e?278?8;b;1a3>;4>=o1?o94=247b?5e?278:8>53c589602939i;63<64097g1<5:<>?7=m7:?0202=;k=01>8:5;1a3>;4>=i1?o94=247`?5e?2788;90;1a3>;4=?31?o94=275e?5e?2789;l53c589631k39i;63<57f97g1<5:?=i7=m7:?013`=;k=01>;80;1a3>;4=?;1?o94=2756?5e?2789;=53c589631<39i;63<57797g1<5:?=:7=m7:?0131=;k=01>;98;1a3>;4=931?o94=273e?5e?2789<;53c589636i39i;63<50`97g1<5:?:o7=m7:?014b=;k=01>;>e;1a3>;4=8l1?o94=2714?5e?2789=l53c589637k39i;63<51f97g1<5:?;i7=m7:?015`=;k=01>;>0;1a3>;4=8;1?o94=2726?5e?2789<=53c589636<39i;63<50497g1<5:?:;7=m7:?014>=;k=01>;>9;1a3>;4=j;1?o94=27`6?5e?2789nl53c58963dk39i;63<5bf97g1<5:?hi7=m7:?01f`=;k=01>;k0;1a3>;4=m;1?o94=27g6?5e?2789n=53c58963d<39i;63<5b797g1<5:?h:7=m7:?01f1=;k=01>;l8;1a3>;4=j31?o94=27`e?5e?278>:l53c58966al39i;63<26c97g1<5:8<57=m7:?066b=;k=01><;6;1a3>;4:==1?o94=2079753c589643i39i;63<25`97g1<5:8?o7=m7:?061b=;k=01><;4::l1?o94=2074?5e?278>9?53c589643:39i;63<25197g1<5:8?87=m7:?0613=;k=01><9a;1a3>;4:?h1?o94=205a?5e?278>;h53c589640839i;63<26397g1<5:8<>7=m7:?0625=;k=01><84;1a3>;4:>?1?o94=205g?5e?278>;j53c58967><39i;63<18797g1<5:;2n7=m7:?05?6d;1a3>;490o1?o94=23:b?5e?278=l>53c58967f939i;63<1`097g1<5:;2:7=m7:?05<1=;k=01>?68;1a3>;49031?o94=23:e?5e?278>8853c58967c=39i;63<1e497g1<5:;on7=m7:?05ae=;k=01>?kd;1a3>;49mo1?o94=23gb?5e?278=h>53c58967b939i;63<1d097g1<5:;o;7=m7:?05a>=;k=01>?k9;1a3>;49mk1?o94=3d56?5e?279j;=53c5897`1m39i;63=f6097g1<5;l;5n><1?o94=3d43?5e?279j:653c5897`1<39i;63=f7797g1<5;l=:7=m7:?1b31=;k=01?h98;1a3>;5n?31?o94=3d5e?5e?279j;l53c5897`1k39i;63=f7f97g1<5;l=j7=m7:?1b26=;k=01?h81;1a3>;4:h:1?o94=20b5?5e?278>l:53c58964f=39i;63<2`497g1<5:8j;7=m7:?06d>=;k=01>;4:hk1?o94=20bf?5e?278>l<53c58964f;39i;63<066906`<5:;<;7=m7:?05=6=;k=01>?8c;1a3>;49k?1?o94=23a2?5e?278=oh53c58967d839i;63<1b397g1<5:;h>7=m7:?05f5=;k=01>?l4;1a3>;49j?1?o94=23`2?5e?278=o953c58967e039i;63<1c;97g1<5:;im7=m7:?05gd=;k=01>?mc;1a3>;49kn1?o94=23aa?5e?278=8h53c589671839i;63<17`97g1<5:;<<7=m7:?0527=;k=01>?82;1a3>;49>91?o94=2340?5e?278=:;53c589670>39i;63<17397g1<5:;=>7=m7:?0535=;k=01>?94;1a3>;49??1?o94=2352?5e?278=;953c589671039i;63<17;97g1<5:;=m7=m7:?053e=;k=01>?9d;1a3>;49?o1?o94=235b?5e?278><953c589646039i;63<23397g1<5:89>7=m7:?0675=;k=01><=4;1a3>;4:;?1?o94=2012?5e?278>?953c589645039i;63<20;97g1<5:8:m7=m7:?064d=;k=01><>c;1a3>;4:8n1?o94=202a?5e?278>66d;1a3>;410?1?o94=26fb?5e?2789h;53c589673=39i;63<22`97g1<5:k:>7=m7:?0e41=;k=01>o>8;1a3>;4i831?o94=2c2e?5e?278mo>3;1a3>;4i8>1?o94=2c21?5e?278m<853c58yv73jo0;630189:?2bc5=99=270?ie28242?<58lnj7??789>5c`128:<563>fg69551>34;mj84>06;894`c=3;;;4521gd4>460127:jk65115:?87al00:<:74=0dg2?77?016=kjn:024=>;6nmh1==96;<3ea7<68>30189:?2b`g=99=270?ie98242?<58ln57??789>5ccc28:<563>fd`9551>34;min4>06;894`bm3;;;4521gd3>460127:8oh51154?87anh03m<52534`>=g534?9;=47a39>170f21k:70=l4e8;e3=:;j>865o>;<3gf003m<521ea2>=g6348;8<47a09>5ae521k:70;<0306=g6348;8847a09>5ae121k:70;<0302=g6348;8447a09>5ad021k:70;<037<=g6348;?o47a09>5ade21k:70;<037a=g634;onk47a09>7ge321k870=mc`8;e6=:;kii65o<;<1agf523cae>=g4349ih=47a29>7gb621k870=md38;e6=:;ki>65o<;<1ag3523ca:>=g6348;8=47a09>642?21k970<=b68;e7=:<:3i65o=;<60=276:9c2?843?903m?52254`>=g5348?:h47a09>7=6621k970=;528;e7=:;9k265o=;<1:17=g6348:8>47a09>67d521k:70=6b68;e4=:;?:<65o>;<111a=g5348;=847a39>5a?f21k970=g5348;>=47a39>5a?b21k970=g5348;>947a39>5a?721k97003m?521e;0>=g5348;=547a39>5a?321k97065o=;<035d=g5348;=n47a39>5a??21k970;>b`8;e4=:=8hh65o=;<66428jl:9c2?827==03m<524176>=g534;?i:47a09>51c?21k970?;e88;e7=:9=oj65o>;<37ag=g534;?i=47a39>51c621k970?;e38;e7=:9=o865o=;<37a1=g6348;;i47a39>651e21k970=9=:9c1?847?903m?52214:>=g5348;::47a39>650221k970=;k:9c1?847=j03m?5245``>=g2348>5<47a49>064?21k:7065o;;<1540=g534>j4?47a39>704321k:70=>7`8;e4=::>i=65o>;<04g0:m<:9c2?840k;03m<5226a3>=g634862db21k:70<8be8;e4=:9>>h65o:;<04ff:lm:9c2?840jh03m<5226`:>=g634862d221k:70<8d58;e4=::>n865o>;<04`7:m6:9c0?840k>03m>5226a7>=g434862d121k870<=898;e4=::8;265o>;<61f=>h=:9c1?840l803m<5226f3>=g634862ed21k:70<8c08;e4=:<9n<65o>;<63gcl03m?52142;>=g6348;5947a49>67>721k?70<in65o>;<04ga:mm:9c2?840kh03m<522503>=g6348506321k:70?:078;e4=::lkm65o>;<01<`=g5349>>447a39>741a21k970=:2d8;e7=:;82?65o=;<1;`=l03m<52534;>=g634?95:47a39>7<2a21k:70=:9g8;e4=:;8l>65o>;<676<=g5348?j:47a39>606121k970<:048;e7=::=l365o=;<67669h6:9c1?823:=03m?5225db>=g534>?>847a39>61`e21k970:;f48;e7=::9hl:9c1?823:>03m?5225dg>=g534>?>547a39>61`b21k970:;2`8;e7=::=lm65o=;<676g8>?:9c1?823:j03m?522422>=g534>?>i47a39>606521k970:;2g8;e7=::<:?65o=;<676`8><:9c1?823n=03m?5224a:>=g534>?j:47a39>60ed21k970:;f78;e7=::=g234;mi;47a49>17d?21k870:;c48;e1=::<3j65o;;<3eb7?<8:9c7?8441?03m95239;;>=g6349?il47a09>742721k:70=8498;e6=:;>>j65o<;<140f5232c3>=g43498m?47a29>715721k:70=g634972c?21k:70=8e68;e4=:;>o=65o>;<14a0=g634972c721k:70=8dg8;e4=:;>no65o>;<14b6=g634972cb21k:70=8ee8;e4=:;>o265o>;<177`=g6349??447a09>715?21k:70=;368;e4=:;=9=65o>;<1770=g6349??<47a09>714a21k:70=;448;e4=:;=>?65o>;<1706=g6349??k47a09>715e21k:70=?958;e4=:;93865o>;<13=7=g6349;4i47a09>75>d21k:70=?8c8;e4=:;92j65o>;<13<<03m<5231:6>=g6349;5o47a09>75?f21k:70=?988;e4=:;93365o>;<13=2=g634>98:47a09>7=g33498j547a59>752f21k?70=8ac8;e0=:;=::65o:;<1316=g2349716421k>70=?548;e0=:;>kn65o:;<1741=g2349;9:47a49>72d721k>70=;078;e0=:;9?365o:;<14f48:9c6?857=003m85236`1>=g2349?<547a49>753f21k>70=8b28;e0=:;=:265o:;<131g=g234976`f21k>70=?4b8;e0=:;>k>65o:;<10bg=g2349;8h47a49>72g021k>70=m65o:;<14e=hj:9c6?857=903m85236c:>=g23498jk47a49>753621k>70=8a`8;e0=:;=:;65o:;<131797k:9c2?87bl103m?5242a;>=g634>im:47a09>14e321k:70:=dc8;e7=::=;>65o=;<1;=`=g534>jmo47a09>0f6021k970:l048;e7=:k:9c1?87ank03m<521gd`>=g6349n?i47a29>05e?21k870:?dg8;e6=:<;>965o:;|q2g20=838p1??6c;6:a>;6k>?1==98;|q2g2?=838nw0?i688;e4=:9o>j69=m;<3e<<<3;k16=k9;:9c2?87a?o03m<521g:1>=g634;m4>47a09>5c>321k:70?i848;e4=:9o2=65o>;<3e<2h03m<521g4a>=g634;m:n47a09>5c0c21k:70?i6d8;e4=:9o;<3e35:9c2?87a?;03m<521g50>=g634;m;847a09>5c1121k:70?i768;e4=:9o=365o>;<3e3<=g634;m;i47a09>5c1b21k:70?i818;e4=:9o2:65o>;<3ef7hm:9c0?8238h03m>521gf;>=g434;mhi47a29>5cba21k870?ie08;e6=:9oo=65o<;<3eb7m7:9c0?87anl03m=521b5:>460?2wx?k301?kn2;333<=::j><6<>89:?1g1>=99=2706ag428:<563=d`69551>348om84>06;897bf>3;;;4522ec4>4601279hl65115:?84ci00:<:74=3fbe?77?016>h9i:024=>;5m1:1==96;<0f<4<68>301?k72;333<=::mkm6<>89:?1`g6=99=2707??789>6`7028:<563=e0:9551>348n=44>06;897c6i3;;;45238;4>46012785l>5115:?85>i80:<:74=2;b6?77?016?4o<:024=>;41h>1==96;<1:e0<68>301>7n6;333<=:;0k<6<>89:?0=<>=99=270=6988242?<5:32m7??789>734925i4>06;896?>m3;;;45238;e>46012784hk5115:?85?mo0:<:74=2:e1?77?016?5h9:024=>;40o=1==96;<1;b=<68>301>6i9;333<=:;1lj6<>89:?07=`628:<563<8g09551>3493j>4>06;896>a<3;;;452380e>46012785>>5115:?85>;<0:<:74=2;02?77?016?4=8:024=>;41:21==96;<1:7<<68>301>789:?0=6e=99=270=6308242?<5:38>7??789>7<5428:<563<9269551>3493ok4;8e9>7=bf2=2o70=7d48789:?01c6=99=270=:f08242?<5:?m>7??789>70`428:<563<5g69551>349>j84>06;8963a>3;;;45234d4>46012789h65115:?852m00:<:74=27fe?77?016?8km:024=>;4=li1==96;<16aa<68>301>;je;333<=:;89:?016c=99=270=:3g8242?<5:??97??789>702128:<563<5559551>349>854>06;8963313;;;452346b>460127899l5115:?852:024=>;4==81==96;<1606<68>301>;;4;333<=:;<=m6<>89:?01=6=99=270=:848242?<5:?3:7??789>70>028:<563<59:9551>349>444>06;8963?i3;;;45234:a>460127895m5115:?852080:<:74=27;6?77?016?86<:024=>;4=1>1==96;<1664<30m16?84601278>985115:?855<>0:<:74=207;4:=k1==96;<110g<68>301><;c;333<=:;;>o6<>89:?066c=99=270==3g8242?<5:8?<7??789>772628:<563<2509551>34998>4>06;89643<3;;;4523366>4601278=4:5115:?8561<0:<:74=23:f?77?016?<7l:024=>;490n1==96;<12=`<68>301>?6f;333<=:;8k;6<>89:?05d7=99=270=>a38242?<5:;2:7??789>74?028:<563<18:9551>349:544>06;8967>i3;;;45230f6>4601278=i85115:?856lk0:<:74=23gg?77?016?;49mo1==96;<12`c<68>301>?j0;333<=:;8o:6<>89:?05`4=99=270=>d68242?<5:;o47??789>74b>28:<563<1ec9551>349:;:4;8e9>74>72=2o70=>7b8789:?2bcg=j1h019l>3;:b6>;5:1914l?4=3327?>f927?9kc;:b6>;4?mk14l<4=25gf?>f:2784==58`38961ai32j=63<81699if;:b5>;409:14l?4=25ea?>f9278;k658`38961al32j=63<72g96?2;:b5>;4?o>14l?4=25e3?>f9278;k;58`389612<32j?63<74:9>76n3:?031c=0h901>9:0;:b7>;4?<<14l=4=256e?>f;278;8m58`189613832j?63<7509:=e;:b6>;4<;i14l<4=261`?>f:27888;58`389623k32j=63<4449?476n1:?001d=0h;01>::1;:b5>;4<<814l?4=2664?>f927889o58`389623n32j=63<3869::4;:b5>;4<=<14l?4=267=?>f927889958`38965fi32j?63<3`g9=n6;:b7>;4;hi14l=4=21a4?>f;278?o<58`18965>>32j?63<38:9>74;:b6>;481814l<4=22;7?>f:278>n7;:b5>;48h214l?4=22b2?>f927858`38966f=32j=63=fd49>na;:b5>;480i14l?4=22:b?>f9278<4j58`3897`ak32j?63<0129;5noo14l=4=2236?>f;278<=:58`1897`b032j?63=fdc95f?d28:<563=1ca955g?3488>o4>0`:8912d>3;;;45245a7>46f027?8=:5115:?824lo0:<:74=37:f?77?016>876:02b<>;5301?798;333<=::0<=6<>89:?1=32=99=270<6638242?<5;3=<7??789>6<3b28:<563=94a9551>34829l4>06;896e3j32j=63<92g9;3:<;14l?4=2572?>f;278?4m58`1897`bm32j?63;33f955g?34>8?<4>06;89154;3;;;4524216>460127??>95115:?824;00:<:74=510f?77?0168>=k:024=>;3;:l1==96;<6004<68>3019==f;333<=:<9?=6<>n8:?7437=99=270:?6b8242?<5=:=j7??789>051728:<563;0639551>34>;;?4>06;89160;3;;;4524157>460127?<:;5115:?827=>0:<:74=526;383019>:c;333<=:<9?o6<>89:?740c=99=270:?5g8242?<5=:=<7??789>050528:<563;0719551>34>;:94>06;89161=3;;;4524145>460127?<;95115:?827>10:<:74=525=?77?0168=8n:024=>;38?h1==96;<632a<68>3019>9e;333<=:9m=;6<>89:?2`27=99=270?k6e8242?<58n=i7??789>5a0f28:<563>d7`9551>34;o::4>06;894b103;;;4521e47>460127:h;;5115:?87c>80:<:74=0f56?77?016=i;j:024=>;6l30189:?2`=e=99=270?k898242?<58n357??789>5a>228:<563>d949551>34;o4?4>06;894b?;3;;;4521e5e>460127:h5>5115:?87c?j0:<:74=0f4`?77?016=i96:024=>;6l>k1==96;<3g33<68>30189:?2`22=99=270?k598242?<58n>57??789>7a2128:<563;d``9551>34>o5h4>06;891be=3;;;4524e;4>460127?mlm58`3891b>n3;;;4524e;;>460127:o4j5115:?85c8m0:<:74=2f3f?77?016?i?j:024=>;4l8i1==96;<1g5d<68>301>j>8;333<=:;m;=6<>89:?0`42=99=270=k138242?<5:n:<7??789>7a6b28:<563349o>94>06;896b5:3;;;4523dcf>4601278il>5115:?85bjk0:<:74=3371?>f>279>o:58`4891ge832j963;ac09525021k=70?l6b8;e3=:9j?265o9;<3f00<68>=0q~?>7283>6}Y98=870?>858:43=:98=86k<=;|q2a1>=83>p1<9;8;:b2>;6k>;14l84=0a6a?>f>27:i9651154?xu69>h1<7=t^034f>;690315=84=034f?`5:2wx=h:m:187870;003m;521b4a>=g134;h9547a79>5`2e28:<;6s|105`>5<4sW;:;n5210;`><6134;:;n4i239~w4c3m3:18v3>72c953z\252b<58;2j77?6:?252b=n;80q~?j5083>1}:9>9i65o9;<3`2f8?16=<9j:g01?xu6m<>1<7:t=050g?>f>27:o:?58`1894e2m32j?63>e46955103ty:=:h50;1xZ470n27:=l;591489470n3l9>6s|1d74>5<3s4;5f0e21k870?l598;e6=:9l?<6<>87:p54>72908wS?>819>54g?20:=70?>818e67=z{8o>m7>54z?236c=0h<01;6k1v;691;1j?<4}r3f1a<72=q6=:=i:9c5?87d>j03m8521b7:>=g234;n9i4>0658yv760;0;6>uQ10:1?876il02<;5210:1>c453ty:i;>50;6x9413832j:63>c639i76n5:?2a36=99=<7p}>19194?5|V8;3?63>1c39=50<58;3?7h=2:p5`04290?w0?8398;e3=:9j{t98=?6=4<{_3231=:982<64>9;<3231f=27:o8j58`7894c1>3;;;:5rs0341?6=;rT:=:;4=03;e??7>27:=:;5f308yv7b>00;69u21661>=g134;h:n47a59>5f3>21k?70?j688242154112o897p}>e7a94?2|58=??76n6:?2g27=0h>01;6m?i1==98;|q2521=839pR{t9l460?2wx=<97:180[76?116=<7<:825?876?10m>?5rs0g46?6=c4f97??769~w470i3:1?vP>16c8947>>33;:63>16c9b74522121k?70?l628;e3=:9j?:65o9;<3f30<68>=0q~?>b583>6}Y98h?70?>c48:43=:98h?6k<=;|q2a2>=83>p1<9;7;:b0>;6k<914l84=0a52?>f>27:i:651154?xu69ki1<7=t^03ag>;69mk15=84=03ag?`5:2wx=h9m:18787d>;03m;521b73>=g134;=jl47a59>5`1e28:<;6s|10`g>5<4sW;:ni5210fg><6134;:ni4i239~w4c0m3:18v3>c40953z\25gc<58;n<77?6:?25gc=n;80q~?j8083>1}:9j<865o<;<3`147:9c7?87b080:<:94}r32fc<72:qU=8?16=1<7:t=0a67?>f;27:;=o58`6894e1>32j?63>e96955103ty:=n>50;1xZ47d827:=h859148947d83l9>6s|1d:4>5<3s4;h:?47a29>5f3721k870?80b8;e1=:9l2<6<>87:p54e62908wS?>c09>54c>20:=70?>c08e67=z{8o3m7>54z?2g04=0h901<9?e;:b0>;6k?<14l:4=0g;e?77?>1v;69j81j?<4}r3f=g334;n4i4>0658yv76k:0;6>uQ10a0?876mo02<;5210a0>c453ty:i4>50;6x94e2;32j963>70091b694?5|V8;h863>1g09=50<58;h87h=2:p5`?4290?w0?l638;e0=:9j?;65o:;<3451{t98h>6=4<{_32f0=:98i364>9;<32f0f<27:o;958`7894c>>3;;;:5rs03a2?6=;rT:=o84=03`f??7>27:=o85f308yv7b100;69u21b40>=g334;h9<47a59>53`c21k?70?j988242154d02o897p}>e8a94?2|58i>?76n4:?22c`=0h>01;6m0i1==98;|q25g>=839pR{t9l3m6=4;{<3`27460?2wx=?5rs0gb6?6=c7597??769~w47ej3:1?vP>1c`8947c?33;:63>1c`9b74525021k>70?l6`8;e3=:9j?<65o9;<3fe0<68>=0q~?>f483>6}Y98l>70?=078:43=:98l>6k<=;|q2ad>=83>p1<9;8;:b1>;6k?l14l84=0a6g?>f>27:il651154?xu69on1<7=t^03e`>;6:8h15=84=03e`?`5:2wx=hom:187870;003m8521b43>=g134;h8h47a79>5`ge28:<;6s|10df>5<4sW;:jh52133f><6134;:jh4i239~w4cfm3:18v3>72c953z\25c`<5889=77?6:?25c`=n;80q~?jb083>1}:9>9i65o:;<3`2d?;<3161<>8?16=?>?:g01?xu6mk>1<7:t=050g?>f=27:o;h58`1894e2k32j?63>ec6955103ty:>=?50;1xZ447927:>?959148944793l9>6s|1d`4>5<3s4;5f0721k870?l4d8;e6=:9lh<6<>87:p57652908wS?=039>574f20:=70?=038e67=z{8oim7>54z?236c=0h?01;6k1v<;6:991j?<4}r3ffa<72=q6=:=i:9c6?87d>h03m8521b74>=g234;nni4>0658yv758=0;6>uQ1327?875;902<;521327>c453ty:in>50;6x9413832j963>c7d9o76n5:?2af6=99=<7p}>21794?5|V88;963>2219=50<588;97h=2:p5`e4290?w0?8398;e0=:9j<;65o:;<3`0`{t98l=6=4<{_32b3=:9;:264>9;<32b3f=27:o8l58`7894cd>3;;;:5rs03e3?6=;rT:=k94=003g??7>27:=k95f308yv7bk00;69u21661>=g234;h:l47a59>5f3021k?70?jc88242154`?2o897p}>eba94?2|58=??76n5:?2g3`=0h>01;6mji1==98;|q25c?=839pR{t9lim6=4;{<3401460?2wx=?5rs0gg6?6=c4`97??769~w47ak3:1?vP>1ga89446033;:63>1ga9b747ddf2k2i70<>158;e0=::8;865o:;<025a0q~=nc183>1}:;hi:6o6m;<3;0fr78mn=5b9`8976>:32j?63=07a976n3:?143c=0h?01?>64;:b5>{t;hi?6=4:{<1bg0?6;:9c6?8450:03m85223:`>=g334894h47a29~w6gd>3:18v36;:b2>{t;hhi6=49{<1bff87<:9c1?843<803m?525331>=g1348>5<47a59>66`521k?7p}2;:b1>{t=::26=4=fz?675?=99=<70;=9e8;e0=:=;3265o:;<71=g=g534894n47a39>647e21k970<>1e8;e7=:<=hn65o<;<3;0f=g534;><447a39>506621k:70<:928;e6=::=>:65o:;<0`7fhj>:9c7?84bj;03m?522dff>=g6348ni=47a09>6`c521k:70;<0fa=hkn:9c2?84bmj03m<522dfb>=g3348nh447a29>17c421k870:;bb8;e4=::<3:65o>;<340f?67:9c7?8469003m95243`;>=g23488j?47a49>650b21k:70903m?52431:>=g43ty>?=650;07834810:<:94=0ggg?>f>27>>h?58`18974?<32j=63=29193;:b0>;598n14l=4=56aa?>f<27:49m58`08976>:32j>63=07a9;5<=;14l:4=3a0g?>f:27>><<58`389046>32j=63=c539io76n3:?11<7=0h9018<>7;:b0>;6?=i14l?4=50af9279?k<58`389144132j=63:206901?<7e;:b5>;598l14l?4=53eb?>f:27??;>58`3896`5=32j=6s|5246>5<>s4?84;4>065894>1>3>2i63>bgg90=<0o01;59?n14l?4=30gg?>f927:4nk548g8yv34>=0;64u252:6>460?27:4;;548g894dal3>2i63>c3090=<0o01??9c;:b5>;5:mh14l?4=0:``?2>m2wx9>8=:18:8340:0:<:94=0:57?2>m27:nkl548g894e593>2i63>c5490;60jh184k4}r7024<720q69>6=:0243>;60?8184k4=0`ee?2>m27:o?>548g894e3=3>2i63>bd490{t=:<;6=46{<70<4<68>=01<691;6:a>;6jo3184k4=0a2a?2>m27:o9:548g894db=3>2i63=1759<}:=:2;6<>87:?2<36=<0o01;6k8n184k4=0a77?2>m27:nh:548g89771>32j=63=2e79j7:6e:?2fc1=<0o01c;6:a>;6k=;184k4=0`f7?2>m279=;;58`38974c<32j=63>8b590161b28:<;63>84g90;6jl8184k4=3350?>f9279>i=58`3894>d>3>2i6s|527`>5<>s4?8;i4>065894>2l3>2i63>bg790;59?914l?4=30g6?>f927:4n;548g8yv34=k0;64u2525`>460?27:48m548g894da<3>2i63>c0;90;5:m;14l?4=0:`0?2>m2wx9>;n:18:834?k0:<:94=0:6f?2>m27:nk=548g894e603>2i63>c2f90;60j9184k4}r701<<720q69>9n:0243>;60m27:o<9548g894e4k3>2i63>bef90{t=:?<6=46{<703=<68>=01<6:8;6:a>;6jo:184k4=0a22?2>m27:o>l548g894dck3>2i63=14a9<}:=:=<6<>87:?2<01=<0o01;6k8?184k4=0a0e?2>m27:nil548g89770j32j=63=2dc9;60>h184k4=0`fa?2>m27:o<=548g894e413>2i63>bec90;60ko184k4}r7011<72mq69>9::0243>;2:hl184k4=40g7?2>m27:48;548g894>0i3>2i63>bdf907:6e:?2g6>=<0o01;60l8184k4=334=?>f9279>h658`3894>el3>2i6s|5270>5<6>r7>?::51154?835l;0?5h5243f2>1?b34;3994;9d9>5=1>2=3n70?meb87=`=:9j;:697j;<3`73<31l16=oj7:5;f?826<80?5h5240ge>1?b34>:ok4;9d9>057d21k?70<;0c87=`=::8=365o>;<01a2=9n:9c7?847>?03m>5215f6>=g234;?in47a29>5=dd2=3n70?7e087=`=z{<9>>7>517y>161428:<;63:2e390;6jlh184k4=0a24?2>m27:o>;548g894dc?3>2i63;15290>b;:b0>;5<9k184k4=3343?>f9279>h858`389760132j>63=0769o876n6:?20`d=0h;01<6mb;6:a>;60l:184k4}r7014<7280?5h521cgb>1?b34;h5f532=3n70?md487=`=:<89m697j;<62aa<31l1681?b348:;;47a09>67c221k:701?b3ty>?8>50;35834?80:<:94=40`b?2>m27?>nk548g894>293>2i63>86490;6jm>184k4=530a?2>m27?=hm548g8917dk3>2i63;00;9;47:6e:?1523=0h;01?;58>?14l<4=3254?>f;27:8i<58`48942b132j=63>8c;9007ec2=3n70?75187=`=:91=>697j;<3a`3<31l16=n>k:5;f?87d;;0?5h521cf0>1?b34>:?i4;9d9>04ce2=3n70:>cc87=`=:<9;365o;;<0742<31l16><8n:9c2?845l003m<522150>=g5348;9h47a29>51b621k=70?;e98;e4=:91h3697j;<3;`a<31l1v8=;e;2953}:=:87:?66fb=<0o019;60=l184k4=0:40?2>m27:nn7548g894e7k3>2i63>c23907:6e:?756e=<0o019?ja;6:a>;39jk184k4=5223?>f<2798=8548g89772n32j=63=2bg9;38m27:4im548g8yv34>j0;6<9t=41;g?77?>169?jl:5;f?825l00?5h5219:3>1?b34;3;>4;9d9>5f252=3n70?l0c87=`=:9j9;697j;<3a`4<31l168<:6:5;f?826n>0?5h5240f4>1?b34>;>847a59>61742=3n70<>758;e4=::;o865o>;<033f=8n:9c1?873ko03m;524177>=g434;?i;47a09>5=c?2=3n70?7dc87=`=z{<9=n7>517y>16>e28:<;63:2e`90;6k:=184k4=0a3e?2>m27:o?h548g894dc83>2i63;15:90=4;:b0>;5<88184k4=3347?>f9279>h<58`389761032j>63=07d9hi76n6:?20`3=0h;01<6j7;6:a>;60mk184k4}r702d<72:3p18=7a;3332=:=;nj697j;<01=`<31l16><0?5h5245g:>1?b34;3;h4;9d9>5=172=3n70?l2b87=`=:9j:3697j;<3`6`<31l16=omi:5;f?826<>0?5h5240d6>1?b34>:h84;9d9>054421k?70:=6587=`=:<;k2697j;<61=7<31l168?<>:9c7?843980?5h5224`f>1?b348im44;9d9>6g?62=3n70o:8:5;f?84c0h0?5h522e;b>1?b348oo?4;9d9>6a1f2=3n70h>=:5;f?84cn;0?5h522eg1>1?b348n:l4;9d9>6`5f2=3n70h:n:5;f?84bi;0?5h522ecb>1?b348:;?47a09>67c621k:70:?cc8;e3=::9=j65o<;<37`c=89:9c1?825;k03m85215ag>=g134;?i947a09>5=c12=3n70?7d987=`=z{<9=57>53cy>16>>28:<;63:2e;90;3m27:n9:548g894>0l3>2i63>87d90;6jjo184k4=5372?2>m27?=k:548g8917c<3>2i63;1619076n4:?7635=<0o019;3:0;184k4=50;6?2>m27?>?>58`68972683>2i63=5cf90;5j?:184k4=3`44?2>m279n98548g897b?13>2i63=d8;90;5m;3184k4=3g25?2>m279i=?548g897ba93>2i63=dd390;5m0;184k4=3g6=?2>m279i97548g897cf93>2i63=d`;90;58>314l=4=3250?>f:27:8nm58`48942b;32j=63>8d79067?>2=3n70<>2`87=`=:<;n>697j;<67a2<31l16=497:5;f?87?n=0?5h521`g`>1?b34;2jn4;9d9>5d>72=3n70?m1187=`=:9hl3697j;<3a41<31l16=o?l:5;f?87e:10?5h52183;>1?b34;2<=4;9d9>5<6d2=3n70?63b87=`=:908?697j;<3:75<31l16=48?:5;f?87><10?5h521877>1?b34;2:n4;9d9>5<>32=3n70?6a987=`=:903;697j;<3:=f<31l16=4ml:5;f?87>j=0?5h5218a3>1?b34;2j=4;9d9>51?b34;j894;9d9>5d372=3n70?n7587=`=:9h?h697j;<3b2=<31l16=l6l:5;f?87f110?5h521```>1?b34;jm94;9d9>5dd72=3n70?ne187=`=:9hi3697j;<3b`1<31l16=o=::5;f?87e<:0?5h52195`>1?b34;3:h4;9d9>5f732=3n70?l0787=`=:9j8i697j;<3aga<31l168<:::5;f?826n:0?5h5240f0>1?b34>:;?4;9d9>054621k?70:=6387=`=:<;k<697j;<61=5<31l168?6>:5;f?8259o03m952252e>1?b348>nn4;9d9>6gg02=3n70o8i:5;f?84e<<0?5h522e:;>1?b348o554;9d9>6ae72=3n70h??:5;f?84b890?5h522ed3>1?b348oi=4;9d9>6`0?2=3n70h;7:5;f?84b<10?5h522dc3>1?b348om54;9d9>641721k:70<=dg8;e4=:9=no65o<;<0332=8=:9c1?873kk03m;5215g1>=g634;3i94;9d9>5=b12=3n7p}:37594?0as4?84:4>0658904c?3>2i63=29d90;61>=184k4=0:e7?2>m27:mhl548g894?aj3>2i63>a6d90;6j8h184k4=0`13?2>m27:5<9548g894>an3>2i63>91`90;61m27:58=548g894?1j3>2i63>99190;61jh184k4=0;a7?2>m27:5oh548g894?bn3>2i63>9e5903;6:a>;6i:=184k4=0c2b?2>m27:m?l548g894g3;3>2i63>a5d90;6i1h184k4=0c:3?2>m27:mol548g894gf;3>2i63>a`d90;6j:>184k4=0`76?2>m27:4:?548g894>1l3>2i63>c1;90;39=>184k4=53e6?2>m27?=i<548g8917093>2i63;0329;3:1:184k4=502a?>f<2798=k548g8973ej3>2i63=b`490=<0o01?lmb;6:a>;5j<;184k4=3`g1?2>m279nih548g897d2m3>2i63=b7g90;5lkl184k4=3f43?2>m279hnh548g897c5?3>2i63=e1d90;5m?=184k4=3g03?2>m279i:9548g897c?n3>2i63=e4590;59?l14l?4=30ga?>f927:8im58`189760=32j?63=0729hm76n6:?20`7=0h;01<6k9;6:a>;60m?184k4}r7023<72>=p18=74;3332=:=;n=697j;<01=3<31l16><<8:5;f?825l:0?5h5245g6>1?b34;2;;4;9d9>5=`52=3n70?ne`87=`=:90lj697j;<3b3`<31l16=o>j:5;f?87fn?0?5h521c21>1?b34;i=l4;9d9>5g412=3n70?61787=`=:91ln697j;<3:4d<31l16=4=n:5;f?87>:;0?5h52180f>1?b34;29h4;9d9>5<212=3n70?65387=`=:900l0?5h5218;b>1?b34;2ol4;9d9>51?b34;j?;4;9d9>5d7b2=3n70?n2`87=`=:9h>9697j;<3b0`<31l16=l9=:5;f?87f=h0?5h521`45>1?b34;j4l4;9d9>5d?12=3n70?nb`87=`=:9hk9697j;<3be`<31l16=ljj:5;f?87fk?0?5h521`f1>1?b34;i?>4;9d9>5g262=3n70?76587=`=:91;:5;f?87d:00?5h521b6`>1?b34>:8>4;9d9>04`62=3n70:>d087=`=:<81?b34>9;o4;9d9>077c21k?70<;0e87=`=::oo::5;f?84e0m0?5h522c;:>1?b348io:4;9d9>6gd62=3n70ojj:5;f?84e=m0?5h522c4g>1?b348i;44;9d9>6g242=3n70i99:5;f?84ckl0?5h522d05>1?b348n6a`b2=3n70h=9:5;f?84b??0?5h522d:f>1?b348n9;4;9d9>6`212=3n70j697j;<0ge3<31l16>h6=:5;f?84cj;0?5h522d3b>1?b348::h47a09>67bc21k:70?;dc8;e6=::9=865o<;<031`1?b34;3h94;9d9~w051;3:1;5u2525:>460?27>>i;548g8974>=3>2i63=134907:6e:?70`2=<0o01<785;6:a>;60o;184k4=0cf=?2>m27:5k7548g894g0l3>2i63>b1f909;6:a>;6j;?184k4=0;21?2>m27:4kj548g894?713>2i63>92;90;61=?184k4=0;65?2>m27:5;7548g894??93>2i63>9`790;61k;184k4=0;a`?2>m27:5hj548g894?c=3>2i63>9d390;6i8n184k4=0c1=?2>m27:m9?548g894g3l3>2i63>a639057:6e:?2e33=<0o01;6i0?184k4=0ca=?2>m27:ml?548g894gfl3>2i63>aef90;6j=:184k4=0:6=?2>m27:4;l548g894da93>2i63>c1190;39o:184k4=53g4?2>m27?=;o548g89166m32j863;24d90;3:8i14l:4=32`3?2>m2798=m548g8973e13>2i63=b9290;5jj<184k4=3`a4?2>m279no7548g897d3n3>2i63=be190;5j>2184k4=3`76?2>m279h5;548g897b>=3>2i63=dcf90;5m9n184k4=3fe`?2>m279hhj548g897bcl3>2i63=e7790;5mm279i4j548g897e313>2i63=d`7909;6:a>;59?h14l?4=30ge?>f927:8io58`189760m32j>63=0639o;76n6:?20`c=0h;01<6l1;6:a>;60m9184k4}r701=<72>2p18=9e;3332=:=;ih697j;<01=1<31l16><<::5;f?825kk0?5h5245g0>1?b34;2;94;9d9>5=`72=3n70?ne987=`=:90l3697j;<3b3f<31l16=o>l:5;f?87fn=0?5h521c23>1?b34;i=54;9d9>5g432=3n70?61587=`=:91lh697j;<3:4=<31l16=4=7:5;f?87>:90?5h52180`>1?b34;29n4;9d9>5<232=3n70?65187=`=:90<3697j;<3:<5<31l16=4o;:5;f?87>0j0?5h5218;;>1?b34;2o54;9d9>51?b34;j?94;9d9>5d7d2=3n70?n2987=`=:9h>;697j;<3b0f<31l16=l9?:5;f?87f=10?5h521`47>1?b34;j454;9d9>5d?32=3n70?nb987=`=:9hk;697j;<3bef<31l16=ljl:5;f?87fk=0?5h521`f3>1?b34;i?<4;9d9>5g5a2=3n70?74d87=`=:91=:5;f?87d:>0?5h521b6b>1?b34>:?o4;9d9>04c>2=3n70:>c887=`=:<882697j;<635a1?b34>94n4;9d9>077e21k?70<;0487=`=::oo<:5;f?84e0k0?5h522c;4>1?b348io84;9d9>6gga2=3n70n697j;<0a`7<31l16>ojl:5;f?84e=k0?5h522c4a>1?b348i;:4;9d9>6g262=3n70i9;:5;f?84ckj0?5h522d07>1?b348n6a`d2=3n70h=;:5;f?84b?=0?5h522d:`>1?b348n994;9d9>6`232=3n703697j;<0ge1<31l16>h6?:5;f?84cj90?5h522d3;>1?b34?95<47a29>643b21k:70<=ce8;e4=:9=n265o<;<033f=8n:9c0?873l?03m;5215gg>=g634;3n;4;9d9>5=b52=3n7p}:35f94?1fs4?8:i4>0658904dj3>2i63:2eg90;60ll184k4=0cf3?2>m27:5k9548g894g0j3>2i63>b1`907;6:a>;6j;9184k4=0;27?2>m27:4kl548g894?7?3>2i63>92590;61=9184k4=0;7b?2>m27:5;9548g894?0n3>2i63>9`190;61hl184k4=0;af?2>m27:5hl548g894?c;3>2i63>9ed90;6i8h184k4=0c13?2>m27:m>h548g894g3j3>2i63>a7d90;7:6e:?2e35=<0o01;6i09184k4=0ca3?2>m27:m4h548g894gfj3>2i63>ae`90;6j:o184k4=0:7=?2>m27:4;9548g894dd=3>2i63>bgd90;39l2184k4=53`m27?=;l548g89166>32j863;24f907:6e:?76=d=<0o019<8a;6:a>;3:8k14l:4=32`=?2>m2798=:548g8973e?3>2i63=b6g907:6e:?1f=g=<0o01?l66;6:a>;5jj>184k4=3`ba?2>m279no9548g897d3l3>2i63=be390;5j><184k4=3`74?2>m279h5=548g897b>;3>2i63=dc`90;5m9h184k4=3fef?2>m279hhl548g897bcj3>2i63=e7190;5m<9184k4=3g77?2>m279i4l548g897e3?3>2i63=d`1907;6:a>;2:0814l<4=336`?>f9279>nm58`389144?32j=63>4e:9;6f>27:4o=548g894>dn3>2i6s|5355>5<5nr7>>5;51154?85fk<03m?523`a4>=g5349jnn47a09>5`bd21k970=nbg8;e0=:;hhj65o;;<1bg6=g634?9>?47a09>174421k:70;=258;e4=:=;8>65o>;<7162=g634?9>i47a09>175621k:70;=338;e4=:=;;365o>;<71a4525302>=g534?9=o47a39>174b21k970;=368;e7=:=;9i65o=;<717`:9c1?835;j03m?525313>=g634?98i47a09>173621k:70;=548;e4=:=;?265o>;<711a:9c2?835><03m<525365>=g634?98l47a09>7de621k970=i258;e6=:;o8<65o<;|q6623=83?:w0;=8582421<5:k9<7??a99>7d5?28:j4630`:896g303;;m5523`6:>46f0278m9o511c;?85f;4i=o1==o7;<1b7d<68h201>on8:?0e6b=99k370=n3d824d><5:k8j7??a99>7d2728:j4630`:896g3;3;;m5523`66>46f0278m98511c;?85f<>0:f9278mn958`3896gek32j>63>eea9ol3;:b0>;2:?<14l?4=402e?>f=27>>;2:;314l;4=4012?>f=27>>?l58`789045l32j963:223976n5:?664>=0h?018;2:8?14l<4=3a74?>f927>>??58`389046j32j=63:23g9;2:=;14l?4=400g?>f927>>9m58`689043l32j863:2429=76n4:?6602=0h>018<:5;:b0>;2:<214l:4=406=?>f<27>>8m58`689042l32j863:2729018<95;:b0>;2:=?14l:4=4072?>f<278mn?58`189045k32j=63:2229h=4;:b0>;4n;=14l:4}r7131<721np18<73;3332=:=;;;65o>;<1bf7=g6349jn;47a09>7dd021k:70=nb98;e4=:;hk365o>;<1bg0=g3349jnk47a29>7ddf21k870=nc28;e7=:=;<=65o=;<715d=g334?9>947a59>174221k?70;=268;e1=:=;8265o;;<7163=g334?9??47a59>177?21k?70;=e08;e7=:=;;>65o>;<0`05=g634?98?47a09>175c21k:70;=2b8;e6=:=;>h65o<;<710a525377>=g434?99847a29>173?21k870;=588;e6=:=;?h65o<;<711a803m>525347>=g434?9:847a29>172221k870;=478;e6=:=;>265o<;<717<=g6349jm:47a59>7dg221k?70=na28;e1=:;hk;65o;;<1b=c=g6349jml47a09>7dg621k:70=n998;e4=:;h2o65o>;<1b<4=g6349j4=47a09>7d0121k:70=n518;e4=:;h>m65o>;<1be3=g6349j4h47a09>7d?b21k:70=n9e8;e4=:;h3j65o>;<1b=<=g6349j5947a09>7d?421k:70=n938;e4=:;h3:65o>;<1b=5:9c2?85fj903m<523`:4>=g5349j4847a39>7d0a21k970=n6e8;e7=:;h<365o=;<1b20803m?523`7e>=g5349j9i47a39>7d3e21k970=n588;e7=:;h?<65o=;<1b1046f0278m8?511c;?8358j0:f9278m:k58`3896g0i32j=63=0h;01>o84;:b5>;4i>?14l?4=2c45?>f9278m:<58`389046n32j>63:2329on9;:b7>;4ij;14l;4=4004?>f=27>>9o58`7896g?k32j?637dd521k970=nb28;e7=:;hh?65o=;<1bf003m?523``;>=g5349jm547a39>7dg>21k970=nc48;e6=:;hi<65o<;<1bff=g6349jo>47a09>170121k>70;=1`8;e6=:=;;n65o<;<7167525306>=g434?9>:47a29>174>21k870;=278;e6=:=;8i65o<;<716a:9c0?835;;03m>52533;>=g434?9i<47a49>177221k870=g534?9>n47a39>172d21k970;=4e8;e0=:=;?;65o=;<7114=g534?99447a49>173d21k970;=5e8;e0=:=;<;65o=;<7124<03m8525366>=g534?98;47a49>172>21k970;=388;e4=:;h3h65o=;<1bi:9c1?85fi>03m>523`c6>=g4349jm>47a29>7dg721k870=n9g8;e6=:;hkn65o>;<1bef=g5349j5547a39>7d>c21k970=n808;e7=:;h=m65o=;<1b<6=g5349j9=47a39>7d2a21k970=na78;e7=:;hk?65o=;<1be7=g5349j5h47a39>7d?c21k970=n9`8;e7=:;h3265o=;<1b=2=g5349j5>47a39>7d?521k970=n908;e7=:;h3;65o=;<1bf403m<523`:6>=g6349j:k47a09>7d0c21k:70=n698;e4=:;h<>65o>;<1b26:9c2?85f=o03m<523`7g>=g6349j9o47a09>7d3>21k:70=n568;e4=:;h?>65o>;<1bh03m<523`4a>=g6349j9?47a09>7d3421k:70;=0e8;e4=:=;:n65o>;<1b3f<68h201>o89;33e==:;h==6<>n8:?0e25=99k370=n71824d><5<8:j76n1:?6676=0h;018<<5;:b6>;2::<14l?4=2c`5?>f<27>>>>58`689043i32j8632}:=;=m6<>87:?231d=0h901<9m1;:b5>;60=i14l;4=0gga?4b127:ih?52d;89412?32j>6s|535f>5<0s4?94n4>06589413j32j=63>7c39;6?<=14l?4}r713a<72;np18<7b;3332=:;o?<65o>;<1e1==g6349m>547a09>7c4>21k:70=i2d8;e4=:;o9;65o>;<1e76=g6349m?:47a09>7c5>21k:70=i3c8;e4=:;o9o65o>;<1e07=g6349m?n47a09>7c4c21k:70=i408;e4=:;o?=65o>;<1bec=g134?9=947a29>7c5621k970=i338;e4=:<8ln65o>;<1b3`=g5349j;?47a39>5`bb2;o<70?je081a2=:;o8>65o=;<1e62;6?=k14l:4=0566?>f<27:;8>58`789412<32j?63>7449o76n4:?230g=0h?01<9:e;:b7>;6??:14l:4=0550?>f:27:;;<58`189411>32j963>77:9;6?>:14l:4=0540?>f:27:;:<58`189410>32j963>76:9;6?1:14l<4=05;0?>f:27:;5<58`78941?>32j>63>79:9;6?k:14l:4=05a0?>f=27:;4>58`08941><32j863>7809=0h801<96c;:b0>;6?0k14l:4=05:a?>f<27:;l>58`68941f<32j863>7`09=0h>01<9nc;:b0>;6?hk14l:4=05ba?>f<278jk958`08916dj32j963;0e19;3:k;14l?4=507f?>f;27?>9758`189144k32j=63;2259;38jn14l84=5071?>f927?>o=58`389142932j>63;0e59;39ol14l?4=0gga?4a<27:ih?52g68916d>32j?63;0ef9=g434;<9?47a29>523721k?70?8558;e7=:9>?=65o<;<341f=g434;<:947a09>520521k970?8678;e7=:9><365o<;<342fl03m?521653>=g534;<;947a49>521521k?70?8778;e6=:9>=365o=;<343f5216:3>=g234;<4947a29>52>521k870?8878;e6=:9>2365o:;<345216`3>=g434;52?721k?70?8958;e7=:9>3965o<;<34=3=g434;<5h47a49>52g721k970?8a58;e7=:9>k965o:;<34e3=g234;6`b621k:70hk=:9c7?84bm=03m9522dg5>=g3348ni547a59>6`cf21k?70;<0f`<521dg2>7`4349mjk47a09>075>21k?7p}:26c94??3s4?9454>06589416>3;;m5521634>46f027:;;6?;91==o7;<3461<68h201<9>8;33e==:9>;26<>n8:?234g=99k370?81c824d><58=:o7??a99>527c28:j463>70g955g?34;<8l47a39>523521k:70?8518;e6=:9>??65o>;<341352167f>=g634;<:=47a39>520321k?70?8638;e1=:9><=65o;;<342=h03m952164f>=g334;<;=47a29>521321k:70?8738;e7=:9>==65o=;<343==g534;<4=47a59>52>321k:70?8838;e1=:9>2=65o>;<34<==g634;52d321k870?8918;e6=:9>3?65o>;<34=75216;`>=g634;<5l47a39>52?b21k970?8a18;e0=:9>k?65o>;<34e7=g634;52gb21k:70?7d08;e4=:9j8=65o=;<3;0g=g634;h<<47a59>5=d221k:70<6eg8;e1=::0l;65o;;<0:`<4jk:9c2?84>m003m95228gg>=g23482ho47a09>64k::9c1?84>m803m>5228g0>=g4348h?l47a09>6f5>21k:70;<0`73hj>:9c0?84bkj03m9522da7>=g3348nn<47a49>6`df21k870hl;:9c6?84bjj03m>522dfg>=g3348no?47a59>6`e121k?70hm7:9c7?8709=03m<521631>=g634;<==47a09>526b21k:70?80b8;e4=:9>:j65o>;<344=9:9c2?8708:03m<521622>=g634;=jk47a09>53`c21k:70?9fc8;e4=:9?lj65o>;<04`a:jj:9c2?840l003m?5226fb>=g6348nhh47a29>6`c721k870hk7:9c0?84bmh03m>522dg`>=g4348nhl47a29>6`b>21k970=n6c8;e6=:;h?865o<;<714`:k>:9c0?840m;03m?5240de>=g234;nhh4=f39>5`c62;l970=ifg8;e6=:<;9j65o=;|q662?=83=mw0;=8682421<5;82h7:6e:?157c=<0o01<9<7;:b5>;6?:214l?4=0575?>f927:;9<58`389413;32j=63>7569;6?=214l?4=050=?>f927:;>o58`389414j32j=63>72a9;6?=:14l?4=40:`?>f:27>>4758`18904>j32j=63:28d9;6?k;14l<4=0:g5?>f<27:o?858`1894>3j32j863>bb59;60k?14l;4=2deb?>f:27:9=758`789437932j863>4gg9mh76n2:?20ce=0h801<:ib;:b6>;6f:27:8k658`08942a?32j>63>4g49m976n2:?20c2=0h801<:i3;:b6>;6f:27:8hh58`08942a832j>63=9dd9;51l314l=4=3;f`?>f:2795il58`1897?bj32j?63=9d5976n4:?1=a`=0h?01?7j5;:b5>;51l;14l<4=3;f7?>f:279o>o58`0897e4132j>63=c2:9;5mji14l=4=3g`0?>f:279io?58`1897cei32j863=ecg9=0h>01?km4;:b5>;5mki14l:4=3gg`?>f:279in<58`1897cd>32j>63=ebg9;6?8>14l=4=0526?>f;27:;<>58`189417m32j?63>71a9=0h901<9?6;:b7>;6?9914l=4=0535?>f;27::kh58`18940al32j?63>6g`9;5?m314l=4=35ge?>f;279iih58`3897cb932j=63=ed19;5mlh14l?4=3gf`?>f9279iio58`0897cc132j863:2d19;6mmo1>k?4=0gf5?4a927?>>o58`38yv35?10;6<>?{<71<3<68>=01?<6c;6:a>;59;n184k4=0511?>f:27:;?858`089415n32j>63>7229;6?:>14l<4=0501?>f:27:;>858`089415?32j>63>73:9;6?;i14l<4=051`?>f:27:;?k58`08971b;32j>63=7d:901?9j4;:b6>;5?lk14l<4=35ff?>f:279;k=58`68971b=32j>63=7da901?9j6;:b6>;5?lo14l<4=35fb?>f:279;k;58`68971b?32j>63=7g29;2:0314l<4=40:f?>f:27>>4h58`08904>932j>63>75c9>76n2:?2306=0h;01<9:4;:b0>;6?<<14l?4=056g?>f:27:;8o58`389412m32j863>7729;6??214l?4=055g?>f=27:;;o58`389411m32j?63>7629;6?>214l?4=054g?>f<27:;:o58`389410m32j863>7929;6?1214l?4=05;g?>f<27:;5o58`08941?m32j863>7c29;6?0814l?4=05:2?>f;27:;4658`38941>k32j963>78c9;6?h814l<4=05b2?>f;27:;l658`38941fk32j?63>7`c9;60=h14l<4=0``3?>f927:nnm58`7894>1132j>63>c139h:8;:b6>;4n<314l<4=2d6e?>f:278j8l58`0896`5032j>63h<3;:b6>;4n:>14l<4=2d01?>f:278j>858`0896`4?32j>63h;2;:b6>;4n=914l<4=2d67?>f:278jk958`18916dj32j863;0e19;3:k;14l<4=507f?>f927?>9758`089144k32j863;2259;51o:14l=4=3;g=?>f92795ij58`6897?b132j=63=9df9;51o814l?4=3;gb?>f:2795h;58`7897?b932j=63=9d1976n3:?1aa0=0h801?kk8;:b6>;5mm>14l?4=3g`g?>f9279in:58`3897ce932j=63=ecc901?km8;:b5>;5mk>14l:4=3gag?>f9279iij58`3897cd:32j>63=eb49;5mj214l=4=402g?>f<27>>?h58`689044n32j863:2509;2:<>14l;4=406f=27>>8m58`789042n32j=63:27193;:b5>;6?8;14l?4=053b?>f927:;=j58`389417j32j=63>71;9;6?9:14l?4=04ea?>f927::km58`389417=32j=63h;4n=:14l?4=2d71?>f:278j9858`3896`3032j>63h;e;:b6>;4n=l14l?4=2d65?>f:278j8<58`3896`2>32j863=7ed901?kj1;:b0>;5ml914l:4=3gf1?>f<279ih958`6897cb132j863=ed`9;2::k14l<4=40f7?>f>278mlh58`0896gfl32j>63;22`9ld;:b1>;3:=?14l;4=50a7?>f:27?>8?58`18916c?32j?63;0bd9<5=8?;76n5:?75cc=0h>018<>f;:b7>;2:;:14l=4=4001?>f=27>>>858`1894ccm38m<63>ed396c6<5<89o76n4:?661?=0h>01>h;4nf;27?0;6<6i{<71<4<68>=01<9=5;:b5>;6?:=14l<4=0512?>f927:;>658`089415n32j=63>7539;6?=914l<4=0506?>f927:;9:58`089414;32j=63>7579;6?==14l?4=0502?>f927:;9658`089415?32j=63>72;9;6?:h14l<4=051e?>f927:;>m58`089415j32j=63>72f9;6?:l14l<4=051a?>f927:;9>58`08971b;32j=63=7d:9;5?lk14l?4=35ff?>f9279;k=58`08971b=32j=63=7da9;5?lo14l?4=35fb?>f9279;k;58`08971b?32j863=7g29;2:0314l?4=40:f?>f;27>>4h58`18904>932j:63=29c9;598h14l=4=3327?>f:279=32j=63=1059ii76n1:?7736=0h901<9;a;:b1>;6?<814l;4=0564?>f:27:;8:58`789412>32j963>74a9m76n2:?230c=0h?01<990;:b1>;6??>14l=4=0556?>f=27:;;858`389411032j963>77a9;6?>>14l=4=0546?>f=27:;:858`389410032j963>76a9;6?1>14l;4=05;6?>f927:;5858`78941?032j?63>79a9;6?k>14l<4=05:4?>f=27:;4:58`18941>:32j963>7849;6?0o14l?4=05b4?>f;27:;l:58`78941f:32j=63>7`49;6?ho14l;4=0:g5?>f:27:o?858`3894>3j32j?63>bb59;60k?14l=4=2d63?>f;278j8658`1896`2132j?63n76n3:?0b7>=0h901>h=9;:b7>;4n;o14l=4=2d04?>f;278j>=58`1896`4<32j?63h<9;:b7>;4n:h14l=4=2d0`?>f;278j9<58`1896`3;32j?63k3;:b5>;38m?14l<4=5037?>f;27?>=;58`38914e932j?63;25`9;580814l?4=325g?>f;27:9=m58`089437932j963>4gg9mh76n1:?20ce=0h;01<:ib;:b5>;6f927:8k658`38942a?32j=63>4g49m976n1:?20c2=0h;01<:i3;:b5>;6f927:8hh58`38942a832j=63=5819?=76n3:?1=``=0h;01?7i0;:b5>;51m314l;4=3;g`?>f:2795h758`0897?bl32j=63=9e`9;51ml14l?4=3;f1?>f<2795h?58`6897?b;32j=63=ee09=0h;01?kk4;:b6>;5mji14l<4=3g`0?>f;279io?58`6897cei32j=63=ecg9=0h901?km4;:b7>;5mki14l<4=3gg`?>f;279in<58`3897cd>32j?63=ebg9;2:8i14l;4=401b?>f=27>>>h58`789043:32j963:22f9;2:<914l?4=4063?>f927>>8l58`389041832j:63:2769=0h;01<9>5;:b6>;6?8>14l<4=0527?>f:27:;<<58`089416932j>63>7029;6?9i14l<4=053f?>f:27:;=o58`089417132j>63>71:9;6?9914l<4=0536?>f:27:;=?58`089417832j>63>6gd9;6>oh14l<4=0531?>f:27::ko58`0896`4k32j?63h;0;:b7>;4n=?14l?4=2d72?>f;278j9658`3896`3132j?63h;f;:b7>;4n<;14l?4=2d66?>f;278j8858`18971cl32j=63=7ed9;5ml;14l<4=3gf7?>f:279ih;58`0897cb?32j>63=ed;9;2::k14l?4=2c:g?>f;278m5h58`189047n32j?63on0;:b5>;4i0l14l?4=40f7?>f=278mlk58`6896gfk32j863o68;:b2>;4i1n14l84=2c;5?>f<278m:h58`6896g?;32j86301>o:0;:b0>;4i=l14l:4=2cb2?>f<278ml:58`6896gf:32j863o6d;:b1>;4i0k14l;4=2c:=?>f=278m4958`7896g>>32j963o62;:b1>;4i0;14l;4=2c:4?>f=278mo?58`7896ge832j963o9d;:b7>;4i?214l=4=2c51?>f;278m;=58`1896g1932j?63h76n3:?0e0d=0h901>o:9;:b7>;4i<=14l=4=2c61?>f;278m5l58`1896g?132j?63;22`9;3:9h14l;4=52``?>f;27?>9;58`48914e;32j?63;2439;5;o814l=4=52g3?>f<27?;598l14l84=2c5e?>f:278m8<58`089047l32j>63=7d3976n1:?0b67=0h;01>h<2;:b7>;3:==14l=4=53ea?>f:278m:j58`1896g0m32j:63o88;:b2>;4i>>14l=4=2c41?>f>278m:?58`1896g0:32j:63:20f955g?34?9?94>0`:894ccm38nj63>ed396``<5:l8m76n6:?0b03=0h<01>o7c;:b0>;38j214l?4=52gb?>f92wx8o9?:18082e1;0:<:94=0a;0?>f;27?n4=58`38yv2e>o0;69u24c;3>460?27:o5=58`1891ddk3?o?63;b839i:h4?:5y>0g>b28:<;63>c9091}:87:?2g=6=0h9019llc;7g5>;3j1i14l?4}r6a2f<72=q68o66:0243>;6k0>14l=4=5``g?3c827?n5o58`38yv2e>k0;69u24c:4>460?27:o4=58`1891ddk3?hj63;b9:9i:l4?:5y>0g>228:<;63>c8091}:87:?2g<7=0h9019llc;7``>;3j1>14l?4}r6a2=<72=q68o6>:0243>;6k0:14l=4=5``g?3dk27?n5<58`38yv2e>>0;69u24c5e>460?27:o5h58`1891ddk3?h863;b929i:;4?:8y>0g1c28:<;63;bb79;3j:81>hl4=5`1g?4bj27?n?752d`891d5n38nn6s|4c46>5i;o4>065891dd;32j=63;b119;6ml;1>o?4=5`06?4b127?n?m52d;891d5138n563;b3d96`?i:94?:cy>0g1>28:<;63;bb3976n2:?2g=7=0h9019l8a;:b6>;6mmo1>lh4=0gf5?4fn27?n><52d:891d5k38n463;b3;96`><5=h9j7;3jh814l<4=0gga?4fm27:ih?52`g891d4:38n;63;b3a96`1<5=h957g}:87:?7fgb=0h;019l?0;:b6>;6k1h14l=4=5`b4?>f:27:iik52`f894cb938jh63;b2096c2<5=h9o7019l=f;0e0>{t=019lmb;:b5>;3j9k14l<4=0a;e?>f;27?n4k58`0894ccm38im63>ed396gg<5=h8>7;3j;l1>k=4}r6a30<72kq68o7m:0243>;3jk314l?4=5`3=?>f:27:o5758`1891d>k32j>63>eeg96g?<58on=7;3j;31>k<4=5`1b?4a:2wx8o;::18582e=h0:<:94=5cea?>f>27?mkj58`0894e?032j?63>eeg96g><58on=7;6k1=14l=4=0gga?4e?27:ih?52c58yv2e=:0;65u24c7;>460?27?mkk58`6891gal32j863;ag`9;6ml;1>o84}r6a17<720q68o;8:0243>;3ioo14l=4=5ce`?>f;27?mkl58`0891gak32j?63;agc9{t=;nn6=4=7z?66ac=99=<70?;898;e4=:9=k965o>;<37e4=g634;?5n47a09>51?e21k:70?;9`8;e4=:9=3265o>;<37===g634;?5>47a09>51?521k:70?;908;e4=:9=3;65o>;<37=g634;?4o47a09>51>>21k:70?;a98;e4=:9=k<65o>;<37e3=g634;?m=47a09>51?221k:70?;8`8;e4=:9lnj65o;;<6a50521df;>=g43ty?n>h50;7x91d4n3;;;:521dfb>=g434;h5847a49>5f?b21k970?jd98;e1=z{=om;7>53z?0`a2=<0o018=76;6:a>;3n821==98;|q7ac0=839p1>jk3;6:a>;2;1?184k4=5d23?77?>1v9ki4;297~;4lm;184k4=41;7?2>m27?j<;51154?xu3mo91<7=t=2fg4?2>m27>?5<548g891`6<3;;;:5rs5ge6?6=;r78hnh548g8905?93>2i63;f01955103ty?ik?50;1x96bdm3>2i63:392907??769~w1ca83:1?v30;3332=z{=oni7>53z?0`fd=<0o018=8d;6:a>;3n9l1==98;|q7a`b=839p1>jla;6:a>;2;>i184k4=5d3a?77?>1v9kjc;297~;4lj3184k4=414f?2>m27?j=j51154?xu3mlh1<7=t=2f`m27>?:o548g891`7k3;;;:5rs5gf=?6=;r78hn8548g8905003>2i63;f1c955103ty?ih650;1x96bd=3>2i63:36590=99=<7p};ed494?5|5:nh?7:6e:?6723=<0o019h?7;3332=z{=on97>53z?0`f4=<0o018=84;6:a>;3n9<1==98;|q7a`2=839p1>jl1;6:a>;2;>9184k4=5d31?77?>1v9kj3;297~;4lj:184k4=4146?2>m27?j=:51154?xu3ml81<7=t=2fab?2>m27>?:?548g891`7;3;;;:5rs5gf5?6=;r78hok548g8905083>2i63;f10955103ty?ih>50;1x96bel3>2i63:37d90d;3332=z{=omo7>53z?0`a>=<0o018=7a;6:a>;3n8i1==98;|q7acd=839p1>jk7;6:a>;2;13184k4=5d2f?77?>1v9kia;297~;4lm<184k4=41;m27?jm27>?59548g891`613;;;:5rs5ge2i63;f04955103ty?ik;50;1x96bd?3>2i63:36;9052z?0`<5=<0o01{t;o:j6=4={<1g=7<31l16=n6<:c:a?xu4n931<7m27:o5<5b9`8yv5a810;6?u23e:e>1?b34;h4=4m8c9~w6`7?3:1>v3j7c;6:a>;6k081n5l4}r1e41<72;q6?i6m:5;f?87d180i4o5rs2d37?6=:r78h5o548g894e>83h3n6s|3g21>5<5s49o444;9d9>5f>a2k2i7p}7}:;m2<697j;<3`kif;296~;4l1<184k4=0a;5?d?j2wx?k?<:18185c1h0?5h521b:`>g>e3ty8j<<50;0x96b>13>2i63>c9`9f=d7a??2=3n70?l8`8a52z?0`<1=<0o01{t;o:m6=4={<1g=3<31l16=n67:c:a?xu4n9o1<7m27:o595b9`8yv5a8m0;6?u23e;7>1?b34;h4;4m8c9~w6`7k3:1>v3jj1;6:a>;6k021n5l4}r1e53<72;q6?ik?:5;f?87d1>0i4o5rs2d21?6=:r78hih548g894e>>3h3n6s|3g3a>5<3s49h8i47a09>5f?e2k2i70=l4c8;e1=:;j=865o9;|q0b42=83?p1>m;d;:b0>;4k=h14l=4=2a47?>f<27:o4;5b9`896e6m32j?6s|3g3b>5<2s49h8i47a29>7f2e21k970=l728;e0=:9j3j6o6m;<1`5`0gd3ty:j?3h3n63;b2091d>51?12k2i70:m3386=a=z{8l:n7>52z?20<2=j1h019l<2;7:6>{t9o;j6=4={<37=6g>e34>i>k4:ag9~w4`6?3:1>v3>4829f=d<5=h9j7;ne:p5c712909w0?;8g8a;3j;l19lm4}r3e51<72;q6=96k:c:a?82e:o0>m55rs0d26?6=:r7:85l5b9`891d5n3?2h6s|1g32>5<5s4;?444m8c9>0g4a2<397p}>f0294?4|58>j47l7b:?7f7e==lk0q~?i0g83>7}:9=k<6o6m;<6a6f<2j91vk:181873i<0i4o524c0`>0gb3ty:j=m50;0x942f<3h3n63;b3a91db51g42k2i70:m2b86ef=z{8l;m7>52z?20d6=j1h019l=c;7b<>{t9o:26=4={<37=0g>e34>i>44:e`9~w4`5=3:1>v3>48d9f=d<5=h957;m0:p5c432909w0?;9d8a;3j;319lk4}r3e67<72;q6=97l:c:a?82e:00>mi5rs0d15?6=:r7:84l5b9`891d513?jo6s|1g3f>5<5s4;?554m8c9>0g4>2f0194?4|58>3o7l7b:?7f7?==0n0q~?i0983>7}:9=2j6o6m;<6a6<<21;1vj:9c2?82e;;0:=;64=5`06?76>0168o==:035e>;3j:81=<8l;<6a6f<69?2019l=c;322<=:<5=h957?>689>0g4>28;=m63;b3;9540d34>i>k4>17:891d5n3;::4524c0e>471i27?n?h5104`?xu4mm=1<7=t=2ge16=97n:9c1?82?lh03m95rs2gg2?6=;r78ik951154?8731003m?5249ab>=g33ty8ii:50;1x96ca=3;;;:5215;4>=g534>j;;47a59~w6cc;3:1?v30d0f21k?7p}51?321k970:n5d8;e1=z{:oo=7>53z?0ac4=99=<70?;928;e7=:ki1;3332=:9=3965o=;<6b03klf;297~;4mo:1==98;<37=416=97?:9c1?82f:l03m95rs2g``?6=;r78ihk51154?8730o03m?524`00>=g33ty8inm50;1x96cbl3;;;:5215:f>=g534>j=;47a59~w6cdj3:1?v30d6121k?7p}51>e21k970:6e88;e1=z{:oh47>53z?0a`?=99=<70?;888;e7=:<0ii65o;;|q0af1=839p1>kj8;3332=:9=k365o=;<6:f`kl6;297~;4ml=1==98;<37e216=9o9:9c1?82>1o03m95rs2g`0?6=;r78ih;51154?873i<03m?5248;1>=g33ty8in=50;1x96cb<3;;;:5215c7>=g534>24?47a79~w6cd:3:1?v347a39>0<1?21k?7p}7??769>51g721k970:66c8;e1=z{:oh<7>53z?0a`7=99=<70?;948;e7=:<1ki65o;;|q0aac=839p1>kie;3332=:9=k965o=;<6:1`kkd;297~;4mon1==98;<37e416=97i:9c1?82>;103m95rs2ggf?6=;r78ikl51154?8731l03m?52483f>=g33ty8iio50;1x96cai3;;;:5215;g>=g534>20=`d21k?7p}51?e21k970:7ee8;e1=z{:oo97>53z?0a`d=99=<70?;998;e7=:<1hn65o;;|q0afg=839p1>kj0;3332=:9=2h65o=;<6:b<kmf;297~;4mml1==98;<3716=n6;:9c1?xu3mk?1<716=n6<:9c1?xu3mk>1<716=n6=:9c1?xu3mk91<716=n6?:9c1?xu3mk81<716=n7;:9c1?xu3mk;1<716=n7<:9c1?xu3mk:1<716=n7=:9c1?xu3mhl1<716=n7>:9c1?xu3mho1<716=n7?:9c1?xu3mhn1<716=n6i:9c1?xu3mhi1<716=n6j:9c1?xu3mhh1<7=t=40;4?2>m27?in;51154?87d0m03m?5rs5gbe?6=;r7>>:h548g891cd<3;;;:521b:2>=g53ty?in=50;1x904?k3>2i63;eeg9551034;h4n47a39~w1cd:3:1?v3:29`905f>e21k97p};eb394?5|5<83m7:6e:?7aae=99=<70?l8`8;e7=z{=oh<7>53z?66=?=<0o019kkb;3332=:9j2265o=;|q7ag`=839p18<78;6:a>;3mmk1==98;<3`<=16=n68:9c1?xu3mkn1<7=t=40;2?2>m27?ii651154?87d0?03m?5rs5gag?6=;r7>>5?548g891cdm3;;;:521b:6>=g53ty?j?<50;0x91`5>3;;;:521b;:>=g53ty?j??50;0x91`5=3;;;:521b;;>=g53ty?j?>50;0x91`5<3;;;:521b;4>=g53ty?j=g53ty?j?950;:x905713>2i63;f359551034?9;=47a09>0`g>21k:70:i288;e1=:9j3i65o=;<6fe0f;27:ih?56508yv7bnk0;6?u215;:>=g434;ni<49409~w4ca13:1>v3>4859;6ml;1:8k4}r3fb3<72;q6=97<:9c0?87bm80=9i5rs0ge1?6=:r7:84<58`1894cb93<>o6s|1dd7>5<5s4;?5<47a29>5`c62??i7p}>eg194?4|58>2<76n3:?2a`7=>7}:9=2m65o<;<3fa4<1=01v521dg2>3333ty:ihk50;0x942?j32j?63>ed3921?51>>21k870?je0857`=z{8ono7>52z?20d>=0h901{t9loi6=4={<37e2f;27:iik56568yv7bm00;6?u215c6>=g434;nhh49429~w4cb03:1>v3>4`69;|q2a`0=838p1<:n0;:b7>;6mmo1:9>4}r3fa0<72;q6=97::9c0?87bll0=?k5rs0d37?6=:r7:8l<58`1894ccm3<>i6s|1g21>5<5s4;?m<47a29>5`bb2??o7p}>f1394?4|58>2j76n3:?2aac=>7}:9=3n65o<;<3f``<1=k1v521dff>33>3ty:ikj50;0x942>j32j?63>eeg920>51??21k870?jdd8511=z{8onj7>52z?20=e=0h901{t9lo?6=4={<37f>27:ih?56`58yv5fm00;6?u25312>=g134;ni<49a79~w6gb?3:1>v3:23f9;6ml;1:n=4}r1ba1<72;q69?<8:9c5?87bm80=o?5rs2cf7?6=:r7>>?858`4894cb935<5s4?9>847a79>5`c62?i;7p}kl0q~=ne183>7}:=;8865o9;<3fa4<1jl1v>okf;296~;2:;814l84=0gf5?0el2wx?ljj:1818359l03m;521dg2>3d>3ty8mim50;0x9046i32j:63>ed392dc177?21k=70?je085e6=z{:kom7>52z?6633=0h<01{t;hn26=4={<7124f>27:iik56`;8yv5fl>0;6?u2537:>=g134;nhh49a99~w6gc>3:1>v3:2479;6mmo1:l;4}r1b`6<72;q6=hjj:7c7?835;903m>5rs2ce5?6=:r7:iik56b189043i32j?6s|3`d3>5<5s4?98;47a79>5`bb2?i97p}j;0q~=ned83>7}:;hh<65o;;<3f``<1k91v>ojd;296~;4ik<14l:4=0gga?0en2wx?lkl:18185fj<03m9521dff>3db3ty8mhl50;0x96ge<32j863>eeg92gb7dd421k?70?jdd85f<=z{:koh7>52z?0eg4=0h>01{t;hn96=4={<7155f:27:ii;51154?xu6mm<1<7=t=4027?>f927:ii851154?87bl>03m<5rs2c`=?6=:r79=<95b9`896gf132j86s|3`a;>5<5s49jm547a79>64712k2i7p}=12394?4|5;;8=7??769>177421k87p}=14`94?4|58o8m76n0:?150d=99=<7p}=26g94?4|58o8:76n0:?162c=99=<7p};4dc94?4|58o8=76n0:?70`g=99=<7p}0q~=m0783>7}:9>::6o6m;<1b=al?5;296~;6>ol1n5l4=2c:e?>f<2wx?o>;:181871nm0i4o523`;:>=g33ty8n==50;0x940aj3h3n6352732k2i70=n978;e1=z{:h;=7>52z?2344=j1h01>o65;:b0>{t;k:;6=4={<3455g>e349j5?47a59~w6gal3:1>v3>71c9f=d<5:k2=76n4:p7d`d2909w0?8098a;4ik;14l:4}r1bbd<72;q6=;hn:c:a?85fj903m95rs2ce=?6=:r7:;?:5b9`896gfm32j?6s|3`d;>5<5s4;<>>4m8c9>7dgd21k87p}7l7b:?0edd=0h>0q~=nf783>7}:9>8:6o6m;<1bedoi5;296~;6?;:1n5l4=2cb5?>f<2wx?lh;:1818709o0i4o523`;;>=g33ty8mk=50;0x9416?3h3n6352712k2i70=n8b8;e0=z{:h;i7>52z?234c=j1h01>on9;:b1>{t;k:o6=4={<1be=g>e349j;l47a49~w6d7i3:1>v3>70c9f=d<5:k<;76n5:p7g6>2909w0?8188a=838p1<9>8;`;f>;4i>;14l;4}r3`37<72;q6>>>m:5;f?87d=?0i4o5rs0a47?6=58`1894e113h3n63=4ed92h76n2:p52c72909w0?j398;e5=:9>o;6<>87:p52c62909w0?j328;e5=:9>o:6<>87:p5=>62908w0?8368;e6=:91h86<>87:?25=2=99l=7p}>89a94?5|58=?476n3:?298824c0525>21k870?7c082421<58;2o7??f79~w4>f:3:1?v3>72c954?a28:m:6s|19cg>5<4s4;5=b>28:<;63>1`0955`13ty:4lk50;1x9414k32j?63>8d69551034;:m84>0g48yv7?io0;6>u2161g>=g434;3i84>0658947f03;;j;5rs0:a4?6=;r7:;>k58`1894>b>3;;;:5210ca>46a>2wx=5l>:180870;o03m>5219g4>460?27:=lk511d5?xu60k81<7=t=0574?>f;27:4h651154?876j80:0:<:94=03;3?77n?1v<673;297~;6?=;14l=4=0:a16=<6n:02e2>{t912?6=4<{<3407;691n1==h9;|q2<=3=839p1<9;3;:b7>;60kk1==98;<32=5<68o<0q~?78783>6}:9>>?65o<;<3;fg<68>=0153z?2313=0h901<6mc;3332=:983=6<>i6:p5=>?2908w0?8478;e7=:91ho6<>87:?25f3=99l=7p}>89;94?5|58=?;76n2:?2d`824c053`f21k>70?7bg82421<58;oh7??f79~w4>?j3:1?v3>714954c728:m:6s|19:g>5<4s4;<<547a49>5=e528:<;63>1d1955`13ty:45k50;1x9417i32j963>8b19551034;:i;4>0g48yv7?0o0;6>u2162`>=g234;3o94>0658947b13;;j;5rs0::4?6=;r7:;=k58`7894>d=3;;;:5210g`>46a>2wx=57>:1808709903m85219a5>460?27:=hh511d5?xu60081<7=t=0526?>f=27:4n951154?876n;0:oh14l;4=0:`=?77?>16={t913>6=4<{<35ba;69jo1==h9;|q2<<0=839p1<8if;:b1>;60jh1==98;<32`4<68o<0q~?79983>6}:9>::65o:;<3;ga<68>=0153z?2355=0h?01<6le;3332=:98n<6<>i6:p5=?f2908w0?8368;e1=:91im6<>87:?2650=99l=7p}>88`94?5|58=?476n4:?2525>21k?70?7d282421<588:i7??f79~w4>>l3:1?v3>72c9574628:m:6s|19;f>5<4s4;5=b228:<;63>236955`13ty:44h50;1x9414k32j863>8e49551034;9>:4>0g48yv7?i90;6>u2161g>=g334;3h:4>06589445i3;;j;5rs0:b5?6=;r7:;>k58`6894>c03;;;:52130g>46a>2wx=5o<:180870;o03m95219fb>460?27:>>>511d5?xu60h>1<7=t=0574?>f<27:4il51154?875;:0:16=?>l:02e2>{t91k<6=4<{<3407;6:9l1==h9;|q2=839p1<9;3;:b0>;60ml1==98;<3157<68o<0q~?7a883>6}:9>>?65o;;<3;a5<68>=01<<>5;33b3=z{82jm7>53z?2313=0h>01<6j1;3332=:9;;36<>i6:p7g422909w0=i428ah;2;`;f>;4i0n14l=4}r1a67<72;q6?k=k:c:a?85f1h03m>5rs2`15?6=:r78j>l5b9`896g>132j?6s|3c03>5<5s49m?44m8c9>7d?021k87p}7}:;o9=6o6m;<1b=0l>d;296~;4n:?1n5l4=2c:0?>f;2wx?o?l:18185a;=0i4o523`;0>=g43ty8n7c572k2i70=n908;e6=z{:h:57>52z?0b7c=j1h01>o60;:b7>{t;k;<6=4={<1e6<:9c0?xu4j8<1<758`18yv5e9<0;6?u23g7a>g>e349jmo47a29~w6d6<3:1>v3h:8;`;f>;4i0214l=4}r1a54<72;q6?k;8:c:a?85f0m03m>5rs2`24?6=:r78j>m5b9`896g?k32j:6s|3c04>5<5s49m9;4m8c9>7dg>21k=7p}7}:;o>:6o6m;<1b2gl>8;296~;4n;n1n5l4=2c67?>f=2wx?o>i:1818358l03m8523g0e>g>e3ty?=?850;12871mo03m>5217g:>=g434;=i>47a29>53bc21k870?9d68;e6=:9?n:65o<;<35gg5217`:>=g434;=n>47a29>53gc21k870?9a68;e6=:9?k:65o<;<35=g5217::>=g434;=4>47a29>531c21k870?9768;e6=:9?=:65o<;<352g52177:>=g434;=9>47a29>532c21k870?9468;e6=:9?>:65o<;<357g52170:>=g434;=>>47a29>537c21k870?9168;e6=:9?;:65o<;<354g::9c0?872no03m>5214d:>=g434;>j>47a29>50cc21k870?:e68;e6=:9;6>lo14l=4=04ff;27::h<58`18940ck32j?63>6e49;6>ko14l=4=04af;27::o<58`18940fk32j?63>6`49;6>1o14l=4=04;f;27::5<58`189400k32j?63>6649;6>f;27::8<58`189403k32j?63>6549;6>;o14l=4=041f;27::?<58`189406k32j?63>6049;6=oo14l=4=07ef;27:9k<58`18943bk32j?63>5d4954z?66dd=<0o019?9d;3332=:;o?:65o9;<71=116?k;=:9c7?8351<03m85rs32:`?6=0?5h52215b>=g234;hnn47a79~w76>k3:18v3=0cf95510348:<;4;9d9>651?21k:70?lbc8;e3=z{;:2n7>54z?14ge=99=<70<>0487=`=:9jhj65o9;<03336a;290~;58kh1==98;<0241<31l16=nl6:9c5?847?=03m<5rs32:=?6==g1348;;?47a09~w76>03:18v3=0c;95510348:5fd021k=7054z?14f0=99=<70<>0g87=`=:9jh=65o9;<032<n5;290~;58j?1==98;<024`<31l16=nl::9c5?847>>03m<5rs32b0?6==g2348;:847a09~w76f;3:18v3=0b195510348:5fe321k>707>54z?14f4=99=<70<>0c87=`=:9ji865o:;<0324n1;290~;58j;1==98;<024d<31l16=nm=:9c6?847=o03m<5rs32b4?6=51154?846800?5h521ba2>=g2348;9i47a09~w76>n3:18v3=0cd95510348:<54;9d9>5fe721k>7054z?14g>=99=<70<>0087=`=:9jhm65o:;<033g67;290~;58k=1==98;<0245<31l16=nlj:9c6?847=j03m<5rs32`e?6=;8q6>=mm:0243>;6>o>14l84=04fa?>f>27::h658`48940b:32j:63>6ea9;6>j>14l84=04aa?>f>27::o658`48940e:32j:63>6`a9;6>0>14l84=04;a?>f>27::5658`48940?:32j:63>66a9;6>?>14l84=046a?>f>27::8658`489402:32j:63>65a9;6>:>14l84=041a?>f>27::?658`489405:32j:63>60a9;6>9>14l84=07ea?>f>27:9k658`48943a:32j:63>5da9{t;k836=4={<01<3169??<:9c1?xu5:jk1<7=>{<01gd<68>=01<8jf;:b1>;6>l314l;4=04f7?>f=27::ij58`78940c?32j963>6e39;6>k314l;4=04a7?>f=27::lj58`78940f?32j963>6`39;6>1314l;4=04;7?>f=27:::j58`789400?32j963>6639;6><314l;4=0467?>f=27::9j58`789403?32j963>6539;6>;314l;4=0417?>f=27::6039;6=o314l;4=07e7?>f=27:9hj58`78943b?32j963>5d39=0h?0q~<67|5;9nh7??769>53`321k>70?9ed8;e0=:9?o365o:;<35a7=g234;=ol47a49>53e321k>70?9bd8;e0=:9?h365o:;<35f7=g234;=5l47a49>53?321k>70?98d8;e0=:9?2365o:;<35<7=g234;=:l47a49>530321k>70?95d8;e0=:9??365o:;<3517=g234;=?l47a49>535321k>70?92d8;e0=:9?8365o:;<3567=g234;=536321k>70?:fd8;e0=:9=g234;>hl47a49~w6d5n3:1>v3>4ga9f=d<5:k3?76n5:p7g4b2909w0?;fc8a;4i1:14l;4}r1a6f<72;q6=9h6:c:a?85f>?03m85rs2`1f?6=:r7:8k65b9`896g2832j96s|3c0b>5<5s4;?j:4m8c9>7d2a21k>7p}m:7l7b:?0e=7=0h<0q~=m3683>7}:9=l>6o6m;<1b3cl<6;296~;61n5l4=2cb2?>f>2wx?o=::181873n:0i4o523`c7>=g13ty8n>:50;0x942a:3h3n634?:3y>51`62k2i70;=388;e0=z{:h8>7>53z?20``=j1h018<<5;:b7>;2::<14l84}r1a74<72;q6=9hj:c:a?85f1k03m;5rs2`04?6=:r7:8kj5b9`896g?m32j:6s|3c0:>5<4s4;?j=4m8c9>177a21k=70;=218;e3=z{:imh7>52z?0`5e=99=<709>e;:b4>{t;jlh6=4={<1g4d<68>=01:?k:9c3?xu4l9<1<716;?658`28yv5c8<0;6?u23e3a>460?27<>:47a19~w6b7<3:1>v38>65o?;|q0`54=838p1>j>5;3332=:?;>14l>4}r1g44<72;q6?i?<:0243>;0::03m=5rs2f34?6=:r78h5<5s49o0658924621k;7p}376=0h:0q~=lfc83>7}:;m:<6<>87:?45fj=0;296~;4l;91==98;<51`?>f82wx?i?i:18185c:80:<:94=60`>=g73ty<=k4?:4f`875=g434;m;947a29>5c1a21k870?i838;e6=:9o2865o<;<3e<1521g:4>=g434;m4547a29>5c0f21k870?i6c8;e6=:9o521g56>=g434;m;:47a29>5c1?21k870?i788;e6=:9o=j65o<;<3e3g521g5f>=g434;m4=47a29>5c>621k870=m46877g=:;k2=69=m;<1a1f<3mk16?o9=:5ga?85e??0?io523c5;>1ce349i;l4;ec9>7g1d2=oi70=m7d87ag=:;k2;69km;<1a<7<3mk16?o:7:5ga?85e1ce349i8h4;ec9>7g372=oi70=m5387ag=:;k??69km;<1a13<3mk16?o;7:5ga?85e=h0?io523c7f>1ce349i:=4;ec9>7g052=oi70=m6587ag=:;k<=69km;<1a2=<3mk16?o8n:5ga?85e>j0?io523c4f>1ce349i;=4;ec9>7g132=oi70=l9b87e5=:;j3h6<>89:?0g16=9ll:5f5?873jj0?h85215``>1b334;?nn4:029>51dd2<::70?;bb8645=:9=hh69hi;<37ff<3nl16=9ll:5dg?873jj0>06e34;?nn4:0`9>51dd2<:270?;bb864==:9=hh68>8;<37ff<28?16=9ll:426?873jj0><95215``>1`d34;?nn4;639>51dd2=nn70?;bb87a1=:9=hh6:66;<37ff<680:01<:mc;33===:9=ki69;;;<37eg<68>801<:nb;3331=:9=ki6<>85:?20dd=993370::c6877g=:1ce34>=8>4;ec9>03222=oi70:94687ag=:269km;<650g<3mk168;:k:5ga?8211ce34>=>44;ec9>034e2=oi70:92e87ag=:1ce34>=?44;ec9>035c2=oi70:93g87ag=:<<8?69=m;<6614<3;k168;8m:51a?821?o0??o524753>1ce34>=;?4;ec9>03132=oi70:97787ag=:1ce34>=5l4;ec9>03?d2=oi70:99d87ag=:<<<369=m;<66e2<3;k168:?8:5ga?820900?io52463b>1ce34><=o4;ec9>027d2=oi70:81e87ag=:<>;n69km;<645c<3mk168:1ce34?;;<4j749>15162l=<70;?708f33=:=9=:69<<;<7334:02:=>;28>;18>=4=4245?771h169=9>:517?837?80:<4m4=4245?771o169=9>:51b?837?80:<:?511c3?837?80??8525152>46>l27><:?5e608906093o7k85:?6424=m>=018>82;g42>;28>818?=4=4246?c0127><:<511;:?837?;0??>525151>46>i27><:<542689060:3;;5n525151>46>n27><:<542c89060:3;;m<525151>15>34?;;?4>0`289060:3>8963:060955?c34?;;?4j739>15d22l=>70;?b48f32=:=9h>6h99;<73f0<3::169=l::d5:?837j<0:<474=42a1?24;27>46>k27>46>l27><018>j7;617>;28l=1i:74=42f3?7710169=k8:02:e>;28l=1==7l;<73a2<680l018>j7;33e4=:=9o<69=6;<73a2<68h:018>j7;601>;28l=1==7k;<73a2:37e?837j<099k525152>62034?;;<4;369>151628:2i63:0609061<5<:<>7??9d9>15d22=9<70;?b4824>o=:5ga?844i=0?io5222c5>1ce3488m54;ec9>66gf2=oi70<?:<:51a?845;80?io522310>1ce3489?84;ec9>67502=oi70<=3887ag=::;9i69km;<017a<3mk16>?=i:5ga?85fi103m85242c:>1ce34>?j54;ec9>01552=oi70:<06877g=:<:;h69=m;<604=<3mk168>>n:5ga?8248j0?io52422f>1ce34>8==4;ec9>06752=oi70:<1587ag=:<:;=69km;<605=<3mk168?k9:51a?825nk0??o5243g4>1ce34>9i44;ec9>07ce2=oi70:=ee87ag=:<;om69km;<61b4<3mk168?h<:5ga?825n<0?io5243d4>1ce349m9h4;ec9>7c3a2=oi70=i6187ag=:;o<:69km;<1e27<3mk16?k8<:5ga?85a1=0??o523gf0>15e349mm44;ec9>7cda2=oi70=ic287ag=:;oi>69km;<1eg2<3mk16?km6:5ga?85akk0?io523gag>1ce349mok4;ec9>7c?22=oi70=i9687ag=:;o3269km;<1e=g<3mk16?k7k:5ga?85a1o0?io523gc2>1ce349mm>4;ec9>7cg22=oi70=ia687ag=:;oki69km;<1eea<3mk16?koi:5ga?85aj80?io523g`0>1ce349mn84;ec9>7cd02=oi70=ib887ag=:;ohi69km;<1efa<3mk16?km>:5ga?827m:0??o5241d5>15e34>;i94;ec9>05c12=oi70:?e987ag=:<9oj69km;<63af<3mk168=kj:5ga?827n90?io5241d1>1ce348;>;4;3c9>652f2=9i70<;7d87ag=::5mi:5ga?84?8>0?io522940>1ce3483?84;ec9>6=g62=oi70<961877g=::?=969=m;<052=<3mk16>:>=:51a?840900??o522626>1ce348<<:4;ec9>626>2=oi70<80c87ag=::>:o69km;<044c<3mk16>:?>:5ga?8409:0?io522636>1ce348<<>4;ec9>63e>2=9i70<9e9877g=::?n?69km;<05`3<3mk16>;j7:5ga?841lh0?io5227f`>1ce348=hh4;ec9>63c72=oi70<9e387ag=::?o?69km;<05gd<3mk16>;ml:5ga?841kl0?io5227f3>1ce348=h?4;ec9>62412=9i70<83e877g=::>8269km;<046g<3mk16>:1ce3484;ec9>62522=oi70<83687ag=::>9269km;<0462<3mk16>::n:51a?840>80??o52266g>1ce348<8k4;ec9>62362=oi70<85287ag=::>?>69km;<0412<3mk16>:;6:5ga?840=k0?io52267g>1ce348<8o4;ec9>6fg320;:70n7i:51a?84c15e348o854;ec9>6a2>2=oi70nj<:5ga?84dnl0??o522e3e>15e348h8o4;3c9>6f042=9i70=8cb8f30=:;>ih6h98;<14gf46>1278;nm511;b?850kj0??95236a`>46>k278;nm542:8961dk3;;5k5236a`>15f3490`38961dk3>8563<7ba955g734972ed28:2h63<7ba9a24<5:=ho7k83:?03fe=m>>01>9lc;175>;4?ji1?9<4=25`g?53;278;nm53568961dk39?963<7ba9711<5:=ho7<:f:?03fe=<:801>9lc;603>;4?ji1==7j;<15`4<3;k16?;hi:51a?851l10?io5237fb>1ce349=hn4;ec9>73bb2=oi70=9e087ag=:;?o869km;<15a0<3mk16?:<7:5ga?8539l0n;852353f>`10349?=h4j779>717b2=8870=;1d8f3<=:;=;n6<>69:?004c=993j70=;1d8771=:;=;n6<>6c:?004c=<:201>:>e;33=c=:;=;n69=n;<175`<68h;01>:>e;60=>;4<8o1==o?;<175`<3;<16?9?j:02:`>;4<8o1i:<4=262a?c0;2788:i7=;3:?004c=;=>01>:>e;171>;4<8o1?994=262a?42n27888;63<40g955?b3498>:4;3c9>76322=9i70=<2d87ag=:;:9;69km;<1077<3mk16?>=;:5ga?854;>0?io52321:>1ce3498?o4;ec9>761b2=oi70=?758f30=:;9=?6h98;<133146>1278<::511;b?857?=0??9523157>46>k278<::542:89660<3;;5k523157>15f349;;94>0`389660<3>8563<066955g7349;;94;349>751328:2h63<0669a24<5::<87k83:?0422=m>>01>>84;175>;48>>1?9<4=2240?53;278<::535689660<39?963<0669711<5::<87<:f:?0422=<:801>>84;603>;48>>1==7j;<0e3<<3;k16>ko8:51a?84a090?io522g:1>1ce348m494;ec9>6c>12=oi70kj?:5ga?87di90??o521ba5>15e34;hm44;ec9>5ag52=9i70?kc8877g=:?8l14l>4=616>=g734>=in4;ec9>03d>2=oi70:6dg87ag=:9lnn6885521dff>02>34;nhh4:4`9>5`bb2<>i70?jdd860f=:9lnn68:k;<3f``<2?=521dff>05634;nhh4:339>5`bb2<9870?jdd8671=:9lnn687=;<3f``<21m16=hjj:4c;?87bll0>mn521dff>0gc34;nhh4:ad9>5`bb2;<3f``<2j;16=hjj:4;0?87bll0>59521dff>0?234;nhh4:979>5`bb2<3<70?jdd86===:9lnn68jn;<3f``<2lk16=hjj:4gb?87bll0>io521dff>46?l27:iik511:f?87bll0:<474=0gga?771h16=hjj:036<>;6mmo1=<;6;<3f``<696b9>5`c62<8n70?je0867<=:9lo:68:;;<3fa4<2<116=hk>:46:?87bm80>8l521dg2>02e34;ni<4:4b9>5`c62<>o70?je0860`=:9lo:68:412?87bm80>??521dg2>05434;ni<4:359>5`c62<3970?je086=a=:9lo:68o7;<3fa4<2ij16=hk>:4cg?87bm80>mh521dg2>0ga34;ni<4:b19>5`c62:4;6?87bm80>5;521dg2>0?034;ni<4:999>5`c62:02;`>;6ml;1==6j;<3fa4<6803015b9>5`c628;=463>ed39540>34;ni<4>17c894cb93;::n5252;:>0bf34?8544:e`9>16?>28:2563:38;955?f34?8544>17:8905>13;::45252;:>471i27>?475104`?834100>5?5252;:>0?c34?8544:a99>16?>276:4`3?8341?0>hl5252;5>0cf34?85;4>08;8905>>3;;5l5252;5>471027>?485104:?8341?0:=;o4=41:2?76>j169>79:4;1?8341?0>5i5252;5>0g?34?85;4:ab9>16?127<:4fb?8341:0>il5252;0>46>127>?4=511;b?8341:0:=;64=41:7?76>0169>7<:035e>;2;091=<8l;<70=6<21;169>7<:4;g?8341:0>m55252;0>0gd34?85>4:ae9>16?427?:4gb?834190:<474=41:4?771h169>7?:4;1?834190:=;64=41:4?76>0169>7?:035e>;2;0:1=<8l;<70=5<21m169>7?:4c;?834190>mn5252;3>0gc34?85=4:ad9>16?7285524c11>02>34>i??4:4`9>0g552<>i70:m33860f=:hl524c11>0cf34>i??4>09f891d4:3;;4h524c11>46>127?n><511;b?82e;;0:=864=5`06?76=0168o==:036e>;3j:81=<;l;<6a6f<2:l168o89524c0`>02?34>i>n4:489>0g4d2<>j70:m2b860g=:;3j;i1==6j;<6a6f<6803019l=c;33=d=:5b9>0g4>2<8n70:m28867<=:8l524c0:>02e34>i>44:4b9>0g4>20g4>28:2563;b3;955?f34>i>44>14:891d513;:94524c0:>472i27?n?75107`?82e:o0>>h524c0e>05>34>i>k4:459>0g4a2<>370:m2g860<=:hl524c0e>46?l27?n?h511:f?82e:o0:<474=5`1b?771h168o;3j;l1=<;6;<6a6c<6900734>ion4:699>0ged2<<270:mcb862d=:j168oml:44g?82ekj0>:h524ca`>00a34>ion4:719>0ged2<<:70:mcb8627=:=168oml:446?82ekj0>::524ca`>0e234>ion4:c79>0ged2i9524ca`>0`534>ion4:f59>0ged28:3h63;bba955>a34>ion4>08;891ddk3;;5o524ca`>471827?nnm51041?824:00>hl52420:>46?l27???7511:f?824:00:<474=511=?771h168><6:035<>;3;;31=<86;<606<<69?k019==9;322f=:;hk265o>;<3f`4<5:016=hj>:30g?87bl809?:521df2>72634;h;84=2e9>5f122;9<70?l748104=:9j=36?<6;<3`3=<5:m16=n97:314?87d?1098<521da;>74>34;no54=2e9>5`e?2;9<70?jc98104=:9lin6?<6;<3fg`<5:m16=hmj:314?87bkl098<521daa>74>34;noo4=2e9>5`ee2;9<70?jcc8104=:9lhm6?<6;<3ffc<5:m16=hli:314?87bjo098<521da6>74>34;no84=2e9>5`e22;9<70?jc48104=:9li96?<6;<3fg7<5:m16=hm=:314?87bk;098<521d``>74>34;nnn4=2e9>5`dd2;9<70?jbb8104=:9lh26?<6;<3ff<<5:m16=hl6:314?87bj0098<521d`3>74>34;nn=4=2e9>5`d72;9<70?jb18104=:9lh=6?<6;<3ff3<5:m16=hl9:314?87bj?098<521d`0>74>34;nn>4=2e9>5`d42;9<70?jb28104=:9lko6?<6;<3fea<5:m16=hok:314?87bim098<521dcb>74>34;nml4=2e9>5`gf2;9<70?ja`8104=:9lk:6?<6;<3fe4<5:m16=ho>:314?87bi8098<521dc4>74>34;nm:4=2e9>5`g02;9<70?ja68104=:9lk?6?<6;<3fe1<5:m16=ho;:314?87bi=098<521d;f>74>34;n5h4=2e9>5`?b2;9<70?j9d8104=:9l3i6?<6;<3f=g<5:m16=h7m:314?87b1k098<521d;1>74>34;n5?4=2e9>5`?52;9<70?j938104=:9l336?<6;<3f==<5:m16=h77:314?87b11098<521d;6>74>34;n584=2e9>5`?22;9<70?j948104=:9l226?<6;<3f<<<5:m16=h66:314?87b00098<521d:e>74>34;n4k4=2e9>5`>a2;9<70?j8g8104=:9l2h6?<6;<3f74>34;n4=4=2e9>5`>72;9<70?j818104=:9l2=6?<6;<3f<3<5:m16=h69:314?87b0?098<521d:0>74>34;n4>4=2e9>5`>42;9<70?j828104=:9l=o6?<6;<3f3a<5:m16=h9k:314?87b?m098<521d5b>74>34;n;l4=2e9>5`1f2;9<70?j7`8104=:9l=:6?<6;<3f34<5:m16=h9>:314?87b?8098<521d54>74>34;n;:4=2e9>5`102;9<70?j768104=:9l=?6?<6;<3f31<5:m16=h9;:314?87b?=098<521d4f>74>34;n:h4=2e9>5`0b2;9<70?j6d8104=:9lk098<521d41>74>34;n:?4=2e9>5`052;9<70?j638104=:9l<36?<6;<3f2=<5:m16=h87:314?87b>1098<521d46>74>34;n:84=2e9>5`022;9<70?j648104=:9l?m6?<6;<3f1c<5:m16=h;i:314?87b=o098<521d7`>74>34;n9n4=2e9>5`3d2;9<70?j5b8104=:9l?86?<6;<3f16<5:m16=h;<:314?87b=:098<521d7:>74>34;n944=2e9>5`3>2;9<70?j588104=:9l?=6?<6;<3f13<5:m16=h;9:314?87b=?098<521d6b>74>34;n8l4=2e9>5`2f2;9<70?j4`8104=:9l?;6?<6;<3f15<5:m16=h;?:314?87b=9098<521d6g>74>34;n8i4=2e9>5`2c2;9<70?j4e8104=:=83<6?169<78:362?87b<>09>4521d64>74c34;n8:4=369>5`202;>:70?j45816<=:9l>?6?16=h:;:362?8361109>i5250;;>75034?:554=409>14g72;8o70;>a18172=:=8k;6?:>;<72e6<5:m16974c34?:m<4=369>14g62;>:70;>a3816a=:=8k96?=8;<72e7<5<816972634?:m84=2e9>14g22;9<70;>a48104=:=8326?169<76:362?836i?09>i5250c5>75034?:m;4=409>14g02;8o70;>a68172=:=8k<6?:>;<72=d<5:m169<7n:314?8361h098<5250;a>74c34?:5o4=369>14?e2;>:70;>9d816a=:=83n6?=8;<72=`<5<8169<7l:30g?8361j09?:5250;`>72634?:5i4=2e9>14?c2;9<70;>9e8104=:=8k26?<6;<72e<<5:m16974>34?:5k4=369>14?a2;>:70;>a9816<=:=8k36?16945250`0>74c34?:n>4=369>14d42;>:70;>b0816<=:=8h:6?169:362?836j;09>45250`1>74c34?:n?4=369>14d52;>:70;>b5816<=:=8h?6?16945250`6>74c34?:n84=369>14d22;>:70;>b9816<=:=8h36?16945250`5>74c34?:n;4=369>14d12;>:70;>b6816<=:=8h<6?16945250cb>74c34?:ml4=369>14gf2;>:70;>ac816<=:=8ki6?16945250cf>74c34?:mh4=369>14gb2;>:70;>ab816<=:=8kh6?16945250cg>74c34?:mi4=369>14gc2;>:70;>ag816<=:=8km6?16945250`3>74c34?:n=4=369>14d72;>:70;?e687g1=:=9o<69m=;<73a2<3k8169=k8:5a3?837m>0?nk5251g4>1db34?;i:4;be9>15c02=hh70;?e687ga=:=9o<69ml;<73a2<3kk169=k8:5ab?837m>0?o45251g4>1e?34?;i:4;c69>15c02=i=70;?e687g0=:=9o<69lm;<73f0<39h169=l::53;?837j<0?=:5251`6>17134?;n84;149>15d22=;?70;?b48756=:=9h>69?=;<73f0<399169=l::52e?837j<0?16c34?;n84;0b9>15d22=:i70;?b4874d=:=9h>69>6;<73f0<381169=l::524?837j<0?<85251`6>16334?;n84;029>15d22=:970;?b48744=:=9h>69>?;<73f0<4no169=l::2df?837j<08ji5251`6>6`d34?;n8415d22:l270;?b480b==:=9h>6>h8;<73f0<4n?169=l::2d6?837j<08j95251`6>6`434?;n8415d22:l:70;?b48765=:=9h>69?i;<73f0<39l169=l::53g?837j<0?=n5251`6>17e34?;n84;109>15d22=:=70;?b480bg=:=9h>6>h?;<73f0<3k=169=l::5a1?837j<0?o<5251`6>1e734?;n84;bg9>15d22=hn70;?b487fa=:=9h>69ll;<73f0<3km169=l::5a`?837j<0?oo5251`6>1ef34?;n84;c89>15d22=i370;?b487g2=:=9h>69m9;<73f0<3k<169=l::5`a?837?;0?o9525151>1e534?;;?4;c09>15152=i;70;?7387fc=:=9=969lj;<7337<3jm169=9=:5``?837?;0?oi525151>1ed34?;;?4;cc9>15152=ij70;?7387g<=:=9=969m7;<7337<3k>169=9=:5a5?837?;0?o8525151>1de34?;;<4;1`9>15162=;370;?708752=:=9=:69?9;<7334<39<169=9>:537?837?80?=>525152>17534?;;<4;119>15162=:m70;?70874`=:=9=:69>k;<7334<38j169=9>:52a?837?80?16>34?;;<4;099>15162=:<70;?708740=:=9=:69>;;<7334<38:169=9>:521?837?80?<<525152>16734?;;<415162:ln70;?7080ba=:=9=:6>hl;<7334<4nh169=9>:2d:?837?808j5525152>6`034?;;<415162:l>70;?7080b1=:=9=:6>h<;<7334<4n;169=9>:2d2?837?80?>=525152>17a34?;;<4;1d9>15162=;o70;?70875f=:=9=:69?m;<7334<398169=9>:525?837?808jo525152>6`734?;;<4;c59>15162=i970;?7087g4=:=9=:69m?;<7334<3jo169=9>:5`f?837?80?ni525152>1dd34?;;<4;ce9>15162=ih70;?7087gg=:=9=:69mn;<7334<3k0169=9>:5a;?837?80?o:525152>1e134?;;<4;c49>15162=hi70=8cb875d=:;>ih69?7;<14gf<39>16?:ml:535?850kj0?=85236a`>17334972ed2=;970=8cb8755=:;>ih69>i;<14gf<38l16?:ml:52g?850kj0?16e34972ed2=:270=8cb874==:;>ih69>8;<14gf<38<16?:ml:527?850kj0?<>5236a`>16534972ed2=:;70=8cb80bc=:;>ih6>hj;<14gf<4nm16?:ml:2d`?850kj08jl5236a`>6`>34972ed2:l<70=8cb80b3=:;>ih6>h:;<14gf<4n=16?:ml:2d0?850kj08j?5236a`>6`634972ed2=;m70=8cb875`=:;>ih69?k;<14gf<39j16?:ml:53a?850kj0?=<5236a`>16134972ed2:l;70=8cb87g1=:;>ih69m=;<14gf<3k816?:ml:5a3?850kj0?nk5236a`>1db34972ed2=hh70=8cb87ga=:;>ih69ml;<14gf<3kk16?:ml:5ab?850kj0?o45236a`>1e?34972ed2=i=70=8cb87g0=:;>ih69lm;<175`<39h16?9?j:53;?8539l0?=:52353f>171349?=h4;149>717b2=;?70=;1d8756=:;=;n69?=;<175`<39916?9?j:52e?8539l0?16c349?=h4;0b9>717b2=:i70=;1d874d=:;=;n69>6;<175`<38116?9?j:524?8539l0?<852353f>163349?=h4;029>717b2=:970=;1d8744=:;=;n69>?;<175`<4no16?9?j:2df?8539l08ji52353f>6`d349?=h4717b2:l270=;1d80b==:;=;n6>h8;<175`<4n?16?9?j:2d6?8539l08j952353f>6`4349?=h4717b2:l:70=;1d8765=:;=;n69?i;<175`<39l16?9?j:53g?8539l0?=n52353f>17e349?=h4;109>717b2=:=70=;1d80bg=:;=;n6>h?;<175`<3k=16?9?j:5a1?8539l0?o<52353f>1e7349?=h4;bg9>717b2=hn70=;1d87fa=:;=;n69ll;<175`<3km16?9?j:5a`?8539l0?oo52353f>1ef349?=h4;c89>717b2=i370=;1d87g2=:;=;n69m9;<175`<3k<16?9?j:5`a?857?=0?=l523157>17?349;;94;169>75132=;=70=?758750=:;9=?69?;;<1331<39:16?=9;:531?857?=0?==523157>16a349;;94;0d9>75132=:o70=?75874f=:;9=?69>m;<1331<38h16?=9;:52:?857?=0?<5523157>160349;;94;049>75132=:?70=?758746=:;9=?69>=;<1331<38816?=9;:523?857?=08jk523157>6`b349;;9475132:lh70=?7580bd=:;9=?6>h6;<1331<4n116?=9;:2d4?857?=08j;523157>6`2349;;9475132:l870=?7580b7=:;9=?6>h>;<1331<3:916?=9;:53e?857?=0?=h523157>17c349;;94;1b9>75132=;i70=?758754=:;9=?69>9;<1331<4nk16?=9;:2d3?857?=0?o9523157>1e5349;;94;c09>75132=i;70=?7587fc=:;9=?69lj;<1331<3jm16?=9;:5``?857?=0?oi523157>1ed349;;94;cc9>75132=ij70=?7587g<=:;9=?69m7;<1331<3k>16?=9;:5a5?857?=0?o8523157>1de3ty0n;h521g6b>1ce34;m984;ec9>5c072=oi70?i6387ag=:9o<869km;<3e21<3mk16=k8::5ga?87a>?0?io521g44>1ce34;m:54;ec9>5c2e2=oi70?i4b87ag=:9o1ce34;m;=47a29>5c362=oi70?i708;e6=:9o?969km;<3e371ce34;m;;47a29>5c302=oi70?i5987ag=:9o?269km;<3e1d<3mk16=k;m:5ga?87a=j0?io521g7g>1ce34;m9h4;ec9>5c3a2=oi70?i6087ag=:;kh=69km;<1afg<3mk16?oll:5ga?85ejm0?io523c`f>1ce349ink4;ec9>7ge72=oi70=mc087ag=:;ki969km;<1ag6<3mk16?ol8:5ga?85ej10?io523c`:>1ce349inl4;ec9>7g202=oi70=l9b8774=:;j3h697i;<37ff<3>=168;<9:5ga?821j80??o524634>15e34>=i94;3c9>166?2=3n70;>c187=`=:=9=:6h9>;<7337`1634?;n84j729>15c02l=:70;?e68f36=:=9o<6h9;;<00=c<3mk16>?=?:5ga?824i00??o5242`0>15e34>8mo4;ec9>06gd2=oi70:;f9877g=:<<:969=m;<67bd<3mk1689hm:5ga?823;;0??o524543>15e34>??44;ec9>015f2=oi70:;3c87ag=:<=9h69km;<677a<3mk1689=j:5ga?823;o0?io524563>1ce34>?8<4;ec9>01532=oi70:;3487ag=:<=9=69km;<6772<3mk1689=7:5ga?8248>0?io5243g5>1ce34>::i4;9d9>7c3b2=9i70=i7g877g=:;o15e348?454;3c9>61>72=oi70<;8087ag=::8mi:5ga?842l90?io522424>15e348>?84;3c9>606b2=oi70<:0g87ag=::<;;69km;<0654<3mk16>8?=:5ga?8429:0?io522437>1ce348>=84;ec9>60712=oi70<:0887ag=::<:j69km;<064g<3mk16>8>l:5ga?8428m0?io5229ae>15e3482>i4;3c9>6=be2=oi70<7e787ag=::1o369km;<0;a<<3mk16>5kn:5ga?84?mk0?io5229g`>1ce3483ii4;ec9>6=cb2=oi70<7d087ag=::1n969km;<0;`6<3mk16>5j;:5ga?84?l<0?io5229f5>1ce3483h:4;ec9>6=b?2=oi70<7d887ag=::1nj69km;<0;`f<3mk16>5jk:5ga?84?ll0?io5229fe>1ce3483i=4;ec9>6=c62=oi70<7e387ag=::1o869km;<0;a1<3mk16>5k::5ga?84?m>0?io522924>15e3483>44;3c9>6=6f2=oi70<70c87ag=::1:h69km;<0;4a<3mk16>5>j:5ga?84?8o0?io522933>1ce3483=<4;ec9>6=752=oi70<70887ag=::1<869=m;<0;=4<3;k16>58n:5ga?84?>k0?io52294`>1ce3483:i4;ec9>6=0b2=oi70<76g87ag=::1=;69km;<0;34<3mk16>59=:5ga?84?><0?io522945>1ce3483::4;ec9>6=0?2=oi70<76887ag=::19>69=m;<0;12<3;k16>5=7:5ga?84?;00?io52291b>1ce3483?o4;ec9>6=5d2=oi70<73e87ag=::19n69km;<0;7c<3mk16>5:?:5ga?84?;>0?io5229c2>15e3483o>4;3c9>6=g32=oi70<7a487ag=::1k=69km;<0;e2<3mk16>5o7:5ga?84?i00?io5229cb>1ce3483mo4;ec9>6=gd2=oi70<7a287ag=::>:969km;<05g<<3mk16>:<9:5ga?84015e348=m?4;3c9>63d62=9i70<97e877g=:;>ih6h9>;<14gf<3;:16?:?7:51a?850:10??o52365e>15e34972552=oi70=83287ag=:;>9?69km;<1470<3mk16?:=9:5ga?850;>0?io52361;>1ce349724f2=oi70=82c87ag=:;>8h69km;<146a<3mk16?:1ce349?=h4j709>717b2=9870=<6d877g=:;:=n69=m;<10`0<3;k16?>68:5ga?854010?io5232::>1ce34984l4;ec9>76>e2=oi70=<8b87ag=:;:2o69km;<10<`<3mk16?>6i:5ga?854090?io5232:2>1ce34984?4;ec9>76>42=oi70=<8587ag=:;:2>69km;<10<3<3mk16?=9;:d52?857?=0??>522ga3>15e348mh=4;3c9>75402=9i70kjl:5ga?84alm0?io522gff>1ce348mhk4;ec9>6cc72=oi70kj;:5ga?84al<0?io522gf5>1ce348mh:4;ec9>6cb?2=oi70:;908774=:<=3969=>;<67=<<3;816897n:512?8231k0??<5245;`>15634>?5i4;309>01?b2=9:70:;9g8774=:<=k;69=>;<67=6<3;816897;:512?8231<0??<5245;5>15634>?5:4;309>01??2=9:70<:678774=::<<<69=>;<062`<3;816>88i:512?842?90??<522452>156348>;?4;309>60142=9:70<:758774=::<=>69=>;<062=<3;816>886:512?842>h0??<52244a>156348>:n4;309>600c2=9:709<1;:b4>;3>ln18hl4=54ae?2bj27?5h>54d`891gc?32j>63;b2093d1<5=h9o79n7:?7f7?=?h=019l=f;5b3>;3jji1;l64=511=?1f=27???757`5894cc93>8=63>ee09067<58i<97:<1:?2g23=:;301;6k>318>?4=0g`8=63>ebd9067<58ohn7:<1:?2afe=<:;01;6mj:18>?4=0g`1?24927:in85423894cd:3>8=63>eb19067<58oio7:<1:?2agb=<:;01;6mkk18>?4=0ga4?24927:io?5423894ce>3>8=63>ec59067<58oi?7:<1:?2ag2=<:;01;6mho18>?4=0gbe?24927:ill5423894cf93>8=63>e`09067<58oj;7:<1:?2ad>=<:;01;6mh?18>?4=0g:a?24927:i4h5423894c>j3>8=63>e8a9067<58o2>7:<1:?2a<5=<:;01;6m0318>?4=0g:1?24927:i485423894c?13>8=63>e9c9067<58o3j7:<1:?2a<6=<:;01;6m1n18>?4=0g;4?24927:i5?5423894c?>3>8=63>e959067<58o3?7:<1:?2a=2=<:;01;6m>o18>?4=0g4e?24927:i:l5423894c093>8=63>e609067<58o<;7:<1:?2a2>=<:;01;6m>?18>?4=0g5a?24927:i;h5423894c1j3>8=63>e7a9067<58o=>7:<1:?2a35=<:;01;6m?318>?4=0g51?24927:i;85423894c2n3>8=63>e729067<58o>o7:<1:?2a0b=<:;01;6m<>18>?4=0g6=?24927:i8o5423894c2>3>8=63>e459067<58o?m7:<1:?2a1d=<:;01;6m<;18>?4=0g7`?24927:i9k54238907>?389563>e559067<58o?47:<1:?2a12=<:;01;29021>?74=43b4?45127>=l=523;8907f9389563:1`0967?<5<;j87<=9:?65d3=:;3018?69;01=>;29h<1>?74=43b3?45127>=4o523;8907>j389563:18g967?<5<;2o7<=9:?65{t?:n1<7=t^61g?812m33;:6383e8e67=z{>9i6=4<{_50f>;0=k02<;5272`9b7453z\47d=:?<215=84=61b>c453ty?>64>9;<50=?`5:2wx;>650;1xZ25?34=>>77?6:?47=361=n;80q~9;6;297~X06}Y?=?01:99:825?813=3l9>6s|75694?5|V>>?70983;;32>;0<=0m>?5rs660>5<4sW=??638718:43=:?=91j?<4}r576?6=;rT<8?5277f9=50<5>>96k<=;|q404<72:qU;9?4=64b><6134=?=7h=2:p316=839pR::?;<553??7>27<8=4i239~w25a2908wS98?16;>h5f308yv14m3:1?vP83d9>337=19<01:=j:g01?xu0;?0;6>uQ7248922d20:=709<6;d16>{t;m>o6=4={<1g0c<68>=01::m:9c3?xu4l=i1<716;9o58`28yv5bjl0;68u23d`f>460?27:85658`6894c5n32j?63;f3a9290=w0=ld68a65o=;<1`24=g33ty8il?50;0x96cf93;;;:5273d952z?0g{t;l3:6=4={<1f=4<68>=01:9k:9c3?xu4mhl1<716;:h58`28yv42jo0;6>?t=37ab?77?>16=;h;:9c7?871ml03m95217g;>=g334;=i?47a59>53bd21k?70?9d78;e1=:9?n;65o;;<35gd=g334;=n?47a59>53gd21k?70?9a78;e1=:9?k;65o;;<35=d=g334;=4?47a59>531d21k?70?9778;e1=:9?=;65o;;<352d=g334;=9?47a59>532d21k?70?9478;e1=:9?>;65o;;<357d=g334;=>?47a59>537d21k?70?9178;e1=:9?;;65o;;<354d;:9c7?872nl03m95214d;>=g334;>j?47a59>50cd21k?70?:e78;e1=:9ok1;296~;4ik214l;4=35f4?d?j2wx?lj?:18185fj>03m85226fe>g>e3ty8mnh50;0x96ge>32j963=7e`9f=d7dd221k>7052z?0eg2=0h?01?m<9;`;f>{t;hih6=4={<1bf6n=7:c:a?xu4ijh1<7f=279o>95b9`8yv5fkh0;6?u25333>=g2348h?;4m8c9~w7?213:1>v3=97:9551034;hnn47a59~w7?203:1>v3=9749551034;hno47a59~w7?2?3:1>v3=9769551034;hnl47a59~w7?2>3:1>v3=9709551034;hn447a59~w7?2=3:1>v3=9729551034;hn547a59~w7?2<3:1>v3=94g9551034;hn:47a59~w7?2;3:1>v3=94a9551034;hn;47a59~w7?2:3:1>v3=94c9551034;hn847a59~w7ca=3:1>v3v3v3v3v3v3v3v3:2029v3=d0g9f=d<58iio76n5:p656?2909w0c;`;f>;6kkk14l;4}r0343<72;q6>i?m:c:a?87dj003m85rs3231?6=:r79h5<5s48o=44m8c9>5fd021k>7p}=01194?4|5;n:47l7b:?2gg0=0h?0q~7}::m;<6o6m;<3`f0>2;296~;5l;=1n5l4=0a`1?>f<2wx>=?>:18184c:?0i4o521ba7>=g33ty9<<>50;0x97b5=3h3n63>cb196a432k2i70?lc38;e1=z{;:;i7>52z?1`75=j1h01{t:9:o6=4={<0g67g>e34;hnh47a59~w767i3:1>v3=d0d9f=d<58iih76n4:p6f5b2908w037|58o;?7??769>53ca21k?70?9f18;e1=:9?o265o;;<35ad=g334;=hh47a59>53b021k?70?9d98;e1=:9?n:65o;;<35`7=g334;=o;47a59>53da21k?70?9c18;e1=:9?h265o;;<35fd=g334;=mh47a59>53g021k?70?9a98;e1=:9?k:65o;;<35e7=g334;=5;47a59>53>a21k?70?9918;e1=:9?2265o;;<35=g334;=;h47a59>531021k?70?9798;e1=:9?=:65o;;<3537j03m9521746>=g334;=:;47a59>533a21k?70?9618;e1=:9??265o;;<351d=g334;=8h47a59>532021k?70?9498;e1=:9?>:65o;;<3507=g334;=?;47a59>534a21k?70?9318;e1=:9?8265o;;<356d=g334;==h47a59>537021k?70?9198;e1=:9?;:65o;;<3557m:9c7?8718j03m9521726>=g334;=<;47a59>50`a21k?70?9018;e1=:9=g334;>ih47a59>50c021k?70?:e98;e1=:9=g534;=j547a79~w46dm3:1?vP>0bg894c4i3h3n63>0bg9b7455ea2o897p}>12g94?5|V8;8i63>e239f=d<58;8i7h=2:p55ef2908wS??c`9>5`5?2k2i70??c`8e67=z{0:m6=4<{_;3b>;6m:91n5l4=82e>c453ty>?k650;5x911en32j>63;a129018=60;4b7>;3=1=14l<4=57f2?>f>2wx99><:184822n803m?5246a2>=g534>2ji47a59>00?521k?70:8978;e3=:=:3;6;oj;<6:b6f:27?94j58`68911f032j:63;8c09n;65o=;<66e53dc3ty>8<<50;4x9107832j>63;7e39;2;0:1:ok4}r7756<72?q68;>>:9c1?820l;03m?5244c1>=g334>0<6221k970;<9185fc=z{<>:87>56z?7254=0h80199k3;:b6>;3=h914l:4=55a4?>f;27?5<;58`08905>835<0s4>=<>47a39>02b321k970::a58;e1=:<>h:65o<;<6:007?:7a2?82>:j03m?5rs4622?6=?r7?:=:58`08911c=32j>63;95;9;2;091:l=4}r7752<72?q68;>::9c1?82>==03m85244c5>=g334><5h47a59>16?42?kn70:8d78;e6=z{<9m57>56z?71`1=0h80196mf;:b1>;3=1214l:4=55b4?>f<27>?4=56c;8911dj32j?6s|52db>5<1s4>>i547a39>0<0621k>70::888;e1=:<>k965o;;<70=6<1jm168:j8:9c0?xu2;oh1<78t=57f=?>f:27?5;k58`78913?i32j863;7`69=0h90q~;2}:<=g434>16?42?hm7p}:3gf94?1|5=?nn76n2:?73ag=0h801977d;:b2>;3=1i14l:4=5;:0?>f;27?;lo58`68905>;35<0s4>>in47a39>02be21k970:6988;e3=:<<2o65o;;<6:e7r7?9hj58`08911ck32j>63;9c19018=66;4b7>{t==:;6=49{<66a`j103m;5244:e>=g334>2o<47a29>16?12?kn7p}:41394?0|5=?nj76n2:?73gc=0h80197l5;:b2>;3=0:14l:4=5;`a?>f;27>?4856c;8yv338;0;68u244d3>=g534>0;3?j814l<4=5c36?>f>27?94=58`6891g7k32j?63:38492gc00`421k970:8c28;e7=:r7?9k:58`08911d<32j>63;a0f9{t==:<6=49{<66b0=g534>>5;47a59>16?12?i:7p}:41:94?1|5=?m:76n2:?73f0=0h8019o;3i:o14l<4=57:3?>f<27?;4l58`68905>135<0s4>>j:47a39>02e021k970:n4c8;e0=:j65o=;<66==63;a459:76n2:?7101996f;:b0>;2;031:o74}r774g<72>q688h6:9c1?820k003m?524`40>=g234>j:?47a39>00?f21k?70:8a08;e1=:=:326;lk;|q605e=83=p19;ia;:b6>;3?jk14l<4=5c5b?>f=27?m;k58`08913>j32j863;7`19ih65o=;<6b3g=g334?85449bg9~w027n3:1mv3;5gf9;3=0o14l:4=55b=?>f<27?:hk58`08910ej32j>63;6ca9im65o=;<6;a5=g534?85449c09>0=bb21k>7p}:3`:94?1|5==ij76n3:?7=`c=0h>019964;:b6>;30f927>?4>562g8913??32j?6s|52`0>5<1s4>0<`?21k?70::938;e7=:<>3=65o=;<6:bd7?:76:?xu2;ko1<78t=55``?>f;27?94j58`08911f032j>63;8c093}:<>n;65o<;<66e533?34>3i:47a39~w05d:3:1:v3;7e390196i4;:b1>;30ok14l?4=41:4?0212wx9>m<:185820l;03m>5244c1>=g534>0<6221k>70;<91851d=:<0:365o=;|q67f2=83;3=h914l<4=55a4?>f<27?5<;58`78905>83<>n63;90:902b321k870:6328;e7=:<09265o>;<66e1:9c7?834190=9n5rs41`2?6=>r7?;i;58`1891?3m32j963;5`79=76n1:?73{t=:i<6=4:{<66e3=g534>29:47a09~w05f13:19v3;59:9<>0199lb;:b6>;30k314l?4}r70ed<725252;0>33?34>0<0321k:7p}:3``94?3|5=?3m76n2:?73d2=0h9018=63;46=>;3?m214l<4=5;45?>f92wx9>ol:184820l003m>5248:0>=g234>>4o47a39>0<>021k?70:6858;e3=:<>k=65o<;<70=6<1=h1v8=nd;293~;3?mk14l=4=57;g?>f:27?54:58`6891?>932j:63;7`c92}:<>ni65o<;<661m03m;5246c`>=g434?85>495b9>0019;7e;:b6>;31k:14l?4=41:2?04m2wx9>l?:186820lm03m>5248``>=g234>>4k47a39>055z?73gc=0h90197l9;:b1>;3=0:14l<4=5;`a?>f<27>?4856468yv34j;0;69u246a3>=g434>2i:47a49>00?621k970;<97851==z{<9i87>55z?73f4=0h9019o?8;:b1>;3=0914l<4=5c3g?>f<27>?48564;8yv34j<0;68u246a0>=g434>j=947a49>00?321k970:n188;e1=:=:3=6;;n;|q67g0=83?p199l4;:b7>;3i;;14l;4=57:1?>f:27?m?;58`68905>>3<>n6s|52`4>5<2s4>0d4f21k?70::978;e7=:;<70=3<1=j1v8=m8;292~;3?j<14l=4=5c02?>f<27?94958`0891g4132j=63;78`9290=w0:8c68;e6=:965o;;<66==5252;:>32>3ty>?oo50;4x911d032j?63;a5g9;2;031:8:4}r70fg<72?q68:m6:9c0?82f=h03m95244;b>=g534>j9i47a09>02g621k870;<98851==z{<9io7>56z?73fg=0h9019o96;:b0>;3=0h14l<4=5c5=?>f927?;l=58`18905>13<>56s|52`g>5<1s4>0d1521k?70::9b8;e7=:65o>;<64e076:77b?xu2;kl1<77t=55`a?>f;27?4n858`68913>m32j>63;8b;9;3>ki14l;4=41:=?02j2wx9>m?:184820ko03m>5249f7>=g534>3hn47a09>00?a21k970:8ac8;e6=:8;297~;3n;314l;4=41;a?d?j27?il;58`78yv2bj>0;6?u253:1>1?b34>nh?4>0658yv2bj10;6?u253:0>1?b34>nh>4>0658yv2bj00;6?u253:7>1?b34>nh94>0658yv2bjh0;6?u253:6>1?b34>nh84>0658yv34n>0;68u2496f>g>e34?85448a69>16?12>k<70;<9284e2=:=:3;6:o8;|q67d1=83?p196;9;`;f>;2;031;l;4=41:2?1f=27>?4=57`78905>83=j96s|553:>5<3s4>nm:4m8c9>5f?221k870?l9d8;e1=:9l8m65o9;|q67``=83?p1967b;`;f>;2;031>hk4=41:2?4bm27>?4=52dg8905>838ni6s|52d3>5<2s4>34n4m8c9>16?>2;om70;<9781ac=:=:386?ki;<70=5<5mo1v8=i1;291~;301n1n5l4=41:=?4a827>?4852g28905>;38m<63:38296c60=>b2k2i70;<9881b4=:=:3=6?h>;<70=6<5n8169>7?:3d2?xu2;o91<7;t=5::4?d?j27>?4752g08905>>38m>63:38196c4<5<92<7w0:7938a7<:3d0?8341909j>5rs41e1?6==r7?45?5b9`8905>138m863:38496c2<5<92?70q~;0}:<1296o6m;<70=<<5m>169>79:3g4?8341:09i:5252;3>7c03ty>?hm50;7x91>?83h3n63:38;96`><5<92:7{t=:oo6=4:{<6;<076:3g:?8341?09i45252;0>7c>34?85=4=e89~w05bm3:19v3;89:9f=d<5<9257;2;0:1>hl4}r70=c<727d334?85>4=b59>16?72;h?7p}:3`294?3|5=29m7l7b:?67;2;091>o;4=41:4?4e=2wx9>o>:18682?;<0i4o5252;:>7d134?85;4=b79>16?42;h=70;<9181f3=z{<9j>7>55z?7<61=j1h018=69;0a3>;2;0<1>o94=41:7?4e?27>?4>52c58yv34i:0;68u2491:>g>e34?8544=b99>16?12;h370;<9281f==:=:3;6?l7;|q67d2=83?p196;2;031>o74=41:2?4e127>?4=52c;8905>838i56s|52c6>5<2s4>3?h4m8c9>16?>2;hj70;<9781fd=:=:386?ln;<70=5<5jh1v8=n6;291~;30=:1n5l4=41:=?4fl27>?4852`f8905>;38jh63:38296db0=5c2k2i70;<9881e`=:=:3=6?oj;<70=6<5il169>7?:3cf?xu2;0n1<7;t=5:77?d?j27>?4752`d8905>>38jj63:38196d`<5<92<7w0:7448a;<70=3<5j8169>7<:3`2?8341909n<5rs41f83;:955252;3>472127>?4>5107b?834190:=8m4}r70a<<727<:036e>;2;091=<;l;|q67`g=83?p1968e;`;f>;2;0<1=<;7;<70=3<69<3018=66;321d=:=:3=6w0:77g8a5b9~w05d03:1>v3;8479f=d<5<92<7;=e:p16b42909w0:7578a;2;0:199:4}r70a4<72;q68589:c:a?834190>855rs41f6?6=:r7?4;95b9`8905>83??56s|52g0>5<5s4>3:54m8c9>16?72<>j7p}:3d694?4|5=2=57l7b:?67<6===h0q~;7}:<1k8:18182??90i4o5252;0>05>3ty>?n750;0x91>183h3n63:38191120=162k2i70;<92860==z{<9hn7>52z?7<24=j1h018=63;77=>{t=:ih6=4={<6;367<:46b?xu2;jn1<7?4=555`8yv34kl0;6?u24956>g>e34?85>4:4b9~w05dn3:1>v3;8649f=d<5<92:7;=e:p16b72909w0:7768a;2;0<199:4}r70`7<72;q685;;:c:a?8341?0>855rs41g0?6=:r7?4895b9`8905>>3??56s|52f6>5<5s4>3954m8c9>16?12<>j7p}:3e494?4|5=2>57l7b:?67<0===h0q~;7}:<1?j6o6m;<70=3<2j6:18182?=m0i4o5252;:>05>3ty>?io50;0x91>2m3h3n63:38;91120=3a2k2i70;<98860==z{<9oo7>52z?7<37=j1h018=69;77=>{t=:no6=4={<6;2776:46b?xu2;ml1<7?47555`8yv34m90;6?u24946>g>e34?8544:4b9~w1cej3:1>5u24df5>460?27?4ll58`1891?am32j=63;94g9=0h90196ic;:b7>;30ko14l=4=5:f`?>f;27?5=k58`1891g6>32j?63;8bc9;3i<814l=4=5c6a?>f;27?m;o58`1891g0>32j?63;90g976n3:?7e75=0h90197j9;:b7>;310l14l=4=5;aa?>f;27?5nl58`1891cf=32j?63;92:9;31hl14l=4=0a:1?>f:27:o4k58`7894c5n32j963:27g9;311814l:4}r71e5<72?q69?o?:0243>;3jhh14l?4=5`3g?>f>27?n<658`6891d6932j?63;bc290dd>21k970:7a68;e1=:=;o96<>87:?66`5=0h;0196=0;:b7>;308l14l=4=5:34?>f:27?h6l32j?6s|522a>5<4s4?8065891`5k32j963:39g9164d28:<;63;9gg9164c28:<;63;9g;9?4?:3y>165?28:<;63;8cg984?:3y>162428:<;63;8df9;4?:3y>162128:<;63;8ga9:4?:3y>162028:<;63;91g954?:3y>162?28:<;63;90g944?:3y>162>28:<;63;92:9l4?:3y>162f28:<;63;95d9o4?:3y>162e28:<;63;94g9164b28:<;63;8``9164a28:<;63;97`9165728:<;63;96:9165628:<;63;9909165528:<;63;9809165428:<;63;98d94?:3y>165328:<;63;9`d9165228:<;63;9cg9165128:<;63;9b`9165028:<;63;9d;9165>28:<;63;a149165f28:<;63;a049165e28:<;63;a319165d28:<;63;a3g9165c28:<;63;a2c9165b28:<;63;a549165a28:<;63;a409162728:<;63;a4g9=4?:3y>162628:<;63;a7c9<4?:3y>162528:<;63;a649>4?:3y>162328:<;63;8bc994?:3y>162228:<;63;8ec917c128:<563:27:9f=d166?28:<563:27c9f=d166e2=3n70;=6`8;e6=:=;;<6o6m;|q66`>=838p18==c;6:a>;2:;;1n5l4}r71b6<72;q69>?>6548g89045m3h3n6s|5222>5<5s4?88>4;9d9>17502k2i7p}:31094?4|5<9?:7:6e:?666d=j1h0q~;<0283>7}:=:><697j;<717f>::181834<00?5h525362>g>e3ty>?=850;0x9053i3>2i63:2549f=d162e2=3n70;=4`8a52z?677c=<0o018<<0;`;f>{t=;oj6=4={<706c<31l169?:k:c:a?xu2:lh1<7m27>>8?5b9`8yv35mj0;6?u25212>1?b34?9984m8c9~w04bl3:1>v3:3209057l7b:p17cb2909w0;<3287=`=:=;?o6o6m;|q66``=838p18=<4;6:a>;2:?;1n5l4}r71b5<72;q69>=::5;f?835><0i4o5rs40e5?6=:r7>?>8548g8904603h3n6s|53d1>5<5s4?8?:4;9d9>177f2k2i7p}:2g694?4|5<9857:6e:?664c=j1h0q~;=f483>7}:=:9j697j;<7167g>e3ty>>k650;0x9054l3>2i63:2379f=d165b2=3n70;=278a52z?676`=<0o018<=7;`;f>{t=;li6=4={<7005<31l169?<6:c:a?xu2:oi1<7m27>>?l5b9`8yv35nm0;6?u25261>1?b34?9>i4m8c9~w04an3:1>v3:3569065o:;<1bg2=g5349jnl47a49>7de421k>70;=678;e1=:=;o:65o;;<7153n:?:9c6?85a:>03m<523`a2>=g6349m>947a09~w053k3:1?v3:35a9551034?9:n47a09>170f21k?7p}:31c94?>|5<8n=7l7b:?66018<69;:b0>;2:0h14l:4=40:b?>f<27>>4?58`78904b;32j863:20695`bd2k2i70?jd68;e6=:9lnn6:o8;<3fa4<0i>1vk0;69u2522;>15634?8<44;309>17c12=9:70;=6b8a510y>17>62=9:70;=878774=:=;2<69=>;<71<=<3;8169?66:512?8350h0??<5253:a>15634?94n4;309>171a2=9:70;=818774=:=;2969=>;<71<6<3;8169?6;:512?8350<0??<52534f>g>e34?9i;4;9d9>162d2=3n7p}:27d94?46s4?8:i4;309>160b2=9:70;<788774=:=:2?69=>;<70<2<3;8169>67:512?834000??<5252:b>15634?84o4;309>16>d2=9:70;<6g8774=:=:=;69=>;<7034<3;8169>9=:512?834?:0??<525257>15634?8;84;309>16112=9:70;<768774=:=:=369=>;<703d<3;8169>9m:512?834?j0??<52525g>15634?8;h4;309>161a2=9:70;<818774=:=:2:69=>;<70<7<3;8169>6<:512?8340<0??<5252:5>15634?9;=4m8c9~w4`al3:1>v3>fg;9067<58lmi7l7b:p5cd42908w0?i688;e7=:9on86<>87:?2bd`=0h;0q~?ibd83>6}:9o=?65o=;<3e`1<68>=01{t9oi26=4<{<3e3c;6nho14l84}r3egf<72:q6=k6=:9c1?87amo0:<:94=0dba?>f=2wx=kmk:18087a0:03m?521gd0>460?27:jlk58`18yv7akl0;6>u21g:7>=g534;mj94>065894`fm32j86s|1gae>5<4s4;m4847a39>5c`228:<;63>f`g95c>121k970?if782421<58lji76n2:p5cb62908w0?i868;e7=:9ol<6<>87:?2bdd=0h<0q~?id383>6}:9o2365o=;<3eb=<68>=01{t9oh?6=4<{<3e2d;6nhh14l:4}r3ef0<72:q6=k8m:9c1?87al?0:<:94=0dbf?>f;2wx=kl9:18787a>j03m?521gca>=g634;mh:4>065894`c032j86s|1g`4>5<4s4;m:i47a39>5cb>28:<;63>f`c95c0b21k970?id`82421<58ljn76n2:p5cd>2908w0?i6g8;e7=:9oni6<>87:?2bdg=0h?0q~?ib`83>1}:9o=;65o=;<3eed;6nmn14l:4}r3efg<72=q6=k9>:9c1?87aih03m>521gff>460?27:jih58`68yv7ajj0;69u21g51>=g534;mml47a39>5cc728:<;63>fd395c1421k970?ie382421<58ljm76n1:p5cda2908w0?i748;e7=:9oo?6<>87:?2bdb=0h<0q~?ic183>1}:9o==65o=;<3eea;6nl<14l:4}r3eg4<72:q6=k98:9c1?87am>0:<:94=0db`?>f<2wx=km=:18087a?103m?521gg;>460?27:jlj58`38yv7ak:0;6>u21g5:>=g534;mi44>065894`fl32j?6s|1ga7>5<4s4;m;l47a39>5ccf28:<;63>f`f95c1e21k970?iec82421<58ljo76n6:p5ce12908w0?i7b8;e7=:9ooh6<>87:?2bde=0h?0q~?ic683>6}:9o=o65o=;<3eaa<68>=01{t9oi36=4<{<3e3`;6nhi14l=4}r3egd<72:q6=k6?:9c1?87an90:<:94=0dbg?>f92wx=kmm:18787a0803m?521gc`>=g534;mj<4>065894`a:32j96s|1gd:>5<6j0q6=kh6:0243>;6nok14l<4=405g?>f;27>>:>58`189041i32j>63;6lj:14l<4=3203?>f:27:hn?58`089763932j>63>db0976n2:?2`f5=0h801?>;3;:b6>;6lj>14l<4=3270?>f:27:hn;58`089763=32j>63>db49;7;:b6>;6lj214l<4=327f:27:ho858`089763132j>63>dc59=0h801?><9;:b6>;6lk314l<4=320e?>f:27:hoo58`089764j32j>63>dc`9;6lkn14l<4=320a?>f:27:hok58`0894ben32j>63llc;:b5>;4jjn14l?4=2``a?>f9278nnh58`3896dc832j=6376n1:?0ff3=0h;01>ll6;:b5>;4jj=14l?4=2``f9278nn758`089763832j>63=15:9;3;0314l=4=3644?>f;2798;m58`189721m32j?63<8139>?76n3:?04d?=0h901>7:2;:b7>;4=h814l=4=23ef;278o8h58`089773;32j>63=2c09<:d;:b6>;3mh=14l=4=0f;b?>f;27:h4758`189766=32j?63>d8c9>e;:b7>;6l0i14l=4=322b?>f;27:h4j58`189765832j?63>d8g9=2;:b7>;6lh:14l=4=3217?>f;27:hl?58`189765<32j?63>d829>6;:b7>;6l0814l=4=3223?>f;27:h4=58`189766032j?63>d869>a;:b7>;6l0<14l=4=322f?>f;27:h4958`189766k32j?63>d8:9;5=mi14l<4=5260?>f:27?<8;58`18942b?32j>63>4d:9n576n3:?20`g=0h801<:jb;:b7>;6f;27:8hk58`18942b832j?63>4d39n>76n3:?20`5=0h901<:j4;:b7>;6f;27?01?>84;:b0>;58>814l:4=3244?>f<279<;758`689761?32j863=077901?>:f;:b0>;58f<27?8om58`48973>932j:63;33:98?5;:b1>;4:f:27?>9;58`18916d032j>63;0ed976n5:?0172=0h801>?8a;:b6>;5?j<14l<4=35`1?>f:279;n=58`08971d:32j>63=7b29;5?ki14l<4=35af?>f:279;oo58`08971e132j>63=7c59;5?m814l<4=35`=?>f<279;n958`68971d<32j863=7c:9=0h901??>9;:b7>;3:k214l84=31e6?>f>279;i?58`08971c832j>63=7bd9k7;:b6>;38jl14l84=507`?>f:279<;k58`489437032j963=0869;5?jn14l<4=35`f?>f:279;no58`089725832j>63=7b:9;5:1o14l;4=332b?>f=2784i:58`1896>cm32j?63<53;9?74;:b7>;40m214l<4=2:g=?>f:27>>;k58`089041032j>63:2859?i5;:b6>;3<;314l=4=5604?>f;27?8>?58`189125:32j?63=4g59;3<;914l=4=36e=?>f;27?8?:58`18972ai32j?63;4379mn76n3:?70c3=0h901?;la;:b7>;3<;<14l=4=36eg?>f;27?8?958`18972al32j?63;43:9mi76n3:?707g=0h901?:if;:b7>;3<;h14l=4=3734?>f;27?8?m58`189737932j?63;43f976n3:?707`=0h901?;?4;:b7>;3<;o14l=4=3737?>f;27?8k:58`18973d132j?63;4g59;2:k214l<4=56`1?>f;27994o58`189745?32j963=384901>?;0;:b0>;4?=214l:4=257e?>f<278;9m58`68961cm32j863<38g901>:<0;:b0>;5no:14l:4=3de6?>f<279jk:58`68966?>32j863<7da99j8;:b6>;4?l=14l<4=25f2?>f:278;h;58`08961b<32j>63<7d1976n2:?03`7=0h801>9j0;:b6>;4?ml14l<4=25g`?>f:278;k=58`08961a:32j>63<7g399je;:b6>;4?ln14l<4=25f=?>f:2788>k58`089624l32j>63<42a98m76n2:?006?=0h801>:<8;:b6>;4<:=14l<4=2602?>f:2788>;58`089624<32j>63<42198>76n2:?0067=0h801>:=f;:b6>;4<=?14l<4=2670?>f:27889=58`089623:32j>63<4539?<76n2:?006`=0h801>:;480>14l<4=22:7?>f:278<4<58`08966>832j>63<09d9>7c;:b6>;481h14l<4=22;e?>f:278<5758`08966?032j>63<0959>6a;:b6>;480314l<4=22:f:278<4958`08966>>32j>63<08797m3;:b5>;4>9914l?4=206=?>f927>>h;58`08912ei32j>63=59d976n5:?07c>=0h?01>>;a;:b1>;4?hh14l84=2635?>f>278<8=58`48961fk32j:63<4109876n6:?03db=0h<01>:?3;:b2>;48f>2788=:58`489662>32j:63<7`d9;976n6:?0401=0h<01>9m0;:b2>;4<9<14l84=226f>278;o?58`489627?32j:63<04;976n6:?005>=0h<01>>:a;:b2>;4?k914l84=263=?>f>278<8l58`48961f;32j:63<3g;9=ia;:b2>;48=i14l84=25b1?>f>278?kl58`489663l32j:63<7`499n7;:b2>;4;on14l84=227b?>f>278;l658`48965am32j:63<0429>:1;:b2>;4?hk14l84=2634?>f>278<8<58`48972>l32j?63>ee:9;3:mh14l:4=3621?>f<27844k58`08962a832j>63<1549;3k9?14l=4=5f20?>f;27?o=758`1891e7l32j?63>fg`9019<;3;:b1>{t9l8n6=4<{<3f6`<68>=01;6m;l14l?4}r0`7c<72?q6>n=j:5;f?84bl803m8522d`1>=g4348h8=4m8c9>6`be21k:7051cy>5cb32=9:70?id28774=:9ol869=>;<3ea6<3;816=kki:512?87an?0??<521gd7>15634;mj84;309>5cb22=9:70?if68774=:9ol369=>;<3e`<<3;816=kj9:512?87alh0??<521gfa>15634;mi?4;309>5cc02=9:70?ie58774=:9ooj69=>;<3ea=<3;816=kk6:512?87amm0??<521gga>15634;min4;309>5ccb2=9:70?if18774=:9oh96o6m;|q2b7g=838p1;6nm9184k4}r3e2<<72:q6=k86:c:a?87a15e34;m594;3c9~w4`5j3:1>v3>f869f=d<58lo87:6e:p5c132908w0?i758a6<>n8:?2b<2=18;0q~?i5483>6}:9o?>6o6m;<3e25<3;k16=k7i:51a?xu6n:<1<7u21g5e>g>e34;m:=4>0`:894`>n33:=6s|1g43>5<4s4;m:=4m8c9>5c052=9i70?ia3877g=z{8l?=7>52z?2bd4=j1h01{t9o296=4<{<3e<7;6nh815:0??o521gc0>15e3ty:j9:50;0x94`f;3h3n63>fg1904?:2y>5c>42k2i70?i62824d><58lj?77>1:p5c042908w0?i628a1n5l4=0de0?2>m2wx=k6;:18087a0=0i4o521g47>46f027:jl:59038yv7a>=0;6>u21g47>g>e34;m:84;3c9>5cg22=9i7p}>f5494?4|58lj97l7b:?2bc3=<0o0q~?i8483>6}:9o2>6o6m;<3e20<68h201{t9o<>6=4<{<3e203>2i6s|1g:5>5<4s4;m4;4m8c9>5c0128:j463>f`49=475c012k2i70?i66877g=:9ok<69=m;|q2b1>=838p1;6no=184k4}r3e<2<72:q6=k68:c:a?87a>>0:>0i4o521g4;>15e34;mm54;3c9~w4`313:1>v3>f`:9f=d<58lm47:6e:p5c>?2908w0?i898an8:?2bd>=18;0q~?i6983>6}:9o<36o6m;<3e0g<3;k16=k6n:51a?xu6n;i1<7h0;6>u21g4b>g>e34;m8o4>0`:894`?i33:=6s|1g6a>5<4s4;m8o4m8c9>5c2d2=9i70?i8c877g=z{8l9h7>52z?2b=d=j1h01{t9o;6n1h1515e3ty:j?k50;0x94`?k3h3n63>fe:95c0d2k2i70?i4e824d><58l3o77>1:p5c2c2908w0?i4e8an69=m;<3em2wx=k8k:18087a>m0i4o521g6f>46f027:j5j59038yv7au21g6f>g>e34;m8k4;3c9>5c>b2=9i7p}>f2294?4|58l3i7l7b:?2bag=<0o0q~?i6d83>6}:9o{t9o>m6=4<{<3e0c2i6s|1g4e>5<4s4;m:k4m8c9>5c3728:j463>f9d9=475c372k2i70?i50877g=:9o3;69=m;|q2b64=838p1;6nmn14l?4}r3e35<72:q6=k9?:c:a?87a=80::18087a=80i4o521g71>15e34;m5<4;3c9~w4`4;3:1>v3>f839f=d<58loj76n1:p5c162908w0?i708an8:?2b<7=18;0q~?i5383>6}:9o?96o6m;<3e16<3;k16=k7=:51a?xu6n:>1<7u21g51>g>e34;m9>4>0`:894`>:33:=6s|1g70>5<4s4;m9>4m8c9>5c332=9i70?i92877g=z{8l897>52z?2b<5=j1h01{t9o=86=4<{<3e36;6n091515e3ty:j>950;0x94`>=3h3n63>fd6905c122k2i70?i57824d><58l2977>1:p5c312908w0?i578af92wx=k99:18087a??0i4o521g74>46f027:j4859038yv7a=>0;6>u21g74>g>e34;m954;3c9>5c?02=9i7p}>f2;94?4|58l2;7l7b:?2b`1=<0o0q~?i7683>6}:9o=<6o6m;<3e1=<68h201{t9o?36=4<{<3e1=2i6s|1g5;>5<4s4;m;54m8c9>5c3>28:j463>f8:9=475c3>2k2i70?i5`877g=:9o3269=m;|q2b6d=838p1;6nl3184k4}r3e3<<72:q6=k96:c:a?87a=h0:15e34;m5l4;3c9~w4`4k3:1>v3>f8c9f=d<58lnm7:6e:p5c1f2908w0?i7`8an8:?2b6}:9o?i6o6m;<3e1f<3;k16=k7m:51a?xu6n:n1<7u21g5a>g>e34;m9n4>0`:894`>j33:=6s|1g7`>5<4s4;m9n4m8c9>5c3c2=9i70?i9b877g=z{8l8i7>52z?2b{t9o=h6=4<{<3e3f;6n0i1515e3ty:j>h50;0x94`>l3h3n63>fdf905c1c2k2i70?i5d824d><58l2h77>1:p5c3b2908w0?i5d8am2wx=k9j:18087a?l0i4o521g7e>46f027:j4k59038yv7a=o0;6>u21g7e>g>e34;m:<4;3c9>5cg72=9i7p}>f5094?4|58lj<7l7b:?2bc6=<0o0q~?i8183>6}:9o2;6o6m;<3e24<68h201{t9o<:6=4={<3e24:51a?xu6n=91<7g>e34;mm<46109~w6g483:1>v32909w0=na88a<65o>;|q0e=7=838p1>o;7;:b6>;4i1;1n5l4}r1b6c<72;q6?l:9:c:a?835<<03m<5rs2cb32j=6s|3`5e>5<5s49j8;47a39>7d1a2k2i7p}0q~=na683>7}:=;>:65o:;<1be2on6;296~;2:=814l84=2cb2?d?j2wx?l9l:181835<803m;523`5`>g>e3ty8mo950;0x96ge?3h3n63:22g9175b21k>70=na48a52z?666`=0h<01>on4;`;f>{t;h=26=4={<717`>>m58`68yv5fi:0;6?u2531`>=g2349jm>4m8c9~w6gf:3:1>v3:22f97l7b:p7d112909w0;=3b8;e3=:;h==6o6m;|q0eg3=838p1>om5;`;f>;2::h14l:4}r1be5<72;q69?=m:9c6?85fi90i4o5rs2c47?6=:r7>>>l58`4896g0;3h3n6s|3``7>5<5s49jn94m8c9>175021k?7p}7}:=;9<65o9;<1b35o=e;296~;4i=?1n5l4=4006?>f:2wx?l7j:18185f<<03m<523`;f>g>e3ty8m;k50;0x96g3=32j>63i4?:3y>7d242k2i70;=308;e7=z{:k2h7>52z?0e15=0h;01>o6d;`;f>{t;h>?k58`68yv5f1j0;6?u2530f>=g2349j5n4m8c9~w6g>j3:1>v3:23d92909w0;=2d8;e3=:;h<26o6m;|q0e7d=838p1>o;2;`;f>;2:;n14l<4}r1b=d<72;q6?l:=:9c2?85f1h0i4o5rs2c53?6=:r78m9<58`0896g103h3n6s|3`0b>5<5s49j8<4m8c9>174e21k97p}7}:;h>:65o=;<1b20o=9;296~;4i=:1n5l4=401=?>f:2wx?l78:18185f<903m<523`;4>g>e3ty8m;<50;0x96g3832j>6354?:3y>7d5a2k2i70;=268;e7=z{:k2:7>52z?0e6`=0h;01>o66;`;f>{t;h<;6=4={<1b7c:c:a?xu4i;=1<7>?858`08yv5f1<0;6?u23`1f>=g6349j584m8c9~w6g2m3:1>v3j7l7b:p7d412909w0=n3e8a65o=;|q0e<2=838p1>o;4i0>1n5l4}r1b1f<72;q6?l=k:9c1?85f=m0i4o5rs2c11?6=:r78m>m5b9`89045<32j>6s|3`;0>5<5s49j?n47a09>7d?42k2i7p}7}:;h9i6o6m;<7166o62;296~;4i:h14l?4=2c:6?d?j2wx?l;7:18185f;k03m?523`7:>g>e3ty8m?=50;0x96g4i3h3n63:23097d5f21k:70=n908a:7>52z?0e6g=0h801>o:7;`;f>{t;h896=4={<1b0`f9278m4>5b9`8yv5f==0;6?u23`6f>=g5349j984m8c9~w6ge:3:1>v3a2909w0;=1c8;e0=:;h2m6o6m;|q0e=c=838p18<>c;:b2>;4i1o1n5l4}r1b14<72;q69??m:9c5?85f=80i4o5rs2c03?6=:r78m9j5b9`89046i32j>6s|3``2>5<5s49j8i47a09>7dd62k2i7p}7}:;h>h6o6m;<715=om0;296~;4i=i14l?4=2ca4?d?j2wx?l67:18185fg>e3ty8m>;50;0x96g3j3h3n63:27697d2e21k:70=nad8a52z?0e1d=0h801>o77;`;f>{t;h9?6=4={<1b0df9278mlm5b9`8yv5f0=0;6?u23`6b>=g5349j484m8c9~w6g4;3:1>v3o76n1:p7dge2909w0=n488;e4=:;hki6o6m;|q0e=5=838p1>o;9;:b6>;4i191n5l4}r1b77<72;q6?l:7:c:a?835=103m<5rs2cbe?6=:r78m9658`3896gfi3h3n6s|3`:1>5<5s49j8547a39>7d>52k2i7p}7}:;h>?65o>;<1be4o70;296~;4i=>14l<4=2c;4?d?j2wx?l=g63ty8m4650;0x96g4132j=637d5>21k970=n678a52z?0e6>=j1h018<;c;:b5>{t;h2o6=4={<1b7=f:278m8>5b9`8yv5f:90;6?u23`03>g>e34?9>n47a79~w6g?k3:1>v3m6o6m;|q6646=838p18<>0;`;f>;2:;;14l:4}r714c<72;q69?<>:9c6?8358o0i4o5rs403g?6=:r7>>??58`489047k3h3n6s|3e66>5<5s49nnh4;9d9>7`dd2k2i7p}53z?0a`6=<0o01>m7d;3332=:;m9=697j;|q0g=2=839p1>kjb;6:a>;4k0>1==98;<1g7a<31l1v>m75;297~;4mo<184k4=2a:1?77?>16?i=j:5;f?xu4k1<1<7=t=2ge=?2>m278o4851154?85c;o0?5h5rs2a;3?6=;r78iko548g896e>?3;;;:523e63>1?b3ty8o5650;1x96caj3>2i637a252=3n7p}53z?0acc=<0o01>m6b;3332=:;m>?697j;|q0g2b=839p1>kj1;6:a>;4k1o1==98;<1g72<31l1v>m8e;297~;4ml8184k4=2a;b?77?>16?i=7:5;f?xu4k>l1<7=t=2gf7?2>m278o4>51154?85c;00?5h5rs2a;4?6=;r78ih:548g896e>93;;;:523e1b>1?b3ty8o5?50;1x96cb=3>2i637a5d2=3n7p}7:6e:?0`61=99=<7p}=99=<7p}6}:;k=;6o6m;<1a31<3;k16?o7k:51a?xu4j?o1<7=t=2`5a?d?j278n:>542`896d>j3>8n6s|3c4`>5<4s49i:n4m8c9>7g0b2=9i70=m9`877g=z{:h=m7>53z?0f3g=j1h01>l9c;60f>;4j0318>l4}r1a2=<72:q6?o87:c:a?85e>h0??o523c;;>15e3ty8n;850;1x96d1>3h3n63l92;297~;4j?81n5l4=2`50?24j278n4;542`8yv5e>90;6>u23c43>g>e349i:?4;3c9>7g?32=9i7p}i7l7b:?0f36=<:h01>l63;60f>{t;k?j6=4<{<1a1d8n637g312k2i70=m59877g=:;k2m69=m;|q0f02=839p1>l:4;`;f>;4j<<18>l4=2`;a?24j2wx?o;=:18085e=;0i4o523c77>15e349i4i4;3c9~w6d283:1?v3>7:6}:;k>n6o6m;<1a15<3;k16?o6m:51a?xu4j=i1<7=t=2`7g?d?j278n9k542`896d?i3>8n6s|3c6b>5<4s49i8l4m8c9>7g2d2=9i70=m88877g=z{:h?47>53z?0f1>=j1h01>l;a;60f>;4j1218>l4}r1a<7<72:q6?o6=:c:a?85e<10??o523c:4>15e3ty8n5>50;1x96d?83h3n63l8c;297~;4j>i1n5l4=2`4a?24j278nl:542`8yv5e?h0;6>u23c5b>g>e349i;n4;3c9>7gg42=9i7p}ln2;60f>{t;k==6=4<{<1a333>8n637g3d2k2i70=m73877g=:;k3h69=m;|q0f11=839p1>l;7;`;f>;4jl4=2`:5?24j2wx?o6;:18085e<>0:h0;6?u23d4:>1?b349n:i4m8c9~w6c1n3:1>v3k8f;6:a>;4m1<1n5l4}r1f<=<72;q6?h68:5;f?85b190i4o5rs2g:6?6=;r78i4?548g896c>k3h3n637`g62=3n70=jab8aknf;6:a>;4mkk1n5l4=2gaf?2>m2wx?om6:18185el102=<523ca:>g>e3ty8nlj50;0x96dc03h3n637gdf2k2i70=md9877g=z{:hh47>53z?0fgg=99k370=md68:54=:;ki36o6m;|q0fde=838p1>lk7;`;f>;4l?>184k4}r1af<<72:q6?ol6:c:a?85ejh0??o523cf4>15e3ty8nn950;1x96de13;;m5523cf5><76349io:4m8c9~w6dfj3:1>v3ll6;297~;4jk21==o7;<1a`0<>9816?om9:c:a?xu4jhk1<70;6>u23c`4>g>e349in54;3c9>7gb22=9i7p}7gb320;:70=mc48a52z?0fa2=j1h01>j91;6:a>{t;ki86=4<{<1ag6g>e3ty8no;50;0x96db93h3n637ge52k2i70=mc2877g=:;ko:69=m;|q0fa7=839p1>ll2;33e==:;ko;64?>;<1a`4lm4;296~;4jl:1n5l4=2f5g?2>m2wx?om>:18085ek80i4o523ca1>15e349ii=4;3c9~w6dc83:1?v37gb72k2i7p}6}:;ki;6o6m;<1ag4<3;k16?oji:51a?xu4jjl1<7=t=2``4?77i116?ojj:832?85eko0i4o5rs2`a6?6=:r78nik5b9`896b1i3>2i6s|3c`e>5<4s49ink4m8c9>7ge72=9i70=mdd877g=z{:hhi7>53z?0fg`=99k370=mde8:54=:;kin6o6m;|q0fg7=838p1>lkd;`;f>;4l?3184k4}r1af`<72:q6?olj:c:a?85ejo0??o523cfg>15e3ty8nnj50;1x96dem3;;m5523cf`><76349ioi4m8c9~w6de83:1>v3llc;297~;4jkn1==o7;<1a`g<>9816?oml:c:a?xu4jhl1<7u23c``>g>e349ini4;3c9>7gbe2=9i7p}7gbf20;:70=mcc8a52z?0fag=j1h01>j96;6:a>{t;khi6=4<{<1afgg>e3ty8nl650;0x96dc13h3n637gd12k2i70=mbc877g=:;kn269=m;|q0ff2=839p1>lm6;33e==:;kn864?>;<1ag1ln7;296~;4jm91n5l4=2f6b?2>m2wx?n8?:182=~;4l9=18>?4=2f3=?249278h=h5423896b693>8=63j>9;605>;4l8h18>?4=2f2`?249278h=o5423896b7k3>8=63j>e;605>;4l8i18>?4=2f2e?249278h<65423896b6>3>8=637:<1:?0`46=<:;01>j?e;605>;4l9218>?4}r1`1`<728;p1>k:9;605>;4m?4=2g55?249278i;<5423896c1;3>8=63k97;605>;4m?218>?4=2g6f?249278i8m5423896c2l3>8=63j7:<1:?0a36=<:;01>m:f;`;f>{t;j=96=4={<1f03<3;816?n9<:c:a?xu4k??1<7;t=2f15?249278h?=5423896e1>3h3n637:<1:p7f0?2909=v3k;4m:o18>?4=2g0b?249278i9>5423896c393>8=63k=6;605>;4m;=18>?4=2g18=63k=e;605>;4m::18>?4=2g05?249278i><5423896c4;3>8=63k<7;605>;4m:218>?4=2g0=?249278i>l5423896e1l3h3n634?:2y>7fbf2=9:70=ldc8774=:;j>86o6m;|q0g22=83np1>mjf;605>;4ko:18>?4=2ae7?249278ok:5423896ea=3>8=63=<:;01>mi9;605>;4kok18>?4=2ae5?249278ok<5423896e0=3h3n6s|3b53>5<5s49n894;309>7f162k2i7p}7a?32=9:70=k948774=:;m3=69=>;<1g=2<3;816?i77:512?85c100??<523e;b>156349o4;4;309>7a>02=9:70=k898774=:;m2269=>;<1g156349o4h4;309>7a>a2=9:70=k908774=:;m3969=>;<1g=6<3;816?n:m:c:a?xu4k=i1<7<:{<1g`c<3;816?ik?:512?85cm80??<523eg1>156349ono4;309>7add2=9:70=kc68774=:;mn969=>;<1g`0<3;816?ij9:512?85cl>0??<523ef;>156349oh44;309>7abf2=9:70=kbe8774=:;mhn69=>;<1gfc<3;816?im?:512?85ck80??<523ea1>156349oo>4;309>7ae32=9:70=kc48774=:;mi=69=>;<1gg=<3;816?im6:512?85ckh0??<523eaa>156349oon4;309>7aec2=9:70=kcd8774=:;mim69=>;<1g`5<3;816?ij>:512?85cl:0??<523ef7>156349h8i4m8c9~w6ee:3:1>v3=838p1>k=f;6:a>;4k;o1n5l4}r1`gg<72;q6?h=n:5;f?85d;80i4o5rs2a`g?6=:r78i>k548g896e4:3h3n6s|3bag>5<5s49n?k4;9d9>7f542k2i7p}7}:;l>:697j;<1`70mk0;296~;4m=8184k4=2a03?d?j2wx?nj>:18185b<:0?5h523b1;>g>e3ty8oo=50;0x96c5=3>2i637`412=3n70=l378a52z?0a71=<0o01>m<9;`;f>{t;jh=6=4={<1f6=<31l16?n=n:c:a?xu4kk=1<7m278o>l5b9`8yv5dj10;6?u23d0b>1?b349h?n4m8c9~w6ee13:1>v3k=d;6:a>;4k8l1n5l4}r1`ff<72;q6?h>548g896e5;3h3n6s|3b`e>5<5s49n?<4;9d9>7f432k2i7p}7:6e:?0g73=j1h0q~=lc083>7}:;l98697j;<1`63ml2;296~;4m:>184k4=2a13?d?j2wx?nm<:18185b;<0?5h523b0;>g>e3ty8on:50;0x96c4>3>2i637`502=3n70=l2`8a52z?0a6>=<0o01>m=c;`;f>{t;ji<6=4={<1f7<<31l16?nm278o?h5b9`8yv5dkh0;6?u23b13>g>e349n?i47a59~w6efn3:1>v3;<1g7a<3;816?i=j:512?85c;o0??<523e63>156349o8<4;309>7a252=9:70=k428774=:;m>?69=>;<1g72<3;816?i=7:512?85c;00??<523e1b>156349o?o4;309>7a5d2=9:70=l438a52z?0a10=<0o01>m;0;`;f>{t;jh:6=4={<1g2`<31l16?n?j:c:a?xu4km81<7m278o>h5b9`8yv5b8:0;6?u23c:5>g>e349h>=47a09~w6c7m3:1>v376n1:p7`7>2909w0=m9b8a;|q0a4e=838p1>ln0;`;f>;4k:;14l?4}r1f5a<72;q6?oo>:c:a?85d;;03m<5rs2g2a?6=:r78nl<5b9`896e4;32j=6s|3d3e>5<5s49im>4m8c9>7f5321k:7p}7}:;kk>6o6m;<1`72k=2;296~;4jh<1n5l4=2a0f92wx?h>;:18185e0>0i4o523b0a>=g63ty8i=;50;0x96d?03h3n637g>>2k2i70=l388;e4=z{:o;;7>52z?0f=g=j1h01>m{t;l:36=4={<1am58`38yv5b8h0;6?u23c:g>g>e349h?i47a09~w6c7j3:1>v3;|q0a5b=838p1>l60;`;f>;4k;;14l?4}r1f4c<72;q6?o7=:c:a?85d::03m<5rs2g24?6=:r78n4=5b9`896e5<32j=6s|3d32>5<5s49i594m8c9>7f4221k:7p}7}:;k3=6o6m;<1`62k>4;296~;4j0=1n5l4=2a1f92wx?h?::18185e110i4o523b0:>=g63ty8i<850;0x96d>13h3n637g?f2k2i70=l2b8;e4=z{:o:47>52z?0fm=d;:b5>{t;l;j6=4={<1a=a>58`38yv5d1?b349ii44m8c9~w6e2=3:1>v3k92;6:a>;4jl81n5l4}r1`1=<72;q6?h8<:5;f?85em:0i4o5rs2a6=?6=:r78i;:548g896db<3h3n6s|3b7b>5<5s49n:84;9d9>7gc22k2i7p}7}:;l<<697j;<1aa2m:d;296~;4m?2184k4=2`fg>e3ty8o8>50;0x96c2k3>2i637`3c2=3n70=meb8a>7>52z?0a0c=<0o01>ljd;`;f>{t;j?86=4={<1f1c<31l16?okj:c:a?xu4k<>1<7m278nhh5b9`8yv5dik0;6>u23e02>1?b349hmn4m8c9>7a452=3n7p}j=4;6:a>{t;j3m6=4<{<1g42<31l16?n>>:c:a?85c810?5h5rs2ab6?6=;r78h=7548g896e6;3h3n634?:2y>7a6a2=3n70=mf58aj>1;6:a>;4jo?1n5l4=2f26?2>m2wx?no::18085c9:0?5h523cd5>g>e349o=94;9d9~w6ef>3:1?v36}:;m;<697j;<1`47m278o==5b9`896b6i3>2i6s|3bc:>5<4s49o=o4;9d9>7f632k2i70=k1b87=`=z{:ijm7>53z?0`4b=<0o01>m?5;`;f>;4l8o184k4}r1`e5<72:q6?i>n:5;f?85d8m0i4o523e2a>1?b3ty8ol?50;1x96b7k3>2i63mkb;6:a>;4jo91n5l4}r1``0<72;q6?n7l:2`g?85dl?0i4o5rs2ag7?6=:r78i9;548g896cel3h3n6s|3d:4>5<49r78i5951154?85d;o03m?523b2:>=g4349h7`>a21k:70=lad8;e7=:;jkh65o=;<1`07=g5349nn447a39>7f0421k:70=l448;e4=:;j=265o>;<1f=1:9c6?85d>=03m>523b60>=g4349n4l47a59>7`>c21k970=j8d8;e0=:;l2:65o>;<1f<0523d5g>=g5349n:o47a09>7`d521k970=jb98;e4=:;lk>65o=;<1fe==g6349ijo47a49>7f7>21k870=l108;e6=:;j;965o;;<1`40;:9c7?85b?;03m>523b2g>=g1349n;<47a79>7f6621k=70=l6e824d><5:hm;76n5:?0`1?=<0o01>m96;:b5>{t;l=m6=4=dz?0a2`=99=<70=l3g8;e1=:;j:i65o=;<1f=3=g3349h;847a29>7f0421k970=l448;e6=:;j=265o=;<1`24=g1349n4447a59>7`>c21k>70=j8d8;e4=:;l2:65o9;<1f<3523d54>=g1349n;h47a09>7`0c21k:70=jb98;e1=:;lhj65o;;<1`22k03m?523b4`>=g4349nm;47a09>7`g021k970=j968;e1=:;l3j65o:;<1f=f=g6349n;?47a79>7`1621k970=l6g8;e1=:;j:j65o=;<1ab2>1<7=k{<1f31<68>=01>lj9;:b5>;4jlk14l?4=2`ff?>f9278nhm58`3896dbl32j=63li1;:b5>;4jl814l?4=2`f7?>f9278nh:58`3896db=32j=63=0h;01>m;4k9h14l?4=2g;b?>f:278o9<58`7896e0?32j963m;5;:b1>;4k>314l;4=2a55?>f:278o;:58`6896e3132j?6301>k71;:b7>;4m1914l<4=2g;1?>f=278i:958`6896c0k32j863km1;:b7>;4mk214l<4=2a53?>f9278o;o58`0896e1j32j963k63;:b5>;4m0=14l<4=2g:e?>f9278nkl58`6896e6132j=63li7;:b0>;4l=k184k4=2a72?>f<278nko58`68yv5b>l0;6>16?n=i:9c5?85en;03m?523cd0>=g5349h<447a09>7`?121k970=lad8;e6=:;jkh65o<;<1`07=g2349n;447a09>7`d>21k:70=l628;e1=:;j>>65o=;<1`3<=03m?523b6:>=g5349n4l47a09>7`>c21k:70=j8d8;e6=:;l2965o>;<1f<6=g2349nn?47a29>7`d421k>70=l668;e0=:;j;<1fe0=g6349ijk47a59>7f7>21k>70=l138;e7=:;j:>65o=;<1`41k:9c2?85b?803m<523b22>=g6349h:h47a39>7g`021k970=k4c87=`=:;j>=65o=;<1abd=01>kmd;:b6>;4k:l14l;4=2`e6?>f;278nk=58`1896e7132j>63m87;:b6>;4k>;14l=4=2a41?>f>278io758`7896e1;32j?63m?7;:b1>;4k=314l:4=2g;=?>f9278i5k58`6896c?932j>63k87;:b6>;4m>i14l=4=2g4`?>f;278i;l58`6896c1k32j>63m9a;:b1>;4k?h14l:4=2gb1?>f=278il858`0896cf032j863lib;:b6>;4k8314l:4=2a56?>f<278om?d;:b6>;4m>;14l;4=2a35?>f:278nk958`3896b3>3>2i637f2c21k970=l4c8;e3=:;l2?65o=;<1f35m03m9523d`1>=g1349h8:47a29>0g7221k:70=l638;e7=:;l3n65o=;<1`53l:9c7?85b<103m<5rs2g70?6=9;4k:l14l=4=2a74?>f;278o:?58`0896c0132j?63k87;:b5>;4mh=14l?4=2g:1?>f>278o9958`6896e6l32j963m>6;:b1>;4m>814l:4=2a3e?>f<278nk658`3896dai32j=6s|3eg0>5<4s49n>>4>065896d3932j>637`4328:<;63h76n1:p7a`>2908w0=j2g82421<5:h?=76n1:?0f25=0h;0q~=kfb83>6}:;l9j6<>87:?0f17=0h901>l87;:b5>{t;mlo6=4<{<1f7`<68>=01>l;0;:b5>;4j>314l?4}r1gb`<72:q6?h=i:0243>;4j=;14l:4=2`4f?>f92wx?ihi:18085b<90:<:94=2`74?>f<278n:j58`38yv5b890;6>u23d62>460?278n9>58`1896d0n32j=6s|3d22>5<4s49n8?4>065896d3832j:637`2428:<;636}:;l8=6<>87:?0f17=0h<01>l;b;:b5>{t;mo=6=4<{<1f62<68>=01>l;2;:b6>;4j=n14l?4}r1ga2<72:q6?h<7:0243>;4j=814l?4=2`7b?>f92wx?ik7:18085b:00:<:94=2`76?>f;278n8?58`38yv5cm00;6>u23d0b>460?278n9<58`6896d2;32j=6s|3egb>5<4s49n>o4>065896d3:32j9637`4d28:<;63;76n1:p7acd2908w0=j2e82421<5:h??76n2:?0f0?=0h;0q~=kee83>6}:;l8n6<>87:?0f15=0h;01>l:b;:b5>{t;mom6=4<{<1f75<68>=01>l;3;:b7>;4j:0243>;4j=914l:4=2`55?>f92wx?ih>:18085b;;0:<:94=2`77?>f=278n;=58`38yv5cn;0;6>u23d10>460?278n9=58`4896d1=32j=6s|3ed0>5<4s49n?94>065896d3<32j>637`5228:<;636}:;l9<6<>87:?0f12=0h>01>l9d;:b5>{t;ml<6=4<{<1f7=<68>=01>l;4;:b1>;4j?l14l?4}r1gb=<72:q6?h=6:0243>;4j=>14l84=2`45?>f92wx?ihn:18085b;k0:<:94=2`41?>f9278n9;58`38yv5cnk0;69u23d1`>460?278n4h58`3896d3=32j>637`3>28:<;6384b8;e5=z{:o><7>52z?0a0g=99=<70991;:b4>{t;l?:6=4={<1f24<68>=01:8;:9c3?xu4m<81<716;;958`28yv5b=:0;6?u23d40>460?27<:l47a19~w6c2<3:1>v3=;65o?;|q0a00=838p1>k96;3332=:?>914l>4}r1f12<72;q6?h88:0243>;0??03m=5rs2g65<5s49n9o4>0658922a21k;7p}o7??769>304=0h:0q~=j4b83>7}:;l?o6<>87:?410k;d;296~;4mf82wx?h:j:18185b=o0:<:94=67a>=g73ty8i9h50;0x96c183;;;:5274g97fbf28:<;631}:;jni6<>87:?0g4d=0h;01>kn4;:b5>;4m>h14l<4}r1f00<728;p1>k;5;3332=:?32?=99l=70986;33b3=:?>91==h9;<544?77n?16;;j511d5?811i3;;j;52775955`134==87??f79>337=99l=709;c;33b3=z{:n=i7>5fz?0`3c=99=<70=ld68;e7=:;j>i65o:;<1f<1m03m>523b64>=g5349o;=47a39>0g7221k870=l638;e6=:;l3n65o<;<1`5`523d5a>=g23ty8h8>50;cx96b2n3;;;:523dcb>=g1349h<:47a29>7g`a21k870=mf78;e7=:;ki?65o=;<1f=g33ty8h8850;5x96b183;;;:523dcb>=g6349nn>47a39>7gef21k970=j8b8;e4=:;j;:65o>;<1f=gj:7;291~;4l?<1==98;<1fedi:9c5?85bj<03m9523caa>=g53ty8h8650;7x96b1?3;;;:523dcb>=g4349h7`d221k:70=mcb8;e7=z{:n>57>54z?0`3>=99=<70=l0d8;e6=:;lh>65o=;<1agaj:a;290~;4l?31==98;<1`4`=g1349iok47a39~w6b2k3:15v37`>e21k>70=l068;e4=:;klm65o=;<1a`5=g63ty8h8j50;7x96b1k3;;;:523dcb>=g2349h7`d121k870=md08;e7=z{:n>i7>55z?0`3b=99=<70=ja88;e7=:;j:m65o<;<1ff316?ho6:9c2?85d8o03m<523d`5>=g6349io847a39~w6b2:3:19v37f6b21k=70=jb78;e7=:;ki=65o=;|q0`05=83?p1>j93;3332=:;lk265o<;<1`4c03m?5rs2f60?6==r78h;:51154?85bi003m;523b2f>=g2349nn847a79>7ge?21k97p}7`g>21k>70=l0d8;e1=:;lh>65o<;<1ag<jkb;296~;4lml1==98;<1`51jkc;296~;4ll:1==98;<1`52jkd;296~;4ll;1==98;<1`51jke;296~;4ll81==98;<1`52j6b;296~;4lkh1==98;<1aa<jn6;296~;4lki1==98;<1ab5jm1;296~;4lj=1==98;<1ab4jm4;296~;4lm81==98;<1aa7jm5;296~;4lm?1==98;<1aa6jm6;296~;4lm<1==98;<1aa1jm7;296~;4lm=1==98;<1aa0jm8;296~;4lm21==98;<1aa3jm9;296~;4lm31==98;<1aa2jma;296~;4lmk1==98;<1aa=j6c;296~;4lkn1==98;<1aadj6d;296~;4lko1==98;<1aagj6e;296~;4lkl1==98;<1aafj6f;296~;4lj:1==98;<1aaajn0;296~;4lj;1==98;<1aa`jn1;296~;4lj81==98;<1aacjn2;296~;4lj91==98;<1aa<jn3;296~;4lj>1==98;<1ab5jn4;296~;4lj?1==98;<1ab4jn5;296~;4lj<1==98;<1aa7jn7;296~;4lj21==98;<1aa6jn8;296~;4lj31==98;<1aa1jn9;296~;4ljk1==98;<1aa0jna;296~;4ljh1==98;<1aa3jnb;296~;4lji1==98;<1aa2jnc;296~;4ljn1==98;<1aa=jnd;296~;4ljo1==98;<1aadjne;296~;4ljl1==98;<1aagjnf;296~;4lm:1==98;<1aafjm0;296~;4lm;1==98;<1aaajm2;296~;4lm91==98;<1aa`jm3;296~;4lm>1==98;<1aacj8e;296~;4l0:1==98;<1`56j8f;296~;4l0>1==98;<1ab1j70;296~;4l0?1==98;<1ab0j71;296~;4l0<1==98;<1abfj72;296~;4l0=1==98;<1abcj73;296~;4l021==98;<1`47j74;296~;4l031==98;<1`46j75;290~;4l0k1==98;<1`ac<31l16?n>>:9c0?85dmk03m95rs2f45?6==g6349hio47a49~w6b0:3:18v34;9d9>7g`321k:70=lee8;e1=z{:n54z?0`=>=99=<70=lf587=`=:;kl>65o>;<1`afj84;290~;4l131==98;<1`b0<31l16?nkn:9c7?85enj03m>5rs2f41?6==g6349hil47a49~w6b0>3:18v37f6521k:70=le`8;e3=z{:n<;7>54z?0`=e=99=<70=lf987=`=:;j:865o>;<1`aaj88;290~;4l1n1==98;<1`b<<31l16?nkl:9c5?85d8=03m<5rs2f4=?6==g3349h<847a09~w6b0i3:18v37f6c21k870=lec8;e3=z{:n54z?0`<7=99=<70=lf387=`=:;joo65o=;<1`57j8c;293~;4l081==98;<1ab7=g4349n;o47a29>7f1e21k87p}7g`421k:70=j7c8;e1=:;j;i65o=;<1`e`fc29f=d<58lo476n2:?2bab=0h801;6nl;14l<4=0df2?>f:27:jk<58`18yv5e;l0;6?9t=2a0f:278o>958`0896e4=32j>63m<1;:b6>;4k::14l<4=2a1b?>f:278o?k58`0896e5l32j>63m=8;:b6>;4k;=14l<4=2a12?>f:278o?;58`0896e5<32j>6376n2:?0g77=0h801>m>f;:b6>;4k:o14l<4=2a0`?>f:278o>m58`0896e4j32j>63m=0;:b6>;4k;h14l<4=2a45?>f9278n985b9`896e1i32j:63m9f;:b6>{t;j;;6=4;{<1`42=g33ty8nk750;:x96e6;32j96376n5:?0fc3=0h?01>li4;:b1>;4joh1n5l4=2`eb?>f>278nkm58`48yv5d810;65u23b30>=g5349h<>47a39>7f6521k970=mf48;e7=:;kl?65o=;<1`4<01>lie;:b1>;4k8814l84=2a31?>f>278o=:58`4896da?3h3n637f7e2k2i70=l1b8;e7=z{:i;m7>53z?0g5d=j1h01>m?7;:b2>;4k9;14l;4}r1`a=<721q6?n?m:9c6?85b?003m9523bgf>g>e349n5847a59>7f2021k>70=ja58;e3=:;j;=65o;;<1f3gm8a;29<~;4k>h1n5l4=2g4=?>f=278i4;58`7896e3?32j=63k;8;:b6>{t;lk86=49{<1f<<=g3349nm547a49>7`g32k2i7p}6}:;l3=65o<;<1ff<278io75b9`896cei32j=6s|3b3`>5<2s49h=n47a59>7`>221k?70=j718;e6=:;lk>65o;;<1`5ak7e;290~;4m0<14l?4=2g;b?d?j278o:?58`7896c?:32j>6s|3d;;>5<4s49nn>47a09>7`?>2k2i70=j9c8;e3=z{:o2?7>54z?0a<2=j1h01>k7b;:b2>;4mhh14l?4=2g:f?>f92wx?h7::18085b1?0i4o523dc`>=g4349n5n47a59~w6c>l3:19v376n4:?0a<3=0h901>k67;:b7>;4m0o1n5l4}r1f3d<72?q6?h9m:c:a?85d>803m;523b60>=g2349n;n47a39>7f0021k=70=l478;e3=z{:i?87>520y>7f5?21k870=l368;e6=:;j9>65o<;<1`71523b12>=g4349h?=47a29>7f4a21k870=l2d8;e6=:;j8o65o<;<1`6f523b0;>=g4349h>:47a29>7f4121k870=l248;e6=:;j8?65o<;<1`66523b3e>=g4349h?h47a29>7f5c21k870=l3b8;e6=:;j9i65o<;<1`7d523b03>=g4349h>o47a29>7f222k2i7p}m>6;:b5>;4k9k14l;4=2`ef:278nko58`78yv5d9<0;6>u23b34>=g4349h=947a29>7f712k2i7p}m87;`;f>{t;j=36=4;{<1`52=g43ty8i9950;cx96e6?32j863m:f;:b0>;4k>914l<4=2g77f2721k970=l628a;<1`5f=g13ty8i:650;6x96c013h3n636}:;j>865o9;<1`03l16=9li:025a>;6;65<5s4;?n>47a19>51ge2=?97p}>4c:94?4|58>io77>6:?20gd=0h80q~?;ad83>7}:9=hh698l;<37ecf82wx=9l9:181873jj0?9:5215`2>=g73ty:8o:50;1x942e=3h3n63>4ca9036<58>jn7::f:p17?f2909w0;=a08774=:=;3i6o6m;|q66;2:0l1n5l4}r71==<7289p18;2:ji18>?4=40g1?24927>>i854238904c?3>8=63:2e:9067<5<8o57:<1:?66ag=<:;018;2:mi18>?4=40``?24927>>nk54238904dn3>8=63:2e29067<5<8o=7:<1:?66a4=<:;018;2:m>18>?4=40:=?d?j2wx9?7l:180835io0??<5253`3>15634?95i4m8c9~w04e93:1>v3:2c790;2:0>1n5l4}r71a5<72;q69?o7:5;f?8351<0i4o5rs40;a?6=>r7>>4l58`48904>:32j=63:2d69{t=;2m6=4={<71e5<31l169?7>:c:a?xu2:0<1<7=t=40a1?24927>>o854238904>?3h3n6s|53c1>5<5s4?9m<4;9d9>17?52k2i7p}:2`194?2|5<8j87l7b:?66<3=0h8018<64;:b6>;2:k214l?4}r71f2<72;2:0?14l:4=40:0?>f<27>>4>58`08904e032j96s|53cf>5<5s4?9n=4>06589041;32j?6s|53cg>5<5s4?9mk4>06589042n32j?6s|53c2>5<3s4?9m<4>0658904f<32j=63:28292909w0;=cc82421<5<89<76n4:p17e52909w0;=cb82421<5<8:o76n3:p17e42909w0;=d482421<5<89j76n3:p17e3290>w0;=d782421<5<8oh76n2:?66<6=0h>018<63;:b0>;2::<14l:4}r71g0<72=q69?j8:0243>;2::k14l=4=40:4?>f>27>>4=58`08yv35k?0;6?u253f;>460?27>>>j58`18yv35k>0;6?u253f:>460?27>>>h58`18yv35k10;6?u253fb>460?27>>9<58`18yv35k00;6?u253fa>460?27>>9:58`08yv35kh0;6?u253f`>460?27>>9658`08yv35jh0;6?u253ag>460?27>>?o58`08yv35jk0;6?u253af>460?27>>9l58`08yv35jj0;6?u253ae>460?27>>9h58`08yv35jm0;6?u253f3>460?27>>8=58`08yv35jl0;6?u253f2>460?27>>8958`08yv35jo0;6?u253f1>460?27>>8l58`08yv35k90;6?u253f0>460?27>>8h58`08yv35k80;6?u253f7>460?27>>;=58`08yv35i?0;69u253c;>460?27>>4;58`38904>832j963:281917g028:<;63:2ef9{t=;h?6=4<{<71f3<68>=018<;2:0?14l=4}r71f6<72:q69?l::0243>;2:0>14l=4=4001?>f>2wx9?on:181835ij0:<:94=40:1?>f>2wx9?o6:181835ik0:<:94=40:0?>f>2wx85?7:18082?900i4o524e67>=g434>3<547a59~w1>><3:18v3;8879f=d<5=2;;76n6:?7<7?=0h?01966d;:b2>{t<13=6=4<{<6;=28:9c6?82?1m03m85rs5`e=?6=:9q68::?:c:a?820><03m<52466a>=g334><9>47a39>023221k970:8568;e7=:<>?265o=;<641g=g534><;447a39>021e21k970:87e8;e7=:<>=m65o=;<64<4=g534><:o47a29>020a21k870:8728;e6=:<>=<65o<;<6ag<=g234><:;47a49>0def21k>70:nb58;e1=:14l:4=5574?>f;27?;>h5b9`891g?:32j=63;79:9;3ihi14l<4=5575?>f;27?mi>58`7891b7l32j863;ac4938o4?:5gx904f<32j?63;7529;30?214l<4=5:5=?>f:27?4;858`0891>1i32j>63;8449019693;:b6>;3?l<14l=4=5f:`?>f927?;h?58`38911aj32j?63;8259;30:o14l?4=5:74?>f927?49=58`3891>3=32j=63;8559;30=314l:4=5f:1?>f;27?;h658`08911bk32j>63;7dc9;3?o<14l=4=5c;6?>f;27?;5658`189114<32j?63;84g9;30?814l=4=5:6b?>f;27?48j58`1891>2k32j?63;8609;30>?14l=4=5:44?>f;27?4;h58`1891>1832j?63;8459476n3:?7<0?=0h90196:4;:b7>;30f;27?4:858`1891>2932j?63;73d9;30>l14l;4=5:00?>f;27?4>858`1891>0132j:63;ae29;3ihn14l:4}r6be1<72;;p19on4;`;f>;3k?k18>?4=5a5g?24927?o5<5423891e?i3>8=63;c9a9067<5=i3i7:<1:?7g<6=<:;019m62;605>;3k0>18>?4=5a:2?24927?o;j5423891e1n3>8=63;c639067<5=i;3k>318>?4=5a4f?24927?o:j5423891e0n3>8=63;c919067<5=i397:<1:?7g=1=<:;0199;b;:b1>;3?=i14l:4=5564?>f<27?;;m58`689110832j863;7669=0h>019j?d;:b2>{t<>226=4k{<64=g434>jm947a79>0g7721k=70:mc88;e0=:=g134>joh47a29~w11483:1>;u24612>g>e34>hmk4;309>0fd62=9:70:lc68774=:;<6``4<3;8168nj<:512?82dl<0??<524bf4>15634>hh44;309>0fbe2=9:70:lb38774=:;<6`f3<3;8168nl7:512?82djh0??<524b``>15634>hnh4;309>0fe72=9:70:lc38774=:;<6`g=<3;8168nmn:512?82dkj0??<524c21>=g334>i<=47a59>0g6621k?70:m028;e1=:9:9c7?82e8>03m9524c2;>=g334>i<447a59>0g6f21k?70:8668;e1=:f=27?nll58`6891d6832j96s|466g>5<8h4m8c9>020221k970:m038;e0=:<:9c6?82e8=03m8524c26>=g234>i<;47a49>0g6021k>70:m098;e0=:k:9c6?xu3??>1<7?7{<6420=g234><9947a49>023121k>70:8598;e0=:<>?j65o:;<641f903m8524641>=g234><;l47a49>021d21k>70:87d8;e0=:<>2;65o:;<64<7=g234><;=47a49>021321k>70:8798;e0=:<><365o:;|q7330=83;3w0:8668a>h65o9;<6415=g134><9547a79>023f21k=70:85b8;e3=:<>?n65o9;<6425=g134><;h47a79>02>721k=70:8838;e3=:<>2?65o9;<64<3=g134><;547a79>020?21k=7p};72094?70s4>4m8c9>023421k>70:8548;e0=:<>?<65o:;<641<=g234><:<47a49>021>21k>70:87c8;e0=:<>=o65o:;<643c:9c6?8200:03m85246:6>=g234><4:47a49>020e21k>70:86g8;e0=:<>=865o:;<643232j:63;75`9;<6;6=524e15>=g434>o>l47a29>0a7b21k870:7248;e4=:;|q7egg=839p19ome;`;f>;30;:14l;4=5c`5?>f;2wx9=g43ty?44h50;1x91>f93h3n63;80d965o9;|q7f5`=839p19l>3;:b2>;3j8:1n5l4=5`22?>f>2wx8io;:18:82fk=03m9524ecf>=g634>on>47a09>0=2b21k970:ka582421<5==3i76n1:?7ea1=99k370:8bc8;e7=:<>2o65o>;|q73=g=838p1997b;`;f>;3?1o14l:4}r6be4<72;ip19697;:b7>;30?214l=4=5:5=?>f;27?4;858`1891>1i32j?63;84490196:3;:b1>;30=314l?4=5cb6?d?j27?48k58`0891>1932j>63;8769;30f:27?4:<58`0891>0;32j>63;8669;30?l14l<4=5:54?>f:27?48958`0891>2032j>63;84;9876n2:?7<0g=0h8019687;:b6>;30><14l<4=5:65?>f:27?48<58`0891>4932j?63;8219;30>o14l=4=5:1g?>f;27?4:758`78yv2c>k0;6>u24e50>g>e34>02>c21k>7p};ab794?2|5=kh:7l7b:?7`;3ij214l84}r6402<72=q68:h?:9c2?820mj03m>52466;>g>e34>{t<>>>6=4={<6403f<27?;9:5b9`8911cn32j:6s|4`5e>5<4s4><8;47a79>02c321k=70:n818a5az?7312=0h;019860;`;f>;301;14l=4=55e0?>f<27?45=58`18910?k32j>63;7d19{t5247:`>g>e3ty?45750;ax91>?032j:63;89c9f=d<5=23n76n1:?7<=e=0h>01967d;:b2>;301?14l:4=5:;5?>f=27?45>58`4891>?:32j:63;89g9f}:<12365o>;<6;=g634>34847a09>0=>621k:70:7818;e4=:<12965o>;<6;<`;3?ok14l=4}r64b7<72524`:3>=g334>02`?28:j46s|49c6>5<4s4>3m:47a29>0=g12k2i70:76b8;e6=z{=?9>7>521y>0=3421k?70::228a3:65o=;<64=7=g334>02d?21k?70:8b28;e1=:<>h965o;;<64e203m85246;;>=g234><5447a49>02?221k>70:89`8;e0=:<>hj65o:;<64f<5246c3>=g634>02gf21k:70:8ab8;e4=:<>k=65o>;<64e7=g134>3;n47a79~w1>2i3:14v3;8449;3?0>14l=4=5:6f?d?j27?4:658`7891>0m32j96s|494g>5<5=r7?4;k5b9`891>1k32j963;8449?76n3:?7<2?=0h>019961;:b0>;3?0814l:4=55bf=27?;lj58`78911>>32j963;7869;3?k>14l<4=55af:27?;o=58`08911e:32j>63;7`59;3?0314l?4=55:1?>f927?;4o58`38911ei32j=63;7c;901996f;:b5>;3?h914l?4=55b=?>f927?;ll58`38911f=32j=63;7`397}:<1?>65o:;<6;17?58`0891>4;32j>63;83a93?=4?:by>0=562k2i70:7358;e0=:<19=65o:;<6;72=g234>3?h47a49>0=2721k>70:7428;e0=:<1>>65o:;<6;02f=27?4?o58`7891>4032j963;82c9;30=;14l;4=5:70?>f=27?49858`7891>3032j96s|490f>53>h4m8c9>0=4f21k?70:7348;e1=:<19365o;;<6;7d=g334>38<47a59>0=2321k?70:7478;e1=:<1>365o;;|q7<64=83ip196<3;`;f>;30:=14l<4=5:0=?>f:27?4>l58`0891>4l32j>63;82g9;30==14l<4=5:00?>f<27?4>858`68yv2cio0;69u24910>=g634>on=4m8c9>0ad421k870:72b8;e4=z{=2h?7>59z?7;3lho14l<4=5:bf?>f927?58k58`3891?1j32j=63;96:90q~:7ac83>c}::9c5?82>m>03m;5248``>=g134>2o447a79>0=gc2k2i70:7b88;e7=:<0?<65o=;<6:21:9c1?82>9103m>52482;>=g434>3i:47a29>0=d221k?7p};92394?7>s4>2??4m8c9>0<4121k>70:n098;e4=:<0=i65o:;<6b51<>03m85248c7>=g134>j>l47a79>0d5121k=70:n438;e3=:n65o9;<6b1d=g234>j><47a09>0;<6:g<=>03m9524847>=g334>2;<47a59~w1?5<3:1mv3;9529f=d<5=2jn76n2:?7=0c=0h801979b;:b6>;31>214l<4=5;0f927?59h58`3891>ci32j=63;9g;965o9;<6;g6=003m>524845>=g434>2;>47a29>0<4e21k97p};92494?0|5=38;7l7b:?7=7e=0h90196k2;:b6>;31f:27?5:858`08yv2>;=0;6?u24816>g>e34>jmk47a39~w1?c:3:19v3;9e19f=d<5=2o>76n3:?7=0e=0h9019799;:b7>;31><14l=4}r6:e3<72hq684o8:c:a?82?k:03m952487:>=g334>2:;47a59>0<1421k?70:7ag8;e4=:<1l;65o>;<6:54:9c2?82>n:03m;5rs5:ab?6=:r7?4n>5b9`891>e>32j86s|49a2>53o?4m8c9>08m03m<52483g>=g63ty?5ll50;1x91?4:32j=63;9`a9f=d<5=kjj76n3:p0=g134>j:>47a79>0d0a21k=70:n7c8;e3=:<0oh6o6m;<6:6ff927?59m58`3891?5j32j=63;8e792?h4?:dy>0<5>21k970:63g8amo03m85249a:>=g234>j>i47a49>0d5>21k>70:n448;e0=:758`7891?4l3h3n63;9439;30j314l:4=5c1`?>f<27?m>758`6891g3=32j863;a439h76n4:?7e3?=0h>019o85;:b0>{t<0926=4={<6:7d:9c5?xu30m<1<758`18yv2?l10;6>u249f:>g>e34>3i=47a59>0d6721k?7p};9`:94?0|5=3j57l7b:?7=a3=0h80196m3;:b6>;30l?14l<4=5;32?>f:27?5<858`08yv2>u24873>g>e34>28h47a39>07p};94694?5|5=3>:7l7b:?7=c1=0h?0197:5;:b1>{t<0<:6=4={<6:26k0;64u2494`>g>e34>3::47a59>0=0?21k?70:7688;e1=:<1=g63ty?5lj50;4x91?fm3h3n63;9gf90197>1;:b0>;31;;14l:4}r6:6c<72;q684=?:c:a?82>n:03m95rs5:4=?6==r7?4:75b9`891>0032j:63;86f96}:<1=h6o6m;<6;3a5<4s4>i0g6d21k>70:mag8;e0=z{=h?47>53z?7f5e=0h>019l;9;`;f>;3jhl14l:4}r65ag<72;q684hi:9c7?821mm0i4o5rs54f=?6=:r7?:h75b9`8910bk3>8n6s|47df>5<5s4>=jh4m8c9>03c>28:j46s|462f>5<5s4><03c>2=oi7p};6d:94?4|5=7}:7}:<>:o6o6m;<65a=<3mk1v98j7;296~;3>l=1n5l4=54f46f02wx8:>l:1818208j0i4o5247g4>1ce3ty?:h850;0x910b>3h3n63;6d5906d=jo4?:3y>03`e2k2i70:9e7824d><026e2k2i70:9e787ag=z{=52z?72`3=j1h0198j6;60f>{t{t<>:j6=4={<644do21<70;6?u24624>g>e34>=j54>0`:8yv209?0;6?u24635>g>e34>=j54;ec9~w10a?3:1>v3;6g59f=d<5=n8:p02722909w0:8148a;3>o=18>l4}r6440<72;q68:>::c:a?821n?0:3>8n6s|4627>5<5s4><<94m8c9>03`228:j46s|4630>5<5s4><=>4m8c9>03`22=oi7p};6g694?4|5=7}:<>:86o6m;<65b1<68h20q~:81383>7}:<>;96o6m;<65b1<3mk1v98i3;296~;3>o91n5l4=54e0?24j2wx8:>=:1818208;0i4o5247d0>46f02wx8:?>:181820980i4o5247d0>1ce3ty?:k<50;0x910a:3h3n63;6g1906d<<<4?:3y>02662k2i70:9f3824d><==4?:3y>02772k2i70:9f387ag=z{=52z?72c7=j1h0198i2;60f>{t<>:;6=4={<6445:02b<>{t<>:m6=4={<644c:5ga?xu3>o:1<7g>e34>=j=4>0`:8yv20800;6?u2462:>g>e34>=j=4;ec9~w10b<3:1>v3;6d69f=d<5=2909w0:9f88an8:p026?2909w0:8098a;3?821==o7;|q7377=838p199=1;`;f>;3?8218>l4}r646f<72;q68:8n6s|460b>5<5s4><>l4m8c9>027a28:j46s|463f>5<5s4><=h4m8c9>027a2=9i7p};73;94?4|5==957l7b:?734c=99k37p};70f94?4|5==:h7l7b:?734c=<:h0q~:82983>7}:<>836o6m;<645a<68h20q~:81b83>7}:<>;h6o6m;<645a<3;k1v99=7;296~;3?;=1n5l4=552g?77i11v99>b;296~;3?8h1n5l4=552g?24j2wx8:<9:181820:?0i4o52463a>46f02wx8:?n:1818209h0i4o52463a>15e3ty?;?;50;0x9115=3h3n63;70c955g?3ty?;<750;0x911613h3n63;70c906d<>94?:3y>02432k2i70:818824d><=:4?:3y>02702k2i70:818877g=z{==9>7>52z?7374=j1h0199>7;33e==z{=52z?7=c`=0h?0198ma;`;f>{tjh1<7mh1<7g>e34>=n;4;3c9~w10di3:1>v3;6bc9f=d<5=v3;6ec9f=d<5=69=m;|q72f?=838p198l9;`;f>;3>k>1==o7;|q72a?=838p198k9;`;f>;3>k>18hl4}r65f6<72;q68;l<:c:a?821j=0??o5rs54`nn6s|47`1>5<5s4>=n?4m8c9>03d42=9i7p};6b594?4|5=7}:6o6m;<65f7<3;k1v98k4;296~;3>m>1n5l4=54`1?77i11v98j3;296~;3>l91n5l4=54`1?2bj2wx8;m;:181821k=0i4o5247a6>15e3ty?:i=50;0x910c;3h3n63;6b6955g?3ty?:h<50;0x910b:3h3n63;6b690`d=o>4?:3y>03e42k2i70:9c5877g=z{=7>52z?72a4=j1h0198l3;33e==z{=52z?72`7=j1h0198l3;6ff>{tm;1<7l:1<7g>e34>=o?4;3c9~w10c83:1>v3;6e29f=d<5=v3;6ed9f=d<5=;3>j:1==o7;|q72ac=838p198ke;`;f>;3>j:18hl4}r65fc<72;q68;li:c:a?821k90??o5rs54`a?6=:r7?:nk5b9`8910en3;;m55rs54g`?6=:r7?:ij5b9`8910en3>nn6s|47`f>5<5s4>=nh4m8c9>03da2=9i7p};6bf94?4|5=7}:ji1n5l4=54a`?77i11v98k6;296~;3>m<1n5l4=54a`?2bj2wx8;l>:181821j80i4o5247`g>15e3ty?:n850;0x910d>3h3n63;6c3955g?3ty?:i;50;0x910c=3h3n63;6c390`d>5k4?:3y>00da20;:70::9g8a52z?712`=j1h019;mf;60f>{t<<3n6=4<{<663c<68h2019;me;;25>;3=0o1n5l4}r663a<72:q6889k:c:a?822?o0??o5244`f>15e3ty?94m50;1x9130l3;;m55244``><7634>>5n4m8c9~w130k3:1?v3;56a9f=d<5=?6}:<<=h6<>n8:?71gd=18;019;6b;`;f>{t<<=i6=4<{<663gg>e3ty?9:o50;1x9130i3h3n63;56`906d<5=?im7:2908w0::7`824d><5=?i577>1:?716}:<<=26o6m;<663d<3;k1688l6:51a?xu3=021<7=t=574=?77i11688l7:832?822110i4o5rs5748n63;5c:906d>5:4?:2y>001?28:j463;5c59=47<5=?2;7l7b:p00102908w0::768a=1==o7;<66f3<>98168879:c:a?xu3=><1<7=t=5742?d?j27?9:9542`8913e>3>8n6s|44;6>5<4s4>>;;4>0`:8913e=33:=63;5879f=d>;84?:2y>00122k2i70::77877g=:<69=m;|q71<2=839p19;85;33e==:<;<66=1>1n5l4=5741?24j27?9o:542`8yv221:0;6>u24457>46f027?9o=59038913>;3h3n6s|4451>5<4s4>>;?4m8c9>00132=9i70::b2877g=z{=?2=7>53z?7124=99k370::b08:54=:<<3:6o6m;|q7127=839p19;81;`;f>;3=>818>l4=57a5?24j2wx887?:180822?80:5b9`8yv22?90;6>u24453>g>e34>>;<4;3c9>00d72=9i7p};59d94?5|5=?<<7??a99>00ga20;:70::8g8a53z?713`=j1h019;80;60f>;3=hl18>l4}r66<`<72:q6888i:02b<>;3=ho15l0i4o52444e>15e34>>mh4;3c9~w13?l3:1?v3;57g955g?34>>mi46109>00>c2k2i7p};57f94?5|5=?=h7l7b:?713c=<:h019;nd;60f>{t<<2h6=4<{<662a<68h2019;nc;;25>;3=1i1n5l4}r662f<72:q6888l:c:a?822>m0??o5244c`>15e3ty?95l50;1x9131k3;;m55244ca><7634>>4o4m8c9~w131j3:1?v3;57`9f=d<5=?=o7:6}:<<n8:?71dg=18;019;7a;`;f>{t<<g>e3ty?9;750;1x913113h3n63;57c906d<5=?j57:?2908w0::68824d><5=?j477>1:?71=>=j1h0q~::8783>6}:<<2=6o6m;<662<<3;k1688o7:51a?xu3=h<1<7=t=57;2?77i11688m9:832?822i?0i4o5rs57;1?6=;r7?95;5b9`8913?>3>8n63;5b4906d>m84?:2y>00>228:j463;5b79=47<5=?j97l7b:p00>32908w0::858a69=m;<66g0<3;k1v9;n4;297~;3=1>1==o7;<66g1<>981688o;:c:a?xu3=191<7=t=57;7?d?j27?95:542`8913d<3>8n6s|44c0>5<4s4>>4>4>0`:8913d;33:=63;5`19f=d>4?4?:2y>00>52k2i70::82877g=:<;<66e7u244:2>46f027?9n?59038913f93h3n6s|44:3>5<4s4>>4=4m8c9>00>62=9i70::c0877g=z{=?j<7>53z?71=6=99k370::c18:54=:<;3=1:18>l4=57`4?24j2wx887k:180822?l0:u24450>g>e34>>;h4;3c9>00dc2=9i7p};58094?5|5=?00d520;:70::938a53z?713>=j1h019;83;60f>;3=k818>l4}r66<2<72:q68887:02b<>;3=h=15f:27?:l958`38911b?32j863;8549=0h>019675;:b7>;3?l>14l:4=54:b?>f927?;h=58`3891>3<32j>63:00g9153528:<;63;7549;3>1i14l?4=55f7?>f<27?45:58`3891g?832j=63;7d2976n2:?644e=0h80q~;?3c83>c}:=9?h6<>87:?7312=0h80199kf;:b0>;301914l?4=5:;6?>f<27?:4l58`38910?k32j863;7d19;3?o314l<4=55ee?>f927?49?58`089064832j>6s|511b>5<6:r7><8l51154?820<=03m>5247:f>=g434>34<47a39>02`521k970:8f58;e4=:<>l>65o=;<6;<6=g134>34947a49>0d>721k870:8e18;e6=:<>l265o:;<64bd<8o51154?8210l03m;5247;3>=g534>47a79>02`521k:70:7938;e7=:;<64ac<8751154?821i=03m<5247;6>1ce34>=4h47a09>03?721k>70:8f28;e0=:<>l;65o<;<64b75246gg>=g534>3?l47a39>154f21k97p}:02594?`|5<:>47??769>03g421k:70:99587ag=:=g334>34h47a39>0=?721k?70:7938;e1=:<>oh65o;;<64aa<8951154?821i;03m<5247;0>1ce34>34i47a39>03>b21k>70:9918;e1=:<>l865o<;<64b7=g534>022?21k:70:7348;e7=:=98=65o=;|q6463=83;:w0;?5782421<5=;301n14l:4=54;a?>f<27?:4>58`18911a;32j>63;7g09;3?l214l:4=55ff?>f:27?;9658`0891>5i32j>63:0369=g634>=5<4;ec9>022f21k970:78c8;e6=:<12h65o=;<6;=g134>34=47a39>02ba21k870:8f58;e7=:<>l>65o:;<6;<75249;0>=g334>02ce21k?70:98b8;e6=:<>>365o;;<6;0=0o1<7u247;`>g>e34>=5h4;3c9>03d72=9i7p};68c94?5|5=<2m7l7b:?72{t03>8n63;6`f906d=584?:2y>03?22k2i70:997877g=:;3>0?1==o7;<65eg<>981v9864;297~;3>0>1n5l4=54:1?24j27?:ll542`8yv21i:0;6>u247c0>g>e34>=594>0`:8910fi33:=6s|47;0>5<4s4>=5>4m8c9>03?32=9i70:9a`877g=z{=7>53z?72d4=j1h019863;33e==:;|q72<4=839p19862;`;f>;3>0918>l4=54b=?24j2wx8;o>:180821i80i4o5247;1>46f027?:l659038yv21180;6>u247;2>g>e34>=5?4;3c9>03g?2=9i7p};6`294?5|5=52z?722>=j1h019870;60f>{t3>8n63;69;906d=;?4?:2y>03152k2i70:975877g=:;3>>818>l4=54;3?24j2wx8;8i:180821>o0i4o524753>15e34>=4;4;3c9~w100m3:1?v3;67d955g?34>=4846109>031b2k2i7p};67g94?5|5=<=i7l7b:?723`=<:h019875;60f>{t;3>1>15l0??o5247:7>15e3ty?::m50;1x9100k3h3n63;67f955g?34>=4>46109~w101k3:1?v3;67a9f=d<5=<=h7:6}:{t<763ty?99650;0x913303h3n63;54d9=47>?<4?:3y>00562k2i70::5g877g=z{=??;7>53z?7111=j1h019;<1;33e==:<;|q7166=839p19;<0;`;f>;3=:;18>l4=576a?24j2wx88:9:18082246f027?98j59038yv22:l0;6>u2440f>g>e34>>?=4;3c9>003c2=9i7p};55694?5|5=??87l7b:?717c=99k370::5c8:54=z{=?9h7>53z?717b=j1h019;=e;60f>;3=l4}r6606<72:q688:<:c:a?822:m0:15e34>>9l4;3c9~w133:3:1?v3;5509f=d<5=?9o7??a99>003>20;:7p};53`94?5|5=?9n7l7b:?717e=<:h019;:9;60f>{t<<>:6=4<{<6604;3=<21515e3ty?99>50;1x913383h3n63;53c955g?34>>9:46109~w13513:1?v3;53;9f=d<5=?9m7:6}:<<9m6o6m;<666<<68h2019;:6;;25>{t<<836=4<{<666=k5b9`8913503;;m5524476><763ty?9?950;1x9135?3h3n63;53:906d<5=?>97:n8:?7102=18;0q~::2783>6}:<<8=6o6m;<6662<3;k1688;;:51a?xu3=:i1<7=t=570g?d?j27?9?8511c;?822=:02=<5rs5711?6=;r7?9?;5b9`89135>3>8n63;541906d>?o4?:2y>005e2k2i70::24824d><5=?>>77>1:p005>2908w0::388a69=m;<6617<3;k1v9;:0;297~;3=<:1n5l4=570=?77i1168888:832?xu3=:21<7=t=5707542`89131?3>8n6s|446e>5<4s4>>8k4m8c9>005?28:j463;5749=47>?:4?:2y>00502k2i70::39877g=:<<<=69=m;|q711c=839p19;;e;`;f>;3=:=1==o7;<6620<>981v9;<6;297~;3=:<1n5l4=5703?24j27?9;;542`8yv22u2446g>g>e34>>?;4>0`:89131<33:=6s|4416>5<4s4>>?84m8c9>00512=9i70::65877g=z{=??o7>53z?711e=j1h019;<5;33e==:<<<864?>;|q7162=839p19;<4;`;f>;3=:?18>l4=5757?24j2wx88:m:18082246f027?9;<59038yv22;:0;6>u24410>g>e34>>?94;3c9>00052=9i7p};55c94?5|5=??m7l7b:?7165=99k370::608:54=z{=?8>7>53z?7164=j1h019;<3;60f>;3=?;18>l4}r660<<72:q688:6:c:a?822;;0:15e34>>:=4;3c9~w133=3:1?v3;5579f=d<5=?9j7??a99>003d20;:7p};53694?5|5=?987l7b:?717`=<:h019;:c;60f>{t<<9j6=4<{<6661<68h2019;:1;;25>;3=:k1n5l4}r657c<72;q68;=i:c:a?821>80??o5rs540`?6=;r7?:>j5b9`89104n3>8n63;672906d=?44?:2y>035>2k2i70:93e877g=:;3>:318>l4=546`?24j2wx8;=::180821;<0i4o524714>15e34>=9n4;3c9~w104;3:1?v3;6219f=d<5=<897:6}:;l1<7=t=541b?d?j27?:>?542`8910213>8n6s|470g>5<4s4>=>i4m8c9>034a2=9i70:959877g=z{=<9n7>53z?727d=j1h0198=d;60f>;3><=18>l4}r656<<72:q68;<6:c:a?821:k0??o524775>15e3ty?:?950;1x9105?3h3n63;63;906d<5=<>97:=n1n5l4=547b?24j27?:;o542`8yv21u2476a>g>e34>=8i4;3c9>030>2=9i7p};65;94?5|5={t<6=4<{<6502>0??o5rs5471?6=;r7?:9;5b9`89103?3>8n63;674906d=8>4?:2y>03242k2i70:944877g=:69=m;|q7217=839p198;1;`;f>;3>=918>l4=5450?24j2wx8;=m:180821;k0i4o524762>15e34>=:>4;3c9~w105>3:1?v3;6349f=d<5=<8n7:6}:n8:?7205=18;0198:2;`;f>{t<u244dg>g>e34>>hh4>0`:89106l33:=6s|44f`>5<4s4>>hn4m8c9>00bb2=9i70:91e877g=z{=?mn7>53z?71cd=j1h019;kc;33e==:;|q71ad=839p19;kb;`;f>;3=mi18>l4=542f?24j2wx88hn:180822nh0i4o5244fa>46f027?:u244fb>g>e34>>ho4;3c9>037f2=9i7p};5g;94?5|5=?m57l7b:?71ag=99k370:9188:54=z{=?o57>53z?71a?=j1h019;ka;60f>;3>8318>l4}r66b=<72:q688h7:c:a?822l00:15e34>==54;3c9~w13a?3:1?v3;5g59f=d<5=?o47??a99>037020;:7p};5e594?5|5=?o;7l7b:?71a>=<:h0198>7;60f>{t<;3>8<150??o524735>15e3ty?9k;50;1x913a=3h3n63;5e4955g?34>==846109~w13c=3:1?v3;5e79f=d<5=?o:7:6}:<4;;25>{t<<763ty?9i=50;1x913c;3h3n63;5e6906d<5=<:?7:n8:?7244=18;0q~::d083>6}:<5b9`8913c93>8n63;602906d>ik4?:2y>00ca2k2i70::d1824d><5=<;j77>1:p00ea2908w0::cg8aj:832?xu3=jo1<7=t=57`a?d?j27?9nh542`89107m3>8n6s|44gg>5<4s4>>ii4m8c9>00eb28:j463;61f9=47>oi4?:2y>00ec2k2i70::cd877g=:;3=jn1==o7;<654f<>981v9;lc;297~;3=ji1n5l4=57``?24j27?:=m542`8yv22mk0;6>u244ga>g>e34>>on4>0`:89107j33:=6s|44aa>5<4s4>>oo4m8c9>00ed2=9i70:90c877g=z{=?nm7>53z?71`g=j1h019;lb;33e==:;|q71fg=839p19;la;`;f>;3=jh18>l4=543e?24j2wx88k6:180822m00i4o5244ab>46f027?:=759038yv22k00;6>u244a:>g>e34>>ol4;3c9>036>2=9i7p};5d:94?5|5=?n47l7b:?71f?=99k370:9098:54=z{=?h47>53z?71f>=j1h019;l9;60f>;3>9218>l4}r66a2<72:q688k8:c:a?822k10:15e34>=<:4;3c9~w107=3:1?v3;6179f=d<5=?n97??a99>034220;:7p};5d694?5|5=?n87l7b:?71`3=<:h0198=5;60f>{t;3>;>1515e3ty?:==50;1x9107;3h3n63;5d1955g?34>=>>46109~w13b:3:1?v3;5d09f=d<5=?n?7:6}:{t<<763ty?9h>50;1x913b83h3n63;5d3906d<5=<9=7:n8:?7276=18;0q~::dg83>6}:<8n63;60d906d>jn4?:2y>00`d2k2i70::de824d><5=<:o77>1:p00b52908w0::d38a:832?xu3=j=1<7=t=57`3?d?j27?9i<542`8910693>8n6s|44g5>5<4s4>>o:4>0`:89107>33:=63;5d49f=do0a6e2=3n70:k0e8a52z?7f35=<0o019oi9;`;f>{tm27?mk95b9`8yv2fn;0;6?u24c43>1?b34>jj;4m8c9~w1df03:1=ou24cc;>460?27?no758`6891dej32j863;bcf9019ll3;:b0>;3jj?14l:4=5``3?>f;27?n<=58`1891dfi32j>63;b1`9;3ion14l?4=5ce=?>f927?mk958`3891gaj32j=63;b0:9;3iok14l<4=5`bb?>f;27?nl958`6891d6>32j86s|4c31>5<2s4>i:=4;309>0g062=9:70:m638774=:;<6a56g>e3ty?mhh50;0x91d213>2i63;aga9f=djih4?:3y>0g3?2=3n70:nfc8a52z?7f01=<0o019oia;`;f>{t15634>i9o4;309>0g712k2i7p};d8794?2|5=n287:6e:?7`<3=j1h019j67;6:a>;3l02184k4}r6g=d<72=q68i76:5;f?82c1m0i4o524e;f>1?b34>o5k4;9d9~w1bf93:1?v3;d`2906}:m27?no?548g891d4n3>2i63;b`c9f=dimi4?:3y>0ggd2=3n70:mag8a52z?7f07=<0o019ojb;`;f>{tm27?mh65b9`8yv2fm90;6?u24c6f>1?b34>ji:4m8c9~w1gcn3:1>v3;b5f906o6m;|q7eab=838p19l;b;6:a>;3il>1n5l4}r6a52<721q68o:m:512?82e15634>i8h4;309>0g2a2=9:70:m518774=:;<6a5=o1n5l4=5d1=?>f:2wx8lm<:1818358?0??<524`a:>g>e3ty?mo;50;0x91e4k3>8=63;ac49f=djmh4?:34x91c4<3>8=63;e2d9067<5=o?m7:<1:?7a1c=<:;019k;f;605>;3m<:18>?4=5g65?24927?i8<5423891c2;3>8=63;e279067<5=o8:7:<1:?7a61=<:;019k<8;605>;3m:318>?4=5g0e?24927?i>l5423891c4k3>8=63;e2f9067<5=o8i7:<1:?7a16=<:;019k;1;605>;3m=818>?4=5g77?24927?i9:5423891c3=3>8=63;e549067<5=o?;7:<1:?7a1>=<:;019k;9;605>;3m=h18>?4=5g7g?24927?mo>5b9`891c5n3>8=63;e229067<5=o8=7:<1:?7a64=<:;019k<3;605>;3m=n18>?4}r6bgd<72jq69=;::512?837=?0??<525174>15634?;954;309>153>2=9:70;?5`8774=:=9?i69=>;<731f<3;8169=;=:512?837=:0??<525177>15634>jol4m8c9~w1g?93:1>v3:0759551>34>j4?4m8c9~w1gdn3:1>v3:0759067<5=ko<7l7b:p0dd02909w0:mfc8774=:?w0:k758774=:69=>;<6g<5<3;8168i6m:512?82c0l0??<524e:e>15634>o5=4;309>0a?62=9:70:k938774=:;<6g33<3;8168i98:512?82c?10??<524e5:>15634>o;l4;309>0a1e2=9:70:k7b8774=:;<6g3`<3;8168i9i:512?82c080??<524e:1>15634>o4>4;309>0a>32=9:70:k848774=:;<6g<2<3;8168i67:512?82c000??<524e:b>15634>o4n4;309>0a>c2=9:70:j758774=:69=>;<6f<5<3;8168h6m:512?82b0l0??<524d:e>15634>n5=4;309>0`?62=9:70:j938774=:;<6f33<3;8168h98:512?82b?10??<524d5:>15634>n;l4;309>0`1e2=9:70:j7b8774=:;<6f3`<3;8168h9i:512?82b080??<524d:1>15634>n4>4;309>0`>32=9:70:j848774=:;<6f<2<3;8168h67:512?82b000??<524d:b>15634>n4n4;309>0`>c2=9:70;?b48777=:=9=969==;<7334<3;;168ll=:c:a?xu3j>>1<7=t=5`:=?77?>168o>7:9c2?82e1h03m<5rs5`47?6=;r7?n4951154?82e8>03m<524c;;>=g63ty?n:<50;1x91d>=3;;;:524c25>=g634>i5;47a09~w1d093:1?v3;b9f9551034>i<847a09>0g?321k:7p};b2g94?1|5=kh<76n1:?7367=0h?019lne;:b1>;3jji1:5>4=557a?>f<27?nll58`1891d6832j>6s|4c1g>5<5s4>ion497g9>0gd321k>7p};b2a94?4|5=hho788e:?7fg2=0h>0q~:m3c83>7}:f<2wx8o=6:18182ekj0=;o524c`6>=g13ty?n>650;0x91ddk3<i?:4?:3y>0ged2?=270:mb58;e3=z{=h8:7>52z?7ffe=>>2019lm5;:b1>{t6=4={<6agf<1?9168ol9:9c5?xu3j8k1<7?<{<6a5d<68>=019l?2;:b7>;3j9:14l=4=5`35?>f;27?n==58`1891d7<32j?63;b179;3j9314l=4=5`3e?>f;27?n;3ik914l<4}r6a05<72=q68o:?:0243>;3?:;14l?4=557a?>f927?moh58`38yv2ekh0;6>u24cab>460?27?nnm51053?82ekj0:=:<4}r6ae3<728:p19lm9;:b7>;3jkh14l=4=5`a`?>f;27?noh58`1891dd932j?63;bb193;:b5>;3j9h14l?4=5`3g?>f927?n<658`0891gan32j=63;b`49551034>i=;47a39>0gga21k:7p};b`a94?7>s4>imn4>065891de132j>63;bc`9;3jj914l<4=5``1?>f:27?nn958`3891d6;32j863;b`c9;3il214l84=5cf2?>f<27?n<658`1891gb=32j?63;ad69;3jh=14l=4=5`22?>f;27?nlh58`08yv2ej80;69u24c`2>460?27:iio58`0894e>i32j?63>ee:9i9k4?:6y>0g0428:<;63;b1g9;3j;31>k?4=5`1b?4a92wx8o;j:18;82e>;0:<:94=5`3a?>f>27?mk758`7891ga032j>63;b2096c6<5=h9o7{t=019l?e;:b1>;3io314l:4=5ce3?>f;27?mk658`6891d4:38nj63;b3a96``<5=h957d}:87:?7f5c=0h>019oi9;:b7>;3io=14l<4=5cef;27?mk858`3891d4:38ni63;b3a96`c<5=h9572}:87:?7fdd=0h8019l>1;:b5>;3?:;14l<4=5`ba?>f927?;9k58`0891gen32j>6s|4c75>5<1s4>i9o4>065891gam32j>63>eec9=0h<019l>6;:b5>{t<6=4;{<6a14<68>=019ll5;:b1>;3j=314l;4=5cff?>f92wx8o:9:18682e=90:<:94=5``7?>f=27?n9o58`3891gbk32j>63;ad;9i884?:7y>0g2a28:<;63;bb39;3il314l;4}r6a01<72>q68o:j:0243>;3jkl14l;4=5cf3?>f927?mh658`7891d3132j863;ada9019oj8;:b0>;3il<14l?4=5`7e?>f:27?mhm58`1891gbi32j>6s|4c61>5<>s4>i8n4>065891dej32j963;ad59;3j=314l?4=5cff?>f:27?mh758`08yv2e<80;6lu24c6a>460?27?no758`7891gb?32j>63;ad:9;3j=314l<4=5cff?>f;27?mh758`18yv2ek10;6;u24ca:>g>e34>i??48a49>0g4d2>k>70:m2884e0=:b;296~;3j8318>?4=5`2g?d?j2wx8ljl:18182e<90?5h524`de>g>e3ty?n{t7ga34>i>k4=ag9~w1d6l3:19v3;b109f=d<5=h8>7;3j;l1>lk4}r6a62<72>:c:a?82e;;09mi524c0`>7gc34>i>44=ae9>0g4a2;ko7p};b3494?3|5=h;<7l7b:?7f64=:kk019l=c;0ae>;3j;31>oo4=5`1b?4ei2wx8o<::18682e8h0i4o524c11>7d>34>i>n4=b89>0g4>2;h270:m2g81f<=z{=h987>55z?7f5?=j1h019l<2;0a<>;3j;i1>o64=5`1=?4e027?n?h52c:8yv2e::0;68u24c2;>g>e34>i??4=b69>0g4d2;h<70:m2881f2=:;3j:81>o84=5`1g?4e>27?n?752c4891d5n38i:6s|4c02>5<2s4>i<;4m8c9>0g552;h>70:m2b81f0=:io;4?:3y>0ge02k2i70:mcb86`1=z{=hh87>52z?7ff3=j1h019llc;130>{tg>e34>ion4<0d9~w1dek3:1>v3;bcf9f=d<5=hho7=?d:p0gdf2909w0:mbc8a>l;|q7fg>=838p19lm9;`;f>;3jji1?=l4}r6ae0<72;q68omn:5;f?82e8j0i4o5rs5`b0?6=:r7?n5<3s4>m>>4;9d9>0=0121k>70:7628;e0=:<>2m6o6m;|q7b7`=838p19h=4;6:a>;3?0:1n5l4}r6e75<72;q68k<::5;f?820180i4o5rs5d05?6=:r7?j?8548g8911>:3h3n6s|4cge>5<4s4>i=44;9d9>024a2k2i70:nb68;e0=z{=hmm7>52z?6452=<0o0199;2;`;f>{t=g13ty><9950;0x9062=3>2i63;7d49f=d15312=3n70:8e98a52z?6401=<0o0199ja;`;f>{t=9>i6=4={<731=<31l168:kl:c:a?xu28=i1<7m27?;hj5b9`8yv371?b34>v3:04`90li6o6m;|q6406=838p18>:2;6:a>;3?l;1n5l4}r7314<72;q69=;<:5;f?820m<0i4o5rs427<8:548g8911b?3h3n6s|4`f0>5<6;r7?;h858`3891gf<32j863;7d39=0h;0199jc;:b5>;3?lk14l?4=55f3?>f927?;hh58`38911b=32j>63;7g4976n4:?73`c=0h8019on7;:b0>;3ih214l:4=5cb=?>f<27?mlj58`18904703h3n63;abc9jh?4?:3y>17g321k970;=068a5cz?7316=0h;019o72;:b0>;3?1214l<4=5500?>f<27?;?h58`0891gfk32j963;7539;3ik<14l<4=5cb`?>f:2wx9=??:181821?o0i4o524964>=g43ty><<<50;0x910?:3h3n63;82694?:3y>03>42k2i70:7378;e7=z{<::87>52z?72=2=j1h0196<7;:b7>{t=9;>6=4={<65<0l58`18yv379>0;6?u247:4>g>e34>3?h47a29~w06603:1>v3;69:9f=d<5=2?<76n3:p157>2909w0:9888a;30=914l=4}r7354<72;q68;6?:c:a?82?<<03m>5rs420g?6=:r7?:l;5b9`891>?j32j>6s|511f>5<5s4>=m54m8c9>0=>d21k>7p}:02d94?4|5=7}:;1;296~;3>hh1n5l4=5::4?>f=2wx9=:=:181821ij0i4o5249;1>=g23ty><9=50;0x910fl3h3n63;893903gb2k2i70:7838;e0=z{<:?97>52z?72d`=j1h019670;:b1>{t=9>=6=4={<65f51?b34?915634>mh=4;309>0cb62=9:70:id38774=:;<6e`1<3;8168kj::512?82aj90??<524g`2>15634>mnn4;309>0ce72=9:70:ic08774=:;<6eg6<3;8168km;:512?82ak<0??<524ga5>15634>mn?4;309>0cd42=9:70:ib58774=:69=>;<6ef3<3;8168kl8:512?82aj10??<524g`:>15634>mnl4;309>0cde2=9:70:ibe8774=:;<6efc<3;8168km8:512?82amm0??<524ggf>15634>mj44;309>0c`c2=9:70:ifd8774=:;<7345<3;8169=>>:512?8378;0??<525120>15634>mik4;309>0c`72=9:70:if08774=:;<6eb6<3;8168kh;:512?82an<0??<524gd5>15634>mj:4;309>0c`?2=9:70:if`8774=:;<6ebf<3;8168k6l:512?82fkm0i4o524ec7>15634>v3;aec9f=d<5=inn76n3:p0f5c2909w0:l3b87=`=:<>9?6o6m;|q65`0=838p19j;a;6:a>;30h=1n5l4}r732`<72;q685>?:c:a?82dmo03m>5rs425b?6=:r7?4=:5b9`891ea932j?6s|514;>5<4s4>3=i4m8c9>0f6421k870:nc08;e0=z{<:=57>52z?7<4c=j1h019m?5;:b1>{t=98:9c6?xu28?h1<7j0;6>u24906>g>e34>h0de621k?7p}:07f94?5|5=2947l7b:?7g5b=0h?019om7;:b5>{t0;6?u24443>g>e34><9i47a29~w1bb03:1>v3;5739f=d<5==>j76n3:p0ac>2909w0::638a<:65o<;|q7``g=838p19;93;`;f>;3??<14l:4}r6gag<72;q6888;:c:a?820>h03m85rs5ffg?6=:r7?9;;5b9`89111m32j96s|4egg>5<5s4>>:;4m8c9>021521k>7p};ddg94?4|5=?=;7l7b:?7320=0h?0q~:kd883>7}:<f;2wx8ijm:181822==0i4o52465e>=g43ty?him50;0x9132=3h3n63;7939ohi4?:3y>00312k2i70:8828;e6=z{=noi7>52z?7101=j1h019975;:b7>{tg>e34><9<47a59~w1bb:3:1>v3;54`9f=d<5==>?76n3:p0ac32909w0::5e8a?<65o<;|q7``3=838p19;:e;`;f>;3?<314l=4}r6ga3<72;q688;i:c:a?820=k03m>5rs5c`6?6=:r7>>=8548g89115l3h3n6s|4bd0>5<5s4>ijo4;9d9>02>?2k2i7p};cg694?4|5=i997:6e:?7334=j1h0q~:lfg83>7}:;:18182d;<0?5h52467f>g>e3ty?h=;50;0x91e4>3>2i63;7729f=do<;4?:3y>0f502=3n70:8698a52z?7g6>=<0o01999c;`;f>{tm27?;::5b9`8yv2c8h0;6?u24b1a>1?b34><;54m8c9~w1ea=3:1>v3;c3590=h6o6m;|q7gc1=838p19m=9;6:a>;3?>o1n5l4}r6`b=<72;q68n5<5s4>h>n4;9d9>02>32k2i7p};cg`94?4|5=i9h7:6e:?73=0=j1h0q~:lfb83>7}:g>e3ty?h=>50;0x91e4:3>2i63;7449f=do<<4?:3y>0f542=3n70:8598a7>52z?7g62=<0o0199:a;`;f>{t?1<7g>e34><9947a29~w1`?<3:1>v3;6719f=d<5==>o76n3:p0c>22909w0:9658a?n65o<;|q7b=0=838p19895;`;f>;3??:14l=4}r6e<2<72;q68;89:c:a?820>103m?5rs5d;6s|4g::>5<5s4>=:54m8c9>021721k97p};f9c94?4|5=<=57l7b:?7322=0h80q~:i8c83>7}:<>1n5l4=554e?>f;2wx8k98:181821=<0i4o52465`>=g43ty?j:650;0x9102>3h3n63;76g9m;44?:3y>03302k2i70:8818;e6=z{=l52z?720>=j1h019972;:b7>{ti1<7g>e34><8n47a39~w1`0m3:1>v3;64a9f=d<5==><76n2:p0c1a2909w0:95e8a?965o<;|q7b=7=838p198:f;`;f>;3?<<14l=4}r6e<7<72;q68;8?:c:a?820=103m>5rs5d;7?6=:r7?:;?5b9`89112i32j?6s|50d2>5<5s4>n?94;9d9>0<`12k2i7p}:1ga94?4|5=o8j7:6e:?7fg83>7}:j697j;<6;a2>:18182bg>e3ty>>=<50;0x91c283>2i63;90:9f=d4?:3y>0`362=3n70:62e8a52z?7a04=<0o0197;d;`;f>{t=;:>6=4={<6f16<31l1684;8:c:a?xu29l=1<7m27?4o75b9`8yv36m10;6?u24d15>1?b34>2:94m8c9~w07b13:1>v3;e2590;310:1n5l4}r72af<72;q68h=n:5;f?82>1j0i4o5rs43f`?6=:r7?i>l548g891?f03h3n6s|50gf>5<5s4>n?n4;9d9>0=j1h0q~;>f183>7}:g>e3ty>=k:50;0x91c3:3>2i63;a329f=d0`242=3n70:n2b8a52z?7a12=<0o019o<8;`;f>{t=8l<6=4={<6f00<31l168l:;:c:a?xu29o21<7m27?m8>5b9`8yv36n00;6?u24d64>1?b34>j9n4m8c9~w07ai3:1>v3;e5:90;30j21n5l4}r72b`<72:q68h:l:5;f?82?l?0i4o524d6g>1?b3ty?j:=50;0x911603h3n63;9ed906dm??4?:3y>0``a2=3n70:8958a52z?7b56=<0o019966;`;f>{t36=4={<6e4g<31l168:o7:c:a?xu3n=h1<7m27?;lj5b9`8yv2a1?b34>v3;f0c90h;6o6m;|q7b1`=838p19h>c;6:a>;3?k;1n5l4}r6e15<72:q68k?k:5;f?820j;0i4o52494e>=g33ty?j8?50;1x91`6m3>2i63;7c19f=d<5=2<<76n4:p0c542908w0:i0087=`=:<>k<6o6m;<6;25u24g20>1?b34>0=1521k?7p};f2494?5|5=l;87:6e:?73g0=j1h019683;:b0>{tm?44?:2y>0c602=3n70:8b88a;3?kk1n5l4=5:43?>f<2wx8k=m:18082a800?5h5246;0>g>e34>39<47a59~w1`4k3:1?v3;f1c900q~:i3d83>6}:m27?;465b9`891>2032j86s|4g63>5<4s4>m02?>2k2i70:7588;e1=z{=l?=7>53z?7b5`=<0o01996a;`;f>;30g>e3ty?j9=50;1x91`693>2i63;84f9f<27?;l?5b9`8yv2au24g37>1?b34>3:<47a59>02g42k2i7p};f5594?5|5=l:97:6e:?7<34=0h>0199n5;`;f>{t26=4<{<6e52<31l16858;:9c7?820i00i4o5rs5d7e?6=;r7?j<6548g891>1=32j863;7``9f=doik4?:3y>00g02k2i70:6d48;e0=z{=nmm7>52z?71g4=j1h0197i5;:b7>{t6=4={<66fag>e34>3j;47a59~w1c7i3:1>v3;5b09f=d<5=3;;76n4:p0`6e2909w0::c28a;31;h14l:4}r6f4a<72;q688m::c:a?82>5<5s4>>m54m8c9>0=e421k=7p};dg394?4|5=?j57l7b:?7=30=0h<0q~:kf383>7}:<f=2wx8ih;:181822ij0i4o5248:f>=g23ty?hk;50;0x913fl3h3n63;98c9oj;4?:3y>00gb2k2i70:6a48;e0=z{=nm;7>52z?71d`=j1h0197m9;:b1>{tg>e34>j<>47a49~w1bak3:1>v3;5c69f=d<5=k:=76n5:p0a`c2909w0::b48a;3i:>14l84}r6gbc<72;q688l8:c:a?82f<903m;5rs5g34?6=:r7?9o65b9`891g3k32j:6s|4d22>5<5s4>>n44m8c9>0d3?21k=7p};e1094?4|5=?im7l7b:?7e32=0h<0q~:j0283>7}:<f>2wx8h>9:181822jl0i4o5249ae>=g13ty?i=950;0x913en3h3n63;8e79=g534>3>k47a09>0agb21k?70:nag8;e4=:;<6ge7=g434>38i47a49>0=2d21k870:nc88;e4=:<18o65o>;<6bf7=0199ld;:b5>;3?m214l?4=55`a?>f927?;nh58`38911c<32j=63;7e3976n1:?73a?=0h;0199lc;:b5>;3?m814l?4=55`7?>f927?;io58`38911c;32j=63;7b69;3?m=14l?4=55`4?>f927?;il58`38911d=32j=63;7b59;3?j<14l?4=55ab?>f927?;i;58`38911ck32j=63;7b`9;3?j214l?4=5:gg?>f;27?5kj58`3891?e:32j=63;9c49;3i:o14l=4=5c7e?>f;27?m8858`1891g1:32j?63;a7g9;3=0n14l?4=57b4?>f927?9l?58`38913f:32j=63;5`19;3=1214l?4=57;=?>f927?95o58`38913?j32j=63;59a9;3=0:14l?4=57:5?>f927?94=58`38913><32j=63;5879;3=0314l?4=57:e?>f927?94l58`38913>k32j=63;58g90197;3?h214l?4=55b`?>f927?;4858`38911><32j=63;8479;31:l14l:4=5:e0?>f;27?4o<58`1891>b<32j?63;9179;3i8314l<4=5c11?>f:27?5n?58`0891?dm32j>63;9959;3?h:14l<4=55b0?>f:27?;lo58`08911fk32j>63;7`4976n2:?73;31=?14l<4=55:b?>f:27?;l=58`08911f132j>63;7``9;3?0h14l<4=54fa?>f927?:ol58`38910bn32j=63;6ca9w0:mfc82421<5=l3o7:6e:?7333=0h>019997;:b5>;3?=h14l?4}r6`7f<72>q68n=l:0243>;3ik314l?4=5:14?>f:27?4=>58`3891b6k32j?63;80f9{t=019hl0;6:a>;3km:14l?4=5a;f?>f:2wx8no6:18782dl80:<:94=5d`5?2>m27?oi<58`3891e?l32j>6s|4bcb>5<3s4>hh>4>065891`d:3>2i63;ce69{t=019hl4;6:a>;3km214l?4=5a:7?>f:2wx8nok:18782dl00:<:94=5d`1?2>m27?oio58`3891e>=32j>6s|4bcf>5<3s4>hho4>065891`d>3>2i63;cea9290?w0:lb382421<5=li>7:6e:?7gg5=0h;019m9e;:b6>{t=019hm3;6:a>;3kk?14l?4=5a44?>f:2wx8n7m:18782dj?0:<:94=5da0?2>m27?oo958`3891e0:32j>6s|4b;`>5<3s4>hn54>065891`e=3>2i63;cc;9{t=019hm7;6:a>;3kkn14l?4=5a4f:2wx8n7i:18782djl0:<:94=5dam27?ooh58`3891e0i32j>6s|4bc3>5<3s4>ho=4>065891`e13>2i63;cb39{t=019hmb;6:a>;3kj?14l?4=5a;4?>f:2wx8no;:18782dk10:<:94=5da`?2>m27?on758`3891e?<32j>6s|4bc6>5<3s4>hol4>065891`em3>2i63;cb`9{t=019hm0;6:a>;3?:314l?4=5`ef927?;>o58`389114k32j=63;7259=0h;0199<6;:b5>;3imk14l?4=5aa4?>f:27?;>j58`389114j32j=63;c7`9hm>4?:gy>0fd628:<;63;fc390=0h80199;3?:i14l<4=5503?>f:27?;>;58`089114032j>63;7249;3?:h14l<4=5a;5?>f:2wx8n;<:18082d>h0:<:94=5df`?2>m27?o;l58`38yv2d=l0;6>u24b4`>460?27?jhk548g891e?932j=6s|4b41>5<4s4>h4?4>065891`a13>2i63;c9;9h:>4?:2y>0f>f28:<;63;fgf906}:87:?7bc`=<0o019m7f;:b5>{t=018>?0;6:a>;3k0;14l?4}r6`22<72:q68n7=:0243>;289;184k4=5a:7?>f92wx8n87:18082d1=0:<:94=4236?2>m27?o4;58`38yv2d>00;6>u24b;5>460?27><==548g891e>?32j=6s|4b77>5<4s4>h:i4>065891`bn3>2i63;c7g9h984?:2y>0f0a28:<;63;fg2906}:87:?7bc4=<0o019m84;:b5>{t=019hi3;6:a>;3k><14l?4}r6`1<<72:q68n98:0243>;3no>184k4=5a4f92wx8n;n:18082d?00:<:94=5de1?2>m27?o:o58`38yv2d=k0;6>u24b5a>460?27?jk8548g891e0k32j=6s|4b7`>5<4s4>h;i4>065891`a?3>2i63;c6g9h9i4?:2y>0f1a28:<;63;fg:906}:6<>87:?7bcd=<0o019m76;:b5>{t=019hic;6:a>;3k1214l?4}r6g0g<72;q68i9;:0243>;3l?l14l<4}r6g13<72;q68i9::0243>;3l?l14l?4}r6g24<72;q68i6?:0243>;3l?l14l=4}r6g21<72;q68i6m:0243>;3l?l14l:4}r6g20<72;q68i6j:0243>;3l?l14l;4}r6g23<72;q68i6i:0243>;3l?l14l84}r6g22<72;q68i7?:0243>;3l>:14l<4}r6g2=<72;q68i7>:0243>;3l>:14l?4}r6g2<<72;q68i7=:0243>;3l>:14l=4}r6g2d<72;q68i7<:0243>;3l>:14l:4}r6g0f<72;q68i99:0243>;3l>:14l;4}r6g0a<72;q68i98:0243>;3l>:14l84}r6g0`<72;q68i97:0243>;3l?n14l<4}r6g0c<72;q68i96:0243>;3l?n14l?4}r6g15<72;q68i9n:0243>;3l?n14l=4}r6g14<72;q68i9m:0243>;3l?n14l:4}r6g17<72;q68i9l:0243>;3l?n14l;4}r6g16<72:q68i9k:0243>;28l=1>884=5f5`?>f>2wx8i;;:18082c?l0:<:94=42f3?41827?h;k58`08yv2c=<0;6>u24e5e>460?27>5<4s4>o4<4>0658906b?38=>63;d7g9o954?:2y>0a>528:<;63:0d59635<5=n=i76n4:p0a3>2908w0:k8282421<5<:n;7<94:?7`3c=0h?0q~:k5`83>6}:87:?64`1=:??019j9e;:b2>{t=018>j7;052>;3l>;14l<4}r6g1f<72:q68i69:0243>;28l=1>;94=5f45?>f92wx8i;k:18082c0>0:<:94=42f3?41027?h:?58`18yv2c=l0;6>u24e:;>460?27>5<4s4>o444>0658906b?38>463;d639o:=4?:2y>0a>f28:<;63:0d5960?<5=n<=76n6:p0a052908w0:k8b82421<5<:n;7<:a:?7`24=0h;0q~:k6283>3}:87:?64`1=:j7;06g>;28l=1>8j4=42f3?42n27?h:<58`08yv2b>h0;6??t=5g;4?77?>169<6m:9c7?8360003m<5250:6>=g434?:4i47a39>141>21k970;>958;e7=:=82<65o=;<72=g534?:;:47a39>14?521k970;>858;e7=:=8==65o=;<72=4=g534?:;i47a39>14?221k970;>8g8;e7=:=8=h65o=;<7236=g534?:4=47a39>141b21k970;>8`8;e6=:=8=?65o<;<7230525057>=g534?:4847a39>141721k870;>838;e7=:=8=>65o=;<72<==g634?:;=47a39>14>521k:70;>748;e4=:=82365o>;|q7a3`=838p19k7f;3332=:=82i65o:;|q7a26=838p19k60;3332=:=82h65o>;|q7a27=838p19k61;3332=:=82o65o>;|q7a24=838p19k62;3332=:=82m65o>;|q7a25=838p19k63;3332=:=83;65o>;|q7a03=838p19k86;3332=:=82;65o>;|q7a00=838p19k87;3332=:=82n65o>;|q7a01=838p19k88;3332=:=83:65o>;|q7a0>=838p19k89;3332=:=83965o>;|q7a0?=838p19k8a;3332=:=83865o>;|q7a0g=838p19k8b;3332=:=83?65o>;|q7a0d=838p19k8c;3332=:=83>65o>;|q7a0e=838p19k8d;3332=:=83=65o>;|q7a0b=838p19k8e;3332=:=8=:65o>;|q7a0c=838p19k8f;3332=:=8=865o>;|q7a36=838p19k71;3332=:=8==65o>;|q7a37=838p19k72;3332=:=8=<65o>;|q7a34=838p19k73;3332=:=8=365o>;|q7a35=838p19k74;3332=:=8=265o>;|q7a32=838p19k75;3332=:=8=h65o>;|q7a33=838p19k76;3332=:=8=o65o>;|q7a30=838p19k77;3332=:=8=n65o>;|q7a31=838p19k78;3332=:=8=m65o>;|q7a3>=838p19k79;3332=:=82865o>;|q7a3?=838p19k7a;3332=:=82?65o>;|q7a3d=838p19k7c;3332=:=82=65o>;|q7a3e=838p19k7d;3332=:=82<65o>;|q7a02=838nw0:j7582421<5<;3n76n2:?65=?=0h8018?85;:b0>;31ln14l=4=5;e3?>f;27?4k758`3891>ek32j=63;8dc9;30li14l<4=5;3`?>f:27?5;291i14l=4=434f;27>=4=58`18907?>32j?63:165976n3:?65=2=0h9018?86;:b7>;290;14l=4=43;7?>f;27>=4858`18907>832j?63:16f9;29>914l=4=43;a?>f;27>=:h58`189070932j?63:1929018?84;:b0>;291?14l:4=5:a2?>f92wx8h;i:1803~;3m>?1==98;<6:35=g634>29=47a09>0<5f21k:70;>8c8;e4=:=8==65o;;<72=45248gb>=g634>2j<47a09>0=d?21k870:7f88;e6=:<1hh65o<;<6;adm:9c0?82>9k03m>5249da>=g434>3in47a29>0<6c21k870:61e8;e6=:<03:65o=;<6:<1:9c2?82>1m03m?5250:g>=g334?:;447a59>14?321k?70;>868;e1=:=82h65o;;<723==g334?:5?47a59>14>321k?70;>978;e1=:=83;65o;;<723a=g334?:;>47a59>14>b21k?70;>7g8;e1=:=8=:65o;;<72<5=g234?:4847a49>141221k>7p};dba94?74s4>n444;9d9>0`2?28:<;63;5gc9;3>jh14l<4=551g?>f<27?;=k58`08910am32j>63;9629019o9e;:b5>;3i>314l:4=57:f?>f;27?m;758`1891>1932j=63;8g`9ooi4?:01x91c?i3>2i63;e5;9551034>>jo47a09>00bd2=oi70::7e87ag=:;<65gg=g334>3mh47a59>0d1021k?70:7cb8;e1=:;<66=f;03m<52482g>=g334>24947a59~w1bdn3:1=>u24d:`>1?b34>n8o4>0658913al32j=63;5eg90`d<5=?;30jn14l?4=5:gb?>f>27?94k58`1891?ak32j>63;8b;9019761;:b0>;3>lo14l84=54af?>f>27?:hh58`48910ek32j>6s|4ef3>5<6nr7?i5j548g891c3k3;;;:5244df>=g634><>>47a59>0<5f21k970:7d68;e7=:<18m65o=;<6gf4l?03m8524ec6>=g334>38447a79>00?a21k870:7dc8;e0=:=g334>=no47a49>03ca21k>70:9bb8;e4=:;<6;74=g634>3;h47a09>0=bb21k:70:7788;e4=:<>2n65o<;|q7`g>=8398w0:j7587=`=:<=g534><>?47a39>026?21k970:9f88;e7=:<1?:9c6?82>l?03m9524970>=g634><;447a09>02?621k870:8938;e6=:<1?>65o9;<64f003m;5246`7>=g134>02d421k=70:8b38;e3=:<>k<65o9;<6:bf03m95246;;>=g334><5447a59>02?221k?70:89`8;e1=:<>hj65o;;<64f<mo03m>5249g2>=g234><4k47a39>02g721k>70:8a58;e0=:<>kj65o:;<64ef=g234><5n47a49>0<2221k>70:6e48a697j;<6f71<68>=019;i1;:b5>;3=m818hl4=5771?>f927?9?h54d`89130;3>nn63;6e790199?8;:b5>;3>o314l:4=5:5g?>f927?48858`3891>0032j>63;86f9;31on14l=4=5:67?>f:27?;8;58`3891?3132j963;8ba976n3:?7;3?0814l?4=55bf<27?;lj58`68911>>32j863;7869;3?k>14l=4=55af;27?;o=58`18911e:32j?63;7`59;3?0=14l=4=55:f;27?;4758`18911>=32j?63;78c9;3?1l14l?4=55:b?>f=27?;l=58`78911f132j963;7``9;3?0h14l;4=5;e7?>f92wx8ill:182<~;3m>3184k4=5g01688kn:9c2?822kk0?io52441f>=g634>>>54;ec9>000d2=oi70:9e18;e4=:1?b34>2;h47a29>0<1a21k870:8808;e4=:<<2i65o<;<6;36k:9c6?82f8k03m;5248ag>=g434>24:47a09>0519y>0`1f2=3n70:j3882421<5=?nn76n1:?71fe=;3=;318hl4=575`?2bj27?:h?58`08910c:32j>63;7359;311n14l=4=5;;a?>f;27?;5=58`38913?k32j?63;8669=0h<0197l0;:b7>;310>14l?4=5;b5?>f<27?54?58`18yv2cjl0;6<9t=5g4f?2>m27?i>o51154?822mj03m<5244ag>1ce34>>8=47a09>004f2=oi70::6d87ag=:;<65`7=g334>no44;9d9>0<5f21k870:6988;e6=:<03j65o<;<64<0=g634>25i47a29>0d4021k?70:6b58;e4=z{=nij7>519y>0`1d2=3n70:j3c82421<5=?nh76n1:?71fc=;3=;h18hl4=575b?2bj27?:h<58`08910c;32j>63;73:9;31lk14l<4=5;a6?>f;27?5o858`7891?f=32j?63;7959;30><14l?4=5;`4?>f<27?5l?58`18yv2ck90;6<9t=5g4`?2>m27?i>m51154?822ml03m<5244ae>1ce34>>8?47a09>004d2=oi70::7187ag=:;<65`6=g334>noo4;9d9>0<`621k970:6b98;e6=:<0h265o<;<6b7a03m<5248a2>=g634>2oi47a59>0516y>0`1b2=3n70:j3e82421<5=?nj76n1:?71a6=;3=;n18hl4=5745?2bj27?:h=58`08910c<32j>63;73;9;31m214l;4=5;`1?>f;27?5n858`1891g3132j:63;5829;311<14l=4=5565?>f92wx8im=:1823~;3m>l184k4=5g0a?77?>1688h?:9c2?822l80?io524467>=g634>>>h4;ec9>00152=oi70:9e28;e4=:03m9524dag>1?b34>2h547a59>065o9;<66=4=g334>2;847a49>0n4<4;9d9>0`2728:<;63;5g09;3=>>18hl4=54g3?>f:27?:n958`089115132j:63;71c9;3i9914l=4=5563?>f927?m;?58`48913>;32j?63;8g;9;76n1:?7e5e=0h;019o>8;:b0>;31?214l;4}r6gg0<728=p19k72;6:a>;3m=;1==98;<66b603m<524412>1ce34>>;84;ec9>03b021k:70:9c68;e1=:<>8j65o=;<644d=g434>j=<47a29>023>21k:70:n6e8;e3=:<<3?65o<;<6:4g=g334>j>547a59~w1bd>3:1=;u24d:0>1?b34>n8?4>0658913a<32j=63;5e790`d<5=??476n1:?7120=;3>j214l<4=551e?>f<27?;=l58`08910aj32j>63;ee390;3i>314l84=57:1?>f;27?52132j=63;a379n76n4:?7e4c=0h;0q~:kc683>42|5=o387:6e:?7a15=99=<70::f48;e4=:<=g134><03`e21k?70:jd387=`=:=g334>>5;47a29>0d4c21k870:75`8;e4=:<09:65o=;|q7`f>=83;?w0:j8487=`=:?6<>87:?71c0=0h;019;k7;6ff>;3=>218hl4=54g=?>f:27?:n758`089115j32j>63;71a9;3i:h14l:4=5c0a?>f927?m9758`6891>cn32j963;5859{t3z?7a=0=<0o019k;5;3332=:<;<66`=<3mk168896:5ga?821l003m<5247a:>=g334><>o47a59>026d21k:70:9fb8;e1=::903m9524`6b>=g634>j9847a59>00??21k870:n448;e6=:<1?o65o>;|q7`fg=83;?w0:j8687=`=:=6<>87:?71c>=0h;019;k9;6ff>;3=>k18hl4=54ge?>f:27?:no58`089115j32j:63;71f9;318:14l:4=5c67?>f<27?m8858`3891g1932j863;58;9=76n3:?7=0h?0196:e;:b5>{t6z?7a=>=<0o019k;7;3332=:<;<66`d<3mk16889m:5ga?821lh03m<5247ab>=g334><>n47a39>026c21k:70:9fe8;e1=:=g634>j:i47a59>00?f21k870:n5e8;e6=:<1?m65o>;<6;aff927?9ij54d`89133132j=63;52090`d<5=?;3?;814l84=553=?>f:27?:kh58`0891cdm3>2i63;87190196nf;:b6>;3?f=27?4o?58`48913>l32j?63;8dg94?|5=o3n7:6e:?7a1g=99=<70::fg8;e4=:<=g634>=on47a59>024321k970:8088;e4=:=g634>j:i47a49>0=c421k=70::a18;e6=:<>o365o:;<6;ad:9c6?82>8903m9524822>=g334>3?947a09~w1bc:3:1=4u24d:f>1?b34>n8h4>06589107832j=63;5d290`d<5=??n76n1:?7162=;3>mi14l<4=54``?>f:27?;?:58`689117n32j>63;7129;3i?;14l;4=5:e7?>f>27?9l?58`18911bi32j963;91d9;30:<14l?4=5;53?>f;2wx8ij<:182<~;3m1l184k4=5g7b?77?>168;>>:9c2?822m80?io52446`>=g634>>?84;ec9>00>52=oi70:9db8;e4=:i:9c2?8208903m9524dfb>1?b34>3:547a09>0=5021k?70:6108;e7=:<>;<6b10;:9c5?822i;03m>5246g`>=g234>2=k47a09>0<6e21k>70:6758;e6=z{=no87>519y>0`?72=3n70:j5182421<5=<;>76n1:?71`4=;3=:<18hl4=57;7?2bj27?:ij58`08910dm32j>63;7379;30?314l?4=5:0=?>f<27?5??58`089111j32j863;a5;9;318h14l;4=55f`?>f>27?55858`48yv2cl<0;6<7t=5g:5?2>m27?i8?51154?8218:03m<5244g0>1ce34>>8h47a09>00502=oi70::8587ag=:;<65g`=g334>nhn4;9d9>0=0f21k:70:73c8;e1=:<09265o;;<642c=g334>>m947a29>02ca21k>70:6928;e3=:<09:65o<;<6:00=0198?4;:b5>;3=l>18hl4=577b?>f927?9>654d`8913?=3>nn63;6eg91;:b6>;3?9814l<4=5gg`?2>m27?58>58`1891>4m32j863;95`9;3=h?14l=4=5;65?>f<27?;k858`6891>1n32j=63;9`39m76n3:p0ab0290:5v3;e8190?7??769>036221k:70::e487ag=:<;<667<<3mk168869:5ga?821ll03m<5247ae>=g334><>;47a39>027621k:70:8038;e1=:=g534>2n>47a39>021021k?70::a78;e6=:<1=;65o>;<6b62=j03m<5249f3>=g43ty?ho750;3b82b??0?5h524d16>460?27?9h958`38913d03>nn63;52`9;3>m:14l<4=5512?>f<27?;<<58`089117;32j>63;eb690;30kl14l<4=554f?>f927?95658`1891>e032j863;8729;30j914l?4=5:g6?>f92wx8iln:182e~;3m>=184k4=5g02?77?>1688k7:9c2?822k00?io52441`>=g634>>>;4;ec9>000f2=oi70:9dg8;e4=:1?b34>2:>47a29>0=2421k?70:6608;e7=:<>=o65o>;<66<<=g234>j0;<6:2<=019;j9;:b5>;3=jk18hl4=570`?>f927?9?954d`89131j3>nn63;6d293;:b6>;3?9>14l<4=5g`2?2>m27?5:>58`1891>3=32j863;97g9;3?l=14l;4=5:46?>f927?4kl58`7891??>32j863;9619d2908w0:i8b82421<5=ki876n1:?7eg5=0h;0q~:i9883>7}:87:?7=`4=0h>0q~:ia583>7}:87:?7=c4=0h;0q~:ia983>7}:87:?70q~:ia883>7}:87:?7<`5=0h>0q~:ia`83>7}:87:?70q~:iac83>7}:87:?7=52=0h>0q~:iab83>7}:87:?7=42=0h>0q~:iae83>7}:87:?7=6d=0h>0q~:iad83>7}:6<>87:?7=1g=0h<0q~:iag83>7}:87:?7=0g=0h;0q~:i9`83>7}:87:?77}:87:?7=31=0h;0q~:i9b83>7}:87:?7=22=0h;0q~:i9e83>7}:6<>87:?7=2e=0h90q~:i9d83>7}:87:?7==d=0h90q~:i9g83>7}:87:?7=<>=0h90q~:ia183>7}:87:?7=g5=0h<0q~:ia083>7}:87:?7=f5=0h90q~:ia383>7}:87:?7=a6=0h90q~:ia283>7}:87:?7=a1=0h90q~:ia483>7}:87:?7e5c=0h90q~:ia783>7}:87:?7e4d=0h90q~:ia683>7}:87:?7e71=0h<0q~:id783>6}:87:?7=`4=0h?019;{t=019;;5;:b6>;31o814l<4}r6ea0<72:q68kh6:0243>;3==314l<4=5:a6?>f>2wx8kk9:18082anm0:<:94=577e?>f:27?4h:58`48yv2am>0;6>u24gdf>460?27?99l58`0891>a<32j:6s|4gg;>5<4s4>mjk4>06589133k32j>63;9179mi44?:2y>156728:<;63;55f96}:=9:96<>87:?711`=0h80197;b;:b1>{t=019;:0;:b6>;31<>14l?4}r6e`2<72:q68kki:0243>;3=:h14l<4=5:ab?>f92wx8kj7:18082an90:<:94=570g?>f:27?5;?58`38yv2al00;6>u24gd2>460?27?9>j58`0891?1m32j=6s|4gfb>5<4s4>mj?4>06589134m32j>63;96g9mho4?:2y>0c`428:<;63;52d96}:
    6<>87:?7117=0h80197m6;:b2>{t=019;;2;:b6>;31k214l;4}r6e`c<72:q68kh8:0243>;3==914l<4=5;`1?>f=2wx8kk?:18082an10:<:94=5770?>f:27?5il58`78yv2am;0;6>u24gdb>460?27?99858`0891g7:32j96s|4gg0>5<4s4>mjo4>06589133?32j>63;a029mi94?:2y>0c`d28:<;63;55:9{t=01988b;:b6>;3??k14l=4=5;ef?>f>2wx8kmn:18782al80:<:94=544g?>f:27?4lk58`189111m32j?6s|4gaa>5<3s4>mh?4>06589100l32j>63;8dd976n3:p0cec2909w0:id582421<5=3:<76n3:p0ceb290?w0:id482421<5=38>76n3:?7=76=0h90196kf;:b0>{t=0196ie;:b7>;3?><14l=4=544a?>f:2wx9=;k:1850~;28?81==98;<6:edi>03m>5248c:>=g634>2mh47a29>0=b021k:70:7a`8;e6=:<1no65o>;<6:bc?:9c1?82>l?03m>52487g>=g434>2:l47a29>0<1021k870:7fd8;e0=:<0:965o>;<6:b7=g234>3oo47a39>0=eb21k870:7cg8;e6=:<0>h65o=;<6:e3524`17>=g434>j?o47a39>0d5a21k870:n418;e6=:<65o=;<6b0g=g434>j9547a29>0d3a21k970:n628;e6=:524`54>=g534>j;o47a29>0d1d21k870:6218;e0=:<0nj65o>;<6:6f=g634>2<947a09>0<7321k:70:6288;e4=:<1nm65o<;<6;`g=g534>20<7a21k970:63e8;e4=:<09m65o>;<6:bg=g634>2:847a09>0<1521k:70:n1`8;e4=:<0im65o>;<6:g70h03m<524871>=g434>j<847a39>0<>621k970:n268;e4=:;<6:45>:9c0?82>m803m<5248g1>=g434>3h847a09>0<`421k970:7b28;e4=:<1o>65o>;<6:439?03m<5248:e>=g534>j=k47a39>0n=03m<5rs426a?6=?>q69=8<:0243>;31:814l:4=5;be?>f:27?59>58`0891?4?32j=63;9279;30mo14l<4=5;eb?>f:27?m=>58`1891?c=32j?63;9e49;31>914l<4=5c37?>f927?4km58`0891>a>32j>63;9g79;30l<14l<4=5;3a?>f:27?5=958`0891?0n32j=63;a049;30jo14l:4=5:`b?>f:27?59o58`3891?3j32j>63;9c19;3i:914l:4=5c00?>f:27?m>o58`0891g4j32j963;a2d9;3i=h14l:4=5c7g?>f:27?m8<58`0891g2;32j963;a459476n2:?7e0c=0h8019o:f;:b1>;3i?914l:4=5c50?>f:27?m;o58`0891g1j32j963;a7d9;3i>h14l:4=5c4g?>f:27?563;9809;31m=14l<4=5;gf927?5i758`3891?>n32j>63;98c9;31j<14l?4=5;7f927?5o:58`1891?4j32j=63;93;9;31:l14l<4=5:f5?>f927?4n?58`0891?2032j>63;977976n2:?7e4g=0h80197lf;:b6>;31j814l<4=5;:3?>f:27?55o58`0891>c932j=63;94`9;3i9?14l=4=5c32?>f:27?55?58`1891g5?32j>63;a3:976n1:?7<`6=0h;019o>e;:b6>;31mi14l<4=5:g4?>f<27?58o58`6891?1?32j863;96692ml47a29>0<5021k870:6348;e4=:<0kh65o>;<6:`6i003m?5248cf>=g534>2?=47a09>0=b>21k:70:6e28;e7=:;<6b468;03m?5249cf>=g634>3ik47a09>0<7721k:70:67d8;e4=:<0=m65o=;<6b55:9c1?82?kk03m<5249ae>=g634>28l47a39>0<2e21k:70:6b38;e7=:<0h865o<;<6:f3=g634>j?o47a09>0d2721k:70:n468;e4=:h65o>;<6b16=g634>j:o47a09>0d1721k:70:n768;e4=:;<6:650l03m?524`3g>=g634>2h:47a09>0;<6:=dj003m?5248a6>=g634>2o;47a39>0<2>21k:70:6498;e1=:<1ih65o>;<6b74=g634>j:<47a09>0d0c21k:70:n788;e4=:<09i65o<;<6;b6:9c0?82?m:03m>524827>=g434>2=947a29>0<4>21k970:7dg8;e4=:<1ni65o<;<6:af52482e>=g434>2=k47a29>0<5c21k>70:63g8;e3=:<0lh65o>;<6:bg=g334>2<847a59>0<7221k?70:7e08;e7=:<1l>65o<;<6;g4><03m9524851>=g334>j0d7?21k970:6c18;e7=:<0io65o=;<6:<3i803m?524`3a>=g634>j0;<6:=g534>2:547a29>0<1221k870:6538;e1=:<0>>65o>;<6:01;319:14l<4=5;35?>f:27?4oh58`6891>cm32j863;94690197i2;:b1>;3i8o14l:4=5;gg?>f<27?5o;58`3891>c832j:63;94c9{t=9<;6=474z?6433=99=<70:6368;e7=:<09>65o=;<6:efi>03m<5248c:>=g434>2mh47a09>0<5721k970:7d88;e7=:<1hm65o<;<6;`a==03m>524842>=g434>2:h47a29>0d6521k970:n028;e1=:<1ln65o=;<6;e`9903m?52485f>=g534>2;k47a59>0d7721k970:n108;e1=:<1ii65o<;<6;gc=g634>2m847a59>0d4a21k870:n358;e0=:=g434>j9547a49>0d3a21k870:n658;e0=:=g534>24i47a39>0<>b21k?70:n1e8;e7=:<0n<65o;;<6:`=lk03m?5248;:>=g534>25l47a59>065o=;<6:g3=g534>j?i47a39>0d2>21k970:n548;e7=:;k03m?5249d0>=g534>3n<47a39>0=c421k970:6058;e7=:<0;?65o=;<6:6<=g434>3mn47a59>0=cb21k?70:60g8;e1=:<0;m65o;;<6:7ank03m<5249d7>=g634>3n?47a09>0=c321k:70:6048;e4=:<0;>65o>;<6;a4=g634>2o=47a09>0;<6:e4=g534>2o>47a39>0>103m<524856>=g634>29?47a49>0d4021k870:n298;e7=:<0:;65o>;<6:44:9c1?82>m;03m<5248d1>=g334>j=h47a29>0?=03m85248d7>=g534>3o<47a29>0<3?21k870:6648;e6=:<0=965o<;<6:0191;297<}:=9<=6<>87:?7=64=0h<0197;0;:b7>;31=814l=4=5:be?>f:27?4im58`6891?an32j=63;9d19;30oi14l?4=5:e2?>f927?4ok58`3891>e<32j=63;8df9;3i8<14l?4=5:`e?>f927?5l858`0891g5m32j=63;a3`9;3if927?m:858`3891?6m32j=63;905976n1:?7e75=0h;0197j9;:b5>;310l14l?4=5;aa?>f927?5nl58`3891?4l32j>63;92d90<>728:j463;94f976n1:?7;3i=914l?4=5c7b?>f927?m8l58`3891g1?32j=63;a619;310h14l?4=5;ae?>f927?5n958`38yv2a0m0;6<7t=5d:7?77?>1685o9:9c2?82?>l03m<524e`3>=g434>jo:47a49>0a?e21k=70:k9b8;e0=:=g534>o5;47a09>024c21k=70:74c8;e1=:<<8865o;;<6ge==g634><4o47a09>0de?21k:70:na38;e4=:;<714<87:?7;3lk:14l:4=5c4a?>f:27?mn958`0891b>j32j?63;d8a9;30=l14l?4=5:4e?>f927?h4858`089115l32j963;85`9=0h?019ok8;:b7>;2:9214l<4=4033?>f:27?;5l58`0891gd032j>63;a`09{tcz?7b<3=99=<70:7a68;e7=:<103m9524e;a>=g234>o5n47a29>0ad621k>70:kb38;e7=:65o=;<6ge3=g434>o5;47a29>024c21k?70:74c8;e7=:<<8865o<;<6ge=525324>=g334><4o47a29>02de21k870:na38;e6=:87:?7;3lk:14l84=5c4a?>f;27?mn958`4891b>j32j>63;d8a9;30=314l=4=5:4e?>f=27?h4;58`689111<32j863;73f9;3lh214l:4=5cb7?>f<27?mi658`789047032j863:21590199<3;:b5>;3ij214l=4=5gb2?>f;2wx8k7>:182b~;3n0=1==98;<6;e3=g634>o5o47a09>0a?d21k:70:kb08;e4=:;<6ge2=g334>3;l47a59>0a?221k>70:86`8;e1=:<>=03m>52460g>=g534>38o47a29>004421k>70:nd98;e1=:=;:365o:;<7142=g234>jm>47a49>176>21k>7p};f8094?45s4>m554>065891>f>32j?63;87g9;3l0h14l:4=5f:`?>f;27?ho<58`6891be;32j963;d`590196;f;:b7>;3l0<14l:4=555e?>f:27?;;k58`089110:32j>63;7649;3=;914l84=5cgf:27>>=658`489047?32j:63;79`9=0h>019689;:b6>;3ih814l84=5gb2?>f<27?ml=58`489047132j:6s|512;>5<1s4?;><4>06589100i32j=63;67`90`d<5=2i=76n5:?7<1>=0h;018>=2;:b5>{t=9:26=49{<7366<68>=01988b;:b5>;3>?i18hl4=5:f7?>f=27?4?o58`389065<32j=6s|512b>5<1s4?;>84>06589100k32j=63;67f90`d<5=2m?76n5:?7<63=0h;018>=6;:b5>{t=9:i6=49{<7362<68>=01988d;:b5>;3>?o18hl4=5;30?>f=27?4>658`389065032j=6s|512`>5<1s4?;>44>06589101n3>nn63;66g9=a;:b5>{t=9:o6=4:{<736g<68>=019881;:b5>;31:h14l;4=5:0g?>f927>460?27?59o58`689100;32j=63;82d9w0;?2g82421<5=<<976n1:?7<17=0h;0197:a;:b6>;28::14l?4}r7340<72;3>>=14l?4=5:76?>f927?4i>58`089066k32j=6s|5125>5<2s4?;=i4>06589100132j=63;85690}:=9;m6<>87:?72=7=0h;0196;6;:b5>;31>>14l<4=4214?>f92wx8njk:18082dm;0:<:94=54b4?>f:27?oh=58`08yv2dll0;6>u24bg7>460?27?:l?58`0891eb=32j>6s|4bfe>5<4s4>hi;4>0658910f:32j>63;cd59hi=4?:2y>0fc?28:<;63;6`19g}:87:?731g=0h;0199j6;:b0>;3?l>14l<4=55gb?>f:27?;k:58`18911b132j?63;7d`9{t=0199;6;:b6>;3?l<14l;4=55e4?>f<27?;h:58`38911b932j?63;7g79;3ijh14l=4=55f`?>f=27?;k758`38911ai32j>63;cg39h?h4?:2y>0f2228:<;63;fbd90=0h80q~:l4183>6}:26<>87:?7ba7=<0o019m;a;:b6>{t:6=4<{<6`0g<68>=019hk2;6:a>;3k=i14l<4}r6`07<72:q68n:k:0243>;3nm9184k4=5a7a?>f:2wx8n:<:18082dm27?o8>58`08yv2d<=0;6>u24b72>460?27?ji;548g891e2:32j>6s|4e2f>5<5s4?;:?4;9d9>0=6>2k2i7p};d1d94?4|5<:=?7:6e:?7<5`=j1h0q~:k1183>7}:=91;296~;28??184k4=5:22?d?j2wx8i?=:181837>?0?5h524934>g>e3ty?nkm50;5x91e7:3;;;:524g;0>1?b34>34l47a09>0=>021k>70:8bb8;e6=:1==98;<6e=1<31l16856n:9c7?82?0>03m<524ec0>=g534>0f6221k:70;=0`8;e3=z{=hmi7>57z?7g50=99=<70:i9487=`=:<12j65o:;<6;<203m<52532b>=g33ty?nkh50;:x91e703;;;:524g;5>1?b34>34l47a79>0=>021k970:ka28;e0=:<>hh65o=;<6`4<n:9c2?xu3k9:1<79t=5a3e?77?>168k78:5;f?82?0h03m?5249:4>=g134>om>47a29>0f6e21k970;=0`8;e7=z{=i;=7>58z?7g5e=99=<70:i9987=`=:<12j65o<;<6;<2=g634?9=3:1=>u24e`5>g>e34?:4n47a79>14?421k=70;>8c8;e3=:=83965o9;<72=4=g134?:4=47a79>14>c21k=70;>788;e3=:=83?65o9;<72=0=g134?:4847a79>141221k=7p};d`a94?32s4>omn4>06589114n32j=63;9209;3ij>14l=4=5:1a?>f=27?hlk58`4891be<32j963;8`c9;31?k14l?4=5;43?>f927?m=758`1891>a?32j=63;9979;3lh?14l;4=5fb2?>f=27?hl758`089115m32j=63:16`90197jd;:b0>;31o=14l:4=5;65?>f:27?5ko58`0891?e832j>63;9dd9;3i=?14l<4=5c65?>f:27?m8j58`0891g1132j>63;a679;31?l14l84=5:e=?>f:27?4om58`0891>bi32j>63;91`9=0h;0197?8;:b5>;30l=14l?4=5;:5?>f=27?55:58`7891?4932j963;8409;30ok14l:4=5;;6?>f:27?ho958`0891>3k32j863;a`69;30j=14l<4=5c03?>f:27?m9=58`0891g3n32j>63;a4`9;291814l=4=5ca6?>f:27?4o858`08907?032j?63;a`590c|5=nj<7??769>0=e321k970:7c38;e4=:=g534>3n:47a39>0<4121k970:6328;e1=:<1n?65o;;<6:a`>j03m?52485:>=g534>j<547a29>0d6021k:70:6f98;e4=:<0=i65o=;<6:<0=g534>28h47a59>0<2c21k970:6a58;e4=:;<6b6f=g634>j9l47a09>0d0121k:70:n738;e4=:<02265o=;<6b641?03m?5248``>=g534>2o447a29>0ag121k?70:ka`8;e1=:<>8n65o<;<6;ea=<03m>524841>=g434>2:k47a29>0=`?21k:70:7bc8;e4=:<1o265o>;<6:4d9003m?52482:>=g534>3i547a39>0=g234>24?47a29>0`4a2=3n70:kb68;e6=:<1>h65o:;<647c:m03m<5249f5>=g534>jn=47a29>0<`121k970:n128;e7=:<1i365o=;<6:e==g534>j9n47a39>0d0?21k970:n758;e7=:k103m?5246:g>=g334?:;o47a49>141721k>70;>838;e1=:=0196l4;:b7>;30j814l<4=5;76?>f:27?mlo58`3891gd<32j>63;d8f9;31lo14l;4=5;f0?>f:27?mo>58`0891g7132j=63;9g:9;31h<14l?4=5c1e?>f=27?m?l58`0891g4>32j963;a509;3i>814l;4=5fb2?>f;27?;8=58`689112=32j863;7459576n4:?730d=0h>0199:d;:b0>;3?f<27?;:758`689110j32j863;76f9019973;:b0>;3?1?14l:4=55;3?>f<27?;;o58`389111m32j=63;7609019773;:b6>;30hn14l:4=5fb6?>f<27?5>658`0891?3n32j>63;8ec9;31?814l<4=5;5b?>f:27?4k658`1891>ej32j?63;8d;99;:b5>;319314l?4=5:ff927?48<58`389113:32j>63;7dg9;3?=l14l=4=5565?>f;27?4?j58`1891>d?32j?63;a259;3i?=14l=4=5c47?>f;27?;;858`0891?>k32j=63;9829{t;<6;g752491;>=g434>3?l47a29>0=5d21k870:7438;e6=:<19m65o<;<6;0452496;>=g434>on947a59>0=d021k:70:6278;e4=:<1n?65o>;<6:a`>j03m<52485:>=g634>j<547a59>0d6>21k970:n068;e1=:<0l365o=;<6:3g=g634>28i47a09>0=g534>j:;47a39>0d1521k970:6888;e4=:jj03m>5248a:>=g334>om;47a39>0agf21k970:k948;e4=:<02865o>;<6;ea=g534>jjk47a39>14df21k870;>bb8;e4=:<0?>65o;;<6:27=g534>3i447a39>0<6f21k970:61`8;e7=:<1h>65o=;<6;17=g534>n?=4;9d9>14e321k870:ncd8;e7=:<>9m65o;;<6be1=g234>2>i47a39>0=b121k870:nb18;e1=:<0l=65o<;<6b56i103m>524`1;>=g434>j8947a29>0d3721k870:n5b8;e6=:ll03m>5248`a>=g434>2o547a29>09003m>52482:>=g434>3i547a29>14>?21k>70:mb18;e6=:=019995;:b7>;3??h14l?4=555b?>f927?;:=58`389110?32j=63;d1f9;3ihi14l=4=5a31?>f<27?h<:58`6891e7132j863;c1f9;3?<;14l<4=5566?>f:27?;8:58`089112>32j>63;74:9m76n2:?730e=0h80199:e;:b6>;3??:14l<4=5556?>f:27?;:o58`089110k32j>63;76g9;3?1<14l<4=5552?>f927?moh58`6891dd132j>63;ac49{t26=4i{<6g0<<68>=018>97;6:a>;3ijh14l?4=4215?24927>8=63:0359067<5<:957:<1:?647d=<:;018>=d;605>;28;l18>?4=422f?24927><8=63;75`9o8l4?:9y>0a2f28:<;63;ac;9;3l8214l=4=5:2`?>f:27?4u25127>460?27?mlj58`3891gdi32j=6s|4b2f>5<3s4>h>84>06589102:32j<63;7709290?w0:l2782421<5=<8o76n1:?7302=0h;019ml6;:b5>{t=0198;2;:b5>;3j9?14l<4=556g?>f927?onk58`0891d><32j>6s|4b3f>5<1s4>h?84>06589103<32j=63;b149i76n1:?7ga6=0h8019l66;:b6>{t=0198;6;:b5>;3j9=14l<4=5554?>f927?oi<58`0891d>032j>6s|4b03>5<1s4>h?:4>06589111032j=63;65:9{t=01999c;:b5>;3>=k14l?4=5``g?4c927?n=758`3891ec>32j>63;b8a9h>?4?:6y>0f5>28:<;63;7629;3km214l<4=5`:a?>f92wx8n<<:18482d;h0:<:94=5540?>f927?:9k58`3891ddk38o?63;b1292}:87:?732>=0h;0198:0;:b5>;3jji1>i:4=5`35?>f927?oim58`0891df:32j=6s|4b2e>5<0s4>h>:4>06589105032j=63;bba96a3<5=h;>76n1:?732g=0h;019mm3;:b6>;3j>k14l?4}r6`55<72>q68n<7:0243>;3>;k14l?4=5``g?4c>27?n==58`389110k32j=63;cc79;3?>o14l?4=5aa3?>f:27?n:k58`38yv2d9;0;6;u24b0b>460?27?:?k58`3891de>32j?63;79293}:87:?7266=0h;019lm5;:b6>;3?1814l?4=5aaf?>f:27?n5<58`08yv2d9=0;6;u24b0`>460?27?:><58`3891de<32j?63;79693}:87:?7262=0h;019lm5;:b5>;3?1<14l?4=5aab?>f:27?n5858`08yv2d9?0;6;u24b0f>460?27?;9m58`389104>32j=63;bc79=0h80q~:l1683>3}:87:?7306=0h;0198<8;:b5>;3jk<14l?4=5a`7?>f:27?n5o58`08yv2d910;6;u24b13>460?27?:>o58`3891de>32j>63;74093}:87:?726c=0h;019lm4;:b5>;3?<<14l?4=5a`=?>f:27?n5h58`08yv2d9k0;6;u24b10>460?27?:9>58`3891de<32j>63;74:90}:87:?7234=0h;0199:a;:b5>;3kjn14l<4=5`:7?>f:2wx914l=4=5fbe?>f;27?h4;58`0891d6k32j?63;agd90dec21k:70:na58;e4=:;<6ag<=g634>jm547a09>0dg>21k:70:ncd8;e4=z{<;;>7>52z?7a67=<0o018?6f;3332=z{<;;=7>52z?7=c0=0h;018??1;3332=z{<;;<7>52z?752z?7<`?=0h<018>if;3332=z{<:mi7>52z?7=0h<018>ie;3332=z{<:mh7>52z?7=5g=0h<018>id;3332=z{<:mo7>52z?7=4g=0h<018>ic;3332=z{<;;m7>52z?7=70=0h>018??a;3332=z{<;;57>52z?7=11=0h>018??9;3332=z{<;;47>52z?7=0`=0h9018??8;3332=z{<;;;7>52z?752z?7=3e=0h9018??6;3332=z{<;;97>52z?7=2?=0h9018??5;3332=z{<;;87>52z?7=2d=0h>018??4;3332=z{<;;?7>52z?7==?=0h>018??3;3332=z{<:mn7>52z?7=<0=0h>018>ib;3332=z{<:mm7>53z?7=`c=0h90197n8;:b5>;28ok1==98;|q6545=839p197i8;:b7>;31kh14l?4=4327?77?>1v8?>2;297~;30kh14l;4=5;`f927>=<<51154?xu298;1<7=t=5:f=?>f=27?5ik58`38907693;;;:5rs4324?6=;r7?m=958`1891>a032j963:102955103ty>==h50;1x91?7i32j963;a01953z?7=11=0h9019o<8;:b5>;298h1==98;|q654g=839p197:f;:b0>;3i=>14l?4=432e?77?>1v8?>9;297~;30k=14l:4=5c64?>f927>=<751154?xu29821<7=t=5;5g?>f<27?m8m58`38907603;;;:5rs4323?6=;r7?5:758`6891g1032j=63:105955103ty>=<850;1x91?0j32j?63;a6694;3332=z{<;;o7>52z?7=d2=0h9018??c;3332=z{<;;n7>52z?7=ge=0h>018??b;3332=z{=o2:7>52z?64`1=mo;0197l9;:b6>{tc7534>j=947a39~w1c>i3:1>v3:0d59b4e<5=k9=76n2:p0`?e2909w0;?e68e5a=:j7;d2a>;3i:<14l=4}r6f=a<72;q69=k8:g3e?82f<;03m>5rs5g:a?6=:r7>5<5s4?;i:4i209>0d3f21k87p};e`294?4|5<:n;7ki2:?7e30=0h90q~:ja083>7}:=9o<6hh<;<6b37f;2wx8ho<:181837m>0nj85249f7>=g23ty?nk850;35820;j0i4o52492;>=g634>35n47a09>0=?c21k:70:7058;e0=:<1:o65o9;<6;51=g634>3>547a39>02`b21k970:8fg8;e3=:<1k865o9;<6g03524b71>=g434>30=?>21k970:7238;e7=:;|q7fc3=83;=w0:83`8a524904>=g634>30=6b21k970:71`8;e1=:<18>65o=;<6;55=:9c5?82?9l03m?5246dg>46f027?4?758`3891>f:32j=63;8`19;309214l<4=5caa?>f:2wx8oh?:1816~;3?:?1n5l4=5:2=?>f=27?4=858`0891>>k32j:63;8119a;:b6>;308>14l84=5:21?>f=27?4<>58`3891>6932j?63;83:976n3:?7<51=0h80199ie;:b5>;3?ol14l?4=5:b6?>f:27?4l=58`6891eb;32j?63;c549;300314l:4=5::f?>f:27?44j58`1891>5?32j?63;8319;30h>14l<4=5:2f?>f:2wx8oh>:1815~;308314l?4=5502?d?j27?4=858`4891>>k32j>63;81194;:b6>;308?14l:4=5:24?>f<27?47:32j963;8159;30h;1==o7;<6`a052492b>=g534>30db221k970:7988;e6=:<13j65o<;<6;=a=g634>jno47a39>0ddd21k970:nbe8;e7=:<1;i65o>;|q7fc4=838?w0:8368a5249;`>=g434>3<>47a39>0=6c21k970:70d8;e0=:<1;j65o>;<6;51524933>=g234>3=<47a59>0=g321k870:7038;e4=:<1:<65o<;<64b`5249c0>=g534>hi:47a29>0f2f21k870:70`8;e6=:<1:i65o<;<6b`003m9524904>=g334>3m=47a59>0=4421k?70:7258;e6=:=g334>3<>47a49>0=6c21k:70:70d8;e3=:<1;j65o:;<6;51524932>=g634>30=6021k?70:8fd8;e1=:<>lm65o;;<6;6<524b6`>=g434>30=6e21k?70:nd48;e3=:<13>65o:;<6;=2=g134>3m=47a49>0=4421k=70:7258;e1=:=g334>3m?47a59~w1da?3:1=9u24cd;>g>e34>35o47a09>0=6321k970:7298;e6=:<18;65o;;<6471?:9c7?82c<903m>524e1;>=g434>o=;47a29>0a4d21k870:k218;e6=:<13>65o>;<6;=2=g634>3=i47a59>0=7a21k?70:nc08;e7=z{=hm87>523y>025>2k2i70:7188;e1=:<1:=65o;;<6;=f<:9c2?82?8m03m>52492f>=g634>3=l47a79>0=7321k>70:7148;e3=:<1;;65o9;<6;54=:9c1?820nl03m>5246de>=g234>3>447a29>0=g421k>70:l4d8;e6=:<1:>6<>n8:?7ea3=0h?019665;:b2>;300=14l84=5::=?>f=27?44o58`7891>>l32j863;8359;3ikh14l;4=5cag?>f>27?moj58`7891>6j32j963;8`09ihk4?:3y>025221k=70:m2g851g=z{=hn<7>52z?7360=0h<019l=f;46g>{tf>27?n><562g8yv2em:0;6>u2461:>=g134>jhl47a79>0g552?>27p};bd694?5|5==8m76n6:?736d=0h<019l<2;460>{t56s|4cf5>5<5s4>0g4d2??h7p};be594?4|5==8:76n3:?7f7e=>ln0q~:md983>7}:<>9<65o<;<6a6c<1;l1v9lk9;296~;3?:214l=4=5`1b?0312wx8ojm:180820;003m>524`fb>=g434>i>k49559~w1dck3:1?v3;72c9<20q~:mde83>6}:<>9h65o<;<647af;27?n?h564c8yv2emm0;6?u24616>=g234>i>449ee9~w1dbm3:1>v3;7249;3j;i1:8:4}r6a`7<72:q68:=6:9c6?82flh03m8524c0`>33?3ty?ni=50;1x9114i32j963;72`99o65o:;<6a6f<1=h1v9lk5;296~;3jo214l;4=5`1g?02j2wx8omi:181820;<03m9524c0:>35b3ty?nio50;0x9114>32j863;b3;921?ii84?:3y>025021k?70:m288511=z{=hn47>52z?736>=0h>019l=9;46<>{to58`689114j32j863;b3;920giio4?:2y>025d21k?70:83e8;e1=:;3j;31:8m4}r6f4c<72;q68;>9:c:a?82>l<03m95rs5g2e?6=:r7?:5<5s4>==n4m8c9>0=d421k>7p};e3:94?4|5=<:j7l7b:?7<`3=0h?0q~:j2883>7}:;;1n5l4=5;32?>f=2wx8h=g23ty?i?m50;0x9105;3h3n63;93a9n>i4?:3y>03432k2i70:64`8;e0=z{=o9i7>52z?7273=j1h0197:c;:b2>{tg>e34>2;;47a79~w1c6;3:1>v3;61c9f=d<5=3;310214l:4}r6f53<72;q68;>k:c:a?82>j<03m?5rs5g23?6=:r7?:=k5b9`891?d;32j86s|4d3;>5<5s4>=07}:c;296~;3>891n5l4=5c2f?>f<2wx8h?k:1818219=0i4o524`0;>=g23ty?in=k4?:3y>03712k2i70:n418;e1=z{=o9<7>52z?7241=j1h019o;c;:b0>{tg>e34>j;=47a59~w1c5<3:1>v3;60`9f=d<5=ke;`;f>;30l;14l=4}r6e16<72jq68i9;:5;f?821l<03m>5247a5>=g634><>?47a09>026?21k870:9f88;e4=:=9h>6?;9;<7334<5=?168:li:c:a?820j;03m85246`:>=g534><5n47a79~w1`2m3:1hv3;d6790;3?9214l:4=54e=?>f;27>:76n4:?73g5=0h?0199ma;:b6>;3?0o14l84}r6e2<<72lq68i6?:5;f?821l?03m>5247a`>=g634><>?47a49>026>21k870:9fg8;e4=:=9h>6?8>;<7334<5>8168:mk:c:a?82?>:03m95249ce>=g434>02?421k970:8a18;e3=z{=l=o7>5ez?7`=d=<0o0198k6;:b0>;3>ji14l=4=5510?>f927?;=758`68910an32j?63:0c79634<5<:<=7<92:?73a6=j1h019696;:b0>;30o:14l=4=55a0?>f=27?;4;58`08911f:32j:6s|4g4g>5o4h4;9d9>03bd21k870:9ce8;e4=:<>8?65o<;<644c?:9c2?837j<09:>525152>70434>0=0021k>70:8b48;e0=:<>3<65o=;<64e1{t524607>=g234><026721k870;?b48121=:=9=:6?8;;<64`79803m>5246`5>=g234><5547a39>02g121k=7p};f7d94?c|5=n2<7:6e:?72ab=0h90198le;:b5>;3?;?14l?4=5524?>f;27?;=?58`38906e=38=963:0639633<5==o?7l7b:?7<3?=0h?0197=1;:b7>;3?k=14l;4=55:=?>f:27?;lo58`48yv2a?90;6iu24e;2>1?b34>=hi47a59>03eb21k870:8248;e6=:<>;;65o;;<6444g>e34>3:l47a49>02d?21k>70:89`8;e7=:<>kh65o9;|q7b27=83kp19j62;6:a>;3>mo14l=4=54`b?>f927?;?;58`789116932j?63;7109;3?k814l?4}r6e37<72jq68i7<:5;f?821ll03m95247ae>=g434><>;47a09>027621k?70:8038;e6=:=9h>6?87;<7334<5>1168:j9:c:a?820j:03m<52487`>=g334>29547a79~w1`2<3:1ov3;d6490;3?8814l=4=5537?>f927>;63;7b`9f=d<5==j;76n1:?70196l1;:b2>{t6=4l{<6g32<31l168;ji:9c7?821l903m>524605>=g234><=?47a59>026421k870;?b4811==:=9=:6?;7;<64`2>003m9524846>=g13ty?j8850;ax91b003>2i63;6d293;:b7>;3?9>14l?4=42a1?42127><:?524;8911c03h3n63;7c79g}::9c0?820:>03m>524630>=g334><<947a29>15d22;?j70;?70811d=:<>n26o6m;<6:3`m27?:h?58`18910c:32j=63;7359m5;06f>;28>;1>8l4=55ge?d?j27?55j58`68911e?32j=6s|4g7:>5o;o4;9d9>03c621k?70:9d38;e6=:<>8365o>;<6451::9c0?837j<099n525152>73d34>021k?70:8b98;e4=z{=l>m7>5az?7`2e=<0o0198j2;:b7>;3>m914l?4=551f;27?;<;58`189117>32j=63:0c7960b<5<:<=7<:d:?73ae=j1h0199m9;:b2>{t52460;>=g234><=847a59>026121k870;?738113=:<>no6o6m;<6:f=m27?:h=58`18910c<32j=63;73;982;054>;3?ko1n5l4=5;`1?>f<27?;4=58`48yv2a=m0;6ou24e5e>1?b34>=i>47a59>03b321k870:8288;e6=:<>;=65o;;<6442=g434>2ho47a59>02?221k=7p};f4d94?g|5=n3=7:6e:?72a1=0h90198l7;:b5>;3?;314l;4=553e?>f;27?:ko58`389060:38=>63;7b09f=d<5=k;>76n4:?73<1=0h<0q~:i6183>d}:=g334>=jl47a29>15152;<870:8c28af;27?:n658`389115i32j?63;71`90199l4;`;f>;3i8n14l:4=55:=?>f>2wx8k8=:18:82c0=0?5h5247f;>=g334>=o547a29>024f21k>70:80c8;e1=:<168:m::c:a?8201h03m;5rs5d57?6=0r7?h5;548g8910c132j?63;6b;9;28>81>;84=55`2?d?j2wx8k8;:18;82c0?0?5h5247f:>=g334>=o447a29>024e21k870:80b8;e1=:>168:m8:c:a?xu3n??1<76t=5f;3?2>m27?:io58`18910di32j=63;73`982;05<>;3?j21n5l4}r6e23<721q68i67:5;f?821lh03m95247ab>=g434><>n47a09>026c21k?70:9fe8;e6=:=9=96?;8;<64g<f;27?:nl58`389115k32j?63;71g9{t52460`>=g234><03`b21k870;?73811<=:<>ih6o6m;|q7b3g=83?p19j7c;6:a>;3?;914l?4=4246?42i27?;nk5b9`8910bn32j86s|4g4a>5o4i4;9d9>024421k870;?73811g=:=9=96?;l;<7337<5=m169=9=:37e?820ko0i4o5247gf>=g234>=no47a59>03dd21k=7p};f3f94?1|5=nji76n5:?7ed`=0h>019jn5;:b7>;30=314l;4=5gb1?d?j27?mn858`08911?m32j:6s|4g0;>5<5s4>m>:4;9d9>0c4>2k2i7p};f3`94?4|5=l9m7:6e:?7b7e=j1h0q~:ja983>16|5=omj7:<1:?7b56=<:;019h?b;605>;3n8<18>?4=5d2=?24927?j8=63;f0a9067<5=l:h7:<1:?7b4c=<:;019h?1;605>;3n9818>?4=5d37?24927?j=:5423891`7=3>8=63;f149067<5=l;;7:<1:?7b5>=<:;019h?9;605>;3n9k18>?4=5d3g?24927?j=j5423891`7m3>8=63;f1d9067<5=l:<7:<1:?7b47=<:;019h>2;605>;3n8918>?4=5d20?24927?j<;5423891`6?3>8=63;f0:9067<5=ohi7:<1:?7aa>=<:;019kk9;605>;3mmk18>?4=5ggf?24927?iim5423891ccl3>8=63;eeg9067<5=oh87:<1:?7af3=<:;019kl6;605>;3mj=18>?4=5g`8=63;eb`9067<5=oho7:<1:?7afb=<:;019klf;605>;3mm:18>?4=5gg5?24927?ii<5423891cc;3>8=63;ee69067<5=oo97:<1:?7aa0=<:;019h=3;605>;3n;>18>?4=5d11?24927?j?85423891cf13h3n63;ee59067m>l4?:9y>0c4f28:<;63;e`;9;2:?214l:4=5d1g?>f927?il;58`38yv36?;0;68u250:a>=g434?:4447a59>14>?2k2i70;>8e8;e0=:=82h65o:;|q653`=833p18?80;`;f>;29>314l;4=434f=27>=:958`789070>32j963:1849{t=82:6=46{<72<7=g234?:5<47a49>14?721k>70;>8g8;e0=:=82n65o:;<72<5h1n5l4=43;3?>f=27>=5858`78907?<32j963:1919;29>o14l;4}r73a3<7289p18>m5;d2f>;28l=18=94=42f3?27027>;m63:0d5905d<5<:n;7:?c:?64`1=<9n018>j7;63a>;28l=18=h4=42f3?26827>:?63:0d59042<5<:n;7:>5:?64`1=<8<018>j7;623>;28l=18<64=42f3?26i2wx9=k::181837j<0m=45251g4>1623ty>4?:3y>15d22o;<70;?e68746=z{<:n>7>52z?64g3=n8<018>j7;636>{t=9o:6=4={<73f0c7434?;i:4v3:0c79b47<5<:n;7=ie:p15bc2909w0;?b48e55=:=9o<6>hk;|q64ae=838p18>m5;d3b>;28l=1?km4}r73`g<72;q69=l::g2f?837m>08jl5rs42ge?6=:r7>5<5s4?;n84i0b9>15c02:l37p}:0e:94?4|5<:i97h?b:?64`1=;o=0q~;?d683>7}:=9h>6k>n;<73a2<4n?1v8>k6;296~;28k?1j=74=42f3?5a=2wx9=j::181837j<0m<55251g4>6`33ty>4?:3y>15d22o:>70;?e680b7=z{<:o>7>52z?64g3=n9>018>j7;1e5>{t=9n:6=4={<73f0c6634?;i:4;1d9~w06dm3:1>v3:0c79b56<5<:n;7:>d:p15ec2909w0;?b48fbc=:=9o<69?l;|q64fe=838p18>m5;gea>;28l=180?=<5rs42`e?6=:r7>;:6s|51a:>5<5s4?;n84jf`9>15c02:li7p}:0b:94?4|5<:i97ki9:?64`1=;o:0q~;?bg83>7}:=9h>6>o<;<73a2<4<>1v8>me;296~;28k?1?l?4=42f3?53=2wx9=lk:181837j<08m=5251g4>6233ty>15d22:3n70;?e68007=z{<:im7>52z?64g3=;0n018>j7;175>{t=9h26=4={<73f0<41j169=k8:263?xu28k21<7j27>0;6?u251`6>6gd34?;i:4<519~w06d>3:1>v3:0c797dd<5<:n;7=;f:p15e22909w0;?b480ed=:=9o<6>:j;|q64f2=838p18>m5;1b=>;28l=1?9j4}r73g6<72;q69=l::2c;?837m>088n5rs42`6?6=:r7>5<5s4?;n8415c02:>j7p}:0b294?4|5<:i97=n5:?64`1=;=30q~;?b683>7}:=9h>6>o;;<73a2<4<11v8>m6;296~;28k?1?4o4=42f3?54m2wx9=oi:181837?;0mi<5251`6>c1a3ty>15152onn70;?b48e3f=z{<:jo7>52z?6424=nmn018>m5;d4f>{t=9ki6=4={<7337cbf34?;n84i799~w06f03:1>v3:0609ba?<5<:i97h87:p15g12909w0;?738e`2=:=9h>6k9:;|q64d3=838p18>82;dg2>;28k?1j::4}r73e1<72;q69=9=:gf6?837j<0m;>5rs42b7?6=:r7><:<5fe68906e=3l<>6s|51c1>5<5s4?;;?4id29>15d22o=:7p}:0`394?4|5<:<>7hk2:?64g3=n>:0q~;?a183>7}:=9=96kj>;<73f0o1v8>6f;296~;28>81ji>4=42a1?`1m2wx9=7j:181837?;0mok5251`6>c0c3ty><4j50;0x9060:3lhi63:0c79b3e15152oih70;?b48e2d=z{<:2m7>52z?6424=njh018>m5;d5=>{t=9326=4={<73370;6?u25151>ce?34?;n84i679~w06>>3:1>v3:0609bf1<5<:i97h95:p15?22909w0;?738eg3=:=9h>6k8;;|q64<2=838p18>82;d`1>;28k?1j;=4}r73=6<72;q69=9=:ga7?837j<0m:?5rs42:6?6=:r7><:<5fb18906e=3l==6s|51;3>5<5s4?;;?4ic09>15d22o?m7p}:09d94?4|5<:<>7hl0:?64g3=n7}:=9=96kli;<73f07d;296~;28>81jok4=42a1?`2k2wx9=6l:181837?;0mni5251`6>c3e3ty><5l50;0x9060:3lio63:0c79b0g15152ohi70;?b48e1<=z{<:357>52z?6424=nkk018>m5;d6<>{t=9236=4={<7337cc034?;n84i849~w06e;3:1>v3:0609b`0<5<:i97h74:p15d52909w0;?738ea0=:=9h>6k6<;|q64g7=838p18>82;df0>;28k?1j5<4}r73f5<72;q69=9=:gg0?837j<0m4<5rs42b3?6=:r7><:<5fd08906e=3l3<6s|51;`>5<5s4?;;?4id99>15d22o==7p}:08394?4|5<:<>7hld:?64g3=n?h0q~;?8783>7}:=9=96km=;<73f091v8>75;296~;28>81jo94=42a1?`2=2wx9<:l:1827~;28>;1j<:<541:89060:3>;563:060905g<5<:<>7:?b:?6424=<9i018>82;63`>;28>818=k4=4246?27n27><:<540289060:3>:>63:0609045<5<:<>7:>4:?6424=<8?018>82;622>;28>818<94=4246?26027><:<540c8yv36c7>34?;;?4;049~w073i3:1>v3:0639b4><5<:<>7:?4:p142>2909w0;?708e52=:=9=969><;|q651>=838p18>81;d22>;28>818=<4}r7202<72;q69=9>:g36?837?;0?<<5rs4372?6=:r7><:?5f0689060:3>;<6s|5066>5<5s4?;;<4i129>15152:lm7p}:15694?4|5<:<=7h>1:?6424=;oo0q~;>4283>7}:=9=:6k??;<7337<4nm1v8?;2;296~;28>;1j=h4=4246?5ak2wx9<:>:181837?80m6`f3ty>=9>50;0x906093l;h63:06097c?15162o:h70;?7380b==z{<;8i7>52z?6427=n9h018>82;1e3>{t=89o6=4={<7334<:<53g78yv36;k0;6?u25152>c6?34?;;?4v3:0639b50<5<:<>7=i3:p145>2909w0;?708e40=:=9=96>h=;|q656>=838p18>81;d30>;28>81?k?4}r7272<72;q69=9>:g20?837?;0?>=5rs4302?6=:r7><:?5f1089060:3>:j6s|5016>5<5s4?;;<4i009>15152=;n7p}:12694?4|5<:<=7h?0:?6424=<8n0q~;>3283>7}:=9=:6hhi;<7337<39j1v8?<2;296~;28>;1ikk4=4246?26j2wx9<=>:181837?80nji525151>1763ty>=>>50;0x906093omn63:0609050k4?:3y>15162llj70;?7380bg=z{<;9i7>52z?6427=mo3018>82;1e4>{t=88>6=4={<7334<4i:169=9=:264?xu29;>1<7<:<53578yv36::0;6?u25152>6g734?;;?4<459~w075:3:1>v3:06397<`<5<:<>7=;3:p14462909w0;?7080=`=:=9=96>:=;|q6576=838p18>81;1:`>;28>81?9?4}r725c<72;q69=9>:2;`?837?;088=5rs432a?6=:r7><:?538`89060:398j6s|500g>5<5s4?;;<415152:?;7p}:13a94?4|5<:<=7=nb:?6424=;=l0q~;>2c83>7}:=9=:6>on;<7337<4;1?l74=4246?53l2wx9<<6:181837?808m5525151>62d3ty>=?650;0x9060939j;63:060971d:4?:3y>15162:k=70;?73800d=z{<;9:7>52z?6427=;h?018>82;17=>{t=8;o6=4={<7334<4i=169=9=:26;?xu298i1<7i27><:<532g8yv36>10;6?u251`6>``?34?:m54;9d9~w071?3:1>v3:0c79ac1<5<;j57:6e:p14012909w0;?b48fb3=:=8h:697j;|q6533=838p18>m5;ge1>;29k8184k4}r7226<72;q69=l::dd7?836j:0?5h5rs4356?6=:r7>2i6s|5042>5<5s4?;n84jf39>14d22=3n7p}:17294?4|5<:i97h=1:?65g0=<0o0q~;>5g83>7}:=9h>6km2wx9<;k:181837j<0m=h5250cb>1?b3ty>=8m50;0x906e=3l:h63:1``9015d22o;h70;>ab87=`=z{<;>m7>52z?64g3=n88018?nd;6:a>{t=8?36=4={<73f0169=lh548g8yv36=?0;6?u251`6>``634?:n=4;9d9~w072=3:1>v3:0639ac><5<;2;7:6e:p14332909w0;?708fb2=:=833697j;|q6505=838p18>81;ge2>;29h:184k4}r7217<72;q69=9>:dd6?836i80?5h5rs4365?6=:r7><:?5eg68907f:3>2i6s|5073>5<5s4?;;<4jf29>14g42=3n7p}:15d94?4|5<:<=7ki2:?65d2=<0o0q~;>6d83>7}:=9=:6k<>;<72e0<31l1v8?9d;296~;28>;1j?>4=43b2?2>m2wx9<8l:181837?80m=k5250c4>1?b3ty>=;l50;0x906093l:i63:18;9015162o;o70;>9`87=`=z{<;=57>52z?6427=n8i018?6b;6:a>{t=8=4j548g8yv36``d34?:5h4;9d9~w073l3:1>v3:0639ac7<5<;2j7:6e:p14de290?hv3:063906><5<:<>7:<8:?64g3=<:2018>m5;60e>;28l=18><4=42f3?24;27>8463:0d5906g<5<;io7l7b:?65<1=<:;018>ia;605>;290218>?4=42ef?24927>=l>542389077;3>8=63:1`19067<5<;;:7:<1:?65d7=<:;018??4;605>;29h818>?4=4331?24927>=l:542389077?3>8=63:1`79067<5<;;47:<1:?65ic;605>;29h<18>?4=433=?24927>=l9542389077i3>8=63:18c9067<5<:mh7:<1:?65ie;605>;290o18>?4=4335?24927>=4m54238906an3>8=63:18f9067<5<;;<7:<1:?65d?=<:;018??c;605>;290l18>?4=43b==l54238907e;3>8=63:1049067<5<;i=7:<1:?6542=<:;018?m2;605>;298?18>?4=43a0?24927>=<954238907e=3>8=63:10:9067<5<;i47:<1:?654d=<:;018?m6;605>;298318>?4=43a3?24927>=8=63:11f9067<5<;jn7:<1:?655c=<:;018?ne;605>;298;18>?4=43bg?24927>==h54238907fl3>8=63:1029067<5<;jj7:<1:?6544=<:;018?m0;605>;298918>?4}r72f<<72=q69;29j;1==96;<72g5<68>3018?ma;`;f>{t=8hm6=4={<72g7<68>=018?l4;:b0>{t=8hn6=4={<72g7<31l169:0243>{t=8ho6=4={<72g4<31l169{t=8i>6=4={<7334<4;l169<9;:c:a?xu29m:1<7=:;5b9`8yv36lk0;6?u25152>62>34?:484m8c9~w07cm3:1>v3:063971g<5<;357l7b:p14ba2909w0;?70800g=:=82j6o6m;|q65`6=838p18>81;17g>;291h1n5l4}r72a4<72;q69=9>:26g?8360j0i4o5rs43f6?6=:r7><:?535g8907?l3h3n6s|50g0>5<5s4?;;<4<4g9>14>a2k2i7p}:1d694?4|5<:<=7=:0:?65<6=j1h0q~;>c783>7}:=9=:6>=i;<72<5;1?9>4=43;a?d?j2wx9g>e3ty>=n750;0x9060939?>63:1809f=d15162:>870;>928a52z?6427=;=>018?64;`;f>{t=8ih6=4={<7334<4<<169<7::c:a?xu29jn1<7=485b9`8yv36kl0;6?u251`6>62?34?:;<4m8c9~w07dn3:1>v3:0c7971?<5<;m5;17f>;29>=1n5l4}r72`6<72;q69=l::26`?836?10i4o5rs43g0?6=:r7>5<5s4?;n84<4d9>141d2k2i7p}:1e494?4|5<:i97=;f:?652b=j1h0q~;>d683>7}:=9h>6>;?;<723`h4=434b?d?j2wx9g>e3ty>=io50;0x906e=39?=63:1969f=d15d22:>970;>878a55z?64g3=;=9018>m5;170>;28k?1?9;4=42a1?53?27>=595b9`8yv7d1j0;6?=t=06;f;27:o4858`3894e>?32j=63>c8:9;6k1o14l?4=0a;b?>f927:o4>58`3894e>932j=63>c809;6k1814l?4=0a;7?>f927:o5:58`3894e?=32j=63>c949=0h;01;6k1k14l?4=0a;f?>f927:o5m58`3894e>j32j=63>c8a9551034>i=847a39>5f?221k:70?l9`8;e4=:;j;n65o;;<3`=`5:5b9`8974?i32j=63=2919>2909w0<=9687=`=::;2j6o6m;|q16=d=839p1?<68;605>;5:0o18>?4=30;g?d?j2wx>?6k:185845100??<5223:e>1563489594;309>67?22=9:70<=978774=::;2n6o6m;|q16=1=839p1?<6c;605>;5:0n18>?4=30;?6=:1808451o0?5h5223c3>1?b34894>4m8c9~w74>m3:1?v3=28g9551034894947a29>7dg121k>7p}=28:94?4|5;8247??769>7dg321k>7p}=28;94?42s489544>0658974d13>2i63=2ba9;5:jl14l=4=30g4?>f;279>i?58`18974c:32j?63=2e19;5:m=14l=4=30g=?>f;279>i658`18974ci32j?63=2e`9;5:ml14l=4=30f4?>f;279>h?58`18974b:32j?63=2d69;5:l=14l=4=30ff;279>h758`18974bi32j?636}::;2m6<>87:?16=0=0h801?=jf;:b6>{t:;3:6=4<{<01=1<68>=01?=j6;6:a>;4i1o14l;4}r01=7<72:q6>?7::0243>;5;l=184k4=2c:f?>f=2wx>?7<:1808451?0:<:94=31fm27>>>;58`68yv451h0;6;u223;`>460?279>l658`08974fk32j>63=2`490}::;3o6<>87:?16d>=0h901?;5:k:14l<4=2c;5?>f=2wx>?78:1878451>0:<:94=30a=?>f>279>o<58`68974e<32j=6s|23;e>5<4s4895k4>0658974?<32j>63=2919k3;;;:5223`7>=g43489on47a59>67ee21k?70<=cd8;e1=::;io65o;;<01gc?j?:9c7?845l803m95223f1>=g33489h>47a59>67b321k?70<=d48;e1=::;n=65o;;<01`2?j6:9c7?845l103m95223fb>=g33489ho47a59>67bd21k?70<=de8;e1=::;nn65o;;<01`c?k?:9c7?845m803m95223g1>=g33489i947a59>67c421k?70<=e48;e1=::;o=65o;;<01a2?k7:9c7?845m003m95223gb>=g33489n:47a79>66ca21k:70<<978;e6=z{;92h7>528y>66?c28:<;63=2cc9;5:jo14l<4=30``?>f:279>nh58`08974c832j>63=2e3976n2:?16a5=0h801?;5:m?14l<4=30g2?>f:279>i958`08974c132j>63=2e:9;5:mn14l<4=30ga?>f:279>ih58`08974b832j>63=2d3976n2:?16`2=0h801?;5:l?14l<4=30f2?>f:279>h958`08974b032j>63=2d;9=0h801?;5;0214l:4=311g?>f=279?4858`08974e<32j86s|23;3>566c>2=9:70<=b`8a>7?:9c0?8441;03m>5222ge>=g43ty9??l50;118450=03m95223`b>=g63489m547a09>67gd21k:70<=b88;e4=::;ih65o:;<01gg?mj:9c6?845km03m85223ae>=g23489h=47a49>67b621k>70<=d38;e0=::;n865o:;<01`1?j::9c6?845l?03m85223f4>=g23489h447a49>67b?21k>70<=d`8;e0=::;ni65o:;<01`f?jk:9c6?845ll03m85223fe>=g23489i=47a49>67c621k>70<=e38;e0=::;o?65o:;<01a6?k::9c6?845m?03m85223g4>=g23489i547a49>67c>21k>70<=e`8;e0=::;k=65o>;<01e`?oi:9c2?845im03m?5223a;>=g63488>o4>0658974e?32j=63=2c09;2:8l14l;4=30a0?>f=2wx>?km:1808448k0:<:94=313g?2>m27>>?>58`78yv45n?0;6>u2222`>460?279?<9548g896g?m32j?6s|2222>5<4s488=:4>06589755:3>2i63664528:<;63=337906}:::8=6<>87:?1771=<0o01>on2;:b7>{t:::<6=4<{<0062<68>=01?==8;6:a>;4ih>14l=4}r004=<72:q6>><7:0243>;5;;3184k4=2cb2?>f;2wx>>>6:180844:00:<:94=311e?2>m278m:h58`18yv448h0;6>u2220b>460?279?=j548g896g?932j?6s|23g`>5<4s48806589757m3>2i63666b28:<;63=31d90<76n3:p67cb2908w0<<0g82421<5;9:<7:6e:?0e30=0h90q~<=eg83>6}:::;;6<>87:?1747=<0o01>o70;:b7>{t:;l;6=4<{<0054<68>=01?=>2;6:a>;4i1814l=4}r01b4<72:q6>>?=:0243>;5;89184k4=2c;7?>f;2wx>?h=:1808449:0:<:94=3120?2>m278m5;58`68yv45n:0;6>u22237>460?279?<;548g896g??32j86s|23d7>5<4s488=84>06589756>3>2i63667128:<;63=30:900q~<=f983>6}:::;26<>87:?174g=<0o01>o:7;:b0>{t:;l26=4<{<005d<68>=01?=>b;6:a>;4i<314l:4}r01bd<72:q6>>?m:0243>;5;8i184k4=2c6f?>f<2wx>?hm:1808449j0:<:94=312`?2>m278m8j58`68yv45nj0;6>u2223g>460?279?5<4s488=h4>06589756n3>2i63667a28:<;63=332900q~<<0183>6}:::8:6<>87:?1775=<0o01>o98;:b0>{t:::96=4<{<0066<68>=01?==4;6:a>;4i?n14l:4}r0046<72;q6>><;:0243>;4i?l14l:4}r0250<72=q6>=g4348:=447a49~w776i3:1>v3=13:90288774=::88m69=>;<025ae;292~;59;k18>?4=3314?249279=?;542389775>3>8=63=1359067<5;;:j7l7b:p647?2908w0<>2e8774=::88n69=>;<025<2;297~;59::184k4=3305?2>m279=<=5b9`8yv46:o0;6>u2200e>460?279=<:58`1896g0l32j86s|200:>5<5s48:>44>065896g0i32j86s|200b>5<5=r79=?o51154?846=h0?5h52207g>=g4348:9n47a29>643a21k870<>5d8;e6=::8<;65o<;<0224<8=:9c0?846>:03m>522047>=g4348::847a29>640121k870<>668;e6=::8<365o<;<022d<86:9c0?846>k03m>52204`>=g4348::i47a29>640b21k870<>6g8;e6=::8=;65o<;<0234<9=:9c0?846?:03m>522056>=g4348:;947a29>641121k870<>768;e6=::8=365o<;<023<<9n:9c0?846?k03m>52206;>=g3349j;:47a59>642221k97p}=13294?5|5;;9<7??769>67>721k970=n758;e1=z{;;9>7>53z?1573=99=<70<=7687=`=:;h?965o9;|q1575=839p1??=6;3332=::;=3697j;<1b2d:9c7?xu59;h1<78t=331`?77?>16>=g5348:?:47a39>642621k:7p}=13a94?3|5;;9i7??769>647021k970<>388;e6=::89o65o<;<0204<:<:9c7?846<<03m<5rs3304?6=;r79=>>51154?8469=03m?522030>=g13ty9>?j50;06845:m0:<:94=3371?>f;279=8j58`689772k32j863=14d9i76n4:?1536=0h>01??91;:b0>;59?814l:4=3357?>f<279=;:58`689771=32j863=1749=0h>01??9a;:b0>;59?314l:4=335f?>f<279=;m58`689771l32j863=17g901??81;:b0>;59>814l:4=3347?>f<279=:;58`689770<32j863=1649=0h>01??89;:b0>;59>k14l:4=334f?>f<279=9658`48974?832j=63=2359h4?:3;x9745m3;;;:52206a>=g3348:8l47a39>643c21k970<>5b8;e7=::8?m65o=;<021`<8?:9c1?846>803m?522041>=g5348::>47a39>640321k970<>648;e7=::8<=65o=;<0222<87:9c1?846>h03m?52204:>=g5348::o47a39>640d21k970<>6e8;e7=::8<9?:9c1?846?803m?522051>=g5348:;>47a39>641221k970<>758;e7=::8==65o=;<0232<97:9c1?846?003m?52205b>=g5348:;o47a39>643>21k970<>498;e0=::;8265o;;<02fa?<8:9c1?846<<03m95rs3315?6=0r79>:l548g89740i3>8=63=15`9f=d<5;89976n2:?167d=0h;01?<=1;:b7>;5:;914l=4=30;4?>f;2wx>14l:4=337f?>f9279=>758`389774l32j=63=15c9h76n5:?150e=0h?01??:f;:b1>;59f=279=;?58`789771:32j963=1719;59?=14l;4=335f=279=;o58`789771132j963=17`9;59?l14l;4=3344?>f=279=:?58`789770:32j963=1619;59>=14l;4=334f=279=:758`789770i32j963=16`9;59:o14l<4=336=?>f9279=om51154?846<103m<522060>=g43489>447a39>176c21k=70<>be8;e3=::;8<65o>;<0200k:9c6?xu591=1<7=t=33:`?77?>16>=g23ty9=4;50;1x977e;3;;;:5220`5>1?b349j;<47a39~w77>>3:1?v3=1c495510348:n:4;9d9>7d1321k97p}=18594?5|5;;i;7??769>64d?2=3n70=n768;e7=z{;;247>53z?15g>=99=<70<>b887=`=:;h=j65o=;|q1516><7j:5;f?8469>03m>5rs334`?6=;r79=4k51154?8461o0?5h523`:`>=g63ty9=:k50;1x977>n3;;;:5220c3>1?b349j4i47a49~w770n3:1?v3=1`295510348:m<4;9d9>7d??21k>7p}=19294?5|5;;j=7??769>64g52=3n70=na08;e0=z{;;3=7>53z?15d4=99=<70<>a287=`=:;hkj65o:;|q15=4=839p1??n3;3332=::8k?697j;<1beg1==98;<02e0<31l16?lol:9c6?xu591>1<7=t=33b1?77?>16>0?5h523``3>=g13ty9=5850;1x977f?3;;;:5220c:>1?b349jn<47a79~w77?03:1?v3=1`;95510348:ml4;9d9>7d?721k=7p}=19;94?5|5;;jm7??769>64ge2=3n70=n908;e3=z{;;3m7>53z?15dd=99=<70<>ab87=`=:;h3965o9;|q15=d=839p1??nc;3332=::8ko697j;<1b=616>=g13ty9=5h50;1x977e83;;;:5220`2>1?b349j5:47a79~w77>83:1?v3=1c395510348:n?4;9d9>7d?>21k=7p}=18394?5|5;;i>7??769>64d32=3n70=n9`8;e3=z{;;2?7>53z?15g2=99=<70<>b487=`=:;h3o65o9;|q15<2=838p1??m5;3332=:;h3n65o9;|q156d=83=p1??;b;:b1>;59:k1n5l4=330=?>f=279=>j58`489773i32j963=23;95`8242?<5;;?;76n2:?151>=j1h0q~<>4383>6}::8?j69=>;<0206m279=>j5b9`8yv46;10;6?u22075>1?b348:?44m8c9~w774>3:1>v3=147905587=`=::8>;6o6m;|q1562=838p1??:3;6:a>;59:l1n5l4}r0276<72;q6><;=:5;f?846;l0i4o5rs337=?6=?r79=8<542389772;3>8=63=1469067<5;;>97:<1:?1500=<:;01??:7;605>;59=k1n5l4}r0201<72;;p1??if;605>;5:9:18>?4=303f?249279><854238974613>8=63=20c9067<5;8:n7:<1:?164e=<:;01?<>d;605>;5:8o18>?4=3035?249279>=<542389747;3>8=63=2169067<5;8;97:<1:?1650=<:;01?;5:9218>?4=303=?249279>=o542389747k3>8=63=21f9067<5;8;i7:<1:?165`=<:;01?<>0;605>;5:8;18>?4=3026?249279><=542389746<3>8=63=2079067<5;8:;7:<1:?164>=<:;01??;5;`;f>{t:8>=6=4=1z?15;59h218>?4=33a7?249279=o854238977e?3>8=63=1c:9067<5;;i57:<1:?15gg=<:;01??mb;605>;590o18>?4=33:b?249279=l>54238977f93>8=63=1`09067<5;;j?7:<1:?15d2=<:;01??n5;605>;59h<18>?4=33b3?249279=l754238977fi3>8=63=1``9067<5;;jo7:<1:?15db=<:;01??ne;605>;59hl18>?4=33a4?249279=o?54238977e:3>8=63=1c69067<5;;i97:<1:?1511=j1h0q~<>bd83>7}::8?i697j;<0204?4=336=?d?j2wx><;n:181846=h0:<:94=3373?>f92wx><:l:185846=;0:<:94=330e?>f:279=>958`689774n32j>63=1529w0<>5282421<5;;8m76n3:?1561=0h?01??;59=:14l:4}r020`<72=q6><;;:0243>;59:k14l:4=3303?>f>279=9>58`08yv46u22076>460?279=>o58`389774?32j?6s|2073>5<0s48:9;4>06589773j32j?63=12;9;59kn14l<4}r0214<72?q6><;8:0243>;59=h14l<4=330`?>f=279=9o58`689745132j?63=1cf964`a28:<;63=14g9676728:<;63=17`9676e28:<;63=17g9677128:<;63=17d9677>28:<;63=1629677f28:<;63=1639677e28:<;63=1609677c28:<;63=1669677b28:<;63=14d9676628:<;63=17c9676528:<;63=1679676428:<;63=16494?:3y>676328:<;63=1659676228:<;63=16:9676028:<;63=16c9676?28:<;63=16`9676>28:<;63=14a9676f28:<;63=1729676d28:<;63=1739676c28:<;63=1709676b28:<;63=1719677728:<;63=1779677628:<;63=1749677528:<;63=1759677428:<;63=17:94?:3y>677328:<;63=17;9677228:<;63=17a9677028:<;63=17f9677d28:<;63=1619cd83>6}::;:m6<>87:?1532=0h<01??;1;:b1>{t:8n<6=4={<015=<68>=01??;1;:b0>{t:8nm6=4={<02bc<31l16><;k:c:a?xu59lk1<7m279=8k5b9`8yv46n<0;6?u2232a>1?b348::o4m8c9~w77a03:1>v3=204902909w0<=1887=`=::8a;6:a>;59>:1n5l4}r02bg<72;q6>??m:5;f?846?80i4o5rs33eg?6=:r79>5<5s489=i4;9d9>64142k2i7p}=1gg94?4|5;8:i7:6e:?1522=j1h0q~<>e183>7}::;::697j;<021cg>e3ty9=h=50;0x9747<3>2i63=1649f=d67622=3n70<>768a52z?1650=<0o01??88;`;f>{t:8o=6=4={<0142<31l16><96:c:a?xu59l=1<7m279=:o5b9`8yv46m10;6?u2232:>1?b348:;o4m8c9~w77b13:1>v3=21c90o7l7b:p64ce2909w0<=0b87=`=::8<;6o6m;|q15`e=838p1?;59?;1n5l4}r02aa<72;q6>?>j:5;f?846>;0i4o5rs33fa?6=:r79>=h548g89771;3h3n6s|20ge>5<5s489==4;9d9>64032k2i7p}=1g294?4|5;8:=7:6e:?1533=j1h0q~<>f083>7}::;;9697j;<0223g>e3ty9=k:50;0x9746=3>2i63=17;9f=d67702=3n70<>6b8a52z?164>=<0o01??9d;`;f>{t:;kj6=48{<01fd?o6:c:a?845i103m85223c`>=g13489n447a49>66??21k>70<<2b8;e1=z{;8i:7>53z?16f?=99=270<=b78;e7=::;h<6o6m;|q16g7=839p1?;5:k81n5l4=311g?>f92wx>?om:181845k?0?5h5223c`>g>e3ty9>l950;0x974d=3>2i63=2`:9f=d67e32=3n70<=a78a52z?16f5=<0o01?{t:;k86=4={<01g7<31l16>?oj:c:a?xu5:h81<7m279>lj5b9`8yv45j10;6:u223a2>1563489o?4;309>67e42=9:70<=c58774=::;i>69=>;<01g3<3;816>?l6:c:a?xu5:k91<7<>{<002`<3;816>>8i:512?844?h0??<5222:6>1563488454;309>66>>2=9:70<<8`8774=:::2i69=>;<00>6k:512?844?90??<522252>1563488;?4;309>66142=9:70<<758774=:::=>69=>;<0033<3;816>>98:512?844?10??<52225:>1563488;o4;309>661d2=9:70<<7e8774=:::=n69=>;<003c<3;816>>6?:512?844080??<5222:1>15634884>4;309>66>32=9:70<<878774=:::2<69=>;<01f1;<004f<3;816>>?8:512?844:;0??<522206>1563488>;4;309>66402=9:70<<298774=:::8269=>;<006d<3;816>>>k:512?8448l0??<52222e>1563488==4;309>66762=9:70<<138774=:::;869=>;<0051<3;816>>?::512?8449?0??<52223;>1563488=44;309>667f2=9:70<<1c8774=:::;h69=>;<005a<3;816>>?j:512?8449o0??<522203>1563488><4;309>66442=9:70<<258774=::;h=6o6m;|q177b=838p1?;5:k:1n5l4}r01g2<72;q6>?mn:512?845k10i4o5rs30`=?6=:r79>n751154?845j?03m<5rs30af?6=>r79>n?51154?845i003m?5223c5>=g33489mh47a39>67ga21k870<=ae8;e4=z{;8io7>55z?16f4=99=<70<=a88;e6=::;k=65o:;<01e`?oi:9c7?xu5:kn1<7:t=30`7?77?>16>?o6:9c7?845i?03m;5223ce>=g53ty9>ok50;1x974d<3;;;:5223c:>=g63489m;47a29~w74en3:1;v3=2b7955103489nl47a29>67g?21k?70<=ab8;e1=::;h265o<;<00==>16>?ln:9c1?845ij03m85223`:>=g334885547a29>664d21k87p}=33g94?4|5;9=i7??769>67ec21k=7p}=32;94?4|5;9=j7??769>67bf21k=7p}=35694?4|5;967bc21k=7p}=35594?4|5;9397??769>67bb21k=7p}=35:94?4|5;9347??769>67ba21k=7p}=35;94?4|5;9357??769>67c721k=7p}=35c94?4|5;93m7??769>67c621k=7p}=35a94?4|5;93o7??769>67c421k=7p}=35f94?4|5;93h7??769>67eb21k=7p}=33d94?4|5;9<<7??769>67b>21k=7p}=32294?4|5;9<=7??769>67c321k=7p}=32394?4|5;9<>7??769>67c221k=7p}=32094?4|5;967c121k=7p}=32194?4|5;9<87??769>67c021k=7p}=32794?4|5;9<:7??769>67c>21k=7p}=32494?4|5;9<;7??769>67cf21k=7p}=32594?4|5;9<47??769>67ee21k=7p}=32:94?4|5;9<57??769>67ea21k=7p}=32c94?4|5;967b721k=7p}=32`94?4|5;967b621k=7p}=32a94?4|5;967b521k=7p}=32g94?4|5;967b321k=7p}=32d94?4|5;93<7??769>67b221k=7p}=35294?4|5;93=7??769>67b121k=7p}=35394?4|5;93>7??769>67b021k=7p}=35094?4|5;93?7??769>67b?21k=7p}=35194?4|5;9387??769>67be21k=7p}=35794?4|5;93:7??769>67bd21k=7p}=35`94?5|5;93n7??769>67c521k=70<=b18;e3=z{;9887>53z?1723=99=<70<=e98;e3=::;h;65o<;|q176b=839p1?=8e;3332=::;n865o9;<01f5>;6:181844>o0?5h5223ag>g>e3ty9?;:50;0x9750i3>2i63=2ec9f=d66>22=3n70<=de8a52z?17=>=<0o01?{t::<26=4={<00<<<31l16>?ji:c:a?xu5;?k1<7m279>h>5b9`8yv44>k0;6?u222:a>1?b3489i<4m8c9~w751k3:1>v3=39a907l7b:p660c2909w0<<8e87=`=::;o86o6m;|q171`=838p1?=80;6:a>;5:jo1n5l4}r0015<72;q6>>9>:5;f?845l00i4o5rs3165?6=:r79?:<548g8974b<3h3n6s|2271>5<5s488;>4;9d9>67c22k2i7p}=34194?4|5;9<87:6e:?16`0=j1h0q~<<5583>7}:::=>697j;<01a2<184k4=30f>;9:181844?>0?5h5223g:>g>e3ty9?8950;0x975003>2i63=2dc9f=d661>2=3n70<=cc8am7>52z?172d=<0o01?{t::?i6=4={<003f<31l16>?j?:c:a?xu5;m279>i?5b9`8yv44=m0;6?u2225f>1?b3489h?4m8c9~w752m3:1>v3=36d90;5:m?1n5l4}r0024<72;q6>>6=:5;f?845l?0i4o5rs3156?6=:r79?5=548g8974c?3h3n6s|2240>5<5s488494;9d9>67b?2k2i7p}=37794?4|5;93:7:6e:?16ad=j1h0q~<<6783>7}:::2<697j;<01`fo18>?4=3013?d?j2wx>?g>e3ty9>?650;6x9740?3>8=63=26:9067<5;8<57:<1:?167?=j1h0q~<=2383>7}::;8o697j;<0166?<;:181845:j0?5h522306>g>e3ty9>:m50;0x9740i3>2i63=26f9f=d671b2=3n70<=818a54z?167e=99=<70<=248;e4=::;8:65o>;<016687:?160`=99=270<=638242?<5;8=87??789>670428:<563=2729551>34899h4>06;8974193;;;452234`>4601279>;j5115:?845>l0:<:74=305b?77?016>?9?:024=>;5:>;1==96;<0137<68>301?<:4;33e==::;?>6<>89:?1600=99=270<=568242?<5;8>47??789>673>28:<563=24c9551>34899o4>06;89742k3;;;452237g>46012wx>?9m:184845?k0:<:94=3011?>f;279>?l58`089745932j863=23191}::;8i65o<;<0164?<<:9c1?845=:0i4o5rs3040?6=;r79>:951154?845=903m<522371>=g63ty9>:;50;6x974003;;;:52236e>=g634899?47a39>673421k87p}=26494?0|5;8<57??769>672a21k970<=518;e1=::;?:65o>;<0117?;<:9c1?xu5;0?1<715634885l4m8c9~w75>?3:18v3=3d49067<5;9n;7:<1:?17`>=<:;01?=68;`;f>{t::3:6=4={<00=f<31l16>>7=:c:a?xu5;1l1<7m279?4>5b9`8yv441:0;6?u222;a>1?b3488594m8c9~w75bj3:1>v3=3d;90p1?=6b;3332=:::3?65o>;<00=5>7=:9c2?xu5;l31<7?6{<00a<<68>=01?=le;333<=:::n:6<>89:?17a5=99=270<66ec28:<563=3e29551>3488ho4>06;8975ck3;;;45222fg>4601279?ik5115:?844lo0:<:74=31f4?77?016>>k>:024=>;5;j91==o7;<00g1<68>301?=l5;333<=:::i=6<>89:?17f1=99=270<66ef28:<563=3b`9551>3488on4>06;8yv44mh0;6:u222gb>460?279?4:58`18975>i32j>63=382976n4:?17<>=0h;01?=jc;:b4>{t::o96=4;{<00=d>7?:9c1?8441;03m?5222a1>g>e3ty9?h=50;1x975b>3;;;:5222`e>=g63488o<47a09~w75b<3:18v3=3d5955103488nh47a09>66e621k970<56z?17`>=99=<70<>m>:9c7?844k;03m?5rs31bg?6=;r79?lm5b9`8975fm3>8n63=3cc906d66gf2k2i70<=839p1?=n8;`;f>;5;hk18>l4=31a>o9:180844i?0i4o5222c;>15e3488n:4;3c9~w75f<3:1?v3=3`69f=d<5;9j:7:6}:::k96o6m;<00e1<3;k16>>l::51a?xu5;h:1<7=t=31b4?d?j279?l<542`8975e<3>8n6s|22;e>5<4s4885k4m8c9>66g72=9i70<53z?17<`=99k370<;5;ji1==98;<00ff16>>ok:9c2?xu5;j31<716>>om:9c2?xu5;j21<7=t=31gb?2>m279?n651154?844i003m<5rs31`3?6=:r79?n951154?844i>03m<5rs31`2?6=;r79?ik548g8975d>3;;;:5222c6>=g63ty9?n;50;1x975cl3>2i63=3b7955103488m>47a09~w75d<3:1?v3=3ea9066g621k:7p}=3b194?5|5;9on7:6e:?17f5=99=<70<52z?17a6=99=<70<53z?17fb=<0o01?=j1;3332=:::i;65o:;|q17a?=839p1?=lf;6:a>;5;l:1==98;<00f`16>>m>:9c6?xu5;m=1<7=t=31`a?2>m279?ik51154?844jl03m>5rs31g2?6=;r79?i=548g8975cl3;;;:5222a3>=g43ty9?i;50;1x975c93>2i63=3ea955103488nk47a29~w75c<3:1?v3=3e29066e521k?7p}=3bf94?4|5;9hh7??769>66e721k?7p}=3bd94?4|5;9hj7??769>66db21k>7p}=3e094?4|5;9o>7??769>66e621k87p}=3bg94?4|5;9hi7??769>66db21k?7p}=3e194?4|5;9o?7??769>66e721k97p}=3e394?4|5;9o=7??769>66da21k97p}=22f94?5|5;88h7l7b:?166`=<:h01?<;b;60f>{t:;9i6=4<{<017g?=k:51a?845>75b9`89744j3>8n63=25;906d67502k2i70<=38877g=::;>369=m;|q1663=839p1?<<5;`;f>;5::=18>l4=3073?24j2wx>?=<:180845;:0i4o522316>15e34898;4;3c9~w74493:1?v3=2239f=d<5;88?7:6}::;9;6o6m;<0174<3;k16>?:;:51a?xu5:=;1<7=t=3004?77i116>?:<:832?845<;0i4o5rs306`?6=;r79>:<548g89742l3;;;:52236g>=g63ty9>8m50;0x9742k3;;;:522363>=g63ty9>8l50;1x974093>2i63=24`955103489?h47a09~w742i3:1>v3=24c955103489?n47a09~w74213:1?v3=2629057??769>675f21k:7p}=24:94?4|5;8>47??769>675?21k:7p}=24594?5|5;8=j7:6e:?1601=99=<70<=378;e4=z{;8>:7>53z?163c=<0o01?<:6;3332=::;9?65o>;|q1603=839p1?<9d;6:a>;5:16>?:=:9c3?xu5:?;1<716>?;<:9c6?xu5:?h1<7=t=306a?2>m279>:<51154?845=803m85rs305e?6=;r79>;>548g8974093;;;:52236e>=g13ty9>;750;1x9741;3>2i63=2629551034899?47a49~w74103:1?v3=24d90672a21k87p}=27594?5|5;8=87:6e:?163c=99=<70<=508;e6=z{;8=:7>53z?1634=<0o01?<9d;3332=::;?;65o<;|q1633=839p1?<91;6:a>;5:?i1==98;<01161==98;<0114f=27?8n958`08912d=32j>63;4cc9?mk4?:8y>01d?28:<;63;4cd9j:76n2:?70d1=0h?019:n8;:b0>;3f>27?8n?58`48912d;32j:6s|45cf>5?n:4>0658912en32j?63;4`49j;76n4:?70d?=0h;019:n8;:b7>;3f<27?8n?58`18912d;32j963;4`69j976n2:p01gc290hw0:;b782421<5=>ij76n2:?70d0=0h9019:n7;:b7>;3f:27?8lo58`18912fj32j963;4b39h?76n4:?70d2=0h8019:n5;:b5>{t<=kh6=4m{<67f0<68>=019:mf;:b5>;3f927?8l758`08912f032j=63;4`c9jn76n1:?70f7=0h;019:l3;:b7>;3;3;0?1n5l4}r60=4<72;q68>mk:5;f?8241=0i4o5rs51:4?6=:r7??nm548g8915>;3h3n6s|42;5>5<3s4>8on4;309>06ec2=9:70:;3<921n5l4}r674d<72;q689>6:5;f?8238h0i4o5rs51e1?6=:r7?8==548g8915a13h3n6s|42d7>5<5s4>?06`?2k2i7p};3g194?4|5=>;=7:6e:?77c1=j1h0q~:7}:<=:;697j;<60b3?4=5635?24927?8=<542389127;3>8=63;3g`9f=d?i<4?:3y>01c>28:<;63;4e;90?i=4?:3y>01c?28:<;63;4e:90?hk4?:3y>01c028:<;63;4e590?hh4?:3y>01c128:<;63;4e490?hi4?:3y>01c228:<;63;4e790?hn4?:3y>01c328:<;63;4e690?ho4?:3y>01c428:<;63;4e190?hl4?:3y>01c528:<;63;4e090?h<4?:3y>01b>28:<;63;4`79?h=4?:3y>01b?28:<;63;4`69?ok4?:3y>01b028:<;63;4`79?oh4?:3y>01b128:<;63;4`69?oi4?:3y>01b228:<;63;4`79?on4?:3y>01b328:<;63;4`69?oo4?:3y>01b428:<;63;4`79?ol4?:3y>01b528:<;63;4`69?o54?:9y>01e?28:<;63;4cg9j;76n2:?70dd=0h8019:l7;:b5>;4i<814l:4=56`1?>f=27?8oo58`18yv23k=0;69u245a7>460?27?8n?58`78912d;32j=63;4b79?n44?:8y>01b52=9:70:;d28774=:<=n?69=>;<67`0<3;81689j9:512?823l>0??<5245f;>15634>?h44;309>01df2k2i7p};4b;94?4|5=>h47:6e:?70dd=j1h0q~:;a383>7}:<=k=65o>;<67e6g>e3ty?8o=50;0x912e?3>2i63;4`;9f=d?n?4?:3y>01d12=3n70:;a98ai=7>52z?70g3=<0o019:n7;`;f>{t<=hi6=46{<67a7<3;81689k<:512?823m=0??<5245g6>15634>?i;4;309>01c02=9:70:;e98774=:<=o269=>;<67ff=g63ty?8oj50;0x912d03>8=63;4cg9f=d8jk4?:2y>016428:<;63;3g;9;976n3:p06`b290?w0:;0382421<5=9m476n3:?77c?=0h<019:?5;:b1>{t<:lo6=4:{<6744<68>=019=i7;:b0>;3;o214l;4=51e=?>f=27?8=;58`68yv24nj0;68u24523>460?27??k958`18915a032j863;3g;9;:0243>;3;l:14l=4=51:f?>f=27??4958`489127=32j:6s|42`:>5?<547a29>06`021k970:h9:9c0?8238h03m95242;4>=g634>85447a59>016221k970:8o:4?:dy>06`021k:70:;<60bgh9:9c1?824i=03m>5242c4>=g434>8m547a29>06g421k870:;<6740m8:0243>;3;kk14l?4}r6743<72kq689>9:0243>;3;0?14l:4=563f927??4:58`18915>;32j>63;41c9019=67;:b1>;3;0314l<4=51`f<2wx89>6:18;823800:<:94=563f<27?8=o58`08915b832j963;38`9{t<:ii6=4<{<60g`<68>=019=65;:b5>;3<9h14l?4}r60gd<72=q68>mk:0243>;3;0?14l<4=51:0?>f:27?8=l58`08yv24k00;68u242a`>460?27??4;58`18915><32j=63;3819;n76n3:p06c?2908w0:87:?77ac=<0o0q~:6}:<:on697j;<60ac<68>=019=kd;6:a>{t<:o=6=4<{<60aa<31l168>kj:0243>;3;mi184k4}r60a0<72:q68>kl:5;f?824mm0:<:94=51gf?2>m2wx8>k;:180824mk0?5h5242g`>460?27??io548g8yv24m:0;6>u242gb>1?b34>8io4>0658915c13>2i6s|42g1>5<4s4>8i44;9d9>06cf28:<;63;3e:908i<4?:3y>06c>28:<;63;3e5908554?:8y>06c>2=9:70:;<60af<3;8168>kk:512?824ml0??<5242ge>15634>8j=4;309>06?>2k2i7p};38c94??|5=9o;7:<1:?77a>=<:;019=k9;605>;3;mk18>?4=51gf?24927??im54238915cl3>8=63;3eg9067<5=92n7l7b:p07d0290:=v3;2bc9067<5=8hn7:<1:?76a4=<:;019;3:m>18>?4=50g1?24927?>i854238914c?3>8=63;2e:9067<5=8o57:<1:?76fe=<:;019;3:jo18>?4=50`b?24927?>i>54238914c93>8=63;2c:9f=d9nl4?:3y>07ef28:<;63;43;99o<4?:3y>07ee28:<;63;42299o?4?:3y>07b528:<;63;42399o>4?:3y>07b428:<;63;43099o94?:3y>07b328:<;63;43199o84?:3y>07b228:<;63;43699o;4?:3y>07b128:<;63;43799o:4?:3y>07b028:<;63;43499o54?:3y>07b?28:<;63;43599o44?:3y>07b>28:<;63;43:99no4?:3y>07ed28:<;63;43c99nn4?:3y>07ec28:<;63;43`99ni4?:3y>07eb28:<;63;43a99nh4?:3y>07ea28:<;63;43f99nk4?:3y>07b728:<;63;43g99o=4?:3y>07b628:<;63;43d99hl4?:5y>06c721k:70:<798;e4=:<:8365o<;<61`d<68>=0q~:=b883>7}:<;h26<>87:?76ad=0h;0q~:<4383>7}:<:>96<>87:?665b=0h>0q~:=db83>7}:=;:o65o<;<606<<1i:1v9h03m>52420:>3d>3ty?>ih50;0x96g0:32j963;33;92gb9i=4?:3y>7d1221k>70:<2885f`=z{=8n=7>52z?0e2>=0h?019==9;4ab>{t<;o96=4={<1b3g<6:7a3?xu3:l91<7f=27???756b38yv22810;6lu245`6>15634>?n;4;309>01d02=9:70:;b98774=:<=oj69=>;<664=<68>=019:mf;:b1>;3f<27?8n=58`08yv23?90;6;u24553>460?27??kl58`68915e032j>63;4g196}:<=i=6<>87:?70f1=0h>01>o9a;:b0>{t<:nm6=4;{<61f<<31l168>ji:0243>;3;l:14l<4=50gf?>f;2wx8>mi:181824l>0:<:94=511=?35m2wx8>j?:181824l10:<:94=511=?3412wx8>j>:181824l00:<:94=511=?33<2wx8>j=:181824lh0:<:94=511=?3302wx8>j<:181824lk0:<:94=511=?3312wx8>j;:181824lj0:<:94=511=?33i2wx8>j::181824lm0:<:94=511=?33j2wx8>j9:181824ll0:<:94=511=?33k2wx8>o::186824i=03m95242c4>=g334>8m547a59>06g421k?70:52z?77g0=18;019=m2;`;f>{t<:kh6=4={<60efl9:51a?xu3;hl1<7=t=51bg?77i1168>l::832?824j90i4o5rs51bf?6=;r7??ll5b9`8915fk3>8n63;3c7906d8mi4?:2y>06ge28:j463;3c69=47<5=9ji7l7b:p06g>2908w0:?4=51`0?24927??n;54238915d>3>8=63;3c:9f=d8m<4?:4y>06g321k:70:;<60e6o=:c:a?xu3;0n1<7g>e34>8m:47a39~w15>n3:1>v3;3c79f=d<5=9j476n2:p06g72909w0:o9:9c6?824jh03m;5rs51ag?6==r7??n:51154?824i;03m>5242c5>=g334>8nl47a49>06gb21k;7p};3cf94?3|5=9h97??769>06g521k970:o9:9c1?824jh03m>5242`1>=g73ty??oh50;0x915d;3>2i63;3`69f=d8o=4?:3y>06e32=3n70:52z?77f3=<0o019=n8;`;f>{t<:i96=4={<60g3<31l168>o<:c:a?xu3=9:1<7g>e34>><84;3c9~w12am3:1?v3;4g`955g?34>><946109>01`a2k2i7p};4gc94?5|5=>mm7l7b:?70cd=<:h019;?4;60f>{t<=lh6=4<{<67bd<68h2019;?3;;25>;315e3ty?9=850;7x913693>8=63;5009067<5=?:?7:<1:?7142=<:;019;?7;`;f>{t<=l:6=4:{<67b7=g634>?j;47a09~w12bl3:1>v3;5109f=d<5=>m976n4:p01cb2909w0::028a;3::c:a?823n=03m95rs56fg?6=:r7?9=6548g8912a;3h3n6s|442:>5<3s4>>=<4>0658912a:32j863;4g;9m?76n4:p006f290?w0::1382421<5=>m>76n3:?70c5=0h9019:id;:b4>{t<<:i6=4;{<6656<68>=019:i2;:b6>;3f82wx88>l:1878229=0:<:94=56e6?>f927?8k=58`389137932j<6s|442g>5<5s4>>=<4;9d9>01`22k2i7p};51g94?4|5=?:>7:6e:?70c0=j1h0q~::0g83>7}:<<;8697j;<67b20;296~;3=8>184k4=56e0?d?j2wx89:l:181823>?02=<52456g>g>e3ty?8>650;0x912403h3n63;474906d?8l4?:2y>015?28:j463;4779=47<5=>?n7l7b:p01502908w0:;368a981689:6:c:a?xu3<:<1<7=t=5602?d?j27?8>9542`89121<3>8n6s|4565>5<4s4>??;4>0`:89121;33:=63;4559f=d??84?:2y>01522k2i70:;37877g=:<=<869=m;|q7012=839p19:<5;33e==:<=<964?>;<67001n5l4=5601?24j27?8;<542`8yv23<;0;6>u24517>46f027?8;?590389123;3h3n6s|4562>5<4s4>?8<4m8c9>01532=9i70:;60877g=z{=>>i7>53z?7017=99k370:;6g8:54=:<=?m6o6m;|q7016=839p19:;0;`;f>;3<=;18>l4=565b?24j2wx89;l:180823<90:u2451e>g>e34>?8=4;3c9>010b2=9i7p};44c94?5|5=>8j7??a99>010c20;:70:;5c8a8i7>53z?706c=j1h019:;3l4}r671=<72:q689=j:02b<>;315e34>?:n4;3c9~w122>3:1?v3;42f955g?34>?:o46109>01302k2i7p};42a94?5|5=>8o7l7b:?706b=<:h019:9b;60f>{t<=??6=4<{<677f<68h2019:9a;;25>;3<15e3ty?88<50;1x9124j3;;m552454:><7634>?9>4m8c9~w124i3:1?v3;42c9f=d<5=>8n7:6}:<=9j6<>n8:?703>=18;019::1;`;f>{t<=926=4<{<677<10??o5rs567a?6=;r7?8>7511c;?823>>02=<52456e>g>e3ty?8><50;1x9124:3h3n63;42;906d<5=>=;7:v3;462909=7l7b:?707?=0h>019:<0;:b0>;3<:;14l:4=5616?>f<27?8?=58`689125<32j863;43799:76n4:?7071=0h>019:=8;:b0>;3<;k14l:4=561f?>f<27?8?m58`689125l32j863;43d99i76n4:p016c2909w0:;618a;3<::14l;4}r6750<72;q68987:c:a?823;803m85rs5622?6=:r7?8;75b9`89125:32j96s|4534>5<5s4>?:l4m8c9>014421k>7p};40:94?4|5=>=n7l7b:?7072=0h?0q~:;1883>7}:<=a;296~;3f=2wx89?m:181823>l0i4o524504>=g23ty?8?01062k2i70:;2`8;e0=z{=>;j7>52z?7034=j1h019:=b;:b1>{t<=;;6=4={<6726g>e34>?>h47a49~w126;3:1>v3;4749f=d<5=>9j76n5:p01162908w0:;9082421<5=>:j76n2:?7065=0h;0q~:;7983>6}:<=396<>87:?704`=0h;019:;f;:b4>{t<==26=4<{<67=<<68>=019:>f;:b7>;3<<;14l>4}r673d<72:q6897n:0243>;3<8l14l:4=5667?>f82wx899m:1808231k0:<:94=562b?>f=27?88;58`28yv23?j0;6>u245;`>460?27?85<4s4>?5i4>06589125832j>63;44;9?;h4?:2y>01?b28:<;63;4329>n76n0:p011a2908w0:;9g82421<5=>9<76n3:?700b=0h:0q~:;8183>6}:<=k;6<>87:?7076=0h>019::f;:b4>{t<==96=4<{<67=6<68>=019:=0;:b1>;3<=914l>4}r6736<72:q6897;:0243>;3<;:14l84=5671?>f82wx899;:1808231<0:<:94=5615?>f:27?89958`28yv23?<0;6>u245;5>460?27?8??58`389123132j<6s|4555>5<4s4>?5:4>06589125932j?63;45`9?;:4?:2y>01??28:<;63;4339?h76n0:p01>62909w0:;9087=`=:<=826o6m;|q70=>=838p19:62;6:a>;3<::1n5l4}r67<<<72;q68976:5;f?823;80i4o5rs56;e?6=:r7?84o548g89125:3h3n6s|45:a>5<5s4>?5o4;9d9>01442k2i7p};49a94?4|5=>2o7:6e:?7072=j1h0q~:;8e83>7}:<=3o697j;<6760g>e3ty?84>50;0x912f83>2i63;43:9f=d?4?4?:3y>01?42=3n70:;2`8a3?7>52z?70<2=<0o019:=b;`;f>{t<=2?6=4={<67=0<31l1689m27?8?j5b9`8yv230?0;6?u245;4>1?b34>?>h4m8c9~w12??3:1>v3;48:909j7l7b:p06222908w0:<478a;<600=:9:9c5?xu3;=i1<7g>e34>88;47a49~w153m3:1?v3;35f9f=d<5=9>876n2:?7703=0h;0q~:<5e83>6}:<:?n6o6m;<601g;<:02b<>{t<;l<6=4={<61b2k9542`89157>3>8n6s|43d0>5<4s4>9j>4m8c9>07`22=9i70:<04877g=z{=8m=7>53z?76c7=j1h019;3;9>18>l4}r61ac<72:q68?ki:c:a?825n80??o524220>15e3ty?>hj50;1x914bl3h3n63;2dd906d<5=9;>7:542`8yv25m>0;6>u243g4>g>e34>9i44;3c9>07`a2=9i7p};2d494?5|5=8n:7l7b:?76`1=<:h019{t<;l26=4<{<61a3<68h2019;3:ok1n5l4}r6053<72:q68>?9:c:a?824910??o524204>15e3ty??<:50;1x9156<3h3n63;304906d<5=99:7:0;297~;3;8:1n5l4=5126?24j27???:542`8yv248l0;6>u2422f>g>e34>8==4;3c9>06442=9i7p};31a94?5|5=9;o7l7b:?775c=<:h019==2;60f>{t<::j6=4<{<604d>l:51a?824:80??o5rs5138n63;332906d8<:4?:2y>06602k2i70:<09877g=:<:;m69=m;|q774g=839p19=?7;33e==:<:;h64?>;<605g;?:9c2?824<>03m<52427b>=g434>9jl47a19>064>2;on7p};33g94?4|5=9=i7:6e:?76ce=j1h0q~:<4183>7}:<:==697j;<6043?184k4=5131?d?j2wx8>=l:181824?=0?5h524227>g>e3ty??>o50;0x9150;3>2i63;3119f=d8?54?:3y>06152=3n70:<038a52z?7727=<0o019=?1;`;f>{t<:9?6=4={<6035<31l168>>?:c:a?xu3;:81<7m27?>kh5b9`8yv24;90;6?u2424g>1?b34>9jh4m8c9~w155k3:1>v3;37a9087:?774c=0h;0q~:<4083>6}:<:2n697j;<6004<68>=019=>9;:b5>{t<:9m6=4<{<60=i:0243>;3;8=14l?4}r607a<72:q68>6l:5;f?824;m0:<:94=5121?>f92wx8>=m:1808240k0?5h52421a>460?27??<=58`38yv24;00;6>u242:b>1?b34>8?44>06589156932j=6s|4214>5<4s4>8444;9d9>065028:<;63;31d98?84?:2y>06>?2=3n70:<3482421<5=9;h76n1:p06542908w0:<8687=`=:<:986<>87:?775d=0h;0q~:<3083>6}:<:2>697j;<6074<68>=019=?9;:b5>{t<:8o6=4<{<60<1<31l168>;3;8h14l>4}r6006<72:q68>:=:5;f?824<=0i4o524243>=g23ty??8h50;ax9151k3>8=63;37f9067<5=9=j7:<1:?7726=<:;019=81;605>;3;>818>?4=5147?24927??::542389150=3>8=63;3649067<5=9=i7:<1:?7736=j1h0q~:<7683>41|5=9387:<1:?77=3=<:;019=77;605>;3;1218>?4=51;=?24927??5o54238915?j3>8=63;39a9067<5=93h7:<1:?77=c=<:;019=76;605>;3;>21n5l4=511`?24927??>?542389154;3>8=63;3279067<5=98;7:<1:?776?=<:;019=;3;:n18>?4=510b?24927??9?542389155n3>8=6s|43g6>5<2s4>8>54m8c9>064>28;>463;33;9543>34>8>44>14c8915513;:9n5rs5157?6=?r7??;j51154?824=l03m;524273>=g534>9i547a09>063f21k>70:<2881ac=:<:>?65o;;|q7732=832p19=9f;3332=:<:?n65o>;<6015=g234>8>44=f19>062121k87p};37794?>|5=9<<7??769>063b21k970:<518;e1=:<;oh65o>;<601=;6:9c7?824:009j<524271>=g53ty??;850;5x915093;;;:52427f>=g434>89=47a29>07cb21k:70:<598;e0=:<:826?h=;<600<81==98;<600g;j:9c7?824=903m;5243d3>=g634>89447a09>064>2;l870:<478;e1=z{=9=47>57z?7725=99=<70:=f38;e4=:<:?h65o>;<6002;;:9c6?824=<03m?52420:>7`33ty??;750;5x9150<3;;;:5243d7>=g634>89n47a39>063321k870:<548;e1=:<:826?k8;<600=?1==98;<600a52420:>7c?34>88547a29~w151j3:19v3;3649551034>9j547a09>063e21k970:<468;e0=:<:826?k6;|q7734=83?p19=9e;3332=:<;lo65o>;<601g<6:3ga?824<=03m>5rs514=?6=03m?52427b>=g534>8>44=b59~w150j3:18v3;3979551034>89l47a59>064>2;h>70:<458;e7=z{=955z?77=1=99=<70:<598;e1=:<:?265o9;<606<<5j?168>:9:9c2?xu3;>n1<7;t=51;168>;7:9c1?824=003m>52420:>7d034>89?47a09~w150m3:1?v3;39;9551034>8>44=b99>062>21k:7p};36d94?3|5=93m7??769>062e21k970:<588;e7=:<:826?l6;<6003;;:9c5?824=<03m>52420:>7df3ty??5?50;7x915?k3;;;:524277>=g334>89847a49>064>2;ko70:<498;e7=z{=93>7>54z?77=b=99=<70:<4e8;e7=:<:826?oj;<600=:8:9c5?824:009mk5rs514e?6=52420:>7d634>88947a09~w413m3:1=4u2166b>=g134;<9?47a79>522e2k2i70?8518;e3=:9>??65o9;<3425;03m;521645>=g134;<;=47a79>521321k=70?8738;e3=:9>==65o9;<34<5=g134;<5=47a79>52?321k=70?8938;e3=:9>3=65o9;<34e5=g13ty:;9j50;6x9413j32j863>7c390q~?85983>4?|58=>;7l7b:?2300=0h<01<9:c;:b2>;6?f>27:;;658`489411k32j:63>77c9=0h<01<98c;:b2>;6?>k14l84=054a?>f>27:;5658`48941?k32j:63>79c9=0h<01<96c;:b2>;6?0k14l84=05:a?>f>27:;l658`48941fk32j:63>7`c9h:6o6m;<34f13j32j963>bb5901;60k?14l:4}r3;`5<7289p1<6j3;605>;60l818>?4=0:g5?d?j27:4h?5423894>b83>8=63>8ed9067<582oi7:<1:?2;60mh18>?4=0:ge?24927:4i65423894>c?3>8=63>8e49067<582o97:<1:?2;60m818>?4=0:`b?2492wx=5l;:1815~;60k?1n5l4=0:ag?24927:4ol5423894>ei3>8=63>8c;9067<582i47:<1:?2;60l=18>?4=0:f2?24927:4h;5423894>b<3>8=63>8e;9067<582ho7:<1:?2;60k918>?4=0:`a?24927:4nj5423894>dj3>8=63>8bc9067<582h57:<1:?2=<:;01<6l7;605>;60j<18>?4=0:`1?24927:4n:5423894>d;3>8=63>8b09067<582h<7:<1:?2;60kn18>?4}r3agg<72;;p1;6k=i18>?4=0a7f?24927:o9o5423894e313>8=63>bd;9067<58hn47:<1:?2f`1=<:;01;6jl?18>?4=0`f0?24927:nh=5423894db:3>8=63>bd29067<58hoj7:<1:?2fac=<:;01;6jmi18>?4=0`gf?24927:nio5423894dc13>8=63>be:9067<58ho;7:<1:?2fa3=<:;01;6jm918>?4=0`g6?24927:ni?5423894dc83>8=63>bbd9067<58hhi7:<1:?2ffb=<:;01{t9j8>6=4=1z?2g72=<:;01;6k==18>?4=0a72?24927:o9;5423894e3<3>8=63>c519067<58i?=7:<1:?2g16=<:;01;6k:o18>?4=0a0`?24927:o>m5423894e4j3>8=63>c2c9067<58i857:<1:?2g6>=<:;01;6k:?18>?4=0a00?24927:o>=5423894e4:3>8=63>c239067<58i8<7:<1:?2g7`=<:;01;6k;n18>?4=0a1f?24927:o?o5423894e513>8=63>c3:9067<58i9;7:<1:?2g70=j1h0q~?l0183>77|58hmj7:<1:?2g75=<:;01;6k;;18>?4=0a14?24927:o8=63>c0a9067<58i:n7:<1:?2g4g=<:;019;605>;6k8218>?4=0a23?24927:o<85423894e6=3>8=63>c019067<58i:>7:<1:?2g47=<:;010;605>;6k9l18>?4=0a3a?24927:o=j5423894e7k3>8=63>c1`9067<58i;m7:<1:?2g5>=<:;01;6k9<18>?4=0a31?24927:o=:5423894e7;3>8=63>c109067<58i;=7l7b:p5ge12909=v3>bb79067<58i?>7:<1:?2g61=<:;01;6k8l18>?4=0a20?24927:o=75423894dak3>8=63>bgg9067<58hmh7:<1:?2fc7=<:;01;6jok18>?4=0`e=?24927:nk65423894da?3>8=63>bg49067<58hm97:<1:?2fc2=<:;01;6jo818>?4=0``5423894dbn3>8=63>bdg9067<58hnh7:<1:?2f`e=<:;01;6jlk18>?4=0`f5?24927:ni85423894dd13>8=63>bb59f=d1?3>8=63>86`9067<582;60>=18>?4=0:42?24927:4:;5423894>0<3>8=63>8619067<582<>7:<1:?2<26=<:;01<69f;605>;60?o18>?4=0:5`?24927:4;m5423894>1j3>8=63>87c9067<582=57l7b:p5=2f2909=v3>85;9067<5823<7:<1:?2<2`=<:;01<68e;605>;60>n18>?4=0:4g?24927:4:?5423894>1<3>8=63>8749067<582=97:<1:?2<0?=<:;01<693;605>;60?818>?4=0:55?24927:4;>5423894>2n3>8=63>84g9067<582>h7:<1:?2<0e=<:;01<6:b;605>;60?4=0:7a?24927:4865423894>2?3>8=63>8449067<582>97:<1:?2<02=<:;01<6:3;605>;60<818>?4=0:65?24927:48>5423894>3n3>8=63>85`9f=d84?:3y>52422k2i70;=0d8;e7=z{8=8;7>52z?2361=j1h018{t9>8=6=4={<3463g>e34;<=:47a39~w41393:1>v3>7539f=d<58=:;76n1:p52572909w0?8318a;m65o=;|q2314=838p1<9;2;`;f>;6?8l14l?4}r3474<72;q6=:=>:c:a?870:903m?5rs0577?6=:r7:;9=5b9`89415832j=6s|1611>5<5s4;524621k97p}>75694?4|58=?87l7b:?2377=0h;0q~?83283>7}:9>986o6m;<3467f92wx=:=;:181870;=0i4o521600>=g53ty:;9850;0x9413>3h3n63>731952522k2i70?8258;e7=z{8=?;7>52z?2311=j1h01<9=4;:b5>{t9>9=6=4={<34730;6?u21604>g>e349j:o47a39~w41413:1>v3>72;9f=d<5:k=n76n4:p524?2909w0?8298a;365o=;|q236g=838p1<9;6?8214l?4}r346<<72;q6=:<6:c:a?8709003m?5rs050f?6=:r7:;>l5b9`89416132j=6s|160b>5<5s4;<>l4m8c9>527f21k97p}>72a94?4|58=8o7l7b:?234g=0h;0q~?82c83>7}:9>8i6o6m;<345gf92wx=:=g53ty:;>k50;0x9414m3h3n63>70a9i4?:3y>524c2k2i70?81e8;e7=z{8=8j7>52z?236`=j1h01<9>d;:b5>{t9>8n6=4={<346`15634;i?k4;309>5g272=9:70?m408774=:9k>969=>;<3a06<3;816=o:;:512?870j=0i4o5rs05bb?6=0r7:n>>5423894d493>8=63>b209067<58h8?7:<1:?2f62=<:;01;6j:<18>?4=05a4?d?j2wx=:om:18487fko0??<521`f3>15634;jh<4;309>5db52=9:70?nd28774=:9hn?69=>;<34ef?4=0c`0?24927:mn;5423894gd>3>8=63>ab59067<58kh47:<1:?23dg=j1h0q~?8ae83>2}:9hni69=>;<3b`f<3;816=ljk:512?87fll0??<521`fe>15634;ji=4;309>52gb2k2i7p}>7`794?1|58kjn7:<1:?2ede=<:;01;6iho18>?4=0cbb?24927:mo>54238941f>3h3n6s|16c0>5<0s4;j5k4;309>5dg72=9:70?na08774=:9hk969=>;<3be6<3;816=lo;:512?870i=0i4o5rs05b3?6=?r7:mo95423894ge03>8=63>ac;9067<58kim7:<1:?2egd=<:;01;6?h21n5l4}r34e4<72>q6=l7<:512?87f1=0??<521`;6>15634;j5;4;309>5d?02=9:70?n998774=:9>k96o6m;|q23<`=83=p1;6i1218>?4=0c;=?24927:m5o5423894g?j3>8=63>a9a9067<58=j<7l7b:p52?e290;<3b20<3;816=l89:512?87f>>0??<521`4;>15634;<5n4m8c9~w41>13:1;v3>a459067<58k>47:<1:?2e0?=<:;01;6i?4=0c6g?24927:;4o5b9`8yv701m0;6:u21`4e>15634;j;=4;309>5d162=9:70?n738774=:9h=869=>;<3b31<3;816=:7j:c:a?xu6?0=1<79t=0c7f?24927:m9m5423894g3l3>8=63>a5g9067<58k?j7:<1:?2e06=<:;01<968;`;f>{t9>3>6=48{<3b7c<3;816=l:?:512?87f<80??<521`61>15634;j8>4;309>5d232=9:70?8978a57z?2e71=<:;01;6i;318>?4=0c1e?24927:m?l5423894g5k3>8=63>7809f=d5d7e2=9:70?n1b8774=:9h;o69=>;<3b5`<3;816=l?i:512?87f:90??<5216;3>g>e3ty:;4=50;5x94g4;3>8=63>a269067<58k897:<1:?2e60=<:;01;6i:218>?4=05:0?d?j2wx=:6k:18487f8o0??<521`33>15634;j=<4;309>5d752=9:70?n128774=:9h;?69=>;<34<`?4=0c30?24927:m=;5423894g7>3>8=63>a159067<58k;47:<1:?23=e=j1h0q~?88683>2}:90nm69=>;<3:a5<3;816=4k>:512?87>m;0??<5218g0>15634;2i94;309>52>?2k2i7p}>79794?1|583o?7:<1:?2=a2=<:;01<7k5;605>;61m<18>?4=0;g3?24927:5i654238941?>3h3n6s|16::>5<0s4;2io4;309>5;<3:ac<3;816=4h?:512?8700h0i4o5rs05;5?6=?r7:5ol5423894?ek3>8=63>9cf9067<583ii7:<1:?2=g`=<:;01<7l0;605>;6?181n5l4}r343c<72>q6=4oi:512?87>j90??<5218`2>15634;2n?4;309>52;6o6m;|q23=5=83=p1<7l7;605>;61j218>?4=0;`=?24927:5no5423894?dj3>8=63>9ba9067<58=387l7b:p521e290;<3:=<<3;816=47n:512?87>1k0??<5218;`>15634;<;n4m8c9~w41013:1;v3>99`9067<5833o7:<1:?2==b=<:;01<77e;605>;611l18>?4=0;:4?24927:;:o5b9`8yv70?m0;6:u218c0>15634;2m94;309>5;<3:e=<3;816=:9j:c:a?xu6?>=1<79t=0;4b?24927:55>5423894??93>8=63>9909067<5833?7:<1:?2==2=<:;01<988;`;f>{t9>=>6=48{<3:22<3;816=487:512?87>>00??<52184b>15634;2:o4;309>5<0d2=9:70?8778a57z?2=1`=<:;01<7:0;605>;61<;18>?4=0;66?24927:58=5423894?2<3>8=63>7609f=d5<242=9:70?6458774=:90>>69=>;<3:03<3;816=4:8:512?87><10??<521653>g>e3ty:;:=50;5x94?2j3>8=63>94a9067<583>h7:<1:?2=0c=<:;01<7:f;605>;61?:18>?4=0540?d?j2wx=:8m:18487>:k0??<52180`>15634;2>i4;309>5<4b2=9:70?62g8774=:909;69=>;<342f?4=0;14?24927:5??5423894?5:3>8=63>9319067<583987:<1:?233g=j1h0q~?86e83>2}:909<69=>;<3:7=<3;816=4=6:512?87>;h0??<52181a>15634;2?n4;309>520b2k2i7p}>77794?1|583;;7:<1:?2=5>=<:;01<7?9;605>;619k18>?4=0;3f?24927:5=m542389411>3h3n6s|1640>5<0s4;3jo4;309>5=`d2=9:70?7fe8774=:91ln69=>;<3;bc<3;816=4>?:512?870>=0i4o5rs0553?6=?r7:5<=5423894?6<3>8=63>9079067<583::7:<1:?2=41=<:;01<7>8;605>;6??21n5l4}r3424<72>q6=o<<:512?87e:=0??<521c06>15634;i>;4;309>5g402=9:70?m298774=:9><96o6m;|q230`=83=p17;605>;6j8218>?4=0`2=?24927:n8=63>b0a9067<58==<7l7b:p523e290;<3a44<3;816=o>=:512?87e8:0??<521c27>15634;<9n4m8c9~w41213:1;v3>ag19067<58km87:<1:?2ec3=<:;01;6io=18>?4=0ce15634;i5g6c2=9:70?m0d8774=:9k:m69=>;<3a55<3;816=:;j:c:a?xu6?<91<79t=0c4f?24927:m:m5423894g0l3>8=63>a6g9067<58k{t9>?:6=48{<3:b2<3;816=4h7:512?87>n00??<5218db>15634;2jo4;309>5<`d2=9:70?8538a97>57z?2e`1=<:;01;6il318>?4=0cfe?24927:mhl5423894gbk3>8=63>7449f=d5=ca2=9:70?7f18774=:91l:69=>;<3;b7<3;816=5h<:512?87?n=0??<52166b>g>e3ty:;9h50;5x94?0;3>8=63>9669067<583<97:<1:?2=20=<:;01<787;605>;61>218>?4=0564?d?j2wx=5:=:181871l=0i4o5216fe>1?b3ty:49?50;0x940dm3h3n63>7eg9053e?2k2i70?8de87=`=z{828j7>52z?22f4=j1h01<9kc;6:a>{t919n6=4={<35ffg>e34;4j3:1>v3>6`c9f=d<58=o47:6e:p5=5>2909w0?99d8an=697j;|q2<6>=838p1<868;`;f>;6?m?184k4}r3;72<72;q6=;7=:c:a?870l=0?5h5rs0:02?6=:r7::5m5b9`8941c;3>2i6s|1916>5<5s4;=4;4m8c9>52b52=3n7p}>82694?4|58<3<7l7b:?23a7=<0o0q~?73283>7}:9?=j6o6m;<34`5<31l1v<6<2;296~;6>>>1n5l4=05`b?2>m2wx=5=>:181871>l0i4o5216af>1?b3ty:4>>50;0x940103h3n63>7bf90h4?:3y>533d2k2i70?8cc87=`=z{829h7>52z?2200=j1h01<9la;6:a>{t918h6=4={<3515g>e34;513:1>v3>62g9f=d<58=h:7:6e:p5=4?2909w0?9398ai>697j;|q2<71=838p1<8<2;`;f>;6?j>184k4}r3;63<72;q6=;2i6s|1900>5<5s4;==l4m8c9>52e72=3n7p}>83094?4|58<:87l7b:?23g`=<0o0q~?72083>7}:9?:n6o6m;<34f`<31l1v<6=0;296~;6>921n5l4=05a`?2>m2wx=5?i:1818718;0i4o5216``>1?b3ty:47c`9050`12k2i70?8b`87=`=z{82:o7>52z?21c6=j1h01<9m9;6:a>{t91;i6=4={<36adg>e34;3?3:1>v3>6g09f=d<58=n97:6e:p5=212909w0?9eb8ao?697j;|q2<13=838p1<8j6;`;f>;6?l9184k4}r3;01<72;q6=;k?:c:a?870m;0?5h5rs0:77?6=:r7::io5b9`8941c?3>2i6s|191b>5<5s4;=m94m8c9>52ed2=3n7p}>83d94?4|58<=>7l7b:?23f7=<0o0q~?72583>7}:9?8;6o6m;<34f3<31l1v<6>9;296~;6=mo1n5l4=05a1?2>m2wx=5om:18187?m;0:<:94=0572?>f=2wx=5ol:18187?m:0:<:94=0573?>f=2wx=o6m:18187d9;0:<:94=0500?>f;2wx=o6l:18187d9:0:<:94=0501?>f;2wx=o6j:18187d9<0:<:94=0531?>f=2wx=o6i:18187d9?0:<:94=0533?>f=2wx=o7?:18187d9>0:<:94=053=?>f=2wx=o7>:18187d910:<:94=053f?>f=2wx=o7=:18187d900:<:94=053`?>f=2wx=o7<:18187d9h0:<:94=053b?>f=2wx=o7;:18187d9k0:<:94=0525?>f=2wx=o7::18187d9j0:<:94=0527?>f=2wx=o79:18187d9m0:<:94=0521?>f=2wx=o78:18187d9l0:<:94=04eg?>f=2wx=o76:18187d:90:<:94=04ea?>f=2wx=o7n:18187d:80:<:94=0534?>f=2wx=o7m:18187d:;0:<:94=0536?>f=2wx=o7l:18187d::0:<:94=0530?>f=2wx=o7k:18187d:=0:<:94=0511?>f=2wx=o7j:18187d:>0:<:94=0502?>f=2wx=o7i:18187d:10:<:94=0513?>f=2wx=oo?:18187d:00:<:94=051f=2wx=oo>:18187d:h0:<:94=051=?>f=2wx=oo=:18187d:k0:<:94=051e?>f=2wx=oo;:18187d:m0:<:94=051f?>f=2wx=oo::18187d:l0:<:94=051g?>f=2wx=oo9:18187d:o0:<:94=051`?>f=2wx=oo8:18187d;90:<:94=051a?>f=2wx=oo7:18187d;80:<:94=0512?>f=2wx=oo6:18187d;;0:<:94=051b?>f=2wx=oon:18187d;:0:<:94=0504?>f=2wx=oom:18187d;=0:<:94=0505?>f=2wx=ool:18187d;<0:<:94=0506?>f=2wx=ook:18187d;?0:<:94=0507?>f=2wx=ooi:18187d;10:<:94=0500?>f=2wx=ol?:18187d;00:<:94=0501?>f=2wx=ol>:18187d;h0:<:94=0531?>f;2wx=ol=:18187d;k0:<:94=0533?>f;2wx=ol<:18187d;j0:<:94=053=?>f;2wx=ol;:18187d;m0:<:94=053f?>f;2wx=ol::18187d;l0:<:94=053`?>f;2wx=ol9:18187d;o0:<:94=053b?>f;2wx=ol8:18187d<90:<:94=0525?>f;2wx=ol7:18187d<80:<:94=0527?>f;2wx=oln:18187d<:0:<:94=0521?>f;2wx=olm:18187d<=0:<:94=04eg?>f;2wx=oll:18187d<<0:<:94=04ea?>f;2wx=olk:18187df;2wx=olj:18187d<>0:<:94=0536?>f;2wx=oli:18187d<10:<:94=0530?>f;2wx=om?:18187d<00:<:94=0511?>f<2wx=om>:18187df<2wx=om=:18187df<2wx=om<:18187df<2wx=o:9:18187ekh0:<:94=051=?>f<2wx=o:8:18187ekm0:<:94=051e?>f<2wx=o:7:18187ekl0:<:94=051f?>f<2wx=o:6:18187eko0:<:94=051g?>f<2wx=o:n:18187el90:<:94=051`?>f<2wx=o:m:18187el80:<:94=051a?>f<2wx=o:l:18187el;0:<:94=0512?>f<2wx=o:k:18187el:0:<:94=051b?>f<2wx=o:j:18187el=0:<:94=0504?>f<2wx=o:i:18187el<0:<:94=0505?>f<2wx=o;>:18187el>0:<:94=0506?>f<2wx=o;=:18187el10:<:94=0507?>f<2wx=o;<:18187el00:<:94=0500?>f<2wx=o;;:18187elh0:<:94=0501?>f<2wx=o;::18187elk0:<:94=0531?>f<2wx=o;9:18187elj0:<:94=0533?>f<2wx=o;8:18187elm0:<:94=053=?>f<2wx=o;7:18187ell0:<:94=053f?>f<2wx=o;6:18187elo0:<:94=053`?>f<2wx=o;n:18187em90:<:94=053b?>f<2wx=o;l:18187em;0:<:94=0525?>f<2wx=o;k:18187em:0:<:94=0527?>f<2wx=o;j:18187em=0:<:94=0521?>f<2wx=o;i:18187em<0:<:94=04eg?>f<2wx=o8?:18187em?0:<:94=04ea?>f<2wx=o8>:18187em>0:<:94=0534?>f<2wx=o8=:18187em10:<:94=0536?>f<2wx=o8<:18187em00:<:94=0530?>f<2wx=o6n:18087d980:<:94=0507?>f;27::i:58`38yv7e000;6>u21b33>460?27:;><58`18940dm32j=6s|1c:;>5<4s4;h06589414932j?63>6b:95f6b28:<;63>722976n1:p5g>12908w0?l0e82421<58=9j76n3:?22ge=0h;0q~?m8483>6}:9j:h6<>87:?2370=0h901<8m6;:b5>{t9k2?6=4<{<3`4g<68>=01<9=e;:b7>;6>k:14l?4}r3a<6<72:q6=n>n:0243>;6?;n14l=4=04be?>f92wx=o6>:18087d810:<:94=051g?>f;27::4k58`38yv7e090;6>u21b24>460?27:;?l58`18940>032j=6s|1c5e>5<4s4;h<;4>06589415i32j?63>68095f6228:<;63>73;96}:9j:86<>87:?2371=0h901<870;:b5>{t9k=i6=4<{<3`47<68>=01<9<6;:b7>;6>>k14l?4}r3a3d<72:q6=ohi:0243>;6?;?14l=4=0440?>f92wx=o96:18087enl0:<:94=0530?>f>27::;k58`38yv7e?10;6>u21cdg>460?27:;=<58`489401032j=6s|1c55>5<4s4;ijo4>06589417832j:63>64a95g`f28:<;63>6gg9:76n1:p5g132908w0?mf882421<586}:9kl36<>87:?2343=0h<01<8;a;:b5>{t9k=96=4<{<3ab2<68>=01<9>3;:b2>;6>=>14l?4}r3a34<72:q6=oh9:0243>;6?8;14l84=040a?>f92wx=o9?:18087en<0:<:94=053b?>f>27::>658`38yv7e>o0;6>u21cd7>460?27:;=j58`489404:32j=6s|1c4f>5<4s4;ij>4>06589417j32j:63>63a95g`528:<;63>71;96}:9kom6<>87:?2353=0h<01<8>4;:b5>{t9k<26=4<{<3aa`<68>=01<9<5;:b2>;6>9o14l?4}r3a2=<72:q6=okk:0243>;6?:>14l84=043f92wx=o88:18087emj0:<:94=0507?>f>27::=<58`38yv7e>?0;6>u21cga>460?27:;><58`48943ak32j=6s|1c46>5<4s4;iil4>06589414932j:63>5g495gc628:<;63>72296}:9ki26<>87:?2370=0h<01<;j4;:b5>{t9ki?6=4<{<3`07<68>=01<9=e;:b2>;6>o314l?4}r3af<<72:q6=n=8:0243>;6?;n14l84=04e6?>f92wx=ooj:18087d:j0:<:94=051g?>f>27::hm58`38yv7ei:0;6>u21b3e>460?27:;?l58`48940b>32j=6s|1c;;>5<4s4;h=94>06589415i32j:63>6d295f6>28:<;63>73;952908w0?mfb82421<58=9476n6:?22d2=0h;0q~?m7683>6}:9kl:6<>87:?2371=0h<01<892;:b5>{t9k=01<9<6;:b2>;6>;:14l?4}r3a00<72:q6=om::0243>;6?;?14l84=07ga?>f92wx=o=8:18087e;l0:<:94=0a5f:27:o;758`68yv7e;10;6;u21c1e>460?27:o;j58`0894e1932j>63>c7:9a}:9k>;6<>87:?2g36=0h;01;6k?h14l?4=0a5g?>f927:o;k58`3894e1n32j=63>c629;6k?<14l?4=0a53?>f92wx=o=n:18`87e<80:<:94=0a54?>f:27:o;o58`0894e1j32j>63>c7a9;6k>;14l<4=0a56?>f:27:o;=58`0894e1=3;;m55rs0`0f?6=:r7:n9<51154?87d>003m?5rs0`0g?6=m03m<521b42>=g634;h:547a09~w4d4l3:1>v3>b569551034;h:447a09~w4d513:1?v3>b229551034;h9847a39>5f3121k?7p}>b3c94?3|58h8=7??769>5f3f21k970?l4g8;e7=:9j??65o>;<3`10=g634;h9o47a09>5f3d21k:70?l5e8;e4=:9j?n65o>;<3`15:9c2?87d=;03m<521b70>=g63ty:n?m50;fx94d4;3;;;:521b6f>=g534;h9:47a39>5f3?21k970?l588;e7=:9j?i65o=;<3`1f=g534;h9<47a39>5f3521k970?l528;e7=z{8h9h7>52z?2f62=99=<70?l578;e7=z{8h9i7>54z?2f63=99=<70?l5`8;e4=:9j>m65o>;<3`10:9c2?871l;03m?5rs0cg3?6==g534;=h?47a09~w4gc03:1?v3>aeg9551034;=h?47a29>53b421k87p}>ae;94?4|58koj7??769>53b321k?7p}>aec94?4|58kn<7??769>53b321k87p}>ab;94?4|58khj7??769>53ec21k:7p}>abc94?2|58ko<7??769>53ef21k:70?9cc8;e4=:9?ih65o=;|q2efd=83>p116=;ml:9c0?871km03m>5rs0c``?6=:r7:mi=51154?871kl03m95rs0c`a?6=:r7:mi:51154?871kl03m>5rs0ca`?6=:r7:mn=51154?871k>03m<5rs0caa?6==g634;=o;47a39~w4gen3:18v3>ab79551034;=o947a39>53e221k970?9c78;e4=z{8kh<7>53z?2ef0=99=<70?9c78;e6=:9?i<65o<;|q2ef7=838p1;|q2eg4=83>p1;<35fc16=;lj:9c1?871jo03m?5217a3>=g63ty:mo:50;1x94gei3;;;:5217a3>=g434;=o<47a29~w4ge=3:1>v3>ac`9551034;=o?47a59~w4ge>3:1>v3>aca9551034;=o?47a29~w4gf=3:1>v3>a``9551034;=no47a09~w4gf>3:18v3>a`a9551034;=n547a09>53d>21k:70?9b`8;e7=z{8kj;7>54z?2edb=99=<70?9b98;e7=:9?h265o=;<35fd16=;ll:9c7?xu6ihk1<716=;ll:9c0?xu6i031<716=;l::9c2?xu6i0k1<7:t=0cb4?77?>16=;l=:9c2?871j:03m<5217`7>=g53ty:m4l50;6x94gf93;;;:5217`1>=g534;=n>47a39>53d321k:7p}>a8a94?5|58kj>7??769>53d321k870?9b48;e6=z{8k2h7>52z?2ed5=99=<70?9b78;e1=z{8k2i7>52z?2ed2=99=<70?9b78;e6=z{8k3h7>52z?2e<5=99=<70?9ag8;e4=z{8k3i7>54z?2e<2=99=<70?9ab8;e4=:9?ko65o>;<35e`5217ce>=g43ty:m4?50;0x94g>?3;;;:5217`3>=g33ty:m4<50;0x94g>03;;;:5217`3>=g43ty:m5?50;0x94g??3;;;:5217c:>=g63ty:m5<50;6x94g?03;;;:5217c5>=g634;=m:47a09>53g?21k97p}>a9194?2|58k357??769>53g121k970?9a68;e7=:9?k365o>;|q2e=2=839p1:1==98;<35=d=g534;=5n47a09~w4g1k3:1?v3>a609551034;=5n47a29>53?c21k87p}>a7f94?4|58k53?b21k?7p}>a7g94?4|58k<87??769>53?b21k87p}>a4f94?4|58k=?7??769>53?021k:7p}>a4g94?2|58k=87??769>53?321k:70?9948;e4=:9?3=65o=;|q2e0`=83>p116=;79:9c0?8711>03m>5rs0c55?6=:r7:m;951154?8711103m95rs0c56?6=:r7:m;651154?8711103m>5rs0c65?6=:r7:m8951154?8711803m<5rs0c66?6==g634;=5=47a39~w4g2;3:18v3>a4;9551034;=4h47a39>53>a21k970?9918;e4=z{8k>87>53z?2e0g=99=<70?9918;e6=:9?3:65o<;|q2e03=838p1;|q2e10=83>p1;<35<<16=;67:9c1?8710003m?5217:b>=g63ty:m9650;1x94g3m3;;;:5217:b>=g434;=4o47a29~w4g313:1>v3>a5d9551034;=4n47a59~w4g3i3:1>v3>a429551034;=4n47a29~w4g413:1>v3>a2d9551034;=4847a09~w4g4i3:18v3>a529551034;=4?47a09>53>421k:70?9858;e7=z{8k8n7>54z?2e17=99=<70?9838;e7=:9?2865o=;<35<116=;69:9c7?xu6i:o1<716=;69:9c0?xu6i;n1<716=;9i:9c2?xu6i;o1<7:t=0c00?77?>16=;9l:9c2?871?m03m<52175f>=g53ty:m?h50;6x94g4=3;;;:52175`>=g534;=;i47a39>531b21k:7p}>a2294?5|58k8:7??769>531b21k870?97g8;e6=z{8k8=7>52z?2e61=99=<70?9818;e1=z{8k8>7>52z?2e6>=99=<70?9818;e6=z{8k9=7>52z?2e71=99=<70?9788;e4=z{8k9>7>54z?2e7>=99=<70?9778;e4=:9?=<65o>;<353=52175:>=g43ty:m?;50;0x94g5j3;;;:52175b>=g33ty:m?850;0x94g5k3;;;:52175b>=g43ty:m<;50;0x94g6j3;;;:521750>=g63ty:m<850;6x94g6k3;;;:521753>=g634;=;<47a09>531521k97p}>a0594?2|58k:h7??769>531721k970?9708;e7=:9?=965o>;|q2e4>=839p1e;3332=:9?=965o<;<35369;296~;6i8l1==98;<3531a;296~;6i;:1==98;<3531j03m?5rs0c3f?6=h03m?52174a>=g534;=:n47a09~w4g7k3:1?v3>a009551034;=:n47a29>530c21k87p}>a1f94?4|58k:?7??769>530b21k?7p}>a1g94?4|58k:87??769>530b21k87p}>9gf94?4|58k;?7??769>530021k:7p}>9gg94?2|58k;87??769>530321k:70?9648;e4=:9?<=65o=;|q2=c`=83>p116=;89:9c0?871>>03m>5rs0c35?6=:r7:m=951154?871>103m95rs0c36?6=:r7:m=651154?871>103m>5rs0;f1?6=:r7:5hl51154?871=k03m<5rs0;f2?6==g634;=9l47a39~w4?b?3:18v3>9df9551034;=9547a39>533>21k970?95`8;e4=z{83n47>53z?2=`c=99=<70?95`8;e6=:9??i65o<;|q2=`?=838p1<7jf;3332=:9??h65o;;|q2=`g=838p1<7i0;3332=:9??h65o<;|q2=a?=838p1<7kf;3332=:9??>65o>;|q2=ag=83>p1<7j0;3332=:9??965o>;<351616=;;=:9c1?871=:03m?521777>=g63ty:5im50;1x94?b:3;;;:521777>=g434;=9847a29~w4?cl3:1>v3>9d19551034;=9;47a59~w4?cm3:1>v3>9d69551034;=9;47a29~w4?dl3:1>v3>9e19551034;=8k47a09~w4?dm3:18v3>9e69551034;=8n47a09>532c21k:70?94d8;e7=z{83hj7>54z?2=a3=99=<70?94b8;e7=:9?>o65o=;<350`16=;;?:9c7?xu61m81<716=;;?:9c0?xu61j;1<716=;:6:9c2?xu61j81<7:t=0;`16=;:9:9c2?871<>03m<52176;>=g53ty:5n=50;6x94?d13;;;:521765>=g534;=8:47a39>532?21k:7p}>9b694?5|583hm7??769>532?21k870?9488;e6=z{83h97>52z?2=fd=99=<70?94`8;e1=z{83h:7>52z?2=fe=99=<70?94`8;e6=z{83i97>52z?2=gd=99=<70?9428;e4=z{83i:7>54z?2=ge=99=<70?9418;e4=:9?>:65o>;<3507:9c1?871<;03m<5rs0;a521760>=g43ty:5o750;0x94?en3;;;:521767>=g33ty:5oo50;0x94?d83;;;:521767>=g43ty:5l750;0x94?fn3;;;:52171g>=g63ty:5lo50;6x94?e83;;;:52171b>=g634;=?o47a09>535d21k97p}>9``94?2|583i=7??769>535f21k970?93c8;e7=:9?9h65o>;|q2=de=839p1<7m2;3332=:9?9h65o<;<357a1==98;<357`1==98;<3571=g534;=?;47a09~w4?f83:1?v3>9`49551034;=?;47a29>535021k87p}>9`394?4|583j;7??769>535?21k?7p}>9`094?4|583j47??769>535?21k87p}>98394?4|5832;7??769>535621k:7p}>98094?2|583247??769>534b21k:70?92g8;e4=:9?9;65o=;|q2=<5=83>p1<769;3332=:9?8n65o=;<356c1<7=t=0;:e?77?>16=;=?:9c0?871;803m>5rs0;:1?6=:r7:54l51154?871;;03m95rs0;:2?6=:r7:54m51154?871;;03m>5rs0;;1?6=:r7:55l51154?871:k03m<5rs0;;2?6==g634;=>l47a39~w4???3:18v3>99f9551034;=>547a39>534>21k970?92`8;e4=z{83347>53z?2==c=99=<70?92`8;e6=:9?8i65o<;|q2==?=838p1<77f;3332=:9?8h65o;;|q2==g=838p1<760;3332=:9?8h65o<;|q2=2?=838p1<78f;3332=:9?8>65o>;|q2=2g=83>p1<770;3332=:9?8965o>;<3566h1<7:t=0;;5?77?>16=;<=:9c1?871::03m?521707>=g63ty:5:m50;1x94??:3;;;:521707>=g434;=>847a29~w4?0l3:1>v3>9919551034;=>;47a59~w4?0m3:1>v3>9969551034;=>;47a29~w4?193:1>v3>9759551034;==447a09~w4?1:3:18v3>97:9551034;==;47a09>537021k:70?9198;e7=z{83=?7>54z?2=3?=99=<70?9178;e7=:9?;<65o=;<355=16=;?n:9c7?xu61?<1<716=;?n:9c0?xu6116=;?<:9c2?xu61<<1<7:t=0;6g?77?>16=;??:9c2?8719803m<521731>=g53ty:58950;6x94?2l3;;;:521733>=g534;==<47a39>537521k:7p}>94:94?5|583>i7??769>537521k870?9128;e6=z{83>57>52z?2=0`=99=<70?9158;e1=z{83>m7>52z?2=36=99=<70?9158;e6=z{83?57>52z?2=1`=99=<70?90e8;e4=z{83?m7>54z?2=06=99=<70?90`8;e4=:9?:i65o>;<354fm:9c1?8718j03m<5rs0;7g?6=;r7:58<51154?8718j03m>52172g>=g43ty:59j50;0x94?2;3;;;:52172f>=g33ty:59k50;0x94?2<3;;;:52172f>=g43ty:5>j50;0x94?3;3;;;:521724>=g63ty:5>k50;6x94?3<3;;;:521727>=g634;=<847a09>536121k97p}>92d94?2|583?97??769>536321k970?9048;e7=:9?:=65o>;|q2=16=839p1<7;6;3332=:9?:=65o<;<3542751154?872nl03m?5214de>=g534;=<=47a09~w4?4<3:1?v3>92c9551034;=<=47a29>536621k87p}>92794?4|5838n7??769>536521k?7p}>92494?4|5838o7??769>536521k87p}>93794?4|5839n7??769>50`e21k:7p}>93494?2|5839o7??769>50`?21k:70?:f88;e4=:9p1<7=d;3332=:916=8hn:9c0?872nk03m>5rs0;1=?6=:r7:5?h51154?872nj03m95rs0;1e?6=:r7:5>>51154?872nj03m>5rs0;2=?6=:r7:551154?872n;03m<5214d0>=g634;>j947a39~w4?6j3:18v3>9339551034;>j?47a39>50`421k970?:f58;e4=z{83:o7>53z?2=74=99=<70?:f58;e6=:965o<;|q2=4b=838p1<7=3;3332=:93;3332=:9;|q2=5c=83>p1<7>4;3332=:9;<36aa16=8kl:9c1?872mm03m?5214gf>=g63ty:5<>50;1x94?6>3;;;:5214gf>=g434;>ik47a29~w4?693:1>v3>9059551034;>j=47a59~w4?6:3:1>v3>90:9551034;>j=47a29~w4?793:1>v3>9159551034;>i447a09~w4?7:3:18v3>91:9551034;>i;47a09>50c021k:70?:e98;e7=z{83;?7>54z?2=5?=99=<70?:e78;e7=:916=8kn:9c7?xu619<1<716=8kn:9c0?xu60o?1<716=8k<:9c2?xu60o<1<7:t=0:eg?77?>16=8k?:9c2?872m803m<5214g1>=g53ty:4k950;6x94>al3;;;:5214g3>=g534;>i<47a39>50c521k:7p}>8g:94?5|582mi7??769>50c521k870?:e28;e6=z{82m57>52z?252z?2=56=99=<70?:e58;e6=z{8h:h7>52z?2f75=99=<70?9f7824d>5g4328:<;63>6g69=0h90q~?m1g83>1}:9k8>6<>87:?22c2=0h801<8i7;:b7>;6>o214l<4}r3a65<72:q6=o<9:0243>;6>o=14l?4=04ef92wx=o<>:18187e:>0:<:94=04e=?>f<2wx=o<=:18187e:10:<:94=04e=?>f;2wx=o?>:18187e9>0:<:94=04e5?>f92wx=o?=:18787e910:<:94=04fa?>f927::hh58`38940a832j>6s|1c30>5<3s4;i=44>0658940bm32j>63>6dd97}:9k;i6<>87:?22c4=0h>0q~?m1783>7}:9k;h6<>87:?22c4=0h90q~?m0483>7}:9k:i6<>87:?22`d=0h;0q~?m0783>1}:9k:h6<>87:?22`>=0h;01<8j9;:b5>;6>lk14l<4}r3a42<72=q6=o>k:0243>;6>l214l<4=04f=?>f:27::ho58`38yv7e810;6>u21c2f>460?27::ho58`18940bj32j?6s|1c2:>5<5s4;i0658940bk32j86s|1c2b>5<5s4;i==4>0658940bk32j?6s|1`d:>5<5s4;jjk4>0658940b=32j=6s|1`db>5<3s4;i<=4>0658940b:32j=63>6d1976n2:?22`5=0h801<8j4;:b5>{t9hlh6=4<{<3a47<68>=01<8j4;:b7>;6>l?14l=4}r3bba<72;q6=o><:0243>;6>l<14l:4}r3bb`<72;q6=o>;:0243>;6>l<14l=4}r3baa<72;q6=lh<:0243>;6>ml14l?4}r3ba`<72=q6=lh;:0243>;6>mi14l?4=04g`?>f927::ik58`08yv7fmo0;69u21`d6>460?27::im58`08940cl32j>63>6eg95d`128:<;63>6eg9{t9ho86=4;{<3ba<<68>=01<8k6;:b6>;6>m=14l<4=04gf92wx=lk;:18087fmh0:<:94=04gf;27::i758`18yv7fm<0;6?u21`ga>460?27::io58`68yv7fm?0;6?u21`g`>460?27::io58`18yv7f?<0;6?u21`5a>460?27::l=58`38yv7f??0;69u21`5`>460?27::l>58`38940f932j=63>6`095d1c28:<;63>6`296}:9h=n6<>87:?22d4=0h901<8n3;:b7>{t9h=26=4={<3b3c<68>=01<8n4;:b0>{t9h=j6=4={<3b<5<68>=01<8n4;:b7>{t90l:6=4={<3:b2<68>=01<891;:b5>{t90l96=4;{<3:b=<68>=01<8:e;:b5>;6>f:2wx=4h<:18787>n00:<:94=046a?>f:27::8h58`089401832j=6s|18d7>5<4s4;2jl4>06589401832j?63>67395<`e28:<;63>67095<`d28:<;63>67095<1428:<;63>60d95<1328:<;63>60a91}:90=>6<>87:?224e=0h801<8>d;:b6>;6>8o14l?4}r3:35<72:q6=499:0243>;6>8o14l=4=042b?>f;2wx=49>:18187>?>0:<:94=0414?>f<2wx=49=:18187>?10:<:94=0414?>f;2wx=5k6:18187?mo0:<:94=07g`?>f92wx=5kn:18787?n90:<:94=07ge?>f927:9il58`38943ck32j>6s|19ga>5<3s4;3j<4>0658943ci32j>63>5e`97}:91l86<>87:?21ac=0h>0q~?7ed83>7}:91l?6<>87:?21ac=0h90q~?71083>7}:91=j6<>87:?23`6=<0o0q~?71383>7}:91=i6<>87:?23`7=<0o0q~?71183>7}:91=26<>87:?22a2=0h?0q~?70g83>7}:91=36<>87:?22fc=0h?0q~?70d83>7}:91=<6<>87:?22f>=0h?0q~?70e83>7}:91==6<>87:?22f4=0h?0q~?70b83>7}:91=>6<>87:?22ge=0h?0q~?70c83>7}:91=?6<>87:?22g0=0h?0q~?70`83>7}:91=86<>87:?22g6=0h?0q~?70883>7}:91=96<>87:?22dg=0h?0q~?70683>7}:91=;6<>87:?227}:9187:?22<>=0h?0q~?70483>7}:9187:?22<4=0h?0q~?70583>7}:9187:?22=e=0h?0q~?70283>7}:9187:?22=0=0h?0q~?70383>7}:9187:?22=6=0h?0q~?70083>7}:9187:?222g=0h?0q~?70183>7}:91<<6<>87:?2222=0h?0q~?8fg83>7}:91<=6<>87:?223c=0h?0q~?8fd83>7}:91<>6<>87:?223>=0h?0q~?8fb83>7}:91<86<>87:?220e=0h?0q~?8fc83>7}:91<96<>87:?2200=0h?0q~?8f`83>7}:91<:6<>87:?2206=0h?0q~?8f883>7}:91<;6<>87:?221g=0h?0q~?8f983>7}:91?m6<>87:?2212=0h?0q~?8f683>7}:91?n6<>87:?226c=0h?0q~?8f783>7}:91?o6<>87:?226>=0h?0q~?8f483>7}:91?h6<>87:?2264=0h?0q~?8f583>7}:91?i6<>87:?227e=0h?0q~?8f283>7}:91?j6<>87:?2270=0h?0q~?8f083>7}:91?36<>87:?224g=0h?0q~?8f183>7}:91?<6<>87:?2242=0h?0q~?8eg83>7}:91?=6<>87:?225c=0h?0q~?8ed83>7}:91?>6<>87:?225>=0h?0q~?8ee83>7}:91??6<>87:?2254=0h?0q~?8eb83>7}:91?86<>87:?21ce=0h?0q~?8ec83>7}:91?96<>87:?21c0=0h?0q~?8e`83>7}:91?:6<>87:?21c6=0h?0q~?8e883>7}:91?;6<>87:?21`g=0h?0q~?8e983>7}:91>m6<>87:?21`2=0h?0q~?71983>7}:912;6<>87:?22c?=0h?0q~?71683>7}:91=m6<>87:?22c4=0h?0q~?71783>7}:91=n6<>87:?22`e=0h?0q~?71483>7}:91=o6<>87:?22`0=0h?0q~?71583>7}:91=h6<>87:?22`6=0h?0q~?71283>7}:91=:6<>87:?22ag=0h?0q~?70983>7}:9187:?22d2=0h?0q~?8fe83>7}:91?26<>87:?2234=0h?0q~?8f383>7}:91>n6<>87:?2276=0h?0q~?8e683>7}:91>26<>87:?21ac=0h?0q~:=0783>7}:;o8>6o6m;<1ebcf:27?>>758`48yv5a=j0;69u23g07>g>e34?9=:47a49>04`b21k>70=ifg8;e3=z{=8;;7>52z?0b70=j1h01>hif;:b1>{t<8;>6=4={<6250<68>=019??3;:b6>{t<8;<6=4={<6252<68>=019??4;:b6>{t<8;96=4={<6257<68>=019??2;:b6>{t<8;=6=4={<6253<68>=019??5;:b7>{t<8;86=4={<6256<68>=019??2;:b5>{t<8;:6=4={<6254<68>=019??4;:b5>{t<8;36=4<{<6251<31l168;399<14l<4}r625<<72:q68f;2wx80?5h524002>460?27?==:58`68yv269k0;6>u24031>1?b34>:>?4>06589177:32j86s|403`>5<4s4>:=;4;9d9>044428:<;63;1179:=i4?:2y>04742=3n70:>2582421<5=;;>76n3:p047b2908w0:>1087=`=:<88>6<>87:?7552=0h90q~:>1583>7}:<8;?6<>87:?7550=0h;0q~:>0683>6}:<8;m697j;<6242<68>=019>i5;:b4>{t<8:36=4<{<6265<31l168<>7:0243>;38l?14l?4}r624<<72:q68<<>:5;f?826800:<:94=52f3?>f92wx8<>n:180826:;0?5h52402b>460?27?460?27?u24000>1?b34>:0658916bl32j=6s|402g>5<5s4>:0658916bn32j=6s|402f>5<4s4>:>94;9d9>046b28:<;63;0g39:046a28:<;63;0g19:==4?:2y>04422=3n70:>1182421<5=;;<76n1:p05`32908w0:?e2824d><5=:m:77>1:?74c3=j1h0q~:?e283>6}:<9o86o6m;<63a1<3;k168=h8:51a?xu38l>1<7=t=52f0?d?j27?8n6s|41g5>5<4s4>;i;4m8c9>05c?2=9i70:?f8877g=z{=:n47>53z?74`>=j1h019>ja;60f>;38ok18>l4}r63ad<72:q68=kn:c:a?827mj0??o5241da>15e3ty?i0;297~;38o:1n5l4=52e6?24j27?g>e349mj:47a49>05ee21k970:?d28;e1=:<9n>65o;;<6146::9c0?827l003m;5241ag>=g334>;h:47a79>05ea21k?70:?c78;e3=:<9no65o9;|q0bgb=839p1>hmd;`;f>;4nj;18>l4=2dfe?24j2wx?klm:18085ajk0i4o523g`g>15e349mi54;3c9~w6`e13:1?v36}:;oh<6o6m;<1ef<<3;k16?kk9:51a?xu4nk?1<7=t=2da1?d?j278jo9542`896`b=3>8n6s|3g`0>5<4s49mn>4m8c9>7cd22=9i70=ie5877g=z{:li=7>53z?0bg7=j1h01>hm3;60f>;4nl918>l4}r1eec<72:q6?koi:c:a?85aj80??o523gg1>15e3ty8jlj50;1x96`fl3h3n63hn7;297~;4nh=1n5l4=2dbf?24j278jih542`8yv5ai<0;6>u23gc6>g>e349mm:4;3c9>7cbc2=9i7p}hkc;60f>{t;ok:6=4<{<1ee48n637c?c2k2i70=i9g877g=:;on269=m;|q0bh6b;`;f>;4n0n18>l4=2dg15e349mh:4;3c9~w6`>?3:1?v36}:;o3>6o6m;<1e=2<3;k16?kj::51a?xu4njl1<7=t=2d`b?d?j278j4;542`896`c<3>8n6s|3gag>5<4s49moi4m8c9>7cea2=9i70=if2877g=z{:lhn7>53z?0bfd=j1h01>hld;60f>;4no818>l4}r1eg<<72:q6?km6:c:a?85akk0??o523gd2>15e3ty8jn950;1x96`d?3h3n63hl3;297~;4nj91n5l4=2d`1?24j278jhk542`8yv5ajo0;6>u23g`e>g>e349mo>4;3c9>7ccc2=9i7p}hj9;60f>{t;o3?6=4<{<1e=1g>e3ty8j:>50;0x96`083h3n63;13597c102k2i70=i71824d>7c1b2k2i70=i7187ag=z{:l=j7>52z?0b3`=j1h01>h80;60f>{t;o==6=4={<1e33{t;o=o6=4={<1e3ag>e349m:h4>0`:8yv5a?j0;6?u23g5`>g>e349m:h4;ec9~w6`1l3:1>v3n8:p7c1e2909w0=i7c8ah9c;`;f>;4n?n18>l4}r1e36<72;q6?k9<:c:a?85a>j0:j0?io5rs2d5f?6=:r78j;l5b9`896`1k3>8n6s|3g51>5<5s49m;?4m8c9>7c0e28:j46s|3g5:>5<5s49m;44m8c9>7c0e2=oi7p}7}:;o=:6o6m;<1e2d<68h20q~=i7983>7}:;o=36o6m;<1e2d<3mk1v>h75;296~;4n1?1n5l4=53b1?>f>2wx?k6l:18185a0j0i4o523g:6>46f02wx?k7<:18185a1:0i4o523g:6>1ce3ty8j5:50;0x96`?<3h3n637c>e2k2i70=i85824d>7c?52k2i70=i8587ag=z{:l3?7>52z?0b=5=j1h01>h74;60f>{t;o2j6=4={<1e{t;o3:6=4={<1e=4g>e349m4?4>0`:8yv5a190;6?u23g;3>g>e349m4?4;ec9~w6`?93:1>v37:?2909w0=i898an8:p7c>a2909w0=i8g8ah70;`;f>;4n1;18>l4}r1e<2<72;q6?k68:c:a?85a090:8n6s|3g:5>5<5s49m4;4m8c9>7c1a28:j46s|3g:g>5<5s49m4i4m8c9>7c1a2=oi7p}7l7b:?0b35=<:h0q~=i6983>7}:;o<36o6m;<1e27<68h20q~=i6083>7}:;o<:6o6m;<1e27<3;k1v>h97;296~;4n?=1n5l4=2d55?77i11v>h90;296~;4n?:1n5l4=2d55?24j2wx?k89:18185a>?0i4o523g43>46f02wx?k;i:18185a=o0i4o523g43>15e3ty8j;;50;0x96`1=3h3n637c032k2i70=i5d824d>;:h4?:2y>05ga2=3n70:?6d82421<5:lno76n1:p050c2908w0:?ad87=`=:<987:?0bf4=0h;0q~:?6c83>6}:<9kh697j;<632g<68>=01>hme;:b5>{t<9;4nki14l?4}r632<<72:q68=on:5;f?827>00:<:94=2dae?>f92wx8=87:180827i00?5h52414;>460?278jo658`38yv27>>0;6>u241c;>1?b34>;::4>065896`e>32j=6s|4145>5<4s4>;m:4;9d9>050128:<;63;:84?:2y>05g12=3n70:?6482421<5:li>76n1:p05032908w0:?a487=`=:<987:?0bg6=0h;0q~:?6283>6}:<9k?697j;<6326<68>=01>hne;:b5>{t<9<96=4<{<63e6<31l168=8=:0243>;4nhi14l?4}r6325<72:q68=o>:5;f?827>90:<:94=2dbf92wx8=;i:180827i90?5h52417e>460?278jl858`38yv27=l0;6>u241;e>1?b34>;9h4>065896`f<32j=6s|417g>5<4s4>;5h4;9d9>053c28:<;63;9n4?:2y>05?c2=3n70:?5b82421<5:lj<76n1:p053e2908w0:?9b87=`=:<9?i6<>87:?0b6}:<93i697j;<631d<68>=01>h6c;:b5>{t<9?26=4<{<63=d<31l168=;6:0243>;4n0k14l?4}r631=<72:q68=76:5;f?827=10:<:94=2d:f92wx8=;8:180827110?5h524174>460?278j4858`38yv27?<0;6>u241`6>1?b34>;;84>065896`c832j=6s|4157>5<4s4>;n94;9d9>051328:<;63;;>4?:2y>05d42=3n70:?7282421<5:lho76n1:p05152908w0:?b387=`=:<9=96<>87:?0bfg=0h;0q~:?7083>6}:<9h:697j;<6334<68>=01>hl8;:b5>{t<9=;6=4<{<63f5<31l168=9?:0243>;4nj<14l?4}r632c<72:q68=ok:5;f?827>o0:<:94=2d`0?>f92wx8=8l:180827i;0?5h52414`>460?278jn>58`38yv27>80;6>u241;4>1?b34>;:<4>065896`fi32j=6s|4175>5<4s4>;5;4;9d9>053128:<;63;=n4?:3y>057d2k2i70:?488:54=z{:lmo7>52z?741?=j1h019?91;6:a>{t<9:h6=4={<634f2i6s|410`>5<5s4>;?l46129>056d2=oi7p};01`94?5|5=:;n7l7b:?745e=<:h019>;8;60f>{t<9;j6=4<{<635dm:02b<>;38==157590189167j3>nn6s|412b>5<4s4>;056e2=9i70:?46877g=z{=::57>53z?744?=j1h019>?a;33e==:<9>=64?>;|q0bc?=838p19>;6;`;f>;398n63;054906d;=54?:2y>057?2k2i70:?08824d><5=:?977>1:p7c`?2909w0:?448a<7;;27>;389318hl4}r634=<72:q68=>7:c:a?827800??o524166>15e3ty?<<950;1x9166?3h3n63;01:955g?34>;8946109~w6`a?3:1>v3;0569f=d<5=;>o7:6e:p054?2909w0:?438:56=:<9:369km;|q7443=839p19>>5;`;f>;389218>l4=5270?24j2wx8=<::180827:<0i4o524136>46f027?<8<59038yv5an?0;6?u24171>g>e34>::44;9d9~w164<3:1>v3;0539=45<5=::97:jb:p05732908w0:?158a69=m;<6317<3;k1v9>=4;297~;38;>1n5l4=5220?77i1168=;>:832?xu389?1<7<7434>;=94;ec9~w166;3:1?v3;0019f=d<5=::87:6}:<9886o6m;<6356<68h2019>:0;;25>{t<9:?6=4={<6315u24131>g>e34>;=>4;3c9>05372=9i7p};03094?5|5=:9>7l7b:?7444=99k370:?4g8:54=z{=:;?7>52z?741`=j1h019?96;6:a>{t<99:6=4={<637`<>9:168=?=:5ga?xu388;1<7=t=5225?d?j27?<<<542`89163n3>8n6s|4102>5<4s4>;><4m8c9>057628:j463;05g9=47;052b2k2i70:>6487=`=z{=:8<7>52z?746b=189019>>1;6ff>{t<9;;6=4<{<6355:51a?8275b9`8916683;;m552416g><763ty?<=?50;0x9163l3h3n63;17690;>k4?:3y>055d20;870:?1187ag=z{=:;j7>53z?745`=j1h019>>0;60f>;38=n18>l4}r635c<72:q68=?i:c:a?8278o0:?:1818271?b3ty?;056b2k2i70:?0g877g=:<9>h69=m;|q744c=839p19>>e;`;f>;389o1==o7;<630g<>981v>hie;296~;38=h1n5l4=5356?2>m2wx8=52412f>1ce3ty?<=j50;1x9167l3h3n63;01g906d<5=:?n7:n8:?741g=18;0q~=ife83>7}:<9>j6o6m;<621g<31l1v9>=7;296~;38:?15<=4=523`?2bj2wx8=>8:1808278>0i4o52412g>15e34>;8l4;3c9~w166>3:1?v3;0049f=d<5=:;;7??a99>052420;:7p}7}:<98=64?<;<6342<3mk1v9=g534>;=h47a09>055e21k970:?1g8;e4=:<99h65o=;<6365=g534>;>?47a09>055a21k970:?228;e4=:<9>;65o=;<6361:9c1?827:<03m<524161>=g534>;=:47a09>055021k970:?198;e4=:<99365o=;<635<=g534>;=o47a09>057d21k:70:=028a53z?7451=<:h019>;3;60f>;4no=1n5l4}r63`=<728;p19?:a;605>;39?4=5356?24927?=;=542389171<3>8=63;1779067<5=;=:7:<1:?7531=<:;019?98;605>;39?318>?4=536g?24927?=8j542389172m3>8=63;14d9067<5=;=<7:<1:?7537=<:;019>k9;`;f>{t<8k=6=4={<62e08=63;1c29067<5=;i=7:<1:?75g4=<:;019?m3;605>;39k>18>?4=53a1?24927?=o854238917f13>8=63;1`c9067<5=;jn7:<1:?75de=<:;019?nd;605>;39ho18>?4=53;1?24927?=5854238917?l3>8=63;19g9067<5=;3j7:<1:?75<6=<:;019?61;605>;390818>?4=53:7?24927?=4:54238917??3>8=63;19:9067<5=;357:<1:?75=g=<:;019?7b;605>;391i18>?4=52g5?d?j2wx8=mj:180826nk0??<5240d`>15634>;ok4m8c9~w16cj3:1>v3;17f9067<5=:oj7l7b:p05b3290:=v3;1b:9067<5=;h57:<1:?75a6=<:;019?k1;605>;39m818>?4=53g7?24927?=i:54238917c=3>8=63;1e49067<5=;o;7:<1:?75fg=<:;019?lb;605>;39ji18>?4=53``?24927?=nk54238917dn3>8=63;0e79f=d;h?4?:03x917b03>8=63;1d;9067<5=;m<7:<1:?75c7=<:;019?i2;605>;39o918>?4=53e0?24927?=k;54238917a>3>8=63;1g59067<5=;nm7:<1:?75`d=<:;019?jc;605>;39ln18>?4=53fa?24927?=hh54238916c;3h3n6s|41a`>5<69r7?=>o542389174j3>8=63;1509067<5=;??7:<1:?7512=<:;019?;5;605>;39=<18>?4=5373?24927?=9654238917313>8=63;12a9067<5=;8h7:<1:?756c=<:;019?;39=:18>?4=5375?24927?1?b34>:jh4m8c9~w147<3:14v3;13;9067<5=;=n7:<1:?753g=<:;019?9c;605>;39>;18>?4=5346?24927?=:=542389147=3h3n6s|41ab>5<5s4>::i4>06;8916dj3h3n6s|41a7>5<69r7?=8o5115:?826=k0:<:74=5356?77?0168<8<:024=>;39?>1==96;<6220<68>3019?96;333<=:<8<<6<>89:?753>=99=270:>688242?<5=;>o7??789>043c28:<563;14g9551>34>:9k4>06;8917183;;;4524042>460127?1?b34>;9>4m8c9~w16b93:15v3?76n4:?74a7=0h9019>l7;:b0>;38mo14l:4=52`f<27?32j863;0ef99<=4?:2y>04`e2=3n70:=ae87=`=:<9??6o6m;|q7657=83>p19?ic;6:a>;3:ho184k4=07aa?2>m27?<8;5b9`8yv26>l0;69u24052>460?278j9658`489177;32j=63;1179::k4?:4y>041528:<;63{t<8=;6=48{<6236<68>=01>h;e;:b2>;399914l:4=5331?>f<27?==<58`489177<32j963;1149::n4?:4y>040d28:<;63ke;:b5>{t<83z?753g=99=<70:?178;e6=:<9;o65o<;<635`524102>=g434>;>?47a29>054421k870:?258;e6=:<98>65o<;<635252413b>=g434>;=o47a29>057d21k870=if68;e4=:;o9m65o9;|q753d=833p19?9b;3332=:<9n265o<;<63g<=g534>;hh47a39>05e121k970:?de8;e7=z{=;957>55z?757?=99=<70=i2c8;e3=:<9i265o=;<63g3f927?<<858`089172i3;;;:523g47>=g6349m4i47a39>7c>121k970=i798;e7=:;o=:65o=;<1e74f:27?=8l51154?85a>=03m>523g:g>=g6349m4;47a59>7c1?21k:70=i708;e1=:;o8i65o<;|q7504=833p19><6;:b5>;388o14l<4=5356?77?>16?k8;:9c6?85a0l03m?523g:4>=g5349m;447a39>7c1521k970=i3g8;e6=z{=;>?7>59z?746d=0h;019>>f;:b6>;39?91==98;<1e2003m9523g5:>=g6349m;?47a59>7c2221k87p};14694??|5=:8o76n1:?7476=0h8019?94;3332=:;o<>65o<;<1e=g5349m8547a29~w172=3:15v3;02f9;<1e<==g43ty?=8850;;x9164m32j=63;03097c0121k:70=i918;e7=:;o2265o=;<1e3g5rs5363?6=1r7?<>h58`389165;32j>63;17595510349m:;47a29>7c?721k:70=i888;e1=:;o=i65o>;<1e31:9c0?xu39<21<77t=5274?>f927?=g2349m5<47a39>7c>f21k970=i7b8;e7=:;o=>65o=;<1e16f:27?=;751154?85a>>03m<523g;2>=g6349m4l47a59>7c1d21k:70=i748;e1=:;o?>65o;;|q751d=833p19>;2;:b5>;388=14l<4=536g?77?>16?k88:9c0?85a1;03m?523g:a>=g5349m;i47a39>7c1121k970=i3`8;e1=z{=;?o7>59z?7461=0h;019>>8;:b6>;39=g6349m;;47a59>7c3021k=7p};15f94??|5=:8476n1:?744?=0h8019?:e;3332=:;o<365o>;<1e=6=g5349m9547a79~w173m3:15v3;02;9;<1e03m9523g7:>=g13ty?=9h50;5x9164i32j=63;00`97c0?21k>70=i5`8;e3=:<8k>65o:;<626216?k86:9c2?85a=k03m;5240c6>=g534>:>:47a29~w17a03:1>v3;1g:9551034>;9>47a09~w17e03:1>v3;1b:9551034>:484;9d9~w17en3:1>v3;1b;9551034>:4;4;9d9~w17d83:1>v3;1e29551034>:4i4;9d9~w17d93:1>v3;1e39551034>:4h4;9d9~w17d:3:1>v3;1e09551034>:4k4;9d9~w17d;3:1>v3;1e19551034>:5=4;9d9~w17d<3:1>v3;1e69551034>:5<4;9d9~w17d=3:1>v3;1e79551034>:5?4;9d9~w17d>3:1>v3;1e49551034>:5>4;9d9~w17d?3:1>v3;1e59551034>:594;9d9~w17e13:1>v3;1bc9551034>:4:4;9d9~w17ei3:1>v3;1b`9551034>:454;9d9~w17ej3:1>v3;1ba9551034>:444;9d9~w17ek3:1>v3;1bf9551034>:4l4;9d9~w17el3:1>v3;1bg9551034>:4o4;9d9~w17em3:1>v3;1bd9551034>:4n4;9d9~w17c03:1>v3;1d:9551034>:m:4;9d9~w17cn3:1>v3;1d;9551034>:m54;9d9~w17b83:1>v3;1g29551034>:mk4;9d9~w17b93:1>v3;1g39551034>:n=4;9d9~w17b:3:1>v3;1g09551034>:n<4;9d9~w17b;3:1>v3;1g19551034>:n?4;9d9~w17b<3:1>v3;1g69551034>:n>4;9d9~w17b=3:1>v3;1g79551034>:n94;9d9~w17b>3:1>v3;1g49551034>:n84;9d9~w17b?3:1>v3;1g59551034>:n;4;9d9~w17c13:1>v3;1dc9551034>:m44;9d9~w17ci3:1>v3;1d`9551034>:ml4;9d9~w17cj3:1>v3;1da9551034>:mo4;9d9~w17ck3:1>v3;1df9551034>:mn4;9d9~w17cl3:1>v3;1dg9551034>:mi4;9d9~w17cm3:1>v3;1dd9551034>:mh4;9d9~w170=3:1?v3;19795510349m;547a29>7c1621k:7p};16a94?5|5=;3:7??769>7c1?21k?70=i708;e6=z{=;53z?75=b=99=<70=i788;e6=:;o=965o>;|q752c=839p19?7e;3332=:;o=265o;;<1e3716?k9n:9c7?85a?:03m>5rs53;5?6=;r7?=4?51154?85a?k03m>523g57>=g63ty?=5<50;1x917>:3;;;:523g5a>=g3349m;947a29~w17?;3:1?v3;18195510349m;n47a29>7c1221k:7p};19694?5|5=;287??769>7c1d21k?70=i748;e6=z{=;<:7>53z?75=1=99=<70=i7e8;e6=:;o==65o>;|q7521=839p19?78;3332=:;o=o65o;;<1e3331<7=t=53;e?77?>16?k9j:9c7?85a?>03m>5rs534e?6=:r7?=5l51154?826:>03m95rs534f?6=:r7?=5m51154?826:>03m?5rs53:1?6=;r7?=l951154?85a0m03m>523g:5>=g63ty?=4m50;1x917f03;;;:523g:g>=g3349m4;47a29~w17>l3:1?v3;1`d95510349m4h47a29>7c>021k:7p};18g94?5|5=;i<7??769>7c>b21k?70=i868;e6=z{=;2j7>53z?75g7=99=<70=i8g8;e6=:;o2365o>;|q75d6=839p19?m2;3332=:;o2m65o;;<1e<=16?k7?:9c7?85a0003m>5rs53b7?6=;r7?=o;51154?85a1803m>523g:b>=g63ty?=l:50;1x917e>3;;;:523g;2>=g3349m4l47a29~w17>>3:1?v3;1`;95510349m5?47a29>7c>e21k:7p};18594?5|5=;jm7??769>7c?521k?70=i8c8;e6=z{=;247>53z?75dd=99=<70=i928;e6=:;o2h65o>;|q75:9c1?85a=<03m>5rs53ee?6==g6349m?l47a29~w175i3:1?v3;12c95510349m:947a39>7c5521k?7p};12394?5|5=;8n7??769>7c0321k?70=i2c8;e0=z{=;8>7>53z?7514=99=<70=i658;e3=:;o9m65o:;|q7565=839p19?;3;3332=:;o<>65o=;<1e001==98;<1e2016?k8::9c5?85a?03m?523g6f>=g23ty?=>950;1x9173?3;;;:523g45>=g3349m9<47a49~w17403:1?v3;15:95510349m:;47a79>7c3421k?7p};12;94?5|5=;?57??769>7c0021k970=i548;e7=z{=;9n7>53z?756e=99=<70=i668;e1=:;o9j65o=;|q757e=839p19?16?k87:9c7?85a=003m95rs531b?6=;r7?=9>51154?85a>103m;523g7b>=g33ty?=>>50;1x917393;;;:523g4:>=g5349m9o47a59~w160>3:1>v3;08495510349m??47a39~w16?93:1>v3;08595510349m>o47a59~w16?k3:1>v3;0`095510349m?k47a59~w16?n3:1>v3;0`f95510349m8847a59~w16>83:1>v3;0c295510349m8547a59~w16>93:1>v3;0c395510349m8o47a59~w16>:3:1>v3;0c095510349m8h47a59~w16>;3:1>v3;0c195510349m9<47a59~w16><3:1>v3;0c695510349m9>47a49~w16>=3:1>v3;0c795510349m9847a09~w160?3:1>v3;08:95510349m?l47a09~w16003:1>v3;08;95510349m9:47a49~w16013:1>v3;08c95510349m9547a49~w160i3:1>v3;08`95510349m9447a49~w160j3:1>v3;08a95510349m9l47a49~w160k3:1>v3;08f95510349m9o47a49~w160l3:1>v3;08g95510349jmi47a09~w160m3:1>v3;08d95510349jmk47a09~w160n3:1>v3;0`295510349m>547a59~w16?83:1>v3;0`395510349m>447a59~w16?:3:1>v3;0`195510349m>h47a59~w16?;3:1>v3;0`695510349m?=47a59~w16?<3:1>v3;0`795510349m?>47a59~w16?=3:1>v3;0`495510349m?947a59~w16?>3:1>v3;0`595510349m?847a59~w16??3:1>v3;0`:95510349m?;47a59~w16?03:1>v3;0`;95510349m?:47a59~w16?13:1>v3;0`c95510349m?447a59~w16?i3:1>v3;0``95510349m?o47a59~w16?j3:1>v3;0`a95510349m?i47a59~w16?l3:1>v3;0`g95510349m8?47a59~w16?m3:1>v3;0`d95510349m8>47a59~w17e?3:1?v3;1c59551034>;9>47a49>04g221k:7p};16694?7>s4>:;94>06589176:3;;;4524036>460127?=<95115:?8269?0:<:74=5327?77?0168:024=>;398>1==96;<625c<68>3019?=0;333<=:<88:6<>89:?7574=99=270:>228242?<5=;987??789>044228:<563;115955g?34>:<54>06;8917713;;;452402b>460127?==l5115:?8268j0:<:74=533`?77?0168<>j:024=>;399l1==96;<6255<68>30q~:>2983>=}:<8:=6o6m;<63`<=g234>;hh47a49>05e121k>70:?de8;e0=z{=82h7>52z?76d6=0h?019<6f;`;f>{t<;3i6=4={<61=f:1<78=58`68yv25>m0;6?u24353>g>e34>9:h47a09~w14e83:1>v3;2c39f=d<5=8??76n6:p075>290ow0:=b08;e1=:<;>i65o;;<610<03m852431a>=g534>9072221k?70:=b28;e1=:<;?:65o:;<617<03m95rs500b?6=;r7?>>k58`089143n32j>63;2509f=d9><4?:3y>07462k2i70:=378:54=z{=8:>7>52z?7660=j1h019<88;6:a>{t<;;26=4={<615<<7511c;?825;<02=<5rs5025?6=:r7?>>;5b9`89140?3>2i6s|430;>5<5s4>9>h46129>077>2=oi7p};20:94?5|5=8:47l7b:?764?=<:h019<<5;60f>{t<;;m6=4<{<615c;3::>15?j59018914603>nn6s|4334>5<4s4>9=:4m8c9>077?2=9i70:=35877g=z{=8:i7>53z?764c=j1h019<>7;33e==:<;9864?>;|q765`=838p19<<3;`;f>;3:>?184k4}r6163<72;q68?0?io5rs5022?6=;r7?><85b9`89146?3>8n63;221906d9=i4?:2y>077c2k2i70:=17824d><5=88>77>1:p076b2909w0:=338a;3:8<18hl4}r6150<72:q68??::c:a?8259?0??o524311>15e3ty?>9?<46109~w147l3:1>v3;2239f=d<5=869km;|q7642=839p19<>4;`;f>;3:8?18>l4=5005?24j2wx8??m:1808259k0i4o524337>46f027?>>>59038yv258j0;6?u24313>g>e34>9;?4;9d9~w145;3:1>v3;23;9=45<5=8:87:jb:p07742908w0:=128aa;297~;3:8k1n5l4=5027?77i1168?:?548g8yv25:;0;6?u24301><7434>9=>4;ec9~w14e:3:1==u24301>=g534>9=l47a09>074>21k970:=1c8;e4=:<;8j65o=;<615f=g534>9=h47a09>074c21k970:=1g8;e4=:<;8n65o=;<6165:9c2?825j:0i4o5rs503e?6=;r7?><=542`89145n3>8n63;21`9f=d99=4?:8y>07162=9:70:=738774=:<;=869=>;<6131<3;8168?9::512?825??0??<524354>15634>9;54;309>07362k2i7p};2`394?4|5=8j<7l7b:?7605=0h;0q~:=4b83>7}:<;kj69=>;<610a?4=50ba?24927?>995b9`8yv2515634>98k4m8c9~w143i3:15v3;29`9067<5=83o7:<1:?76=b=<:;019<7e;605>;3:1l18>?4=50:4?24927?>4?54238914>:3>8=63;25`9f=d9854?:8y>07g52=9:70:=a28774=:<;k?69=>;<61e0<3;8168?o9:512?825i>0??<5243c;>15634>9m44;309>072>2k2i7p};25694??|5=8>h7:<1:?760c=<:;019<:f;605>;3:?:18>?4=5055?24927?>;<542389141;3>8=63;2769067<5=8?97l7b:p07ga2909w0:=a`87=`=:<;9<6o6m;|q76g2=832p19<:4;605>;3:>h18>?4=504e?24927?>:754238914?83>8=63;2939067<5=83>7:<1:?766e=j1h0q~:=3983>7}:<;=h6<>89:?766d=j1h0q~:=3e83><}:<;=:6<>89:?7624=99=270:=728242?<5=8<87??789>071228:<563;2649551>34>9;:4>06;8914003;;;452431f>g>e3ty?>;;50;5x9145:32j=63;20c907?d21k>70:=718;e0=:;o9:65o;;<6107f:27?>:<51154?8251j03m>524353>=g4349m>n47a39>072521k87p};27594?1|5=89m76n1:?764e=0h8019<83;3332=:<;3h65o>;<6135?l58`389146l32j>63;26695510349m8;47a39>07?b21k:70:=6d8;e6=:<;>;65o9;|q763?=83=p19<=c;:b5>;3:8o14l<4=5041?77?>16?k:6:9c1?8251o03m952434e>=g234>98=47a59~w141i3:1;v3;23f9f927?>?>58`089140?3;;;:5243c3>=g1349m8k47a39>072621k>70:=528;e3=z{=8=o7>56z?7677=0h8019<88;3332=:<;k;65o=;<1e17:9c0?825=:03m?5rs504`?6=:r7?>5>51154?85a<003m95rs504a?6=:r7?>5?51154?85a5<51154?85a:l51154?825=g33ty?>:750;`x914013;;;:52433b>=g434>9=o47a29>077d21k870:=1e8;e6=:<;;n65o<;<615c523g63>=g334>998<47a09>073621k=70=i308;e0=z{=8>87>53z?7602=99=<70:=3d8;e4=:;o8h65o;;|q76dg=838p19;|q76=5=838p19<7b;3332=:<;=;65o9;|q76=2=838p19<7c;3332=:<;=;65o;;|q76=3=838p19<7d;3332=:<;=;65o=;|q76=0=838p19<7e;3332=:<;=838p19<60;3332=:<;=838p19;|q7616?k:?:9c6?825<:03m<5rs506;>51154?85a=g23ty?>8750;1x914193;;;:523g6:>=g234>98=47a29~w142i3:1?v3;27095510349m8n47a49>072721k:7p};24`94?5|5=8=?7??769>7c2a21k>70:=408;e1=z{=8>o7>53z?7632=99=<70=i538;e0=:<;>:65o=;|q143b=83;;w0=99:9c6?847?=03m8522151>=g2348;;=47a49>650>21k>7065o:;<0326=8>:9c6?847=o03m852217g>=g2348;9n47a49>650b2k2i7p}=07`94?76s48;;l47a09>650d2k2i70=99:9c0?847?=03m>522151>=g4348;;=47a29>650>21k87065o<;<0326=8>:9c0?847=o03m>52217g>=g4348;9n47a29~w764n3:1>v3=0409=47<5;:?<7l7b:p654c2909w0=d;33e==::9?:64?>;<037`>c;296~;58;n18hl4=322g?d?j2wx>=15e348;9<4;3c9~w764l3:1?v3=03a955g?348;9=46109>655c2k2i7p}=00`94?4|5;:9o7:jb:?144d=j1h0q~6}::98i6o6m;<036f<3;k16>=;?:51a?xu58:i1<7=t=321f?77i116>=:i:832?847;j0i4o5rs322e?6=:r795<4s48;>l4m8c9>654e2=9i7053z?147g=99k370=a;6ff>;58831n5l4}r036<<72:q6>=<6:c:a?847:h0??o52216f>15e3ty9<>o50;1x976513;;m552216g><76348;?l4m8c9~w76603:1>v3=03;90`d<5;::47l7b:p654?2908w0<9;297~;58;21==o7;<030f<>9816>==6:c:a?xu588=1<70;6>u22104>g>e348;>54;3c9>652d2=9i7p}=02:94?5|5;:9;7??a99>652e20;:7052z?1471=>6;`;f>{t:99=6=4<{<0373=<8:51a?8478511c;?847=k02=<52216:>g>e3ty93>nn63=0379f=d65522k2i70=839p1?><5;33e==::9?j64?>;<030==4;296~;58:?18hl4=3210?d?j2wx>==;:180847;=0i4o522116>15e348;9l4;3c9~w763?3:1?v3=026955g?348;9446109>65202k2i7p}=03194?4|5;:887:jb:?1475=j1h0q~6}::9986o6m;<0371<3;k16>=;6:51a?xu58=<1<7=t=3207?77i116>=;7:832?847=54d`89765:3h3n6s|2111>5<4s48;??4m8c9>65542=9i7053z?1464=99k370>6o6m;|q1477=838p1?><2;6ff>;58;;1n5l4}r0374<72:q6>==>:c:a?847;;0??o522174>15e3ty9<9:50;1x976493;;m5522175><76348;894m8c9~w76583:1>v3=02390`d<5;:9<7l7b:p65572908w0;3;297~;58::1==o7;<0310<>9816>=:<:c:a?xu588l1<7u2210e>g>e348;?=4;3c9>65322=9i7p}=05094?5|5;:9j7??a99>653320;:7052z?147`=>e;`;f>{t:98n6=4<{<036`=g>e3ty9<nn63=00f9f=d;4?:2y>65412k2i70=6;33e==::9>j64?>;<0372>5;296~;58;<18hl4=3221?d?j2wx>=j;:180847m>0:<:94=32`f?2>m279<>k58`68yv470>0;6?u221g4>1?b348;9?4m8c9~w76?>3:1>v3=0d490=7l7b:p65>22909w0j4;6:a>;58=l1n5l4}r03<6<72;q6>=k<:5;f?8475<5s48;i<4;9d9>652d2k2i7p}=09294?4|5;:n<7:6e:?141d=j1h0q~7}::9om697j;<031g7f;296~;58lo184k4=326e?d?j2wx>=6j:181847mm0?5h52217:>g>e3ty9<5j50;0x976bk3>2i63=04:9f=d65ce2=3n7052z?14`g=<0o01?>:6;`;f>{t:92j6=4={<03a<<31l16>=;::c:a?xu58131<7m279<8:5b9`8yv47010;6?u221fe>1?b348;9>4m8c9~w760n3:1>v3=0eg906}::9o>6<>87:?146b=0h901?>>b;:b5>{t:9n:6=4<{<03a1<68>=01?>;588k14l?4}r03`5<72:q6>=k<:0243>;58:h14l=4=322=?>f92wx>=mi:180847m;0:<:94=320e?>f;279<<658`38yv47kl0;6>u221g2>460?279<>758`189766?32j=6s|21ag>5<4s48;i=4>06589764032j?63=004965ca28:<;63=05;96}::9oo6<>87:?1411=0h901?>=3;:b5>{t:9nj6=4<{<03af<68>=01?>;6;:b7>;58;814l?4}r03`<<72:q6>=km:0243>;58=?14l=4=3215?>f92wx>=j7:180847mh0:<:94=3270?>f;27958`38yv47l>0;6>u221g:>460?279<9=58`189766n32j=6s|21f5>5<4s48;i54>06589763:32j?63=00g965ba28:<;63=053945|5;:oi7:<1:?14a`=<:;01?>j8;605>;58l318>?4=32fe?2492798=63=0df9067<5;:ni7:<1:?14``=<:;01?>j0;605>;58l;18>?4=32f6?2492798=63=0d79067<5;:n:7:<1:?14`1=<:;01?>66;`;f>{t:9386=4={<03g2<3;816>=7;:c:a?xu580;1<70;6?u221`4>1?b348;9n4m8c9~w76fm3:1>v3=0c:90l0;6:a>;58=m>:5;f?847=o0i4o5rs32a6?6=:r795<5s48;o>4;9d9>65042k2i7p}=0c694?4|5;:h87:6e:?1433=j1h0q~7}::9i>697j;<0322m6;296~;58j<184k4=325=?d?j2wx>=o7:181847j00?5h522153>g>e3ty92i63=0609f=d65de2=3n7052z?14ge=<0o01?>86;`;f>{t:9kh6=4={<03fa<31l16>=97:c:a?xu58hn1<7m279<:o5b9`8yv47k10;6>u221a:>460?279>32j=6s|21a4>5<69r79=g6348;;n47a09>651>21k:7065o>;<0336=9>:9c2?847>h03m<52214;>=g6348;:;47a09>650321k:70;<031`=8i:9c2?xu58o:1<716>==8:9c7?xu58o=1<716>=:>:9c7?xu58o21<716>=:=:9c7?xu58o31<716>=:<:9c7?xu58ok1<716>=:;:9c7?xu58oh1<716>=:::9c7?xu58oi1<716>=:9:9c7?xu58on1<716>=:8:9c7?xu58oo1<716>=:7:9c7?xu58ol1<716>=:6:9c7?xu58o;1<716>==7:9c7?xu58o81<716>==6:9c7?xu58o91<716>==n:9c7?xu58o>1<716>==m:9c7?xu58o?1<716>==l:9c7?xu58o<1<716>==k:9c7?xu6=9k1<7=t=073=?d?j27:9=m58`389437032j>6s|1421>5<2s4;><=47a29>50662k2i70?:0d8;e7=:9<:?65o;;<36431;291~;6=8>1==98;<3645j:9c6?873k?03m?5214af>=g33ty:8n;50;0x9436<3>2i63>4b49f=d==4?:7y>507428:<;63>5129;6=jo14l=4}r37g6<72;q6=8?<:5;f?873k=0i4o5rs073b?6=?r7:9<<51154?8728903m952142f>=g434;?o947a39>51e121k870?:cd8;e7=:9=i<65o>;|q20f4=838p1<;>2;6:a>;62;605>;6=8918>?4=0720?24927>=n<54238907d93>8=63:1b29067<5=hi=7:<1:?7f6`=<:;018;2:hi18>?4=40b3?24927>>l65423897ca>3>8=63=eg59067<5;om47:<1:?1ac?=<:;01?kia;605>;5moh18>?4=3geg?249279ikj54238904b=3h3n6s|1426>5<59r7:9?;542389435>3>8=63>53f9067<58?9i7:<1:?217`=<:;01<;<0;605>;6=:;18>?4=0706?24927:9>=542389434<3>8=63>5359067<58?947:<1:?217?=<:;01<;=a;605>;6=;h18>?4=071g?24927:99;542389433>3>8=63>55f9067<58??i7:<1:?211`=<:;01<;:0;605>;6=<;18>?4=0766?24927:98=542389432<3>8=63>5559067<58??47:<1:?211?=<:;01<;;a;605>;6==h18>?4=077g?24927:9=85b9`8yv728k0;68=63>56g9067<58?;6=1818>?4=07;7?24927:95:542389430?3>8=63>56:9067<58?<57:<1:?212g=<:;01<;8b;605>;6=>i18>?4=073g?d?j2wx=8>8:1825~;6=ki18>?4=07a`?24927:9n:54238943d=3>8=63>5b49067<58?h;7:<1:?21f>=<:;01<;l9;605>;6=jk18>?4=07`f?24927:9ok54238943en3>8=63>5b29067<58?h=7:<1:?21f4=<:;01<;l3;605>;6=921n5l4}r364a<72;q6=8ml:512?8728l0i4o5rs0737?6=1r7:94;54238943>>3>8=63>5859067<58?247:<1:?21;6=0h18>?4=07:g?24927:9=:5b9`8yv72km0;6?u214a`>1?b34;>oh4m8c9~w42an3:18v3>5009551>34;>=>4>06;89436<3;;;4521423>g>e3ty:95j50;0x943>=3>2i63>4e:9f=d4h4?:3y>50?12=3n70?;d88a52z?21<1=<0o01<:ka;`;f>{t9<3;6=4={<36==<31l16=9jm:c:a?xu6=0;1<7m27:8im5b9`8yv721;0;6?u214;b>1?b34;?hi4m8c9~w43>;3:1>v3>58`90oi7l7b:p50?32909w0?:9b87=`=:9=nm6o6m;|q2133=838p1<;85;6:a>;65<5s4;>;h4;9d9>51e?2k2i7p}>57d94?4|58?7}:9<2;697j;<37ggg>e3ty:9:=50;0x943?;3>2i63>4bg9f=d;94?:3y>50>32=3n70?;cg8a52z?2121=<0o01<:k0;`;f>{t9<<<6=4={<363=<31l16=9j>:c:a?xu6=?21<7m27:8i<5b9`8yv72>00;6?u2145b>1?b34;?h>4m8c9~w431i3:1>v3>56`90o87l7b:p500e2909w0?:7b87=`=:9=n>6o6m;|q21db=838p1<;mc;6:a>;65<5s4;>o84;9d9>51c72k2i7p}>5c494?4|58?h:7:6e:?20`7=j1h0q~?:b683>7}:9g>e3ty:9oo50;0x943di3>2i63>4d79f=dno4?:3y>50ee2=3n70?;e78a52z?21g`=<0o01<:j8;`;f>{t9m27:8ho5b9`8yv72j80;6?u214a1>1?b34;?io4m8c9~w43e:3:1>v3>5b190no7l7b:p50ed29098v3>5ba9551034;>506721k970?:0d8;e4=:9=i?65o<;<37g3=g634;?hl47a09>51be21k:70?;db8;e4=:9=no65o>;<37``03m?525335>=g234;?ok47a09>51eb21k:70?;ce8;e4=:9=ih65o>;<37gg=g634;?h;47a09>51b321k:70?;d28;e4=:9=n965o>;<37`4=g634;><547a59>506321k870?:078;e6=z{8?>97>512y>501228:<;63>53790m<76n6:?20f`=0h901<:le;:b7>;6f;27:8nl58`18942di32j?63>4b:9o;76n3:?20a0=0h901<:k4;:b7>;6f;27:8i?58`18942c832j?63>4b;9o976n3:p503d290?w0?:7782421<58?9:7:6e:?20cb=0h<01<:l9;:b6>{t9=01<;=d;6:a>;6f:2wx=8;j:187872?l0:<:94=071a?2>m27:8hh58`48942c?32j>6s|147e>5<3s4;>;k4>06589435n3>2i63>4g39h476n2:p5007290?w0?:8182421<58?8<7:6e:?20c4=0h<01<:la;:b6>{t9<<:6=4;{<36<4<68>=01<;<1;6:a>;6f:2wx=88=:1878720;0:<:94=0706?2>m27:8k:58`48942dk32j>6s|1440>5<3s4;>4>4>06589434;3>2i63>4g79hh76n2:p5003290?w0?:8582421<58?887:6e:?20c0=0h<01<:le;:b6>{t9=01<;=7;6:a>;6f:2wx=8;8:187872?10:<:94=071m27:8k658`48942c832j>6s|147;>5<3s4;>;44>0658943513>2i63>4g;9o=76n2:p503>290?w0?:7`82421<58?9m7:6e:?20cg=0h<01<:k2;:b6>{t9=01<;=b;6:a>;6f:2wx=8;m:187872?j0:<:94=071g?2>m27:8km58`48942c<32j>6s|14;g>5<4s4;>nn4>0658942a832j?63>4b;9m94?:2y>50dc28:<;63>4gf9o:76n5:p50g22908w0?:c582421<58>mi76n3:?20a1=0h?0q~?:a783>6}:96<>87:?20``=0h901<:l8;:b1>{t9=01<:i1;:b7>;6;6f=2wx=8o6:180872k10:<:94=06e7?>f;27:8nm58`78yv72ih0;6>u214a:>460?27:8k:58`18942dl32j96s|14ca>5<4s4;>ol4>0658942a=32j?63>4bg9mn4?:2y>50ee28:<;63>4g49hj76n5:p50?b2908w0?:bd82421<58>m;76n3:?20a6=0h?0q~?:9g83>6}:987:?20c>=0h901<:k1;:b1>{t9=01<:i9;:b7>;6:0243>;6f=2wx=8o=:180872k;0:<:94=06ef?>f;27:8i:58`78yv72i:0;6>u214a0>460?27:8km58`18942c=32j>6s|1416>5<5s4;>884>0658942a832j86s|141`>5<5s4;>8;4>0658942al32j86s|141g>5<5s4;>8i4>0658942am32j86s|141f>5<5s4;>8h4>0658942bn32j86s|141e>5<5s4;>8k4>0658942a932j86s|1463>5<5s4;>9=4>0658942a:32j86s|1462>5<5s4;>9<4>0658942a;32j86s|1461>5<5s4;>9?4>0658942a<32j86s|1460>5<5s4;>9>4>0658942a=32j86s|1467>5<5s4;>994>0658942a>32j86s|1415>5<5s4;>8:4>0658942a?32j86s|1414>5<5s4;>854>0658942a032j86s|141;>5<5s4;>844>0658942a132j86s|141:>5<5s4;>8l4>0658942ai32j86s|141b>5<5s4;>8o4>0658942aj32j86s|141a>5<5s4;>8n4>0658942ak32j86s|1436>5<4s4;>>84>06589433=3>2i63>4g29=n4?:2y>504128:<;63>55490mh76n5:p507c2908w0?:2e82421<58??h7:6e:?20cc=0h?0q~?:1d83>6}:9<8n6<>87:?211c=<0o01<:jf;:b1>{t9<;m6=4<{<366c<68>=01<;;f;6:a>;6;6=<:184k4=06e6?>f=2wx=8<>:180872;80:<:94=0765?2>m27:8k=58`78yv72:;0;6>u21411>460?27:98<548g8942a<32j96s|1400>5<4s4;>?>4>06589432;3>2i63>4g79>94?:2y>505328:<;63>54690m:76n5:p50712908w0?:2682421<58??;7:6e:?20c1=0h?0q~?:1683>6}:9<836<>87:?211>=<0o01<:i8;:b1>{t9<;36=4<{<366<<68>=01<;;9;6:a>;6;6==k184k4=06ee?>f=2wx=8?n:180872:k0:<:94=077f?2>m27:8kl58`78yv729k0;6>u2140`>460?27:99m548g8942ak32j96s|14:5>5<5s4;>5;4>0658942c032j>6s|14:4>5<5s4;>5:4>0658942c132j>6s|14:;>5<5s4;>554>0658942ci32j>6s|14::>5<5s4;>544>0658942cj32j>6s|14:b>5<5s4;>5l4>0658942ck32j>6s|14:a>5<5s4;>5o4>0658942cl32j>6s|14:`>5<5s4;>5n4>0658942cm32j>6s|14:6>5<69r7:94;51154?873ko03m95215af>=g334;?oi47a59>51ed21k?70?;cc8;e1=:9=ij65o;;<37g==g334;?h>47a59>51b521k?70?;d08;e1=:9=n;65o;;<37g<m2799=:5b9`8yv42=k0;6?u2244`>1?b348><>4m8c9~w732i3:1>v3=57`907l7b:p603>2909w0<:6`87=`=::<::6o6m;|q110>=838p1?;99;6:a>;5=9:1n5l4}r0612<72;q6>887:5;f?843no0i4o5rs3751?6=:r799:;548g8972am3h3n6s|2447>5<5s48>;94;9d9>61`c2k2i7p}=57194?4|5;?7}::<=9697j;<07bg;184k4=36ee?d?j2wx>88?:181842?90?5h5225d:>g>e3ty998h50;0x9731n3>2i63=4g59f=d9h4?:3y>600b2=3n70<:078ah7>52z?1131=<0o01?;?5;`;f>{t:9h7:c:a?xu5==i1<7=t=375`?77?>16>9h9:9c5?842:;03m=5rs377f?6=;r799;m51154?843n?03m>522403>=g73ty999o50;1x9731j3;;;:5225d5>=g6348>=h47a19~w73313:1?v3=57c95510348?j;47a39>607d21k;7p}=55:94?5|5;?=57??769>61`221k=70<:1`8;e5=z{;??;7>53z?113>=99=<70<;f48;e0=::<;365o?;|q1103=839p1?;85;3332=::=l>65o;;<0671>1==98;<07b08==:9c3?xu5=<91<7=t=3747?77?>16>9h::9c2?842;903m=5rs3766?6=;r799:<51154?843n<03m?52240f>=g73ty998?50;1x973093;;;:5225d7>=g1348>>n47a19~w73283:1?v3=56295510348?j947a49>604f21k;7p}=55d94?5|5;?=j7??769>61`321k?70<:298;e5=z{;??i7>53z?113c=99=<70<;f58;e6=::<8=65o?;|q111b=839p1?;97;3332=::=l?65o>;<06618>7:9c2?xu50;6?u2241b>g>e348><>47a49~w72b>3:1>v3=52;9f=d<5;?;>76n5:p61c22909w0<:398a;5=9:14l;4}r07a6<72;q6>8=9:c:a?843no03m85rs36e5?6=:r7999:5b9`8972am32j96s|25d3>5<5s48>8>4m8c9>61`c21k>7p}=4dd94?4|5;??>7l7b:?10ce=0h?0q~<;ed83>7}::<>:6o6m;<07bgf=2wx>9kl:181842;o0i4o5225d:>=g23ty98hl50;0x9734m3h3n63=4g59605c2k2i70<:078;e0=z{;>n57>52z?116e=j1h01?;?5;:b1>{t:=o96=4={<06709h7:9c6?xu59h9:c:a?843n>03m9522425>=g3348><847a59>61`?21k?70<;f88;e1=::=lj65o;;<07bg9hl:9c7?843nm03m95225df>=g3348?jk47a59>606721k?70<:008;e1=::<:965o;;<06418><:9c7?xu5=9=1<7=t=3733?d?j2799=k542`89734k3>8n6s|2400>5<4s48>

    0`:89734k33:=63=5369f=d606b2k2i70<:0g877g=::<9o69=m;|q1173=839p1?;?f;33e==::<9o64?>;<0663k542`8yv42:>0;6>u22433>46f02799>k59038973503h3n6s|2433>5<4s48>==4m8c9>60762=9i70<:3g877g=z{;?957>53z?1147=99k370<:3g8:54=::<8j6o6m;|q1147=839p1?;>1;`;f>;5=8818>l4=3774?24j2wx>8u22431>g>e348>=>4;3c9>60262=9i7p}=53f94?5|5;?:?7??a99>602620;:70<:2d8a53z?1145=j1h01?;>4;60f>;5==818>l4}r066c<72:q6>8?;:02b<>;5==8158?;:1808429=0i4o522436>15e348>8>4;3c9~w73493:1?v3=507955g?348>8>46109>60552k2i7p}=50794?5|5;?:97l7b:?1140=<:h01?;;4;60f>{t:<986=4<{<0653<68h201?;;4;;25>;5=:>1n5l4}r0653<72:q6>8?9:c:a?842800??o522415>15e3ty99<950;1x973713;;m5522415><76348>=54m8c9~w73713:1?v3=51;9f=d<5;?;m7:6}::<:j6<>n8:?1161=18;01?;>a;`;f>{t:<:j6=4<{<064d8>m:51a?842;10??o5rs372f?6=;r799=l511c;?842;102=<52243`>g>e3ty99=l50;1x9737j3h3n63=51a906d<5;?857:<5;?8577>1:?114c=j1h0q~<:0b83>6}::<:h6o6m;<064a<3;k16>8=n:51a?xu5=8l1<7=t=373`?77i116>8=n:832?842:90i4o5rs373`?6=:r799=j5b9`89734j3>8n6s|2402>5<5s48>?o46109>60452k2i7p}=5d794?4|5;?n57:6e:?11f?=j1h0q~<:e583>7}::8k=:181842m?0?5h5224ab>g>e3ty99h?50;6x973b13;;;:5224a4>=g6348>o547a09>60b121k;7p}=5d294?2|5;?n47??769>60e021k970<:c98;e7=::p1?;j7;3332=::8j=:9c3?xu5=mo1<7:t=37f2?77?>16>8m8:9c7?842kl03m<5224a;>=g33ty99n?50;0x973cl3>2i63=5b:9f=do84?:3y>60bf2k2i70<:c88;e1=z{;?h87>52z?11a?=j1h01?;lc;:b0>{t:8mm:9c7?xu5=j81<7g>e348>ol47a09>60e>21k:70<:cb8;e4=::;|q11ad=83?p1?;j6;605>;5=l=18>?4=37f5<4s48>oi4m8c9>60ea2=9i70<:d9877g=z{;?o=7>53z?11f`=99k370<:d98:54=::;5=m:18>l4=37g=?24j2wx>8j<:180842l90:g>e348>hl4;3c9~w73c=3:1>v3=5ec9=47<5;?o:7l7b:p61?02909w0<;9c87=`=::==36o6m;|q10<0=838p1?:6a;6:a>;5<>n1n5l4}r07=0<72;q6>976:5;f?843?j0i4o5rs36:0?6=:r79846548g8972013h3n6s|25;0>5<2s48?5o4>06589720?32j=63=46`93j76n3:?10=1=0h:0q~<;9383>0}::=3j6<>87:?1021=0h801?:8b;:b7>;5<1l14l:4=36;1?>f82wx>97>:186843100:<:94=3643?>f;2798:l58`68972?n32j963=491961??28:<;63=4659{t:==>6=4={<07997:9c1?xu5<>>1<7g>e348?;n47a39~w720:3:1>v3=49:9f=d<5;><576n2:p6111290>w0<;768a;<073a99l:9c2?843?003m<5rs36;g?6==r7984654238972>13>8=63=48c9067<5;>2n7:<1:?10=b=j1h0q~<;7d83>6}::==n6o6m;<07<5<3;k16>966:51a?xu5<181<7=t=36;4?77i116>966:832?8430:0i4o5rs36;4?6=;r7985>5b9`8972?93>8n63=49c906d61>628:j463=49c9=47<5;>397l7b:p61>62909w0<;808a;5<1=1n5l4}r073d<72997:9c7?843?m03m952255`>=g3348?;447a59>611e2k2i7p}=58194?3|5;?287l7b:?11<5=0h?01?;6c;:b6>;5=0k14l<4=37;b?>f<2wx>86;:18:8420m0:<:94=37:0?>f<2799:l58`089730k32j963=56f9;5=0214l84}r06<6<72jq6>86l:0243>;5=0>14l=4=374f?>f<2799:m58`689730m32j=63=56f901?;66;:b7>;5=0214l;4=374=?>f92799:o58`08yv420;0;6nu224:a>460?27994:58`089730j32j?63=56a9;5=1:14l;4=37:2?>f:27994658`689730132j>63=56c94<4?:cy>60>f28:<;63=5869;5=>n14l?4=374b?>f:27995>58`38973>>32j=63=58:9v3=4`190=m7l7b:p61012909w0<;a387=`=::=<26o6m;|q1033=838p1?:n1;6:a>;59o>:512?843i;0??<5225c0>156348?:n4m8c9~w72ck3:1>v3=4e`90oh7l7b:p61ba2909w0<;dd87=`=::=nm6o6m;|q10fg=838p1?:k8;6:a>;59j8:5;f?843km0i4o5rs36`5<5s48?h84;9d9>61ee2k2i7p}=4bd94?3|5;>o97:<1:?10a0=<:;01?:k7;605>;5?4=36g4?d?j2wx>8l9:181842jl0:<:94=37ba?2>m2wx>8l::181842jm0:<:94=37b`?2>m2wx>8l;:181842jj0:<:94=37bg?2>m2wx>8l<:181842jk0:<:94=37bf?2>m2wx>8l=:181842jh0:<:94=37be?2>m2wx>8l>:181842j00:<:94=37b=?2>m2wx>8l?:181842j10:<:94=37bm2wx>8oi:181842j>0:<:94=37b3?2>m2wx>8o9:181842il0:<:94=374e?>f>2wx>8o::181842im0:<:94=374=?>f>2wx>8o;:181842ij0:<:94=374e?>f<2wx>8o<:181842ik0:<:94=374=?>f<2wx>8o=:181842ih0:<:94=374e?>f=2wx>8o>:181842i00:<:94=374=?>f;2wx>8o?:181842i10:<:94=374e?>f;2wx>87i:181842i>0:<:94=374=?>f=2wx>87k:18;8421m0:<:94=37:7?>f>2799:m58`08973?832j>63=58a9{t:<326=4;{<06=<<68>=01?;66;:b1>;5=0214l?4=37:e?>f>2wx>86j:18:842i>0??<5224c;>156348>m44;309>60gf2=9:70<:ac8774=::;<06ea<3;816>8oj:512?8420o0i4o5rs37:a?6=:r7994j548g8973?83h3n6s|2454>5<5s48>;o47a09>601?2k2i7p}=59794?4|5;?ij7:6e:?112d=j1h0q~<:8883>7}::<2o697j;<063c868:1818420k0?5h52245g>g>e3ty995850;0x973?i3>2i63=56a9f=d5=4?:8y>60d02=9:70<:b98774=::;<06fd<3;816>8lm:512?842jj0??<5224`g>156348>nh4;309>60?62k2i7p}=58794?4|5;?2o76n3:?11<0=j1h0q~<:9683>7}::<336o6m;<06=d?4=37:7?d?j2wx>9j;:180843l10:<:94=36`a?>f;2798io58`18yv43l:0;69u225f4>460?2798nj58`18972dm32j:63=4ec961b128:<;63=4ba9hh76n5:?10fc=0h?01?:ka;:b0>{t:=n:6=4:{<07`0<68>=01?:lc;:b7>;5f<2798nl58`38yv43l00;65u225a6>1?b348?hi47a39>61ba21k>70<;d882421<5;>i976n3:?1026=0h?01?:9c;:b2>;59jk:9c0?843kj03m?5225ag>=g5348?oh47a39>61b721k970<;cc8;e6=::=nm65o;;<072f98j:9c7?843lh03m?5225:f>460?2wx>97l:18f8430m03m<52255;>=g4348?;i47a29>611d21k870<;788;e6=::=ih65o>;<07ga9mj:9c2?843l903m<5225aa>=g5348?;o47a09>61bf21k:70<;9b82421<5;>3j76n1:p61be290iw0<;dc82421<5;>=m76n4:?10ab=0h;01?:99;:b7>;5f92798o;58`689720832j863=47a9=i76n2:?100q~<;dd83>=}::=nn6<>87:?10ab=0h>01?:kf;:b6>;5f92798;m58`689721m32j963=48f961g428:<;63=47c9n<76n1:p61?a290?w0<;a382421<5;>=m76n2:?103?=0h801?:j0;:b6>{t:=3n6=4:{<07e4<68>=01?:9a;:b7>;5f92798h>58`18yv43jm0;6>u225a7>1?b348?o84>0658972e;3>2i6s|25``>5<4s48?o>4;9d9>61e328:<;63=4c09061e52=3n70<;c282421<5;>i=7:6e:p61df2908w0<;c087=`=::=i96<>87:?10g6=<0o0q~<;b883>6}::=i;697j;<07g4<68>=01?:nf;6:a>{t:=h36=4<{<07fc<31l16>9m?:0243>;59lj:5;f?843jo0:<:94=36b`?2>m2wx>9l9:181843jl0:<:94=36bg?2>m2wx>98k:18:843jl0??<5225`e>156348?o=4;309>61e62=9:70<;c38774=::=i869=>;<07g1<3;816>9m::512?843>l0i4o5rs365b?6=1r798lm54238972fl3>8=63=4`g9067<5;>jj7:<1:?10g6=<:;01?:m1;605>;5?4=36a7?2492798:>5b9`8yv44n80;68=63=41f9067<5;>;i7:<1:?105`=<:;01?:>0;605>;5<8;18>?4=3626?2492798<=542389727>3>8=63=4159067<5;>;47:<1:?105?=<:;01?:?a;605>;5<9h18>?4=31e6?d?j2wx>>h;:1818438=0:<:94=36ef92wx>>hm:1818438<0:<:94=3731?>f92wx>>hl:1818438j0:<:94=3732?>f92wx>>hk:1818438m0:<:94=36e3?>f92wx>>hj:1818438l0:<:94=36e=?>f92wx>>hi:1818438o0:<:94=36ee?>f92wx>9>?:181843990:<:94=36ef?>f92wx>9>>:181843980:<:94=36eg?>f92wx>9>=:1818439;0:<:94=36e`?>f92wx>9><:1818439:0:<:94=36ea?>f92wx>>h::1818438?0:<:94=36eb?>f92wx>>h9:1818438>0:<:94=3734?>f92wx>>h8:181843810:<:94=3735?>f92wx>>h7:181843800:<:94=3736?>f92wx>>h6:1818438h0:<:94=3737?>f92wx>>hn:1818438k0:<:94=3730?>f92wx>9?;:187843=k03m?5225`6>=g6348?>=47a29>617328:<;6s|22d0>5<5s488j>4>06589726=32j=6s|251:>5<5s48??44>06589047n32j96s|256b>5<5s48?9?4>06589047n32j86s|256a>5<5s48?9>4>065896g?n32j86s|256`>5<5s48?994>065896g>k32j86s|256g>5<5s48?984>065896g>n32j>6s|256f>5<5s48?9;4>065896gf832j>6s|256e>5<5s48?9:4>065896gf;32j>6s|2573>5<5s48?954>065896gf=32j>6s|2572>5<5s48?944>065896gf?32j>6s|24fg>54l4;309>60>e2=9:70<:8b8774=::<2o69=>;<06fc<3;816>8jk:0243>;5=0>14l;4=37;4?>f;27994858`68973>032j>6s|2466>5<1s48>884>0658972?l32j>63=4e29{t:<3i6=4<{<06=g<68>=01?;6c;:b0>;4i0i14l;4}r07f1<72=q6>>h<:5;f?843j=0:<:94=36a1?>f:2798<;58`18yv43i=0;6?u225c`>460?2798??548g8yv43i<0;6?u225cg>460?2798?<548g8yv43i?0;6?u225cf>460?2798?=548g8yv43i>0;6?u225ce>460?2798?:548g8yv43i10;6?u225`3>460?2798?;548g8yv43i00;6?u225`2>460?2798?8548g8yv43ih0;6?u225`1>460?2798?9548g8yv43ik0;6?u225`0>460?2798?6548g8yv43;k0;6>u2251`>g>e348??o47a09>615b21k:7p}=44d94?e|5;>9=7<;1:?1074=:=;01?:=3;075>;5<;>1>9?4=3611?4392798?6525389725>38?=63=4359617<5;>=?7??769>615d21k970<;1g8;e4=::=9m65o>;|q100c=83np1?:=1;003>;5<;81>>94=3617?44?2798?:522589725=388;63=43:9661<5;>9:7<<7:?1071=::=01?:92;3332=::=9h65o;;<075`9?i:9c7?843;o03m95rs366`?6=mr798??523f89725:389h63=431967b<5;>987<=d:?1073=:;n01?:=8;01`>;5<;<1>?j4=3613?45l2798;?51154?843;k03m>52253f>=g4348?=k47a29>617c21k:70<;3d8;e7=z{;>>o7>5fz?1077=:;301?:=2;01=>;5<;91>?74=3610?4512798?;523;897250389563=434967?<5;>9;7<=9:?1036=99=<70<;3c8;e0=::=;n65o=;<075c9?k:9c1?843;l03m852253`>=g73ty989;50;ax972593=;h63=430935b<5;>9?79?d:?1072=?9n01?:=5;53`>;5<;21;=j4=3612?17l2798?9571f8972313;;;:52251`>=g6348?=o47a09>615a21k97p}=45694?b|5;>9=79?c:?1074=?9i01?:=3;53g>;5<;>1;=m4=3611?17k2798?6571a89725>3=;o63=435935e<5;>?47??769>615d21k870<;1`8;e4=::=;i65o;;<077c9479?b:?1070=?9h01?:=7;53f>;5<==1==98;<077g9?n:9c0?8439k03m>52253:>=g6348??h47a29~w723:3:1jv3=433935g<5;>9>79?a:?1075=?9k01?:=4;53e>;5<;?1;=o4=361617f21k970<;1c8;e7=::=;265o=;<077`9?7:9c3?xu5<::1<7m27981?b348?=h4m8c9~w725k3:1>v3=47390:h7l7b:p614f2909w0<;6187=`=::=;h6o6m;|q107`=838p1?:;9;6:a>;5<8h1n5l4}r076a<72;q6>9:7:5;f?8439h0i4o5rs361f?6=:r79899548g8972613h3n6s|250:>5<5s48?8;4;9d9>617?2k2i7p}=42f94?5|5;>>n76n1:?106c=j1h01?:=0;:b0>{t:=9j6=4<{<077<<31l16>9=m:c:a?843<803m<5rs3674?6==r79898542389723?3>8=63=45:9067<5;>?57:<1:?1017=j1h0q~<;5`83>0}::=<;69=>;<0724<3;816>98=:512?843>:0??<52257a>g>e3ty98<950;;x972593;:9?522501>472:2798?=51071?843:=0:=8<4=3611?76=;16>9<7:0366>;5<;<1=<;=;<0762<69<801?:=0;`;f>{t:=9:6=4={<0717<31l16>9<>:62:?xu5<:81<7m2798?<571;8yv43;:0;6?u22577>1?b348?>>48089~w724<3:1>v3=447909879?9:p61522909w0<;5787=`=::=8>6:>6;|q1060=838p1?::7;6:a>;5<;<1;=74}r0772<72;q6>9;7:5;f?843:>0<<45rs3605<5s48j;44m8c9>6;509>14l<4=35ee?>f:279;k758`38971am32j963=8129;<04bf5>;:9c2?840nh03m>5226d:>=g43486=6721k:70<8b38a>7>59z?1<50=0h?01?6?2;:b0>;5?oi14l:4=3:30?>f;279;ko58`78971a132j963=7gg9<}::1:=65o<;<0;47:hl:9c6?84?8=03m95226db>=g334862`b21k?70<7018;e7=::>k96o6m;|q1207=833p1?6?6;:b0>;509814l=4=35eg?>f;2794=:58`78971ai32j=63=7g;9{t:ko;6=49{<04e`:l=:9c2?840i;03m<5226c5>=g63486gc52k2i7p}=9g794?2|5;3m:7l7b:?1=c2=0h901?o91;:b7>;5i4h;:9c2?84>l:0i4o5228f2>=g43ty9n?o50;3384e:l0i4o5226:a>=g5348<4l47a39>62>>21k970<8898;e7=::>2<65o=;<04<3:6;:9c1?8401803m?5226;3>=g5348<4k47a39>62>b21k970<88e8;e7=::>2h65o=;<04<0;6;:9c6?xu5>191<7g>e348=4>4>0`:8yv411;0;6?u227;1>g>e348=4>4;ec9~w70?:3:1>v3=6909f=d<5;<3?7:f2909w0<98`8an8:p63?62909w0<9908a;5>1818>l4}r05<<<72;q6>;66:c:a?841080:;7?:c:a?841080?io5rs34;4?6=:r79:5>5b9`8970?93>8n6s|27:;>5<5s48=454m8c9>63>728:j46s|27:e>5<5s48=4k4m8c9>63>72=oi7p}=66d94?4|5;<7}::?2<6o6m;<053c<68h20q~<98d83>7}::?2n6o6m;<053c<3mk1v?88e;296~;5>>o1n5l4=344b?24j2wx>;69:1818410?0i4o52275f>46f02wx>;6k:1818410m0i4o52275f>1ce3ty9::j50;0x9700l3h3n63=66g906d63>22k2i70<97e824d>63>d2k2i70<97e87ag=z{;52z?12g3=j1h01?8m6;33e==z{;52z?12gb=j1h01?8m5;33e==z{;7>52z?12f4=j1h01?8m5;6ff>{t:?h?6=4={<05f1;l::51a?xu5>ki1<7j;1<7g>e348=n94;3c9~w70ej3:1>v3=6c`9f=d<5;v3=6b29f=d<5;;5>k81==o7;|q12g`=838p1?8mf;`;f>;5>k818hl4}r05f4<72;q6>;l>:c:a?841j;0??o5rs34a=?6=:r79:o75b9`8970e93;;m55rs34aa?6=:r79:ok5b9`8970e93>nn6s|27c5>5<4s48=m;4m8c9>652z?12dd=j1h01?8n6;33e==z{;52z?12g6=j1h01?8n6;6ff>{t:?k>6=4={<05e0;o9:51a?xu5>hk1<7hl1<7g>e348=m84;3c9~w70f13:1>v3=6`;9f=d<5;v3=6`g9f=d<5;=838p1?8n8;`;f>;5>h91==o7;|q12db=838p1?8nd;`;f>;5>h918hl4}r05e7<72;q6>;o=:c:a?841i:0??o5rs34b3?6=:r79:l95b9`8970f:3;;m55rs34bg?6=:r79:lm5b9`8970f:3>nn6s|27;4>5<4s48=5:4m8c9>652z?1252z?12d7=j1h01?867;6ff>{t:?3=6=4={<05=3;78:51a?xu5>0h1<7h:1<7g>e348=5;4;3c9~w70>i3:1>v3=68c9f=d<5;<297??a99~w70>n3:1>v3=68d9f=d<5;<297:jb:p63?32909w0<9958a69=m;|q12;5>0>1==o7;|q12;5>0>18hl4}r05=6<72;q6>;7<:c:a?8411=0??o5rs34:;3;;m55rs34:`?6=:r79:4j5b9`8970>;3>nn6s|266a>5<5s48<8o4m8c9>62042=9i7p}=74f94?5|5;=>h7l7b:?131d=<:h01?992;60f>{t:>?i6=4<{<041g:;k:51a?840>m0??o5rs356=?6=;r79;875b9`89712j3>8n63=77a906d62302k2i70<858877g=::>;5?<=18>l4=355e?24j2wx>:;<:180840=:0i4o522676>15e348<:44;3c9~w71293:1?v3=7439f=d<5;=>?7:=<:h0q~<84g83>6}::>>m6o6m;<0414<3;k16>:88:51a?xu5?=n1<7=t=357`?d?j279;9h542`89711>3>8n6s|266b>5<4s48<8l4m8c9>622c2=9i70<864877g=z{;=>j7>53z?131g=99k370<8608:54=::><;6o6m;|q1371=838p1?9=7;`;f>;5?:l18>l4}r047<<72:q6>:=6:c:a?840:>0??o52261f>15e3ty9;>950;1x9714?3h3n63=72;906d<5;=?57:9<69=m;<040=<3;k1v?9<3;297~;5?:91n5l4=3501?24j279;99542`8yv40;80;6>u22612>g>e3484;3c9>62212=9i7p}=73d94?5|5;=9j7l7b:?1367=<:h01?9;5;60f>{t:>8o6=4<{<046a:8n63=751906d44?:2y>624>2k2i70<82c877g=::>>969=m;|q1370=839p1?9=6;`;f>;5?;318>l4=3575?24j2wx>:=m:180840:?0:m5b9`8yv41l;0;6?u227f1>g>e348=ih4;3c9~w70c83:1?v3=6e29f=d<5;7:6}::?in6o6m;<05`5<3;k16>;kl:51a?xu5>ji1<7=t=34`g?d?j279:nk542`8970bj3>8n6s|27ab>5<4s48=ol4m8c9>63ed2=9i70<9e`877g=z{;53z?12`2=j1h01?8la;60f>;5>l318>l4}r05a7<72:q6>;k=:c:a?841m=0??o5227d;>15e3ty9:h>50;1x970b83h3n63=6d0906d<5;mi1n5l4=34ga?24j279:k;542`8yv41lh0;6>u227fb>g>e348=hn4;3c9>63`32=9i7p}=6e:94?5|5;{t:?n=6=4<{<05`3;j7:51a?841n;0??o5rs34g0?6=;r79:i:5b9`8970c>3>8n63=6g3906d63e>2k2i70<9d5877g=::?l;69=m;|q12`0=839p1?8l9;33e==::?o364?>;<05a2:?::1808409<0i4o522620>15e348<=l4;3c9~w716;3:1?v3=7019f=d<5;=:97:6}::>;:6o6m;<0456<3;k16>:<;:51a?xu5?9l1<7=t=353b?d?j279;8n6s|262g>5<4s48<626a2=9i70<823877g=z{;=;n7>53z?135d=j1h01?9?d;60f>;5?;;18>l4}r044<<72:q6>:>6:c:a?8408k0??o522603>15e3ty9;=950;1x9717?3h3n63=71;906d<5;=:j7::<69=m;<045`<3;k1v?9?2;297~;5?981n5l4=3531?24j279;0;6>u22621>46f0279;<759038971603h3n6s|2`:2>5<4s48j4;4>06589717932j=63=9b796d>228:<;63=71393}::h2?6<>87:?1357=0h>01?9?0;:b0>;5>ol14l?4=3c43?>f<279m:658`68yv4f?l0;6:u22`:0>460?279;=?58`189717832j?63=6gd9{t:h=o6=47{<0b<7<68>=01?9?1;:b6>;5?9:14l<4=34eb?>f:279:kk58`0897g0?32j>63=a6:9;5>?218>l4=344e?24j2wx>;9>:180841?80i4o522744>46f0279::759038yv41>?0;6>u22745>g>e348=::4;3c9>631>2=9i7p}=66294?5|5;<<<7l7b:?1230=99k370<9798:54=z{;<=97>53z?1233=j1h01?896;60f>;5>>218>l4}r052c<72:q6>;8i:c:a?841><0:;8;:180841>=0i4o522746>15e348=;:4;3c9~w701m3:1?v3=67g9f=d<5;<=87??a99>631120;:7p}=67194?5|5;<=?7l7b:?1232=<:h01?886;60f>{t:?;8<:02b<>;5>>?15;8=:c:a?841>:0??o522756>15e3ty9:;m50;1x9701k3h3n63=670955g?348=;946109~w70193:1?v3=6739f=d<5;<=>7:6}::?{t:?<;6=4<{<0525;8>:51a?841?:0??o5rs345e?6=;r79:;o5b9`8970183;;m5522751><763ty94lh50;0x97>d=33:=63=8c29f=d4?:3y>6=g42k2i70<7c4877g=z{;2jh7>53z?1;50h918>l4=3:`0?24j2wx>5m>:18084?ij0:u229ca>g>e3483mn4;3c9>6=eb2=9i7p}=8cd94?5|5;2jn7??a99>6=ec20;:70<7c18a53z?1;50jn18>l4}r0;fa<72:q6>5on:02b<>;50ji155o6:18084?i00i4o5229cb>15e3483on4;3c9~w7>ej3:1?v3=8`;955g?3483oo46109>6=dd2k2i7p}=8`:94?5|5;2j47l7b:?1{t:1h26=4<{<0;e=<68h201?6la;;25>;50kk1n5l4}r0;e2<72:q6>5o8:c:a?84?i10??o5229ab>15e3ty94o950;1x97>f?3;;m55229a:><763483n54m8c9~w7>f>3:1?v3=8`49f=d<5;2j;7:6}::1k=6<>n8:?1=18;01?6m6;`;f>{t:1k>6=4<{<0;e05o9:51a?84?k10??o5rs3:a7?6=;r794l;511c;?84?k>02=<5229`7>g>e3ty94l:50;1x97>f<3h3n63=8`7906d<5;2h;7:<5;2h:77>1:?16}::1k:6o6m;<0;e1<3;k16>5m9:51a?xu5jk81<7;t=3`a3?77?>16>:k7:9c6?84f?>03m<522`5:>=g63482o947a29~w7>3;3:1>v3=84;9=47<5;2?87l7b:p6=502909w0<7368a;<0;07u22963>46f02794;<5903897>2>3h3n6s|291e>5<4s483?k4m8c9>6=272=9i70<763877g=z{;2>?7>53z?1<6`=99k370<7608:54=::1??6o6m;|q1<6c=839p1?6;50:l18>l4=3:55?24j2wx>5;>:18084?;l0:u2291g>g>e3483?h4;3c9>6=072=9i7p}=85d94?5|5;28h7??a99>6=3a20;:70<7518a53z?1<6e=j1h01?6;50l4}r0;0a<72:q6>5=l:02b<>;505=m:18084?;k0i4o52291`>15e34839h4;3c9~w7>3j3:1?v3=82`955g?34839i46109>6=2d2k2i7p}=82c94?5|5;28m7l7b:?1<6d=<:h01?6:d;60f>{t:1>26=4<{<0;7d<68h201?6:c;;25>;50=k1n5l4}r0;7<<72:q6>5=6:c:a?84?;h0??o52297`>15e3ty949950;1x97>413;;m552297a><763483854m8c9~w7>403:1?v3=82:9f=d<5;2857:6}::1936<>n8:?1<0g=18;01?6;6;`;f>{t:19>6=4<{<0;705=7:51a?84?=h0??o5rs3:4`?6=:r794495903897>0m3h3n6s|294:>5<5s483:44m8c9>6=?02=9i7p}=86`94?5|5;2=57??a99>6=?120;:70<77b8a53z?1<3>=j1h01?699;60f>;500<18>l4}r0;3<<72:q6>587:02b<>;500?15588:18084?>>0i4o52294;>15e3483584;3c9~w7>0?3:1?v3=875955g?34835946109>6=1?2k2i7p}=87494?5|5;2=:7l7b:?1<31=<:h01?664;60f>{t:1=>6=4<{<0;23<68h201?663;;25>;50><1n5l4}r0;20<72:q6>58::c:a?84?>?0??o5229;0>15e3ty94:=50;1x97>1=3;;m55229;1><763483;94m8c9~w7>0:3:1?v3=8609f=d<5;2=97:6}::1=96<>n8:?1{t:1=:6=4<{<0;3459=:51a?84?i90??o5rs3:;`?6=;r794:?511c;?84?1o02=<5229:f>g>e3ty94:>50;1x97>083h3n63=863906d<5;22j7:e2908w0<771824d><5;22i77>1:?1<=e=j1h0q~<76g83>6}::157j:51a?xu50131<7=t=3:5b?77i116>57k:832?84?0h0i4o5rs3:5a?6=;r794;k5b9`897>1n3>8n63=88f906d6=0b28:j463=88a9=47<5;2347l7b:p6=0c2908w0<76e8a9816>569:c:a?xu50?i1<7=t=3:5g?d?j2794;j542`897>>j3>8n6s|29:0>5<4s483:n4>0`:897>>i33:=63=8969f=d6=0e2k2i70<76b877g=::13j69=m;|q1<=7=839p1?69b;33e==::13264?>;<0;<7u2294b>46f02794465903897>?83h3n6s|2940>5<4s483:>4m8c9>6=0f2=9i70<799877g=z{;2:97>52z?1<7d=18;01?6>6;`;f>{t:1:26=4={<0;4<55713>8n63=83c906d:4?:2y>6=7528:j463=8269=47<5;2947l7b:p6=762908w0<7108a9816>5<9:c:a?xu508:1<7=t=3:24?d?j27944;3>8n6s|2900>5<4s483==4>0`:897>4:33:=63=8369f=d6=6a2k2i70<711877g=::19969=m;|q1<77=839p1?6?f;33e==::19:64?>;<0;67?542`8yv4?9o0;6>u2292f>46f02794>>5903897>583h3n6s|292g>5<4s4836=6b2=9i70<731877g=z{;2:h7>53z?1<5b=99k370<72g8:54=::1;n6o6m;|q1<5e=839p1?6?c;`;f>;509n18>l4=3:1b?24j2wx>5?m:18084?8j0:u2292a>g>e34836=4b2=9i7p}=80;94?5|5;2;n7??a99>6=4c20;:70<71`8a53z?1<5g=j1h01?6?b;60f>;50;n18>l4}r0;52<72:q6>5>n:02b<>;50;i155>8:18084?8>0i4o52292b>15e3483>n4;3c9~w7g1>3:1iv3=9g69;5?0l14l84=35b4?>f>279;l?58`48971e<32j863=a7`95510348j;=47a29>6d0621k970;<0b1`d;296~;51=?155k8:18184?m>0i4o522866>15e3ty95b?3;;m5522867><763482=n4m8c9~w7>b=3:1?v3=8d79f=d<5;2n;7:6}::1o>6<>n8:?1=14=18;01?7>8;`;f>{t:1o?6=4<{<0;a15k::51a?84><;0??o5rs3;21?6=;r794h:511c;?84><802=<522835>g>e3ty94h=50;1x97>b;3h3n63=8d6906d<5;3?=7:<5;3?<77>1:?1=42=j1h0q~<7e383>6}::1o96o6m;<0;a6<3;k16>4:?:51a?xu518;1<7=t=3:f6?77i116>4=i:832?84>9;0i4o5rs3:f5?6=;r794h?5b9`897>b:3>8n63=92d906d6=c628:j463=92g9=47<5;3:<7l7b:p6=c72908w0<7e18a9816>4>j:c:a?xu50ml1<7=t=3:gb?d?j2794h>542`897?4l3>8n6s|282a>5<4s483hk4>0`:897?4k33:=63=91a9f=d6=bb2k2i70<7dg877g=::09h69=m;|q1=5?=839p1?6ke;33e==::09i64?>;<0:4dl542`8yv4>8>0;6>u229fg>46f02795>o5903897?703h3n6s|29f`>5<4s483hn4m8c9>6=bc2=9i70<63`877g=z{;3;97>53z?1;50mi18>l4=3;0=?24j2wx>4>>:18084?lh0:u229f:>g>e3483hl4;3c9>6<502=9i7p}=8gd94?5|5;2o57??a99>6<5120;:70<6018a53z?1=j1h01?6k9;60f>;51:<18>l4}r0;ba<72:q6>5j7:02b<>;51:?155j8:18084?l>0i4o5229f;>15e3482?84;3c9~w7>aj3:1?v3=8e5955g?3482?946109>6=`d2k2i7p}=8e494?5|5;2o:7l7b:?1{t:1l26=4<{<0;`3<68h201?7<3;;25>;50ok1n5l4}r0;`0<72:q6>5j::c:a?84?l?0??o522810>15e3ty94k950;1x97>c=3;;m5522811><763483j54m8c9~w7>c<3:1?v3=8e69f=d<5;2o97:6}::1n?6<>n8:?1=67=18;01?6i6;`;f>{t:1n86=4<{<0;`65j;:51a?84>;80??o5rs3:e7?6=;r794i=511c;?84>;902=<5229d7>g>e3ty94i<50;1x97>c:3h3n63=8e1906d<5;38<7:<5;39j77>1:?16}::1n:6o6m;<0;`7<3;k16>44c93>8n63=93g906do4?:2y>6=cb28:j463=95a9=47<5;39o7l7b:p6=cc2908w0<7ee8a9816>48n6s|2804>5<4s483in4>0`:897?3i33:=63=93:9f=d6=ce2k2i70<7eb877g=::0>j69=m;|q1=73=839p1?6jb;33e==::0>264?>;<0:63::0;6>u229gb>46f02795965903897?5<3h3n6s|29g:>5<4s483i44m8c9>6=cf2=9i70<649877g=z{;39=7>53z?1<`?=99k370<6468:54=::0896o6m;|q1<`>=839p1?6j8;`;f>;50l318>l4=3;73?24j2wx>4?i:18084?m10:5b9`8yv4?m?0;6>u229g5>g>e3483i54;3c9>6<212=9i7p}=90;94?5|5;2n:7??a99>6<2420;:70<61`8a53z?1;51=918>l4}r0:46<72:q6>5jm:02b<>;51:2155mi:18084?ko0i4o5229fa>15e3482?54;3c9~w71b;3:1>v3=7d19f=d<5;i8:76n6:p62c?2909w0<8e98a;5k:<14l=4}r04b7<72;q6>:h=:c:a?84d;?03m95rs35f0?6=:r79;h:5b9`897e4?32j:6s|26gb>5<5s486f5021k>7p}=7d`94?4|5;=nn7l7b:?1g61=0h90q~<8f283>7}::>l86o6m;<0`72f>2wx>:kl:181840mj0i4o522b1;>=g23ty9;hj50;0x971bl3h3n63=c2:962`32k2i7052z?13`0=j1h01?m<9;:b2>{t:>on6=4={<04a`n=6:9c6?xu5?ll1<7758`18yv40n<0;6?u226d6>g>e348h?447a59~w71b?3:1>v3=7d59f=d<5;i8m76n6:p62`72909w0<8f18a;5k:k14l=4}r04b3<72;q6>:h9:c:a?84d;h03m95rs3:31?6=:r79m>:548g897>7>3h3n6s|2920>5<5s48j?>4;9d9>6=632k2i7p}=81394?4|5;k8>7:6e:?1<54=j1h0q~<8fg83>7}::h9:697j;<0;45:hm:18184f:o0?5h5226d`>g>e3ty9;k650;0x97g5m3>2i63=7gc9f=d6d4c2=3n70<8f88a52z?12ce=j1h01?o85;:b0>{t:?l26=4={<05bgl9<:9c7?xu51>h1<7m279:hk5b9`8yv4>?h0;6?u22`71>1?b348=ii4m8c9~w7?013:1>v3=a4390;5>lk1n5l4}r0:36<72;q6>l:j:5;f?841m00i4o5rs3;bg?6=:r79m8l548g8970a03h3n6s|28c4>5<5s48j9l4;9d9>63`02k2i7p}=9`094?4|5;k>57:6e:?12c0=j1h0q~<69e83>7}::h?3697j;<05b047<:18184f=?0?5h5227d0>g>e3ty955j50;0x97g2=3>2i63=6g09f=d6d332=3n70<9f08a52z?1e1b=<0o01?8i0;`;f>{t:0;k7:c:a?xu51><1<7m279;;=5b9`8yv4>?;0;6?u22b00>1?b348<:?4m8c9~w7?fj3:1>v3=c3a90;5??h1n5l4}r0:=f<72;q6>n<6:5;f?840>h0i4o5rs3;:3?6=:r79o?6548g8971113h3n6s|28;1>5<5s48h>:4;9d9>620?2k2i7p}=99a94?4|5;i9:7:6e:?1331=j1h0q~<68783>7}::j8>697j;<042348j:18184d:80?5h522642>g>e3ty95:;50;0x97e7k3>2i63=72d9f=d6f6e2=3n70<83d8a52z?1g42=<0o01?9;9;`;f>{t:0k>6=4={<0`56<31l16>::7:c:a?xu51h:1<7m279;995b9`8yv4>1k0;6?u22b32>1?b348<8;4m8c9~w7?>>3:1>v3=c0290>?6o6m;|q1==d=838p1?m?e;6:a>;5?=91n5l4}r0:<0<72;q6>n>k:5;f?840<;0i4o5rs3;4b?6=:r79o=o548g8971393h3n6s|284g>5<5s48h<44;9d9>625c2k2i7p}=96694?4|5;hm87:6e:?134d=j1h0q~<67183>7}::kl8697j;<045d4o;:18184enk0?5h522607>g>e3ty954h50;0x97dai3>2i63=7319f=d6g`>2=3n70<8238a52z?1fc>=<0o01?9=1;`;f>{t:03;6=4={<0ab2<31l16>:m279;0=0;6?u22cd6>1?b348<=h4m8c9~w7?0m3:1>v3=bg090;26o6m;|q1==`=838p1?o76;6:a>;5?9;1n5l4}r0:<<<72;q6>l6::5;f?840890i4o5rs3;;7?6=:r79m5:548g8970an3h3n6s|285g>5<5s48j4>4;9d9>63`b2k2i7p}=97`94?4|5;k3>7:6e:?12cb=j1h0q~<9c483>7}::h8?697j;<05g=;m<:18184f:;0?5h5227a5>g>e3ty9m=750;1x97g6;3;;;:52274b>=g6348=:=4;ec9~w7?f03:1>v3=a0a90a;6:a>;5>>31n5l4}r0:=<<72;q6>l?6:5;f?841?10i4o5rs3;:0?6=:r79m<6548g89700?3h3n6s|28:f>5<5s48j=:4;9d9>63112k2i7p}=99:94?4|5;k::7:6e:?1223=j1h0q~<68383>7}::h;>697j;<0531184k4=3447?d?j2wx>48n:18184f9:0?5h522751>g>e3ty95k=50;0x97?a<3h3n63=a4f96d5?28:<563=a2;9551>348j?:4m8c9~w7e4j3:1jv3=c2a9f=d<5;3nj76n3:?1=c6=0h801?7k9;:b0>;51mn14l;4=3;f=?>f=2795hj58`1897?cj32j863=9d`9;51l?14l=4=3;f5?>f=2795h=58`78yv4>n80;64u22c63>156348i8<4;309>6g252=9:70?69=>;<0a00<3;816>o:9:512?84e<>0??<5228d1>g>e3ty95hk50;;x97d1i3>8=63=b7`9067<5;h=o7:<1:?1f3b=<:;01?l9e;605>;5j?l18>?4=3`44?249279n:?5423897?bn3h3n6s|28g`>5<>s48i9l4;309>6g3e2=9:70;<0a1`<3;816>o;i:512?84e>90??<522c42>1563482ii4m8c9~w7?b03:1:v3=be`9067<5;hoo7:<1:?1fab=<:;01?lke;605>;5jml18>?4=3;f=?d?j2wx>4k9:18584el80??<522cf1>156348ih>4;309>6gb32=9:70;5j=o18>?4=3`7b?249279n8>5423897d293>8=63=9d`9f=d6gd02=9:70;<0afd<3;816>olm:512?84>m:0i4o5rs3;f4?6==r79nlk5423897dfn3>8=63=bc29067<5;hi=7:<1:?1=`7=j1h0q~<6e583><}::ki?69=>;<0ag0<3;816>om9:512?84ek>0??<522ca;>156348io44;309>6gef2=9:706o6m;|q1=ae=83?p1?l66;605>;5j0=18>?4=3`:5<>s48i4l4;309>6g>e2=9:70;<0a<`<3;816>o6i:512?84e190??<522c;2>1563482ho4m8c9~w7?cm3:15v3=b`09067<5;hj?7:<1:?1fd2=<:;01?ln5;605>;5jh<18>?4=3`b3?249279nl65423897df13>8=63=9ed9f=d6g1b2=9:70;<0a<4<3;816>4j6:c:a?xu51m<1<71?b34829<4m8c9~w707n3:1?v3=68f96}::?3o65o;;<05==:77:c:a?xu5>891<7=t=34:a?>f;279:4758`38971>13h3n6s|2737>5<4s48=5h47a59>63?>21k870<89`8a53z?12<`=0h901?86a;:b5>;5?0h1n5l4}r0553<72:q6>;7i:9c7?8411h03m>5226;`>g>e3ty9:<950;1x970f832j?63=68`99;297~;5>h;14l=4=34:g?>f9279;4:5b9`8yv419h0;6>u227c2>=g3348=5n47a29>62?22k2i7p}=60294?5|5;=2:7l7b:?1=f>=0h<01?9k5;:b2>{t:?;:6=4<{<04=24m7:9c1?840l<03m?5rs3435?6=;r79:5m58`18970?=32j=63=7979f=d63>d21k?70<9848;e6=::>3;6o6m;|q1251=839p1?87d;:b7>;5>1<14l?4=35:5?d?j2wx>;>7:1808410m03m95227:5>=g4348<494m8c9~w70713:1?v3=69g96}::?2n65o;;<05<2:68:c:a?xu5>9h1<7=t=34;b?>f;279:5658`38971?03h3n6s|272`>5<4s48=4k47a59>63>?21k870<8888a53z?12<6=0h901?879;:b5>;5?1k1n5l4}r054`<72:q6>;7?:9c7?8410003m>5226:a>g>e3ty9:=<50;1x970>932j?63=69c90814l=4=34;f?>f9279;5k5b9`8yv418<0;6>u227;1>=g3348=4o47a29>62>a2k2i7p}=5g594?5|5;{t:;l6:9c0?840?l0i4o5rs37e=?6=;r79:oh58`18970ei32j=63=76d9f=djl4?:2y>63da21k?70<9b`8;e6=::>2;6o6m;|q11cd=839p1?8l0;:b7>;5>kh14l?4=35;5?d?j2wx>8hl:180841k903m95227`a>=g4348<4?4m8c9~w73al3:1?v3=6b396}::?i:65o;;<05ff:9n:c:a?xu5=ol1<7=t=34`6?>f;279:oj58`389710k3h3n6s|2723>5<4s48=o?47a59>63dc21k870<87e8a53z?12de=0h901?8n7;:b5>;5??l1n5l4}r06a`<72:q6>;ol:9c7?841i>03m>522657>g>e3ty99hh50;1x970fl32j?63=6`:9ho14l=4=34b=?>f9279;:95b9`8yv42n;0;6>u227cf>=g3348=m447a29>621?2k2i7p}=5g194?5|5;{t:;on:9c0?840>l0i4o5rs37e1?6=;r79:o>58`18970fj32j=63=7629f=dj;4?:2y>63d721k?70<9ac8;e6=::>=:6o6m;|q11`e=839p1?982;`;f>;51jk14l84=35g2?>f>2wx>8kk:180840?:0i4o5228ab>=g5348v3=a2:90v3=a2790i7l7b:?13f0=0h>01?9l5;:b0>;5?j914l:4=35`6?>f<279;n>58`68971en32j863=7cg901?9mb;:b0>;5?kk14l:4=35a=?>f<279;o958`68971e=32j863=7e6901?9l9;:b5>;5?j=14l?4=35`0?>f9279;o658`38971e>32j=63=7e3901?9lc;:b0>;5?j;14l:4=35`a?>f<279;nj58`68971dj32j863=7bc93865o>;|q1210=838p1?6l6;`;f>;5?0214l?4}r0502<72;q6>5m8:c:a?8401003m<5rs347i32j=6s|276:>5<5s483o44m8c9>62?e21k:7p}=65c94?4|5;2hm7l7b:?137}::1ii6o6m;<04=af92wx>;:k:18184?km0i4o5226;7>=g63ty9:9k50;0x97>dm3h3n63=78796=e32k2i70<8978;e4=z{;52z?1{t:?986=4={<0;=4:6::9c2?xu5>:k1<758`38yv41;k0;6?u229;:>g>e348<5<47a09~w704k3:1>v3=88c9f=d<5;=3876n1:p635c2909w0<79c8a2=65o>;|q126c=838p1?66c;`;f>;5?1=14l?4}r057c<72;q6>57k:c:a?8400103m<5rs3474?6=:r7944k5b9`8971?132j=6s|2762>5<5s4835k4m8c9>62>f21k:7p}=65094?4|5;2j<7l7b:?13=d=0h;0q~<93583>7}::1396o6m;<04f92wx>;=9:18184?1=0i4o5226:f>=g63ty9:>950;0x97>>=3h3n63=79d96=?12k2i70<9858;e6=z{;<857>52z?1<<1=j1h01?874;:b0>{t:?8<6=4={<0;12:9m:9c2?xu5>;k1<7g>e348<;k47a09~w705k3:1>v3=84a9f=d<5;=3<76n1:p634c2909w0<75e8a2:65o>;|q127c=838p1?6:e;`;f>;5?1814l?4}r056c<72;q6>5;i:c:a?8400:03m<5rs3404?6=:r794;>5b9`89710i32j=6s|2712>5<5s483:<4m8c9>621d21k:7p}=62094?4|5;2=>7l7b:?132b=0h;0q~<92983>6}::1?36o6m;<05f2;l7:9c0?xu5>;31<7=t=3:6=?d?j279:o958`48970e032j=6s|273a>5<5s483>44m8c9>620a21k:7p}=60g94?4|5;29o7l7b:?1322=0h;0q~<91g83>7}::18o6o6m;<0430f92wx>;<>:18184?:o0i4o522654>=g63ty9:?<50;0x97>483h3n63=76:9>4?:3y>6=562k2i70<8788;e4=z{;<987>52z?1<64=j1h01?99e;:b5>{t:?8>6=4={<0;76:9?:9c2?xu5>;<1<7g>e348<;?47a09~w706l3:1>v3=83`9f=d<5;=n<6o6m;|q1g67=838p1?m<4;6:a>;5?m<1n5l4}r0`75<72;q6>n=<:5;f?840l<0i4o5rs347b?6=:r795nj58`08970?<3h3n6s|277e>5<4s48697>52z?1e6?=<0o01?9m3;`;f>{t:h=i6=4={<0b3d<31l16>l9l:c:a?xu51m:1<7k:0;6<9t=3c:=?249279m4o5423897gf:3>8=63=a`19067<5;kj87:<1:?1ed3=<:;01?on6;605>;5ih=18>?4=3cbj3>8=63=a8a9067<5;k2h7:<1:?1e;5ih:18>?4=3cb5?249279m5<5115:?84f0:0:<:74=3c;0?77?016>l6::024=>;5i1<1==96;<0:g3301?m=5;333<=::j8=6<>89:?1g71=99=2706f4f28:<563=c3`9551>348h>n4>06;897e5;3;;;4522b07>46012795nm5b9`8yv4>k00;6iu22b2:>4601279o=o5115:?84d8m0:<:74=3a3a?77?016>n>i:024=>;5k8:1==96;<0`54<68>301?m>2;333<=::j;86<>89:?1g42=99=27066g`528:<563=bg79551>348ij;4>06;897da?3;;;4522cd;>4601279nk75115:?84enh0:<:74=3`ef?77?016>ohl:024=>;5jo91==96;<0ab1<68>301?7l8;`;f>{t:0n?6=4>4z?1e56=<:;01?o?1;605>;5i9818>?4=3c37?249279m=:5423897g7=3>8=63=a149067<5;k;;7:<1:?1e5>=<:;01?o>3;333<=::h;?6<>89:?1e43=99=2706d7?28:<563=a0;9551>348j=l4>06;897g6j3;;;4522`3`>46012795i;5b9`8yv4fmh0;6?u2280g>g>e348v3=92:9f=d<5;=i476n2:p6g672909w0<6428ai?65o=;|q1f55=838p1?7;6;`;f>;5?j=14l<4}r0a41<72;q6>4:8:c:a?840k103m>5rs3`31?6=:r795965b9`8971d132j>6s|2c25>5<5s482844m8c9>62ef21k87p}=b1594?4|5;3?m7l7b:?13fd=0h90q~7}::0>i6o6m;<04gaf;2wx>lkm:18184>:l0i4o5226a2>=g43ty9mhm50;0x97?5n3h3n63=7ba96<572k2i70<8cg8;e6=z{;kni7>52z?1=67=j1h01?9k0;:b7>{t:hom6=4={<0:77:j>:9c0?xu5io:1<7g>e34847a29~w7ga:3:1>v3=9279f=d<5;=o876n3:p6d`42909w0<6378ah>65o<;|q1ec2=838p1?7<7;`;f>;5?k=14l=4}r0bb3<72;q6>4=6:c:a?840j003m>5rs3ce3?6=:r795>o5b9`8971ei32j?6s|2`d;>5<5s482?o4m8c9>62de21k87p}=ag;94?4|5;38o7l7b:?13ge=0h90q~7}::09o6o6m;<04faf;2wx>lhl:18184>;o0i4o5226`e>=g43ty9mkj50;0x97?383h3n63=7b296<262k2i70<8c38;e6=z{;kmj7>52z?1=14=j1h01?9l3;:b7>{t:k::6=4={<0:01:m::9c0?xu5j981<7kl0;6;u228ae>g>e348j;94>06;897g0:3;;;4522`4e>4601279m;j5115:?84f>k0:<:74}r0bgd<72;q6>o>n:5;f?840j?0i4o5rs3cg1?6=:r79n=l548g8971e03h3n6s|2`g3>5<5s48i=;4;9d9>62e32k2i7p}=ad194?4|5;h9=7:6e:?13f1=j1h0q~7}::k8?697j;<04g=lk9:18184e:?0?5h5226ab>g>e3ty9mh950;0x97d5?3>2i63=7b`9f=d6g4?2=3n70<8ce8a52z?1f7?=<0o01?9le;`;f>{t:hii6=4={<0a4f<31l16>:m>:c:a?xu5iji1<7m279;nm5b9`8yv4fkm0;6?u22c2f>1?b348v3=b1d90n:6o6m;|q1ea6=838p1?l>1;6:a>;5?m81n5l4}r0b`4<72;q6>o?=:5;f?840l:0i4o5rs3cg6?6=:r79n<=548g8971c<3h3n6s|2`f0>5<5s48i=94;9d9>62d22k2i7p}=ae694?4|5;h:97:6e:?13g1=j1h0q~7}::k;<697j;<04f<lj7:18184e900?5h5226`a>g>e3ty9mi750;0x97d6i3>2i63=7ca9f=d6g7e2=3n70<8be8a52z?1f4e=<0o01?9me;`;f>{t:hnh6=4={<0a5a<31l16>:li:c:a?xu5imn1<7m279;n>5b9`8yv4fll0;6?u22c3e>1?b348v3=b3290i>6o6m;|q1e`4=838p1?l=3;6:a>;5?j<1n5l4}r0b1f<72>q6>l9?:9c5?84f>l03m9522`4`>=g5348j9i47a49>6d1221k87087:p6d072902w0<6f78;e4=::0n865o;;<05bg;hl:9c1?840j=03m;522`53>=g5348j:<47a49>6d0b21k>706<`121k>70<6d28;e6=::?li65o<;<05bf:o;:9c7?840i<03m>5226`7>=g4348j:i4>065897g0832j863=a7g96<`121k870<6d28;e4=::?li65o;;<05bf:o7:9c7?840i003m>5226`7>=g2348j:k4>065897g0832j=6s|2`4:>5<>s482j;47a59>6:ok:9c0?840j=03m?522`51>460?279m:=58`38yv4f>h0;65u228d5>=g13482h>47a49>63`d21k:70<8b18;e1=::>h:65o<;<04f1l9;:0243>;5i>?14l?4}r0a7=<72=q6>o:?:0243>;5?l914l84=3`04?>f:2794l<58`38yv4e;00;69u22c62>460?279;h:58`4897d4832j=63=8c096g2528:<;63=7d791}::k>86<>87:?13`0=0h<01?l<0;:b0>;50k<14l>4}r0a7f<72=q6>o:;:0243>;5?l=14l=4=3`04?>f=2794o658`28yv4e;m0;69u22c66>460?279n>>58`48971ci32j863=8cc96g2128:<;63=b2391}::k><6<>87:?1f67=0h;01?9j1;:b2>;50ko14l>4}r0b4d<72=q6>l>?:5;f?84f9=0:<:94=345f?>f9279:;?54d`8yv4f8k0;69u22`22>1?b348j=84>06589701k32j=63=67090`d6d652=3n701}::h:8697j;<0b52<68>=01?89e;:b5>;5>?>18hl4}r0b4`<72=q6>l>;:5;f?84f910:<:94=345b?>f9279:;;54d`8yv4f8o0;69u22`26>1?b348j=44>06589700832j=63=67490`d6d612=3n706}::h:<697j;<0b5g<68>=01?899;:b5>{t:h;96=4<{<0b4=<31l16>l?l:0243>;5>>i14l?4}r0b7f<72=q6>l:l:0243>;5>1i14l<4=34;1?>f:279:h958`28yv4f<:0;69u22`6g>460?279:5m58`38970?=32j863=6e796d3328:<;63=69f91}::h?>6<>87:?12=b=0h;01?876;:b0>;5>m314l?4}r0b03<72=q6>l;9:0243>;5>1o14l<4=34;3?>f:279:il58`38yv4f<>0;69u22`74>460?279:5k58`38970??32j863=6ef96d3?28:<;63=69d91}::h?26<>87:?12=`=0h;01?878;:b0>;5>l;14l?4}r0b0d<72=q6>l;n:0243>;5>0:14l<4=34;=?>f:279:h=58`38yv4f460?279:4>58`38970?132j863=6d796d2b28:<;63=68391}::h>m6<>87:?12<7=0h;01?87a;:b0>;5>jn14l?4}r0b7c<72=q6>l;?:0243>;5>0814l<4=34;f?>f:279:nh58`38yv4f<90;69u22`72>460?279:4<58`38970?j32j863=6e396d3528:<;63=6e191}::j8:6<>87:?12gc=0h801?8m9;:b6>;5??:14l>4}r0`5=<72=q6>n<=:0243>;5>ko14l?4=34a=?>f<279;9k58`38yv4d900;69u22b06>460?279:oh58`08970ei32j>63=74296f4128:<;63=6cd91}::j8<6<>87:?12f6=0h801?8mb;:b6>;5?<>14l?4}r0`5f<72=q6>n<7:0243>;5>j:14l?4=34af?>f<279;8858`38yv4d9m0;69u22b0:>460?279:n?58`08970ek32j>63=74:96f4f28:<;63=6b391}::j8i6<>87:?12f4=0h801?8md;:b6>;5?n;5>j814l?4=34a`?>f<279;8k58`38yv4d9?0;69u22b00>460?279;9m58`38970e?32j963=6c:96f4328:<;63=7769=0h>0q~1}::j:26<>87:?12de=0h801?8n7;:b6>;5?:i14l>4}r0`45<72=q6>n>n:0243>;5>hi14l?4=34b3?>f<279;?o58`38yv4d880;69u22b2g>460?279:lj58`08970f032j>63=73a96f6b28:<;63=6`f91}::j:m6<>87:?12dc=0h801?8n9;:b6>;5?::14l?4}r0`41<72=q6>n??:0243>;5>ho14l?4=34b=?>f<279;><58`38yv4d8<0;69u22b32>460?279:lh58`08970fi32j>63=72696f7528:<;63=6`d91}::j;86<>87:?12g6=0h801?8nb;:b6>;5?:214l?4}r0`4=<72=q6>n?;:0243>;5>k:14l?4=34bf?>f<279;>o58`38yv4enl0;69u22b2a>460?279;?658`3897?di32j963=7e496f6d28:<;63=75291}::kl:6<>87:?12;5?8214l>4}r0aa=<72=q6>oh=:0243>;5>0n14l?4=34:f<279;=858`38yv4em00;69u22cd6>460?279:4k58`08970>132j>63=71:96g`128:<;63=68g91}::kl<6<>87:?12<`=0h801?86a;:b6>;5?9i14l?4}r0aaf<72=q6>oh7:0243>;5>0l14l?4=34:e?>f<279;=k58`38yv4emm0;69u22cd:>460?279:l>58`08970>j32j>63=70296g`f28:<;63=6`291}::kli6<>87:?12d7=0h801?86c;:b6>;5?8>14l?4}r0ab5<72=q6>ohl:0243>;5>h;14l?4=34:g?>f<279;<858`38yv4em?0;69u22cd0>460?279;=:58`3897?d032j963=7e796g`328:<;63=70a93}::k==6<>87:?13c4=0h;01?7i4;:b1>;51m;14l84=3c56?>f;279m8j58`68yv4e?:0;6;u22c54>460?279;k=58`3897?a<32j>63=9e3976n1:?1e0c=0h90q~1}::k=36<>87:?13c2=0h;01?7lf;:b6>;5i?;14l84}r0a30<72?q6>o96:0243>;5?o?14l?4=3;e0?>f<2795i?58`3897g1:32j>63=a4f96g0f28:<;63=7g091}::k87:?13c5=0h901?l=d;:b0>;500:14l>4}r0a21<72=q6>o8l:0243>;5?o>14l=4=3`1`?>f=2794::58`28yv4e><0;69u22c4g>460?279;k;58`1897d5l32j:63=86496g0b28:<;63=7g491}::k87:?13ag=0h?01?68a;:b4>;5j;o14l:4}r0a2=<72=q6>o9?:0243>;5?mo14l;4=3:4g?>f8279n?k58`08yv4e>00;69u22c52>460?279;h?58`7897>0m32j<63=b3g96g3f28:<;63=7d;91}::k?i6<>87:?13`d=0h>01?l=c;:b5>;501:14l>4}r0a11<72=q6>o;l:0243>;5?ln14l:4=3`1g?>f;27945<58`28yv4e=<0;69u22c7g>460?279;hh58`6897d5k32j863=89696g3b28:<;63=7g391}::k?m6<>87:?1f7e=0h<01?9k9;:b0>;501214l>4}r0a1=<72=q6>o8?:0243>;5j;n14l<4=35g`?>f<27945o58`28yv4e=00;69u22c42>460?279n?j58`38971b:32j863=89a96g2c28:<;63=7d;91}::k>n6<>87:?13`d=0h?01?9n0;:b1>;5?h214l=4}r0a0d<72=q6>o:i:0243>;5?ln14l;4=35bg?>f;279;l>58`08yv4e460?279;hh58`78971e832j?63=7`296g3628:<;63=7g39;5?h>14l<4=35bf:2wx>oj9:18784elk0:<:94=35f=?>f;279;4h58`68971f=32j>6s|2cf4>5<3s48ihn4>0658971bj32j?63=78d9{t:kn26=4;{<0a``<68>=01?9jf;:b7>;5?k;14l<4=35:b?>f;2wx>ojn:18484elo0:<:94=35e5?>f;279;lj58`38971e932j=63=78d91}::kn:6<>87:?13`?=0h<01?9n1;:b0>;5?h>14l84}r0aga<72=q6>oj=:0243>;5?lh14l84=35b5?>f=279;l658`48yv4ekl0;69u22cf0>460?279;hj58`48971fk32j:63=7`396gb328:<;63=7dd92}::kn>6<>87:?13c7=0h<01?9nc;:b1>;5?k:14l;4=35b5?>f9279;l:58`78971f032j96s|2c``>5<4s48io94>0658971b032j863=bd396ge228:<;63=7dc96}::ki<6<>87:?13`c=0h>01?lj1;:b0>{t:ki;6=4<{<0ag=<68>=01?9i0;:b7>;5jl914l;4}r0ag4<72:q6>om6:0243>;5?m314l;4=3`f0?>f=2wx>om=:18184ekh0:<:94=35g`?>f=2wx>om<:18184ekk0:<:94=35f6?>f=2wx>ol<:18684ej10:<:94=35fe?>f=279m:958`7897g0132j?63=9b696gd>28:<;63=7da9=0h<01?7l4;:b6>{t:kh>6=4;{<0afd<68>=01?9je;:b1>;5i>214l?4=3;`1?>f=2wx>ol9:18784ejk0:<:94=35e4?>f<279m:658`0897?d=32j>6s|2ccb>5<3s48imh4>0658971b032j?63=b259876n0:p6gge290?w001?6:6;:b4>{t:kkh6=4;{<0af5<68>=01?9jc;:b7>;5j:=14l;4=3:76?>f82wx>ook:18784ej80:<:94=35fa?>f;279n>958`4897>3<32j<6s|2c;b>5<3s48im?4>0658971b032j:63=b249{t:k3h6=4;{<0ae1<68>=01?9jc;:b2>;5j:<14l=4=3:7f82wx>o7k:18784ei<0:<:94=35fa?>f>279n>858`6897>3i32j<6s|2c;f>5<3s48im;4>0658971a832j963=b249{t:kk;6=4;{<0ae=<68>=01?l<7;:b6>;5?mo14l<4=3:64?>f82wx>oo>:18784ei00:<:94=3`03?>f9279;h?58`6897>2:32j<6s|2c;1>5<3s48i5;4>0658971b;32j863=b26901?6=8;:b4>{t:k3?6=4;{<0a==<68>=01?9j5;:b0>;5j:>14l;4=3:20?>f82wx>o7::18784e100:<:94=35f2?>f<279n>:58`4897>6>32j<6s|2c:1>5<3s48i4l4>0658971b;32j963=b2194290?w08;:b4>{t:k2?6=4;{<0a=01?9j5;:b1>;5j:914l=4=3:2e?>f82wx>o6::18784e0m0:<:94=35f2?>f=279n>=58`6897>6k32j<6s|2c:5>5<3s48i4h4>0658971b?32j=63=b2190290?w0{t:k236=4;{<0a=5<68>=01?l<4;:b6>;5?mn14l84=3:16?>f82wx>o66:18784e180:<:94=3`00?>f9279;h<58`4897>5<32j<6s|2c5b>5<3s48i;h4>0658971b;32j?63=b23901?6l2;:b4>{t:k=h6=4;{<0a<5<68>=01?9j5;:b7>;5j:;14l;4=3:ba?>f82wx>o9k:18784e080:<:94=35f2?>f;279n>?58`4897>e832j<6s|28d4>5<5s48j<=4>065897?2j32j=6s|28d;>5<5s48j<<4>065897?2l32j=6s|28d:>5<5s48j065897?2n32j=6s|28db>5<5s48j<>4>065897?1932j=6s|28da>5<5s48j<94>065897?1;32j=6s|28d`>5<5s48j<84>065897?1=32j=6s|28dg>5<5s48j<;4>065897?1?32j=6s|28df>5<5s48j<:4>065897?1132j=6s|28de>5<>s48j<54>065897?1132j>63=9759;51f:27958l58`08yv4f:<0;6?u22`0g>460?279:;o58`08yv4f:?0;6?u22`0f>460?279:;l58`08yv4f:>0;6?u22`0e>460?279:;m58`08yv4f:10;6?u22`13>460?279:;j58`08yv4f:00;6?u22`12>460?279:;k58`08yv4f:h0;6?u22`11>460?279:;h58`08yv4f:k0;6?u22`10>460?279::>58`08yv4f:j0;6?u22`17>460?279::?58`08yv4d:o0;6>u22b16>460?279;i958`3897db<32j?6s|2b0f>5<4s48h?94>065897db;32j?63=7e49i4?:2y>6f5428:<;63=bd39a2908w087:?1=1`=0h;0q~6}::hk9697j;<0be6<68>=01?7;f;:b6>{t:h396=4<{<0be6<31l16>lo;:0243>;51=o14l84}r0b=6<72:q6>lo;:5;f?84fi<0:<:94=3;7a?>f=2wx>l7;:18084fi<0?5h522`c5>460?27959k58`68yv4f1<0;6>u22`c5>1?b348jm:4>065897?3m32j?6s|2`;5>5<4s48jm:4;9d9>6dg?28:<;63=95g96dg?2=3n70?2908w087:?1=06=0h90q~6}::h3i697j;<0b=f<68>=01?7:0;:b5>{t:h2j6=4<{<0b=f<31l16>l7k:0243>;51<:14l<4}r0bl7k:5;f?84f1l0:<:94=3;7b?>f>2wx>l6l:18084f1l0?5h522`;e>460?27959h58`78yv4f0m0;6>u22`;e>1?b348jm=4>065897?3n32j86s|2`:f>5<4s48jm=4;9d9>6dg628:<;63=95d96d?f2=3n70=76n1:p6d>0290>w0;5jl>14l:4}r0b3d<72:q6>l9n:0243>;5?k914l=4=3c4g?>f82wx>l?i:18684f:=0:<:94=35a7?>f92795i958`3897g5932j=63=6b:9=g53482h:47a39>6d4621k970<9c98;e7=::?i<65o=;<0:`0:oj:9c1?840j;03m?5226c1>=g534862gf21k970;<0aa1;m9:9c3?xu5i8o1<7?={<0b66<68>=01?9m3;:b0>;51m=14l:4=3c15?>f;279:n658`18970d?32j=63=9e79;5?k814l=4=35b6?>f;279;l:58`38971f>32j?63=7`:958z?1=c0=0h801?7k3;:b2>;5i>:14l;4=3c5a?>f;279m;m58`1897g0=32j>63=a6196d>42=9:7069=>;<0b<3<3;816>4m9:9c2?xu5i:21<7=l{<0b7=<68>=01?m<3;605>;5k:>18>?4=3a01?249279nk?5423897da:3>8=63=bg79067<5;hm:7:<1:?1fc1=<:;01?li8;605>;5jo318>?4=3`ee?249279nkl5423897dak3>8=63=bg19067<5;hm87:<1:?1g5?=<:;01?m?a;605>;5k9n18>?4=3a3a?249279o=h5423897e683>8=63=c039067<5;i:>7:<1:?1g45=<:;01?m>4;605>;5k9h18>?4=3a3g?249279o??5423897e5:3>8=63=c379067<5;i9:7:<1:?1g71=<:;01?m=8;605>;5k;318>?4=3a1e?249279o?l5423897e5k3>8=63=c319067<5;i987:<1:?1e1e=<:;01?o;d;605>;5i<>18>?4=3c61?249279m885423897g2?3>8=63=a4:9067<5;k>57:<1:?1e0g=<:;01?o:b;605>;5i=o18>?4=3c7b?249279m8>5423897g293>8=63=a409067<5;k>?7:<1:?1=fb=0h;01?7lc;:b5>;51jk14l?4=3;`f92wx>l=::1815~;5i:?1==98;<0b6a<3;816>l156348j?<4;309>6d552=9:70;<0b56<3;816>l?;:512?84f9<0??<522`35>156348j=:4;309>6d7?2=9:70;<0b5g<3;816>l?l:512?84f:;0??<522`00>156348j>94;309>6;<0:23<3;816>48;:512?84>>;0??<522843>15634829h4;309>6<3d2=9:70<65`8774=::h9<65o?;|q1edg=839p1?l?a;3332=::??j65o=;<0;`54>;:9c3?xu5ij:1<7=t=3`22?77?>16>;;n:9c0?84>9h03m=5rs3c`7?6=;r79n??51154?841=h03m9522803>=g73ty9mn:50;1x97d5<3;;;:52277b>=g23482>?47a19~w7gd=3:1?v3=b3795510348=9l47a79>6<4321k;7p}=ab494?5|5;h9:7??769>633e21k970<6278;e5=z{;kh;7>53z?1f71=99=<70<95c8;e4=::08365o?;|q1ef>=839p1?l=8;3332=::??i65o<;<0:6d416>;;m:9c6?84?n903m=5rs3cbg?6=;r79n=j51154?841=k03m;5229d1>=g73ty9mlj50;1x97d7m3;;;:52277;>=g53483j947a19~w7gfm3:1?v3=b1d95510348=9547a09>6=`121k;7p}=a`d94?5|5;h:<7??769>633?21k870<7f98;e5=z{;ki<7>53z?1f47=99=<70<9598;e1=::1lj65o?;|q1eg7=839p1?l>2;3332=::??365o:;<0;bf5hj:9c3?xu5ik91<7=t=3`20?77?>16>;;6:9c1?84>8903m=5rs3ca0?6=;r79n<;51154?841=003m<522821>=g73ty9mo850;1x97d6?3;;;:52277:>=g43482<;47a19~w7ge?3:1?v3=b0:95510348=9447a59>6<6?21k;7p}=ac:94?5|5;h:57??769>633>21k>70<60`8;e5=z{;ki57>53z?1f4g=99=<70<9588;e3=::0:h65o?;|q1egg=839p1?l>b;3332=::??h65o=;<0:4`4??:9c3?xu5iki1<7=t=3`2`?77?>16>;;l:9c0?84>9;03m=5rs3ca`?6=;r79n=g73ty9mok50;1x97d6n3;;;:52277`>=g23482=;47a19~w7gen3:1?v3=b3295510348=9n47a79>6<7?21k;7p}=ab394?5|5;h9>7??769>633c21k970<61b8;e5=z{;kh>7>53z?1f75=99=<70<95e8;e4=::0;n65o?;|q1e32=83kp1?7k1;:b6>;51jl14l?4=3c45?>f:279m;?58`3897g1m32j:63=a7a9;|q1aa6=83?p1?kk2;:b5>;5mm;1n5l4=3gg2?>f;279ii658`1897cc<32j?6s|2d`2>5<69r79io<5b9`897cdk32j963=eb69;5mk<14l;4=3gaf:279io:58`0897cek32j963=eef976n5:?1af0=0h?01?kle;:b1>;5mjk14l;4=3g`4?>f:279in658`38yv4d=00;6>u22b7:>g>e348h8i4>0`:897e1=33:=6s|2b6`>5<4s48h8n4m8c9>6f2c2=9i7047>53z?1g1e=99k370;5k=i18>l4=3a50?24j2wx>n8=:18084d=?0:u22b76>g>e348h9;4;3c9>6f0b2=9i7p}=c7394?5|5;i==7l7b:?1g03=99k37087>53z?1g02=j1h01?m:5;60f>;5k?n18>l4}r0`25<72:q6>n8?:c:a?84d==0:n;<:18084d=:0i4o522b77>15e348h:n4;3c9~w7e2n3:1?v3=c4d9f=d<5;i>?7??a99>6f0e20;:7p}=c4094?5|5;i>>7l7b:?1g05=<:h01?m9b;60f>{t:j?n6=4<{<0`1`n;=:02b<>;5k?k15n;>:c:a?84d=;0??o522b4b>15e3ty9o8j50;1x97e2l3h3n63=c43955g?348h:446109~w7e283:1?v3=c429f=d<5;i>=7:6}::j?h6o6m;<0`15<68h201?m98;;25>{t:j>m6=4<{<0`0cn;?:51a?84d>10??o5rs3a6f?6=;r79o8l5b9`897e3n3;;m5522b44><763ty9o9k50;1x97e3m3h3n63=c5d906d<5;i=;7:n6<>n8:?1g30=18;0q~6}::j>i6o6m;<0`0`<3;k16>n89:51a?xu5k<=1<7=t=3a63?d?j279o9l511c;?84d>:02=<5rs3ae`?6=:r79okj5b9`897b6m3>8n6s|2e2g>5<4s48o6f`c28:j463=d0f9=476f`d2k2i70;5koi1==o7;<0g5f<>981v?mib;297~;5koh1n5l4=3aeg?24j279hu22e2a>g>e348hjo4>0`:897b6j33:=6s|2bdb>5<4s48hjl4m8c9>6f`e2=9i7053z?1`5g=j1h01?mia;33e==::m;j64?>;|q1gc?=839p1?mi9;`;f>;5kok18>l4=3f2e?24j2wx>i>6:18084c800i4o522bd:>46f0279h<759038yv4dn10;6>u22bd;>g>e348hj44;3c9>6a7>2=9i7p}=d1:94?5|5;n;47l7b:?1gc>=99k37053z?1gc1=j1h01?mi8;60f>;5l8218>l4}r0g42<72:q6>i>8:c:a?84dn>0:i>9:18084c8?0i4o522bd4>15e348o=:4;3c9~w7b6>3:1?v3=d049f=d<5;n;:7??a99>6a4020;:7p}=d1794?5|5;n;97l7b:?1`50=<:h01?j=7;60f>{t:m;>6=4<{<0g50i>::02b<>;5l;<15i>;:c:a?84c8<0??o522e05>15e3ty9h<:50;1x97b6<3h3n63=d16955g?348o>846109~w7b7;3:1?v3=d119f=d<5;n;87:6}::m;86o6m;<0g46<68h201?j=4;;25>{t:m:96=4<{<0g47i><:51a?84c:=0??o5rs3f26?6=;r79h<<5b9`897b7:3;;m5522e00><763ty9h=?50;1x97b793h3n63=d10906d<5;n9?7:n8:?1`74=18;0q~6}::m:;6o6m;<0g44<3;k16>i<=:51a?xu5l8:1<7=t=3f24?d?j279h=>511c;?84c:802=<5rs3aeb?6=;r79okh5b9`897b783>8n63=d33906d6f`a28:j463=d329=47<5;n;j7l7b:p6f`b2908w0i?i:832?xu5kk21<7f:279oij58`0897eb932j>63=c5:95510348hi?47a39>6fc421k97065o=;<0`a3nk8:9c1?84dm103m?522bf`>=g5348hhh47a39>6fba21k970nlm:9c1?84djh03m?522b`:>=g5348ho847a39>6fe321k970nm?:9c1?84djo03m?522b`f>=g5348hni47a39~w7ec;3:1?v3=ce19f=d<5;n;h76n1:?1gcb=6}::jn96o6m;<0``6<3;k16>nkj:51a?xu5kl:1<7=t=3af4?d?j279oi<511c;?84dmm02=<5rs3aag?6=:r79oi<54d`897eek3h3n6s|2bf2>5<4s48hh<4m8c9>6fb52=9i7053z?1ga`=j1h01?mk1;33e==::joh64?>;|q1ggd=838p1?mk1;6ff>;5kkh1n5l4}r0``5<72:q6>nj?:c:a?84dl80??o522bg`>15e3ty9oik50;1x97ecm3h3n63=ce2955g?348hio46109~w7eei3:1>v3=ce290`d<5;iim7l7b:p6fea2908w0nkn:832?xu5kk31<7u22baf>g>e348hok4;3c9>6fcf2=9i7p}=cea94?5|5;ioo7l7b:?1gfc=99k37052z?1gfc={t:jni6=4<{<0``gnmj:51a?84dm00??o5rs3af<763ty9on:50;0x97ecj3>nn63=cb69f=d6fbf2k2i70;5kmk1==o7;<0`b0<>981v?ml3;296~;5kmk18hl4=3a`7?d?j2wx>nj6:18084dl00i4o522bfb>15e348hj84;3c9~w7eb>3:1?v3=cd49f=d<5;io57??a99>6f`320;:7p}=cb094?4|5;io57:jb:?1gf4=j1h0q~6}::jn36o6m;<0``<<3;k16>nh;:51a?xu5kl?1<7=t=3af1?d?j279oi6511c;?84dn:02=<5rs3a`5?6=:r79oi654d`897ed93h3n6s|2bf4>5<4s48hh:4m8c9>6fb?2=9i7053z?1g`2=j1h01?mk7;33e==::jl964?>;|q1gf6=838p1?mk7;6ff>;5kj:1n5l4}r0``3<72:q6>nj9:c:a?84dl>0??o522bd1>15e3ty9oh=50;1x97eb;3h3n63=ce4955g?348hj<46109~w7een3:1>v3=ce490`d<5;iij7l7b:p6fb22908w0nh?:832?xu5kko1<7u22bf7>g>e348hh84;3c9>6f`72=9i7p}=cd394?5|5;in=7l7b:?1ga2=99k37052z?1ga2={t:m>j6=4={<0g0di?j:832?xu5l=31<7=t=3f7=?d?j279h9o542`897b1;3>8n6s|2e6;>5<4s48o854m8c9>6a2>2=9i7053z?1`11=j1h01?j;8;60f>;5l?;18>l4}r0g10<72:q6>i;::c:a?84c<>0:nl?:18184c<>0?io522b`3>g>e3ty9h9850;1x97b3>3h3n63=d55906d<5;n=<7:=6<>n8:?1`0`=18;0q~7}::m>=69km;<0`ecu22e70>g>e348o884>0`:897b2m33:=6s|2bcf>5<5s48o884;ec9>6fgb2k2i7p}=d5694?5|5;n?87l7b:?1`13=<:h01?j:e;60f>{t:m?96=4<{<0g17i:;:02b<>;5li:;:5ga?84dim0i4o5rs3a77?6=9hq6>i;=:9c1?84c=?03m?522b64>460?279h=k58`6897b2?32j>63=d4:9576n2:?1`0g=0h801?j:b;:b6>;5lf:279h8:58`0897b2=32j>63=cc29;5kk=14l<4=3aa2?>f:279oo;58`0897ee<32j>63=cc1976n2:?1gg7=0h801;5l9l14l=4}r0g14<72:q6>i;>:c:a?84c<=0??o522e7g>15e3ty9h8m50;1x97b2k3h3n63=d43955g?348o:l46109~w7ee?3:1>v3=d4390`d<5;ii;7l7b:p6a372908w0i86:832?xu5kk<1<7u22e6e>g>e348o9=4;3c9>6a0>2=9i7p}=d4c94?5|5;n>m7l7b:?1`1`=99k37052z?1`1`={t:m>n6=4<{<0g0`i:i:51a?84c>10??o5rs3f6=?6=;r79h875b9`897b3m3;;m5522e44><763ty9oo:50;0x97b3m3>nn63=cc69f=d6a2c2k2i70=839p1?j:8;`;f>;5l=n1==o7;<0g23<>981v?mm3;296~;5l=n18hl4=3aa7?d?j2wx>i:l:18084c15e348o:;4;3c9~w7b2?3:1?v3=d459f=d<5;n?o7??a99>6a0220;:7p}=cc094?4|5;n?o7:jb:?1gg4=j1h0q~6}::m>i6o6m;<0g0f<3;k16>i8::51a?xu5l<<1<7=t=3f62?d?j279h9l511c;?84c>=02=<5rs3aa5?6=:r79h9l54d`897ee93h3n6s|2e10>5<4s48hih4m8c9>6a6d21k:7053z?1g`b=j1h01?j?b;:b5>;5koh18hl4}r0g6c<72:q6>nkl:c:a?84c8h03m<522bdb>1ce3ty9h?j50;1x97ebj3h3n63=d1;9;<0`b=<3mk1v?j=9;297~;5kl31n5l4=3f33?>f9279ok954d`8yv4c<:0;6>u22bd5>g>e348o=;47a09>6a612=oi7p}=d5394?5|5;im97l7b:?1`43=0h;01?j?5;6ff>{t:m9m6=4<{<0`b1i?;:9c2?84c8=0?io5rs3f0`?6=;r79ok=5b9`897b6;32j=63=d1190`d6f`52k2i70;5l8;14l?4=3f35?2bj2wx>i=8:18084dn90i4o522e33>=g6348o<=4;ec9~w7b4=3:1?v3=cdd9f=d<5;imj7:jb:?1`5`=0h;0q~7}::m<86o6m;<0g4af:2wx>i=?:18184c>80i4o522e2a>=g53ty9h?k50;0x97b183h3n63=d1c9n4?:3y>6a3a2k2i7052z?1`0c=j1h01?j?8;:b6>{t:m836=4={<0g1ai>8:9c1?xu5l=81<7g>e348o=847a39~w7b4m3:1>v3=d7:9f=d<5;n:876n2:p6a5d2909w0;5l8814l<4}r0g7=<72;q6>i8::c:a?84c9803m?5rs3f02?6=:r79h;:5b9`897b6832j>6s|2ba`>5<4s48o8l4>0`:897b1;33:=63=cbf9f=d6a2>28:j463=d709=47<5;ihn7l7b:p6fe?2908w0<5;n==77>1:?1gf?=j1h0q~6}::jn86<>n8:?1g`c=18;01?ml7;`;f>{t:j3=6=4<{<0`<<<68h201?mn3;;25>;5k0<1n5l4}r0`3a<72:q6>n67:c:a?84d000??o522bc0>15e3ty9o4;50;1x97e>=3h3n63=c9:955g?348hm?46109~w7e0k3:1?v3=c839f=d<5;i347:6}::j3?6o6m;<0`=4<68h201?mnc;;25>{t:j2>6=4<{<0`=5n7>:51a?84dij0??o5rs3a:a?6=;r79o4k5b9`897e>83;;m5522bca><763ty9o5:50;1x97e?n3h3n63=c82906d<5;ijn7:n8:?1gdg=18;0q~6}::j2n6o6m;<0`non:51a?xu5k0i1<7=t=3a:g?d?j279o5k511c;?84di002=<5rs3a;6?6=;r79o5j5b9`897e?m3>8n63=c`;906d6f?e2k2i70<5;ij477>1:p6f>62908w0no8:832?xu5k1:1<7=t=3a;f?d?j279o5m542`897ef?3>8n6s|2b;:>5<4s48h544m8c9>6f>e28:j463=c`49=476f>f2k2i70=839p1?m68;`;f>;5k1k1==o7;<0`e0<>981v?m8e;297~;5k1=1n5l4=3a;e?24j279ol;542`8yv4d1>0;6>u22b;4>g>e348h4:4>0`:897ef933:=6s|2b5a>5<4s48h4;4m8c9>6f>02=9i7053z?1g<5=j1h01?m76;33e==::jk;64?>;|q1g2g=839p1?m8a;`;f>;5k1<18>l4=3ab4?24j2wx>n7=:18084d1;0i4o522b5b>46f0279o4h59038yv4bk:0;68u22d34>156348n=54;309>6`7>2=9:70;5lk:18>?4=3fa5?249279ho<5423897ce>3h3n6s|2df0>5<2s48n;k4;309>6`>72=9:70;<0f`1?4=3fb0?249279hl;5423897bf>3>8=63=d`59067<5;nj47:<1:?1`d?=<:;01?jna;605>;5mmn1n5l4}r0f`d<72n:8:512?84d<10??<522b6:>156348h8l4;309>6`bf2k2i7p}=ee;94??|5;o2n7:<1:?1a;5m0o18>?4=3g:b?249279il>5423897cf93>8=63=e`09067<5;oo57l7b:p6`b02902w0?69=>;<0f00<3;816>h:9:512?84b<>0??<522d6;>156348n844;309>6`2f2=9:7059z?1a05=<:;01?k:4;605>;5m?4=3g62?249279i895423897c203>8=63=e4;9067<5;o>m7:<1:?1aa0=j1h0q~<}::l2i69=>;<0fh6k:512?84b0l0??<522d:e>156348n5=4;309>6`?62=9:70;5m>>18>?4=3g41?249279i:85423897c0?3>8=63=e6:9067<5;o<57:<1:?1a2g=<:;01?klc;`;f>{t:li26=46{<0f76<3;816>h=;:512?84b;<0??<522d15>156348n?:4;309>6`5?2=9:70;<0fgd?4=3g50?249279i;;5423897c1>3>8=63=e759067<5;o=47:<1:?1a3?=<:;01?k9a;605>;5mjo1n5l4}r0fg2<720q6>ijm:512?84clj0??<522efg>156348ohh4;309>6aba2=9:70;<0ga7<3;816>hm7:c:a?xu5mj?1<77t=3fff?249279hhm5423897bbl3>8=63=ddg9067<5;nnj7:<1:?1`c6=<:;01?ji1;605>;5lo818>?4=3g`2?d?j2wx>hli:18:84cnk0??<522ed`>156348oji4;309>6a`b2=9:70;<0f44<3;816>h>=:512?84bk90i4o5rs3ga`?6=1r79i=l5423897c7k3>8=63=e1f9067<5;o;i7:<1:?1a5`=<:;01?k>0;605>;5m8;18>?4=3g26?249279iok5b9`8yv4bk80;64u22d00>156348n>94;309>6`422=9:70;<0f6=<3;816>h<6:512?84b:h0??<522da1>g>e3ty9iol50;;x97bdj3>8=63=dba9067<5;nhh7:<1:?1`fc=<:;01?jlf;605>;5lm:18>?4=3fg5?249279hi<5423897cek3h3n6s|2d`:>5<>s48o;>4;309>6a132=9:70;<0g32<3;816>i97:512?84c?00??<522e5b>156348nnl4m8c9~w7ce;3:15v3=dc`9067<5;nio7:<1:?1`gb=<:;01?jme;605>;5lkl18>?4=3f`4?249279hn?5423897bd:3>8=63=ec69f=d6a?42=9:7069=>;<0g=3<3;816>i78:512?84c110??<522e;:>156348o5l4;309>6`d62k2i7p}=ec594??|5;n3?7:<1:?1`=2=<:;01?j75;605>;5l1<18>?4=3f;3?249279h565423897b?13>8=63=d9c9067<5;oi47l7b:p7d75290=w0nl7:9c2?87dj=03m9523`31>460?2wx?l?8:18584c=>03m>522bg2>=g6348hi?47a29>6a6b21k87087:p7d7?290=w0;<0`a6nlj:9c2?84c8o03m?523`3;>460?2wx?l?6:18484c=?03m<522e7:>=g4348hi>47a09>6fc321k870;<1b5<<68>=0q~=n1`83>2}::m?<65o>;<0g1dnk;:9c2?84dm<03m>522ba3>=g6348hn?47a09>7d7f28:<;6s|3`3a>5<0s48o9547a09>6a3e21k870nl<:9c2?85f9k0:<:94}r1b5f<72>q6>i;6:9c2?84c=j03m>522bg5>=g6348hi:47a29>6fe521k:7087:p7d7c290nk7:9c0?84dk:03m<522b`6>=g6349j=i4>0658yv5f9l0;6:u22e7a>=g6348o9>47a29>6fc?21k:70;<0`f3{t;h;m6=48{<0g1fi;;:9c0?84dlj03m<522bfg>=g4348ho847a09>6fd021k:70=n1g824214?:6y>6a3521k:70;<0```nl6:9c2?84dim03m<523`30>460?2wx?l?;:18484c=:03m<522bff>=g6348hhk47a29>6fdf21k:70;<1b51<68>=0q~=n1483>2}::m??65o>;<0``cnk?:9c0?84djk03m<522bce>=g6348hoo47a09>7d7228:<;6s|3`35>5<0s48o9847a09>6fc721k:70;<0`ganm8:9c2?85f9?0:<:94}r0fe`<720q6>hh9:024=>;5mo=1==96;<0fb=<68>301?ki9;333<=::llj6<>89:?1acd=99=2706`ga2k2i7p}=eeg94?4|5;om:7:6e:?1aac=j1h0q~7}::ll<697j;<0fa5hk;:18184bn00?5h522dg7>g>e3ty9ih850;0x97cai3>2i63=ed49f=d6``e2=3n7052z?1ace=<0o01?kja;`;f>{t:loh6=4={<0fba<31l16>hkl:c:a?xu5m>h1<7=t=3g4b?77?>16?:<8:9c0?850:;03m<5rs3g4g?6=;r79i5>51154?850:>03m9523601>=g43ty9i:j50;0x97c?93;;;:52363g>=g23ty9i:k50;0x97c?:3;;;:52363g>=g63ty9i<=50;1x97c6?3;;;:52325g>=g43498;547a09~w7c6<3:1?v3=e0:955103498;i47a59>761?21k87p}=e0794?4|5;o:57??769>761421k>7p}=e0494?4|5;o:m7??769>761421k:7p}=d``94?5|5;njj7??769>6cea21k87053z?1`g6=99=<7065o:;|q1`dc=838p1?jm2;3332=::oi>65o>;|q087:?04cb=<0o01>6;40=:14l:4=2:76?>f<27849:58`6896>3>32j863<85:901>6;e;:b0>;40<:14l:4=2:66?>f<27848:58`68966b>32j>63<0d:9>je;:b6>;48o:14l<4=22e6?>f:27832j>63<0g:99?f;:b5>;4?8n14l<4=206=?>f>2wx?4;;:18;850kj099;523852>460?278;4?54d`8960?i32j>63=eed901>9n2;:b5>{t;0?o6=4n{<14gf<5>916?49=:0243>;4?0318hl4=24;e?>f;279ih?58`18960?032j>63<9`;99nb;:b5>{t;0?n6=4l{<14gf<5>816?49m:0243>;4?0k18hl4=24;e?>f9279ih=58`189602l32j863<88c901>798;:b7>;41hl14l=4=25bg?>f92wx?4;i:18g850kj09:?52385`>460?278;4l54d`897cb=32j?63<64f9=0h901>7n9;:b6>;41hk14l=4=2;5`?>f:2785;658`6896?fn32j>63<7`f972ed2;<870=67e82421<5:=2o7:jb:?1a`1=0h901>8:d;:b6>;400k14l=4=24;3?>f;2785l758`3896?fi32j863<97f9=0h;01>7nf;:b2>;4?ho14l?4}r1:24<72lq6?:ml:347?85>?l0:<:94=25:`?2bj279ih758`189602l32j=63<88c97n9;:b2>;41hk14l;4=2;5g?>f=2785:>58`6896?1032j>63<7`d972ed2;<>70=67g82421<5:=2i7:jb:?1a`d=0h901>8:c;:b7>;400k14l?4=2;bg?>f=278:5958`3896?f132j963<9`c9799;:b1>;41hl14l?4=25a4?>f92wx?48<:18g850kj09:;5238:3>460?278;4h54d`897cbl32j?63<64a901>877;:b2>;41hh14l<4=2;5g?>f;2785;h58`7896?1132j863<7c394?:3y>777777728:<;63=ed`97<=4?:0gx96>2=3>2i63<605907=5b21k970=7418;e7=:;1>965o=;<1;01=g534938n47a39>7=2b21k970=7518;e7=:;1?965o=;<1;11=g3349?5;47a59>71??21k?70=;9`8;e1=:;=3h65o;;<17=`=g3349?m;47a59>760221k:70=<728;e7=:;0h865o9;|q0500=83;nw0=;a687=`=:;;=01>:60;:b6>;4<0814l<4=26:0?>f:27884858`08962>032j>63<48c92o76n2:?00:n0;:b6>;4f:2788l858`08966b>32j863<0d:901>>je;:b0>;48o:14l:4=22e6?>f<27832j863<0g:901?hm7;:b5>;5nj?14l<4=2437?>f>2wx>i7m:18184ci:0:<:94=3d:3?>f:2wx>i7l:18184ci=0:<:94=3d:a?>f:2wx>i7k:18184ci<0:<:94=3d:b?>f:2wx>i7j:18184ci?0:<:94=3db4?>f:2wx>i7i:18184ci>0:<:94=3db5?>f:2wx>io?:18184ci10:<:94=3db6?>f:2wx>io>:18184ci00:<:94=3db7?>f:2wx>io=:18184cih0:<:94=3db0?>f:2wx>n:::18e84d<00:<:94=3af5?>f<279oh<58`6897eb;32j863=cd6901?mj7;:b0>;5kl214l:4=3agg?>f<279oij58`6897ecm32j863=ced9c}::j>j6<>87:?1`00=0h>01?j:7;:b0>;5l<214l:4=3f6=?>f<279h8o58`6897b2j32j863=d4a9>76n4:?1`05=0h>01?j:4;:b0>;5lf:279onl58`0897ed132j>6s|2d;0>5<5s48n5o4>0658961c132j:6s|2d;7>5<5s48n5n4>0658961ci32j:6s|2d;6>5<5s48n5i4>0658961cj32j:6s|2d;5>5<5s48n5h4>0658961ck32j:6s|2d;4>5<5s48n5k4>065896?0832j=6s|2d;;>5<5s48nm=4>065896?0832j?6s|2d;:>5<5s48nm<4>065896?1n32j?6s|2d;b>5<5s48nm?4>065896?1n32j86s|2d1a>5<5s48n8>4>0658961c132j=6s|2d1`>5<5s48n894>0658961ci32j=6s|2d1g>5<5s48n884>0658961cj32j=6s|2d1f>5<5s48n8;4>0658961ck32j=6s|2d1e>5065896>7m32j=63<81d96?8;:b7>;409h14l<4=2:21?>f:27846n32j?63<80596?a;:b5>;40;;14l:4}r0f05<72oq6>h:7:0243>;409l14l?4=2:3g?>f;2784<758`6896>7032j863<81`96>f;:b0>;408=14l<4=2:27?>f927847i32j?63<83397=6a21k870=70b8;e1=:;1;265o>;<1;4=m:9c7?85?9<03m952393g>=g43493=k47a39>7=7021k870=7128;e6=:;1;i65o=;<1;4d:9c0?85?9803m?5rs3g76?6=99q6>h:n:0243>;409o14l=4=2:3b?>f<2784=m58`3896>6132j>63<81:96>d;:b6>;408l14l?4=2:23?>f<2784<=58`6896>6j32j863<81c92}::l?86<>87:?06kd;:b1>;40m214l84=2:g=?>f;2784i<58`0896>c;32j86s|2d6`>5<5s48n994>065896?e;32j96s|2d6g>5065896>4m32j=63<852976n1:?0<12=0h;01>6;6;:b5>;40=214l?4=2:7e?>f927849m58`3896>3m32j=63<8429>76n1:?0<02=0h;0q~4d|5;o>:7??769>7=??21k>70=8dd8;e0=:;>oh65o;;<14ag=g334972c221k?70=8e58;e1=:;>o865o;;<14a7:9c7?850m903m95236fe>=g334972`421k?70=8f38;e1=:;>l:65o;;<14b5=g334975dz?1a01=99=<70=6f38;e0=:;0l;65o:;<1b41=:9c6?85f8903m85238df>=g23492jn47a49>7<`f21k>70=6f98;e0=:;0l=65o:;<1:b116?4h=:9c1?85>n903m?523`27>=g5349j7d6721k970=6fd8;e7=:;0lh65o=;<1:bdn?03m?5238d7>=g53492ih47a39~w7c293:1hv3=e4;955103492j>47a59>7<`621k?70=n048;e1=:;h:865o;;<1b44nm03m95238da>=g33492j447a59>7<`021k?70=6f48;e1=:;0om65o;;|q1a04=83np1?k:a;3332=:;0l865o=;<1:b4::9c1?85f8:03m?523`22>=g53492jk47a39>7<`c21k970=6fc8;e7=:;0l265o=;<1:b2mo03m?5rs3g;7?6=;r79i5l51154?850::03m>52363f>=g63ty9i5:50;1x97c?k3;;;:523600>=g3349<=h47a29~w7c?=3:1?v3=e9f95510349<>947a29>727a21k:7p}=e9494?5|5;o3i7??769>724321k?70=81g8;e6=z{;o3;7>53z?1a=`=99=<70=8248;e6=:;>8;65o>;|q1a=>=839p1?k60;3332=:;>8>65o;;<1465:9c2?xu5m1k1<7=t=3g:6?77?>16?:<9:9c7?850:803m>5rs3g6f?6=:r79i;=51154?851nm03m?5rs3g6g?6=:r79i;:51154?851nl03m?5rs3g6`?6=:r79i;;51154?851n903m?5rs3g6a?6=:r79i;851154?851n803m?5rs3g6b?6=:r79i;951154?851n;03m?5rs3g54?6=:r79i;651154?851n:03m?5rs3g55?6=:r79i;751154?851n=03m?5rs3g56?6=:r79i;o51154?851n<03m?5rs3g5f?6=:r79i:=51154?851mo03m?5rs3g5g?6=:r79i::51154?851n?03m?5rs3g5`?6=:r79i:;51154?851n>03m?5rs3g5a?6=:r79i:851154?851n103m?5rs3g5b?6=:r79i:951154?851n003m?5rs3g44?6=:r79i:651154?851nh03m?5rs3g45?6=:r79i:751154?851nk03m?5rs3g46?6=:r79i:o51154?851nj03m?5rs3g1f?6=:r79i>=51154?853:k03m;5rs3g1g?6=:r79i>:51154?853:j03m;5rs3g1`?6=:r79i>;51154?853:m03m;5rs3g1a?6=:r79i>851154?853:l03m;5rs3g1b?6=:r79i>951154?852k903m95rs3g04?6=:r79i>651154?852k903m?5rs3g05?6=:r79i>751154?852jo03m?5rs3g06?6=:r79i>o51154?852jo03m85rs3fg7?6=:r79hil51154?853:k03m<5rs3fg0?6=:r79him51154?853:j03m<5rs3fg1?6=:r79hij51154?853:m03m<5rs3fg2?6=:r79hik51154?853:l03m<5rs3fg3?6=nr79hih51154?853>903m<523542>=g5349?9h47a39>710e21k870=;5`8;e6=:;=?o65o=;<172252354:>=g6349?:847a39>710c21k:70=;5b8;e4=:;==865o;;|q1`a>=83lp1?jj0;3332=:;=<:65o>;<171`=g4349?::47a09>710a21k:70=;708;e1=:;=<265o=;<1720523550>=g5349?:>47a09~w7bc13:1==u22eg2>460?2788;>58`089621932j?63<44g9=n76n1:?000g=0h801>::d;:b0>;4f;2788:?58`089621132j?63<4779=h76n2:?000e=0h801>:83;:b7>;4k03m?52357b>=g6349?9i47a09>710021k870=;6g8;e7=:;==:65o>;<172<m03m952357`>=g3349?;>47a09>710421k87p}=dd194?0|5;nnn7??769>704421k870=:2b8;e6=:;<8o65o:;<166=1<716?;><:9c6?xu5ll?1<7jt=3ff`?77?>16?97?:9c2?8531;03m<5235;7>=g6349?5;47a09>71??21k:70=;9`8;e4=:;=3h65o>;<17=`=g6349?m;47a09~w7bb>3:1=ou22egf>460?2788ho58`789624832j963<42g98h76n4:?006e=0h>01>:;4<:314l:4=260f<2788>958`689624>32j863<42798876n4:?0065=0h>01>:<2;:b0>;4<:;14l:4=261b?>f<27889;58`689623<32j863<4519?>76n4:?0017=0h>01>:;0;:b0>;4<:l14l:4=260f?>f<278:==58`18962a832j86s|2eg4>506589604>32j963<62698;4;:b1>;4>=814l;4=2474?>f=278:>k58`789604k32j963<62c9a}::ml;6<>87:?0260=0h801>8<4;:b6>;4>=214l<4=2472?>f:278:9:58`089603:32j>63<65298;4>:214l<4=2406?>f:2wx>ik6:18g84cn80:<:94=2403?>f<278:>;58`689603132j863<655901>8;1;:b0>;4>:l14l:4=240`?>f<278:>l58`689604132j863<62196a`528:<;63<62598;7;:b6>;4>=?14l<4=2477?>f:278:9?58`089604n32j>63<62f98<3;:b6>{t:l;i6=4<{<0f66<68>=01>=89;:b7>;4;>>14l?4}r0f5f<72:q6>h<;:0243>;4;>314l:4=2140?>f;2wx>h?k:18084b:<0:<:94=214e?>f;278?:;58`38yv4b9l0;6>u22d05>460?278?:o58`689650=32j?6s|2d3e>5<4s48n>:4>06589650j32j?63<3649=4?:2y>6`4?28:<;63<36`96}::l8j6<>87:?072e=0h>01>=87;:b7>{t:ml86=4={<0gbg<68>=01>=:3;:b6>{t:ml?6=4={<0gbf<68>=01>=:4;:b6>{t:ml>6=4={<0gba<68>=01>=;6;:b6>{t:ml=6=4={<0gb`<68>=01>=;7;:b6>{t:ml<6=4={<0gbc<68>=01>=;8;:b6>{t:ml36=4={<0f45<68>=01>=;9;:b6>{t:ml26=4={<0f44<68>=01>=;a;:b6>{t:mlj6=4={<0f47<68>=01>=;b;:b6>{t:l:86=4={<0f4g<68>=01>=;5;:b6>{t:l:?6=4={<0f4f<68>=01>=;c;:b6>{t:l:>6=4={<0f4a<68>=01>=;d;:b6>{t:l:=6=4={<0f4`<68>=01>=;e;:b6>{t:l:<6=4={<0f4c<68>=01>=;f;:b6>{t:l:36=4={<0f55<68>=01>=:0;:b6>{t:l:26=4={<0f54<68>=01>=:1;:b6>{t:l:j6=4={<0f57<68>=01>=:2;:b6>{t:mi86=4={<0ggg<68>=01>>71;:b2>{t:mi?6=4={<0ggf<68>=01>>72;:b2>{t:mi>6=4={<0gga<68>=01>>73;:b2>{t:mi=6=4={<0gg`<68>=01>>74;:b2>{t:mi<6=4={<0ggc<68>=01><>6;:b0>{t:mi36=4={<0g`5<68>=01><>6;:b6>{t:mi26=4={<0g`4<68>=01><>5;:b6>{t:mij6=4={<0g`7<68>=01><>5;:b1>{t:m=01>>71;:b5>{t:m=01>>72;:b5>{t:m=01>>73;:b5>{t:m=01>>74;:b5>{t:m=01>>m6;:b5>;48k=14l<4=22a0?>f:27801>>l7;:b7>;48kl14l?4=22af?>f:2786a1?28:<;63<0c5901>>m0;:b0>;48k914l=4=22a`?>f9278>m2;:b7>;48j314l<4=22a=?>f92wx>i9>:1824~;5l>31==98;<13f3=g6349;n=47a39>75d421k?70=?be8;e1=:;9i>65o<;<13g25231a0>=g5349;n?47a39>75e>21k870=?b88;e7=z{;n<>7>511y>6a1f28:<;63<0c49>l1;:b6>;48k:14l?4=22a7?>f927863<0b5901>>l3;:b0>;48k814l:4=22`=?>f9278460?278=:758`18967?:32j?63<19197}::m2?6<>87:?060?=0h?0q~a}::m2>6<>87:?04`0=0h;01>>j8;:b5>;48lk14l?4=22fg?>f9278>i8;:b5>;48ok14l?4=22eg?>f92wx>i9j:182f~;5l1<1==98;<1205=g3349;5?47a59>75?721k?70=?8g8;e1=:;92n65o;;<13=g3349;4447a59>75>?21k?70=?868;e1=:;92>65o;;<13=g=g3349;5:47a59>75?121k?70=?948;e1=:;93:65o;;<111<l1<7jt=3f;3?77?>16??6l:9c6?8550h03m85233;f>=g234995n47a49>77?f21k>70==998;e0=:;;3=65o:;<11=1=g234994547a49~w7b?83:1hv3=d9:9551034994n47a39>77>f21k970==9d8;e7=:;;3h65o=;<11=d=g534995?47a39>77?721k970==8d8;e7=:;;2365o=;|q1`=7=83np1?j79;3332=:;;2o65o;;<11=g334995447a59>77?021k?70==948;e1=:;;3865o;;<11=4=g534995k47a39>77?c21k970==9c8;e7=:;;3265o=;<11=2=g534994k47a39>77>>21k97p}=dc194?5|5;nin7??769>6cee21k87053z?1`ge=99=<70km8:9c0?xu5lk=1<7=t=3fab?77?>16>kmk:9c0?84ak103m<5rs3fa51154?84akm03m9522ga;>=g43ty9ho750;1x97bd93;;;:522gaf>=g4348mo447a09~w7bei3:1?v3=db095510348moh47a59>6ce>21k87p}=d9`94?4|5;n2?7??769>6cg221k97p}=d9a94?4|5;n287??769>6cg121k97p}=d9f94?4|5;n297??769>6c??21k97p}=d9g94?4|5;n2:7??769>6c?>21k97p}=d9d94?4|5;n2;7??769>6c?f21k97p}=d8294?4|5;n247??769>6c?e21k97p}=d8394?4|5;n257??769>6c?d21k97p}=d8094?4|5;n2m7??769>6c?c21k97p}<64594?c|5:29m76n1:?03a?=j1h01>6=9;:b5>;40:i14l?4=2:11?>f<2784>;58`1896>4i32j>63<835901>6=f;:b7>;40:;14l:4=2:1`?>f:2784?658`38yv51=00;6ku2390b>=g53493?;47a39>7=4>21k870=73b8;e1=:;>ni6o6m;<1;60=g33493?547a39>7=5421k970=72g8;e1=:;19:65o<;<1;6af;2784>858`38961ck3h3n63<83;96<5;:b6>;40:k14l?4=2:13?>f92784>658`3896>4;32j?63<83d901>6=8;:b6>{t;??36=4j{<1;73=g43493>847a39>7=5221k?70=73`8;e6=:;18<65o<;<1;7==g63493>i47a29>7=4?21k?7p}<64g94?74s49725e21k:70=8448;e4=:;>??65o>;<141==g6349<9;47a09>723f21k:70=85b8;e4=:;>>;65o>;<1407:0i4o523665>=g6349<8547a09>722f21k:70=84b8;e4=z{:=mn7>512y>725b21k?70=8fb8a9i65o;;<1400=g3349<8h47a59>723721k?70=8578;e1=:;>?j65o;;<141f=g3349<8;47a59>722?21k>70=84`8;e0=:;>>h65o:;|q0=12=83883;:b6>;4>>;14l;4=245a?>f<278:;j58`7896>793h3n63<7549o7l7b:?022?=0h;01>66c;:b5>;4>>h14l<4=24;5?>f:278:;;58`089601j32j>63<67d9897;:b5>;4>>n14l?4=24;7?>f9278:5;58`389600n32j=63<98494?:6y>731421k?70=9708;e6=:;1:;6o6m;<1401l03m?52374`>=g33ty8:5850;31851?:03m>523752>=g3349=;447a39>7=?d21k870=97c8;e6=:;?2:65o<;<1520o03m>52374g>=g4349=:447a59>730021k?70=97e8;e1=:;?2865o;;<15<00i4o5238;5>=g23ty8:5l50;4x960?j3h3n63<64a979a;:b5>;40m814l;4}r1:03<72>q6?5><:c:a?851?>03m952366f>=g5349=;447a49>731f21k970=97e8;e6=:;?=m65o=;|q0=de=83;9w0=9768;e3=:;?=>65o<;<153<=g2349=:947a49>730f21k>70=96d8;e0=:;?>03m;52375g>=g1349=4>47a79>73>221k=70=97g8;e3=:;03=65o;;|q0=11=83=p1>6?4;`;f>;4?<:14l<4=244f:278::o58`68960?932j=63<66a965o;;<14bd52375a>=g63ty859;50;5x9600?32j963<6679888;:b0>;4>>h14l:4=244`?>f:2wx?4o7:1826~;4>>=14l<4=2445?>f>278::658`7896>>k32j863<66c989a;:b7>;4>?o14l=4=245g?>f;278:;658`789601>32j963<66a976n5:?02=2=0h?01>88e;:b1>;41hh1n5l4=2;:2?>f>2wx?4:7:184850n=0i4o523671>=g5349=;o47a49>73>621k?70=97e8;e0=:;?2865o=;<153`7;a;293~;4?o<1n5l4=2562?>f:278:5>58`689601=32j=63<69092}:;>l<6o6m;<141=:9c6?851><03m9523744>=g5349=4>47a49>73>321k?7p}<95;94?1|5:=m97l7b:?0302=0h801>870;:b6>;4>>i14l=4=24;7?>f;278:5;58`089600n32j96s|386g>5<0s49723d21k970=9658;e1=:;?;<152<5rs2;74?6=?r78;kj5b9`89614m32j>63<6779898;:b0>;4>?=14l;4}r1:0f<72>q6?:h7:c:a?850=h03m?523747>=g5349=:447a39>730021k870=9838;e6=:;?2>65o:;|q0=14=83=p1>9if;`;f>;4>>;14l<4=2576?>f:278:;o58`689601n32j>63<67a9>;65o=;<152dm03m952374:>=g2349=:;47a29~w6?fl3:18v3<9`a97nb;:b5>{t;?226=4<{<15>j03m?5rs2;5f?6=ck32j863<8e;9f;2785;o5b9`8yv5?8m0;6?u239d0>1?b3493v3<7ca9=47<5:=jm7l7b:p72??2909w0=8998ahh69=m;|q03d?=839p1>968;33e==:;>hi64?>;<14e<967;297~;4?0=1n5l4=25:u236;4>46f0278;oo59038961f03h3n6s|36;5>5<4s49<5;4m8c9>72?02=9i70=8b`877g=z{:=j;7>53z?03<0=99k370=8b88:54=:;>k<6o6m;|q03<3=839p1>965;`;f>;4?0<18>l4=25a=?24j2wx?:o9:1808501<0:u236;7>g>e349<584;3c9>72d?2=9i7p}<7`794?5|5:=287??a99>72d020;:70=8a48a53z?03<5=j1h01>964;60f>;4?k=18>l4}r14e1<72:q6?:7<:02b<>;4?k<1515e34972g42k2i7p}<7`394?5|5:=j=7l7b:?03<4=<:h01>9m5;60f>{t;>h86=4<{<14e4<68h201>9l5;;25>;4?k91n5l4}r14e5<72:q6?:o?:c:a?850i80??o5236a6>15e3ty8;o<50;1x961f83;;m55236a7><76349n3:1?v3<78d9f=d<5:=j<7:6}:;>3m6<>n8:?03f5=18;01>9m1;`;f>{t;>3n6=4<{<14=`g>e3ty8;4j50;1x961>l3h3n63<78g906d<5:=h>7:<5:=h=77>1:?03d`=j1h0q~=89b83>6}:;>3h6o6m;<14=a<3;k16?:m>:51a?xu4?ho1<7=t=25:g?77i116?:m?:832?850il0i4o5rs25:f?6=;r78;4l5b9`8961>k3>8n63<7b2906d72?e28:j463<7cd9=47<5:=jh7l7b:p72?f2908w0=89`8a3i69=m;<14fc<3;k1v>9nc;297~;4?0k1==o7;<14f`<>9816?:ol:c:a?xu4?031<7=t=25:=?d?j278;4o542`8961em3>8n6s|36ca>5<4s49<544>0`:8961el33:=63<7``9f=d72?62k2i70=898877g=:;>ho69=m;|q03d4=839p1>961;33e==:;>h?64?>;<14e77;e;297~;4?0;18>l4=25a0?24j27859h5b9`8yv50>k0;6?u236:4><76349<:n4m8c9~w61483:1>v3<7229f=d<5:=3;7:2908w0=831824d><5:=3:77>1:?033g=j1h0q~=82g83>6}:;>8m6o6m;<1475<3;k16?:69:51a?xu4??=1<7=t=251b?77i116?:6::832?850>10i4o5rs251a?6=;r78;?k5b9`89615n3>8n63<797906d724b28:j463<7969=47<5:==:7l7b:p724c2908w0=82e8a8n69=m;<14<1<3;k1v>993;297~;4?;n1==o7;<14<6<>9816?:8;:c:a?xu4?;i1<7=t=251g?d?j278;?j542`8961?;3>8n6s|3642>5<4s49<>n4>0`:8961?:33:=63<7709f=do4?:2y>724e2k2i70=82b877g=:;>2969=m;|q030`=839p1>9=b;33e==:;>2:64?>;<14259=a;297~;4?;k1n5l4=251f?24j278;5?542`8yv50=m0;6>u2360b>46f0278;5>590389612m3h3n6s|361:>5<4s49724f2=9i70=881877g=z{:=53z?036?=99k370=8918:54=:;>=n6o6m;|q036>=839p1>9<8;`;f>;4?:318>l4=25:4?24j2wx?:9m:180850;10:0;6>u23614>g>e34972>a2=9i7p}<76;94?5|5:=8;7??a99>72>b20;:70=87`8a53z?0360=j1h01>9<7;60f>;4?1o18>l4}r1432<72:q6?:=9:02b<>;4?1n1515e349<4i4;3c9~w610=3:1?v3<727955g?349<4n46109>72112k2i7p}<72694?5|5:=887l7b:?0363=<:h01>97c;60f>{t;>=86=4<{<1471<68h201>97b;;25>;4?>>1n5l4}r1476<72:q6?:=<:c:a?850;=0??o5236:a>15e3ty8;:?50;1x9614;3;;m55236:b><76349<;?4m8c9~w614:3:1?v3<7209f=d<5:=8?7:6}:;>996<>n8:?03=?=18;01>980;`;f>{t;>9:6=4<{<1474?511c;?8500102=<52364f>g>e3ty8;?650;1x961503h3n63<723906d<5:=347::n69=m;|q02`5=839p1>8j3;`;f>;4>l?18>l4=253`?24j2wx?;k>:180851m80i4o5237g0>15e349<6}:;?nh6o6m;<15``<3;k16?:>6:51a?xu4>mk1<7=t=24ge?d?j278:im542`8961703>8n6s|37f;>5<4s49=h54m8c9>73bf2=9i70=806877g=z{:53z?02a1=j1h01>8k8;60f>;4?9<18>l4}r15b0<72:q6?;h::c:a?851l>0:15e349<<84;3c9~w60a<3:1?v3<6g69f=d<5:726320;:7p}<6e794?5|5:9?4;60f>{t;?l86=4<{<15b6;4?991515e3ty8:k<50;1x960a:3h3n63<6e6955g?349<6}:;?l:6o6m;<15`6<68h201>9?1;;25>{t;?n96=4<{<15`75b9`8960c:3;;m5523623><763ty8:hk50;1x960bm3h3n63<6e0906d<5:=;<7:n8:?0341=18;0q~=9ee83>6}:;?oo6o6m;<15a`<3;k16?:?8:51a?xu4>on1<7=t=24e`?d?j278:hj511c;?8509?02=<5rs24fg?6=;r78:hm5b9`8960bl3>8n63<704906d73`d2k2i70=9eb824d><5:=:977>1:p73ce2908w0=9ec8a8ib;297~;4>oh1n5l4=24ff?77i116?:?;:832?xu4>lk1<7=t=24fe?d?j278:hl542`89616<3>8n6s|37db>5<4s49=jl4m8c9>73cf28:j463<7019=4773c>2k2i70=9e`877g=:;>;869=m;|q02c?=839p1>8i9;`;f>;4>l31==o7;<1457<>981v>8j8;297~;4>l21n5l4=24f=?24j278;<<542`8yv51n10;6>u237d;>g>e349=i54>0`:89616933:=6s|37g4>5<4s49=i:4m8c9>73c?2=9i70=810877g=z{:53z?02c1=j1h01>8j7;33e==:;>;;64?>;|q02`6=839p1>8j0;`;f>;4>l=18>l4=2524?24j2wx?;h9:180851n?0i4o5237g3>46f0278;=o59038yv51l80;6>u237f2>g>e349=i=4;3c9>726f2=9i7p}<6dd94?5|5:52z?034e=j1h01>9>d;:b7>{t;>896=4={<1467{t;>8<6=4={<1462g>e349<=o4>0`:8yv50:?0;6?u23605>g>e349<=o4;ec9~w616i3:1>v3<70c9f=d<5:=:n7:;j6<>n8:p72422909w0=8248a;j69km;|q034?=838p1>9>9;`;f>;4?8k18>l4}r145c<72;q6?:?i:c:a?850900:8n6s|363f>5<5s49<=h4m8c9>727?28:j46s|3600>5<5s49<>>4m8c9>727?2=oi7p}<75`94?4|5:3:47:6e:?031e=j1h0q~=77183>7}:;>2<6o6m;<140f9;9;296~;418=184k4=257e?d?j2wx?58k:1818500?0i4o52366b>=g53ty8;9950;0x96?6>3>2i63<75:9f=d72>22k2i70=8498;e7=z{:=?97>52z?0=43=<0o01>9;6;`;f>{t;1<<6=4={<14<1m278;9:5b9`8yv5?>=0;6?u236:0>g>e349<8947a79~w61393:1>v3<901907l7b:p7=062909w0=8838a>965o9;|q036`=838p1>7>2;6:a>;4?=:1n5l4}r1;1`<72;q6?:6>:c:a?850<903m;5rs250`?6=:r7855<5s49<4=4m8c9>725b21k=7p}<74`94?4|5:39<7:6e:?030e=j1h0q~=79083>7}:;>3;6o6m;<141f9:9;296~;418l184k4=256e?d?j2wx?56j:1818500o0i4o52367b>=g13ty8;8950;0x96?6m3>2i63<74:9f=d72>b2k2i70=8598;e3=z{:=>97>52z?0=4b=<0o01>9:6;`;f>{t;1236=4={<14m278;8:5b9`8yv5?0<0;6?u236:`>g>e349<9947a79~w61293:1>v3<90`90>7l7b:p7=>52909w0=88c8a?965o9;|q031`=838p1>7>a;6:a>;4?<:1n5l4}r1;3c<72;q6?:6n:c:a?850=903m;5rs257`?6=:r785<7548g89613m3h3n6s|395`>5<5s49<444m8c9>722b21k=7p}<72a94?4|5:3:<7:6e:?0313=j1h0q~=77483>7}:;>236o6m;<14009=g13ty84i>50;0x96>dn3>2i63<8e69f=d7=b22=3n70=7d68a52z?06ke;`;f>{t;>ij6=4<{<14gdn7::9c2?84d1?03m>5rs25`72e02k2i70;|q03a0=838p1>9lc;;64>;4?jk14l?4}r14`0<72:q6?:ml:86e?850k003m?5236ab>=g53ty8;i:50;6x961dk33?i63<7b596}:;>ih64:k;<0`3=n7k:9c2?xu4?m81<7=t=25`g??3k279o:958`3897e>k32j=6s|36f2>5<4s496f1121k:7053z?03fe=1=k01?m85;:b5>;5k0k14l?4}r14gc<72:q6?:ml:86:?84d?=03m<522b;:>=g63ty8;nk50;1x961dk33?463=c619;<0`=29k8;297~;4?ji15;o4=3a44?>f9279o4=58`38yv50l>0;6>u236a`><0>348h:k47a09>6f?521k:7p}<87d94?4|5:37}:;0=2697j;<14fg699;296~;41>2184k4=25ae?d?j2wx?589:18185>?>0?5h5236`:>g>e3ty84;=50;0x96?0>3>2i63<7c:9f=d7<122=3n70=8b68ah7>52z?0=22=<0o01>9m6;`;f>{t;1?j6=4={<1:36<31l16?:l::c:a?xu400:1<7m278;n;5b9`8yv5?0m0;6?u238:2>1?b349?i3:1>v3<9929002909w0=67g87=`=:;>i96o6m;|q0<=2=838p1>78e;6:a>;4?j;1n5l4}r1;<4<72;q6?49k:5;f?850k90i4o5rs2:4a?6=:r785:m548g8961en3h3n6s|395a>5<5s492;o4;9d9>72db2k2i7p}<86694?4|5:3<>7:6e:?03gb=j1h0q~=75683>7}:;0=:697j;<14f17:1;2956}:;0=:69=>;<1:37<3;816?49m:512?85>?j0??<52385g>1563492;h4;309>7<1a2=9:70=6818774=:;02:69=>;<1:<7<3;816?49<:512?85>?=0??<523856>1563492;;4;309>7<102=9:70=6798774=:;0=269=>;<1:3d<3;816?4;=:c:a?xu40;h1<7m2784>85b9`8yv5?:00;6?u23810>1?b3493>l4m8c9~w6>5>3:1>v3<920906o6m;|q0<6d=838p1>7;40:i1n5l4}r1;7<<72;q6?4=m:5;f?85?;h0i4o5rs2:03?6=:r785>o548g896>403h3n6s|3917>5<5s492?44;9d9>7=522k2i7p}<82094?4|5:3847:6e:?0<65=j1h0q~=73183>7}:;09<697j;<1;746=e;296~;41:<184k4=2:1b?d?j2wx?5;<0?5h52390g>g>e3ty84?=50;0x96?483>2i63<8359f=d?4?:3y>7<4a2=3n70=7288a53z?06le;3332=:;?ln65o>;<15a`<3mk1v>6m5;297~;40jn1==98;<15ba1<7=t=2:`g?77?>16?;hl:9c2?851mj0?io5rs2:a7?6=;r784nl51154?851nk03m<5237ga>1ce3ty84o<50;1x96>di3;;;:5237db>=g6349=il4;ec9~w6>e93:1?v3<8b;95510349=j447a09>73c>2=oi7p}<8c294?5|5:2h47??769>73`?21k:70=9e987ag=z{:2jj7>53z?06m8;3332=:;?l=65o>;<15a5<3mk1v>66f;297~;40k=1==98;<15ac:5ga?xu40>31<7m278;=k5b9`8yv5??10;6?u239a5>1?b349<0?3:1>v3<8b790:i6o6m;|q0<24=838p1>6l2;6:a>;4?931n5l4}r1;34<72;q6?5m>:5;f?850810i4o5rs2:5a?6=:r784n>548g89617?3h3n6s|394a>5<5s493nk4;9d9>72612k2i7p}<87:94?4|5:2ii7:6e:?0353=j1h0q~=76483>7}:;1ho697j;<1441692;296~;40ki184k4=2537?d?j2wx?5;i:18185?jk0?5h523621>g>e3ty848m50;0x96>ei3>2i63<7139f=d7=d>2=3n70=8018a52z?09>7;`;f>{t;12h6=4={<1;ga<31l16?:?9:c:a?xu40131<7m278;<;5b9`8yv5?0?0;6?u239aa>1?b349<=94m8c9~w6>?;3:1>v3<8bc9072909w0=7c887=`=:;>;96o6m;|q0<2b=838p1>6l8;6:a>;4?8;1n5l4}r1;3d<72;q6?5m<:5;f?850990i4o5rs2:47?6=:r784o6548g89617i3h3n6s|3975>5<5s493n:4;9d9>73`a2k2i7p}<80294?4|5:2m87:6e:?0<47=j1h0q~=70b83>7}:;1l9697j;<1;4c6?9;296~;40o;184k4=2:3f?d?j2wx?5>8:18185?n90?5h52392;>g>e3ty84?>50;0x96>ak3>2i63<8339f=d7=`e2=3n70=71g8a52z?06>d;`;f>{t;1;j6=4={<1;b<<31l16?5?m:c:a?xu40821<7m2784<75b9`8yv5?9?0;6?u239d4>1?b3493=:4m8c9~w6>6<3:1>v3<8g4906jf;6:a>;409k1n5l4}r1;40<72;q6?5kj:5;f?85?8j0i4o5rs2::3?6=91q6?;ln:512?851jk0??<5237a5>156349=ol4;309>73ee2=9:70=9cb8774=:;?io69=>;<15g`<3;816?;mi:512?851l90??<5237``>156349=ni4;309>73db2=9:70=9bg8774=:;?i;69=>;<15g4<3;816?;m=:512?851k:0??<5237a7>156349=o84;309>73e02=9:70=9c98774=:;?i269=>;<1;==7f92wx?576:18e851?>03m>523756>=g5349=;547a29>7=?d2k2i70=97c8;e3=:;?2:65o9;<1520m03m;52374;>=g5349=:;47a39>731d21k970=9838;e7=:;?2?65o=;<153`884;296~;410=184k4=2441?d?j2wx?;99:18185>i90?5h523754>g>e3ty8::650;0x96?f93>2i63<66:9f=d752z?0=d5=<0o01>88c;`;f>{t;?=n6=4={<1:e1<31l16?;9j:c:a?xu4>1:1<7m278:5>5b9`8yv510;0;6?u238c5>1?b349=4?4m8c9~w60?<3:1>v3<9`590769;6:a>;4>?<1n5l4}r152=<72;q6?47n:5;f?851>10i4o5rs245e?6=:r7854l548g89601i3h3n6s|374`>5<5s4925n4;9d9>730d2k2i7p}<67g94?4|5:32h7:6e:?023c=j1h0q~=97183>7}:;03n697j;<1534882;296~;410l184k4=2447?d?j2wx?4kj:18085>l>0?5h5236a`>65b3492ih4m8c9~w6?a<3:1?v3<9e:906}:;0ni697j;<14gf<4<016?4h9:c:a?xu41o21<7nh0;6>u238f`>1?b3497<`f2k2i7p}<9ga94?5|5:3oh7:6e:?03fe=;=i01>7ic;`;f>{t;0ln6=4<{<1:``<31l16?:ml:26g?85>nl0i4o5rs2c34?6=;r785ih548g8961dk39?i6377j1;6:a>;4?ji1?8>4=2c30?d?j2wx?4h?:18085>l00?5h5236a`>65a3492j=4m8c9~w6?a:3:1?v3<9ec907}:;h:=697j;<1;7`6;3;296~;4i9=184k4=2:70?d?j2wx?5:::18185f8h0?5h523965>g>e3ty849950;0x96g7j3>2i63<85:9f=d7d6d2=3n70=74`8a52z?0e5b=<0o01>6;c;`;f>{t;1>o6=4={<1b4`<31l16?5:j:c:a?xu40=l1<7m27848>5b9`8yv5?=80;6?u23`33>1?b34939?4m8c9~w6>2;3:1>v387l7b:p7=5a2909w0=n0987=`=:;1>;6o6m;|q0<17=838p1>o?9;6:a>;40=81n5l4}r1:f4<72;q6?4l?:5;f?8509m0i4o5rs24b7?6=:r78:oo548g8961cm3h3n6s|37cf>5<5s49=no4;9d9>72c72k2i7p}<6c094?4|5:7}:;?ij697j;<14a08m4;296~;4>jh184k4=25f2?d?j2wx?;l::181851kj0?5h5236g4>g>e3ty8:o850;0x960dl3>2i63<7d:9f=d73eb2=3n70=8e`8a52z?02f`=<0o01>9jb;`;f>{t;?h26=4={<15`5<31l16?:kl:c:a?xu4>h>1<7m278;h75b9`8yv51i<0;6?u237`g>1?b3493:1>v3<6cg90om6o6m;|q02d>=838p1>8l0;6:a>;4?o:1n5l4}r15e<<72;q6?;m>:5;f?850n80i4o5rs24be?6=:r78:n<548g8961a:3h3n6s|37ca>5<5s49=o>4;9d9>72`42k2i7p}<6`a94?4|5:7}:;?i>697j;<14`c8nf;296~;4>j=184k4=25f5?d?j2wx?;l?:181851k10?5h5236g1>g>e3ty8:o?50;0x960d13>2i63<7d19f=de?3;;;45239`;>46012784n=5115:?85?k10:<:74=2:`=?77?016?5mn:024=>;40jh1==96;<1;gf<68>301>6ld;333<=:;1in6<>89:?07=dd28:<563<8cf9551>3493nh4>06;896>en3;;;45239a3>46012784n?5115:?85?k;0:<:74=2:`0?77?016?5m::024=>;40j<1==96;<1;g2<68>301>7m3;`;f>{t;0h?6=4>4z?0=5`=99=270=6118242?<5:3:57??789>7<7f28:<563<90`9551>3492=n4>06;896?6l3;;;452383f>46012785:90:<:74=2;25?77?016?4?=:024=>;41891==96;<1:51<68>301>7>5;333<=:;0;=6<>89:?0=41=99=270=6198242?<5:3i97l7b:?0=05=0h90q~=6b783>45|5:3<=7??789>7<1528:<563<96`9551>3492;n4>06;896?0l3;;;452385f>46012785:h5115:?85>090:<:74=2;;5?77?016?46=:024=>;41>91==96;<1:31<68>301>785;333<=:;0==6<>89:?0=21=99=270=6798242?<5:3<57??789>7<1f28:<563<9c59f=d72ed2;<<70=68082421<5:=j<7:jb:?02=d=0h801>7nd;:b6>;4?k814l?4}r1:20<72?q6?:ml:34;?85>0;0:<:94=25b5?2bj278:5l58`1896?fl32j=63<7c1972ed2;?<70=67282421<5:=2>7:jb:?02=d=0h>01>7ne;:b0>;4?h914l?4}r1:13<72?q6?:ml:37;?85>?=0:<:94=25:7?2bj278:5l58`7896?fm32j?63<7`6972ed2;?270=67482421<5:=287:jb:?02=d=0h<01>7ne;:b6>;4?h?14l?4}r1:1=<72?q6?:ml:37b?85>??0:<:94=25:1?2bj278:5l58`3896?fm32j=63<7`4972ed2;?i70=67682421<5:=2:7:jb:?020b=0h?01>66b;:b1>;41hn14l;4=2;5`?>f=2785;758`18961f?32j=6s|387b>5<>s497<1?28:<;63<78590`d<5:<>o76n5:?0<01>7nd;:b0>;41?n14l:4=2;5=?>f:278;l658`38yv5>=k0;64u236a`>73c3492;44>0658961>03>nn63<64a979d;:b7>;41?314l?4=25b=?>f92wx?4;l:18b85>?h0:<:94=25`3?>f:278;n758`189602l32j:63<88`9799;:b2>;4?jk14l=4=25be?>f92wx?;6l:181851jh0:<:94=25f4?>f;2wx?;78:181851jk0:<:94=25f0?>f;2wx?;7m:181851k?0:<:94=25f1?>f;2wx?;7l:181851kh0:<:94=25f2?>f;2wx?;7k:181851kk0:<:94=25f3?>f;2wx?;7j:181851kj0:<:94=25ff;2wx?;7i:181851km0:<:94=25fe?>f;2wx?;o?:181851kl0:<:94=25ff?>f;2wx?;o>:181851ko0:<:94=25fg?>f;2wx?;o=:181851l90:<:94=25f=?>f;2wx?;6k:181851jj0:<:94=25f`?>f;2wx?;6j:180851jm0:<:94=2;fb?>f9278;hk58`18yv510o0;6>u237`f>460?2785k;58`38961bn32j?6s|37;3>5<4s49=nk4>065896?a?32j=63<7g2973e728:<;63<9g;96}:;?i96<>87:?0=cb=0h;01>9i3;:b7>{t;?3>6=4<{<15g1<68>=01>o?1;:b5>;4?ml14l=4}r15=3<72:q6?;m::0243>;4i9914l?4=25f5?>f;2wx?;77:180851k>0:<:94=2c31?>f9278;h<58`18yv51100;6>u237a;>460?2785k?58`38961b;32j?6s|37;b>5<4s49=o44>065896?a;32j=63<7eg973e428:<;63<9gd966|5:2om7??769>72b>21k?70=8db8;e1=:;>nj65o;;<14`g<:9c7?850nh03m9523927>=g334972`>21k?70=8fg8;e1=:;1:;65o;;<14b`=g6349372`321k?70=8f68;e1=:;>l>65o;;<1;`f;l03m9523922>=g234929?47a59>77;f;:b7>;4?h814l<4=25bf?>f:278;lm58`08961fl32j>63<7`g99m1;:b6>;4?k814l<4=25a7?>f:278;l=58`08961f<32j>63<7`799n8;:b6>;4?h314l<4=25be?>f:2wx?5j::1805~;40m?1==98;<14`<=g23493<>47a49>72`f21k>70=7058;e0=:;>l=65o:;<14b<=g234972`c21k>70=8fb8;e6=:;1:965o:;<14b1=g63493hi47a39>7<5b21k>70=7008;e3=:;0?965o:;<1:f20:f92784i=58`0896?3n32j963<7`0901>9nd;:b0>;4?ho14l:4=25bb?>f<278;o>58`68961e932j863<7c0901>9n4;:b0>;4?h?14l:4=25b2?>f<278;l958`68961f032j863<7`;972bd21k870=8d`8;e6=:;>ni65o<;<1;46=g534972`a21k970=7018;e7=:;>ln65o=;<14b==g534972`021k970=8f48;e7=:;1no65o;;<1:7`>:9c2?85>=;03m;5238`4>=g33492n847a09>7=b?21k?70=7d88;e1=:;1n965o9;<1;`65236ca>=g434972gc21k870=8ad8;e6=:;>km65o<;<14f5:9c0?850j;03m>5236`0>=g434947a29>72g321k870=8a48;e6=:;>k=65o<;<14e25236cb>=g43ty858>50;0x96?283;;;:523871>=g63ty85??50;1x96?5n3;;;:5236db>=g13492?h47a79~w6?5>3:1>v3<922955103493v3<927955103493<>47a79~w6?503:1>v3<924955103493<947a79~w6?513:1>v3<92595510349v3<92:95510349v3<92;95510349v3<92c95510349v3<92`95510349v3<92a95510349v3<92395510349v3<92095510349v3<92195510349v3<926955103493<=47a79~w6>cn3:1?v3<8dg955103497<5b21k87p}<8d794?4|5:2nj7??769>7=6521k87p}<8d494?4|5:2m97??769>7=6421k87p}<8d594?4|5:2m:7??769>7=6321k87p}<8d:94?4|5:2m;7??769>72`321k87p}<8d;94?4|5:2m47??769>72`221k87p}<8dc94?4|5:2m57??769>72`121k87p}<8d`94?4|5:2mm7??769>72`021k87p}<8da94?4|5:2mn7??769>72`?21k87p}<8df94?4|5:2mo7??769>72`>21k87p}<8d294?4|5:2m<7??769>72`c21k87p}<8d394?4|5:2m=7??769>72`b21k87p}<8d094?4|5:2m>7??769>72`a21k87p}<8d194?4|5:2m?7??769>7=6721k87p}<8d694?4|5:2m87??769>7=6621k?7p}<99194?4|5:=8n76n5:?0=<3=99=<7p}<99a94?4|5:32;7??769>722221k>7p}<99f94?4|5:3j<7??769>722b21k>7p}<99g94?4|5:3j=7??769>723721k>7p}<99d94?4|5:3j>7??769>723521k>7p}<98294?4|5:3j?7??769>723321k>7p}<98394?4|5:3j87??769>723121k>7p}<98094?4|5:3j97??769>723?21k>7p}<98194?4|5:3j:7??769>723f21k>7p}<98694?4|5:3j;7??769>723d21k>7p}<99694?4|5:3247??769>725b21k>7p}<99794?4|5:3257??769>722721k>7p}<99494?4|5:32m7??769>722521k>7p}<99594?4|5:32n7??769>722321k>7p}<99:94?4|5:32o7??769>722121k>7p}<99;94?4|5:32h7??769>722?21k=7p}<99c94?4|5:32i7??769>722f21k=7p}<99`94?4|5:32j7??769>722d21k=7p}<8gf94?5|5:3;j7??769>730721k970=8288;e4=z{:3;:7>53z?0=46=99=<70=9618;e4=:;>7>9;3332=:;?<;65o<;<14357?8;297~;418k1==98;<152516?;8?:9c6?850?=03m=5rs2;3e?6=;r785903m;523655>=g73ty85=l50;1x96?6l3;;;:523742>=g5349<;547a19~w6?7k3:1?v3<90g95510349=:<47a09>721f21k;7p}<91f94?5|5:3:j7??769>730621k870=87b8;e5=z{:3;i7>53z?0=76=99=<70=9608;e1=:;>=n65o?;|q07>1;3332=:;?<:65o:;<141`6if;297~;41881==98;<152416?;8=:9c1?850>;03m=5rs2;35?6=;r785<:51154?851>;03m<523647>=g73ty85=<50;1x96?6=3;;;:523741>=g4349<:;47a19~w6?7;3:1?v3<90495510349=:?47a59>720?21k;7p}<91694?5|5:3:;7??769>730521k>70=86`8;e5=z{:3;97>53z?0=4>=99=<70=9638;e3=:;>668;:b6>;400n1==98;|q0p1>6ma;3332=:;?l:65o>;<15`6<3mk16?5=j:9c0?xu40h81<79t=2;`0?2>m2784ol51154?850::03m?52363f>=g5349=j?47a09>73b32=oi70=7458;e6=z{:2j?7>57z?0=f3=<0o01>6mc;3332=:;>8865o>;<145`=g43ty84l:50;5x96?d03>2i63<8cf95510349<>947a39>727a21k970=9f58;e4=:;?n=69km;<1;0=6n5;293~;41j3184k4=2:aa?77?>16?:<;:9c2?8509o03m95237d6>=g6349=h:4;ec9>7=2f21k87p}<8`494?0|5:3hm7:6e:?08;65o=;<1;0fm2784n>51154?850:<03m<523603>=g334938h47a29>73be21k:7p}<8`:94?0|5:3ho7:6e:?08:65o=;<1;15m2784n<51154?850:?03m<523602>=g334939?47a29>73ba21k:7p}<8``94?0|5:3hi7:6e:?08965o=;<1;11m2784n;51154?850:>03m<523601>=g334938=47a29>73c321k:7p}<8`f94?1|5:3h:7:6e:?0;<145a5rs2:61?6=:r7848;51154?85>j:03m95rs2;g4?6=03m>5236a:>=g234972ef21k>7p}<9e094?4|5:3on7??769>72ef21k?7p}<9d094?4|5:k;:7??769>77<`321k?7p}<9d494?4|5:k;m7??769>7<`121k?7p}<9d594?4|5:k;n7??769>7<`?21k?7p}<9d:94?4|5:k;o7??769>7<`f21k?7p}<9d;94?4|5:k;h7??769>7<`d21k?7p}<9dc94?4|5:k;i7??769>7<`b21k?7p}<9d`94?4|5:k;j7??769>7d6721k?7p}<9da94?4|5:k:<7??769>7d6521k?7p}<9df94?4|5:k:=7??769>7d6321k?7p}<9d194?4|5:k;47??769>7<`721k?7p}<9d694?4|5:k;57??769>7<`521k?7p}<9c294?b|5:3i<7??769>7<`421k870=6f08;e6=:;h:>65o<;<1b46>:9c0?85>no03m>5238dg>=g43492jo47a29>7<`>21k870=6f68;e6=:;0l>65o<;<1:ac7m8;296~;41j>1==98;<1:a`7mb;296~;41j?1==98;<1:b17mc;296~;41j21==98;<1:b37md;296~;41j31==98;<1:b=7me;296~;41jk1==98;<1:bd7mf;296~;41jh1==98;<1:bf7l0;296~;41ji1==98;<1:b`7l1;296~;41jn1==98;<1b457l2;296~;41jo1==98;<1b477l3;296~;41jl1==98;<1b417m9;296~;41j<1==98;<1:b57ma;296~;41j=1==98;<1:b7i14l?4=261f?d?j2788:l58`38962?m32j=63<46593;76n3:?00=e=0h801>:89;:b6>;4<1k14l:4=26;1?>f<27885?58`18962?;32j863<46d9=g4349?4:47a09>71>d21k?70=;788;e1=:;=2j65o=;<17<0:9c7?8530:03m>52355e>=g6349?;l47a29~w64e83:1jv3<46a93476n1:?007c=j1h01>:8b;:b0>;4<1o14l<4=2643?>f927885958`08962?k32j=63<46;93m76n1:?00=3=0h901>:71;:b5>;4<1914l<4=264b?>f<2788:o58`08yv55il0;6hu235:;>=g4349?;o47a39>714d2k2i70=;8d8;e6=:;==<65o=;<17<25235:b>=g4349?4847a09>71>621k970=;828;e4=:;==m65o<;<173d;<10=47m:9c2?854ih03m<5232cf>=g63498m547a09>76g321k:70=;<10f5l=:9c2?8541?03m<5232;;>=g634985l47a09>77d>2k2i70=<9b8;e4=:;:3n65o>;<10e5o=:9c2?xu4<=n1<7?<{<10=1=g33498ml47a59>76gb21k?70=ol:9c7?854j903m95232`1>=g334985;47a59>76??21k?70=<9`8;e1=:;:3h65o;;<10=`o?:9c6?854i;03m85rs27:0?6=>r78>n758`08964d?32j963<2b69=6c;:b6>{t;;h:6=4>2z?06f?=0h;01>;4:k81n5l4=20`b?>f92788hk58`38964c932j>63<2e59;4:j914l<4=20ab?>f9278>oj58`38964c;32j=63<2e;9;j6;:b7>{t;<386=48{<11g<=g53499o<47a49>77e321k970==c38;e1=z{:8oo7>513y>77e>21k870==c68;e1=:;;im65o=;<17a`:9c0?855l>03m>5233`a>=g43499o<47a29>77e221k870==c28;e6=:;;hm65o;;<11fa=g33499h847a59>77bc2k2i70=:e78;e0=z{:8n=7>56z?06`7=j1h01>;4f92789oo58`389635=32j>6s|34;5>5<0s49?984m8c9>77ec21k?70=5233ae>=g3349?ih47a39>77b721k>70==d78;e0=:;;hj65o:;<11g5g>e3499nk47a79>77dc21k=70==d28;e3=:;;n265o9;<11`g32j>63<2bg9;4:m?14l=4}r16g>e34985<47a39>77ea21k870==d08;e4=z{:?297>57z?06fb=0h?01>;4;0h14l<4=2660?d?j278>nk58`68964c932j863<2e19j54?:00x964dl32j>63<2b5901>;4:m<14l=4=20ae?>f;278>n>58`18964d<32j?63<2b09;4:m214l;4=20ge?>f=278>i:58`78963aj3h3n63<5d49554?:6y>71212k2i70==g33ty894o50;5x962303h3n63<3`a9;4:mh14l=4=20g0?>f;2wx?87m:184853<00i4o5232cf>=g53499h:47a49>77de21k?70==be8;e7=:;;n265o:;<11`d;69;293~;4<==1n5l4=21be?>f:278>i858`08964c:32j?63<2e;92}:;=>i6o6m;<10f7=g43499nn47a59>77bf21k87p}<58294?1|5:>?j7l7b:?07<2=0h801>;4:j;14l:4=20`7?>f9278>ok58`68964el32j96s|34;`>5<0s49?8l4m8c9>76d721k970==b`8;e7=:;;hm65o=;<11fa63<38:9;4:ko14l=4}r16=4<72>q6?9;?:c:a?8541?03m?5233a3>=g53499o847a59>77e421k?70==bg8;e0=:;;hh65o<;|q01cb=83>p1>;ic;:b7>;4:mn14l;4=27e`?d?j2789kl58`38yv55lo0;6>u233g3>g>e349>jl47a39>70dd21k97p}<5c`94?2|5:?io7l7b:?0175=0h>01>;=c;:b0>;4=;214l84}r16f`<72=q6?8<<:9c1?852jo0i4o52340`>=g2349>>547a29~w63e>3:1>v3<53f9:>2;;25>;4<9:1n5l4}r10a`<72;q6?>kj:c:a?8539;0??o5rs21eb?6=;r78?hk511c;?8539802=<5232de>g>e3ty8?hj50;1x965bl3h3n63<3dg906d<5:>:=7:<5:>:<77>1:?07cc=j1h0q~=6}:;:oh6o6m;<10aa<3;k16?9??:51a?xu4;on1<7=t=21fg?77i116?9>i:832?854nm0i4o5rs21ff?6=;r78?hl5b9`8965bk3>8n63<41d906d76ce28:j463<41g9=47<5:9mo7l7b:p76cf2908w0==ib;297~;4;lk1==o7;<174a<>9816?>hm:c:a?xu4;l31<7=t=21f=?d?j278?ho542`89627l3>8n6s|32db>5<4s498i44>0`:89627k33:=63<3gc9f=d76c?2k2i70==j8;33e==:;=:i64?>;<10b<=i7;297~;4;o=1n5l4=21fu232d4>46f027885<4s498j;4m8c9>76`02=9i70=;1c877g=z{:>;47>53z?07c0=99k370=;1`8:54=:;=:36o6m;|q07c3=839p1>=i5;`;f>;4;o<18>l4=262e?24j2wx?9>8:180854n<0:u232d7>g>e3498j84;3c9>717>2=9i7p}<41494?5|5:9m87??a99>717?20;:70=;078a53z?07c5=j1h01>=i4;60f>;4<8218>l4}r1740<72:q6?>h<:02b<>;4<8=15h=:180854n;0i4o5232d0>15e349?=:4;3c9~w627<3:1?v3<3g0955g?349?=;46109>71632k2i7p}<3g394?5|5:9m=7l7b:?07c4=<:h01>:>6;60f>{t;=:86=4<{<10b4<68h201>:>5;;25>;4<991n5l4}r10b5<72:q6?>h?:c:a?854n80??o523536>15e3ty88=<50;1x965a83;;m5523537><76349?6}:;:om6<>n8:?0045=18;01>:?1;`;f>{t;:o<6=4<{<10a2ki:51a?8539:0??o5rs21eg>e3ty894k50;1x965b?3>8n63<41c906d<5:?2j7l7b:p76e62909w0==76;`;f>;4;mn18>l4}r10fc<72:q6?>69:02b<>;4;mi156::1808540<0i4o5232:5>15e3498hn4;3c9~w65el3:1?v3<397955g?3498ho46109>76db2k2i7p}<39694?5|5:9387l7b:?07=3=<:h01>=kb;60f>{t;:hi6=4<{<10<1<68h201>=ka;;25>;4;ki1n5l4}r10<6<72:q6?>6<:c:a?8540=0??o5232fb>15e3ty8?o750;1x965?;3;;m55232f:><763498nl4m8c9~w65?:3:1?v3<3909f=d<5:93?7:6}:;:296<>n8:?07a>=18;01>=m8;`;f>{t;:2:6=4<{<10<46=:51a?854l10??o5rs21a1?6=;r78?5?511c;?854l>02=<5232`5>g>e3ty8?5>50;1x965?83h3n63<393906d<5:9o;7:<5:9o:77>1:?07g2=j1h0q~=<8g83>6}:;:2m6o6m;<10<5<3;k16?>j9:51a?xu4;m91<7=t=21;b?77i116?>k9:832?854l=0i4o5rs21;a?6=;r78?5k5b9`8965?n3>8n63<3d4906d76>b28:j463<3d79=47<5:9o>7l7b:p76>c2908w0=<8e8a=lf;297~;4;1n1==o7;<10a1<>9816?>j?:c:a?xu4;1i1<7=t=21;g?d?j278?5j542`8965b<3>8n6s|32ag>5<4s4984n4>0`:8965b;33:=63<3bg9f=d76>e2k2i70=<8b877g=:;:o869=m;|q07fd=839p1>=7b;33e==:;:o964?>;<10gf=7a;297~;4;1k1n5l4=21;f?24j278?h<542`8yv54k00;6>u232:b>46f0278?h?59038965di3h3n6s|32::>5<4s498444m8c9>76>f2=9i70=53z?07=?=99k370==839p1>=78;`;f>;4;1318>l4=21f4?24j2wx?>m::180854010:0;6>u232:4>g>e3498454;3c9>76ba2=9i7p}<3b194?5|5:93;7??a99>76bb20;:70=53z?072c=j1h01>=77;60f>;4;mo18>l4}r107g<72;q6?>=m:c:a?854>=0??o5rs210=?6=;r78?>75b9`89654j3>8n63<371906d76502k2i70=<38877g=:;:<969=m;|q0762=839p1>=<4;`;f>;4;:=18>l4=2155?24j2wx?>==:180854;;0i4o523217>15e34989k4;3c9~w65483:1?v3<3229f=d<5:98>7:6}:;:8n6o6m;<1075<3;k16?>;k:51a?xu4;;n1<7=t=211`?d?j278??k542`89652k3>8n6s|326a>5<4s4988o4m8c9>764c28:j463<34`9=47n4?:2y>764d2k2i70=<2e877g=:;:?i69=m;|q071g=839p1>=;a;`;f>;4;;i1==o7;<101d<>981v>==b;297~;4;;h1n5l4=211g?24j278?8o542`8yv54<00;6>u2326:>g>e3498>o4>0`:89652133:=6s|320b>5<4s498>l4m8c9>764e2=9i70=<58877g=z{:9?47>53z?071>=j1h01>==a;33e==:;:?364?>;|q077?=839p1>==9;`;f>;4;;k18>l4=216:8:180854<>0i4o52320:>46f0278?8959038yv54:10;6>u2320;>g>e3498>44;3c9>76302=9i7p}<35494?5|5:9?:7l7b:?077>=99k370=<578:54=z{:9?87>53z?0712=j1h01>==8;60f>;4;<<18>l4}r1011<72:q6?>;;:c:a?854<=0::<:180854<:0i4o523267>15e3498:i4;3c9~w652;3:1?v3<3419f=d<5:9??7??a99>760d20;:7p}<35094?5|5:9?>7l7b:?0715=<:h01>=9c;60f>{t;:?96=4<{<1017:=:02b<>;4;?h15:>:c:a?854<;0??o52324a>15e3ty8?8?50;1x965293h3n63<353955g?3498:l46109~w65383:1?v3<3529f=d<5:9?=7:6}:;:?;6o6m;<1005<68h201>=99;;25>{t;:9m6=4<{<107c:?:51a?854>00??o5rs217b?6=;r78?9h5b9`89654n3;;m552324;><763ty8?>k50;1x9654m3h3n63<32d906d<5:9=47:n8:?0731=18;0q~=<3e83>6}:;:9o6o6m;<107`<3;k16?>88:51a?xu4;=n1<7=t=217`?d?j278?>j511c;?854>?02=<5rs2102?6=;r78?>85b9`89654l3>8n63<374906d762d2k2i70=<37824d><5:9=<77>1:p76402908w0=<268a=;5;297~;4;=?1n5l4=2113?77i116?>;::832?xu4;>81<7g>e3498;?4>0`:8yv54?m0;6?u2325g>g>e3498;?4;ec9~w65093:1>v3<3639f=d<5:9<>7:n8:p761d2909w0=<7b8a=80;`;f>;4;>;18>l4}r1033<72;q6?>99:c:a?854?90:9m:c:a?854?90?io5rs215b?6=:r78?;h5b9`8965083>8n6s|3256>5<5s498;84m8c9>760a28:j46s|325b>5<5s498;l4m8c9>760a2=oi7p}<37g94?4|5:9=i7l7b:?073`=<:h0q~=<7583>7}:;:=?6o6m;<102`<68h20q~=<7883>7}:;:=26o6m;<102`<3mk1v>=n1;296~;4=?2184k4=21b6?d?j2wx?9m=:181854lm0i4o5232c1>=g53ty8?4h50;0x9631?3>2i63<3`29f=d76bd2k2i70=52z?0130=<0o01>=6e;`;f>{t;=hh6=4={<10`g7j:9c1?xu4;0h1<7m278?4m5b9`8yv53j00;6?u232fb>g>e34985n47a79~w65>13:1>v3<57690;93;6:a>;4;021n5l4}r17f6<72;q6?>j7:c:a?8541103m;5rs21:1?6=:r789;<548g8965>>3h3n6s|35`3>5<5s498h:4m8c9>76?121k=7p}<38194?4|5:?==7:6e:?07<2=j1h0q~=;ae83>7}:;:n=6o6m;<10=1=m1;296~;4=>:184k4=21a6?d?j2wx?9k<:181854m?0i4o5232`1>=g13ty8?lh50;0x9631n3>2i63<3c29f=d76c22k2i70=52z?013c=<0o01>=ne;`;f>{t;=no6=4={<10a1oj:9c5?xu4;hh1<7m278?lm5b9`8yv53lh0;6?u232g0>g>e3498mn47a79~w65f13:1>v3<57a90;9b;6:a>;4;h21n5l4}r17`1<72;q6?>k>:c:a?854i103m;5rs21b1?6=:r789;o548g8965f>3h3n6s|35f2>5<5s498i=4m8c9>76g121k=7p}<3`194?4|5:?=57:6e:?07d2=j1h0q~=;cd83>7}:;:nm6o6m;<10e1=62;296~;4=?:184k4=21:f?d?j2wx?9m8:181854ll0i4o5232;a>=g13ty8?4>50;0x9632n3>2i63<3839f=d76b22k2i70=<908;e3=z{:?9>7>52z?0177=<0o01>;=4;`;f>{t;<8<6=4={<1663<31l16?8<6:c:a?xu4=;h1<7m2789?k5b9`8yv539j0;6?u2353`>g>e348h9447a39~w62503:1>v3<40g9=06<5:>:o76n1:p71402908w0=;1d8:0c=:;=;h65o=;<0`1=:=6;290~;4<8o159k4=262`?>f9279o;<58`6897e2032j=6s|3506>5<5s49?=h464e9>6f0621k97p}<43694?4|5:>:i77;c:?1g36=0h80q~=;2283>7}:;=;n64:m;<0`1c:=2;296~;4<8o159o4=3a6a?>f:2wx?9<>:1818539l0284522b7g>=g53ty88?>50;0x9626m33?463=c4a9717b20><709m7>52z?004c=1?k01?m:a;:b6>{t;=826=4={<175`<>>016>n;8:9c1?xu4m2788<<5b9`8yv53jl0;6?u234a:>1?b349?=<4m8c9~w62ej3:1>v3<5b:90:<7l7b:p71d?2909w0=:c687=`=:;=:m6o6m;|q00g3=838p1>;l6;6:a>;4<9o1n5l4}r17f7<72;q6?8m::5;f?8538m0i4o5rs26bb?6=:r789n:548g89627k3h3n6s|35c`>5<5s49>o>4;9d9>716e2k2i7p}<4d094?4|5:?o>7:6e:?004d=j1h0q~=;dg83>7}:;:kc;296~;4=m:184k4=262=?d?j2wx?9j6:181852ko0?5h52353;>g>e3ty88i850;0x963dm3>2i63<4059f=d4?:3y>70ec2=3n70=;178ao<7>52z?01fe=<0o01>:>5;`;f>{t;=io6=4={<16gg<31l16?9?;:c:a?xu4m2788<=5b9`8yv53i00;6?u234a2>1?b349?u234a2>156349>o?4;309>70ee2=9:70=:cb8774=:;;<16g`<3;816?8mi:512?852l90??<5234f2>156349>h?4;309>70e42=9:70=:c58774=:;69=>;<16g3<3;816?8m8:512?852k10??<5234a:>156349>ol4;309>70g52k2i7p}<46f94?4|5:?387:6e:?00=>=j1h0q~=;7c83>7}:;<28697j;<173f:88;296~;4=18184k4=264e?d?j2wx?999:181852080?5h523554>g>e3ty885j50;0x963?k3>2i63<49g9f=d70>e2=3n70=;8b8a357>52z?01=g=<0o01>:7a;`;f>{t;=2=6=4={<16<<<31l16?968:c:a?xu4<1>1<7m27885;5b9`8yv530;0;6?u234:4>1?b349?4>4m8c9~w62?83:1>v3<594903=7l7b:p711b2909w0=:8487=`=:;==m6o6m;|q0023=838p1>;70;6:a>;4<>31n5l4}r1731<72;q6?89i:5;f?853?k0i4o5rs26e6?6=;r789=l51154?8541ce3ty89=650;1x963583;;;:523277>=g63498894;ec9~w637?3:1?v3<50d9551034989>47a09>76242=oi7p}<51494?5|5:?:i7??769>763521k:70=<4387ag=z{:?;97>53z?014b=99=<70=<508;e4=:;:>:69km;|q0152=839p1>;>c;3332=:;:?;65o>;<1005<3mk1v>;?3;297~;4=8h1==98;<100c=i:5ga?xu4=981<7=t=272e?77?>16?>:j:9c2?854;l0?io5rs2735?6=;r789<;51154?8541ce3ty88km50;1x9637i3;;;:52326`>=g63498?;4;ec9~w62a93:1?v3<51;9551034988847a09>76402=oi7p}<4b`94?4|5:?:57:6e:?0732=j1h0q~=;c`83>7}:;<;3697j;<1026:l9;296~;4=8=184k4=2156?d?j2wx?9m7:1818529?0?5h523242>g>e3ty88n:50;0x9636<3>2i63<34d9f=d4?:3y>70742=3n70=<5d8ah<7>52z?0144=<0o01>=:d;`;f>{t;=ho6=4={<1654<31l16?>;l:c:a?xu4m278?8l5b9`8yv53j>0;6?u2342e>1?b34989l4m8c9~w62e<3:1>v3<51g9057l7b:p71d62909w0=:0e87=`=:;:?36o6m;|q00dc=838p1>;?c;6:a>;4;<=1n5l4}r17eg<72;q6?8>m:5;f?854=?0i4o5rs26f5?6=:r789?>548g89651l3h3n6s|35ff>5<5s49>=k4;9d9>760d2k2i7p}<4e`94?4|5:?:i7:6e:?073d=j1h0q~=;d983>7}:;<;o697j;<102d:k5;296~;4=8i184k4=215=?d?j2wx?9j=:1818529k0?5h52324;>g>e3ty88nh50;0x9636i3>2i63<3759f=d70722=3n70=<678ah97>52z?015g=<0o01>=90;`;f>{t;=k36=4={<164<<31l16?>;::c:a?xu4m2788;=5b9`8yv53=l0;6?u23461>1?b349?:<4m8c9~w622j3:1>v3<55390>h7l7b:p713>2909w0=:4187=`=:;=?j6o6m;|q0024=838p1>;;c;6:a>;4<>91n5l4}r1735<72;q6?8:m:5;f?853?80i4o5rs265a?6=:r7899o548g89621n3h3n6s|354`>5<5s49>844;9d9>710c2k2i7p}<47c94?4|5:??47:6e:?003d=j1h0q~=;6983>7}:;<><697j;<172<:96;296~;4==<184k4=2653?d?j2wx?98;:181852<<0?5h523546>g>e3ty888650;0x9634n3>2i63<44a9f=d705b2=3n70=;5d8an57>519y>76772=9:70=<108774=:;:;h69=>;<1065<3;816?><>:512?854:;0??<523200>1563498>94;309>76422=9:70=<278774=:;:;969=>;<1056<3;816?>?;:512?8549<0??<523235>1563498=:4;309>767?2=9:70=<188774=:;:;j69=>;<105g<3;816?>?k:512?8549l0??<52323e>156349?il4m8c9~w63?n3:1>v3<59g9f=d<5:?n:76n1:p71ce290mw0==ce8;e6=:;;ii65o=;<11g`=g13499no47a79>77e621k=70==c28;e3=:;;hn65o=;<11ff=g53499h947a39~w64di3:1>v3<5d590;i1;6:a>;4:jo1n5l4}r11`5<72;q6?8h=:5;f?855l90i4o5rs20g6?6=:r789k=548g8964c:3h3n6s|33f7>5<5s49>j94;9d9>77b32k2i7p}<2e494?4|5:?m97:6e:?06a0=j1h0q~==d983>7}:;g>e3ty8>om50;0x963b13>2i63<2ca9f=d70cf2=3n70==bd8a52z?01`d=<0o01>{t;;i96=4={<16af<31l16??m=:c:a?xu4:j>1<7m278>n:5b9`8yv55k?0;6?u234gf>1?b3499o:4m8c9~w64d03:1>v3<5dd90=j;<15778<8;297~;4>;>184k4=262a?530278:>65b9`8yv51;h0;6>u23706>1?b349?=h4<489>735f2k2i7p}<62a94?4|5:>:i7=;a:?026e=j1h0q~=93d83>7}:;=;n6>:m;<157`8;0;296~;4<8o1?9m4=2474?d?j2wx?;:=:1818539l088i523761>g>e3ty8:9:50;0x9626m39?i63<6569f=d717b2:>m70=9478a52z?004c=;<:01>8;8;`;f>{t;?9?6=4={<175`<4;o16?;=;:c:a?xu4>:<1<785b9`8yv530o0;6?u2376b>1?b349?5=4m8c9~w62>=3:1>v3<65`902:7l7b:p71?02909w0=94d87=`=:;=336o6m;|q008;f;6:a>;4<0k1n5l4}r17=g<72;q6?;;?:5;f?8531j0i4o5rs26:`?6=:r78:8?548g8962>m3h3n6s|35;e>5<5s49=9?4;9d9>71g72k2i7p}<4`394?4|5:<>?7:6e:?00d4=j1h0q~=;a283>7}:;???697j;<17e1:n5;296~;4>:181851g>e3ty884=50;0x9603l3>2i63<4869f=d73672=3n70=<728a52z?0746=<0o01>:<0;`;f>{t;::?6=4={<1054<31l16?9==:c:a?xu4;921<7m2788>85b9`8yv54800;6?u23203>1?b349??:4m8c9~w657i3:1>v3<33390847l7b:p766e2909w0=<2387=`=:;=926o6m;|q075e=838p1>==3;6:a>;4<:k1n5l4}r104a<72;q6?><;:5;f?853;j0i4o5rs213a?6=:r78??;548g89624l3h3n6s|322e>5<5s498>;4;9d9>715b2k2i7p}<2gc94?4|5:9:>7:6e:?006d=j1h0q~==fc83>7}:;:;8697j;<177c184k4=2674?d?j2wx??hk:1818549<0?5h523562>g>e3ty8>kk50;0x9656>3>2i63<4509f=d76702=3n70=;428a52z?074>=<0o01>:;4;`;f>{t;:::6=4={<105<<31l16?9:::c:a?xu4;981<7m2788?h5b9`8yv548:0;6?u2323a>1?b349??<4m8c9~w657=3:1>v3<30f908?7l7b:p76612909w0=<1d87=`=:;=9?6o6m;|q0751=838p1>=>f;6:a>;4<:?1n5l4}r1547<7283p1>;?9;333<=:;<:j6<>89:?0143=99=270=:1`8242?<5:?:n7??789>707d28:<563<50f9551>349>=h4>06;89636n3;;;4523403>46012789=l5115:?8528j0:<:74=273`?77?016?8>j:024=>;4=9l1==96;<1655<68>301>;>1;333<=:;<;96<>89:?0145=99=270=:158242?<5:?::7??789>707028:<563<50:9551>349>=44>06;89607;3h3n6s|3727>5<690:<:74=275=?77?016?88n:024=>;4=?h1==96;<162f<68>301>;9d;333<=:;<89:?013`=99=270=:718242?<5:?==7??789>700528:<563<5719551>349>:94>06;89631=3;;;4523445>46012789;95115:?852>10:<:74=2431?d?j2789l=58`18yv518?0;6<=t=27`5?77?016?8m=:024=>;4=jh1==96;<16gf<68>301>;ld;333<=:;89:?01f`=99=270=:d18242?<5:?o=7??789>70b528:<563<5b19551>349>o94>06;8963d=3;;;45234a5>46012789n95115:?852k10:<:74=27`=?77?016?8mn:024=>;4>9=1n5l4}r16f1<72?q6?9?j:344?852l80:<:94=21e2?2bj278>h?58`08963al32j>63<41:9n84?:7y>717b2;<370=:d382421<5:9m;7:jb:?06`7=0h901>;id;:b5>;4<9314l?4}r16e0<72?q6?9?j:374?852k:0:<:94=21fh?58`68963am32j863<3g;9m;4?:7y>717b2;?370=:c582421<5:9n57:jb:?06`7=0h?01>;ie;:b7>;4;ok14l?4}r16e2<72?q6?9?j:37:?852k<0:<:94=21fe?2bj278>h?58`48963am32j>63<3g`9m54?:7y>717b2;?j70=:c782421<5:9nn7:jb:?06`7=0h;01>;ie;:b5>;4;oi14l?4}r16e<<720q6?9?j:37a?852k>0:<:94=21fg?2bj278>o=58`78962bl32j963<5gf9=id;:b5>{t;;4;ln18hl4=20a6?>f=2788hj58`68963al32j863<5cf9<}:;=;n6?;k;<16g<<68>=01>=je;6ff>;4:k814l:4=26f`?>f92789kj58`18963el32j?63<5c;9;ie;:b1>;4=kn14l84=27a=?>f>2788476n3:p70g329087:?07`1=;4=k214l;4=27eb?>f<278?k658`38yv52im0;64u2353f>707349>o?4>0658965bn3>nn63<2d29;m8;:b2>;4=ol14l;4=2635?>f92wx?8oj:18a8539l09:<5234aa>460?278?k>54d`8964b832j=63<2c19no76n5:?06ac=0h;01>;i9;:b0>;4=k214l=4=27eb?>f;2788=<58`38yv52io0;6nu2353f>705349>on4>0658965a93>nn63<2c19no76n6:?06ac=0h901>;i9;:b6>;4=ok14l=4=27a`?>f:2789o658`68963an32j>63<4119n=4?:ey>717b2;<870=:ce82421<5:9m>7:jb:?06g5=0h801>:jc;:b7>;4:mn14l=4=27e=?>f92789ko58`68963el32j=63<5b29:?4;:b5>{t;=16?8mj:0243>;4;o918hl4=20a7?>f92788hm58`68963ak32j=63<2ef9;mc;:b1>;4=j:14l?4=27af:2788=;58`38yv52j;0;6hu2353f>702349>ok4>0658965a<3>nn63<2c09no76n1:?01ce=0h?01>;4=o314l;4=27ee?>f92789om58`68963en32j?63<5c;9f}:;=;n6?89;<16`5<68>=01>=i5;6ff>;4:k814l<4=26fg?>f:2789km58`68964cl32j:63<5g`901>;m9;:b0>;4<9=14l?4}r11a7<72;q6?>??:0243>;4<:814l=4}r11aa<72;q6?>?>:0243>;4<:<14l=4}r11b4<72;q6?>?l:0243>;4<:=14l=4}r11b7<72;q6?>;4<:214l=4}r11b6<72;q6?><>:0243>;4<:314l=4}r11b1<72;q6?><=:0243>;4<:k14l=4}r11b0<72;q6?><<:0243>;4<:i14l=4}r11b3<72;q6?><;:0243>;4<:n14l=4}r11b2<72;q6?><::0243>;4<:o14l=4}r11b=<72;q6?><9:0243>;4<:h14l=4}r11a6<72;q6?>?=:0243>;4<:l14l=4}r11a1<72:q6?>?<:0243>;4>:914l?4=2674?>f;2wx??k::1808549=0:<:94=240=?>f927889?58`18yv55m?0;6>u23236>460?278:>l58`389623:32j?6s|33g4>5<4s498=;4>06589604l32j=63<4519767028:<;63<62d9?876n3:p77c>2908w0=<1982421<5:6}:;:;j6<>87:?0213=0h;01>:<1;:b7>{t;;oh6=4<{<105g<68>=01>8;7;:b5>;4<:914l=4}r11a`<72:q6?>?k:0243>;4>=314l?4=2600?>f;2wx??ki:1808549l0:<:94=2401?>f92788>;58`18yv55n90;6>u2323e>460?278:>958`389624832j?6s|33gb>5<3s498=44>06589603;32j=63<42299j76n3:p704f2908o47a59>714b21k?70=;2b8;e1=:;=8o65o;;<1710=g3349?8o47a59>713621k?70=;538;e1=:;=?;65o;;<170d=g3349?8;47a59>712>21k?70=;468;e1=:;<8865o:;<166f=g3349?9>47a49>70g521k?70=9048;e6=:;<3m65o<;<1660h7:9c1?8538803m?523521>=g5349?<>47a39>716321k970=;048;e7=:;=:=65o=;<17427:9c1?8538003m?5232d:>=g53498jl47a39>76`e21k970=hi:9c1?8538903m?5rs2712?6=;8q6?8<9:0243>;4<;h14l;4=261a?>f=2788?m58`789625l32j963<4479?o76n5:?0000=0h?01>:;8;:b1>;4<=h14l;4=2665?>f=27888<58`789622832j963<45c9?j76n5:?001c=0h901>::4;:b1>;4<=<14l;4=267=?>f=27889958`789635;32j=63<53a9=0h;01>;7e;:b1>;4<<914l84=27b6?>f=278:=958`189607=32j>63<5369=0h<01>:?1;:b0>;4<9814l:4=2637?>f<2788=:58`689627=32j863<4149;;76n4:?005>=0h>01>:?9;:b0>;4;o314l:4=21ee?>f<278?kl58`68965ak32j863<3gf901>:?0;:b0>{t;<8:6=4=fz?0177=99=<70=;2c8;e6=:;=8n65o<;<176f=g5349?9;47a39>712?21k970=;4c8;e7=:;=?:65o=;<1717=g5349?8h47a39>713321k970=;478;e7=:;=>265o=;<1702=g5349?9>47a09>70g521k=70=9068;e1=:;?:>65o>;<1661523522>=g4349?716421k870=;058;e6=:;=:>65o<;<17438:9c0?8538103m>52352:>=g43498j447a29>76`f21k870=hj:9c0?854no03m>523523>=g43ty89l>50;0x963f83;;;:5234c1>=g63ty89:?50;1x9630n3;;;:52356`>=g1349>4h47a79~w630>3:1>v3<59295510349?9947a79~w630?3:1>v3<59795510349?9847a79~w63003:1>v3<59495510349?9;47a79~w63013:1>v3<59595510349?8;47a79~w630i3:1>v3<59:95510349?8:47a79~w630j3:1>v3<59;95510349?8547a79~w630k3:1>v3<59c95510349?8447a79~w630l3:1>v3<59`95510349?8l47a79~w630m3:1>v3<59a95510349?8o47a79~w630:3:1>v3<59395510349?8k47a79~w630;3:1>v3<59095510349?9=47a79~w630<3:1>v3<59195510349?9<47a79~w630=3:1>v3<59695510349?9?47a79~w635n3:1?v3<52g95510349?8n47a29>70>b21k87p}<52794?4|5:?8j7??769>713321k87p}<52494?4|5:??97??769>713221k87p}<52594?4|5:??:7??769>713121k87p}<52:94?4|5:??;7??769>712121k87p}<52;94?4|5:??47??769>712021k87p}<52c94?4|5:??57??769>712?21k87p}<52`94?4|5:??m7??769>712>21k87p}<52a94?4|5:??n7??769>712f21k87p}<52f94?4|5:??o7??769>712e21k87p}<52294?4|5:??<7??769>712a21k87p}<52394?4|5:??=7??769>713721k87p}<52094?4|5:??>7??769>713621k87p}<52194?4|5:???7??769>713521k87p}<52694?4|5:??87??769>713421k?7p}<5e194?4|5:92=76n5:?01`3=99=<7p}<5ea94?4|5:?n;7??769>76?e21k>7p}<5ef94?4|5:?m<7??769>76g321k>7p}<5eg94?4|5:?m=7??769>76g121k>7p}<5ed94?4|5:?m>7??769>76g?21k>7p}<5d294?4|5:?m?7??769>76gf21k>7p}<5d394?4|5:?m87??769>76gd21k>7p}<5d094?4|5:?m97??769>76gb21k>7p}<5d194?4|5:?m:7??769>76d721k>7p}<5d694?4|5:?m;7??769>76d521k>7p}<5e694?4|5:?n47??769>76?321k>7p}<5e794?4|5:?n57??769>76?121k>7p}<5e494?4|5:?nm7??769>76??21k>7p}<5e594?4|5:?nn7??769>76?f21k>7p}<5e:94?4|5:?no7??769>76?d21k>7p}<5e;94?4|5:?nh7??769>76?b21k=7p}<5ec94?4|5:?ni7??769>76g721k=7p}<5e`94?4|5:?nj7??769>76g521k=7p}<55f94?5|5:?>j7??769>77d121k970=<7g8;e4=z{:?>:7>53z?0136=99=<70==b78;e4=:;:i?65o?;|q0101=839p1>;99;3332=:;;h=65o<;<10g3;:8;297~;4=?k1==98;<11f3m7:9c3?xu4=<31<7=t=275f?77?>16??l9:9c6?854kh03m=5rs276e?6=;r789;m51154?855j?03m;5232a`>=g73ty898l50;1x9631l3;;;:5233`4>=g53498oh47a19~w632k3:1?v3<57g955103499n:47a09>76b721k;7p}<54f94?5|5:?=j7??769>77d021k870=i7>53z?0126=99=<70==b68;e1=:;:n?65o?;|q011c=839p1>;91;3332=:;;h<65o:;<10f1;;f;297~;4=?81==98;<11f2l9:9c3?xu4=<:1<7=t=2757?77?>16??l7:9c1?854j103m=5rs2765?6=;r789;:51154?855j103m<5232`b>=g73ty898<50;1x9631=3;;;:5233`;>=g43498nn47a19~w632;3:1?v3<574955103499n547a59>76db21k;7p}<54694?5|5:?=;7??769>77d?21k>70=97>53z?013>=99=<70==b98;e3=:;:i965o?;|q00``=838p1>:ja;:b6>;4p1>;?c;3332=:;:><65o>;<106<<3mk16?97?:9c0?xu41<79t=2420?2>m2789=j51154?854?003m?523257>=g534988547a09>764f2=oi70=;978;e6=z{:>m97>57z?0243=<0o01>;?e;3332=:;:=265o>;<1031:6:9c2?854:k0?io5235;;>=g43ty88k850;5x960603>2i63<51d955103498;l47a39>761221k970=<4`8;e4=:;:8h69km;<17=d:i7;293~;4>83184k4=2724?77?>16?>9n:9c2?854?<03m952326a>=g63498>i4;ec9>71?d21k87p}<4g:94?0|5:<:m7:6e:?0147=99=<70=<7c8;e7=:;:==65o=;<17=`m2789<<51154?854?k03m<523255>=g3349?m=47a29>765621k:7p}<4gc94?0|5:<:o7:6e:?0145=99=<70=<7b8;e7=:;:=<65o=;<17e7=<:9c2?xu4m2789<:51154?854?j03m<523254>=g3349?m947a29>765221k:7p}<4gf94?0|5:<:i7:6e:?0140=99=<70=<7e8;e7=:;:=365o=;<17e3=7:9c2?xu4m2789<951154?854?m03m<52325;>=g3349?5?47a29>765f21k:7p}<4gd94?1|5:<::7:6e:?014>=99=<70=;958;e6=:;:9h65o>;<10365rs26b3?6=:r788l951154?8518:03m95rs2414?6==g1348h9547a49~w60593:1?v3<63695510349?=n47a49>6f3?21k?7p}<63094?4|5:<997??769>717d21k?7p}<63494?4|5:735521k?7p}<63;94?4|5:735?21k?7p}<63c94?4|5:735f21k?7p}<63`94?4|5:735d21k?7p}<63a94?4|5:<><7??769>735b21k?7p}<63f94?4|5:<>=7??769>732721k?7p}<63g94?4|5:<>>7??769>732521k?7p}<63d94?4|5:<>?7??769>732321k?7p}<62294?4|5:<>87??769>732121k?7p}<62394?4|5:<>97??769>732?21k?7p}<63594?4|5:735321k?7p}<63:94?4|5:735121k?7p}<61294?b|5:<;<7??769>735021k870=9348;e6=:;?>265o<;<1502523762>=g4349=?k47a29>735c21k870=93c8;e6=:;?9265o<;<15768?8;296~;4>8>1==98;<15778?b;296~;4>8?1==98;<157=8?c;296~;4>821==98;<157d8?d;296~;4>831==98;<157f8?e;296~;4>8k1==98;<157`8?f;296~;4>8h1==98;<15058>0;296~;4>8i1==98;<15078>1;296~;4>8n1==98;<15018>2;296~;4>8o1==98;<15038>3;296~;4>8l1==98;<150=8?9;296~;4>8<1==98;<15718?a;296~;4>8=1==98;<1573>lf;:b6>;48l:14l:4=22gf?>f<278=g4349;hi47a09>75c521k?70=?cg8;e1=:;9o;65o=;<13`g5231f6>=g6349;h=47a29~w7`7:3:1jv3<0e09>k1;:b0>;48l>14l<4=22``?>f9278>k7;:b5>;48m314l<4=22g1?>f<27858`08yv4a890;6hu231ff>=g4349;h<47a39>75>52k2i70=?e58;e6=:;9io65o=;<13`a5231g3>=g4349;ho47a09>75b021k970=?d88;e4=:;9n>65o<;<13`5;<0ea6kkk:9c2?84anj03m<523123>=g6348mjl47a09>6c`121k:70;<1347;:9c2?84am103m<522ggb>=g6348min47a09>6c6e2k2i70;<0eb7kh;:9c2?xu48h91<7?<{<0ea3=g3348mjn47a59>756721k?70khj:9c7?8578;03m9523127>=g3348mi547a59>6ccf21k?70kh=:9c6?84an=03m85rs23fe?6=>r79j{t:o:86=4>2z?1b4d=0h;01?h>9;:b5>;5n9>1n5l4=3d15?>f9278=9:58`3897`5;32j>63=f3;97;:b5>;5n8?14l<4=3d25?>f9279j=h58`3897`5=32j=63=f3`9<{t;8o26=48{<0e5gk?6:9c0?857i10i4o522gg`>=g5348m=>47a49>6c7121k970513y>6c7e21k870k<<:9c0?84a:003m>522g2g>=g4348m=>47a29>6c7021k870k<::9c7?84a:k03m9522g0g>=g3348m>:47a59>6c4a2k2i70==3b8;e0=z{;l8?7>56z?1b65=j1h01?h?4;:b5>;49=914l84=2026?>f9278><>58`389670j32j>6s|30g`>5<0s49;mo4m8c9>6c7a21k?70k<::9c0?84a:>03m?5rs2066?6=9;q6>k?i:9c5?84a9m03m>522g02>=g3349:8947a39>6c4521k>70k?9:9c6?84a9=03m8523371>g>e348m=<47a79>6c6a21k=70k<8:9c5?855;j03m95rs23f`?6=?r7863=f32976n4:?1b7?=0h;01?h=4;:b0>;5n;=14l=4}r12a6<72?q6>k?i:9c2?84a9m03m95231c1>g>e348mi>47a39>6c4621k87057z?1b4`=0h?01?h>d;:b5>;5nln14l<4=22be?d?j279j?>58`6897`5;32j863=f37963=f0;901?h=2;:b7>;5n;214l=4=3d3g?>f;279j<<58`1897`6>32j?63=f069;5n;k14l;4=3d1g?>f=279j?858`78964293h3n63<22a975?d2k2i70k<::9c6?84a:k03m?522g05>=g33ty8=k>50;5x966>m3h3n63=fgg9;5n;n14l=4=3d12?>f;2wx?:1848571o0i4o523123>=g5348m>447a49>6c6c21k?70?jf;293~;480n1n5l4=3deg?>f:279j?658`0897`5<32j?63=f3`9f283>2}:;9k:6o6m;<1341k>l:9c7?84a9:03m<522g32>=g4348m6c4d21k87p}<1d494?1|5::j97l7b:?1b`0=0h801?h?d;:b1>;5n8914l:4=3d21?>f9279j<>58`6897`7n32j96s|30d1>5<0s49;m=4m8c9>756521k970k63=fdc976n4:?1b41=0h801?h>4;:b6>;5n8:14l=4}r12a2<72>q6?=o9:c:a?84am103m?522g31>=g5348m=:47a59>6c7221k?70p1><:2;:b7>;5n;l14l;4=2067?d?j278>8?58`38yv4a;80;6>u22g11>g>e34999=47a39>777521k97p}<20394?2|5:8:>7l7b:?052?=0h>01>?72;:b0>;49>o14l84}r1151<72=q6?<96:9c1?8559<0i4o5230:1>=g2349:;h47a29~w647k3:1>v3<19199887=`=:;9h=6o6m;|q0404=838p1>>94;;25>;48<81n5l4}r1305<72;q6?=:?:c:a?857>=0??o5rs2265?6=;r78<9>511c;?857>:02=<523172>g>e3ty8<>h50;1x9664n3h3n63<052906d<5::=?7:<5::=>77>1:?0406=j1h0q~=?3d83>6}:;99n6o6m;<137c<3;k16?=8=:51a?xu48=l1<7=t=220a?77i116?=8>:832?857j5b9`89664m3>8n63<073906d755c28:j463<0729=47<5::?i7l7b:p755d2908w0=?3b8a>;d;297~;48:i1==o7;<131c<>9816?=:k:c:a?xu48:h1<7=t=220f?d?j278<>m542`89662n3>8n6s|316`>5<4s49;?o4>0`:89662m33:=63<05a9f=d755f2k2i70=?3c877g=:;9?n69=m;|q041d=839p1>>;<130g>;9;297~;48=31n5l4=220e?24j278<8j542`8yv57=k0;6>u2316:>46f0278<;j590389662j3h3n6s|316;>5<4s49;854m8c9>752>2=9i70=?6e877g=z{::>m7>53z?041>=99k370=?6b8:54=:;9?j6o6m;|q0411=839p1>>;7;`;f>;48=218>l4=225g?24j2wx?=;6:180857<>0:u23165>g>e349;8:4;3c9>750e2=9i7p}<04:94?5|5::?:7??a99>750f20;:70=?598a53z?0413=j1h01>>;6;60f>;48?k18>l4}r1312<72:q6?=:::02b<>;48?31515e349;:44;3c9~w662>3:1?v3<056955g?349;:546109>75312k2i7p}<05194?5|5::??7l7b:?0412=<:h01>>98;60f>{t;9?>6=4<{<1306<68h201>>97;;25>;4815e3ty8<8:50;1x9663:3;;m5523145><76349;994m8c9~w66393:1?v3<0539f=d<5::?>7:6}:;9>:6<>n8:?0433=18;01>>:3;`;f>{t;9926=4<{<137<:51a?857><0??o5rs227e?6=;r78<>7511c;?857=j02=<52316b>g>e3ty8=k:50;1x966413>8n63<04a906d<5:;m97l7b:p75742909w0=?2g8:54=:;9;?6o6m;|q1ba>=838p1?hk8;`;f>;48;l18>l4}r1354<72:q6>kj7:02b<>;48;o15kj8:18084al>0i4o522gf;>15e349;>h4;3c9~w667n3:1?v3=fe5955g?349;>i46109>75772k2i7p}=fe494?5|5;lo:7l7b:?1ba1=<:h01>>=d;60f>{t;9:o6=4<{<0e`3<68h201>>=c;;25>;489o1n5l4}r0e`0<72:q6>kj::c:a?84al?0??o52310`>15e3ty8<=l50;1x97`c=3;;m552310a><76349;6}::on?6<>n8:?047g=18;01>>?a;`;f>{t:on86=4<{<0e`6kj;:51a?857:h0??o5rs2233?6=;r79ji=511c;?857:002=<52312;>g>e3ty9ji<50;1x97`c:3h3n63=fe1906d<5::957:<5::9477>1:?0450=j1h0q~6}::oo:6o6m;<0e`7<3;k16?=<7:51a?xu48;?1<7=t=3df5?77i116?==7:832?857:?0i4o5rs3df4?6=;r79jh>5b9`897`b93>8n63<02:906d>4?:2y>6cc728:j463<0259=47<5::987l7b:p6cba2908w0>=1;297~;5nml1==o7;<1373<>9816?=<=:c:a?xu5nmo1<7=t=3dga?d?j279jih542`89664>3>8n6s|313e>5<4s48mhh4>0`:89664=33:=63<0329f=d6cbc2k2i7069=m;|q044b=839p1?hkd;33e==:;99?64?>;<135`:542`8yv579k0;6>u22gf`>46f0278<>=590389666k3h3n6s|2gfa>5<4s48mho4m8c9>6cbd2=9i70=?32877g=z{:::57>53z?1bad=99k370=?338:54=:;9;j6o6m;|q1bag=839p1?hka;`;f>;5nmh18>l4=2206?24j2wx?=?8:18084alh0:u22gf:>g>e348mhl4;3c9>75562=9i7p}<00794?5|5;lo57??a99>755720;:70=?178a53z?1ba6=j1h01?hk9;60f>;48::18>l4}r0ek6k:c:a?84aj?0??o5rs3d;f?6=;r79j5l5b9`897`?l3>8n63=fc7906d6c>>2k2i70;5n1318>l4=3da7?24j2wx>k6;:18084a0=0i4o522g:5>15e348mn<4;3c9~w7`?:3:1?v3=f909f=d<5;l387:6}::o2;6o6m;<0e<7<3;k16>koi:51a?xu5n>l1<7=t=3d4b?d?j279j5>542`897`fm3>8n6s|2g;g>5<4s48m5i4m8c9>6c1a28:j463=f`f9=476c1b2k2i70;5n>o1==o7;<0eef<>981v?h8d;297~;5n>n1n5l4=3d4a?24j279jlm542`8yv4a1k0;6>u22g;a>g>e348m;i4>0`:897`fj33:=6s|2g5`>5<4s48m;n4m8c9>6c1c2=9i7053z?1b;|q1b2d=839p1?h8b;`;f>;5n>i18>l4=3dbe?24j2wx>k76:18084a100i4o522g5a>46f0279jl759038yv4a?h0;6>u22g5b>g>e348m;o4;3c9>6cg>2=9i7p}=f8:94?5|5;l247l7b:?1b2g=99k37053z?1b<0=j1h01?h8a;60f>;5nh218>l4}r0ee3<72:q6>ko9:c:a?84a1?0:k7::18084a1<0i4o522g;5>15e348mnk4;3c9~w7`f=3:1?v3=f`79f=d<5;l297??a99>6cdb20;:7p}=f8694?5|5;l287l7b:?1b<3=<:h01?hme;60f>{t:ok?6=4<{<0ee1k7;:02b<>;5nkn15k7<:c:a?84a1=0??o522g`g>15e3ty9jl=50;1x97`f;3h3n63=f81955g?348mnn46109~w7`>:3:1?v3=f809f=d<5;l2?7:6}::ok96o6m;<0e=7<68h201?hmb;;25>{t:o3:6=4<{<0e=4k7=:51a?84ajk0??o5rs3db5?6=;r79jl?5b9`897`>93;;m5522g`b><763ty9j4>50;1x97`>83h3n63=f83906d<5;lim7:n8:?1bg?=18;0q~6}::o2m6o6m;<0e=5<3;k16>kl6:51a?xu5n0l1<7=t=3d:b?d?j279j5h511c;?84aj102=<5rs3d;8n63=fc:906d6c?b2k2i70<5;li>77>1:p6c1>2908w0ko8:832?xu5nj>1<7g>e348mo94>0`:8yv4ako0;6?u22gae>g>e348mo94;ec9~w7`d;3:1>v3=fb19f=d<5;lh87:2909w0n8:p6ceb2909w0;5nj918>l4}r0eg=<72;q6>km7:c:a?84ak;0:kmk:c:a?84ak;0?io5rs3d`5?6=:r79jn?5b9`897`d:3>8n6s|2ga4>5<5s48mo:4m8c9>6ce628:j46s|2ga`>5<5s48mon4m8c9>6ce62=oi7p}=fb294?4|5;lh<7l7b:?1bf7=<:h0q~7}::oi=6o6m;<0eg5<68h20q~7}::oii6o6m;<0eg5<3mk1v?hi3;296~;49ko184k4=3de0?d?j2wx?=g53ty9jk?50;0x967el3>2i63=fg09f=d754b2k2i7052z?05ge=<0o01?hi0;`;f>{t;8;96=4={<136akh?:9c1?xu5nln1<7m279jhk5b9`8yv568o0;6?u2310`>g>e348mih47a79~w7`bj3:1>v3<1cc90?m9;6:a>;5nlk1n5l4}r124<<72;q6?=5<5s49;>44m8c9>6cc?21k=7p}=fd794?4|5:;i;7:6e:?1b`0=j1h0q~=>0283>7}:;9836o6m;<0ea3>?3;296~;49j<184k4=2230?d?j2wx?<=6:181857;10i4o523127>=g13ty8<=?50;0x967d=3>2i63<0109f=d75502k2i70=?038;e3=z{;lmj7>52z?05f2=<0o01>>?0;`;f>{t;8986=4={<1373?:9c5?xu5non1<7m279jkk5b9`8yv56;90;6?u23116>g>e348mjh47a79~w7`aj3:1>v3<1b090?l1;6:a>;5nok1n5l4}r126d<72;q6?==<:c:a?84anh03m;5rs3de3?6=:r78=n>548g897`a03h3n6s|3004>5<5s49;??4m8c9>6c`?21k=7p}=fg794?4|5:;ij7:6e:?1bc0=j1h0q~=>2583>7}:;99:6o6m;<0eb3=g13ty9jh<50;0x967e=3>2i63=fd19f=d75402k2i7052z?0521=<0o01>?8a;`;f>{t;8=o6=4={<123f<31l16?<9i:c:a?xu491;1<7m278=5:5b9`8yv57?;0;6>u23151>g>e348h9447a09>6f2c2=oi7p}<06294?5|5::<=7l7b:?1g1e={t;9n;9:5ga?xu48>o1<7u23157><2a349;;<47a39>751521k97p}<06a94?3|5::<877;e:?043`=0h;01>>81;:b5>;48>914l?4=3a56?>f92wx?=9m:180857?=028i522b42>=g6348h984;ec9~w660i3:1?v3<0669=1e<5;i=<76n1:?1g02=6}:;9=?64:m;<0`1cn;<:5ga?xu48>21<7=t=2240??3i279o8k58`3897e2:3>nn6s|3154>5<4s49;;946489>6f3c21k:7053z?0422=1=201?m:c;:b5>;5k<:18hl4}r1330<72:q6?=9;:864?84d=k03m<522b6e>1ce3ty8<5>50;1x9660<33=m63=c4c9;<0`0g<3mk1v>?>7;296~;4:;:184k4=2250?d?j2wx?g>e3ty8=2i63<0709f=d777c2=3n70=?608a52z?064e=<0o01>>90;`;f>{t;8:36=4={<115g<31l16?=;i:c:a?xu499?1<7m278<8k5b9`8yv568;0;6?u2333:>1?b349;9i4m8c9~w67403:1>v3<23:90<=6;6:a>;48?h1n5l4}r126c<72;q6??<::5;f?857>h0i4o5rs231g?6=:r78>?:548g8966113h3n6s|300:>5<5s499>>4;9d9>750?2k2i7p}<13494?4|5:89>7:6e:?0431=j1h0q~=>2283>7}:;;8:697j;<1323?>c;296~;4:82184k4=2251?d?j2wx?=hi:1818559>0?5h52317`>g>e3ty8=k950;308559>0??<52333;>1563499><4;309>77452=9:70==228774=:;;8?69=>;<1160<3;816??<9:512?855:>0??<52330;>1563499=44;309>777f2=9:70==1c8774=:;;;h69=>;<115a<3;816???j:512?8559o0??<523303>156349:j54m8c9~w66c;3:1>v3<1ec90d887=`=:;9n96o6m;|q04fc=838p1>?k8;6:a>;48m:1n5l4}r13gf<72;q6?5<5s49:i<4;9d9>75c52k2i7p}<0ed94?4|5:;n<7:6e:?04`6=j1h0q~=?db83>7}:;8nm697j;<13`a>ka;296~;49mo184k4=22gf?d?j2wx?=j7:181856lm0?5h5231f:>g>e3ty82i63<0e59f=d74be2=3n70=?d48a52z?05a0=<0o01>>lf;`;f>{t;9ij6=4={<12`0<31l16?=j>:c:a?xu49=21<7=t=2355?77?>16>k77:9c2?84a?h0?io5rs236a?6=;r78=:851154?84ai?03m<522g;5>1ce3ty8=8j50;1x9670=3;;;:522gc6>=g6348m584;ec9~w672k3:1?v3<16695510348mm947a09>6c?32=oi7p}<14`94?5|5:;6cg421k:70m7>53z?0524=99=<70?81;3332=::ok:65o>;<0e=4<3mk1v>?:8;297~;49>:1==98;<0ee5k7?:5ga?xu49<=1<7=t=235f?77?>16>k7i:9c2?84a0o0?io5rs2366?6=;r78=;>51154?84a1l03m<522g:;>1ce3ty8=9950;1x9672n3;;;:522g;4>=g6348m;44;ec9~w67593:1>v3<17d906d87=`=::oh>6o6m;|q054`=838p1>?9d;6:a>;5nk>1n5l4}r125`<72;q6?<8l:5;f?84aj:0i4o5rs232e?6=:r78=;o548g897`e93h3n6s|303:>5<5s49::44;9d9>6cd72k2i7p}<10494?4|5:;=47:6e:?1bd`=j1h0q~=>1283>7}:;8<<697j;<0ee`?>0;296~;49?<184k4=3db`?d?j2wx?<>k:181856><0?5h522gc`>g>e3ty8==o50;0x9671<3>2i63=f``9f=d74042=3n7052z?0534=<0o01?hn9;`;f>{t;8::6=4={<1224<31l16>ko7:c:a?xu49:=1<7m279joh5b9`8yv56;=0;6?u23056>1?b348mnh4m8c9~w67493:1>v3<166907287=`=::ohh6o6m;|q057d=838p1>?82;6:a>;5nkh1n5l4}r126=<72;q6?<9>:5;f?84ajh0i4o5rs2311?6=:r78=:>548g897`e13h3n6s|3001>5<5s49::o4;9d9>6cd?2k2i7p}<10`94?4|5:;=<7:6e:?1bg4=j1h0q~=?fd83>7}:;8?m697j;<0ee2>m8;296~;490k184k4=22a=?d?j2wx?=l;:181856110?5h5231`4>g>e3ty8?3>2i63<0c19f=d74?12=3n70=?b18a52z?05d4=<0o01>>l9;`;f>{t;9i=6=4={<12e4<31l16?=m8:c:a?xu48j>1<7m2781?b349;o>4m8c9~w66d83:1>v3<18g909e87=`=:;9hm6o6m;|q04ge=838p1>?6c;6:a>;48kn1n5l4}r13fd<72;q6?<7m:5;f?857jk0i4o5rs22ba?6=:r78=4;548g8966e:3h3n6s|31cg>5<5s49:594;9d9>75d32k2i7p}<12d94?7?s48m:?4;309>6c042=9:70;<0e36<3;816>k9;:512?84a?<0??<522g55>156348m;:4;309>6c1?2=9:7069=>;<0e23<3;816>k88:512?84a>10??<522g4:>156348m:l4;309>6c0e2=9:70;<0e2c<3;816>k9?:512?84a?80??<523063>g>e3ty8=h;50;0x967b<3h3n63<22a96c7a21k870k<<:9c5?84a:003m;522g2g>=g1348m=>47a79>6c7221k=70k=g53ty9j2i63=f0f9f=d77212=3n7052z?0611=<0o01?h=0;`;f>{t:o896=4={<110=<31l16>k<=:c:a?xu5n;>1<7m279j?:5b9`8yv4a:?0;6?u2336b>1?b348m>;4m8c9~w7`503:1>v3<25`90<;d;6:a>;5n;i1n5l4}r0e4f<72;q6??=j:5;f?84a8j0i4o5rs3d3a?6=:r78>>h548g897`7m3h3n6s|2g33>5<5s4998=4;9d9>6c772k2i7p}=f0094?4|5:8?=7:6e:?1b44=j1h0q~7}:;;>9697j;<0e516;296~;4:=9184k4=3d22?d?j2wx>k?7:181855<=0?5h522g3:>g>e3ty9j2i63=f0`9f=d771>2=3n70=?75807`=:;;236o6m;|q06=c=839p1><8a;6:a>;48>>1?964=20;a?d?j2wx??7?:180855?k0?5h523157>62>34995=4m8c9~w64>:3:1>v3<066971g<5:82>7l7b:p77?32909w0=?75800g=:;;3?6o6m;|q06<0=838p1>>84;17g>;4:0<1n5l4}r11==<72;q6?=9;:26g?855110i4o5rs20:e?6=:r78<::535g8964>i3h3n6s|33;`>5<5s49;;94<4g9>77?d2k2i7p}<28g94?4|5::<87=:0:?067}:;9=?6>=i;<11<7c;296~;48>>1?9>4=20;g?d?j2wx?=k::181855i90?5h5231g5>g>e3ty82i63<0da9f=d77g32=3n70=?ed8a52z?06d3=<0o01>>i0;`;f>{t;9l:6=4={<11e3<31l16?=h=:c:a?xu48o91<7m2781?b349;j;4m8c9~w66a?3:1>v3<2`;902909w0==a`87=`=:;9lj6o6m;|q04cd=838p1>;48oi1n5l4}r13a2<72;q6??o=:5;f?857m10i4o5rs22f=?6=:r78>l=548g8966bi3h3n6s|3374>5<5s4999;4;9d9>6ce22k2i7p}=f5`94?4|5;l=>7:6e:?04=0=j1h0q~7}::o<8697j;<13<=k;m:18184a?;0?5h5231:g>g>e3ty9j8m50;0x97`0;3>2i63<09g9f=d6c132=3n70=?8g8ai7>52z?1b23=<0o01>>60;`;f>{t:o?m6=4={<0e33<31l16?=7=:c:a?xu5n?:1<7m278<4=5b9`8yv4a>80;6?u22g5;>1?b349;594m8c9~w7`3k3:1>v3=f76906o6m;|q1b1c=838p1?h96;6:a>;480<1n5l4}r0e0c<72;q6>k88:5;f?8571>0i4o5rs3d64?6=:r79j;6548g8966>03h3n6s|2g72>5<5s48m:44;9d9>75?>2k2i7p}=f4094?4|5;l=m7:6e:?047}::ok;::18184a>m0?5h5231:4>g>e3ty9j8950;0x97`1n3>2i63<09;9f=d6c172=3n70=?8`8a57>52z?1b27=<0o01>>7b;`;f>{t;;?36=4>9z?050`=99=270=>618242?<5:;=n7??789>741728:<563<1639551>349:;?4>06;89670;3;;;4523057>4601278=:;5115:?856??0:<:74=2355?77?016?<8=:024=>;49?91==96;<1221<68>301>?95;333<=:;8<=6<>89:?0531=99=270=>698242?<5:;=57??789>740f28:<563<17a9551>349::i4>06;89671m3;;;452304e>4601278>875b9`8yv55=h0;6<:t=23a1?77?016?;49kl1==96;<12g5<68>301>?l1;333<=:;8i96<>89:?05f5=99=270=>c58242?<5:;h97??789>74e128:<563<1c59551>349:n54>06;8967e13;;;45230`b>4601278=ol5115:?856jj0:<:74=23a`?77?016?;4:f;2wx??;l:1827~;4:8=1==96;<115=<68>301><=1;333<=:;;896<>89:?0675=99=270==258242?<5:8997??789>774128:<563<2359551>3499>54>06;8964613;;;452333b>4601278>;4:8l1==96;<1165<68>301><:d;`;f>{t;;:j6=49{<1331<5>>16??<8:0243>;48=218hl4=3d07?>f:278>8=58`089662i32j=6s|332a>5<1s49;;94=699>774?28:<;63<05;90`d<5;l8?76n3:?0605=0h;01>>:b;:b5>{t;8li6=49{<1331<5=>16???6:0243>;48:k18hl4=3d07?>f<278>8:58`689663j32j=6s|30d`>5<1s49;;94=599>777f28:<;63<02`90`d<5;l8?76n5:?0602=0h901>>;c;:b5>{t;8lo6=49{<1331<5=016???m:0243>;48:i18hl4=3d07?>f>278>8:58`089663l32j=6s|30df>5<1s49;;94=5`9>777d28:<;63<02f90`d<5;l8?76n1:?0602=0h;01>>;e;:b5>{t;8lm6=46{<1331<5=k16???k:0243>;48:o18hl4=3d31?>f=278=9=58`789642;32j963<2019<}:;9=?6?;l;<115`<68>=01>>;5n9>14l;4=2377?>f<278>8=58`689646;32j863<21d9<76n1:p77662902w0=?75811a=:;;;m6<>87:?0416=;49=914l?4=2067?>f;278><=58`189647n32j=63<0439774728:<;63<07d9?;3;:b7>;4:<>14l;4=2027?>f>278>=h58`489660:32j?63<040976n2:p74`f29087:?046?=;4:9o14l;4=2061?>f<278<9o58`38yv558:0;64u23157>7073499=54>0658966393>nn63=f209;4:f92wx??>;:18a857?=09:<523302>460?278<9<54d`897`4:32j=63=f17976n5:?1b66=0h;01><;f;:b0>;4:9o14l=4=2061?>f;278<8:58`38yv558<0;6nu23157>7053499>?4>06589663;3>nn63=f17976n6:?1b66=0h901><;f;:b6>;4:<:14l=4=2027?>f:278>=k58`689642=32j>63<047975132;<870==2282421<5::?87:jb:?1b53=0h801>?;2;:b7>;5n;l14l=4=207b?>f9278>8>58`689646;32j=63<2049>:6;:b5>{t;;:<6=4k{<1331<5>=16??<;:0243>;48=?18hl4=3d31?>f9278=9<58`689642:32j=63=f3d9<>2;:b1>;4:8<14l?4=203a?>f:278<8958`38yv55810;6hu23157>7023499>84>06589663>3>nn63=f16976n1:?0604=0h?01?h=f;:b5>;4:=l14l;4=2064?>f9278><<58`689646=32j?63<21d9976n1:?040>=0h;0q~==0883>f}:;9=?6?89;<1163<68>=01>>;7;6ff>;5n9>14l<4=2376?>f:278>8<58`6897`5n32j:63<243976n3:?0643=0h>01>;48<314l?4}r0e71<72;q6>k8=:0243>;481214l=4}r0e7c<72;q6>k8<:0243>;481i14l=4}r0e06<72;q6>k8j:0243>;481n14l=4}r0e01<72;q6>k9=:0243>;481o14l=4}r0e00<72;q6>k9<:0243>;481l14l=4}r0e03<72;q6>k9;:0243>;480:14l=4}r0e02<72;q6>k9::0243>;480814l=4}r0e0=<72;q6>k99:0243>;480914l=4}r0e0<<72;q6>k98:0243>;480>14l=4}r0e0d<72;q6>k97:0243>;480;14l=4}r0e70<72;q6>k8;:0243>;480?14l=4}r0e73<72:q6>k8::0243>;4:1314l?4=22:2?>f;2wx>k=8:18084a>?0:<:94=20;b?>f9278<4958`18yv4a;10;6>u22g44>460?278>4?58`38966>032j?6s|2g1:>5<4s48m:54>0658964>;32j=63<08;96c0>28:<;63<28796}::o87:?06>77;:b7>{t:o9n6=4<{<0e2a<68>=01><6d;:b5>;481314l=4}r0e05<72:q6>k8i:0243>;4:0l14l?4=22;e?>f;2wx>k:>:18084a?90:<:94=20;f?>f9278<5l58`18yv4a<;0;6>u22g52>460?278>5j58`38966?>32j?6s|2g1`>5<3s48m:o4>0658964>132j=63<09497290875>321k?70=?838;e1=:;92865o;;<13eg=g3349;m<47a59>75g021k?70=?a98;e1=:;9k=65o;;<13e5=g3349;5n47a59>75?a21k?70=?9e8;e1=:;8=265o:;<12<7=g3349;m447a49>74`?21k?70==5c8;e6=:;8l>65o<;<123g=g5349;9847a39>753121k970=?568;e7=:;9?365o=;<131<=g5349;8n47a39>752c21k970=?4d8;e7=:;9>m65o=;<1315:9c1?857=;03m?5rs234g?6=;8q6?<9l:0243>;481;14l;4=22;0?>f=278<5<58`78966?;32j963<0``976n5:?04de=0h?01>>6e;:b1>;48h;14l;4=22b3?>f=27832j963<0`29>na;:b1>;480i14l;4=22:b?>f=278<4j58`789670132j=63<1909?j4;:b1>;48h314l84=23ef=278>8j58`189642j32j>63<16c9>:3;:b0>;48<>14l:4=2261?>f<278<8858`689662?32j863<04:9576n4:?040g=0h>01>>:b;:b0>;48=h14l:4=227g?>f<278<9j58`689663m32j863<05d9<76n4:?0407=0h>01>>:2;:b0>{t;8=<6=4=fz?0521=99=<70=?808;e6=:;92?65o<;<13<7=g5349;mn47a39>75?b21k970=?a08;e7=:;9k<65o=;<13e==g5349;m947a39>75gf21k970=?9b8;e7=:;93m65o=;<13=a=g5349;m447a09>74`?21k=70==5e8;e1=:;;?i65o>;<123d523170>=g4349;9947a29>753221k870=?578;e6=:;9?<65o<;<131=52317a>=g4349;8o47a29>752d21k870=?4e8;e6=:;9>n65o<;<130c523171>=g43ty8=k850;0x967a>3;;;:5230d;>=g63ty8=n950;1x967c=3;;;:5231c1>=g1349:i947a79~w67dk3:1>v3<1e495510349;ml47a79~w67dl3:1>v3<1e`95510349;mo47a79~w67dm3:1>v3<1ea95510349;mn47a79~w67dn3:1>v3<1ef95510349;5n47a79~w67c83:1>v3<1eg95510349;5i47a79~w67c93:1>v3<1ed95510349;5h47a79~w67c:3:1>v3<1d295510349;5k47a79~w67c;3:1>v3<1d395510349;m=47a79~w67c<3:1>v3<1d095510349;m<47a79~w67d03:1>v3<1e595510349;m847a79~w67d13:1>v3<1e:95510349;m;47a79~w67di3:1>v3<1e;95510349;m:47a79~w67dj3:1>v3<1ec95510349;m547a79~w67?=3:1?v3<18695510349;m?47a29>74c321k87p}<19`94?4|5:;297??769>75gf21k87p}<19a94?4|5:;2n7??769>75ge21k87p}<19f94?4|5:;2o7??769>75gd21k87p}<19g94?4|5:;2h7??769>75?d21k87p}<19d94?4|5:;2i7??769>75?c21k87p}<18294?4|5:;2j7??769>75?b21k87p}<18394?4|5:;j<7??769>75?a21k87p}<18094?4|5:;j=7??769>75g721k87p}<18194?4|5:;j>7??769>75g621k87p}<19494?4|5:;2:7??769>75g221k87p}<19594?4|5:;2;7??769>75g121k87p}<19:94?4|5:;247??769>75g021k87p}<19;94?4|5:;257??769>75g?21k87p}<19c94?4|5:;2m7??769>75g>21k?7p}<23;94?4|5;ln?76n5:?066d=99=<7p}<22094?4|5:88h7??769>6ccc21k>7p}<22194?4|5:8?:7??769>6c`121k>7p}<22694?4|5:8?;7??769>6c`?21k>7p}<22794?4|5:8?47??769>6c`f21k>7p}<22494?4|5:8?57??769>6c`d21k>7p}<22594?4|5:8?m7??769>6c`b21k>7p}<22:94?4|5:8?n7??769>756721k>7p}<22;94?4|5:8?o7??769>756521k>7p}<22c94?4|5:8?h7??769>756321k>7p}<23c94?4|5:88i7??769>6cc121k>7p}<23`94?4|5:88j7??769>6cc?21k>7p}<23a94?4|5:8?<7??769>6ccf21k>7p}<23f94?4|5:8?=7??769>6ccd21k>7p}<23g94?4|5:8?>7??769>6ccb21k>7p}<23d94?4|5:8??7??769>6c`721k=7p}<22294?4|5:8?87??769>6c`521k=7p}<22394?4|5:8?97??769>6c`321k=7p}<1`194?5|5:;i97??769>6c6?21k97053z?05g0=99=<70?mf;3332=::o:365o<;<135=?ne;297~;49j:1==98;<0e4=16>k>7:9c6?8579j03m=5rs23a4?6=;r78=n<51154?84a8103m;52313f>=g73ty8=o?50;1x967d;3;;;:522g2:>=g5349;>=47a19~w67e:3:1?v3<1b695510348m<447a09>754521k;7p}<1c194?5|5:;h97??769>6c6>21k870=?258;e5=z{:;i87>53z?05f0=99=<70?m7;3332=::o:265o:;<1343?n5;297~;49k21==98;<0e4<7:9c3?xu49h<1<7=t=23a=?77?>16>k>n:9c1?8578h03m=5rs23b3?6=;r78=oo51154?84a8h03m<52312`>=g73ty8=l650;1x967ej3;;;:522g2b>=g4349;757721k;7p}<1`c94?5|5:;ih7??769>6c6f21k>70=?138;e5=z{:;jn7>53z?05gc=99=<70?;0;:b6>;49=?1==98;|q051?=83>p1>?92;3332=::o3265o>;<0e3g<3mk16?=k9:9c0?xu49=k1<79t=205e?2>m278=;=51154?84akk03m?522ga5>=g5348m5l47a09>6c1d2=oi70=?eb8;e6=z{:;?n7>57z?063d=<0o01>?94;3332=::oii65o>;<0eg3k7m:9c2?84a?m0?io5231gf>=g43ty8=9m50;5x9641m3>2i63<17795510348mon47a39>6ce021k970?;d;293~;4:?l184k4=2352?77?>16>kml:9c2?84ak>03m9522g;g>=g6348m;k4;ec9>75`521k87p}<15g94?0|5:8<<7:6e:?0531=99=<70k6>:9c2?xu49=l1<78t=2045?2>m278=;651154?84akm03m<522ga;>=g3349;j;47a29>6c>421k:7p}<14294?0|5:8<>7:6e:?053?=99=<70k6::9c2?xu49<;1<78t=2047?2>m278=;o51154?84akl03m<522ga:>=g3349;jl47a29>6c>021k:7p}<14194?0|5:8<87:6e:?053e=99=<70k6n:9c2?xu49<>1<78t=2041?2>m278=;j51154?84ako03m<522gab>=g3349;i547a29>6c>d21k:7p}<14794?1|5:8=o7:6e:?053c=99=<70=?e`8;e6=::o2n65o>;<0eg05rs22e`?6=:r78:751154?857>o03m>523152>=g2349;;>47a39>6f0521k87p}<26594?5|5:8751621k?70=?738;e0=z{:8<47>52z?062d=99=<70=?738;e1=z{:852z?06d6=99=<70==898;e1=z{:852z?06d7=99=<70==8d8;e1=z{:83<7>52z?06d2=99=<70==918;e1=z{:83=7>52z?06d3=99=<70==938;e1=z{:83>7>52z?06d0=99=<70==958;e1=z{:83?7>52z?06d1=99=<70==978;e1=z{:8387>52z?06d>=99=<70==998;e1=z{:8397>52z?06d?=99=<70==9`8;e1=z{:83:7>52z?06dg=99=<70==9b8;e1=z{:83;7>52z?06dd=99=<70==9d8;e1=z{:852z?06d4=99=<70==8`8;e1=z{:852z?06d5=99=<70==8b8;e1=z{:8>:7>5dz?0600=99=<70==8e8;e6=:;;2i65o<;<11=c5233;:>=g434995:47a29>77?221k870==928;e6=:;;3:65o<;<1116??67:9c2?xu4:?;1<716??6j:9c2?xu4:?81<716??7?:9c2?xu4:?91<716??7=:9c2?xu4:?>1<716??7;:9c2?xu4:??1<716??79:9c2?xu4:?<1<716??77:9c2?xu4:?=1<716??7n:9c2?xu4:?21<716??7l:9c2?xu4:?31<716??7j:9c2?xu4:16??6n:9c2?xu4:?:1<716??6l:9c2?xu6kh31<7542`8yv7di10;6>u21bc;>g>e34;hm44;3c9>5fea2=9i7p}>cca94?5|58ij47??a99>5feb20;:70?lbb8a53z?2gd1=j1h01;6kjo18>l4}r3`fg<72:q6=no8:02b<>;6kjn1515e34;hoi4;3c9~w4eei3:1?v3>c`4955g?34;hon46109>5fdf2k2i7p}>c`794?5|58ij97l7b:?2gd0=<:h01{t9jh26=4<{<3`e0<68h201;6kk31n5l4}r3`e1<72:q6=no;:c:a?87di<0??o521baa>15e3ty:oo650;1x94ef<3;;m5521bab><7634;hn54m8c9~w4ef;3:1?v3>c`19f=d<58ij87:6}:9jk86<>n8:?2gf?=18;01{t9jk96=4<{<3`e7g>e3ty:ol?50;1x94ef93h3n63>c`0906d<58ih47:<58ih;77>1:?2gg3=j1h0q~?lb283>6}:9jh86o6m;<3`e4<3;k16=nm8:51a?xu6kj?1<7=t=0aa7?77i116=njn:832?87dk<0i4o5rs0aa6?6=;r7:oo<5b9`894ee;3>8n63>cec906d5fd528:j463>ce;9=47<58ih87l7b:p5fd62908w0?lb08a9816=nm<:c:a?xu6kk:1<7=t=0aa4?d?j27:oo?542`894ec03>8n6s|1ba1>5<4s4;hn=4>0`:894ec?33:=63>cb09f=d5fga2k2i70?lb1877g=:9jn<69=m;|q2gf7=839p1;<3`g4u21bcf>46f027:oi;5903894ed83h3n6s|1bcg>5<4s4;hmi4m8c9>5fgb2=9i70?ld4877g=z{8iij7>53z?2gdb=99k370?ld58:54=:9jhm6o6m;|q2gde=839p1;6khn18>l4=0ag0?24j2wx=nlj:18087dij0:u21bca>g>e34;hmn4;3c9>5fb42=9i7p}>ccf94?5|58ijn7??a99>5fb520;:70?lbe8a53z?2gd6=j1h01;6km818>l4}r3`f1<72:q6=no?:02b<>;6kj<1516=nln:9c0?87dj003m>521b`;>=g434;hn:47a29>5fd121k870?lb48;e6=:9ji>65o<;<3`g1521ba2>=g434;ho=47a29>5fda21k870?lbd8;e6=:9jh?65o<;<3`fa521b`a>=g434;o8>47a19~w4b6=3:1?v3>d3;9551034;hm=4;ec9>5fd321k:7p}>cd794?4|58n887:6e:?2ga6=j1h0q~?le583>7}:9m98697j;<3`gcg>e3ty:oh?50;0x94b483>2i63>cba9f=d5a4a2=3n70?lcc8a52z?2`7c=<0o01{t9jnn6=4={<3g6a<31l16=nm6:c:a?xu6kmn1<7m27:on65b9`8yv7dlj0;6?u21e0a>1?b34;ho:4m8c9~w4ebm3:1>v3>d2a90;6km21n5l4}r3`ag<72;q6=i=6:5;f?87dl>0i4o5rs0afe?6=:r7:h>6548g894ec>3h3n6s|1bg:>5<5s4;o?:4;9d9>5fb22k2i7p}>cd:94?4|58n8:7:6e:?2ga2=j1h0q~?le683>7}:9m9>697j;<3``6g>e3ty:h>h50;0;87c8;0??<521e2g>15634;o5a6a2=9:70?k118774=:9m;:69=>;<3g57<3;816=i?<:512?87c9=0??<521e20>15634;o<94;309>5a622=9:70?k078774=:9m:<69=>;<3g4=<3;816=i>6:512?87c8h0??<521e2a>15634;o5a4>28:<563>d3c9551>34;o?84>06;894b4>3;;;4521e14>460127:h>65115:?87c;00:<:74=0f0e?77?016=i=m:024=>;6l:i1==96;<3g6g<68>30189:?2`7c=99=270?k2g8242?<58n8<7??789>5a5628:<563>d209551>34;o?>4>06;894b4<3;;;4521e63>g>e3ty:ok750;0x94b7:3;;;:521e7;>1?b3ty:oko50;0x94b7l3;;;:521e50>1?b3ty:okl50;0x94b7m3;;;:521e55>1?b3ty:okm50;0x94b7n3;;;:521e5:>1?b3ty:okj50;0x94b683;;;:521e5`>1?b3ty:okk50;0x94b693;;;:521e5e>1?b3ty:okh50;0x94b6:3;;;:521e:1>1?b3ty:h=>50;0x94b6;3;;;:521e:6>1?b3ty:h=?50;0x94b6<3;;;:521e:;>1?b3ty:ohh50;0x94b7;3;;;:521e:a>1?b3ty:ok>50;0x94b7<3;;;:521e7a>1?b3ty:ok?50;0x94b7=3;;;:521e7f>1?b3ty:ok<50;0x94b7>3;;;:521e42>1?b3ty:ok=50;0x94b7?3;;;:521e47>1?b3ty:ok:50;0x94b703;;;:521e44>1?b3ty:ok;50;0x94b713;;;:521e4b>1?b3ty:ok850;0x94b7i3;;;:521e4g>1?b3ty:ok950;0x94b7j3;;;:521e53>1?b3ty:ok650;3087c8j0:<:94=0f45?2>m27:h;k548g894b1j3>2i63>d7:90;6lm27:h57548g894b?>3>2i63>d9190;6l>=184k4=0f40?2>m27:h87548g8yv7c1?b34;o9l4m8c9~w4b3n3:1>v3>dg090;6l>h1n5l4}r3g17<72;q6=ihk:5;f?87c?l0i4o5rs0f67?6=:r7:hkk548g894b?93h3n6s|1e77>5<5s4;ojk4;9d9>5a>32k2i7p}>d4794?4|58o;<7:6e:?2`=1=j1h0q~?k5783>7}:9l::697j;<3gg>e3ty:h9650;0x94ba<3>2i63>d729f=d5a`22=3n70?k628a52z?2`c0=<0o01{t9m>i6=4={<3gb2<31l16=i86:c:a?xu6l=i1<7m27:h;m5b9`8yv7c1?b34;o:k4m8c9~w4b3m3:1>v3>dgc907l7b:p5a5b290:9v3>d5295fdf21k:70?lb88;e4=:9jh365o>;<3`f2=g534;ho947a39>5fe421k970?lc38;e7=:9ji:65o=;<3`g5=g534;hni47a39>5a2221k970?lbb8;e4=:9jhi65o>;|q2`76=83>p1;6l;k1==98;<3`eg<3mk16=nlk:9c2?xu6l;;1<7:t=0f3`?2>m27:h>;51154?87dij0?io521b`f>=g63ty:h?<50;6x94b7m3>2i63>d249551034;hmi4;ec9>5fda21k:7p}>d3194?2|58n;j7:6e:?2`61=99=<70?lad87ag=:9ji;65o>;|q2`72=83>p10;6:a>;6l:21==98;<3`ec<3mk16=nm>:9c2?xu6l;?1<7:t=0f25?2>m27:h>751154?87dj90?io521ba1>=g63ty:h?850;6x94b6:3>2i63>d2c9551034;hn<4;ec9>5fe421k:7p}>d3594?2|58n:?7:6e:?2`6d=99=<70?lb387ag=:9ji?65o>;|q2`7>=83>p14;6:a>;6l:i1==98;<3`f6<3mk16=nm::9c2?xu6l8<1<7:t=0f37?2>m27:h?l51154?87di80?io521b`6>=g53ty:h<950;6x94b7<3>2i63>d3a9551034;hm?4;ec9>5fd121k97p}>d0:94?2|58n;97:6e:?2`7b=99=<70?la287ag=:9jh<65o=;|q2`4?=83>p1;6l;o1==98;<3`e1<3mk16=nl7:9c1?xu6l8k1<7:t=0f33?2>m27:h?h51154?87di<0?io521b`:>=g53ty:h2i63>d229551034;hm;4;ec9>5fdf21k97p}>d0a94?2|58n;57:6e:?2`67=99=<70?la687ag=:9jhi65o=;|q2`4b=83>p1;6l:81==98;<3`e=<3mk16=nll:9c1?xu6l8o1<7=t=0f3f?2>m27:h>=51154?87dih03m<5rs0f2b?6=;r7:h=m548g894b4<3;;;:521bf2>=g63ty:hoh50;0x94bc;33:=63>dcd9f=d5age2k2i70?kd2877g=z{8nii7>53z?2`dd=99k370?kd38:54=:9mhn6o6m;|q2`<>=838p1;6l021n5l4}r3ged<72:q6=ion:c:a?87cik0??o521ef1>15e3ty:hoj50;1x94bfi3;;m5521ef2><7634;oni4m8c9~w4b>?3:1>v3>d`c90`d<58n2;7l7b:p5ag>2908w0?ka88a9816=ill:c:a?xu6l0<1<7u21ec;>g>e34;om44;3c9>5ab72=9i7p}>dc`94?5|58nj47??a99>5aea20;:70?kbc8a52z?2`d>={t9mk<6=4<{<3ge2g>e3ty:h4:50;0x94bf?3>nn63>d869f=d5ag12k2i70?ka6877g=:9min69=m;|q2`g?=839p1;<3gf<15e34;ooi4;3c9~w4be03:1?v3>d`7955g?34;oon46109>5ad?2k2i7p}>d8094?4|58nj97:jb:?2`<4=j1h0q~?ka583>6}:9mk?6o6m;<3ge0<3;k16=iml:51a?xu6lk=1<7=t=0fb0?77i116=imm:832?87cj>0i4o5rs0f:5?6=:r7:hl:54d`894b>93h3n6s|1ec0>5<4s4;om>4m8c9>5ag32=9i70?kcc877g=z{8ni:7>53z?2`d5=99k370?kc`8:54=:9mh=6o6m;|q2`<6=838p1;6l0:1n5l4}r3gf1<72:q6=il;:c:a?87ci:0??o521eab>15e3ty:hn650;1x94be<3;;m5521ef`><7634;oo54m8c9~w4bf93:1>v3>dc690`d<58nj=7l7b:p5ad42908w0?kb28a9816=im8:c:a?xu6lh:1<75b9`8yv7cj;0;6>u21e`1>g>e34;on>4;3c9>5abe2=9i7p}>db494?5|58ni>7??a99>5abf20;:70?kc78a52z?2`g4={t9mh:6=4<{<3gf4g>e3ty:h4k50;0x94be93>nn63>d8g9f=d5ad72k2i70?kb0877g=:9mn269=m;|q2`f2=839p1;<3gg115e34;oh54;3c9~w4bd;3:1?v3>d`d955g?34;oh:46109>5ae42k2i7p}>d8a94?4|58njj7:jb:?2`6}:9mkn6o6m;<3gec<3;k16=ij8:51a?xu6lj81<7=t=0fba?77i116=ij9:832?87ck;0i4o5rs0f:f?6=:r7:hlk54d`894b>j3h3n6s|1ecg>5<4s4;omi4m8c9>5agb2=9i70?kd7877g=z{8nh=7>53z?2`db=99k370?kd48:54=:9mi:6o6m;|q2`;6l0k1n5l4}r3gef<72:q6=iol:c:a?87cim0??o521ef6>15e3ty:hn>50;1x94bfk3;;m5521ef7><7634;oo=4m8c9~w4b>13:1>v3>d`a90`d<58n257l7b:p5ag52908w0?ka38a9816=il::c:a?xu6l1l1<70;6?u21d00>1?b34;oh>4m8c9~w4bb>3:1>v3>e30907l7b:p5ac22909w0?j2087=`=:9mn:6o6m;|q2``2=838p1;6lm:1n5l4}r3ga6<72;q6=h?i:5;f?87cko0i4o5rs0ff6?6=:r7:i5<5s4;n=i4;9d9>5aec2k2i7p}>dd294?4|58o:o7:6e:?2`fe=j1h0q~?kdg83>7}:9l;i697j;<3gggg>e3ty:hhh50;0x94c5i3>2i63>de`9f=d5`4>2=3n70?kd`8a52z?2a7>=<0o01{t9moh6=4={<3f62<31l16=ij7:c:a?xu6llh1<7m27:hi95b9`8yv7cmh0;6?u21d06>1?b34;oh;4m8c9~w4bb13:1>v3>e36908;6:a>;6lj31n5l4}r3f4a<72:q6=h<=:0243>;6lko14l=4=0f:f92wx=h>l:18087b:80:<:94=0fa`?>f<27:h4958`38yv7b8k0;6>u21d03>460?27:hom58`6894b>>32j=6s|1d2b>5<4s4;n=k4>065894bej32j863>d8795`7b28:<;63>dcc96}:9l;h6<>87:?2`g>=0h>01{t9l:=6=4<{<3f5g<68>=01;6l0;14l?4}r3f40<72:q6=h?n:0243>;6lk<14l:4=0f:4?>f92wx=h?8:18087b:k0:<:94=0f`f<27:hl?58`38yv7b9?0;6>u21d0b>460?27:hn958`6894bf832j=6s|1d36>5<4s4;n>44>065894bd>32j863>d8d95`4?28:<;63>db796}:9l8=6<>87:?2`f5=0h>01{t9l;:6=4<{<3f60<68>=01;6l0h14l?4}r3f55<72:q6=h<;:0243>;6lj;14l:4=0f:e?>f92wx=h>i:18087b900:<:94=0f`4?>f<27:h4758`38yv7b8=0;6>u21d3;>460?27:ho;58`6894b?n32j=6s|1edb>5<5s4;ojl4>065894bel32j?6s|1d2f>5<4s4;n<>4;9d9>5`4428:<;63>dcg95a`628:<;63>dc795a`528:<;63>db295a`e28:<;63>db395a`d28:<;63>db095a`c28:<;63>db195a`b28:<;63>db695a`a28:<;63>db795`6728:<;63>db495`6628:<;63>db595`6528:<;63>db:94?:3y>5a`428:<;63>dc495a`328:<;63>dc595a`228:<;63>dc:95a`128:<;63>dc;95a`028:<;63>dcc95a`?28:<;63>dc`95a`>28:<;63>dca95cgf2k2i70?ib18;e4=z{8ljn7>52z?2bdd=j1h01{t9okh6=4={<3eef58`68yv7ail0;6?u21gcf>g>e34;mn=47a49~w4`fn3:1>v3>f`d9f=d<58li<76n6:p7`g>2908w0=ja88akna;297~;4mhk1n5l4=2gbf?>f;278i4l58`18yv5d>80;6?u23b42>g>e349h:947a79~w6e1<3:1>v37l7b:p7fc>290>w0=le`8a;<1`5a63=0h?0q~=lec83>0}:;joh6o6m;<1`a`=g33ty8ohm50;7x96ebl3h3n63k;8;:b7>{t;j:n6=4<{<1`4`7`d22k2i70=jb68;e4=:;l3265o<;|q0ag0=839p1>km6;`;f>;4mk=14l<4=2g:=?>f<2wx?o=i:18185e<90i4o523c65>=g13ty8n9>50;0x96d393h3n637g252k2i70=m478;e1=z{:h?>7>52z?0f15=j1h01>l;6;:b7>{t;k>86=4={<1a011<7v3m?d;:b0>;4k9k1n5l4}r1`5=<72;q6?n?l:9c0?85d900i4o5rs2a2=?6=:r78o0q~;=1083>7}:=;;96o6m;<71522;296~;2:891n5l4=4020?>f92wx9??<:1818359>03m<525337>g>e3ty>><:50;0x9046=3h3n63:204917712k2i70;=168;e7=z{h:>6=4={<0`04n:?:9c5?xu2:831<7f;27>>v3:20a9f=d<5<8:n76n3:p=2b=838p18<=f;`;f>;2:;o14l=4}r7176<72;q69?=8:9c0?835;=0i4o5rs400>>l58`18904413h3n6s|99:94?4|5<88j7l7b:?666c=0h90q~763;296~;2:=81n5l4=4075?>f;2wx54k50;0x9044l3h3n63:22a97f672k2i70=l008;e1=z{:o357>52z?0a=?=j1h01>k60;:b7>{t;l2j6=4={<1f58`08yv5b190;6?u23d:f>g>e349n5=47a49~w6c?93:1>v376n4:p7`>52909w0=j838ak73;`;f>;4m1<14l<4}r1f<1<72:q6?h6;:c:a?85b0<03m>523dc6>=g43ty8i5;50;0x96c?=3h3n637`172k2i70=j708;e1=z{:o<=7>52z?0a25=0h<01>k81;`;f>{t;l=96=4={<1f36>?m58`78yv35:h0;6?u2530`>g>e34?9?=47a79~w04383:1>v3:25`9f=d<5<8?o76n6:p17242909w0;=4b8ao65o=;|q661c=838p18<;f;`;f>;2:<:14l84}r710c<72;q69?;?:c:a?835=803m?5rs4066?6=:r7>>8=5b9`89042<32j:6s|5370>5<5s4?9994m8c9>173221k97p}:24494?4|5<8>;7l7b:?660>=0h<0q~;=5683>7}:=;?36o6m;<711<f>2wx9?;m:181835=j0i4o52537g>=g53ty>>8k50;0x9042n3h3n63:272917072k2i70;=608;e7=z{<8=>7>52z?6635=j1h018<94;:b1>{t=;<86=4={<71211<7>9;58`48yv35<<0;6?u25366>g>e34?98;47a39~w043?3:1>v3:25:9f=d<5<8?576n5:p172?2909w0;=488aj65o9;|q0a20=838p1>k86;`;f>;4m>=14l;4}r1f32<72;q6?h98:c:a?85b?l03m;5rs2g4g?6=:r78i:m5b9`896c0m32j?6s|3d5g>5<5s49n;i4m8c9>7`1b21k97p}7}:;lkm1;296~;4mk;1n5l4=2ga6?>f92wx?hl=:18185bj;0i4o523d`b>=g23ty8io=50;0x96ce;3h3n637`d?2k2i70=jb`8;e7=z{0k26=4={<1`22h0;6?u23b4a>g>e349h:n47a79~w6e1j3:1>v3m;6;:b1>;4k==1n5l4}r1fe3<72;q6?ho::c:a?85bi?03m;5rs2gb3?6=:r78il85b9`896cfk32j:6s|3dca>5<5s49nm:4m8c9>7`g?21k87p}7}:;lkh65o;;<1fegk67;296~;4m091n5l4=2g:g?>f:2wx?h7n:18185b1<0i4o523d;`>=g43ty8i4l50;0x96c>?3h3n637`?f2k2i70=j9b8;e0=z{:o2j7>52z?0ak6b;`;f>{t;klj6=4={<1abdjl0;6?u23cde>g>e349ijh47a29~w;309214l=4}r6;43<72;q685>8:c:a?82?8103m85rs5:33?6=:r7?4=65b9`891b6<32j96s|9ef94?4|5==?j7l7b:?731e=0h90q~7j1;296~;3?<;1n5l4=5564?>f;2wx5h950;7x91gfi3h3n63;a`69=0h?019on9;:b1>{t<1336=4={<6;=<u249;a>g>e34>35h47a29>0=7b21k?7p};88`94?5|5=22o7l7b:?7<e;:b7>{t<13h6=4<{<6;=a7:3h3n6s|4921>5<5s4>3<>4m8c9>0=6321k?7p};83494?4|5=29;7l7b:?7<7>=0h>0q~:70b83>7}:<1:o6o6m;<6g67f;2wx85o?:18182?i90i4o5249c7>=g23ty2jl4?:3y>0=7f2k2i70:k498;e6=z{==mo7>52z?7<56=0h?0199id;`;f>{t<18:6=4={<6;67g>e34>3>847a59~w1>6;3:1>v3;8179f=d<5=2:h76n6:p0=7e2909w0:71c8a4;`;f>;3l:o14l=4}r6;50<72;q685?::c:a?82c;j03m>5rs5caf?6=:r7?mol5b9`891gem32j?6s|4```>5<5s4>jnn4m8c9>0ddb21k?7p};acf94?4|5=kih7l7b:?7egc=0h?0q~:71183>7}:<1;;6o6m;<6g711;296~;308;1n5l4=5f1a?>f;2wx8ol<:18682ej=0i4o524c`4>=g634>jo=47a39>025621k=70:mad8;e7=z{=hi87>55z?7fg3=j1h019lm7;:b6>;3ij:14l=4=5505?>f;27?nlk58`18yv2ej<0;68u24c`5>g>e34>in:47a29>0de721k?70:8308;e1=::c:a?82e9903m95rs5`21?6=:r7?n<;5b9`891d6>32j96s|4`a7>5<5s4>jo94m8c9>0de>21k87p};ab594?4|5=kh;7l7b:?7ef>=0h?0q~:nc983>7}:f<2wx8i7l:18182c1j0i4o524e;g>=g53tyj02`72k2i70:8eg8;e6=z{h8?6=4={<6;<5f=27?;h=5b9`8yv20m:0;6?u246g7>g>e34>v3;7ed9f=d<5==n=76n4:p02ba2909w0:8e08;e0=:<>o;6o6m;|q73c1=838p199ib;:b5>;3?o21n5l4}r64b4<72;q68:h=:c:a?820n<03m95rs55e0?6=:r7?;k:5b9`8911a=32j:6s|46d6>5<5s4>02`121k:7p}n3883>7}:<1286o6m;<6;<7=g33tyj954?:3y>0=?62k2i70:7918;e6=z{h?n6=4={<6;=600;6?u246gb>=g434>o365o<;|q7`3e=838p19j9d;`;f>;3l>914l:4}r6g2a<72;q68i8j:c:a?82c?:03m;5rs5f5a?6=:r7?h;h5b9`891b0;32j?6s|4e4e>5<5s4>o;=4m8c9>0a1421k97p};d6294?4|5=n<=7l7b:?7`25=0h?0q~:k7083>7}::18182?;=0i4o52490b>=g13ty?4?m50;0x91>5k3h3n63;83f952z?7<60=j1h0196<5;:b2>{tih=1<7v3;82:9f=d<5=28;76n6:pegb=838p196;30:314l84}rcg6?6=:r7?4>m5b9`891>4j32j:6s|aed94?4|5=2?>7l7b:?7<6b=0h<0q~oje;296~;30:l1n5l4=5:0a?>f>2wxn=:50;0x91>393h3n63;852952z?7<12=j1h0196;3;:b2>{tj9k1<7v3;85:9f=d<5=2?;76n6:p0agb2909w0:kad8a;3lk914l84}r6gf7<72;q68il=:c:a?82cj:03m95rs5fa7?6=:r7?ho=5b9`891be<32j=6s|49c;>5<5s4>3nk4m8c9>0=e621k>7p};8`;94?4|5=2h=7l7b:?77}:<1i86o6m;<6;edf>2wx85j>:18182?l80i4o5249f1>=g23ty?4i<50;0x91>c:3h3n63;8`c93h>4?:3y>0=gf2k2i70:7ac8;e3=z{=2jm7>52z?7{t<1h<6=4={<6;f2:<0;6?u24805>g>e34>2>i47a59~w1?4j3:1>v3;9219;31:21n5l4}r6:62<72;q684=6:c:a?82>:k03m85rs5;1l5b9`891?3<32j96s|480b>5<5s4>2894m8c9>0<4d21k=7p};93f94?4|5=3957l7b:?7=7d=0h90q~:62d83>7}:<08i6o6m;<6:6a1n5l4=5:g2?>f<2wx85jn:18182?lj03m;5249fb>g>e3ty?4il50;0x91>ck32j>63;8e`9f=d3hn4?:3y>0=bd2k2i70:7d78;e0=z{=2oh7>52z?7{t<1nn6=4={<6;``f=27?4ih5b9`8yv2?m90;6?u249g3>g>e34>3h847a49~w1>b93:1>v3;8e79;31ln14l<4}r6:`1<72;q684kj:9c5?82>mm0i4o5rs5;fe?6=:r7?5hk5b9`891c4;3>2i6s|48gg>5<5s4>2i947a49>07}:<0lm6o6m;<6:a1f92wx84hj:18182>l<0i4o5248g0>=g13ty?5kh50;0x91?c>3h3n63;9d19j<=4?:3y>052z?7=`5=j1h0197j4;:b0>{t<0n=6=4={<6:a1=10;6?u2487;>g>e34>29447a49~w1?213:1>v3;94;9f=d<5=3>h76n5:p0<3f2909w0:65`8a;31=m03m95rs5;6`?6=:r7?58j5b9`891?2m32j:6s|487f>5<5s4>29h4m8c9>0<3021k>7p};94d94?4|5=3>j7l7b:?7=03=0h;0q~:65483>7}:<0?>6o6m;<6:12f=2wx848::18182>><0i4o524845>=g23ty?5;850;0x91?1>3h3n63;97c92::4?:3y>0<002k2i70:6698;e3=z{=3=47>52z?7=3>=j1h019799;:b1>{t<0<26=4={<6:2<>k0;6?u2484a>g>e34>2:947a49~w1?1k3:1>v3;97a9f=d<5=3=>76n1:p0<052909w0:6638a;31>814l;4}r6:37<72;q6849=:c:a?82>?:03m85rs5;47?6=:r7?5:=5b9`891?0?32j96s|4857>5<5s4>2;94m8c9>0<1221k=7p};96794?4|5=3<97l7b:?7=20=0h?0q~:67783>7}:<0==6o6m;<6:32=1n5l4=5;4f>2wx8497:18182>?10i4o524852>=g23ty?5:750;0x91?013h3n63;97d92:k4?:3y>0<0a2k2i70:6708;e6=z{=kjj7>52z?7ed`=j1h019om0;:b2>{t8:9c6?xu3i9k1<7g>e34>j<>47a79~w1g7:3:1>v3;a119f=d<5=k;976n6:p0d642909w0:n068;e7=:;30ok14l84}r6;b=<72;q685h8:9c5?82?nh0i4o5rs5:ee?6=:r7?4k958`7891>aj3h3n6s|49da>5<5s4>3jn4m8c9>0=`021k?7p};8gf94?5|5=2mi7l7b:?7=56=0h<0197?1;:b2>{t<1ln6=4={<6;b3g>e34>3j847a79~w1>a<3:1>v3;8g49;31o=14l<4}r6:b2<72;q684h7:9c5?82>n>0i4o5rs5;e32j86s|48d:>5<5s4>2j44m8c9>0<`f21k=7p};9gc94?4|5=3mm7l7b:?7=c0=0h?0q~:6fc83>7}:<0lo65o:;<6:bgf=2wx84h=:18182>n;0i4o5248d0>=g23ty?5k=50;0x91?a;3h3n63;9g692j94?:3y>0<`221k=70:6f58a52z?7=c3=j1h0197i6;:b2>{t<1hj6=4={<6;fg=g134>3mn4m8c9~w1>fk3:1>v3;8`g9f=d<5=2jj76n5:p0=gc2909w0:7ag8a;31981n5l4=5:e2?>f;27?5k;58`6891>b>32j?63;9159;30k81n5l4}r6;f4<72;q685l<:c:a?82?j=03m;5rs5:a6?6=:r7?4o:5b9`891>e>32j:6s|49g1>5<5s4>3i44m8c9>0=c021k?7p};8d`94?4|5=2no7l7b:?7<`1=0h?0q~:7eb83>7}:<1oo6o6m;<6;a==g23ty?4hh50;0x91>a83h3n63;8d493j<4?:3y>0=c42k2i70:7e48;e6=z{=2n?7>52z?7<`3=0h>0196j4;`;f>{t<1o?6=4={<6;a08:0;6?u2482b>g>e34>2<547a59~w1?7k3:1>v3;91f9f=d<5=3;476n5:p0<6c2909w0:60d8a1;:b2>;319l1n5l4}r6:4c<72;q684??:c:a?82>9803m85rs5;24?6=:r7?55<5s4>2<94m8c9>0<6121k87p};91694?4|5=3;:76n4:?7=53=j1h0q~:60483>7}:<0:=6o6m;<6:42f=2wx849n:18182>?k0i4o5248:0>=g13ty?55;50;6x91??=3h3n63;99397}:<02=6o6m;<6:<4o1n5l4=5;4b?>f>2wx849j:18182>?o0i4o5248:2>=g13ty?5:h50;0x91??;32j?63;9929f=dj0d732k2i70:n128;e1=z{=k:97>52z?7e40=j1h019o>2;:b7>{tg>e34>j=<47a79~w1g683:1>v3;a039f=d<5=k:>76n5:p0d762909w0:n138a;30j214l;4}r6;g<<72;q685m7:9c7?82?k00i4o5rs5:`e?6=:r7?4no5b9`891>d?32j86s|49aa>5<5s4>3oo4m8c9>0=eb21k:7p};8ba94?4|5=2hi76n2:?77}:<1in6o6m;<6;g2f>2wx85m8:18182?k>0i4o5249a;>=g13ty?59850;0x91?3?3h3n63;95f928:4?:3y>0<2b2k2i70:64e8;e0=z{=3?i7>52z?7=1`=j1h0197:1;:b2>{t<0?:6=4={<6:0a:c:a?xu31<81<7f=27?58<5b9`8yv2><10;6?u2486`>=g434>2854m8c9~w1?3i3:1>v3;95c9f=d<5=3?o76n4:p0<2e2909w0:64c8ah65o9;|q7=1e=838p197;c;`;f>;31=n14l:4}r6:e6<72;q684o;:c:a?82>i103m85rs5;b0?6=:r7?5lh5b9`891?e832j:6s|48ce>5<5s4>2m547a59>07l7b:?7=g5=0h>0q~:6b383>7}:<0h86o6m;<6:f1j?0i4o5248c6>=g13ty?5o850;0x91?f=3h3n63;9`492m84?:3y>052z?7e7g=j1h019o=c;:b2>{tg>e34>j?>47a09~w1g483:1>v3;a219;3i;h14l:4}r6b71<72;q68l5rs5c01?6=:r7?m>85b9`891g4032j96s|4`15>5<5s4>j?547a59>0d5>2k2i7p};a2;94?4|5=k8m7l7b:?7e61=0h>0q~:n3`83>7}:=g23ty?m>h50;0x91g383h3n63;a259j8=4?:3y>0d502k2i70:n398;e3=z{=k?=7>52z?7e14=j1h019o;4;:b1>{t96=4={<6b01g>e34>j8o47a09~w1g303:1>v3;a5`92909w0:n4c8a865o:;|q7e1d=838p19o;c;`;f>;3i=914l84}r6b0f<72;q68l:<:c:a?82f<=03m;5rs5c7`?6=:r7?m9k5b9`891g2832j96s|4`6f>5<5s4>j9=47a59>0d362k2i7p};a4394?4|5=k>>7l7b:?7e1`=0h>0q~:n5383>7}:0i4o524`6e>=g23ty?m8950;0x91g203h3n63;a5d9j954?:3y>0d2a2k2i70:n518;e3=z{=k>57>52z?7e0g=j1h019o:c;:b1>{tg>e34>j:>47a09~w1g183:1>v3;a719;3i5<5s4>j:547a59>0d0>2k2i7p};a7;94?4|5=k=m7l7b:?7e31=0h>0q~:n6`83>7}:o0i4o524`44>=g23ty?m;h50;0x91g083h3n63;a759j;=4?:3y>0d002k2i70:n698;e3=z{=k<=7>52z?7e24=j1h019o84;:b1>{t?1<7g>e34>j;o47a09~w1g003:1>v3;a6`92909w0:n7c8a;3i>914l84}r6b3f<72;q68l9<:c:a?82f?=03m;5rs5;27?6=:r7?55<5s4>2=i4m8c9>0<7?21k>7p};90f94?4|5=3:i7l7b:?7=4?=0h>0q~:61d83>7}:<08:65o9;<6:5cf;296~;31;:1n5l4=5;15?>f=2wx84:80i4o524834>=g23ty?5?<50;0x91?6<3h3n63;90492=94?:3y>0<7121k?70:6148a52z?7=40=j1h0197>7;:b2>{t<0;=6=4={<6:5258`78yv2>1;0;6?u248;1>g>e34>24k47a59~w1?>;3:1>v3;9819f=d<5=33m76n3:p0<>f2909w0:68`8a;311o14l84}r6:0o03m85rs5;;a?6=:r7?55h5b9`891?>832j:6s|4`3`>5<5s4>j><4m8c9>0d4721k?7p};a3094?4|5=k9?7l7b:?7e4`=0h90q~:n2583>7}:f=2wx8l?k:18182f9l0i4o524`3e>=g13ty?m2i>4?:3y>052z?7=`?=j1h0197kd;:b7>{t<0o=6=4={<6:`2m10;6?u248f:>g>e34>2hl47a59~w1?b13:1>v3;9ec9f=d<5=3oh76n5:p0=838p197kc;`;f>;31mn14l84}r6:`<<72;q684jk:c:a?82>ll03m85rs5;:1?6=:r7?5485b9`891?>k32j96s|48;f>5<5s4>25k4m8c9>07}:<03<6o6m;<6:=gf>2wx8476:18182>1h0i4o5248;a>=g23ty?54o50;0x91?>j3h3n63;98a92n:4?:3y>058z?7e5?=j1h019o?5;:b5>;3i9<14l?4=5c26?>f<27?m;31j814l=4}r6:g5<72;q684m=:c:a?82>jh03m;5rs5;`6?6=:r7?5o65b9`891?e132j:6s|48`;>5<5s4>2n44m8c9>07p};9c;94?4|5=3im7l7b:?7=gd=0h?0q~:75183>7}:<1?86o6m;<6;10f<2wx84mn:18182>kk0i4o5248a4>=g43ty?5nm50;0x91?dl3h3n63;9bd92oi4?:3y>052z?7=f3=j1h0197l6;:b2>{t<0i>6=4={<6:g3=g634>om?4m8c9~w1bf=3:1>v3;d`79f=d<5=nj;76n5:p0ag12909w0:ka78a;3lhk14l?4}r6ge=<72;q68ion:9c5?82ci10i4o5rs5fb=?6=:r7?hl75b9`891bfi32j96s|496b>5<5s4>38h47a79>0=2e2k2i7p};7cf94?4|5==ih7??769>02de21k:7p}67d83>7}:<1>m6o6m;<6;0<=g43ty24>4?:3y>0a?12k2i70:k948;e3=z{=hji7>52z?7fdc=j1h019lm0;:b0>{t11>1<7v3;7419f=d<5==>>76n4:p==0=838p199:5;`;f>;3?<>14l:4}r;;3?6=:r7?;895b9`89112>32j86s|99;94?4|5==>57l7b:?730>=0h>0q~77a;296~;3?f<2wx55l50;0x9112l3h3n63;74a952z?730`=j1h0199:e;:b0>{t11n1<758`68yv??m3:1>v3;76;9f=d<5===>76n4:p==`=838p1998b;`;f>;3?>k14l:4}r;:4?6=:r7?;:j5b9`89110k32j86s|98394?4|5==0q~762;296~;3?1;1n5l4=55;4?>f<2wx54:50;0x911?;3h3n63;790952z?73=3=j1h019974;:b0>{t10<1<700;6?u2464b>g>e34><:o47a39~w111i3:1>v3;77`9f=d<5===o76n3:p020c2909w0:86d8a;3?>:14l=4}r6434<72;q68:9=:c:a?820?:03m?5rs5546?6=:r7?;:=5b9`89110<32j?6s|4656>5<5s4><;;4m8c9>021021k97p};76494?4|5==<;7l7b:?732>=0h90q~:86283>7}:<>f;2wx54950;0x91gbk3h3n63;ad`952z?737c=j1h0199=d;:b5>{t1031<7i3:1>v3;e`49f=d<5=oj976n4:p66dc2909w0<;5;j814l84}r00fc<72;q6>>m?:c:a?844k803m;5rs31`4?6=:r79?n?5b9`8975d:32j=6s|236f>5<5s4898k4m8c9>673721k>7p}=25d94?4|5;8><7l7b:?1605=0h<0q~<=5183>7}::;?:6o6m;<0117f92wx54l50;0x9127j3h3n63;41c9?=h4?:3y>017a2k2i70:;208;e1=z{=>:j7>52z?7076=j1h019:=1;:b1>{t<:>m6=4<{<6015;;:9c2?824=<03m;5rs5164?6=;r7??:658`089155032j863;3419f=d89;4?:3y>06302k2i70:<5`8;e3=z{=9>;7>53z?772>=0h9019==8;:b1>;3;;m:c:a?824?103m952420;>=g13ty25n4?:3y>06202k2i70:<458;e0=z{8i>j7>52z?2g36=j1h01{t9j<;6=4={<3`2dk0;6?u21b4`>g>e34;h:i47a79~w4e1k3:1>v3>c7f9f=d<58i=576n3:p5f0c2909w0?l6d8a;6k?;14l=4}r3`2c<72;q6=n9?:c:a?87d>803m85rs0a44?6=:r7:o:?5b9`894e1932j:6s|1b52>5<5s4;h:<4m8c9>5f0>21k>7p}>c7394?4|58i=>7l7b:?2g3>=0h?0q~?l6383>7}:9j<86o6m;<3`2=10i4o521b4:>=g13ty:o9j50;0x94e3m3h3n63>c4c95f302k2i70?l5`8;e6=z{8i>;7>52z?2g0>=j1h01{t9j?36=4={<3`1<g>e34;h8k47a59~w4e2j3:1>v3>c4a9f=d<58i?j76n3:p5f3d2909w0?l5e8am65o:;|q2g0b=838p1;6k=l14l84}r3`1`<72;q6=n:i:c:a?87d=?03m85rs0a7b?6=:r7:o8>5b9`894e2=32j96s|1b73>5<5s4;h9<4m8c9>5f3221k87p}>c4394?4|58i>>7l7b:?2g02=0h90q~?l5383>7}:9j?86o6m;<3`111n5l4=0a61?>f>2wx=n;;:18187d=<0i4o521b75>=g13ty25i4?:3y>52722k2i70?8158;e3=z{03m6=4={<3456i90;6?u21632>g>e34;<==47a79~w:n65o9;|q:e7<72;q6=:>k:c:a?8708j03m;5rs8c0>5<5s4;<526f21k=7p}6a583>7}:9>:26o6m;<344=0i4o521625>=g13ty2m;4?:3y>52632k2i70?8028;e3=z{0k<6=4={<3447>:9c5?xu>i10;6?u21623>g>e34;=jk47a79~w5<5s4;<<84m8c9>53`f21k=7p}>6g194?4|587}:9?l265o=;<35b3lo1n5l4=04e5?>f:2wx=;kj:181871mo0i4o5217d2>=g23ty::hh50;0x940a83h3n63>6g3953`62k2i70?9f38;e7=z{852z?22`>=j1h01<8jb;:b6>{t9?o36=4={<35a<l31<7g>e34;=in47a39~w40b93:1>v3>6d09f=d<5865o:;|q22`5=838p1<8j4;`;f>;6>l?14l:4}r35a1<72;q6=;k::c:a?871m?03m?5rs04gf?6=:r7::im5b9`8940cn32j>6s|17f`>5<5s4;=hi4m8c9>53ba21k>7p}>6ef94?4|580q~?9dd83>7}:9?nm6o6m;<35a5m<1n5l4=04g=?>f:2wx=;j9:181871l>0i4o5217f:>=g23ty::i950;0x940c03h3n63>6e;953b>2k2i70?9d`8;e7=z{852z?22a6=j1h01<8k3;:b6>{t9?n;6=4={<35`4m;1<7g>e34;=h947a39~w40d13:1>v3>6bc9f=d<58;6>jn14l:4}r35gf<72;q6=;mk:c:a?871kl03m?5rs04`7?6=:r7::n:5b9`8940d?32j>6s|17a7>5<5s4;=o84m8c9>53e021k>7p}>6b794?4|580q~?9c783>7}:9?i<6o6m;<35g=ko1n5l4=04`5?>f:2wx=;lj:181871jo0i4o5217a2>=g23ty::oh50;0x940d83h3n63>6b3953e62k2i70?9c38;e7=z{852z?22g>=j1h01<8mb;:b6>{t9?h36=4={<35f<k31<7g>e34;=nn47a39~w40e93:1>v3>6c09f=d<5865o:;|q22g5=838p1<8m4;`;f>;6>k?14l:4}r35f1<72;q6=;l::c:a?871j?03m?5rs04bf?6=:r7::lm5b9`8940fn32j>6s|17c`>5<5s4;=mi4m8c9>53ga21k>7p}>6`f94?4|580q~?9ad83>7}:9?km6o6m;<35f5h<1n5l4=04b=?>f:2wx=;o9:181871i>0i4o5217c:>=g23ty::l950;0x940f03h3n63>6`;953g>2k2i70?9a`8;e7=z{8<2j7>52z?22d6=j1h01<8n3;:b6>{t9?k;6=4={<35e4h;1<7g>e34;=m947a39~w40>13:1>v3>68c9f=d<58<2h76n2:p53?f2909w0?99c8a;6>0n14l:4}r35=f<72;q6=;7k:c:a?8711l03m?5rs04:7?6=:r7::4:5b9`8940>?32j>6s|17;7>5<5s4;=584m8c9>53?021k>7p}>68794?4|58<2:7l7b:?22<1=0h>0q~?99783>7}:9?3<6o6m;<35==1o1n5l4=04:5?>f:2wx=;6j:1818710o0i4o5217;2>=g23ty::5h50;0x940>83h3n63>683953?62k2i70?9938;e7=z{8<3;7>52z?22=>=j1h01<87b;:b6>{t9?236=4={<35<<131<7g>e34;=4n47a39~w40?93:1>v3>6909f=d<58<3976n2:p53>52909w0?9828a65o:;|q22=5=838p1<874;`;f>;6>1?14l:4}r35<1<72;q6=;6::c:a?8710?03m?5rs044f?6=:r7:::m5b9`89400n32j>6s|175`>5<5s4;=;i4m8c9>531a21k>7p}>66f94?4|58<0q~?97d83>7}:9?=m6o6m;<35<5><1n5l4=044=?>f:2wx=;99:181871?>0i4o52175:>=g23ty:::950;0x940003h3n63>66;9531>2k2i70?97`8;e7=z{8<=j7>52z?2226=j1h01<883;:b6>{t9?=;6=4={<3534>;1<7g>e34;=;947a39~w40113:1>v3>67c9f=d<58<=h76n2:p530f2909w0?96c8a;6>?n14l:4}r352f<72;q6=;8k:c:a?871>l03m?5rs0457?6=:r7::;:5b9`89401?32j>6s|1747>5<5s4;=:84m8c9>530021k>7p}>67794?4|58<=:7l7b:?2231=0h>0q~?96783>7}:9?<<6o6m;<352=f:2wx=;;j:181871=o0i4o521742>=g23ty::8h50;0x940183h3n63>673953062k2i70?9638;e7=z{8<>;7>52z?220>=j1h01<8:b;:b6>{t9??36=4={<351<<31<7g>e34;=9n47a39~w40293:1>v3>6409f=d<58<>976n2:p53352909w0?9528a65o:;|q2205=838p1<8:4;`;f>;6>6s|176`>5<5s4;=8i4m8c9>532a21k>7p}>65f94?4|580q~?94d83>7}:9?>m6o6m;<3515=<1n5l4=047=?>f:2wx=;:9:181871<>0i4o52176:>=g23ty::9950;0x940303h3n63>65;9532>2k2i70?94`8;e7=z{8<8j7>52z?2216=j1h01<8;3;:b6>{t9?>;6=4={<3504=;1<7g>e34;=8947a39~w40413:1>v3>62c9f=d<58<8h76n2:p535f2909w0?93c8a;6>:n14l:4}r357f<72;q6=;=k:c:a?871;l03m?5rs0407?6=:r7::>:5b9`89404?32j>6s|1717>5<5s4;=?84m8c9>535021k>7p}>62794?4|58<8:7l7b:?2261=0h>0q~?93783>7}:9?9<6o6m;<357=;o1n5l4=0405?>f:2wx=;=g23ty::?h50;0x940483h3n63>623953562k2i70?9338;e7=z{8<9;7>52z?227>=j1h01<8=b;:b6>{t9?836=4={<356<;31<7g>e34;=>n47a39~w40593:1>v3>6309f=d<58<9976n2:p53452909w0?9228a65o:;|q2275=838p1<8=4;`;f>;6>;?14l:4}r3561<72;q6=;<::c:a?871:?03m?5rs042f?6=:r7::6s|173`>5<5s4;==i4m8c9>537a21k>7p}>60f94?4|58<:i7l7b:?224`=0h>0q~?91d83>7}:9?;m6o6m;<35655;296~;6>8<1n5l4=042=?>f:2wx=;?9:1818719>0i4o52173:>=g23ty::<950;0x940603h3n63>60;9537>2k2i70?91`8;e7=z{8<;j7>52z?2246=j1h01<8>3;:b6>{t9?;;6=4={<35548;1<7g>e34;==947a39~w40713:1>v3>61c9f=d<58<;h76n2:p536f2909w0?90c8a;6>9n14l:4}r354f<72;q6=;>k:c:a?8718l03m?5rs0437?6=:r7::=:5b9`89407?32j>6s|1727>5<5s4;=<84m8c9>536021k>7p}>61794?4|58<;:7l7b:?2251=0h>0q~?90783>7}:9?:<6o6m;<354=f:2wx=8hj:181872no0i4o521722>=g23ty:9kh50;0x940783h3n63>613953662k2i70?9038;e7=z{8?m;7>52z?21c>=j1h01<;ib;:b6>{t9g>e34;>jn47a39~w43a93:1>v3>5g09f=d<58?m976n2:p50`52909w0?:f28a65o:;|q21c5=838p1<;i4;`;f>;6=o?14l:4}r36b1<72;q6=8h::c:a?872n?03m?5rs07ff?6=:r7:9hm5b9`8943bn32j>6s|14g`>5<5s4;>ii4m8c9>50ca21k>7p}>5df94?4|58?ni7l7b:?21``=0h>0q~?:ed83>7}:9f:2wx=8k9:181872m>0i4o5214g:>=g23ty:9h950;0x943b03h3n63>5d;9i54?:3y>50c>2k2i70?:e`8;e7=z{8?oj7>52z?21`6=j1h01<;j3;:b6>{t9g>e34;>i947a39~w43c13:1>v3>5ec9f=d<58?oh76n2:p50bf2909w0?:dc8a;6=mn14l:4}r36`f<72;q6=8jk:c:a?872ll03m?5rs2d0o5b9`896`4k32j96s|3g0b>5<5s49m>o4m8c9>7c4c21k97p}7}:;o9m6o6m;<1e04hf;2wx?k:;:18185a<<0i4o523`51>=g33ty8j9;50;0x96`3>3h3n637c2?2k2i70=n748;e1=z{:l?47>52z?0b1?=j1h01>o85;:b7>{t;o>j6=4={<1e0gg>e349j;o47a59~w6`3m3:1>v3h:2;`;f>;4i>o14l=4}r1e11<72;q6?k;::c:a?85a=?03m85rs5335?6=:r7?==<5b9`89177;32j96s|4021>5<5s4>:<>4m8c9>046121k=7p};11194?4|5=;;87l7b:?7553=0h<0q~:>0583>7}:<8:>6o6m;<6243l5;296~;38j<1n5l4=52`3?>f>2wx8=m9:181827k>0i4o5241a;>=g13ty?;hi4?:3y>05bb2k2i70:?dg8;e3=z{=82i7>52z?76{t<;9=58`68yv25<80;6?u24362>g>e34>98>47a29~w=9j:c:a?847?m03m;5rs8ce>5<5s48;;n4m8c9>651e21k=7p}6b183>7}::9=26o6m;<033=:181847?>0i4o522155>=g13ty2n94?:3y>65122k2i706=4={<0336=9=:9c5?xu>j?0;6?u22152>g>e348;;=47a79~w=87:c:a?847>>03m;5rs8`:>5<5s48;:;4m8c9>650221k=7p}6b`83>7}::9;0i4o522142>=g13ty2nn4?:3y>65072k2i70=;k:9c5?xu>jo0;6?u2214e>g>e348;9n47a79~w72a;3:1>v3=4g69f=d<5;>m:76n4:p61`32909w0<;f48a9k?:c:a?843lo03m;5rs8a2>5<5s48??k4m8c9>615b21k=7p}=a6494?4|5;k<;7l7b:?1e2?=0h>0q~7}::h=36o6m;<0b3<1n5l4=3;`1?>f>2wx>4m::18184>k<0i4o5228a5>=g53ty9;ll50;0x971fk3h3n63=7`g962gc2k2i70<8ad8;e1=z{;=jj7>52z?13g6=j1h01?9m2;:b1>{t:>h;6=4={<04f4:l=:9c7?xu5?0o1<7g>e348v3=7`39f=d<5;=j>76n5:p62g42909w0<8a58ak=65o:;|q13d2=838p1?9n5;`;f>;5?h<14l:4}r04e2<72;q6>:o7:c:a?840ih03m85rs35b5<4s48ii<4m8c9>6gc421k=7052z?1f7e=j1h01?l=e;:b2>{t:k8h6=4={<0a6ao63=76d9;5?>k14l<4=35;7?>f:279;5<58`08971?932j>63=6c59=i65o<;<043`:9i:9c0?8400903m>52265g>=g4348<;n47a29>621f21k870<8828;e6=::>2965o<;<04<4;l8:9c1?841j103m;5rs3`06?6=lr79n>=5b9`89711n32j>63=7669;5?>;14l<4=3546?>f:279;:>58`089711m32j>63=76;9a}::k9?6o6m;<042c:9;:9c0?840?<03m>522655>=g4348<;>47a29>621621k870<8738;e6=::>=;65o<;<042`:96:9c0?840?103m>522654>=g43ty9n?h50;fx97d483h3n63=7819;5?0=14l<4=35:1?>f:279;4858`08971><32j>63=7809{t:k9;6=4k{<0a74:7<:9c0?8401103m>5226;:>=g4348<5l47a29>62?021k870<8948;e6=::>3=65o<;<04=1:7=:9c0?8401m03m>5226;`>=g4348<5o47a29~w702?3:1>v3=64:9f=d<5;<>i76n1:p633?2909w0<9588a;5>;;m:c:a?841=l03m95rs346f?6=:r79:8m5b9`89702m32j96s|277`>5<5s48=9i4m8c9>633b21k=7p}6c383>7}::>h?6o6m;<0b1af<2wx>:jk:181840ll0i4o5226fe>=g53ty9;i650;0x971c13h3n63=7e`962bf2k2i70<8dc8;e7=z{;3?h7>52z?1=1c=j1h01?7:1;:b1>{t:0>n6=4={<0:0c4;>:9c0?xu51=l1<7v3=eed9f=d<5;ooi76n2:p=f2=838p1?kj1;`;f>;5ml:14l<4}r;`1?6=:r79ih=5b9`897cb:32j>6s|9b494?4|5;on97l7b:?1a`2=0h80q~7l7;296~;5ml=1n5l4=3gf2?>f:2wx5n650;0x97cb13h3n63=ed:952z?1a`d=j1h01?kja;:b6>{t1jk1<76}:;?<;6o6m;<1526?:1<7=t=2455?d?j278:;=58`3896?2;32j=6s|3742>5<4s49=:?4m8c9>730421k970=6528;e7=z{0ih6=4={<151akm0;6?u2375:>g>e349=;547a09~w6>>i3:1>v3<88c9f=d<5:22n76n2:p7=?e2908w0=79c8a=g63ty2ok4?:3y>73>62k2i70=9818;e4=z{0n;6=4={<1520l80;6?u2374a>g>e349=:l47a09~w;|q:`1<72;q6?;8k:c:a?851>j03m<5rs8f6>5<5s492mn47a39>77}:;?<26o6m;<152=>0i4o523745>=g63ty2h54?:3y>731c2k2i70=97b8;e4=z{0n26=4={<15<6lh0;6?u237:6>g>e349=4947a09~w;|q:`f<72;q6?;67:c:a?8510>03m95rs8ff>5<5s492mh4m8c9>70q~=6a`83>7}:;0kj6o6m;<1:eg>m0i4o52384`>=g13ty84i?50;0x96>c<32j=63<8e39f=d52z?0=26=j1h01>79f;:b5>{t;1nh6=4={<1;`f>>0;6>u2384;>g>e3492:l47a29>7=b521k?7p}<97:94?5|5:3=57l7b:?0=3g=0h801>6k2;:b7>{t1l81<7u233`5>g>e3499n447a29>70g421k?7p}<2c494?5|5:8i;7l7b:?06g?=0h;01>;n3;:b5>{t;;h<6=4<{<11f=5<5s499n>4m8c9>77d521k=7p}6e583>7}:;;im6o6m;<11g`:jc;296~;4f:2wx?9kk:180853mm0i4o5235gf>=g2349>i;47a39~w;|q:a3<72;q6??j8:c:a?855l?03m<5rs8g;>5<5s499no4m8c9>77df21k:7p}6e883>7}:;;i:6o6m;<11g5=g63ty2io4?:3y>77e42k2i70==c38;e4=z{0oh6=4={<16bfmm0;6?u233`e>g>e3499nh47a09~w;|q:ac<72;q6??j<:c:a?855l;03m<5rs8d3>5<5s499h44m8c9>77b?21k:7p}6f083>7}:;;ni6o6m;<11`d=g63ty2j>4?:3y>77bb2k2i70==de8;e1=z{0l?6=4={<16b`g>e349>jo47a29~w<`22909w0=:be8a;=3;`;f>;4=;>14l:4}r;e2?6=:r789n>5b9`8963en32j=6s|340`>5<5s49>>n4m8c9>704c21k=7p}<53f94?4|5:?9h7l7b:?017c=0h;0q~=:b683>6}:;5<5s49>>54m8c9>704>21k:7p}6f683>7}:;=;o6o6m;<175ff;278=k758`68yv4a810;6>u22g2:>g>e348m74`>21k:7p}=f1;94?5|5;l;m7l7b:?1b5d=0h801>?i9;:b6>{t1o21<7v3=f339f=d<5;l9<76n1:p74252909w0=>438a865o=;|q0515=839p1>?;3;`;f>;49=>14l;4=200g?>f:2wx5kl50;0x97`5;3h3n63=f30952z?1b7?=j1h01?h=8;:b5>{t1on1<7v3=f019f=d<5;l:>76n1:p=c`=838p1?h>7;`;f>;5n8<14l?4}rc34?6=:r79j<;5b9`897`6<32j=6s|a1394?4|5:8>>76n2:?0603=j1h0q~o?2;296~;5n8;1n5l4=3d24?>f92wxm==50;0x97`7n3h3n63=f1g952z?1b73=j1h01?h=4;:b5>{ti9=1<7v3=f3f9f=d<5;l9o76n1:pe5?=838p1?h=7;`;f>;5n;<14l?4}rc3e?6=:r79j>>5b9`897`5n32j86s|a1`94?4|5:8>87l7b:?0605=0h<0q~==4g83>7}:;;>m6o6m;<1114<:0;296~;4:<:1n5l4=2065?>f;2wxm=m50;0x9646;3h3n63<2009741>2k2i70=>7`8;e1=z{h:o6=4={<1153g>e349:4947a09~w647l3:1?v3<21g9f=d<5:8:<76n3:?052d=0h>0q~==0d83>6}:;;:m6o6m;<1155o1<7v3<0619f=d<5::<>76n6:p55ee290?wS??cc9>55ed21k;70??cc8e67=:99io6h9k;|q24d0=839pR<>n6:?24d1=0h:01<>n6;d16>{ti8:1<7m27:=485b9`8yvg693:1>v3>e7g90;690:1n5l4}rc27?6=:r7:i;6548g8947?l3h3n6s|a0694?4|58o=97:6e:?25=g=j1h0q~o>5;296~;6m?8184k4=03;3?d?j2wxm<850;0x94c2n3>2i63>1c39f=d52z?2a0e=<0o01{ti821<7m27:=ll5b9`8yvg613:1>v3>e4490;69h?1n5l4}rc2f?6=:r7:i8>548g8947f:3h3n6s|a0a94?4|58o?h7:6e:?25<`=j1h0q~o>d;296~;6m=k184k4=03:g?d?j2wxm2i63>18;9f=d52z?2a12=<0o01{ti;:1<7m27:=i95b9`8yvg593:1>v3>e8g90;69m;1n5l4}rc17?6=:r7:i46548g8947dm3h3n6s|a3794?4|58o297:6e:?25fd=j1h0q~o=6;296~;6m08184k4=03`2i63>1g09f=d52z?2a=e=<0o01{ti;31<7m27:=hm5b9`8yvg5i3:1>v3>e9490;69l<1n5l4}rc1g?6=:r7:i5>548g8947b;3h3n6s|a3f94?4|58ok184k4=03g`?d?j2wxm?h50;0x94c0?3>2i63>1ec9f=d52z?2a22=<0o01{ti:;1<7m27:><65b9`8yvg4:3:1>v3>ebg90;6:881n5l4}rc00?6=:r7:in6548g89447n3h3n6s|a2794?4|58oh97:6e:?265e=j1h0q~o<6;296~;6mj8184k4=003=?d?j2wxm>950;0x94cen3>2i63>2219f=d52z?2age=<0o01<<<0;`;f>{ti:k1<7m27:>?j5b9`8yvg4j3:1>v3>ec490;6:;=1n5l4}rc0`?6=:r7:io>548g89445<3h3n6s|a2g94?4|58ojh7:6e:?2677=j1h0q~o50;0x94cf?3>2i63>20`9f=d52z?2ad2=<0o01<{ti=81<7m27<9h4m8c9~wd242909w0=l9387=`=:?7}:;j3;697j;<561?d?j2wxm9850;0x96e?n3>2i638538a<6=4={<1`<`<31l16;9h5b9`8yvg303:1>v3=26o6m;|qb0<<72;q6?n7n:5;f?810>3h3n6s|a5c94?4|5:i257:6e:?43652z?0g<1=<0o01:8k:c:a?xuf1?b34==m7l7b:pe1`=838p1>m65;6:a>;0>>0i4o5rs`73>5<5s49h594;9d9>332=j1h0q~o:1;296~;4k1n184k4=642>g>e3tyj9?4?:3y>7f>d2=3n709;c;`;f>{t?881<7=t^631?816n3h3n638138e67=z{>;;6=4<{_524>;09l0i4o527029b7453z\44c=:?8n1n5l4=62e>c453ty<=o4?:2y]34d<5>836o6m;<52f?`5:2wx;;4m8c9>34?=n;80q~9>8;297~X09116;?;5b9`8927?2o897p}81683>6}Y?8=01:<;:c:a?816?3l9>6s|70494?5|V>;=709=3;`;f>;09?0m>?5rs636>5<4sW=:9638238a;?6k<=;|q456<72:qU;<=4=603>g>e34=:?7h=2:p35c=839pR:>j;<52g?d?j27<37e=j1h01:<6:g01?xu0<00;6>uQ75;8922e2k2i709;9;d16>{t?==1<7=t^664?813i3h3n638468e67=z{>8n6=4<{_51a>;0:o0i4o5273g9b7453z\475=:?:;1n5l4=613>c453ty986o6m;<506?`5:2wx;>:50;1xZ25334=897l7b:?47132e=n;80q~98e;297~X0?l16;:h5b9`8921b2o897p}>e3d94?4|58o9i7:6e:?2a7`=j1h0q~?id983>7}:9on<697j;<3e`=g>e3ty:jh?50;0x94`b83>2i63>fd39f=d5cc22=3n70?ie78a7>52z?2bc7=<0o01{t;l9o6=4={<1f7f<31l16?h=k:c:a?xu2:l91<7f927>>h=5b9`8yv35m=0;6?u253g7>g>e34?9i?4;9d9~w04e03:1>v3:2c590>g>e3ty?8n950;0x912d>3>2i63;4b59f=d?o84?:3y>01e32=3n70:;c48a;97>52z?7052=<0o019:?5;`;f>{t<:o;6=4={<60`c<31l168>k?:c:a?xu5=0i1<7m27994m5b9`8yv421h0;6?u224;:>1?b348>5l4m8c9~w72ci3:1>v3=4e;90om7l7b:p61d22909w0<;b587=`=::=h>6o6m;|q1e23=838p1?o84;6:a>;5i>?1n5l4}r0b36<72;q6>l9=:5;f?84f?:0i4o5rs3c44?6=:r79m:?58`3897g083h3n6s|2`52>5<5s48j;<4m8c9>6d0a2=3n7p}=a7g94?4|5;k=h7:6e:?1e3c=j1h0q~7}::hm2wx>488:18184>>>0i4o522845>1?b3ty95;;50;0x97?1=3h3n63=976904?:3y>6<042k2i70<66387=`=z{;3==7>52z?1=37=j1h01?790;6:a>{t:0?m6=4={<0:1c4;j:5;f?xu51=k0;6?u2287a>g>e34829l4;9d9~w7g2l3:1>v3=a4f9f=d<5;k>j76n2:p6d062909w07:0;6:a>;41<91n5l4}r16e6<72;q6?8o?:5;f?852i:0i4o5rs23e=?6=:r78=k8548g8967a13h3n6s|1e66>5<5s4;o894;9d9>5a222k2i7p}>d5094?4|58n?=7:6e:?2`15=j1h0q~=m7483>6}:;k=>6o6m;<1a31<68h201>l6d;;25>{t;k=:6=4<{<1a34;4j0h15l0:m0i4o523c4`>46f0278n4759038yv5e>k0;6>u23c4a>g>e349i:l4>0`:896d>033:=6s|3c4:>5<4s49i:44m8c9>7g0?28:j4637g002k2i70=m67824d><5:h2:77>1:p7g022908w0=m648an8:?0f<3=18;0q~=m6283>6}:;k<86o6m;<1a27<68h201>l64;;25>{t;k<:6=4<{<1a24;4j091546f0278n4>59038yv5e=00;6>u23c7:>g>e349i954>0`:896d?n33:=6s|3c74>5<4s49i9:4m8c9>7g3128:j4637g322k2i70=m55824d><5:h3h77>1:p7g342908w0=m528an8:?0f=e=18;0q~=m5083>6}:;k?:6o6m;<1a15<68h201>l7b;;25>{t;k>m6=4<{<1a0c;4j1k1546f0278n5659038yv5e<00;6>u23c6:>g>e349i854>0`:896d??33:=6s|3c:0>5<4s49i4>4m8c9>7g>528:j4637g>62k2i70=m81824d><5:hj977>1:p7g1a2908w0=m7g8an8:?0fd2=18;0q~=m7e83>6}:;k=o6o6m;<1a3f<68h201>ln3;;25>{t;k=i6=4<{<1a3g;4jh8150i4o523c55>46f0278nl>59038yv5e?:0;6>u23c50>g>e349i;?4>0`:896d>k33:=6s|3c7g>5<4s49i9i4m8c9>7g3d28:j463=5k4?:2y>03?a2k2i70:99d824d><5=1:p03?c2908w0:99e8an8:?72d`=18;0q~:99c83>6}:{t;3>hn1546f027?:5o59038yv21?>0;6>u24754>g>e34>=;;4>0`:8910?133:=6s|4756>5<4s4>=;84m8c9>031328:j463;69:9=47=;>4?:2y>03142k2i70:973824d><5=<3;77>1:p03162908w0:9708an8:?72=0=18;0q~:94183>6}:;6o6m;<657c<68h2019890;;25>{t;3>46f027?:8m59038yv21;?0;6>u24715>g>e34>=?84>0`:89102j33:=6s|4717>5<4s4>=?94m8c9>035428:j463;64c9=47=??4?:2y>03552k2i70:930824d><5=<>577>1:p03572908w0:9318an8:?720>=18;0q~:92d83>6}:{t;3><<1546f027?:8:59038yv21=90;6>u24773>g>e34>=8k4>0`:89101i33:=6s|476f>5<4s4>=8h4m8c9>032c28:j463;67;9=47=8n4?:2y>032d2k2i70:94c824d><5=<=477>1:p032f2908w0:94`8a26<>n8:?7231=18;0q~:94983>6}:36o6m;<6502<68h2019896;;25>{t=6=4<{<6503;3>??1546f027?:;=59038yv21;j0;6>u2471`>g>e34>=?o4>0`:89102m33:=6s|22ce>5<4s488mk4m8c9>66gb28:j463=3cc9=4766gc2k2i70<<5;9i577>1:p66ge2908w0<n8:?17g>=18;0q~<6}:::k26o6m;<00e=<68h201?=m7;;25>{t::k<6=4<{<00e2>o9:02b<>;5;k<15>o::c:a?844i=0:>o<:180844i:0i4o5222c1>46f0279?o:59038yv44i80;6>u222c2>g>e3488m=4>0`:8975e;33:=6s|2363>5<4s4898=4m8c9>675a28:j463=25`9=47675b2k2i70<=3e824d><5;8?m77>1:p675d2908w0<=3b8an8:?161?=18;0q~<=3`83>6}::;9j6o6m;<017<<68h201?<;8;;25>{t:;936=4<{<017=?=8:02b<>;5:==15?=9:c:a?845;<0:?=;:180845;=0i4o522310>46f0279>9;59038yv45;;0;6>u22311>g>e3489?<4>0`:89743<33:=6s|42cb>5<4s4>8ml4m8c9>06g>28:j463;3c19=47?j44?:2y>01`>2k2i70:;f9824d><5=?;>77>1:p01542908w0:;328an8:?7036=18;0q~:=f983>6}:<;l36o6m;<61b2<68h2019=?6;;25>{t<;l=6=4<{<61b3;3;9?1546f027??==59038yv25n90;6>u243d3>g>e34>9ik4>0`:89157:33:=6s|43gf>5<4s4>9ih4m8c9>07cc28:j463;3139=479in4?:2y>07cd2k2i70:=ec824d><5=9;<77>1:p07cf2908w0:=e`8an8:?76c`=18;0q~:=e983>6}:<;o36o6m;<61a2<68h2019{t<:;26=4<{<605<?7:02b<>;3;;=15?8:c:a?8249?0:?::1808249<0i4o524237>46f027???;59038yv249:0;6>u24230>g>e34>8=?4>0`:89155<33:=6s|4232>5<4s4>8=<4m8c9>067728:j463;3319=478066a2k2i70:<0d824d><5=99>77>1:p066c2908w0:<0e8an8:?7777=18;0q~:<0c83>6}:<::i6o6m;<604d<68h2019==0;;25>{t<::26=4<{<604<>7:02b<>;3;8l150i4o5241g5>46f027?u241g:>g>e34>;i54>0`:8916a133:=6s|41ga>5<4s4>;io4m8c9>05cf28:j463;0gc9=47;ii4?:2y>05cc2k2i70:?eb824d><5=:mn77>1:p05ca2908w0:?eg8an8:?74ce=18;0q~:?f083>6}:<9l:6o6m;<63b5<68h2019>id;;25>{t<9l86=4<{<63b6;38oo1546f0278jh659038yv5ajj0;6>u23g``>g>e349mno4>0`:896`b?33:=6s|3g`b>5<4s49mnl4m8c9>7cd>28:j4637cd?2k2i70=ib6824d><5:ln977>1:p7cd12908w0=ib78a6<>n8:?0b`2=18;0q~=ib583>6}:;oh?6o6m;<1ef6<68h201>hj3;;25>{t;oh96=4<{<1ef7:02b<>;4nl81546f0278jh>59038yv5aij0;6>u23gc`>g>e349mmo4>0`:896`cn33:=6s|3gc;>5<4s49mm54m8c9>7cg028:j4637cg12k2i70=ia4824d><5:loo77>1:p7cg32908w0=ia58an8:?0bad=18;0q~=ia383>6}:;ok96o6m;<1ee4<68h201>hka;;25>{t;ok;6=4<{<1ee5;4nm31546f0278ji959038yv5a1h0;6>u23g;b>g>e349m544>0`:896`c>33:=6s|3g;;>5<4s49m554m8c9>7c?028:j4637c?12k2i70=i94824d><5:lo877>1:p7cb72908w0=id18an8:?0bc5=18;0q~=icd83>6}:;oin6o6m;<1ega<68h201>hi2;;25>{t;oih6=4<{<1egf;4no;1546f0278jhh59038yv5ak?0;6>u23ga5>g>e349mo84>0`:896`bm33:=6s|3ga7>5<4s49mo94m8c9>7ce428:j4637ce72k2i70=ibg824d><5:ln577>1:p7cgf2908w0=ia`8an8:?0bac=18;0q~<:0983>6}::<:36o6m;<0642<68h201?;<5;;25>{t:8mk:02b<>;5=m=1599i:c:a?843?l0:::l:18084046f0279;;<59038yv40=l0;6>u2267f>g>e348<9i4>0`:89711l33:=6s|267`>5<4s48<9n4m8c9>623e28:j463=77a9=47623f2k2i70<858824d><5;==n77>1:p623?2908w0<8598a?<6<>n8:?133g=18;0q~<85783>6}::>?=6o6m;<0410<68h201?999;;25>{t:>??6=4<{<0411:;<:02b<>;5??215:;=:c:a?840=80::;?:180840=90i4o52266e>46f0279;;859038yv40u2266f>g>e348<8i4>0`:89711=33:=6s|260;>5<4s48<>54m8c9>624028:j463=72g9=47625f2k2i70<838824d><5;=?577>1:p625?2908w0<8398a9<6<>n8:?131>=18;0q~<83783>6}::>9=6o6m;<0470<68h201?9;7;;25>{t:>9?6=4<{<0471:=<:02b<>;5?=<15:==:c:a?840;80::=?:180840;90i4o52260e>46f0279;9:59038yv40:l0;6>u2260f>g>e348<>i4>0`:89713;33:=6s|260`>5<4s48<>n4m8c9>624e28:j463=7509=47l4?:2y>624f2k2i70<828824d><5;=?=77>1:p63b42908w0<9d28an8:?12`b=18;0q~<9d083>6}::?n:6o6m;<05`5<68h201?8jc;;25>{t:?im6=4<{<05gc;mj:02b<>;5>lh15;mk:c:a?841kj0:;mm:180841kk0i4o5227ab>46f0279:h759038yv41m<0;6>u227g6>g>e348=i94>0`:8970a033:=6s|27g0>5<4s48=i>4m8c9>63c528:j463=6g59=4763c62k2i70<9e1824d><5;1:p63ba2908w0<9dg8an8:?12c3=18;0q~<9de83>6}::?no6o6m;<05`f<68h201?8i4;;25>{t:?ni6=4<{<05`g;jn:02b<>;5>o915;j6:c:a?841l10:;j8:180841l>0i4o5227f5>46f0279:k?59038yv41l<0;6>u227f6>g>e348=h94>0`:8970a833:=6s|2627>5<4s48<<94m8c9>626428:j463=70c9=4762712k2i70<814824d><5;=9977>1:p62732908w0<8158a;86<>n8:?1372=18;0q~<81383>6}::>;96o6m;<0454<68h201?9=3;;25>{t:>;;6=4<{<0455:>i:02b<>;5?;815:>j:c:a?8408m0::>l:1808408j0i4o52262a>46f0279;?>59038yv408h0;6>u2262b>g>e348<<44>0`:89716n33:=6s|262;>5<4s48<<54m8c9>626028:j463=70g9=4762612k2i70<804824d><5;=:h77>1:p630>2908w0<9688an8:?122g=18;0q~<7a383>6}::1k96o6m;<0;e4<68h201?6l3;;25>{t:19=6=4<{<0;735=::02b<>;50<=1558;:c:a?84?>:0:5>7:18084?810i4o522924>46f02794?759038yv4?l90;6>u229f3>g>e3483ok4>0`:897?5l33:=6s|360:>5<4s49<>44m8c9>724?28:j463<76d9=4773c12k2i70=9e4824d><5:=;h77>1:p73c32908w0=9e58an8:?035e=18;0q~=9e383>6}:;?o96o6m;<15a4<68h201>9?b;;25>{t;?nm6=4<{<15`c;4?931546f0278;=959038yv51l00;6>u237f:>g>e349=h54>0`:89617>33:=6s|325e>5<4s498;k4m8c9>761b28:j463<3e79=47765d2k2i70=<3c824d><5:9=?77>1:p765f2908w0=<3`8an8:?0734=18;0q~=<3983>6}:;:936o6m;<1072<68h201>=91;;25>{t;:9>6=4<{<1070=;:02b<>;4;=<:c:a?854;;0:=>:180854;80i4o523213>46f0278?8j59038yv54:o0;6>u2320e>g>e3498>h4>0`:89652k33:=6s|2gf2>5<4s48mh<4m8c9>6cb728:j463<0359=476c>b2k2i70<5;li977>1:p6c>d2908w0n8:?1bg2=18;0q~6}::o2j6o6m;<0e<<<68h201?hm3;;25>{t:o2<6=4<{<0e<2k69:02b<>;5nk;15k6::c:a?84a0=0:k6<:18084a0:0i4o522g:1>46f0279jlh59038yv4a080;6>u22g:2>g>e348m4=4>0`:897`fm33:=6s|1bcb>5<4s4;hml4m8c9>5fg>28:j463>cbd9=477g?a2k2i70=m9d8:54=z{=52z?72d1=j1h0198n6;;25>{t?81<7g>e3488no46109~w743l3:1>v3=25f9f=d<5;8?o77>1:p07`c2909w0:=fe8a;|q774c=838p19=>e;`;f>;3;8n15?:c:a?827no02=<5rs2dfg?6=:r78jhm5b9`896`bj33:=6s|2647>5<5s48<:94m8c9>620420;:7p}=75294?4|5;=?<7l7b:?136`=18;0q~<9eg83>7}::?om6o6m;<05a`<>981v?9>c;296~;5?8i1n5l4=352f??692wx>;9l:181841?j0i4o52275a><763ty8;=h50;0x9617n3h3n63<71g9=4776022k2i70=<658:54=z{;li;7>52z?1bg1=j1h01?hm6;;25>{t9jn:6=4={<3``4g>e34>28547a79~wd332909w0:mb18a5<5s48j:?4m8c9>6d0621k?7p}n5683>7}:;hkm6o6m;<1be`=g13tyj9l4?:3y>0:c:a?xuf=j0;6?u248:0>=g334>2494m8c9~wd3c2909w0:62b8a5<5s4>2n84m8c9>07}:<1io6o6m;<6;gf=g43tyj:>4?:3y>0d5b2k2i70:n3e8;e6=z{h<0;6?u24`75>g>e34>j9847a29~wd012909w0:n638am03m>5rs`4;>5<5s4>j;l4m8c9>0d1>21k87p}n6`83>7}:<18365o9;<6;6<=g13tyj:n4?:3y>0de72k2i70:nbg8;e0=z{h;m:9c5?xuf>l0;6?u241fb>g>e34>;h447a49~wd0a2909w0:6fb8a5<5s4>;i=4m8c9>05ba21k>7p}n7383>7}:;<8?65o9;<1660g>e3tyj;94?:3y>0dee2k2i70:nc`8;e7=z{h=>6=4={<6;b<g>e34>3no47a59~wd102909w0:7e`8am:c:a?82>8h03m95rs`5:>5<5s4>2=o4m8c9>0<7f21k?7p}n7`83>7}:<>oo65o>;<64a`=g23tyj;i4?:3y>0d7>2k2i70:n198;e0=z{h=n6=4={<6b60g>e34>2o=47a49~wd>72909w0:6cd8a0?03m85rs`:1>5<5s4>2594m8c9>07p}n8283>7}:<0k96o6m;<6:e4n:0i4o5238d1>=g43tyj484?:3y>7<`62k2i70=6f18;e6=z{h2=6=4={<1b40;:9c0?xuf0>0;6?u23`20>g>e349j?2909w0=n008anl03m>5rs`:b>5<5s492ji4m8c9>7<`d21k87p}n8c83>7}:;0li6o6m;<1:bdn00i4o5238d;>=g43tyj4i4?:3y>7<`02k2i70=6f78;e6=z{h2n6=4={<1:b0g>e3492ih47a29~wd?72909w0=9368a5rs`;0>5<5s49=844m8c9>732?21k87p}n9583>7}:;?><6o6m;<1503=g43tyj5;4?:3y>73242k2i70=9438;e6=z{h3<6=4={<1504g>e349=?h47a29~wd?>2909w0=93e8a5rs`;a>5<5s49=?44m8c9>735?21k87p}n9e83>7}:;?986o6m;<1577=g43tyj5k4?:3y>77>e2k2i70==8`8;e6=z{hk;6=4={<11=cg>e34995n47a29~wdg52909w0==9c8a5rs`c7>5<5s4995:4m8c9>77?121k87p}na483>7}:;;3>6o6m;<11=1=g43tyjm54?:3y>77?62k2i70==918;e6=z{hk26=4={<11g>e34994547a29~wdge2909w0:6188a6:c:a?82>8103m;5rs`cg>5<5s4>3i54m8c9>0=c021k=7p}nad83>7}:=g33tyjn<4?:3y>0g>e34>25:47a59~wdd32909w0:68c8a0803m95rs``5>5<5s4>98>4m8c9>072521k=7p}nb683>7}:;hk0i4o523`4:>=g53tyjn44?:3y>7d352k2i70=n508;e4=z{hhj6=4={<1b16:9c1?xufjk0;6?u246df>g>e34>lo65o=;|qbf`<72;q69?>k:c:a?8358j03m<5rs``e>5<5s4?9176d21k97p}nc183>7}::>o:6o6m;<04a5:181840m;0i4o5226g3>=g53tyjo?4?:3y>7f0b2k2i70=l6e8;e4=z{hi86=4={<1`2cg>e34;h:847a09~wde22909w0?l668a65o=;|qbg3<72;q6?k=>:c:a?85a:o03m<5rs`a4>5<5s49m??4m8c9>7c4a21k97p}nc983>7}::ko86o6m;<0aa7=g53tyjol4?:3y>53`02k2i70?9f78;e4=z{hii6=4={<35b=g>e34>89>47a09~wdec2909w0:<548a;8:9c2?824=10i4o5rs`ae>5<5s4>89:47a39>063>2k2i7p}nd183>7}:;1n36o6m;<1;`2:18185?l00i4o5239f4>=g53tyjh>4?:3y>7=b52k2i70=7d08;e4=z{hn?6=4={<1;`6:9c1?xufl<0;6?u246d:>g>e34>l365o=;|qb`2<72;q685l9:c:a?82?j<03m;5rs`f;>5<5s48j9h4m8c9>6d3c21k=7p}nd883>7}:<:>36o6m;<6001=g13tyjho4?:3y>0=7d2k2i70:71c8;e3=z{hnh6=4={<64e5g>e34>47a79~wdbb2909w0:8a`8ak265o9;|qba5<72;q68:ol:c:a?820ik03m;5rs`g2>5<5s4>02g221k=7p}ne383>7}:<>k96o6m;<64e4=g13tyji94?:3y>02?d2k2i70:89c8;e3=z{ho>6=4={<6:00g>e34>?m>47a09~wdc02909w0:;a48a896:c:a?842?103m<5rs`g:>5<5s48>;l4m8c9>601?21k97p}ne`83>7}:6o6m;<6b41=g53tyjin4?:3y>0<>62k2i70:6818;e4=z{hoo6=4={<6:<5g>e349j;n47a09~wd`72909w0=n7d8a5<5s49j;o4m8c9>7d1>21k97p}nf283>7}:;h=<6o6m;<1b33=g53tyjj84?:3y>7d132k2i70=n728;e4=z{hl=6=4={<1b300;6?u23`52>g>e349j;=47a09~wd`?2909w0=n738a5<5s4>j>54m8c9>0d4121k97p}nfc83>7}:=;;m6o6m;<715a=g53tyjji4?:3y>17522k2i70;=358;e4=z{hln6=4={<7173g>e348=n;47a09~wg672909w0<9b98a?:c:a?82?no03m<5rsc21>5<5s4>2<<4m8c9>0=`a21k97p}m0483>7}:;j:h6o6m;<1`4d9:18185en10i4o523cd4>=g13tyi<:4?:3y>0=g52k2i70:7a08;e4=z{k:36=4={<6;e6:9c1?xu6mm21<7m27:ii65b9`8yv7bl>0;6?u21df5>1?b34;nh:4m8c9~w1df?3:1>v3;b`490c287=`=:=8i?6o6m;|q77f>=838p19=l7;6:a>;3;j21n5l4}r61`g<72;q68?jn:5;f?825lk0i4o5rs51ae?6=:r7??o7548g8915ei3h3n6s|4004>5<5s4>:>;4;9d9>04402k2i7p};24194?4|5=8>>7:6e:?7605=j1h0q~<;8g83>7}::=2n697j;<079?::1818439=0?5h522536>g>e3ty9m>l50;3184f;h0?5h522`6`>4601279m9j5115:?84f==0:<:74=3c61?77?016>l;9:024=>;5i<=1==96;<0b1=<68>301?o:9;333<=::h?j6<>89:?1e0d=99=2706d3728:<563=a439551>348j9?4>06;897g2;3;;;45228ag>g>e3ty9m;;50;4x97g1<3>2i63=b649067<5;h<;7:<1:?1f2>=<:;01?l89;605>;51o:1n5l4}r1;=`<72;q6?57k:5;f?85?1l0i4o5rs2;:2?6=:r7854;548g896?>>3h3n6s|35d3>5<5s49?ik4;9d9>71`72k2i7p}<5d494?4|5:?n97:6e:?01`0=j1h0q~=>4783>7}:;8>>697j;<1203<l;i:18184f=o0i4o522`7`>1?b3ty9m;=50;0x97g1;3h3n63=a729052z?7551=<0o019>i6;`;f>{tj9n1<7m27?v3;11;90;38o31n5l4}r`24?6=:r7?==l548g8916ai3h3n6s|b0394?4|5=;;o7:6e:?74cd=j1h0q~l>2;296~;399n184k4=52eg?d?j2wxn<=50;0x9177m3>2i63;0gf9f=d52z?755`=<0o019>ie;`;f>{tj8?1<73:1>v3;10290;5k?l14l<4=3a:6?>f:2wxn<650;1x97e1>3h3n63=c629;5k>814l<4=3a:3?>f:2wxn;5k>>14l<4=3a:=?>f:2wxn;5k><14l<4=3a:f?>f:2wxn;5k>214l<4=3a:`?>f:2wxn?>50;1x97e1m3h3n63=c6;9;5k>;14l<4=3a:0?>f:2wxn?<50;1x97e1=3h3n63=c879;5k1318hl4=3a:2?>f92wxn?:50;1x97e093h3n63=c879;5k0>14l=4=3a:5?2bj2wxn?850;1x97e003h3n63=c8g9;5k0n14l=4=3a;b?2bj2wxn?650;1x97e0>3h3n63=c8a9;5k0h14l=4=3a;`?2bj2wxn?o50;1x97e0<3h3n63=c8c9;5k0314l=4=3a;f?2bj2wxn?m50;1x97e0:3h3n63=c8:9;5k0=14l=4=3a;3?2bj2wxn?k50;1x97e1n3h3n63=c819;3lh91n5l4}r`04?6=:r7?;oj548g8911ek3h3n6s|b2394?4|5:k:>7:6e:?1g<`=j1h0q~l<2;296~;4i8=184k4=3ab4?d?j2wxn>=50;0x96g603>2i63=c`39f=d52z?0e4?=<0o01?mn5;`;f>{tj:?1<7m279ol85b9`8yvd4>3:1>v3o>c;6:a>;5kh21n5l4}r`0l50;0x96g6;3>2i63=c`a9f=d52z?0e42=<0o01?mn2;`;f>{tj:n1<7m279ol=5b9`8yvd4m3:1>v3=c9;9f=d<5;ij87:o>6;6:a>;5kh>1n5l4}r`74?6=:r7?mn?5b9`891ge?32j86s|b5394?4|5==3o7l7b:?73=c=0h?0q~:9ed83>7}:n8:?72`c=j1h0q~:9e`83>7}:ln1==o7;<65ack31==o7;<65fgk31n5l4=54ae?24j2wx8;ll:181821jh0:2i63=3c09f=d52z?17f2=<0o01?=m3;`;f>{tj=>1<7m279?o:5b9`8yvd3=3:1>v3=3b490;5;k<1n5l4}r`73?6=:r79?n6548g8975e?3h3n6s|b5:94?4|5;9h57:6e:?17g>=j1h0q~l;9;296~;5;jk184k4=31a=?d?j2wxn9o50;0x975dj3>2i63=3cc9f=d52z?17dc=j1h01?=mb;60f>{tj=i1<7m279?ol5b9`8yvd3l3:1>v3=24690;5:=>1n5l4}r`7b?6=:r79>88548g89743=3h3n6s|b4294?4|5;8>;7:6e:?1610=j1h0q~l:1;296~;5:<2184k4=3073?d?j2wxn8<50;0x974213>2i63=25:9f=d?7>52z?160g=<0o01?<;9;`;f>{tj<>1<7m279>9o5b9`8yvd2=3:1>v3=24a90;5:=i18>l4}r`63?6=:r79>8j548g89743k3h3n6s|b4:94?4|5=99h7:6e:?774e=j1h0q~l:9;296~;3;:;184k4=512b?d?j2wxn8o50;0x9154;3>2i63;3329f=dn7>52z?7763=<0o019==1;`;f>{tjm27???<5b9`8yvd2l3:1>v3;32;90;3;;>1n5l4}r`6b?6=:r7??>j548g89155=3h3n6s|b7294?4|5=98j7:6e:?7770=j1h0q~l91;296~;3;=;184k4=5113?d?j2wxn;<50;0x915603h3n63;30f906d52z?777`=<0o019=>d;`;f>{tj?>1<7m278ji=5b9`8yvd1=3:1>v3;073909c;6:a>;4nl31n5l4}r`53?6=:r7?<;h548g896`bl3h3n6s|b7:94?4|5=:<<7:6e:?0b`c=j1h0q~l99;296~;38>;184k4=2dfb?d?j2wxn;o50;0x9160:3>2i6352z?7425=<0o01>hi1;`;f>{tj?i1<7m278jk<5b9`8yvd1l3:1>v3;06790:7;6:a>;4nm>1n5l4}r`5b?6=:r7?<86548g896`c=3h3n6s|b6294?4|5=:>57:6e:?0ba0=j1h0q~l81;296~;382i6352z?740e=<0o01>hk9;`;f>{tj>>1<7m278jio5b9`8yvd0=3:1>v3;04g90:f;6:a>;4nmi1n5l4}r`43?6=:r7?<;>548g896`cl3h3n6s|b6:94?4|5=:=>7:6e:?0ba`=j1h0q~l89;296~;38?9184k4=2df4?d?j2wxn:o50;0x9161<3>2i6352z?7433=<0o01>hj2;`;f>{tj>i1<7m278jh=5b9`8yvd0l3:1>v3;0759098;6:a>;4nl?1n5l4}r`4b?6=:r7?<;7548g896`b>3h3n6s|b9294?4|5=:=m7:6e:?0b`1=j1h0q~l71;296~;38?h184k4=2df2i6352z?0bf7=j1h01>hjb;60f>{tj1>1<7m278jhl5b9`8yv2b:o0;6;u24d0e>460?27?5h;58`389134i32j?63;84`90q~:j3183>3}:87:?7=`3=0h8019;;30f:27?9h858`18yv2b;80;6;u24d12>460?27?5h;58`189134i32j:63;84`93}:87:?7=`3=0h>019;;30f;27?9h858`08yv2b;:0;6;u24d10>460?27?5h;58`789134i32j=63;84`96}:87:?7<41=0h;019j;0;:b6>{t:6=4<{<6g04<68>=0196>7;:b6>;3l=814l<4}r6g06<72:q68i:<:0243>;308=14l=4=5f70?>f:2wx8i:::18082c<<0:<:94=5:23?>f<27?h9858`08yv2c<>0;6>u24e64>460?27?4<958`7891b3032j>6s|4e16>5<4s4>o?84>065891>6>32j=63;d249o?:4?:2y>0a5028:<;63;80492908w0:k3882421<5=2::76n3:?7`6g=0h80q~:k3c83>6}:87:?7<40=0h>019j{t=0196>6;:b1>;3l:o14l<4}r6g56<72:q68i?<:0243>;309314l?4=5f20?>f92wx8i?::18082c9<0:<:94=5:3=?>f:27?h<858`08yv2c9>0;6>u24e34>460?27?4=758`1891b6032j>6s|4e3:>5<4s4>o=44>065891>7132j863;d0c9o=o4?:2y>0a7e28:<;63;81;92908w0:k2882421<5=2:>76n1:?7`7g=0h80q~:k2c83>6}:87:?7<44=0h8019j=c;:b6>{t=0196>2;:b7>;3l;o14l<4}r6g6c<72:q68i;308814l:4=5f04?>f:2wx8i=>:18082c;80:<:94=5:26?>f=27?h><58`08yv2c;:0;6>u24e10>460?27?4<<58`4891b4<32j>6s|4e3g>5<4s4>o=i4>065891>7n32j=63;d0g9o=k4?:2y>0a7a28:<;63;81d96}:87:?7<5`=0h>019j=4;:b6>{t6=4<{<6g60<68>=0196?f;:b1>;3l;<14l<4}r6g62<72:q68i<8:0243>;309l14l84=5f1f:2wx=i9?:18187c?90:<:94=0f46?>f92wx=i9>:18187c?80:<:94=0f46?>f:2wx=i8k:18187c>m0:<:94=0f5b?>f92wx=i8j:18187c>l0:<:94=0f5b?>f:2wx=i8n:18187c>h0:<:94=0f5g?>f92wx=i8m:18187c>k0:<:94=0f5g?>f:2wx=i88:18187c>>0:<:94=0f5=?>f92wx=i87:18187c>10:<:94=0f5=?>f:2wx=i8;:18187c>=0:<:94=0f52?>f92wx=i8::18187c><0:<:94=0f52?>f:2wx=i8>:18187c>80:<:94=0f57?>f92wx=i8=:18187c>;0:<:94=0f57?>f:2wx=i;j:18187c=l0:<:94=0f54?>f92wx=i;i:18187c=o0:<:94=0f54?>f:2wx=i;m:18187c=k0:<:94=0f6`?>f92wx=i;l:18187c=j0:<:94=0f6`?>f:2wx=i6m:18187c0k0:<:94=0f;`?>f92wx=i6l:18187c0j0:<:94=0f;`?>f:2wx=i67:18187c010:<:94=0f;e?>f92wx=i66:18187c000:<:94=0f;e?>f:2wx=i6::18187c0<0:<:94=0f;3?>f92wx=i69:18187c0?0:<:94=0f;3?>f:2wx=i6=:18187c0;0:<:94=0f;0?>f92wx=i6<:18187c0:0:<:94=0f;0?>f:2wx=i9i:18187c?o0:<:94=0f;5?>f92wx=i6?:18187c090:<:94=0f;5?>f:2wx=i9l:18187c?j0:<:94=0f4a?>f92wx=i9k:18187c?m0:<:94=0f4a?>f:2wx=i96:18187c?00:<:94=0f4f?>f92wx=i9n:18187c?h0:<:94=0f4f?>f:2wx=i99:18187c??0:<:94=0f4f92wx=i98:18187c?>0:<:94=0f4f:2wx=i9<:18187c?:0:<:94=0f41?>f92wx=i9;:18187c?=0:<:94=0f41?>f:2wx=i;7:18187c=10:<:94=0f6e?>f92wx=i;6:18187c=00:<:94=0f6e?>f:2wx?i:9:18185cf92wx?i:8:18185c<>0:<:94=2gag?>f:2wx?i:7:18185c<10:<:94=2gag?>f;2wx?i:6:18185c<00:<:94=2gag?>f<2wx?i:n:18185cf=2wx?i:m:18185cf>2wx84k>:18182>m80i4o5248fe>46f02wx8k9;:18182>lo0i4o5248g3>15e3ty?5h<50;0x91?b:3h3n63;9d2955g?3ty?49m50;0x91>3l3h3n63;7c`9jm84?:3;x91gf?3h3n63;c109067<5=i;87:<1:?7g50=<:;019m?8;605>;3k9k18>?4=5a3g?24927?oh<5423891eb<3>8=63;cd49067<5=in47:<1:?7g`g=<:;019mje;605>;3ko:18>?4=5f0b?24927?h9?5423891b3;3>8=63;d579067<5=n?;7:<1:?7`63=<:;019j<7;605>;3l:318>?4=5f0f?24927?h>j5423891b6;3>8=63;d079067<5=n:;7:<1:?7`4?=<:;019j>b;605>;3l;318>?4=5f1f?24927?h?j5423891b5n3>8=63;d239067<5=n8?7:<1:?7`4b=<:;019j>f;605>;3l;;18>?4=5f17?24927?h?;5423891b5?3>8=6s|4``7>5<60r7?mo:5b9`891ee832j?63;cb49;3km>14l=4=5ag2?>f;27?oi658`1891eci32j?63;cea9;3kk314l=4=5aaf?>f;27?ooj58`1891een32j?63;cb39;3kjh14l=4=5a``?>f;2wx8nl?:18182dj90i4o524bce>1?b3ty?on850;0x91ed>3h3n63;cc390hoh4?:3y>0feb2k2i70:lc687=`=z{=io<7>52z?7ga6=j1h019mlf;6:a>{t:5;f?xu3km>1<7g>e34>hh84;9d9~w1ec03:1>v3;ce:9f=d<5=io;7:6e:p0fbf2909w0:ld`8a;3kmh184k4}r6`f6<72;q68nl<:c:a?82dj;0?5h5rs5aa1?6=:r7?oo;5b9`891ee<3>2i6s|4b`4>5<5s4>hn:4m8c9>0fd12=3n7p};cc;94?4|5=ii57l7b:?7gg>=<0o0q~:lbc83>7}:m2wx8nli:18182djo0i4o524b`f>1?b3ty?on?50;0x91ed93h3n63;cb290ho>4?:3y>0fe42k2i70:lc387=`=z{=ih97>52z?7gf3=j1h019ml4;6:a>{tg>e34>hon4;9d9~w1ge;3:1=5u24``0>g>e34>h:o47a29>0f>621k870:l888;e6=:524b;0>=g434>h5847a29>0f?021k870:l6d8;e6=:524b5;>=g434>h;l47a29>0f1d21k870:l7d8;e6=:5rs5a5f?6=:r7?o;l5b9`891e1i3>2i6s|4b:2>5<5s4>h4<4m8c9>0f0d2=3n7p};c9;94?4|5=i357l7b:?7g=4=<0o0q~:l8c83>7}:m2wx8n6i:18182d0o0i4o524b:f>1?b3ty?o4?50;0x91e>93h3n63;c8290h5>4?:3y>0f?42k2i70:l9387=`=z{=i297>52z?7g<3=j1h019m64;6:a>{tg>e34>h:k4;9d9~w1e0:3:1>v3;c609f=d<5=i<=7:6e:p0f132909w0:l758a;3k>?184k4}r6`3=<72;q68n97:c:a?82d?>0?5h5rs5a4e?6=:r7?o:o5b9`891e013>2i6s|4b5`>5<5s4>h;n4m8c9>0f1e2=3n7p};c6g94?4|5=i7}:1n5l4=5a;7?2>m2wx8n69:18182d0?0i4o524b:6>1?b3ty?o5650;0x91e?03h3n63;c9590jm;4?:0`x91gf03h3n63;7419976n6:?7301=0h<0199:9;:b2>;3?f>27?;8h58`489111932j:63;76;9;3?1;14l84=55;7?>f>27?;5;58`48911??32j:63;c579067<5=i?;7:<1:?7g1?=<:;019m;b;605>;3k=n18>?4=5a7b?24927?o8?5423891dd132j:63;ac49;3kl?14l?4=5af3?>f927?oh758`3891ebj32j=63;cdd9;3l=>14l?4=5f72?>f927?h9658`3891b4>32j=63;d2:9;3l8<14l?4=5f2f927?h;3l:814l?4=5f00?>f927?h{t=:5;f?xu3k9?1<7m27?o=;5b9`8yv2d8>0;6?u24b25>1?b34>h<:4m8c9~w1e713:1>v3;c1:90;3k9n1n5l4}r6`a6<72;q68nk<:c:a?82dm;0?5h5rs5af1?6=:r7?oh;5b9`891eb<3>2i6s|4bg4>5<5s4>hi:4m8c9>0fc12=3n7p};cd;94?4|5=in57l7b:?7g`>=<0o0q~:lec83>7}:m2wx8nh>:18182dn80i4o524bd3>1?b3ty?h9>50;0x91b383h3n63;d2d90o8?4?:3y>0a252k2i70:k4087=`=z{=n?87>52z?7`12=j1h019j;3;6:a>{t=6=4={<6g03g>e34>o?84;9d9~w1b403:1>v3;d2:9f=d<5=n8;7:6e:p0a5f2909w0:k3`8a;3l:h184k4}r6g7`<72;q68i=j:c:a?82c;m0?5h5rs5f20?6=:r7?h<=548g891b6<3h3n6s|4e35>5<5s4>o=;4m8c9>0a722=3n7p};d0:94?4|5=n:47l7b:?7`41=<0o0q~:k1`83>7}:c;296~;3l8i1n5l4=5f2f?2>m2wx8i1?b3ty?h?m50;0x91b5k3h3n63;d3`90o>h4?:3y>0a4b2k2i70:k2e87=`=z{=n8<7>52z?7`66=j1h019j=f;6:a>{t:5;f?xu3l:>1<7=548g8yv2c9l0;6?u24e3f>g>e34>o=i4;9d9~w1b583:1>v3;d329f=d<5=n:j7:6e:p0a452909w0:k238a;3l;9184k4}r6g63<72;q68i<9:c:a?82c:<0?5h5rs5f12i6s|4cc0>5<6>r7?nl=5b9`891d><32j?63;b849;3j0o14l=4=5`b4?>f;27?nl<58`1891d0i32j?63;b6a9;3j1>14l=4=5`;2?>f;27?n5658`1891d?i32j?63;b9a9{tg>e34>i5:4;9d9~w1d>i3:1>v3;b8c9f=d<5=h257:6e:p0g?d2909w0:m9b8a;3j0n184k4}r6ae5<72;q68oo?:c:a?82e1o0?5h5rs5`b6?6=:r7?nl<5b9`891df93>2i6s|4c5b>5<5s4>i;l4m8c9>0g1>2=3n7p};b6a94?4|5=h7}:m2wx8o6=:18182e0;0i4o524c:2>1?b3ty?n5:50;0x91d?<3h3n63;b9190i4;4?:3y>0g>12k2i70:m8487=`=z{=h347>52z?7f=>=j1h019l77;6:a>{tg>e34>i4h4;9d9~w1d>93:1>v3;b839f=d<5=h2<7:6e:p0g?42909w0:m928a;28;814l=4=4210?>f;27><0;:b7>;288i14l=4=422a?>f;27>58`18yv37:;0;6?u25101>g>e34?;><4;9d9~w065<3:1>v3:0369f=d<5<:9?7:6e:p15412909w0;?278a697j;|q647>=838p18>=8;`;f>;28;=184k4}r736d<72;q69=2i6s|510f>5<5s4?;>h4m8c9>154c2=3n7p}:02294?4|5<:8<7l7b:?647`=<0o0q~;?1b83>7}:=9;h6o6m;<735g<31l1v8>>e;296~;288o1n5l4=422`?2>m2wx9=1?b3ty?ml<50;0x91gf;3h3n63;79a9jm:4?:6y>0dg>2k2i70:8648;e0=:<>8m65o:;<6422=g23ty?mnj50;0082fkl0i4o525141>15634?;:>4;309>15032=9:70;?648774=:=9<=69=>;<6e=6<3;8168k7;:512?82a1<0??<524g;5>15634>m5:4;309>0c??2=9:70:m8e8774=:69=>;<6a=2<3;8168o76:512?82e1k0??<524c;g>15634>i5k4;309>0gg62=9:70:m788774=:;<6a3a<3;8168o9i:512?82e080??<524c:0>15634>i484;309>0g>02=9:70:m888774=:;<6a<`<3;8168o7?:512?82e1;0??<524cca>=g234>jol47a79~w1be=3:1>v3;dc79551034>38i47a39~w1b>?3:1>v3;d859551034>38i47a59~w1gfk3:14v3;a`a9f=d<5=i?:76n1:?7g1>=0h;019m;a;:b5>;3k=i14l?4=5a7a?>f927?o8>58`3891e2:32j=6s|4b65>5<5s4>h8;4m8c9>0f222=3n7p};c5:94?4|5=i?47l7b:?7g11=<0o0q~:l4`83>7}:j6o6m;<6`0<<31l1v9m;c;296~;3k=i1n5l4=5a7f?2>m2wx8n:j:18182d1?b3ty?o8>50;0x91e283h3n63;c5d90h9?4?:3y>0f352k2i70:l5087=`=z{==?<7>52z?7317=j1h0199<3;:b1>{t=0196;c;:b5>{t=019jm6;:b5>;3lk=14l?4=5:7g?>f:27>=:l58`389070832j=6s|461`>50=?f21k:70:70c8;e4=:<13>65o=;<6;=2=g63ty?;>o50;ax9114j3h3n63;88c9;309h14l<4=5cg1?>f927?44;58`1891>>?32j?63;88;9c}:03m;5250:7>=g134?:;;47a79>14>421k=70;>978;e3=:=8=o65o9;<7236=g134?:4:47a79>141d21k=7p};ee594?4|5=oo;7??769>16>b21k97p}>c8f94?4|58i2h7??769>16>b21k:7p};e5f94?4|5=o?h7??769>02de21k>7p}67g83>7}:=g63ty24<4?:3y>0=6e2k2i70:7048;e7=z{:n;h7>52z?0`5b=99=<70=l138;e0=z{:n;n7>54z?0`5d=99=<70=l068;e1=:;j:h65o=;<1`4dj>e;296~;4l8o1==98;<1`40j>c;296~;4l8i1==98;<1`41j>a;296~;4l8k1==98;<1`46j>8;296~;4l821==98;<1`47j>6;296~;4l8<1==98;<1ab`j>4;296~;4l8>1==98;<1abfj>2;296~;4l881==98;<1ab0j>0;296~;4l8:1==98;<1ab1j?e;296~;4l9o1==98;<1`56j?8;296~;4l921==98;<1`45j=4;296~;4l;>1==98;<1`3gj=2;296~;4l;81==98;<1`3gkne;295d}:;lkn6<>87:?0a<2=0h;01>k7b;:b6>;4m1314l84=2g;e?>f;278i5k58`0896c?932j863k86;:b5>;4m>i14l?4=2g5f?>f:278i;m58`3896ce932j=63kn8;:b6>;4mhi14l?4=2g:7?>f:278i4958`7896c>i32j863=<0o0q~=ja183>47|5:oj<7??769>7`d>21k?70=j958;e1=:;l2i65o>;<1f=g3349nn<47a39>7`d421k?70=jb98;e6=:;j=g1349h:k47a29~w6cej3:1=9u23d`a>460?278ioj58`3896c>>32j963k72;:b1>;4m>914l?4=2g43?>f;278i:j58`7896c1j32j963m9b;:b7>;4k?i14l:4=2g:e?>f:278o;k58`6896e1n32j963460127>?=l5115:?84d;l0:<:74=2g70?77?016?nki:024=>;4ko91==96;<1`b5<68>301>mi4;333<=:;jl>6<>89:?0gc0=99=270=lf98242?<5:im;7??789>7f`>28:<563349hj<4>06;896ea:3;;;4523d00>4601278i?:5115:?85b:o0:<:74=2g0a?77?016?h=n:024=>;4m:l1==96;<1f05<68>301>k;1;333<=:;l>86<>89:?0a14=99=270=j248242?<5:o9:7??789>7`4028:<563349n>54>06;896c5i3;;;4523d0a>4601278i?m5115:?85b:m0:<:74=2g1a?77?016?h=?:024=>;4m:;1==96;<1f76<68>301>k<2;333<=:;l9?6<>89:?0a63=99=270=j378242?<5:o847??789>7`5028:<563349n?o4>06;896b1m3;;;4523e00>4601278h??5115:?85b;4m=?1==o7;<1g70<68>301>j<6;333<=:;m9o6<>89:?0`6`=99=270=k3d8242?<5:n?<7??789>7a2628:<563349o894>06;896b3;3;;;4523e14>4601278h>65115:?85c;00:<:74=2f0f?77?016?i=n:024=>;4l:i1==96;<1g42<68>301>j?9;333<=:;m:m6<>89:?0`47=99=270=k128242?<5:n:97??789>7a7>28:<563349o=o4>06;896b6l3;;;4523e2b>4601278no8542`896b7k3;;;4523dc2>4601278ilh5115:?85b180:<:74=2g4b?77?016?h68:024=>;4m?31==96;<1f31<68>301>k9e;333<=:=;km6<>89:?66g6=99=270;=cc8242?<5<8o97??789>17ed28:<563:2e49551>34?9h:4>06;8904c03;;;45253fb>460127>>i75115:?835lk0:<:74=40gg?77?0169?mk:024=>;2:jl1==96;<71g`<68>301889:?66a4=99=270;=d28242?<5<8o87??789>17bb28:j463:2`29551>34>hmk4>06;8904f93;;;4524b`2>460127?on95115:?82dko0:<:74=5ag7?77?0168nj>:024=>;3km?1==96;<6``2<68>3019mk9;333<=:89:?7gad=99=270:lb58242?<5=ii:7??789>0fd?28:<563;ccc9551>34>hnn4>06;891eem3;;;4524ba3>460127?on:5115:?82dk;0:<:74=5a`;3kji1==96;<6`2d<68>3019m9c;333<=:89:?7g=g=99=270:l8d8242?<5=i3o7??789>0f?728:<563;c809551>34>h594>06;891e>>3;;;4524b4g>460127?o;h5115:?82d?80:<:74=5a41?77?0168n9<:024=>;3k>=1==96;<6`3<<68>3019m8b;333<=:89:?7g2b=99=270:l828242?<5=i397??789>0f>028:<563;b0;9551>34>iol4>0`:891d383;;;4524c3b>460127?nkl5115:?82c<00:<:74=4230?77?0168i:n:024=>;28:6;33e==:=9?36<>n8:?640?=99k370;?5`824d><5<:>o7??a99>153e28:j463:040955g?34?;9>4>0`:89062<3;;m5524b05>460127?o?;5115:?82d;80:<:74=5a01?77?0168n=9:024=>;3k:=1==96;<6`7=<68>3019m<9;333<=:89:?7g71=99=270:l3c8242?<5=i947??789>0f4>28:<563;c3c9551>34>h>n4>06;891e5j3;;;4524b0g>460127?o?k5115:?82d:o0:<:74=5a06?77?0168n=?:024=>;3k:91==96;<6`71<68>3019m89:?7fd>=99=270:m4c824d><5=h?o7??a99>0g2b28:j463;b5f955g?34>i8k4>0`:891d283;;m5524c72>46f027?nlm5115:?82ci90:<:74=5fbg?77?0168o;8:024=>;3j<31==96;<6a1=<68>3019l:a;333<=:89:?7`0c4f28:<563;f359551>34895h4>0`:8974>03;;;45223;4>4601279=?75115:?846:o0:<<7:024=>;59<91==96;<0211<68>301??:5;333<=::8?<6<>89:?1500=99=270<=c08242?<5;8h>7??789>67e428:<563=2b69551>3489o84>06;8974d>3;;;4522354>4601279>:75115:?845?10:<:74=304f?77?016>?9n:024=>;5:;i1==96;<016a<68>301?<=e;333<=:::o=6<>89:?17`1=99=270<66cf28:<563=38`9551>34885i4>06;8975>k3;;;45243`:>460127?8n65115:?823j<0:<:74=56a2?77?01689l7:024=>;389:?7054=99=270:;008242?<5=>;?7??789>016128:<563;51:9551>34>8494>06;8912083;;;45242:6>460127??595115:?824010:<:74=51;=?77?0168>6n:024=>;3;1h1==96;<603019=7e;333<=:<:2o6<>89:?77=0=99=270:<6b8242?<5=9=h7??789>060a28:<563;3629551>34>8;<4>06;89150:3;;;4524257>460127??:=5115:?824?<0:<:74=5142?77?0168>8j:024=>;6jj?1==96;<3ag=<68>30189:?2g42=99=270?l088242?<58i:j7??789>5f4d28:<563>c259551>34;io44>06;894e3:3;;;4521cf5>460127:nh?5115:?87emh0:<:74=0`fg?77?016=okm:024=>;6jln1==96;<3aa`<68>30189:?2fc4=99=270?mf28242?<58hm87??789>5g`128:<563>8ca955g?34;0`:894>ej3;;m55216`a>46f027:4oo511c;?870jh0:;60k21==o7;<34f=<68h201<6m7;33e==:9>h<6<>n8:?2<`>=99k370?8e7824d><582n;7??a99>52c228:j463>8d4955g?34;0`:894>b=3;;m55216g0>46f027:4h:511c;?870m;0:;60ji1==o7;<34gf<68h201<6l1;33e==:9>i:6<>n8:?2<582i?7??a99>52d228:j463>8bg955g?34;0`:894>dl3;;m55216ag>46f027:4nl511c;?870kk0:;60j31==o7;<34g<<68h201<6l8;33e==:9>i36<>n8:?2<582h:7??a99>52e128:j463>8b7955g?34;0`:894>d<3;;m55216a7>46f027:4n=511c;?870k:0:;60j:1==o7;<34g5<68h201<6mf;33e==:9>hm6<>n8:?2<582ih7??a99>52dc28:j463>8d3955g?34;0`:894>b83;;m55216ff>46f027:4ih511c;?870lm0:;60mn1==o7;<34`g<68h201<6kc;33e==:9>nj6<>n8:?2<582om7??a99>52b?28:j463>8e:955g?34;0`:894>c?3;;m55216f6>46f027:4i8511c;?870l=0:;60m>1==o7;<34`7<68h201<6k3;33e==:9>n:6<>n8:?2<582hj7??a99>52ea28:j46s|1gd`>5<6i8q6=khl:c:a?87en<0:<:74=0`e3?77?016=oh7:024=>;6jo31==96;<3abg<68>30189:?2fcc=99=270?mfg8242?<58i;?7??789>5f6528:<563>c169551>34;h<84>06;894e7>3;;;4521b24>460127:o=65115:?87d8h0:<:74=0a3f?77?016=n>k:024=>;6k9i1==96;<3`4`<68>30189:?2g44=99=270?l108242?<58i:?7??789>5f7228:<563>c049551>34;h=54>06;894e6?3;;;4521b3:>460127:o;6k8o1==96;<3`65<68>30189:?2g75=99=270?l258242?<58i9;7??789>5f4?28:<563>c3;9551>34;h>l4>06;894e5j3;;;4521b0f>460127:o?j5115:?87d:o0:<:74=0a04?77?016=n=>:024=>;6k:81==96;<3`76<68>3016<>89:?2g6>=99=270?l378242?<58i857??789>5f5f28:<563>c2`9551>34;h?i4>06;894e4k3;;;4521b1f>460127:o>h5115:?87d<90:<:74=0a77?77?016=n:>:024=>;6k=>1==96;<3`00<68>301<6<>89:?2g1>=99=270?l488242?<58i?m7??789>5f2d28:<563>c5`9551>34;iol4>06;894ddl3;;;4521caf>460127:ni>5115:?87eko0:<:74=0`g5?77?016=oj=:024=>;6jm91==96;<3a`0<68>30189:?2fa>=99=270?md88242?<58hom7??789>5gbe28:<563>bea9551>34;ihi4>06;894dcn3;;;4521cff>460127:nh>5115:?87em;0:<:74=0`f7?77?016=ok::024=>;6jl>1==96;<3aa3<68>30189:?2<`4=99k370?me88242?<582n?7??a99>52c728:j463>7d3955g?34>:;94;9d9>044>28:<563;17`9551>34>::l4>06;8917093;;;452404`>460127?=:<5115:?826?:0:<:74=52:2?77?0168=78:024=>;38h81==96;<63ea<68>3019>m0;333<=:<9h96<>89:?74g7=99=270:?b28242?<5=:i87??789>05d228:<563;08:9551>34>;544>06;8916>i3;;;45241;a>460127?<4j5115:?8271j0:<:74=52:a?77?0168=7i:024=>;38h:1==96;<63e6<68>3019>n1;333<=:<9k?6<>89:?74d3=99=270:?a78242?<5=:j47??789>05g028:<563;0`;9551>34>;ml4>06;8916fj3;;;45241c`>460127?;3:>h1==96;<613<<68>3019<70;333<=:<;2:6<>89:?14f?=99=270:=838242?<5;:hn7??789>50ed28:j463>567955g?34;>;i4>0`:89430>3;;m552145f>46f027:9:h511c;?872090:;6=191==o7;<36<1<68h201<;88;33e==:9<=<6<>n8:?212?=99k370?:7`824d><58?66`428:<563>56a955g?348>5i4>06;8973?i3;;;45224:a>460127995j5115:?8420j0:<:74=37ab?77i116>9jj:024=>;5301?:k7;333<=::=n36<>89:?10ad=99=270<:448242?<5;?oh7??789>612128:<563=4559551>348?854>06;8972313;;;4522543>46012798;?5115:?843>;0:<:74=3c01?77?016>98<:024=>;5j>o1==96;<0a3c<68>301?l70;333<=::k2:6<>89:?1fd4=99=2706gg128:<563=b`79551>348im:4>06;897df03;;;4522cc:>4601279n5l5115:?84e0h0:<:74=3`;g?77?016>o6k:024=>;5j1o1==96;<0a=5<68>301?l7f;333<=::k3:6<>89:?1f<0=99=2706g?>28:<563=bb69551>348io84>06;897dd?3;;;4522ca5>4601279nn65115:?84ek00:<:74=3``e?77?016>ooj:024=>;5jjh1==96;<0aec<68>301?lm0;333<=::kh:6<>89:?1fg>=99=2706gdf28:<563=bc`9551>348i8i4>06;897d3m3;;;4522c6e>4601279n8>5115:?84el80:<:74=3`65?77?016>oj=:024=>;5jm91==96;<0a`1<68>301?lkb;333<=::kn>6<>89:?1fae=99=2706g3f28:<563=bed9551>348i9o4>06;897d2k3;;;4522c7g>4601279n8k5115:?84e=o0:<:74=3`54?77?016>o8>:024=>;5j?h1==96;<0a2d<68>301?l9c;333<=::k89:?1f3c=99=2706g1628:<563=b649551>348i;54>06;897d0?3;;;4522c5:>4601279n9>5115:?84e<80:<:74=3`76?77?016>o:<:024=>;5j=>1==96;<0a00<68>301?l;7;333<=::k>=6<>89:?1`=5=99=2706a>028:<563=d949551>348o454>06;897b?13;;;4522e:b>4601279h4:5115:?84c1:0:<:74=3f:1?77?016>i79:024=>;5l0=1==96;<0g==<68>301?j69;333<=::m3j6<>89:?1`gd=99=2706adb28:<563=dcd9551>348oo=4>06;897bd:3;;;4522ea2>4601279h:=5115:?84c?=0:<:74=3f41?77?016>i98:024=>;5l><1==96;<0g3=<68>301?j89;333<=::m=j6<>89:?1`fd=99=2706aeb28:<563=de29551>348ook4>06;897bc93;;;4522ef1>4601279i?=5115:?84b:<0:<:74=3g10?77?016>h<9:024=>;5m;=1==96;<0f6=<68>301?k=a;333<=::l826<>89:?1a5d=99=2706`6b28:<563=e1d9551>348n==4>06;897c693;;;4522eda>4601279i<<5115:?84cnj0:<:74=3fe`?77?016>ihj:024=>;5m9:1==96;<0gbc<68>301?k?1;333<=::l:96<>89:?1``d=99=2706acb28:<563=ddd9551>348oj=4>06;897ba93;;;4522ed1>4601279hil5115:?84clj0:<:74=3fga?77?016>ijk:024=>;5lml1==96;<0ga5<68>301?jj1;333<=::l<86<>89:?1``4=99=2706`0128:<563=e7:9551>348n::4>06;897c113;;;4522d4b>4601279i>=5115:?84b;=0:<:74=3g01?77?016>h=9:024=>;5m:=1==96;<0f7<<68>301?k<8;333<=::l9j6<>89:?1a25=99=2706`1228:<563=e659551>348n;54>06;897c013;;;4522d:a>4601279i:o5115:?84b0j0:<:74=3g;`?77?016>h6j:024=>;5m1l1==96;<0f=5<68>301?k61;333<=::l396<>89:?1a02=99=27097??789>6`3128:<563=e459551>348n944>06;897c203;;;4522d7b>4601279i9=5115:?84b<=0:<:74=3g72?77?016>h:::024=>;5m==1==96;<0f0=<68>301?k;9;333<=::l>j6<>89:?1a6`?a28:<563=e8g9551>348nm=4>06;8yv70jj0;6?u216``>460?27:=4858`28yv70jk0;6?u216`a>460?27:=4=58`28yv70jh0;6?u216`b>460?27:=4>58`28yv70j00;6?u216`:>460?27:=5j58`28yv70j10;6?u216`;>460?27:=5o58`28yv70j>0;6?u216`4>460?27:=5958`28yv70m?0;6?u216g5>460?27:=o?58`28yv70m<0;6?u216g6>460?27:=lk58`28yv70m=0;6?u216g7>460?27:=ll58`28yv70m:0;6?u216g0>460?27:=l658`28yv70m;0;6?u216g1>460?27:=l;58`28yv70l>0;6?u216f4>460?27:=l<58`28yv70kj0;6?u216a`>460?27:=4h58`28yv70k80;6?u216a2>460?27:=4m58`28yv70j?0;6?u216`5>460?27:=4758`28yv70j<0;6?u216`6>460?27:=5:58`28yv70kl0;6?u216af>460?27:=i958`28yv70km0;6?u216ag>460?27:=i:58`28yv70kk0;6?u216aa>460?27:=i?58`28yv70kh0;6?u216ab>460?27:=nk58`28yv70k00;6?u216a:>460?27:=nl58`28yv70k10;6?u216a;>460?27:=n658`28yv70k>0;6?u216a4>460?27:=k<58`28yv70k?0;6?u216a5>460?27:=hh58`28yv70k<0;6?u216a6>460?27:=hm58`28yv70k=0;6?u216a7>460?27:=h758`28yv70k:0;6?u216a0>460?27:=h858`28yv70k;0;6?u216a1>460?27:=h=58`28yv70k90;6?u216a3>460?27:=h>58`28yv70jo0;6?u216`e>460?27:=ij58`28yv70jl0;6?u216`f>460?27:=io58`28yv70jm0;6?u216`g>460?27:=n;58`28yv70lo0;6?u216fe>460?27:><658`28yv70ll0;6?u216ff>460?27:><;58`28yv70lm0;6?u216fg>460?27:><<58`28yv70lj0;6?u216f`>460?27:>=h58`28yv70lk0;6?u216fa>460?27:>=m58`28yv70lh0;6?u216fb>460?27:>=758`28yv70l00;6?u216f:>460?27:>>=58`28yv70l10;6?u216f;>460?27:>>>58`28yv70l?0;6?u216f5>460?27:>?j58`28yv70l<0;6?u216f6>460?27:>?o58`28yv70l=0;6?u216f7>460?27:>?958`28yv70l:0;6?u216f0>460?27:>?:58`28yv70l;0;6?u216f1>460?27:>??58`28yv70l80;6?u216f2>460?27:>460?27:>460?27:>=858`28yv7bl80;6?u21df2>460?27:ii<548g8yv7d?10;6?u21b5;>460?27:o:7548g8yv7bk10;6?u21da;>460?27:in7548g8yv7bkl0;6?u21daf>460?27:inh548g8yv7bkk0;6?u21daa>460?27:inm548g8yv7bjo0;6?u21d`e>460?27:in>548g8yv7bk<0;6?u21da6>460?27:in8548g8yv7bk;0;6?u21da1>460?27:in=548g8yv7bjj0;6?u21d``>460?27:ioj548g8yv7bj00;6?u21d`:>460?27:ioo548g8yv7bj90;6?u21d`3>460?27:io?548g8yv7bj?0;6?u21d`5>460?27:io9548g8yv7bj:0;6?u21d`0>460?27:io:548g8yv7bim0;6?u21dcg>460?27:ilk548g8yv7bih0;6?u21dcb>460?27:ill548g8yv7bi80;6?u21dc2>460?27:il<548g8yv7bi>0;6?u21dc4>460?27:il6548g8yv7bi=0;6?u21dc7>460?27:il;548g8yv7b1l0;6?u21d;f>460?27:i4h548g8yv7b1k0;6?u21d;a>460?27:i4m548g8yv7b1;0;6?u21d;1>460?27:i4=548g8yv7b110;6?u21d;;>460?27:i47548g8yv7b1<0;6?u21d;6>460?27:i48548g8yv7b000;6?u21d::>460?27:i5o548g8yv7b0o0;6?u21d:e>460?27:i4>548g8yv7b0j0;6?u21d:`>460?27:i5j548g8yv7b090;6?u21d:3>460?27:i5?548g8yv7b0?0;6?u21d:5>460?27:i59548g8yv7b0:0;6?u21d:0>460?27:i5:548g8yv7b?m0;6?u21d5g>460?27:i:k548g8yv7b?h0;6?u21d5b>460?27:i:l548g8yv7b?80;6?u21d52>460?27:i:<548g8yv7b?>0;6?u21d54>460?27:i:6548g8yv7b?=0;6?u21d57>460?27:i:;548g8yv7b>l0;6?u21d4f>460?27:i;h548g8yv7b>k0;6?u21d4a>460?27:i;m548g8yv7b>;0;6?u21d41>460?27:i;=548g8yv7b>10;6?u21d4;>460?27:i;7548g8yv7b><0;6?u21d46>460?27:i;8548g8yv7b=o0;6?u21d7e>460?27:i;>548g8yv7b=j0;6?u21d7`>460?27:i8j548g8yv7b=:0;6?u21d70>460?27:i8:548g8yv7b=00;6?u21d7:>460?27:i8o548g8yv7b=?0;6?u21d75>460?27:i89548g8yv7b460?27:i9l548g8yv7b=90;6?u21d73>460?27:i8?548g8yv7b460?27:i9k548g8yv361>0;6?u250;4>460?27>0;6?u21d64>460?27:i96548g8yv7b<=0;6?u21d67>460?27:i9;548g8yv36110;6?u250;;>460?27>460?27>===548g8yv36i:0;6?u250c0>460?27>==8548g8yv36i80;6?u250c2>460?27>==:548g8yv36i;0;6?u250c1>460?27>==;548g8yv36i=0;6?u250c7>460?27>==9548g8yv36i<0;6?u250c6>460?27>==6548g8yv36100;6?u250;:>460?27>460?27>==7548g8yv36i>0;6?u250c4>460?27>==o548g8yv361h0;6?u250;b>460?27>460?27>460?27>==?548g8yv361j0;6?u250;`>460?27>460?27>==>548g8yv36i00;6?u250c:>460?27>==m548g8yv36i10;6?u250c;>460?27>==l548g8yv36j:0;6?u250`0>460?27>=<8548g8yv36j80;6?u250`2>460?27>=<:548g8yv36j;0;6?u250`1>460?27>=<;548g8yv36j=0;6?u250`7>460?27>=<9548g8yv36j<0;6?u250`6>460?27>=<6548g8yv36j10;6?u250`;>460?27>=460?27>=<7548g8yv36j>0;6?u250`4>460?27>=460?27>==j548g8yv36ik0;6?u250ca>460?27>==k548g8yv36il0;6?u250cf>460?27>=460?27>==h548g8yv36im0;6?u250cg>460?27>=<>548g8yv36io0;6?u250ce>460?27>=<<548g8yv36j90;6?u250`3>460?27>=<=548g8yxh69;<:6=4>{I3206=Nn;81=v?5}[::12f95~73m3we=?;8:19~j475>;0;60:7p`>1340>5<6sA;:8>5a1374>7=zf8;9:94?:8yK54243g;99:4<;|l25702280:wE?>429m57302=1vb4}O98>87c?=5686?xh69;<<6=4>{I3206=i9;?<6;5rn0312=<728qC=<:<;o3112<03td:=?86:182M76<:1e=?;8:99~j475>h0;6027p`>134a>5<6sA;:8>5a1374>d=zf8;9:n4?:3yK54243@l9>7=t4;397?{]0021>v7>5;;3f?{i9;?<6o5rn0312a<728qC=<:<;o3112429m57302l1vb7}O98>87Dh=2;1x0?7=;3wQ44652z;21??7j3we=?;8:g9~j475?80;60:<6sa10046?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>245954=zf8;9;>4?:0yK54243g;99:4>2:m5440<3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601=9:1vb4}O98>87c?=56820>{i988<:7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=1=85rn03132<728qC=<:<;o3112<6>2we=<<88;296~N69=90Ek<=:2y7>4<42tP3554={836><6e2td:>895169~j475?00;60:46sa1004e?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>24595<=zf8;9;o4?:0yK54243g;99:4>a:m5440k3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601=9k1vb4}O98>87c?=5682g>{i98852zJ2515u;:080>x\?1109w4?::82a>xh6:<=1=i5rn0313c<728qC=<:<;o3112<6m2we=<<70;296~N69=90Ek<=:2y7>4<42tP3554={836><6e2td:>8951g9~j475080;609<6sa100;6?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>245964=zf8;94>4?:0yK54243g;99:4=2:m544?<3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601=::1vb4}O98>87c?=56810>{i9883:7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=1>85rn031<2<728qC=<:<;o3112<5>2we=<<78;296~N69=90Ek<=:2y7>4<42tP3554={836><6e2td:>895269~j475000;60946sa100;e?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>24596<=zf8;94o4?:0yK54243g;99:4=a:m544?k3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601=:k1vb4}O98>87c?=5681g>{i9883i7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=1>i5rn0314<42tP3554={836><6e2td:>8952g9~j475180;608<6sa100:6?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>245974=zf8;95>4?:0yK54243g;99:4<2:m544><3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601=;:1vb4}O98>87c?=56800>{i9882:7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=1?85rn031=2<728qC=<:<;o3112<4>2we=<<68;296~N69=90Ek<=:2y7>4<42tP3554={836><6e2td:>895369~j475100;60846sa100:e?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>24597<=zf8;95o4?:0yK54243g;99:4k3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601=;k1vb4}O98>87c?=5680g>{i9882i7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=1?i5rn031=c<728qC=<:<;o3112<4m2we=<4<42tP3554={836><6e2td:>8953g9~j475i80;60?<6sa100b6?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>245904=zf8;9m>4?:0yK54243g;99:4;2:m544f<3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601=<:1vb4}O98>87c?=56870>{i988j:7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=1885rn031e2<728qC=<:<;o3112<3>2we=<4<42tP3554={836><6e2td:>895469~j475i00;60?46sa100be?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>24590<=zf8;9mo4?:0yK54243g;99:4;a:m544fk3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601=4}O98>87c?=5687g>{i988ji7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=18i5rn031ec<728qC=<:<;o3112<3m2we=<4<42tP3554={836><6e2td:>8954g9~j475j80;60><6sa100a6?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>245914=zf8;9n>4?:0yK54243g;99:4:2:m544e<3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601==:1vb4}O98>87c?=56860>{i988i:7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=1985rn031f2<728qC=<:<;o3112<2>2we=<4<42tP3554={836><6e2td:>895569~j475j00;60>46sa100ae?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>24591<=zf8;9no4?:0yK54243g;99:4:a:m544ek3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601==k1vb4}O98>87c?=5686g>{i988ii7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=19i5rn031fc<728qC=<:<;o3112<2m2we=<4<42tP3554={836><6e2td:>8955g9~j475k80;60=<6sa100`6?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>245924=zf8;9o>4?:0yK54243g;99:492:m544d<3:1>vF>1518Mc452:q?6<4<:|X;==<5s0;>64>m:|l2601=>:1vb4}O98>87c?=56850>{i988h:7>52zJ2515u;:080>x\?1109w4?::82a>xh6:<=1:85rn031g2<728qC=<:<;o3112<1>2we=<4<42tP3554={836><6e2td:>895669~j475k00;60=46sa100`e?6=:rB:=9=4Ig01>6}328086pT79981<7220:i6p`>24592<=zf8;9oo4?:0yK54243g;99:49a:m544dk3:1=vF>1518j442?313ag>5<6sA;:8>5a1374>3enk50;3xL473;2d:>8956e9~j475ko0;60=i6sa100g4?6=9rB:=9=4n0063?0a3td:=?j>:182M76<:1e=?;8:628yk76:m81<7?tH0377>h6:<=1;<5rn031`6<728qC=<:<;o3112<0:2we=<6=4>{I3206=i9;?<6::4}o326a0=83;pD4}O98>87c?=56842>{i988o47>51zJ2515;798;|l257b>290:wE?>429m57302>20qc?>2ec94?7|@8;??6`>24593<=zf8;9ho4?:0yK54243g;99:48a:m544ck3:1=vF>1518j442?3=i7p`>13fg>5<6sA;:8>5a1374>2eik50;3xL473;2d:>8957e9~j475lo0;60:182M76<:1e=?;8:928yk76:l81<7?tH0377>h6:<=14<5rn031a6<728qC=<:<;o31126=4>{I3206=i9;?<65:4}o326`0=83;pD4}O98>87c?=568;2>{i988n47>51zJ2515;768;|l257c>290:wE?>429m57302120qc?>2dc94?7|@8;??6`>2459<<=zf8;9io4?:0yK54243g;99:47a:m544bk3:1=vF>1518j442?32i7p`>13gg>5<6sA;:8>5a1374>=ehk50;3xL473;2d:>8958e9~j475mo0;603i6sa100e4?6=9rB:=9=4n0063?>a3td:=?h>:182M76<:1e=?;8:828yk76:o81<7?tH0377>h6:<=15<5rn031b6<728qC=<:<;o3112<>:2we=<6=4>{I3206=i9;?<64:4}o326c0=83;pD4}O98>87c?=568:2>{i988m47>51zJ2515;778;|l257`>290:wE?>429m57302020qc?>2gc94?7|@8;??6`>2459=<=zf8;9jo4?:0yK54243g;99:46a:m544ak3:1=vF>1518j442?33i7p`>13dg>5<6sA;:8>5a1374>kk50;3xL473;2d:>8959e9~j475no0;602i6sa10134?6=9rB:=9=4n0063??a3td:=>>>:182M76<:1e=?;8:`28yk76;981<7?tH0377>h6:<=1m<5rn03046<728qC=<:<;o31126=4>{I3206=i9;?<6l:4}o32750=83;pD4}O98>87c?=568b2>{i989;47>51zJ2515;7o8;|l2566>290:wE?>429m57302h20qc?>31c94?7|@8;??6`>2459e<=zf8;81518j442?3ki7p`>122g>5<6sA;:8>5a1374>de895ae9~j4748o0;60ji6sa10124?6=9rB:=9=4n0063?ga3td:=>?>:182M76<:1e=?;8:c28yk76;881<7?tH0377>h6:<=1n<5rn03056<728qC=<:<;o31124;295~N69=90b<<:7;`0?xh69:;>6=4>{I3206=i9;?<6o:4}o32740=83;pD4}O98>87c?=568a2>{i989:47>51zJ2515;7l8;|l2567>290:wE?>429m57302k20qc?>30c94?7|@8;??6`>2459f<=zf8;8=o4?:0yK54243g;99:4ma:m5456k3:1=vF>1518j442?3hi7p`>123g>5<6sA;:8>5a1374>ge895be9~j4749o0;60ii6sa10114?6=9rB:=9=4n0063?da3td:=><>:182M76<:1e=?;8:b28yk76;;81<7?tH0377>h6:<=1o<5rn03066<728qC=<:<;o31126=4>{I3206=i9;?<6n:4}o32770=83;pD4}O98>87c?=568`2>{i989947>51zJ2515;7m8;|l2564>290:wE?>429m57302j20qc?>33c94?7|@8;??6`>2459g<=zf8;8>o4?:0yK54243g;99:4la:m5455k3:1=vF>1518j442?3ii7p`>120g>5<6sA;:8>5a1374>fe895ce9~j474:o0;60hi6sa10104?6=9rB:=9=4n0063?ea3td:=>=>:182M76<:1e=?;8:e28yk76;:81<7?tH0377>h6:<=1h<5rn03076<728qC=<:<;o31126=4>{I3206=i9;?<6i:4}o32760=83;pD4}O98>87c?=568g2>{i989847>51zJ2515;7j8;|l2565>290:wE?>429m57302m20qc?>32c94?7|@8;??6`>2459`<=zf8;8?o4?:0yK54243g;99:4ka:m5454k3:1=vF>1518j442?3ni7p`>121g>5<6sA;:8>5a1374>aek50;3xL473;2d:>895de9~j474;o0;60oi6sa10174?6=9rB:=9=4n0063?ba3td:=>:>:182M76<:1e=?;8:d28yk76;=81<7?tH0377>h6:<=1i<5rn03006<728qC=<:<;o3112>6=4>{I3206=i9;?<6h:4}o32710=83;pD4}O98>87c?=568f2>{i989?47>51zJ2515;7k8;|l2562>290:wE?>429m57302l20qc?>35c94?7|@8;??6`>2459a<=zf8;88o4?:0yK54243g;99:4ja:m5453k3:1=vF>1518j442?3oi7p`>126g>5<6sA;:8>5a1374>`e895ee9~j4740ni6sa10164?6=9rB:=9=4n0063?ca3td:=>;>:182M76<:1e=?;8:g28yk76;<81<7?tH0377>h6:<=1j<5rn03016<728qC=<:<;o31126=4>{I3206=i9;?<6k:4}o32700=83;pD4}O98>87c?=568e2>{i989>47>51zJ2515;7h8;|l2563>290:wE?>429m57302o20qc?>34c94?7|@8;??6`>2459b<=zf8;89o4?:0yK54243g;99:4ia:m5452k3:1=vF>1518j442?3li7p`>127g>5<6sA;:8>5a1374>ce895fe9~j474=o0;60mi6sa10154?6=9rB:=9=4n0063?`a3td:=>8>:182M76<:1e=?;8:023?xh69:<96=4>{I3206=i9;?<6<>>;|l25604290:wE?>429m573028:97p`>1247>5<6sA;:8>5a1374>4643td:=>8::182M76<:1e=?;8:027?xh69:<=6=4>{I3206=i9;?<6<>:;|l25600290:wE?>429m573028:=7p`>124;>5<6sA;:8>5a1374>4603td:=>86:182M76<:1e=?;8:02;?xh69:{I3206=i9;?<6<>6;|l2560e290:wE?>429m573028:j7p`>124`>5<6sA;:8>5a1374>46e3td:=>8k:182M76<:1e=?;8:02`?xh69:{I3206=i9;?<6<>k;|l2560a290:wE?>429m573028:n7p`>1253>5<6sA;:8>5a1374>46a3td:=>9>:182M76<:1e=?;8:033?xh69:=96=4>{I3206=i9;?<6;|l25614290:wE?>429m573028;97p`>1257>5<6sA;:8>5a1374>4743td:=>9::182M76<:1e=?;8:037?xh69:==6=4>{I3206=i9;?<6429m573028;=7p`>125;>5<6sA;:8>5a1374>4703td:=>96:182M76<:1e=?;8:03;?xh69:=j6=4>{I3206=i9;?<6429m573028;j7p`>125`>5<6sA;:8>5a1374>47e3td:=>9k:182M76<:1e=?;8:03`?xh69:=n6=4>{I3206=i9;?<6429m573028;n7p`>12:3>5<6sA;:8>5a1374>47a3td:=>6>:182M76<:1e=?;8:003?xh69:296=4>{I3206=i9;?<6<<>;|l256>4290:wE?>429m573028897p`>12:7>5<6sA;:8>5a1374>4443td:=>6::182M76<:1e=?;8:007?xh69:2=6=4>{I3206=i9;?<6<<:;|l256>0290:wE?>429m5730288=7p`>12:;>5<6sA;:8>5a1374>4403td:=>66:182M76<:1e=?;8:00;?xh69:2j6=4>{I3206=i9;?<6<<6;|l256>e290:wE?>429m5730288j7p`>12:`>5<6sA;:8>5a1374>44e3td:=>6k:182M76<:1e=?;8:00`?xh69:2n6=4>{I3206=i9;?<6<a290:wE?>429m5730288n7p`>12;3>5<6sA;:8>5a1374>44a3td:=>7>:182M76<:1e=?;8:013?xh69:396=4>{I3206=i9;?<6<=>;|l256?4290:wE?>429m573028997p`>12;7>5<6sA;:8>5a1374>4543td:=>7::182M76<:1e=?;8:017?xh69:3=6=4>{I3206=i9;?<6<=:;|l256?0290:wE?>429m5730289=7p`>12;;>5<6sA;:8>5a1374>4503td:=>76:182M76<:1e=?;8:01;?xh69:3j6=4>{I3206=i9;?<6<=6;|l256?e290:wE?>429m5730289j7p`>12;`>5<6sA;:8>5a1374>45e3td:=>7k:182M76<:1e=?;8:01`?xh69:3n6=4>{I3206=i9;?<6<=k;|l256?a290:wE?>429m5730289n7p`>12c3>5<6sA;:8>5a1374>45a3td:=>o>:182M76<:1e=?;8:063?xh69:k96=4>{I3206=i9;?<6<:>;|l256g4290:wE?>429m573028>97p`>12c7>5<6sA;:8>5a1374>4243td:=>o::182M76<:1e=?;8:067?xh69:k=6=4>{I3206=i9;?<6<::;|l256g0290:wE?>429m573028>=7p`>12c;>5<6sA;:8>5a1374>4203td:=>o6:182M76<:1e=?;8:06;?xh69:kj6=4>{I3206=i9;?<6<:6;|l256ge290:wE?>429m573028>j7p`>12c`>5<6sA;:8>5a1374>42e3td:=>ok:182M76<:1e=?;8:06`?xh69:kn6=4>{I3206=i9;?<6<:k;|l256ga290:wE?>429m573028>n7p`>12`3>5<6sA;:8>5a1374>42a3td:=>l>:182M76<:1e=?;8:073?xh69:h96=4>{I3206=i9;?<6<;>;|l256d4290:wE?>429m573028?97p`>12`7>5<6sA;:8>5a1374>4343td:=>l::182M76<:1e=?;8:077?xh69:h=6=4>{I3206=i9;?<6<;:;|l256d0290:wE?>429m573028?=7p`>12`;>5<6sA;:8>5a1374>4303td:=>l6:182M76<:1e=?;8:07;?xh69:hj6=4>{I3206=i9;?<6<;6;|l256de290:wE?>429m573028?j7p`>12``>5<6sA;:8>5a1374>43e3td:=>lk:182M76<:1e=?;8:07`?xh69:hn6=4>{I3206=i9;?<6<;k;|l256da290:wE?>429m573028?n7p`>12a3>5<6sA;:8>5a1374>43a3td:=>m>:182M76<:1e=?;8:043?xh69:i96=4>{I3206=i9;?<6<8>;|l256e4290:wE?>429m573028<97p`>12a7>5<6sA;:8>5a1374>4043td:=>m::182M76<:1e=?;8:047?xh69:i=6=4>{I3206=i9;?<6<8:;|l256e0290:wE?>429m573028<=7p`>12a;>5<6sA;:8>5a1374>4003td:=>m6:182M76<:1e=?;8:04;?xh69:ij6=4>{I3206=i9;?<6<86;|l256ee290:wE?>429m57302812a`>5<6sA;:8>5a1374>40e3td:=>mk:182M76<:1e=?;8:04`?xh69:in6=4>{I3206=i9;?<6<8k;|l256ea290:wE?>429m57302812f3>5<6sA;:8>5a1374>40a3td:=>j>:182M76<:1e=?;8:053?xh69:n96=4>{I3206=i9;?<6<9>;|l256b4290:wE?>429m573028=97p`>12f7>5<6sA;:8>5a1374>4143td:=>j::182M76<:1e=?;8:057?xh69:n=6=4>{I3206=i9;?<6<9:;|l256b0290:wE?>429m573028==7p`>12f;>5<6sA;:8>5a1374>4103td:=>j6:182M76<:1e=?;8:05;?xh69:nj6=4>{I3206=i9;?<6<96;|l256be290:wE?>429m573028=j7p`>12f`>5<6sA;:8>5a1374>41e3td:=>jk:182M76<:1e=?;8:05`?xh69:nn6=4>{I3206=i9;?<6<9k;|l256ba290:wE?>429m573028=n7p`>12g3>5<6sA;:8>5a1374>41a3td:=>k>:182M76<:1e=?;8:0:3?xh69:o96=4>{I3206=i9;?<6<6>;|l256c4290:wE?>429m573028297p`>12g7>5<6sA;:8>5a1374>4>43td:=>k::182M76<:1e=?;8:0:7?xh69:o=6=4>{I3206=i9;?<6<6:;|l256c0290:wE?>429m5730282=7p`>12g;>5<6sA;:8>5a1374>4>03td:=>k6:182M76<:1e=?;8:0:;?xh69:oj6=4>{I3206=i9;?<6<66;|l256ce290:wE?>429m5730282j7p`>12g`>5<6sA;:8>5a1374>4>e3td:=>kk:182M76<:1e=?;8:0:`?xh69:on6=4>{I3206=i9;?<6<6k;|l256ca290:wE?>429m5730282n7p`>12d3>5<6sA;:8>5a1374>4>a3td:=>h>:182M76<:1e=?;8:0;3?xh69:l96=4>{I3206=i9;?<6<7>;|l256`4290:wE?>429m573028397p`>12d7>5<6sA;:8>5a1374>4?43td:=>h::182M76<:1e=?;8:0;7?xh69:l=6=4>{I3206=i9;?<6<7:;|l256`0290:wE?>429m5730283=7p`>12d;>5<6sA;:8>5a1374>4?03td:=>h6:182M76<:1e=?;8:0;;?xh69:lj6=4>{I3206=i9;?<6<76;|l256`e290:wE?>429m5730283j7p`>12d`>5<6sA;:8>5a1374>4?e3td:=>hk:182M76<:1e=?;8:0;`?xh69:ln6=4>{I3206=i9;?<6<7k;|l256`a290:wE?>429m5730283n7p`>1523>5<6sA;:8>5a1374>4?a3td:=9>>:182M76<:1e=?;8:0c3?xh69=:96=4>{I3206=i9;?<6;|l25164290:wE?>429m573028k97p`>1527>5<6sA;:8>5a1374>4g43td:=9>::182M76<:1e=?;8:0c7?xh69=:=6=4>{I3206=i9;?<6429m573028k=7p`>152;>5<6sA;:8>5a1374>4g03td:=9>6:182M76<:1e=?;8:0c;?xh69=:j6=4>{I3206=i9;?<6429m573028kj7p`>152`>5<6sA;:8>5a1374>4ge3td:=9>k:182M76<:1e=?;8:0c`?xh69=:n6=4>{I3206=i9;?<6429m573028kn7p`>1533>5<6sA;:8>5a1374>4ga3td:=9?>:182M76<:1e=?;8:0`3?xh69=;96=4>{I3206=i9;?<6;|l25174290:wE?>429m573028h97p`>1537>5<6sA;:8>5a1374>4d43td:=9?::182M76<:1e=?;8:0`7?xh69=;=6=4>{I3206=i9;?<6429m573028h=7p`>153;>5<6sA;:8>5a1374>4d03td:=9?6:182M76<:1e=?;8:0`;?xh69=;j6=4={I3206=i9;?<6429m573028hj7p`>153`>5<5sA;:8>5a1374>4de3td:=9?k:181M76<:1e=?;8:0``?xh69=;n6=4={I3206=i9;?<6429m573028hn7p`>1503>5<5sA;:8>5a1374>4da3td:=9<>:181M76<:1e=?;8:0a3?xh69=896=4={I3206=i9;?<6;|l251442909wE?>429m573028i97p`>1507>5<5sA;:8>5a1374>4e43td:=9<::181M76<:1e=?;8:0a7?xh69=8=6=4={I3206=i9;?<6429m573028i=7p`>150;>5<5sA;:8>5a1374>4e03td:=9<6:181M76<:1e=?;8:0a;?xh69=8j6=4={I3206=i9;?<6429m573028ij7p`>150`>5<5sA;:8>5a1374>4ee3td:=9429m573028in7p`>1513>5<5sA;:8>5a1374>4ea3td:=9=>:181M76<:1e=?;8:0f3?xh69=996=4={I3206=i9;?<6;|l251542909wE?>429m573028n97p`>1517>5<5sA;:8>5a1374>4b43td:=9=::181M76<:1e=?;8:0f7?xh69=9=6=4={I3206=i9;?<6429m573028n=7p`>151;>5<5sA;:8>5a1374>4b03td:=9=6:181M76<:1e=?;8:0f;?xh69=9j6=4={I3206=i9;?<6429m573028nj7p`>151`>5<5sA;:8>5a1374>4be3td:=9=k:181M76<:1e=?;8:0f`?xh69=9n6=4={I3206=i9;?<6429m573028nn7p`>1563>5<5sA;:8>5a1374>4ba3td:=9:>:181M76<:1e=?;8:0g3?xh69=>96=4={I3206=i9;?<6;|l251242909wE?>429m573028o97p`>1567>5<5sA;:8>5a1374>4c43td:=9:::181M76<:1e=?;8:0g7?xh69=>=6=4={I3206=i9;?<6429m573028o=7p`>156;>5<5sA;:8>5a1374>4c03td:=9:6:181M76<:1e=?;8:0g;?xh69=>j6=4>{I3206=i9;?<6429m573028oj7p`>156`>5<6sA;:8>5a1374>4ce3td:=9:k:182M76<:1e=?;8:0g`?xh69=>n6=4>{I3206=i9;?<6429m573028on7p`>1573>5<6sA;:8>5a1374>4ca3td:=9;>:182M76<:1e=?;8:0d3?xh69=?96=4>{I3206=i9;?<6;|l25134290:wE?>429m573028l97p`>1577>5<6sA;:8>5a1374>4`43td:=9;::182M76<:1e=?;8:0d7?xh69=?=6=4>{I3206=i9;?<6429m573028l=7p`>157;>5<6sA;:8>5a1374>4`03td:=9;6:182M76<:1e=?;8:0d;?xh69=?j6=4>{I3206=i9;?<6429m573028lj7p`>157`>5<6sA;:8>5a1374>4`e3td:=9;k:182M76<:1e=?;8:0d`?xh69=?n6=4>{I3206=i9;?<6429m573028ln7p`>1543>5<6sA;:8>5a1374>4`a3td:=98>:182M76<:1e=?;8:323?xh69=<96=4>{I3206=i9;?<6?>>;|l25104290:wE?>429m57302;:97p`>1547>5<6sA;:8>5a1374>7643td:=98::182M76<:1e=?;8:327?xh69=<=6=4>{I3206=i9;?<6?>:;|l25100290:wE?>429m57302;:=7p`>154;>5<6sA;:8>5a1374>7603td:=986:182M76<:1e=?;8:32;?xh69={I3206=i9;?<6?>6;|l2510e290:wE?>429m57302;:j7p`>154`>5<6sA;:8>5a1374>76e3td:=98k:182M76<:1e=?;8:32`?xh69={I3206=i9;?<6?>k;|l2510a290:wE?>429m57302;:n7p`>1553>5<6sA;:8>5a1374>76a3td:=99>:182M76<:1e=?;8:333?xh69==96=4>{I3206=i9;?<6??>;|l25114290:wE?>429m57302;;97p`>1557>5<6sA;:8>5a1374>7743td:=99::182M76<:1e=?;8:337?xh69===6=4>{I3206=i9;?<6??:;|l25110290:wE?>429m57302;;=7p`>155;>5<6sA;:8>5a1374>7703td:=996:182M76<:1e=?;8:33;?xh69==j6=4>{I3206=i9;?<6??6;|l2511e290:wE?>429m57302;;j7p`>155`>5<6sA;:8>5a1374>77e3td:=99k:182M76<:1e=?;8:33`?xh69==n6=4>{I3206=i9;?<6??k;|l2511a290:wE?>429m57302;;n7p`>15:3>5<6sA;:8>5a1374>77a3td:=96>:182M76<:1e=?;8:303?xh69=296=4>{I3206=i9;?<6?<>;|l251>4290:wE?>429m57302;897p`>15:7>5<6sA;:8>5a1374>7443td:=96::182M76<:1e=?;8:307?xh69=2=6=4>{I3206=i9;?<6?<:;|l251>0290:wE?>429m57302;8=7p`>15:;>5<6sA;:8>5a1374>7403td:=966:182M76<:1e=?;8:30;?xh69=2j6=4>{I3206=i9;?<6?<6;|l251>e290:wE?>429m57302;8j7p`>15:`>5<6sA;:8>5a1374>74e3td:=96k:182M76<:1e=?;8:30`?xh69=2n6=4>{I3206=i9;?<6?a290:wE?>429m57302;8n7p`>15;3>5<6sA;:8>5a1374>74a3td:=97>:182M76<:1e=?;8:313?xh69=396=4>{I3206=i9;?<6?=>;|l251?4290:wE?>429m57302;997p`>15;7>5<6sA;:8>5a1374>7543td:=97::182M76<:1e=?;8:317?xh69=3=6=4>{I3206=i9;?<6?=:;|l251?0290:wE?>429m57302;9=7p`>15;;>5<6sA;:8>5a1374>7503td:=976:182M76<:1e=?;8:31;?xh69=3j6=4>{I3206=i9;?<6?=6;o33b7<73td:=97m:182M76<:1e=?;8:31b?k77n;0:7p`>15;`>5<5sA;:8>5Ff3097~2=9391qW668;6x=43=19h1==li:c:;>xh6:<=1>>l4}o3204rZ9;;>1}>9<020cd9f=>=ug;99:4=3b9~j4731l0;6?uG1060?L`5:39p87?53;Y<<>={i98>2j7>52zJ2515u;:080>x\?110?w4?::82a>46en3h347sa1374>75b3td:=9o?:181M76<:1Bj?<53z695?5=uS2247:t9079=5d=99hm6o67:|l2601=::l0qc?>4`394?4|@8;??6Gi23801<62:0vV577:5y:50<>8k0:098=5rn037e7<72;qC=<:<;Hd16?5|<3;1?7sU88:90~?6=33;n7??bg8a<=;7<;1:m542f;3:1>vF>1518Mc452:q?6<4<:|X;==<3s0;>64>m:02ab?d?03we=?;8:361?xh69=k?6=4={I3206=Nn;81?v:51;19y_>>03>p5<;591`955da2k236p`>2459615?4<{582>67}O98>87Dh=2;1x0?7=;3wQ44654z;21??7j3;;nk4m898~j442?38?96sa106b3?6=:rB:=9=4Ig01>6}328086pT79987<7220:i6<>mf;`;429Jb74=;r>1=7=5}[::g>?2td:>8952558yk76Oa:;08w94>:28~^=??2=q2=8460c824g`=j121qc?=56810==zf8;?ml4?:3yK54243@l9>7=t4;397?{]00218v7>5;;3f?77jo0i454rn0063?4312we=<:nb;296~N69=90Ek<=:2y7>4<42tP3554;{836><6e28:ij7l78;m57302;>j7p`>15c`>5<6sA;:8>5a1374>72e3td:=9ok:182M76<:1e=?;8:36`?xh69=kn6=4>{I3206=i9;?<6?:k;|l251ga290:wE?>429m57302;>n7p`>15`3>5<6sA;:8>5a1374>72a3td:=9l>:182M76<:1e=?;8:373?xh69=h96=4>{I3206=i9;?<6?;>;|l251d4290:wE?>429m57302;?97p`>15`7>5<6sA;:8>5a1374>7343td:=9l::182M76<:1e=?;8:377?xh69=h=6=4>{I3206=i9;?<6?;:;|l251d0290:wE?>429m57302;?=7p`>15`;>5<6sA;:8>5a1374>7303td:=9l6:182M76<:1e=?;8:37;?xh69=hj6=4>{I3206=i9;?<6?;6;|l251de290:wE?>429m57302;?j7p`>15``>5<6sA;:8>5a1374>73e3td:=9lk:182M76<:1e=?;8:37`?xh69=hn6=4>{I3206=i9;?<6?;k;|l251da290:wE?>429m57302;?n7p`>15a3>5<6sA;:8>5a1374>73a3td:=9m>:182M76<:1e=?;8:343?xh69=i96=4>{I3206=i9;?<6?8>;|l251e4290:wE?>429m57302;<97p`>15a7>5<6sA;:8>5a1374>7043td:=9m::182M76<:1e=?;8:347?xh69=i=6=4>{I3206=i9;?<6?8:;|l251e0290:wE?>429m57302;<=7p`>15a;>5<6sA;:8>5a1374>7003td:=9m6:182M76<:1e=?;8:34;?xh69=ij6=4>{I3206=i9;?<6?86;|l251ee290:wE?>429m57302;15a`>5<6sA;:8>5a1374>70e3td:=9mk:182M76<:1e=?;8:34`?xh69=in6=4>{I3206=i9;?<6?8k;|l251ea290:wE?>429m57302;15f3>5<6sA;:8>5a1374>70a3td:=9j>:182M76<:1e=?;8:353?xh69=n96=4>{I3206=i9;?<6?9>;|l251b4290:wE?>429m57302;=97p`>15f7>5<6sA;:8>5a1374>7143td:=9j::182M76<:1e=?;8:357?xh69=n=6=4>{I3206=i9;?<6?9:;|l251b0290:wE?>429m57302;==7p`>15f;>5<6sA;:8>5a1374>7103td:=9j6:182M76<:1e=?;8:35;?xh69=nj6=4>{I3206=i9;?<6?96;|l251be290:wE?>429m57302;=j7p`>15f`>5<6sA;:8>5a1374>71e3td:=9jk:182M76<:1e=?;8:35`?xh69=nn6=4>{I3206=i9;?<6?9k;|l251ba290:wE?>429m57302;=n7p`>15g3>5<6sA;:8>5Ff3095~4=uS2247:t9079=5d=?191==li:|l2601=:>l0qc?>4d394?7|@8;??6Gi238274}O98>87Dh=2;3x6?{]00218v7>5;;3f?1?;3;;nk4rn0063?4?92we=<:j3;295~N69=90Ek<=:0y1>x\?110?w4?::82a>2>428:ij7sa1374>7>53td:=9k;:182M76<:1Bj?<51z09y_>>03>p5<;591`93=5=99hm6p`>24596=58952968yk76h6:<=1>5;4}o320`1=83;pD4d:94?7|@8;??6`>24596=189529:8yk76h6:<=1>574}o320`d=83;pD4da94?7|@8;??6`>24596=d89529a8yk76h6:<=1>5j4}o320``=83;pD4g294?7|@8;??6`>24596=`8952828yk76h6:<=1>4?4}o320c5=83;pD4g694?7|@8;??6`>24596<58952868yk76h6:<=1>4;4}o320c1=83;pD4g:94?7|@8;??6`>24596<189528:8yk76h6:<=1>474}o320cd=83;pD4ga94?7|@8;??6`>2459689528a8yk76h6:<=1>4j4}o320c`=83;pD51294?7|@8;??6`>24596<`8952`28yk76=981<7?tH0377>h6:<=1>l?4}o32155=83;pD51694?7|@8;??6`>24596d58952`68yk76=9<1<7?tH0377>h6:<=1>l;4}o32151=83;pD51:94?7|@8;??6`>24596d18952`:8yk76=9k1<7?tH0377>h6:<=1>l74}o3215d=83;pD51a94?7|@8;??6`>24596dd8952`a8yk76=9o1<7?tH0377>h6:<=1>lj4}o3215`=83;pD50294?7|@8;??6`>24596d`8952c28yk76=881<7?tH0377>h6:<=1>o?4}o32145=83;pD50694?7|@8;??6`>24596g58952c68yk76=8<1<7?tH0377>h6:<=1>o;4}o32141=83;pD50:94?7|@8;??6`>24596g18952c:8yk76=8k1<7?tH0377>h6:<=1>o74}o3214d=83;pD50a94?7|@8;??6`>24596gd8952ca8yk76=8o1<7?tH0377>h6:<=1>oj4}o3214`=83;pD53294?7|@8;??6`>24596g`8952b28yk76=;81<7?tH0377>h6:<=1>n?4}o32175=83;pD53694?7|@8;??6`>24596f58952b68yk76=;<1<7?tH0377>h6:<=1>n;4}o32171=83;pD53:94?7|@8;??6`>24596f18952b:8yk76=;k1<7?tH0377>h6:<=1>n74}o3217d=83;pD53a94?7|@8;??6`>24596fd8952ba8yk76=;o1<7?tH0377>h6:<=1>nj4}o3217`=83;pD52294?7|@8;??6`>24596f`?50;3xL473;2d:>8952e28yk76=:81<7?tH0377>h6:<=1>i?4}o32165=83;pD52694?7|@8;??6`>24596a5;50;3xL473;2d:>8952e68yk76=:<1<7?tH0377>h6:<=1>i;4}o32161=83;pD52:94?7|@8;??6`>24596a1750;3xL473;2d:>8952e:8yk76=:k1<7?tH0377>h6:<=1>i74}o3216d=83;pD52a94?7|@8;??6`>24596adj50;3xL473;2d:>8952ea8yk76=:o1<7?tH0377>h6:<=1>ij4}o3216`=83;pD55294?7|@8;??6`>24596a`8952d28yk76==81<7?tH0377>h6:<=1>h?4}o32115=83;pD55694?7|@8;??6`>24596`58952d68yk76==<1<7?tH0377>h6:<=1>h;4}o32111=83;pD55:94?7|@8;??6`>24596`18952d:8yk76==k1<7?tH0377>h6:<=1>h74}o3211d=83;pD55a94?7|@8;??6`>24596`d8952da8yk76==o1<7?tH0377>h6:<=1>hj4}o3211`=83;pD54294?7|@8;??6`>24596``8952g28yk76=<81<7?tH0377>h6:<=1>k?4}o32105=83;pD54694?7|@8;??6`>24596c58952g68yk76=<<1<7?tH0377>h6:<=1>k;4}o32101=83;pD54:94?7|@8;??6`>24596c18952g:8yk76=h6:<=1>k74}o3210d=83;pD54a94?7|@8;??6`>24596cd8952ga8yk76=h6:<=1>kj4}o3210`=83;pD57294?7|@8;??6`>24596c`8953128yk76=?81<7?tH0377>h6:<=1?=?4}o32135=83;pD57694?7|@8;??6`>24597558953168yk76=?<1<7?tH0377>h6:<=1?=;4}o32131=83;pD57:94?7|@8;??6`>245975189531:8yk76=?k1<7?tH0377>h6:<=1?=74}o3213d=83;pD57a94?7|@8;??6`>245975d89531a8yk76=?o1<7?tH0377>h6:<=1?=j4}o3213`=83;pD56294?7|@8;??6`>245975`8953028yk76=>81<7?tH0377>h6:<=1?56694?7|@8;??6`>24597458953068yk76=><1<7?tH0377>h6:<=1?<;4}o32121=83;pD56:94?7|@8;??6`>245974189530:8yk76=>k1<7?tH0377>h6:<=1?<74}o3212d=83;pD56a94?7|@8;??6`>245974d89530a8yk76=>o1<7?tH0377>h6:<=1?59294?7|@8;??6`>245974`8953328yk76=181<7?tH0377>h6:<=1???4}o321=5=83;pD59694?7|@8;??6`>24597758953368yk76=1<1<7?tH0377>h6:<=1??;4}o321=1=83;pD59:94?7|@8;??6`>245977189533:8yk76=1k1<7?tH0377>h6:<=1??74}o321=d=83;pD59a94?7|@8;??6`>245977d89533a8yk76=1o1<7?tH0377>h6:<=1??j4}o321=`=83;pD58294?7|@8;??6`>245977`8953228yk76=081<7?tH0377>h6:<=1?>?4}o321<5=83;pD58694?7|@8;??6`>24597658953268yk76=0<1<7?tH0377>h6:<=1?>;4}o321<1=83;pD58:94?7|@8;??6`>245976189532:8yk76=0k1<7?tH0377>h6:<=1?>74}o32158a94?7|@8;??6`>245976d89532a8yk76=0o1<7?tH0377>h6:<=1?>j4}o321<`=83;pD5`294?7|@8;??6`>245976`8953528yk76=h81<7?tH0377>h6:<=1?9?4}o321d5=83;pD5`694?7|@8;??6`>24597158953568yk76=h<1<7?tH0377>h6:<=1?9;4}o321d1=83;pD5`:94?7|@8;??6`>245971189535:8yk76=hk1<7?tH0377>h6:<=1?974}o321dd=83;pD5`a94?7|@8;??6`>245971d89535a8yk76=ho1<7?tH0377>h6:<=1?9j4}o321d`=83;pD5c294?7|@8;??6`>245971`8953428yk76=k81<7?tH0377>h6:<=1?8?4}o321g5=83;pD5c694?7|@8;??6`>24597058953468yk76=k<1<7?tH0377>h6:<=1?8;4}o321g1=83;pD5c:94?7|@8;??6`>245970189534:8yk76=kk1<7?tH0377>h6:<=1?874}o321gd=83;pD5ca94?7|@8;??6`>245970d89534a8yk76=ko1<7?tH0377>h6:<=1?8j4}o321g`=83;pD5b294?7|@8;??6`>245970`8953728yk76=j81<7?tH0377>h6:<=1?;?4}o321f5=83;pD5b694?7|@8;??6`>24597358953768yk76=j<1<7?tH0377>h6:<=1?;;4}o321f1=83;pD5b:94?7|@8;??6`>245973189537:8yk76=jk1<7?tH0377>h6:<=1?;74}o321fd=83;pD5ba94?7|@8;??6`>245973d89537a8yk76=jo1<7?tH0377>h6:<=1?;j4}o321f`=83;pD5e294?7|@8;??6`>245973`8953628yk76=m81<7?tH0377>h6:<=1?:?4}o321a5=83;pD80qc?>5e694?7|@8;??6`>24597258953668yk76=m<1<7?tH0377>h6:<=1?:;4}o321a1=83;pD<0qc?>5e:94?7|@8;??6`>245972189536:8yk76=mk1<7?tH0377>h6:<=1?:74}o321ad=83;pDk0qc?>5ea94?7|@8;??6`>245972d89536a8yk76=mo1<7?tH0377>h6:<=1?:j4}o321a`=83;pDo0qc?>5d294?7|@8;??6`>245972`8953928yk76=l81<7?tH0377>h6:<=1?5?4}o321`5=83;pD5d694?7|@8;??6`>24597=58953968yk76=l<1<7?tH0377>h6:<=1?5;4}o321`1=83;pD5d:94?7|@8;??6`>24597=189539:8yk76=lk1<7?tH0377>h6:<=1?574}o321`d=83;pD5da94?7|@8;??6`>24597=d89539a8yk76=lo1<7?tH0377>h6:<=1?5j4}o321``=83;pD5g294?7|@8;??6`>24597=`8953828yk76=o81<7?tH0377>h6:<=1?4?4}o321c5=83;pD5g694?7|@8;??6`>24597<58953868yk76=o<1<7?tH0377>h6:<=1?4;4}o321c1=83;pD5g:94?7|@8;??6`>24597<189538:8yk76=ok1<7?tH0377>h6:<=1?474}o321cd=83;pD5ga94?7|@8;??6`>2459789538a8yk76=oo1<7?tH0377>h6:<=1?4j4}o321c`=83;pD61294?7|@8;??6`>24597<`8953`28yk76>981<7?tH0377>h6:<=1?l?4}o32255=83;pD61694?7|@8;??6`>24597d58953`68yk76>9<1<7?tH0377>h6:<=1?l;4}o32251=83;pD61:94?7|@8;??6`>24597d18953`:8yk76>9k1<7?tH0377>h6:<=1?l74}o3225d=83;pD61a94?7|@8;??6`>24597dd8953`a8yk76>9o1<7?tH0377>h6:<=1?lj4}o3225`=83;pD60294?7|@8;??6`>24597d`8953c28yk76>881<7?tH0377>h6:<=1?o?4}o32245=83;pD60694?7|@8;??6`>24597g58953c68yk76>8<1<7?tH0377>h6:<=1?o;4}o32241=83;pD60:94?7|@8;??6`>24597g18953c:8yk76>8k1<7?tH0377>h6:<=1?o74}o3224d=83;pD60a94?7|@8;??6`>24597gd8953ca8yk76>8o1<7?tH0377>h6:<=1?oj4}o3224`=83;pD63294?7|@8;??6`>24597g`8953b28yk76>;81<7?tH0377>h6:<=1?n?4}o32275=83;pD63694?7|@8;??6`>24597f58953b68yk76>;<1<7?tH0377>h6:<=1?n;4}o32271=83;pD63:94?7|@8;??6`>24597f18953b:8yk76>;k1<7?tH0377>h6:<=1?n74}o3227d=83;pD63a94?7|@8;??6`>24597fd8953ba8yk76>;o1<7?tH0377>h6:<=1?nj4}o3227`=83;pD62294?7|@8;??6`>24597f`?50;3xL473;2d:>8953e28yk76>:81<7?tH0377>h6:<=1?i?4}o32265=83;pD62694?7|@8;??6`>24597a5;50;3xL473;2d:>8953e68yk76>:<1<7?tH0377>h6:<=1?i;4}o32261=83;pD62:94?7|@8;??6`>24597a1750;3xL473;2d:>8953e:8yk76>:k1<7?tH0377>h6:<=1?i74}o3226d=83;pD62a94?7|@8;??6`>24597adj50;3xL473;2d:>8953ea8yk76>:o1<7?tH0377>h6:<=1?ij4}o3226`=83;pD65294?7|@8;??6`>24597a`8953d28yk76>=81<7?tH0377>h6:<=1?h?4}o32215=83;pD65694?7|@8;??6`>24597`58953d68yk76>=<1<7?tH0377>h6:<=1?h;4}o32211=83;pD65:94?7|@8;??6`>24597`18953d:8yk76>=k1<7?tH0377>h6:<=1?h74}o3221d=83;pD65a94?7|@8;??6`>24597`d8953da8yk76>=o1<7?tH0377>h6:<=1?hj4}o3221`=83;pD64294?7|@8;??6`>24597``8953g28yk76><81<7?tH0377>h6:<=1?k?4}o32205=83;pD64694?7|@8;??6`>24597c58953g68yk76><<1<7?tH0377>h6:<=1?k;4}o32201=83;pD64:94?7|@8;??6`>24597c18953g:8yk76>h6:<=1?k74}o3220d=83;pD64a94?7|@8;??6`>24597cd8953ga8yk76>h6:<=1?kj4}o3220`=83;pD67294?7|@8;??6`>24597c`8954128yk76>?81<7?tH0377>h6:<=18=?4}o32235=83;pD67694?7|@8;??6`>24590558954168yk76>?<1<7?tH0377>h6:<=18=;4}o32231=83;pD67:94?7|@8;??6`>245905189541:8yk76>?k1<7?tH0377>h6:<=18=74}o3223d=83;pD67a94?7|@8;??6`>245905d89541a8yk76>?o1<7?tH0377>h6:<=18=j4}o3223`=83;pD66294?7|@8;??6`>245905`8954028yk76>>81<7?tH0377>h6:<=1866694?7|@8;??6`>24590458954068yk76>><1<7?tH0377>h6:<=18<;4}o32221=83;pD66:94?7|@8;??6`>245904189540:8yk76>>k1<7?tH0377>h6:<=18<74}o3222d=83;pD66a94?7|@8;??6`>245904d89540a8yk76>>o1<7?tH0377>h6:<=1869294?7|@8;??6`>245904`8954328yk76>181<7?tH0377>h6:<=18??4}o322=5=83;pD69694?7|@8;??6`>24590758954368yk76>1<1<7?tH0377>h6:<=18?;4}o322=1=83;pD69:94?7|@8;??6`>245907189543:8yk76>1k1<7?tH0377>h6:<=18?74}o322=d=83;pD69a94?7|@8;??6`>245907d89543a8yk76>1o1<7?tH0377>h6:<=18?j4}o322=`=83;pD68294?7|@8;??6`>245907`8954228yk76>081<7?tH0377>h6:<=18>?4}o322<5=83;pD68694?7|@8;??6`>24590658954268yk76>0<1<7?tH0377>h6:<=18>;4}o322<1=83;pD68:94?7|@8;??6`>245906189542:8yk76>0k1<7?tH0377>h6:<=18>74}o32268a94?7|@8;??6`>245906d89542a8yk76>0o1<7?tH0377>h6:<=18>j4}o322<`=83;pD6`294?7|@8;??6`>245906`8954528yk76>h81<7?tH0377>h6:<=189?4}o322d5=83;pD6`694?7|@8;??6`>24590158954568yk76>h<1<7?tH0377>h6:<=189;4}o322d1=83;pD6`:94?7|@8;??6`>245901189545:8yk76>hk1<7?tH0377>h6:<=18974}o322dd=83;pD6`a94?7|@8;??6`>245901d89545a8yk76>ho1<7?tH0377>h6:<=189j4}o322d`=83;pD6c294?7|@8;??6`>245901`8954428yk76>k81<7?tH0377>h6:<=188?4}o322g5=83;pD6c694?7|@8;??6`>24590058954468yk76>k<1<7?tH0377>h6:<=188;4}o322g1=83;pD6c:94?7|@8;??6`>245900189544:8yk76>kk1<7?tH0377>h6:<=18874}o322gd=83;pD6ca94?7|@8;??6`>245900d89544a8yk76>ko1<7?tH0377>h6:<=188j4}o322g`=83;pD6b294?7|@8;??6`>245900`8954728yk76>j81<7?tH0377>h6:<=18;?4}o322f5=83;pD6b694?7|@8;??6`>24590358954768yk76>j<1<7?tH0377>h6:<=18;;4}o322f1=83;pD6b:94?7|@8;??6`>245903189547:8yk76>jk1<7?tH0377>h6:<=18;74}o322fd=83;pD6ba94?7|@8;??6`>245903d89547a8yk76>jo1<7?tH0377>h6:<=18;j4}o322f`=83;pD6e294?7|@8;??6`>245903`8954628yk76>m81<7?tH0377>h6:<=18:?4}o322a5=83;pD80qc?>6e694?7|@8;??6`>24590258954668yk76>m<1<7?tH0377>h6:<=18:;4}o322a1=83;pD<0qc?>6e:94?7|@8;??6`>245902189546:8yk76>mk1<7?tH0377>h6:<=18:74}o322ad=83;pDk0qc?>6ea94?7|@8;??6`>245902d89546a8yk76>mo1<7?tH0377>h6:<=18:j4}o322a`=83;pDo0qc?>6d294?7|@8;??6`>245902`8954928yk76>l81<7?tH0377>h6:<=185?4}o322`5=83;pD6d694?7|@8;??6`>24590=58954968yk76>l<1<7?tH0377>h6:<=185;4}o322`1=83;pD6d:94?7|@8;??6`>24590=189549:8yk76>lk1<7?tH0377>h6:<=18574}o322`d=83;pD6da94?7|@8;??6`>24590=d89549a8yk76>lo1<7?tH0377>h6:<=185j4}o322``=83;pD6g294?7|@8;??6`>24590=`8954828yk76>o81<7?tH0377>h6:<=184?4}o322c5=83;pD6g694?7|@8;??6`>24590<58954868yk76>o<1<7?tH0377>h6:<=184;4}o322c1=83;pD6g:94?7|@8;??6`>24590<189548:8yk76>ok1<7?tH0377>h6:<=18474}o322cd=83;pD6ga94?7|@8;??6`>2459089548a8yk76>oo1<7?tH0377>h6:<=184j4}o322c`=83;pD71294?7|@8;??6`>24590<`8954`28yk76?981<7?tH0377>h6:<=18l?4}o32355=83;pD71694?7|@8;??6`>24590d58954`68yk76?9<1<7?tH0377>h6:<=18l;4}o32351=83;pD71:94?7|@8;??6`>24590d18954`:8yk76?9k1<7?tH0377>h6:<=18l74}o3235d=83;pD71a94?7|@8;??6`>24590dd8954`a8yk76?9o1<7?tH0377>h6:<=18lj4}o3235`=83;pD70294?7|@8;??6`>24590d`8954c28yk76?881<7?tH0377>h6:<=18o?4}o32345=83;pD70694?7|@8;??6`>24590g58954c68yk76?8<1<7?tH0377>h6:<=18o;4}o32341=83;pD70:94?7|@8;??6`>24590g18954c:8yk76?8k1<7?tH0377>h6:<=18o74}o3234d=83;pD70a94?7|@8;??6`>24590gd8954ca8yk76?8o1<7?tH0377>h6:<=18oj4}o3234`=83;pD73294?7|@8;??6`>24590g`8954b28yk76?;81<7?tH0377>h6:<=18n?4}o32375=83;pD73694?7|@8;??6`>24590f58954b68yk76?;<1<7?tH0377>h6:<=18n;4}o32371=83;pD73:94?7|@8;??6`>24590f18954b:8yk76?;k1<7?tH0377>h6:<=18n74}o3237d=83;pD73a94?7|@8;??6`>24590fd8954ba8yk76?;o1<7?tH0377>h6:<=18nj4}o3237`=83;pD72294?7|@8;??6`>24590f`?50;3xL473;2d:>8954e28yk76?:81<7?tH0377>h6:<=18i?4}o32365=83;pD72694?7|@8;??6`>24590a5;50;3xL473;2d:>8954e68yk76?:<1<7?tH0377>h6:<=18i;4}o32361=83;pD72:94?7|@8;??6`>24590a1750;3xL473;2d:>8954e:8yk76?:k1<7?tH0377>h6:<=18i74}o3236d=83;pD72a94?7|@8;??6`>24590adj50;3xL473;2d:>8954ea8yk76?:o1<7?tH0377>h6:<=18ij4}o3236`=83;pD75294?7|@8;??6`>24590a`8954d28yk76?=81<7?tH0377>h6:<=18h?4}o32315=83;pD75694?7|@8;??6`>24590`58954d68yk76?=<1<7?tH0377>h6:<=18h;4}o32311=83;pD75:94?7|@8;??6`>24590`18954d:8yk76?=k1<7?tH0377>h6:<=18h74}o3231d=83;pD75a94?7|@8;??6`>24590`d8954da8yk76?=o1<7?tH0377>h6:<=18hj4}o3231`=83;pD74294?7|@8;??6`>24590``8954g28yk76?<81<7?tH0377>h6:<=18k?4}o32305=83;pD74694?7|@8;??6`>24590c58954g68yk76?<<1<7?tH0377>h6:<=18k;4}o32301=83;pD74:94?7|@8;??6`>24590c18954g:8yk76?h6:<=18k74}o3230d=83;pD74a94?7|@8;??6`>24590cd8954ga8yk76?h6:<=18kj4}o3230`=83;pD77294?7|@8;??6`>24590c`8955128yk76??81<7?tH0377>h6:<=19=?4}o32335=83;pD77694?7|@8;??6`>24591558955168yk76??<1<7?tH0377>h6:<=19=;4}o32331=83;pD77:94?7|@8;??6`>245915189551:8yk76??k1<7?tH0377>h6:<=19=74}o3233d=83;pD77a94?7|@8;??6`>245915d89551a8yk76??o1<7?tH0377>h6:<=19=j4}o3233`=83;pD76294?7|@8;??6`>245915`8955028yk76?>81<7?tH0377>h6:<=1976694?7|@8;??6`>24591458955068yk76?><1<7?tH0377>h6:<=19<;4}o32321=83;pD76:94?7|@8;??6`>245914189550:8yk76?>k1<7?tH0377>h6:<=19<74}o3232d=83;pD76a94?7|@8;??6`>245914d89550a8yk76?>o1<7?tH0377>h6:<=1979294?7|@8;??6`>245914`8955328yk76?181<7?tH0377>h6:<=19??4}o323=5=83;pD79695?7|@8;??6`>2459175<,88>=7=i1g9~j4700<0;60>>95rn034<3<728qC=<:<;o3112<2:<1vb4}O98>87c?=568663=zf8;<454?:0yK54243g;99:4:269~j470000;60>>55rn0344}O98>87c?=56866d=zf8;<4n4?:0yK54243g;99:4:2c9~j4700m0;60>>n5rn034<`<728qC=<:<;o3112<2:m1vb4}O98>87c?=56866`=zf8;<5=4?:0yK54243g;99:4:2g9~j470180;60>?=5rn034=7<728qC=<:<;o3112<2;81vb4}O98>87c?=568677=zf8;<594?:0yK54243g;99:4:329~j4701<0;60>?95rn034=3<728qC=<:<;o3112<2;<1vb4}O98>87c?=568673=zf8;<554?:0yK54243g;99:4:369~j470100;60>?55rn034=d<628qC=<:<;o3112<2;01vb4}O98>87c?=56867d=zf8;<5n4>:0yK54243g;99:4:3c9~j4701m0:60>?n5rn034=`<628qC=<:<;o3112<2;m1vb4}O98>87c?=56867`=zf8;:0yK54243g;99:4:3g9~j470i80:60>8=5rn034e7<628qC=<:<;o3112<2<81vb4}O98>87c?=568607=zf8;:0yK54243g;99:4:429~j470i<0:60>895rn034e3<628qC=<:<;o3112<2<<1vb4}O98>87c?=568603=zf8;:0yK54243g;99:4:469~j470i00:60>855rn034ed<628qC=<:<;o3112<2<01vb4}O98>87c?=56860d=zf8;:0yK54243g;99:4:4c9~j470im0:60>8n5rn034e`<628qC=<:<;o3112<24}O98>87c?=56860`=zf8;:0yK54243g;99:4:4g9~j470j80:60>9=5rn034f7<628qC=<:<;o3112<2=81vb4}O98>87c?=568617=zf8;:0yK54243g;99:4:529~j470j<0:60>995rn034f3<628qC=<:<;o3112<2=<1vb4}O98>87c?=568613=zf8;:0yK54243g;99:4:569~j470j00;6?uG1060?k75=>0>955rn034fd<72;qC=<:<;o3112<2=01vb7}O98>87c?=56861d=zf8;0>9n5rn034f`<72;qC=<:<;o3112<2=m1vb7}O98>87c?=56861`=zf8;0>:=5rn034g7<72;qC=<:<;o3112<2>81vb7}O98>87c?=568627=zf8;0>:95rn034g3<72;qC=<:<;o3112<2><1vb7}O98>87c?=568623=zf8;0>:55rn034gd<728qC=<:<;o3112<2>01vb47|@8;??6`>245913g89557`8yk76?jn1<7?tH0377>h6:<=19;m4}o323fc=83;pD7bd94?7|@8;??6`>245913c50;3xL473;2d:>89557d8yk76?m;1<7?tH0377>h6:<=19:>4}o323a4=83;pD;0qc?>7e194?7|@8;??6`>24591248955618yk76?m?1<7?tH0377>h6:<=19::4}o323a0=83;pD?0qc?>7e594?7|@8;??6`>24591208955658yk76?m31<7?tH0377>h6:<=19:64}o323ag=83;pD30qc?>7e`94?7|@8;??6`>245912g89556`8yk76?mn1<7?tH0377>h6:<=19:m4}o323ac=83;pDn0qc?>7ed94?7|@8;??6`>245912c50;3xL473;2d:>89556d8yk76?l;1<7?tH0377>h6:<=195>4}o323`4=83;pD7d194?7|@8;??6`>24591=48955918yk76?l?1<7?tH0377>h6:<=195:4}o323`0=83;pD7d594?7|@8;??6`>24591=08955958yk76?l31<7?tH0377>h6:<=19564}o323`g=83;pD7d`94?7|@8;??6`>24591=g89559`8yk76?ln1<7?tH0377>h6:<=195m4}o323`c=83;pD7dd94?7|@8;??6`>24591=c50;3xL473;2d:>89559d8yk76?o;1<7?tH0377>h6:<=194>4}o323c4=83;pD7g194?7|@8;??6`>24591<48955818yk76?o?1<7?tH0377>h6:<=194:4}o323c0=83;pD7g594?7|@8;??6`>24591<08955858yk76?o31<7?tH0377>h6:<=19464}o323cg=83;pD7g`94?7|@8;??6`>2459189558`8yk76?on1<7?tH0377>h6:<=194m4}o323cc=83;pD7gd94?7|@8;??6`>2459150;3xL473;2d:>89558d8yk7609;1<7?tH0377>h6:<=19l>4}o32<54=83;pD81194?7|@8;??6`>24591d48955`18yk7609?1<7?tH0377>h6:<=19l:4}o32<50=83;pD81594?7|@8;??6`>24591d08955`58yk760931<7?tH0377>h6:<=19l64}o32<5g=83;pD81`94?7|@8;??6`>24591dg8955``8yk7609n1<7?tH0377>h6:<=19lm4}o32<5c=83;pD81d94?7|@8;??6`>24591dc50;3xL473;2d:>8955`d8yk7608;1<7?tH0377>h6:<=19o>4}o32<44=83;pD80194?7|@8;??6`>24591g48955c18yk7608?1<7?tH0377>h6:<=19o:4}o32<40=83;pD80594?7|@8;??6`>24591g08955c58yk760831<7?tH0377>h6:<=19o64}o32<4g=83;pD80`94?7|@8;??6`>24591gg8955c`8yk7608n1<7?tH0377>h6:<=19om4}o32<4c=83;pD80d94?7|@8;??6`>24591gc50;3xL473;2d:>8955cd8yk760;;1<7?tH0377>h6:<=19n>4}o32<74=83;pD83194?7|@8;??6`>24591f48955b18yk760;?1<7?tH0377>h6:<=19n:4}o32<70=83;pD83594?7|@8;??6`>24591f08955b58yk760;31<7?tH0377>h6:<=19n64}o32<7g=83;pD83`94?7|@8;??6`>24591fg8955b`8yk760;n1<7?tH0377>h6:<=19nm4}o32<7c=83;pD83d94?7|@8;??6`>24591fc>50;3xL473;2d:>8955bd8yk760:;1<7?tH0377>h6:<=19i>4}o32<64=83;pD82194?7|@8;??6`>24591a4:50;3xL473;2d:>8955e18yk760:?1<7?tH0377>h6:<=19i:4}o32<60=83;pD82594?7|@8;??6`>24591a0650;3xL473;2d:>8955e58yk760:31<7?tH0377>h6:<=19i64}o32<6g=83;pD82`94?7|@8;??6`>24591agm50;3xL473;2d:>8955e`8yk760:n1<7?tH0377>h6:<=19im4}o32<6c=83;pD82d94?7|@8;??6`>24591ac50;3xL473;2d:>8955ed8yk760=;1<7?tH0377>h6:<=19h>4}o32<14=83;pD85194?7|@8;??6`>24591`48955d18yk760=?1<7?tH0377>h6:<=19h:4}o32<10=83;pD85594?7|@8;??6`>24591`08955d58yk760=31<7?tH0377>h6:<=19h64}o32<1g=83;pD85`94?7|@8;??6`>24591`g8955d`8yk760=n1<7?tH0377>h6:<=19hm4}o32<1c=83;pD85d94?7|@8;??6`>24591`c50;3xL473;2d:>8955dd8yk760<;1<7?tH0377>h6:<=19k>4}o32<04=83;pD84194?7|@8;??6`>24591c48955g18yk760h6:<=19k:4}o32<00=83;pD84594?7|@8;??6`>24591c08955g58yk760<31<7?tH0377>h6:<=19k64}o32<0g=83;pD84`94?7|@8;??6`>24591cg8955g`8yk760h6:<=19km4}o32<0c=83;pD84d94?7|@8;??6`>24591cc50;3xL473;2d:>8955gd8yk760?;1<7?tH0377>h6:<=1:=>4}o32<34=83;pD9;0qc?>87194?7|@8;??6`>24592548956118yk760??1<7?tH0377>h6:<=1:=:4}o32<30=83;pD9?0qc?>87594?7|@8;??6`>24592508956158yk760?31<7?tH0377>h6:<=1:=64}o32<3g=83;pD930qc?>87`94?7|@8;??6`>245925g89561`8yk760?n1<7?tH0377>h6:<=1:=m4}o32<3c=83;pD9n0qc?>87d94?7|@8;??6`>245925c50;3xL473;2d:>89561d8yk760>;1<7?tH0377>h6:<=1:<>4}o32<24=83;pD8;0qc?>86194?7|@8;??6`>24592448956018yk760>?1<7?tH0377>h6:<=1:<:4}o32<20=83;pD8?0qc?>86594?7|@8;??6`>24592408956058yk760>31<7?tH0377>h6:<=1:<64}o32<2g=83;pD830qc?>86`94?7|@8;??6`>245924g89560`8yk760>n1<7?tH0377>h6:<=1:8n0qc?>86d94?7|@8;??6`>245924c50;3xL473;2d:>89560d8yk7601;1<7?tH0377>h6:<=1:?>4}o32<=4=83;pD;;0qc?>89194?7|@8;??6`>24592748956318yk7601?1<7?tH0377>h6:<=1:?:4}o32<=0=83;pD;?0qc?>89594?7|@8;??6`>24592708956358yk760131<7?tH0377>h6:<=1:?64}o32<=g=83;pD;30qc?>89`94?7|@8;??6`>245927g89563`8yk7601n1<7?tH0377>h6:<=1:?m4}o32<=c=83;pD;n0qc?>89d94?7|@8;??6`>245927c50;3xL473;2d:>89563d8yk7600;1<7?tH0377>h6:<=1:>>4}o32<<4=83;pD:;0qc?>88194?7|@8;??6`>24592648956218yk7600?1<7?tH0377>h6:<=1:>:4}o32<<0=83;pD:?0qc?>88594?7|@8;??6`>24592608956258yk760031<7?tH0377>h6:<=1:>64}o32<:30qc?>88`94?7|@8;??6`>245926g89562`8yk7600n1<7?tH0377>h6:<=1:>m4}o32<:n0qc?>88d94?7|@8;??6`>245926c50;3xL473;2d:>89562d8yk760h;1<7?tH0377>h6:<=1:9>4}o32=;0qc?>8`194?7|@8;??6`>24592148956518yk760h?1<7?tH0377>h6:<=1:9:4}o32=?0qc?>8`594?7|@8;??6`>24592108956558yk760h31<7?tH0377>h6:<=1:964}o32=30qc?>8``94?7|@8;??6`>245921g89565`8yk760hn1<7?tH0377>h6:<=1:9m4}o32=n0qc?>8`d94?7|@8;??6`>245921c50;3xL473;2d:>89565d8yk760k;1<7?tH0377>h6:<=1:8>4}o32<;0qc?>8c194?7|@8;??6`>24592048956418yk760k?1<7?tH0377>h6:<=1:8:4}o328c594?7|@8;??6`>24592008956458yk760k31<7?tH0377>h6:<=1:864}o32<30qc?>8c`94?7|@8;??6`>245920g89564`8yk760kn1<7?tH0377>h6:<=1:8m4}o328cd94?7|@8;??6`>245920c50;3xL473;2d:>89564d8yk760j;1<7?tH0377>h6:<=1:;>4}o32?;0qc?>8b194?7|@8;??6`>24592348956718yk760j?1<7?tH0377>h6:<=1:;:4}o32??0qc?>8b594?7|@8;??6`>24592308956758yk760j31<7?tH0377>h6:<=1:;64}o32?30qc?>8b`94?7|@8;??6`>245923g89567`8yk760jn1<7?tH0377>h6:<=1:;m4}o32?n0qc?>8bd94?7|@8;??6`>245923c50;3xL473;2d:>89567d8yk760m;1<7?tH0377>h6:<=1::>4}o32>;0qc?>8e194?7|@8;??6`>24592248956618yk760m?1<7?tH0377>h6:<=1:::4}o32>?0qc?>8e594?7|@8;??6`>24592208956658yk760m31<7?tH0377>h6:<=1::64}o32>30qc?>8e`94?7|@8;??6`>245922g89566`8yk760mn1<7?tH0377>h6:<=1::m4}o32>n0qc?>8ed94?7|@8;??6`>245922c50;3xL473;2d:>89566d8yk760l;1<7?tH0377>h6:<=1:5>4}o32<`4=83;pD1;0qc?>8d194?7|@8;??6`>24592=48956918yk760l?1<7?tH0377>h6:<=1:5:4}o32<`0=83;pD1?0qc?>8d594?7|@8;??6`>24592=08956958yk760l31<7?tH0377>h6:<=1:564}o32<`g=83;pD130qc?>8d`94?7|@8;??6`>24592=g89569`8yk760ln1<7?tH0377>h6:<=1:5m4}o32<`c=83;pD1n0qc?>8dd94?7|@8;??6`>24592=c50;3xL473;2d:>89569d8yk760o;1<7?tH0377>h6:<=1:4>4}o320;0qc?>8g194?7|@8;??6`>24592<48956818yk760o?1<7?tH0377>h6:<=1:4:4}o320?0qc?>8g594?7|@8;??6`>24592<08956858yk760o31<7?tH0377>h6:<=1:464}o32030qc?>8g`94?7|@8;??6`>2459289568`8yk760on1<7?tH0377>h6:<=1:4m4}o320n0qc?>8gd94?7|@8;??6`>2459250;3xL473;2d:>89568d8yk7619;1<7?tH0377>h6:<=1:l>4}o32=54=83;pDh;0qc?>91194?7|@8;??6`>24592d48956`18yk7619?1<7?tH0377>h6:<=1:l:4}o32=50=83;pDh?0qc?>91594?7|@8;??6`>24592d08956`58yk761931<7?tH0377>h6:<=1:l64}o32=5g=83;pDh30qc?>91`94?7|@8;??6`>24592dg8956``8yk7619n1<7?tH0377>h6:<=1:lm4}o32=5c=83;pDhn0qc?>91d94?7|@8;??6`>24592dc50;3xL473;2d:>8956`d8yk7618;1<7?tH0377>h6:<=1:o>4}o32=44=83;pDk;0qc?>90194?7|@8;??6`>24592g48956c18yk7618?1<7?tH0377>h6:<=1:o:4}o32=40=83;pDk?0qc?>90594?7|@8;??6`>24592g08956c58yk761831<7?tH0377>h6:<=1:o64}o32=4g=83;pDk30qc?>90`94?7|@8;??6`>24592gg8956c`8yk7618n1<7?tH0377>h6:<=1:om4}o32=4c=83;pDkn0qc?>90d94?7|@8;??6`>24592gc50;3xL473;2d:>8956cd8yk761;;1<7?tH0377>h6:<=1:n>4}o32=74=83;pDj;0qc?>93194?7|@8;??6`>24592f48956b18yk761;?1<7?tH0377>h6:<=1:n:4}o32=70=83;pDj?0qc?>93594?7|@8;??6`>24592f08956b58yk761;31<7?tH0377>h6:<=1:n64}o32=7g=83;pDj30qc?>93`94?7|@8;??6`>24592fg8956b`8yk761;n1<7?tH0377>h6:<=1:nm4}o32=7c=83;pDjn0qc?>93d94?7|@8;??6`>24592fc>50;3xL473;2d:>8956bd8yk761:;1<7?tH0377>h6:<=1:i>4}o32=64=83;pDm;0qc?>92194?7|@8;??6`>24592a4:50;3xL473;2d:>8956e18yk761:?1<7?tH0377>h6:<=1:i:4}o32=60=83;pDm?0qc?>92594?7|@8;??6`>24592a0650;3xL473;2d:>8956e58yk761:31<7?tH0377>h6:<=1:i64}o32=6g=83;pDm30qc?>92`94?7|@8;??6`>24592agm50;3xL473;2d:>8956e`8yk761:n1<7?tH0377>h6:<=1:im4}o32=6c=83;pDmn0qc?>92d94?7|@8;??6`>24592ac50;3xL473;2d:>8956ed8yk761=;1<7?tH0377>h6:<=1:h>4}o32=14=83;pDl;0qc?>95194?7|@8;??6`>24592`48956d18yk761=?1<7?tH0377>h6:<=1:h:4}o32=10=83;pDl?0qc?>95594?7|@8;??6`>24592`08956d58yk761=31<7?tH0377>h6:<=1:h64}o32=1g=83;pDl30qc?>95`94?7|@8;??6`>24592`g8956d`8yk761=n1<7?tH0377>h6:<=1:hm4}o32=1c=83;pDln0qc?>95d94?7|@8;??6`>24592`c50;3xL473;2d:>8956dd8yk761<;1<7?tH0377>h6:<=1:k>4}o32=04=83;pDo;0qc?>94194?7|@8;??6`>24592c48956g18yk761h6:<=1:k:4}o32=00=83;pDo?0qc?>94594?7|@8;??6`>24592c08956g58yk761<31<7?tH0377>h6:<=1:k64}o32=0g=83;pDo30qc?>94`94?7|@8;??6`>24592cg8956g`8yk761h6:<=1:km4}o32=0c=83;pDon0qc?>94d94?7|@8;??6`>24592cc50;3xL473;2d:>8956gd8yk761?;1<7?tH0377>h6:<=1;=>4}o32=34=83;pD97194?7|@8;??6`>24593548957118yk761??1<7?tH0377>h6:<=1;=:4}o32=30=93;pD97595?7|@8;??6`>24593508957158yk761?31=7?tH0377>h6:<=1;=64}o32=3g=93;pD97`95?7|@8;??6`>245935g89571`8yk761?n1<7?tH0377>h6:<=1;=m4}o32=3c=83;pD97d94?7|@8;??6`>245935c50;3xL473;2d:>89571d8yk761>;1<7?tH0377>h6:<=1;<>4}o32=24=83;pD96194?7|@8;??6`>24593448957018yk761>?1<7?tH0377>h6:<=1;<:4}o32=20=83;pD96594?7|@8;??6`>24593408957058yk761>31<7?tH0377>h6:<=1;<64}o32=2g=83;pD96`94?7|@8;??6`>245934g89570`8yk761>n1<7?tH0377>h6:<=1;96d94?7|@8;??6`>245934c50;3xL473;2d:>89570d8yk7611;1<7?tH0377>h6:<=1;?>4}o32==4=83;pD99194?7|@8;??6`>24593748957318yk7611?1<7?tH0377>h6:<=1;?:4}o32==0=83;pD99594?7|@8;??6`>24593708957358yk761131<7?tH0377>h6:<=1;?64}o32==g=83;pD99`94?7|@8;??6`>245937g89573`8yk7611n1<7?tH0377>h6:<=1;?m4}o32==c=83;pD99d94?7|@8;??6`>245937c50;3xL473;2d:>89573d8yk7610;1<7?tH0377>h6:<=1;>>4}o32=<4=83;pD98194?7|@8;??6`>24593648957218yk7610?1<7?tH0377>h6:<=1;>:4}o32=<0=83;pD98594?7|@8;??6`>24593608957258yk761031<7?tH0377>h6:<=1;>64}o32=98`94?7|@8;??6`>245936g89572`8yk7610n1<7?tH0377>h6:<=1;>m4}o32=98d94?7|@8;??6`>245936c50;3xL473;2d:>89572d8yk761h;1<7?tH0377>h6:<=1;9>4}o32=d4=83;pD9`194?7|@8;??6`>24593148957518yk761h?1<7?tH0377>h6:<=1;9:4}o32=d0=83;pD9`594?7|@8;??6`>24593108957558yk761h31<7?tH0377>h6:<=1;964}o32=dg=83;pD9``94?7|@8;??6`>245931g89575`8yk761hn1<7?tH0377>h6:<=1;9m4}o32=dc=83;pD9`d94?7|@8;??6`>245931c50;3xL473;2d:>89575d8yk761k;1<7?tH0377>h6:<=1;8>4}o32=g4=83;pD9c194?7|@8;??6`>24593048957418yk761k?1<7?tH0377>h6:<=1;8:4}o32=g0=83;pD9c594?7|@8;??6`>24593008957458yk761k31<7?tH0377>h6:<=1;864}o32=gg=83;pD9c`94?7|@8;??6`>245930g89574`8yk761kn1<7?tH0377>h6:<=1;8m4}o32=gc=83;pD9cd94?7|@8;??6`>245930c50;3xL473;2d:>89574d8yk761j;1<7?tH0377>h6:<=1;;>4}o32=f4=83;pD9b194?7|@8;??6`>24593348957718yk761j?1<7?tH0377>h6:<=1;;:4}o32=f0=83;pD9b594?7|@8;??6`>24593308957758yk761j31<7?tH0377>h6:<=1;;64}o32=fg=83;pD9b`94?7|@8;??6`>245933g89577`8yk761jn1<7?tH0377>h6:<=1;;m4}o32=fc=83;pD9bd94?7|@8;??6`>245933c50;3xL473;2d:>89577d8yk761m;1<7?tH0377>h6:<=1;:>4}o32=a4=83;pD;0qc?>9e194?7|@8;??6`>24593248957618yk761m?1<7?tH0377>h6:<=1;::4}o32=a0=83;pD?0qc?>9e594?7|@8;??6`>24593208957658yk761m31<7?tH0377>h6:<=1;:64}o32=ag=83;pD30qc?>9e`94?7|@8;??6`>245932g89576`8yk761mn1<7?tH0377>h6:<=1;:m4}o32=ac=83;pDn0qc?>9ed94?7|@8;??6`>245932c50;3xL473;2d:>89576d8yk761l;1<7?tH0377>h6:<=1;5>4}o32=`4=83;pD9d194?7|@8;??6`>24593=48957918yk761l?1<7?tH0377>h6:<=1;5:4}o32=`0=83;pD9d594?7|@8;??6`>24593=08957958yk761l31<7?tH0377>h6:<=1;564}o32=`g=83;pD9d`94?7|@8;??6`>24593=g89579`8yk761ln1<7?tH0377>h6:<=1;5m4}o32=`c=83;pD9dd94?7|@8;??6`>24593=c50;3xL473;2d:>89579d8yk761o;1<7?tH0377>h6:<=1;4>4}o32=c4=83;pD9g194?7|@8;??6`>24593<48957818yk761o?1<7?tH0377>h6:<=1;4:4}o32=c0=83;pD9g594?7|@8;??6`>24593<08957858yk761o31<7?tH0377>h6:<=1;464}o32=cg=83;pD9g`94?7|@8;??6`>2459389578`8yk761on1<7?tH0377>h6:<=1;4m4}o32=cc=83;pD9gd94?7|@8;??6`>2459350;3xL473;2d:>89578d8yk76i9;1<7?tH0377>h6:<=1;l>4}o32e54=83;pDa1194?7|@8;??6`>24593d48957`18yk76i9?1<7?tH0377>h6:<=1;l:4}o32e50=83;pDa1594?7|@8;??6`>24593d08957`58yk76i931<7?tH0377>h6:<=1;l64}o32e5g=83;pDa1`94?7|@8;??6`>24593dg8957``8yk76i9n1<7?tH0377>h6:<=1;lm4}o32e5c=83;pDa1d94?7|@8;??6`>24593dc50;3xL473;2d:>8957`d8yk76i8;1<7?tH0377>h6:<=1;o>4}o32e44=83;pDa0194?7|@8;??6`>24593g48957c18yk76i8?1<7?tH0377>h6:<=1;o:4}o32e40=83;pDa0594?7|@8;??6`>24593g08957c58yk76i831<7?tH0377>h6:<=1;o64}o32e4g=83;pDa0`94?7|@8;??6`>24593gg8957c`8yk76i8n1<7?tH0377>h6:<=1;om4}o32e4c=83;pDa0d94?7|@8;??6`>24593gc50;3xL473;2d:>8957cd8yk76i;;1<7?tH0377>h6:<=1;n>4}o32e74=83;pDa3194?7|@8;??6`>24593f48957b18yk76i;?1<7?tH0377>h6:<=1;n:4}o32e70=83;pDa3594?7|@8;??6`>24593f08957b58yk76i;31<7?tH0377>h6:<=1;n64}o32e7g=83;pDa3`94?7|@8;??6`>24593fg8957b`8yk76i;n1<7?tH0377>h6:<=1;nm4}o32e7c=83;pDa3d94?7|@8;??6`>24593fc>50;3xL473;2d:>8957bd8yk76i:;1<7?tH0377>h6:<=1;i>4}o32e64=83;pDa2194?7|@8;??6`>24593a4:50;3xL473;2d:>8957e18yk76i:?1<7?tH0377>h6:<=1;i:4}o32e60=83;pDa2594?7|@8;??6`>24593a0650;3xL473;2d:>8957e58yk76i:31<7?tH0377>h6:<=1;i64}o32e6g=83;pDa2`94?7|@8;??6`>24593agm50;3xL473;2d:>8957e`8yk76i:n1<7?tH0377>h6:<=1;im4}o32e6c=83;pDa2d94?7|@8;??6`>24593ac50;3xL473;2d:>8957ed8yk76i=;1<7?tH0377>h6:<=1;h>4}o32e14=83;pDa5194?7|@8;??6`>24593`48957d18yk76i=?1<7?tH0377>h6:<=1;h:4}o32e10=83;pDa5594?7|@8;??6`>24593`08957d58yk76i=31<7?tH0377>h6:<=1;h64}o32e1g=83;pDa5`94?7|@8;??6`>24593`g8957d`8yk76i=n1<7?tH0377>h6:<=1;hm4}o32e1c=83;pDa5d94?7|@8;??6`>24593`c50;3xL473;2d:>8957dd8yk76i<;1<7?tH0377>h6:<=1;k>4}o32e04=83;pDa4194?7|@8;??6`>24593c48957g18yk76ih6:<=1;k:4}o32e00=83;pDa4594?7|@8;??6`>24593c08957g58yk76i<31<7?tH0377>h6:<=1;k64}o32e0g=83;pDa4`94?7|@8;??6`>24593cg8957g`8yk76ih6:<=1;km4}o32e0c=83;pDa4d94?7|@8;??6`>24593cc50;3xL473;2d:>8957gd8yk76i?;1<7?tH0377>h6:<=14=>4}o32e34=83;pDa7194?7|@8;??6`>2459<548958118yk76i??1<7?tH0377>h6:<=14=:4}o32e30=83;pDa7594?7|@8;??6`>2459<508958158yk76i?31<7?tH0377>h6:<=14=64}o32e3g=83;pDa7`94?7|@8;??6`>2459<5g89581`8yk76i?n1<7?tH0377>h6:<=14=m4}o32e3c=83;pDa7d94?7|@8;??6`>2459<5c50;3xL473;2d:>89581d8yk76i>;1<7?tH0377>h6:<=14<>4}o32e24=83;pDa6194?7|@8;??6`>2459<448958018yk76i>?1<7?tH0377>h6:<=14<:4}o32e20=83;pDa6594?7|@8;??6`>2459<408958058yk76i>31<7?tH0377>h6:<=14<64}o32e2g=83;pDa6`94?7|@8;??6`>2459<4g89580`8yk76i>n1<7?tH0377>h6:<=14a6d94?7|@8;??6`>2459<4c50;3xL473;2d:>89580d8yk76i1;1<7?tH0377>h6:<=14?>4}o32e=4=83;pDa9194?7|@8;??6`>2459<748958318yk76i1?1<7?tH0377>h6:<=14?:4}o32e=0=83;pDa9594?7|@8;??6`>2459<708958358yk76i131<7?tH0377>h6:<=14?64}o32e=g=83;pDa9`94?7|@8;??6`>2459<7g89583`8yk76i1n1<7?tH0377>h6:<=14?m4}o32e=c=83;pDa9d94?7|@8;??6`>2459<7c50;3xL473;2d:>89583d8yk76i0;1<7?tH0377>h6:<=14>>4}o32e<4=83;pDa8194?7|@8;??6`>2459<648958218yk76i0?1<7?tH0377>h6:<=14>:4}o32e<0=83;pDa8594?7|@8;??6`>2459<608958258yk76i031<7?tH0377>h6:<=14>64}o32ea8`94?7|@8;??6`>2459<6g89582`8yk76i0n1<7?tH0377>h6:<=14>m4}o32ea8d94?7|@8;??6`>2459<6c50;3xL473;2d:>89582d8yk76ih;1<7?tH0377>h6:<=149>4}o32ed4=83;pDa`194?7|@8;??6`>2459<148958518yk76ih?1<7?tH0377>h6:<=149:4}o32ed0=83;pDa`594?7|@8;??6`>2459<108958558yk76ih31<7?tH0377>h6:<=14964}o32edg=83;pDa``94?7|@8;??6`>2459<1g89585`8yk76ihn1<7?tH0377>h6:<=149m4}o32edc=83;pDa`d94?7|@8;??6`>2459<1c50;3xL473;2d:>89585d8yk76ik;1<7?tH0377>h6:<=148>4}o32eg4=83;pDac194?7|@8;??6`>2459<048958418yk76ik?1<7?tH0377>h6:<=148:4}o32eg0=83;pDac594?7|@8;??6`>2459<008958458yk76ik31<7?tH0377>h6:<=14864}o32egg=83;pDac`94?7|@8;??6`>2459<0g89584`8yk76ikn1<7?tH0377>h6:<=148m4}o32egc=83;pDacd94?7|@8;??6`>2459<0c50;3xL473;2d:>89584d8yk76ij;1<7?tH0377>h6:<=14;>4}o32ef4=83;pDab194?7|@8;??6`>2459<348958718yk76ij?1<7?tH0377>h6:<=14;:4}o32ef0=83;pDab594?7|@8;??6`>2459<308958758yk76ij31<7?tH0377>h6:<=14;64}o32efg=83;pDab`94?7|@8;??6`>2459<3g89587`8yk76ijn1<7?tH0377>h6:<=14;m4}o32efc=83;pDabd94?7|@8;??6`>2459<3c50;3xL473;2d:>89587d8yk76im;1<7?tH0377>h6:<=14:>4}o32ea4=83;pD;0qc?>ae194?7|@8;??6`>2459<248958618yk76im?1<7?tH0377>h6:<=14::4}o32ea0=83;pD?0qc?>ae594?7|@8;??6`>2459<208958658yk76im31<7?tH0377>h6:<=14:64}o32eag=83;pD30qc?>ae`94?7|@8;??6`>2459<2g89586`8yk76imn1<7?tH0377>h6:<=14:m4}o32eac=83;pDn0qc?>aed94?7|@8;??6`>2459<2c50;3xL473;2d:>89586d8yk76il;1<7?tH0377>h6:<=145>4}o32e`4=83;pDad194?7|@8;??6`>2459<=48958918yk76il?1<7?tH0377>h6:<=145:4}o32e`0=83;pDad594?7|@8;??6`>2459<=08958958yk76il31<7?tH0377>h6:<=14564}o32e`g=83;pDad`94?7|@8;??6`>2459<=g89589`8yk76iln1<7?tH0377>h6:<=145m4}o32e`c=83;pDadd94?7|@8;??6`>2459<=c50;3xL473;2d:>89589d8yk76io;1<7?tH0377>h6:<=144>4}o32ec4=83;pDag194?7|@8;??6`>2459<<48958818yk76io?1<7?tH0377>h6:<=144:4}o32ec0=83;pDag594?7|@8;??6`>2459<<08958858yk76io31<7?tH0377>h6:<=14464}o32ecg=83;pDag`94?7|@8;??6`>2459<89588`8yk76ion1<7?tH0377>h6:<=144m4}o32ecc=83;pDagd94?7|@8;??6`>2459<50;3xL473;2d:>89588d8yk76j9;1<7?tH0377>h6:<=14l>4}o32f54=83;pDb1194?7|@8;??6`>24598958`18yk76j9?1<7?tH0377>h6:<=14l:4}o32f50=83;pDb1594?7|@8;??6`>24598958`58yk76j931<7?tH0377>h6:<=14l64}o32f5g=83;pDb1`94?7|@8;??6`>24598958``8yk76j9n1<7?tH0377>h6:<=14lm4}o32f5c=83;pDb1d94?7|@8;??6`>245950;3xL473;2d:>8958`d8yk76j8;1<7?tH0377>h6:<=14o>4}o32f44=83;pDb0194?7|@8;??6`>24598958c18yk76j8?1<7?tH0377>h6:<=14o:4}o32f40=83;pDb0594?7|@8;??6`>24598958c58yk76j831<7?tH0377>h6:<=14o64}o32f4g=83;pDb0`94?7|@8;??6`>24598958c`8yk76j8n1<7?tH0377>h6:<=14om4}o32f4c=83;pDb0d94?7|@8;??6`>245950;3xL473;2d:>8958cd8yk76j;;1<7?tH0377>h6:<=14n>4}o32f74=83;pDb3194?7|@8;??6`>24598958b18yk76j;?1<7?tH0377>h6:<=14n:4}o32f70=83;pDb3594?7|@8;??6`>24598958b58yk76j;31<7?tH0377>h6:<=14n64}o32f7g=83;pDb3`94?7|@8;??6`>24598958b`8yk76j;n1<7?tH0377>h6:<=14nm4}o32f7c=83;pDb3d94?7|@8;??6`>2459>50;3xL473;2d:>8958bd8yk76j:;1<7?tH0377>h6:<=14i>4}o32f64=83;pDb2194?7|@8;??6`>2459:50;3xL473;2d:>8958e18yk76j:?1<7?tH0377>h6:<=14i:4}o32f60=83;pDb2594?7|@8;??6`>2459650;3xL473;2d:>8958e58yk76j:31<7?tH0377>h6:<=14i64}o32f6g=83;pDb2`94?7|@8;??6`>2459m50;3xL473;2d:>8958e`8yk76j:n1<7?tH0377>h6:<=14im4}o32f6c=83;pDb2d94?7|@8;??6`>245950;3xL473;2d:>8958ed8yk76j=;1<7?tH0377>h6:<=14h>4}o32f14=83;pDb5194?7|@8;??6`>2459<`48958d18yk76j=?1<7?tH0377>h6:<=14h:4}o32f10=83;pDb5594?7|@8;??6`>2459<`08958d58yk76j=31<7?tH0377>h6:<=14h64}o32f1g=83;pDb5`94?7|@8;??6`>2459<`g8958d`8yk76j=n1<7?tH0377>h6:<=14hm4}o32f1c=83;pDb5d94?7|@8;??6`>2459<`c50;3xL473;2d:>8958dd8yk76j<;1<7?tH0377>h6:<=14k>4}o32f04=83;pDb4194?7|@8;??6`>24598958g18yk76jh6:<=14k:4}o32f00=83;pDb4594?7|@8;??6`>24598958g58yk76j<31<7?tH0377>h6:<=14k64}o32f0g=83;pDb4`94?7|@8;??6`>24598958g`8yk76jh6:<=14km4}o32f0c=83;pDb4d94?7|@8;??6`>245950;3xL473;2d:>8958gd8yk76j?;1<7?tH0377>h6:<=15=>4}o32f34=83;pDb7194?7|@8;??6`>2459=548959118yk76j??1<7?tH0377>h6:<=15=:4}o32f30=83;pDb7594?7|@8;??6`>2459=508959158yk76j?31<7?tH0377>h6:<=15=64}o32f3g=83;pDb7`94?7|@8;??6`>2459=5g89591`8yk76j?n1<7?tH0377>h6:<=15=m4}o32f3c=83;pDb7d94?7|@8;??6`>2459=5c50;3xL473;2d:>89591d8yk76j>;1<7?tH0377>h6:<=15<>4}o32f24=83;pDb6194?7|@8;??6`>2459=448959018yk76j>?1<7?tH0377>h6:<=15<:4}o32f20=83;pDb6594?7|@8;??6`>2459=408959058yk76j>31<7?tH0377>h6:<=15<64}o32f2g=83;pDb6`94?7|@8;??6`>2459=4g89590`8yk76j>n1<7?tH0377>h6:<=15b6d94?7|@8;??6`>2459=4c50;3xL473;2d:>89590d8yk76j1;1<7?tH0377>h6:<=15?>4}o32f=4=83;pDb9194?7|@8;??6`>2459=748959318yk76j1?1<7?tH0377>h6:<=15?:4}o32f=0=83;pDb9594?7|@8;??6`>2459=708959358yk76j131<7?tH0377>h6:<=15?64}o32f=g=83;pDb9`94?7|@8;??6`>2459=7g89593`8yk76j1n1<7?tH0377>h6:<=15?m4}o32f=c=83;pDb9d94?7|@8;??6`>2459=7c50;3xL473;2d:>89593d8yk76j0;1<7?tH0377>h6:<=15>>4}o32f<4=83;pDb8194?7|@8;??6`>2459=648959218yk76j0?1<7?tH0377>h6:<=15>:4}o32f<0=83;pDb8594?7|@8;??6`>2459=608959258yk76j031<7?tH0377>h6:<=15>64}o32fb8`94?7|@8;??6`>2459=6g89592`8yk76j0n1<7?tH0377>h6:<=15>m4}o32fb8d94?7|@8;??6`>2459=6c50;3xL473;2d:>89592d8yk76jh;1<7?tH0377>h6:<=159>4}o32fd4=83;pDb`194?7|@8;??6`>2459=148959518yk76jh?1<7?tH0377>h6:<=159:4}o32fd0=83;pDb`594?7|@8;??6`>2459=108959558yk76jh31<7?tH0377>h6:<=15964}o32fdg=83;pDb``94?7|@8;??6`>2459=1g89595`8yk76jhn1<7?tH0377>h6:<=159m4}o32fdc=83;pDb`d94?7|@8;??6`>2459=1c50;3xL473;2d:>89595d8yk76jk;1<7?tH0377>h6:<=158>4}o32fg4=83;pDbc194?7|@8;??6`>2459=048959418yk76jk?1<7?tH0377>h6:<=158:4}o32fg0=83;pDbc594?7|@8;??6`>2459=008959458yk76jk31<7?tH0377>h6:<=15864}o32fgg=83;pDbc`94?7|@8;??6`>2459=0g89594`8yk76jkn1<7?tH0377>h6:<=158m4}o32fgc=83;pDbcd94?7|@8;??6`>2459=0c50;3xL473;2d:>89594d8yk76jj;1<7?tH0377>h6:<=15;>4}o32ff4=83;pDbb194?7|@8;??6`>2459=348959718yk76jj?1<7?tH0377>h6:<=15;:4}o32ff0=83;pDbb594?7|@8;??6`>2459=308959758yk76jj31<7?tH0377>h6:<=15;64}o32ffg=83;pDbb`94?7|@8;??6`>2459=3g89597`8yk76jjn1<7?tH0377>h6:<=15;m4}o32ffc=83;pDbbd94?7|@8;??6`>2459=3c50;3xL473;2d:>89597d8yk76jm;1<7?tH0377>h6:<=15:>4}o32fa4=83;pD;0qc?>be194?7|@8;??6`>2459=248959618yk76jm?1<7?tH0377>h6:<=15::4}o32fa0=83;pD?0qc?>be594?7|@8;??6`>2459=208959658yk76jm31<7?tH0377>h6:<=15:64}o32fag=83;pD30qc?>be`94?7|@8;??6`>2459=2g89596`8yk76jmn1<7?tH0377>h6:<=15:m4}o32fac=83;pDn0qc?>bed94?7|@8;??6`>2459=2c50;3xL473;2d:>89596d8yk76jl;1<7?tH0377>h6:<=155>4}o32f`4=83;pDbd194?7|@8;??6`>2459==48959918yk76jl?1<7?tH0377>h6:<=155:4}o32f`0=83;pDbd594?7|@8;??6`>2459==08959958yk76jl31<7?tH0377>h6:<=15564}o32f`g=83;pDbd`94?7|@8;??6`>2459==g89599`8yk76jln1<7?tH0377>h6:<=155m4}o32f`c=83;pDbdd94?7|@8;??6`>2459==c50;3xL473;2d:>89599d8yk76jo;1<7?tH0377>h6:<=154>4}o32fc4=83;pDbg194?7|@8;??6`>2459=<48959818yk76jo?1<7?tH0377>h6:<=154:4}o32fc0=83;pDbg594?7|@8;??6`>2459=<08959858yk76jo31<7?tH0377>h6:<=15464}o32fcg=83;pDbg`94?7|@8;??6`>2459=89598`8yk76jon1<7?tH0377>h6:<=154m4}o32fcc=83;pDbgd94?7|@8;??6`>2459=50;3xL473;2d:>89598d8yk76k9;1<7?tH0377>h6:<=15l>4}o32g54=83;pDc1194?7|@8;??6`>2459=d48959`18yk76k9?1<7?tH0377>h6:<=15l:4}o32g50=83;pDc1594?7|@8;??6`>2459=d08959`58yk76k931<7?tH0377>h6:<=15l64}o32g5g=83;pDc1`94?7|@8;??6`>2459=dg8959``8yk76k9n1<7?tH0377>h6:<=15lm4}o32g5c=83;pDc1d94?7|@8;??6`>2459=dc50;3xL473;2d:>8959`d8yk76k8;1<7?tH0377>h6:<=15o>4}o32g44=83;pDc0194?7|@8;??6`>2459=g48959c18yk76k8?1<7?tH0377>h6:<=15o:4}o32g40=83;pDc0594?7|@8;??6`>2459=g08959c58yk76k831<7?tH0377>h6:<=15o64}o32g4g=83;pDc0`94?7|@8;??6`>2459=gg8959c`8yk76k8n1<7?tH0377>h6:<=15om4}o32g4c=83;pDc0d94?7|@8;??6`>2459=gc50;3xL473;2d:>8959cd8yk76k;;1<7?tH0377>h6:<=15n>4}o32g74=83;pDc3194?7|@8;??6`>2459=f48959b18yk76k;?1<7?tH0377>h6:<=15n:4}o32g70=83;pDc3594?7|@8;??6`>2459=f08959b58yk76k;31=7?tH0377>h6:<=15n64}o32g7g=93;pDc3`95?7|@8;??6`>2459=fg8959b`8yk76k;n1=7?tH0377>h6:<=15nm4}o32g7c=83;pDc3d94?7|@8;??6`>2459=fc>50;3xL473;2d:>8959bd8yk76k:;1<7?tH0377>h6:<=15i>4}o32g64=83;pDc2194?7|@8;??6`>2459=a4:50;3xL473;2d:>8959e18yk76k:?1<7?tH0377>h6:<=15i:4}o32g60=83;pDc2594?7|@8;??6`>2459=a0650;3xL473;2d:>8959e58yk76k:31<7?tH0377>h6:<=15i64}o32g6g=83;pDc2`94?7|@8;??6`>2459=agm50;3xL473;2d:>8959e`8yk76k:n1<7?tH0377>h6:<=15im4}o32g6c=83;pDc2d94?7|@8;??6`>2459=ac50;3xL473;2d:>8959ed8yk76k=;1<7?tH0377>h6:<=15h>4}o32g14=83;pDc5194?7|@8;??6`>2459=`48959d18yk76k=?1<7?tH0377>h6:<=15h:4}o32g10=83;pDc5594?7|@8;??6`>2459=`08959d58yk76k=31<7?tH0377>h6:<=15h64}o32g1g=83;pDc5`94?7|@8;??6`>2459=`g8959d`8yk76k=n1<7?tH0377>h6:<=15hm4}o32g1c=83;pDc5d94?7|@8;??6`>2459=`c50;3xL473;2d:>8959dd8yk76k<;1=7?tH0377>h6:<=15k>4}o32g04=93;pDc4195?7|@8;??6`>2459=c48959g18yk76kh6:<=15k:4}o32g00=93;pDc4595?7|@8;??6`>2459=c08959g58yk76k<31=7?tH0377>h6:<=15k64}o32g0g=93;pDc4`95?7|@8;??6`>2459=cg8959g`8yk76kh6:<=15km4}o32g0c=93;pDc4d95?7|@8;??6`>2459=cc51;3xL473;2d:>8959gd8yk76k?;1=7?tH0377>h6:<=1m=>4}o32g34=93;pDc7195?7|@8;??6`>2459e54895a118yk76k??1=7?tH0377>h6:<=1m=:4}o32g30=93;pDc7594?7|@8;??6`>2459e50895a158yk76k?31<7?tH0377>h6:<=1m=64}o32g3g=83;pDc7`94?7|@8;??6`>2459e5g895a1`8yk76k?n1<7?tH0377>h6:<=1m=m4}o32g3c=83;pDc7d94?7|@8;??6`>2459e5c50;3xL473;2d:>895a1d8yk76k>;1<7?tH0377>h6:<=1m<>4}o32g24=83;pDc6194?7|@8;??6`>2459e44895a018yk76k>?1<7?tH0377>h6:<=1m<:4}o32g20=83;pDc6594?7|@8;??6`>2459e40895a058yk76k>31<7?tH0377>h6:<=1m<64}o32g2g=83;pDc6`94?7|@8;??6`>2459e4g895a0`8yk76k>n1<7?tH0377>h6:<=1mc6d94?7|@8;??6`>2459e4c50;3xL473;2d:>895a0d8yk76k1;1<7?tH0377>h6:<=1m?>4}o32g=4=83;pDc9194?7|@8;??6`>2459e74895a318yk76k1?1<7?tH0377>h6:<=1m?:4}o32g=0=83;pDc9594?7|@8;??6`>2459e70895a358yk76k131<7?tH0377>h6:<=1m?64}o32g=g=83;pDc9`94?7|@8;??6`>2459e7g895a3`8yk76k1n1<7?tH0377>h6:<=1m?m4}o32g=c=83;pDc9d94?7|@8;??6`>2459e7c50;3xL473;2d:>895a3d8yk76k0;1<7?tH0377>h6:<=1m>>4}o32g<4=83;pDc8194?7|@8;??6`>2459e64895a218yk76k0?1<7?tH0377>h6:<=1m>:4}o32g<0=83;pDc8594?7|@8;??6`>2459e60895a258yk76k031<7?tH0377>h6:<=1m>64}o32gc8`94?7|@8;??6`>2459e6g895a2`8yk76k0n1<7?tH0377>h6:<=1m>m4}o32gc8d94?7|@8;??6`>2459e6c50;3xL473;2d:>895a2d8yk76kh;1<7?tH0377>h6:<=1m9>4}o32gd4=83;pDc`194?7|@8;??6`>2459e14895a518yk76kh?1<7?tH0377>h6:<=1m9:4}o32gd0=83;pDc`594?7|@8;??6`>2459e10895a558yk76kh31<7?tH0377>h6:<=1m964}o32gdg=83;pDc``94?7|@8;??6`>2459e1g895a5`8yk76khn1<7?tH0377>h6:<=1m9m4}o32gdc=83;pDc`d94?7|@8;??6`>2459e1c50;3xL473;2d:>895a5d8yk76kk;1<7?tH0377>h6:<=1m8>4}o32gg4=83;pDcc194?7|@8;??6`>2459e04895a418yk76kk?1<7?tH0377>h6:<=1m8:4}o32gg0=83;pDcc594?7|@8;??6`>2459e00895a458yk76kk31<7?tH0377>h6:<=1m864}o32ggg=83;pDcc`94?7|@8;??6`>2459e0g895a4`8yk76kkn1<7?tH0377>h6:<=1m8m4}o32ggc=83;pDccd94?7|@8;??6`>2459e0c50;3xL473;2d:>895a4d8yk76kj;1<7?tH0377>h6:<=1m;>4}o32gf4=83;pDcb194?7|@8;??6`>2459e34895a718yk76kj?1<7?tH0377>h6:<=1m;:4}o32gf0=83;pDcb594?7|@8;??6`>2459e30895a758yk76kj31<7?tH0377>h6:<=1m;64}o32gfg=83;pDcb`94?7|@8;??6`>2459e3g895a7`8yk76kjn1<7?tH0377>h6:<=1m;m4}o32gfc=83;pDcbd94?7|@8;??6`>2459e3c50;3xL473;2d:>895a7d8yk76km;1<7?tH0377>h6:<=1m:>4}o32ga4=83;pD;0qc?>ce194?7|@8;??6`>2459e24895a618yk76km?1<7?tH0377>h6:<=1m::4}o32ga0=83;pD?0qc?>ce594?7|@8;??6`>2459e20895a658yk76km31<7?tH0377>h6:<=1m:64}o32gag=83;pD30qc?>ce`94?7|@8;??6`>2459e2g895a6`8yk76kmn1<7?tH0377>h6:<=1m:m4}o32gac=83;pDn0qc?>ced94?7|@8;??6`>2459e2c50;3xL473;2d:>895a6d8yk76kl;1<7?tH0377>h6:<=1m5>4}o32g`4=83;pDcd194?7|@8;??6`>2459e=4895a918yk76kl?1<7?tH0377>h6:<=1m5:4}o32g`0=83;pDcd594?7|@8;??6`>2459e=0895a958yk76kl31<7?tH0377>h6:<=1m564}o32g`g=83;pDcd`94?7|@8;??6`>2459e=g895a9`8yk76kln1<7?tH0377>h6:<=1m5m4}o32g`c=83;pDcdd94?7|@8;??6`>2459e=c50;3xL473;2d:>895a9d8yk76ko;1<7?tH0377>h6:<=1m4>4}o32gc4=83;pDcg194?7|@8;??6`>2459e<4895a818yk76ko?1<7?tH0377>h6:<=1m4:4}o32gc0=83;pDcg594?7|@8;??6`>2459e<0895a858yk76ko31<7?tH0377>h6:<=1m464}o32gcg=83;pDcg`94?7|@8;??6`>2459e895a8`8yk76kon1<7?tH0377>h6:<=1m4m4}o32gcc=83;pDcgd94?7|@8;??6`>2459e50;3xL473;2d:>895a8d8yk76l9;1<7?tH0377>h6:<=1ml>4}o32`54=83;pDd1194?7|@8;??6`>2459ed4895a`18yk76l9?1<7?tH0377>h6:<=1ml:4}o32`50=83;pDd1594?7|@8;??6`>2459ed0895a`58yk76l931<7?tH0377>h6:<=1ml64}o32`5g=83;pDd1`94?7|@8;??6`>2459edg895a``8yk76l9n1<7?tH0377>h6:<=1mlm4}o32`5c=83;pDd1d94?7|@8;??6`>2459edc50;3xL473;2d:>895a`d8yk76l8;1<7?tH0377>h6:<=1mo>4}o32`44=83;pDd0194?7|@8;??6`>2459eg4895ac18yk76l8?1<7?tH0377>h6:<=1mo:4}o32`40=83;pDd0594?7|@8;??6`>2459eg0895ac58yk76l831<7?tH0377>h6:<=1mo64}o32`4g=83;pDd0`94?7|@8;??6`>2459egg895ac`8yk76l8n1<7?tH0377>h6:<=1mom4}o32`4c=83;pDd0d94?7|@8;??6`>2459egc50;3xL473;2d:>895acd8yk76l;;1<7?tH0377>h6:<=1mn>4}o32`74=83;pDd3194?7|@8;??6`>2459ef4895ab18yk76l;?1<7?tH0377>h6:<=1mn:4}o32`70=83;pDd3594?7|@8;??6`>2459ef0895ab58yk76l;31<7?tH0377>h6:<=1mn64}o32`7g=83;pDd3`94?7|@8;??6`>2459efg895ab`8yk76l;n1<7?tH0377>h6:<=1mnm4}o32`7c=83;pDd3d94?7|@8;??6`>2459efc>50;3xL473;2d:>895abd8yk76l:;1<7?tH0377>h6:<=1mi>4}o32`64=83;pDd2194?7|@8;??6`>2459ea4:50;3xL473;2d:>895ae18yk76l:?1<7?tH0377>h6:<=1mi:4}o32`60=83;pDd2594?7|@8;??6`>2459ea0650;3xL473;2d:>895ae58yk76l:31<7?tH0377>h6:<=1mi64}o32`6g=83;pDd2`94?7|@8;??6`>2459eagm50;3xL473;2d:>895ae`8yk76l:n1<7?tH0377>h6:<=1mim4}o32`6c=83;pDd2d94?7|@8;??6`>2459eac50;3xL473;2d:>895aed8yk76l=;1<7?tH0377>h6:<=1mh>4}o32`14=83;pDd5194?7|@8;??6`>2459e`4895ad18yk76l=?1<7?tH0377>h6:<=1mh:4}o32`10=83;pDd5594?7|@8;??6`>2459e`0895ad58yk76l=31<7?tH0377>h6:<=1mh64}o32`1g=83;pDd5`94?7|@8;??6`>2459e`g895ad`8yk76l=n1<7?tH0377>h6:<=1mhm4}o32`1c=83;pDd5d94?7|@8;??6`>2459e`c50;3xL473;2d:>895add8yk76l<;1<7?tH0377>h6:<=1mk>4}o32`04=83;pDd4194?7|@8;??6`>2459ec4895ag18yk76lh6:<=1mk:4}o32`00=83;pDd4594?7|@8;??6`>2459ec0895ag58yk76l<31<7?tH0377>h6:<=1mk64}o32`0g=83;pDd4`94?7|@8;??6`>2459ecg895ag`8yk76lh6:<=1mkm4}o32`0c=83;pDd4d94?7|@8;??6`>2459ecc50;3xL473;2d:>895agd8yk76l?;1<7?tH0377>h6:<=1n=>4}o32`34=83;pDd7194?7|@8;??6`>2459f54895b118yk76l??1<7?tH0377>h6:<=1n=:4}o32`30=83;pDd7594?7|@8;??6`>2459f50895b158yk76l?31<7?tH0377>h6:<=1n=64}o32`3g=83;pDd7`94?7|@8;??6`>2459f5g895b1`8yk76l?n1<7?tH0377>h6:<=1n=m4}o32`3c=83;pDd7d94?7|@8;??6`>2459f5c50;3xL473;2d:>895b1d8yk76l>;1<7?tH0377>h6:<=1n<>4}o32`24=83;pDd6194?7|@8;??6`>2459f44895b018yk76l>?1<7?tH0377>h6:<=1n<:4}o32`20=83;pDd6594?7|@8;??6`>2459f40895b058yk76l>31<7?tH0377>h6:<=1n<64}o32`2g=83;pDd6`94?7|@8;??6`>2459f4g895b0`8yk76l>n1<7?tH0377>h6:<=1nd6d94?7|@8;??6`>2459f4c50;3xL473;2d:>895b0d8yk76l1;1<7?tH0377>h6:<=1n?>4}o32`=4=83;pDd9194?7|@8;??6`>2459f74895b318yk76l1?1<7?tH0377>h6:<=1n?:4}o32`=0=83;pDd9594?7|@8;??6`>2459f70895b358yk76l131<7?tH0377>h6:<=1n?64}o32`=g=83;pDd9`94?7|@8;??6`>2459f7g895b3`8yk76l1n1<7?tH0377>h6:<=1n?m4}o32`=c=83;pDd9d94?7|@8;??6`>2459f7c50;3xL473;2d:>895b3d8yk76l0;1<7?tH0377>h6:<=1n>>4}o32`<4=83;pDd8194?7|@8;??6`>2459f64895b218yk76l0?1<7?tH0377>h6:<=1n>:4}o32`<0=83;pDd8594?7|@8;??6`>2459f60895b258yk76l031<7?tH0377>h6:<=1n>64}o32`d8`94?7|@8;??6`>2459f6g895b2`8yk76l0n1<7?tH0377>h6:<=1n>m4}o32`d8d94?7|@8;??6`>2459f6c50;3xL473;2d:>895b2d8yk76lh;1<7?tH0377>h6:<=1n9>4}o32`d4=83;pDd`194?7|@8;??6`>2459f14895b518yk76lh?1<7?tH0377>h6:<=1n9:4}o32`d0=83;pDd`594?7|@8;??6`>2459f10895b558yk76lh31<7?tH0377>h6:<=1n964}o32`dg=83;pDd``94?7|@8;??6`>2459f1g895b5`8yk76lhn1<7?tH0377>h6:<=1n9m4}o32`dc=83;pDd`d94?7|@8;??6`>2459f1c50;3xL473;2d:>895b5d8yk76lk;1<7?tH0377>h6:<=1n8>4}o32`g4=83;pDdc194?7|@8;??6`>2459f04895b418yk76lk?1<7?tH0377>h6:<=1n8:4}o32`g0=83;pDdc594?7|@8;??6`>2459f00895b458yk76lk31<7?tH0377>h6:<=1n864}o32`gg=83;pDdc`94?7|@8;??6`>2459f0g895b4`8yk76lkn1<7?tH0377>h6:<=1n8m4}o32`gc=83;pDdcd94?7|@8;??6`>2459f0c50;3xL473;2d:>895b4d8yk76lj;1<7?tH0377>h6:<=1n;>4}o32`f4=83;pDdb194?7|@8;??6`>2459f34895b718yk76lj?1<7?tH0377>h6:<=1n;:4}o32`f0=83;pDdb594?7|@8;??6`>2459f30895b758yk76lj31<7?tH0377>h6:<=1n;64}o32`fg=83;pDdb`94?7|@8;??6`>2459f3g895b7`8yk76ljn1<7?tH0377>h6:<=1n;m4}o32`fc=83;pDdbd94?7|@8;??6`>2459f3c50;3xL473;2d:>895b7d8yk76lm;1<7?tH0377>h6:<=1n:>4}o32`a4=83;pD;0qc?>de194?7|@8;??6`>2459f24895b618yk76lm?1<7?tH0377>h6:<=1n::4}o32`a0=83;pD?0qc?>de594?7|@8;??6`>2459f20895b658yk76lm31<7?tH0377>h6:<=1n:64}o32`ag=83;pD30qc?>de`94?7|@8;??6`>2459f2g895b6`8yk76lmn1<7?tH0377>h6:<=1n:m4}o32`ac=83;pDn0qc?>ded94?7|@8;??6`>2459f2c50;3xL473;2d:>895b6d8yk76ll;1<7?tH0377>h6:<=1n5>4}o32``4=83;pDdd194?7|@8;??6`>2459f=4895b918yk76ll?1<7?tH0377>h6:<=1n5:4}o32``0=83;pDdd594?7|@8;??6`>2459f=0895b958yk76ll31<7?tH0377>h6:<=1n564}o32``g=83;pDdd`94?7|@8;??6`>2459f=g895b9`8yk76lln1<7?tH0377>h6:<=1n5m4}o32``c=83;pDddd94?7|@8;??6`>2459f=c50;3xL473;2d:>895b9d8yk76lo;1<7?tH0377>h6:<=1n4>4}o32`c4=83;pDdg194?7|@8;??6`>2459f<4895b818yk76lo?1<7?tH0377>h6:<=1n4:4}o32`c0=83;pDdg594?7|@8;??6`>2459f<0895b858yk76lo31<7?tH0377>h6:<=1n464}o32`cg=83;pDdg`94?7|@8;??6`>2459f895b8`8yk76lon1<7?tH0377>h6:<=1n4m4}o32`cc=83;pDdgd94?7|@8;??6`>2459f50;3xL473;2d:>895b8d8yk76m9;1<7?tH0377>h6:<=1nl>4}o32a54=83;pDe1194?7|@8;??6`>2459fd4895b`18yk76m9?1<7?tH0377>h6:<=1nl:4}o32a50=83;pDe1594?7|@8;??6`>2459fd0895b`58yk76m931<7?tH0377>h6:<=1nl64}o32a5g=83;pDe1`94?7|@8;??6`>2459fdg895b``8yk76m9n1<7?tH0377>h6:<=1nlm4}o32a5c=83;pDe1d94?7|@8;??6`>2459fdc50;3xL473;2d:>895b`d8yk76m8;1<7?tH0377>h6:<=1no>4}o32a44=83;pDe0194?7|@8;??6`>2459fg4895bc18yk76m8?1<7?tH0377>h6:<=1no:4}o32a40=83;pDe0594?7|@8;??6`>2459fg0895bc58yk76m831<7?tH0377>h6:<=1no64}o32a4g=83;pDe0`94?7|@8;??6`>2459fgg895bc`8yk76m8n1<7?tH0377>h6:<=1nom4}o32a4c=83;pDe0d94?7|@8;??6`>2459fgc50;3xL473;2d:>895bcd8yk76m;;1<7?tH0377>h6:<=1nn>4}o32a74=83;pDe3194?7|@8;??6`>2459ff4895bb18yk76m;?1<7?tH0377>h6:<=1nn:4}o32a70=83;pDe3594?7|@8;??6`>2459ff0895bb58yk76m;31<7?tH0377>h6:<=1nn64}o32a7g=83;pDe3`94?7|@8;??6`>2459ffg895bb`8yk76m;n1<7?tH0377>h6:<=1nnm4}o32a7c=83;pDe3d94?7|@8;??6`>2459ffc>50;3xL473;2d:>895bbd8yk76m:;1<7?tH0377>h6:<=1ni>4}o32a64=83;pDe2194?7|@8;??6`>2459fa4:50;3xL473;2d:>895be18yk76m:?1<7?tH0377>h6:<=1ni:4}o32a60=83;pDe2594?7|@8;??6`>2459fa0650;3xL473;2d:>895be58yk76m:31<7?tH0377>h6:<=1ni64}o32a6g=83;pDe2`94?7|@8;??6`>2459fagm50;3xL473;2d:>895be`8yk76m:n1<7?tH0377>h6:<=1nim4}o32a6c=83;pDe2d94?7|@8;??6`>2459fac50;3xL473;2d:>895bed8yk76m=;1<7?tH0377>h6:<=1nh>4}o32a14=83;pDe5194?7|@8;??6`>2459f`4895bd18yk76m=?1<7?tH0377>h6:<=1nh:4}o32a10=83;pDe5594?7|@8;??6`>2459f`0895bd58yk76m=31<7?tH0377>h6:<=1nh64}o32a1g=83;pDe5`94?7|@8;??6`>2459f`g895bd`8yk76m=n1<7?tH0377>h6:<=1nhm4}o32a1c=83;pDe5d94?7|@8;??6`>2459f`c50;3xL473;2d:>895bdd8yk76m<;1<7?tH0377>h6:<=1nk>4}o32a04=83;pDe4194?7|@8;??6`>2459fc4895bg18yk76mh6:<=1nk:4}o32a00=83;pDe4594?7|@8;??6`>2459fc0895bg58yk76m<31<7?tH0377>h6:<=1nk64}o32a0g=83;pDe4`94?7|@8;??6`>2459fcg895bg`8yk76mh6:<=1nkm4}o32a0c=83;pDe4d94?7|@8;??6`>2459fcc50;3xL473;2d:>895bgd8yk76m?;1<7?tH0377>h6:<=1o=>4}o32a34=83;pDe7194?7|@8;??6`>2459g54895c118yk76m??1<7?tH0377>h6:<=1o=:4}o32a30=83;pDe7594?7|@8;??6`>2459g50895c158yk76m?31<7?tH0377>h6:<=1o=64}o32a3g=83;pDe7`94?7|@8;??6`>2459g5g895c1`8yk76m?n1<7?tH0377>h6:<=1o=m4}o32a3c=83;pDe7d94?7|@8;??6`>2459g5c50;3xL473;2d:>895c1d8yk76m>;1<7?tH0377>h6:<=1o<>4}o32a24=83;pDe6194?7|@8;??6`>2459g44895c018yk76m>?1<7?tH0377>h6:<=1o<:4}o32a20=83;pDe6594?7|@8;??6`>2459g40895c058yk76m>31<7?tH0377>h6:<=1o<64}o32a2g=83;pDe6`94?7|@8;??6`>2459g4g895c0`8yk76m>n1<7?tH0377>h6:<=1oe6d94?7|@8;??6`>2459g4c50;3xL473;2d:>895c0d8yk76m1;1<7?tH0377>h6:<=1o?>4}o32a=4=83;pDe9194?7|@8;??6`>2459g74895c318yk76m1?1<7?tH0377>h6:<=1o?:4}o32a=0=83;pDe9594?7|@8;??6`>2459g70895c358yk76m131<7?tH0377>h6:<=1o?64}o32a=g=83;pDe9`94?7|@8;??6`>2459g7g895c3`8yk76m1n1<7?tH0377>h6:<=1o?m4}o32a=c=83;pDe9d94?7|@8;??6`>2459g7c50;3xL473;2d:>895c3d8yk76m0;1<7?tH0377>h6:<=1o>>4}o32a<4=83;pDe8194?7|@8;??6`>2459g64895c218yk76m0?1<7?tH0377>h6:<=1o>:4}o32a<0=83;pDe8594?7|@8;??6`>2459g60895c258yk76m031<7?tH0377>h6:<=1o>64}o32ae8`94?7|@8;??6`>2459g6g895c2`8yk76m0n1<7?tH0377>h6:<=1o>m4}o32ae8d94?7|@8;??6`>2459g6c50;3xL473;2d:>895c2d8yk76mh;1<7?tH0377>h6:<=1o9>4}o32ad4=83;pDe`194?7|@8;??6`>2459g14895c518yk76mh?1<7?tH0377>h6:<=1o9:4}o32ad0=83;pDe`594?7|@8;??6`>2459g10895c558yk76mh31<7?tH0377>h6:<=1o964}o32adg=83;pDe``94?7|@8;??6`>2459g1g895c5`8yk76mhn1<7?tH0377>h6:<=1o9m4}o32adc=83;pDe`d94?7|@8;??6`>2459g1c50;3xL473;2d:>895c5d8yk76mk;1<7?tH0377>h6:<=1o8>4}o32ag4=83;pDec194?7|@8;??6`>2459g04895c418yk76mk?1<7?tH0377>h6:<=1o8:4}o32ag0=83;pDec594?7|@8;??6`>2459g00895c458yk76mk31<7?tH0377>h6:<=1o864}o32agg=83;pDec`94?7|@8;??6`>2459g0g895c4`8yk76mkn1<7?tH0377>h6:<=1o8m4}o32agc=83;pDecd94?7|@8;??6`>2459g0c50;3xL473;2d:>895c4d8yk76mj;1<7?tH0377>h6:<=1o;>4}o32af4=83;pDeb194?7|@8;??6`>2459g34895c718yk76mj?1<7?tH0377>h6:<=1o;:4}o32af0=83;pDeb594?7|@8;??6`>2459g30895c758yk76mj31<7?tH0377>h6:<=1o;64}o32afg=83;pDeb`94?7|@8;??6`>2459g3g895c7`8yk76mjn1<7?tH0377>h6:<=1o;m4}o32afc=83;pDebd94?7|@8;??6`>2459g3c50;3xL473;2d:>895c7d8yk76mm;1<7?tH0377>h6:<=1o:>4}o32aa4=83;pD;0qc?>ee194?7|@8;??6`>2459g24895c618yk76mm?1<7?tH0377>h6:<=1o::4}o32aa0=83;pD?0qc?>ee594?7|@8;??6`>2459g20895c658yk76mm31<7?tH0377>h6:<=1o:64}o32aag=83;pD30qc?>ee`94?7|@8;??6`>2459g2g895c6`8yk76mmn1<7?tH0377>h6:<=1o:m4}o32aac=83;pDn0qc?>eed94?7|@8;??6`>2459g2c50;3xL473;2d:>895c6d8yk76ml;1<7?tH0377>h6:<=1o5>4}o32a`4=83;pDed194?7|@8;??6`>2459g=4895c918yk76ml?1<7?tH0377>h6:<=1o5:4}o32a`0=83;pDed594?7|@8;??6`>2459g=0895c958yk76ml31<7?tH0377>h6:<=1o564}o32a`g=83;pDed`94?7|@8;??6`>2459g=g895c9`8yk76mln1<7?tH0377>h6:<=1o5m4}o32a`c=83;pDedd94?7|@8;??6`>2459g=c50;3xL473;2d:>895c9d8yk76mo;1<7?tH0377>h6:<=1o4>4}o32ac4=83;pDeg194?7|@8;??6`>2459g<4895c818yk76mo?1<7?tH0377>h6:<=1o4:4}o32ac0=83;pDeg594?7|@8;??6`>2459g<0895c858yk76mo31<7?tH0377>h6:<=1o464}o32acg=83;pDeg`94?7|@8;??6`>2459g895c8`8yk76mon1<7?tH0377>h6:<=1o4m4}o32acc=83;pDegd94?7|@8;??6`>2459g50;3xL473;2d:>895c8d8yk76n9;1<7?tH0377>h6:<=1ol>4}o32b54=83;pDf1194?7|@8;??6`>2459gd4895c`18yk76n9?1<7?tH0377>h6:<=1ol:4}o32b50=83;pDf1594?7|@8;??6`>2459gd0895c`58yk76n931<7?tH0377>h6:<=1ol64}o32b5g=83;pDf1`94?7|@8;??6`>2459gdg895c``8yk76n9n1<7?tH0377>h6:<=1olm4}o32b5c=83;pDf1d94?7|@8;??6`>2459gdc50;3xL473;2d:>895c`d8yk76n8;1<7?tH0377>h6:<=1oo>4}o32b44=83;pDf0194?7|@8;??6`>2459gg4895cc18yk76n8?1<7?tH0377>h6:<=1oo:4}o32b40=83;pDf0594?7|@8;??6`>2459gg0895cc58yk76n831<7?tH0377>h6:<=1oo64}o32b4g=83;pDf0`94?7|@8;??6`>2459ggg895cc`8yk76n8n1<7?tH0377>h6:<=1oom4}o32b4c=93;pDf0d95?7|@8;??6`>2459ggc51;3xL473;2d:>895ccd8yk76n;;1=7?tH0377>h6:<=1on>4}o32b74=93;pDf3195?7|@8;??6`>2459gf4895cb18yk76n;?1=7?tH0377>h6:<=1on:4}o32b70=93;pDf3594?7|@8;??6`>2459gf0895cb58yk76n;31<7?tH0377>h6:<=1on64}o32b7g=83;pDf3`94?7|@8;??6`>2459gfg895cb`8yk76n;n1<7?tH0377>h6:<=1onm4}o32b7c=83;pDf3d94?7|@8;??6`>2459gfc>50;3xL473;2d:>895cbd8yk76n:;1<7?tH0377>h6:<=1oi>4}o32b64=83;pDf2194?7|@8;??6`>2459ga4:50;3xL473;2d:>895ce18yk76n:?1<7?tH0377>h6:<=1oi:4}o32b60=83;pDf2594?7|@8;??6`>2459ga0650;3xL473;2d:>895ce58yk76n:31<7?tH0377>h6:<=1oi64}o32b6g=83;pDf2`94?7|@8;??6`>2459gagm50;3xL473;2d:>895ce`8yk76n:n1<7?tH0377>h6:<=1oim4}o32b6c=83;pDf2d95?7|@8;??6`>2459gac51;3xL473;2d:>895ced8yk76n=;1=7?tH0377>h6:<=1oh>4}o32b14=93;pDf5195?7|@8;??6`>2459g`4895cd18yk76n=?1=7?tH0377>h6:<=1oh:4}o32b10=93;pDf5595?7|@8;??6`>2459g`0895cd58yk76n=31<7?tH0377>h6:<=1oh64}o32b1g=83;pDf5`94?7|@8;??6`>2459g`g895cd`8yk76n=n1<7?tH0377>h6:<=1ohm4}o32b1c=83;pDf5d94?7|@8;??6`>2459g`c50;3xL473;2d:>895cdd8yk76n<;1<7?tH0377>h6:<=1ok>4}o32b04=83;pDf4194?7|@8;??6`>2459gc4895cg18yk76nh6:<=1ok:4}o32b00=83;pDf4594?7|@8;??6`>2459gc0895cg58yk76n<31<7?tH0377>h6:<=1ok64}o32b0g=83;pDf4`94?7|@8;??6`>2459gcg895cg`8yk76nh6:<=1okm4}o32b0c=83;pDf4d94?7|@8;??6`>2459gcc50;3xL473;2d:>895cgd8yk76n?;1<7?tH0377>h6:<=1h=>4}o32b34=83;pDf7194?7|@8;??6`>2459`54895d118yk76n??1<7?tH0377>h6:<=1h=:4}o32b30=83;pDf7594?7|@8;??6`>2459`50895d158yk76n?31<7?tH0377>h6:<=1h=64}o32b3g=83;pDf7`94?7|@8;??6`>2459`5g895d1`8yk76n?n1<7?tH0377>h6:<=1h=m4}o32b3c=83;pDf7d94?7|@8;??6`>2459`5c50;3xL473;2d:>895d1d8yk76n>;1<7?tH0377>h6:<=1h<>4}o32b24=83;pDf6194?7|@8;??6`>2459`44895d018yk76n>?1<7?tH0377>h6:<=1h<:4}o32b20=83;pDf6594?7|@8;??6`>2459`40895d058yk76n>31<7?tH0377>h6:<=1h<64}o32b2g=83;pDf6`94?7|@8;??6`>2459`4g895d0`8yk76n>n1<7?tH0377>h6:<=1hf6d94?7|@8;??6`>2459`4c50;3xL473;2d:>895d0d8yk76n1;1<7?tH0377>h6:<=1h?>4}o32b=4=83;pDf9194?7|@8;??6`>2459`74895d318yk76n1?1<7?tH0377>h6:<=1h?:4}o32b=0=83;pDf9594?7|@8;??6`>2459`70895d358yk76n131<7?tH0377>h6:<=1h?64}o32b=g=83;pDf9`94?7|@8;??6`>2459`7g895d3`8yk76n1n1<7?tH0377>h6:<=1h?m4}o32b=c=83;pDf9d94?7|@8;??6`>2459`7c50;3xL473;2d:>895d3d8yk76n0;1<7?tH0377>h6:<=1h>>4}o32b<4=83;pDf8194?7|@8;??6`>2459`64895d218yk76n0?1<7?tH0377>h6:<=1h>:4}o32b<0=83;pDf8594?7|@8;??6`>2459`60895d258yk76n031<7?tH0377>h6:<=1h>64}o32bf8`94?7|@8;??6`>2459`6g895d2`8yk76n0n1<7?tH0377>h6:<=1h>m4}o32bf8d94?7|@8;??6`>2459`6c50;3xL473;2d:>895d2d8yk76nh;1<7?tH0377>h6:<=1h9>4}o32bd4=83;pDf`194?7|@8;??6`>2459`14895d518yk76nh?1<7?tH0377>h6:<=1h9:4}o32bd0=83;pDf`594?7|@8;??6`>2459`10895d558yk76nh31<7?tH0377>h6:<=1h964}o32bdg=83;pDf``94?7|@8;??6`>2459`1g895d5`8yk76nhn1<7?tH0377>h6:<=1h9m4}o32bdc=83;pDf`d94?7|@8;??6`>2459`1c50;3xL473;2d:>895d5d8yk76nk;1<7?tH0377>h6:<=1h8>4}o32bg4=83;pDfc194?7|@8;??6`>2459`04895d418yk76nk?1<7?tH0377>h6:<=1h8:4}o32bg0=83;pDfc594?7|@8;??6`>2459`00895d458yk76nk31<7?tH0377>h6:<=1h864}o32bgg=83;pDfc`94?7|@8;??6`>2459`0g895d4`8yk76nkn1<7?tH0377>h6:<=1h8m4}o32bgc=83;pDfcd94?7|@8;??6`>2459`0c50;3xL473;2d:>895d4d8yk76nj;1<7?tH0377>h6:<=1h;>4}o32bf4=83;pDfb194?7|@8;??6`>2459`34895d718yk76nj?1<7?tH0377>h6:<=1h;:4}o32bf0=83;pDfb594?7|@8;??6`>2459`30895d758yk76nj31<7?tH0377>h6:<=1h;64}o32bfg=83;pDfb`94?7|@8;??6`>2459`3g895d7`8yk76njn1<7?tH0377>h6:<=1h;m4}o32bfc=83;pDfbd94?7|@8;??6`>2459`3c51;3xL473;2d:>895d7d8yk76nm;1<7?tH0377>h6:<=1h:>4}o32ba4=83;pD;0qc?>fe194?7|@8;??6`>2459`24895d618yk76nm?1<7?tH0377>h6:<=1h::4}o32ba0=83;pD?0qc?>fe594?7|@8;??6`>2459`20895d658yk76nm31<7?tH0377>h6:<=1h:64}o32bag=83;pD30qc?>fe`94?7|@8;??6`>2459`2g895d6`8yk76nmn1<7?tH0377>h6:<=1h:m4}o32bac=83;pDn0qc?>fed94?7|@8;??6`>2459`2c50;3xL473;2d:>895d6d8yk76nl;1<7?tH0377>h6:<=1h5>4}o32b`4=83;pDfd194?7|@8;??6`>2459`=4895d918yk76nl?1=7?tH0377>h6:<=1h5:4}o32b`0=83;pDfd594?7|@8;??6`>2459`=0895d958yk76nl31<7?tH0377>h6:<=1h564}o32b`g=83;pDfd`94?7|@8;??6`>2459`=g895d9`8yk76nln1<7?tH0377>h6:<=1h5m4}o32b`c=83;pDfdd94?7|@8;??6`>2459`=c50;3xL473;2d:>895d9d8yk76no;1<7?tH0377>h6:<=1h4>4}o32bc4=83;pDfg194?7|@8;??6`>2459`<4895d818yk76no?1<7?tH0377>h6:<=1h4:4}o32bc0=83;pDfg594?7|@8;??6`>2459`<0895d858yk76no31<7?tH0377>h6:<=1h464}o32bcg=83;pDfg`94?7|@8;??6`>2459`895d8`8yk76non1<7?tH0377>h6:<=1h4m4}o32bcc=83;pDfgd94?7|@8;??6`>2459`50;3xL473;2d:>895d8d8yk7589;1<7?tH0377>h6:<=1hl>4}o31454=83;pD2459`d4895d`18yk7589?1<7?tH0377>h6:<=1hl:4}o31450=83;pD2459`d0895d`58yk758931<7?tH0377>h6:<=1hl64}o3145g=83;pD2459`dg895d``8yk7589n1<7?tH0377>h6:<=1hlm4}o3145c=83;pD2459`dc50;3xL473;2d:>895d`d8yk7588;1<7?tH0377>h6:<=1ho>4}o31444=83;pD2459`g4895dc18yk7588?1<7?tH0377>h6:<=1ho:4}o31440=83;pD2459`g0895dc58yk758831<7?tH0377>h6:<=1ho64}o3144g=83;pD2459`gg895dc`8yk7588n1<7?tH0377>h6:<=1hom4}o3144c=83;pD2459`gc50;3xL473;2d:>895dcd8yk758;;1<7?tH0377>h6:<=1hn>4}o31474=83;pD2459`f4895db18yk758;?1<7?tH0377>h6:<=1hn:4}o31470=83;pD2459`f0895db58yk758;31<7?tH0377>h6:<=1hn64}o3147g=83;pD2459`fg895db`8yk758;n1<7?tH0377>h6:<=1hnm4}o3147c=83;pD2459`fc>50;3xL473;2d:>895dbd8yk758:;1<7?tH0377>h6:<=1hi>4}o31464=83;pD2459`a4:50;3xL473;2d:>895de18yk758:?1<7?tH0377>h6:<=1hi:4}o31460=83;pD2459`a0650;3xL473;2d:>895de58yk758:31<7?tH0377>h6:<=1hi64}o3146g=83;pD2459`agm50;3xL473;2d:>895de`8yk758:n1<7?tH0377>h6:<=1him4}o3146c=83;pD2459`ac50;3xL473;2d:>895ded8yk758=;1<7?tH0377>h6:<=1hh>4}o31414=83;pD2459``4895dd18yk758=?1<7?tH0377>h6:<=1hh:4}o31410=83;pD2459``0895dd58yk758=31<7?tH0377>h6:<=1hh64}o3141g=83;pD2459``g895dd`8yk758=n1<7?tH0377>h6:<=1hhm4}o3141c=83;pD2459``c50;3xL473;2d:>895ddd8yk758<;1<7?tH0377>h6:<=1hk>4}o31404=83;pD2459`c4895dg18yk758h6:<=1hk:4}o31400=83;pD2459`c0895dg58yk758<31<7?tH0377>h6:<=1hk64}o3140g=83;pD2459`cg895dg`8yk758h6:<=1hkm4}o3140c=83;pD2459`cc50;3xL473;2d:>895dgd8yk758?;1<7?tH0377>h6:<=1i=>4}o31434=83;pD2459a54895e118yk758??1=7?tH0377>h6:<=1i=:4}o31430=93;pD2459a50895e158yk758?31=7?tH0377>h6:<=1i=64}o3143g=93;pD2459a5g895e1`8yk758?n1<7?tH0377>h6:<=1i=m4}o3143c=83;pD2459a5c50;3xL473;2d:>895e1d8yk758>;1<7?tH0377>h6:<=1i<>4}o31424=93;pD2459a44895e018yk758>?1=7?tH0377>h6:<=1i<:4}o31420=93;pD2459a40895e058yk758>31=7?tH0377>h6:<=1i<64}o3142g=93;pD2459a4g895e0`8yk758>n1<7?tH0377>h6:<=1i2459a4c50;3xL473;2d:>895e0d8yk7581;1<7?tH0377>h6:<=1i?>4}o314=4=83;pD2459a74895e318yk7581?1<7?tH0377>h6:<=1i?:4}o314=0=83;pD2459a70895e358yk758131<7?tH0377>h6:<=1i?64}o314=g=83;pD2459a7g895e3`8yk7581n1<7?tH0377>h6:<=1i?m4}o314=c=83;pD2459a7c50;3xL473;2d:>895e3d8yk7580;1<7?tH0377>h6:<=1i>>4}o314<4=83;pD2459a64895e218yk7580?1<7?tH0377>h6:<=1i>:4}o314<0=83;pD2459a60895e258yk758031<7?tH0377>h6:<=1i>64}o3142459a6g895e2`8yk7580n1<7?tH0377>h6:<=1i>m4}o3142459a6c50;3xL473;2d:>895e2d8yk758h;1<7?tH0377>h6:<=1i9>4}o314d4=83;pD2459a14895e518yk758h?1<7?tH0377>h6:<=1i9:4}o314d0=83;pD2459a10895e558yk758h31<7?tH0377>h6:<=1i964}o314dg=83;pD2459a1g895e5`8yk758hn1<7?tH0377>h6:<=1i9m4}o314dc=83;pD2459a1c50;3xL473;2d:>895e5d8yk758k;1<7?tH0377>h6:<=1i8>4}o314g4=83;pD2459a04895e418yk758k?1<7?tH0377>h6:<=1i8:4}o314g0=83;pD2459a00895e458yk758k31<7?tH0377>h6:<=1i864}o314gg=83;pD2459a0g895e4`8yk758kn1<7?tH0377>h6:<=1i8m4}o314gc=83;pD2459a0c50;3xL473;2d:>895e4d8yk758j;1<7?tH0377>h6:<=1i;>4}o314f4=83;pD2459a34895e718yk758j?1<7?tH0377>h6:<=1i;:4}o314f0=83;pD2459a30895e758yk758j31<7?tH0377>h6:<=1i;64}o314fg=83;pD2459a3g895e7`8yk758jn1<7?tH0377>h6:<=1i;m4}o314fc=83;pD2459a3c50;3xL473;2d:>895e7d8yk758m;1<7?tH0377>h6:<=1i:>4}o314a4=83;pD;0qc?=0e194?7|@8;??6`>2459a24895e618yk758m?1<7?tH0377>h6:<=1i::4}o314a0=83;pD?0qc?=0e594?7|@8;??6`>2459a20895e658yk758m31<7?tH0377>h6:<=1i:64}o314ag=83;pD30qc?=0e`94?7|@8;??6`>2459a2g895e6`8yk758mn1<7?tH0377>h6:<=1i:m4}o314ac=83;pDn0qc?=0ed94?7|@8;??6`>2459a2c50;3xL473;2d:>895e6d8yk758l;1<7?tH0377>h6:<=1i5>4}o314`4=83;pD2459a=4895e918yk758l?1<7?tH0377>h6:<=1i5:4}o314`0=83;pD2459a=0895e958yk758l31<7?tH0377>h6:<=1i564}o314`g=83;pD2459a=g895e9`8yk758ln1<7?tH0377>h6:<=1i5m4}o314`c=83;pD2459a=c50;3xL473;2d:>895e9d8yk758o;1<7?tH0377>h6:<=1i4>4}o314c4=83;pD2459a<4895e818yk758o?1<7?tH0377>h6:<=1i4:4}o314c0=83;pD2459a<0895e858yk758o31<7?tH0377>h6:<=1i464}o314cg=83;pD2459a895e8`8yk758on1<7?tH0377>h6:<=1i4m4}o314cc=83;pD2459a50;3xL473;2d:>895e8d8yk7599;1<7?tH0377>h6:<=1il>4}o31554=83;pD2459ad4895e`18yk7599?1<7?tH0377>h6:<=1il:4}o31550=83;pD2459ad0895e`58yk759931<7?tH0377>h6:<=1il64}o3155g=83;pD2459adg895e``8yk7599n1<7?tH0377>h6:<=1ilm4}o3155c=83;pD2459adc50;3xL473;2d:>895e`d8yk7598;1<7?tH0377>h6:<=1io>4}o31544=83;pD2459ag4895ec18yk7598?1<7?tH0377>h6:<=1io:4}o31540=83;pD2459ag0895ec58yk759831<7?tH0377>h6:<=1io64}o3154g=83;pD2459agg895ec`8yk7598n1<7?tH0377>h6:<=1iom4}o3154c=83;pD2459agc50;3xL473;2d:>895ecd8yk759;;1<7?tH0377>h6:<=1in>4}o31574=83;pD2459af4895eb18yk759;?1<7?tH0377>h6:<=1in:4}o31570=83;pD2459af0895eb58yk759;31<7?tH0377>h6:<=1in64}o3157g=83;pD2459afg895eb`8yk759;n1<7?tH0377>h6:<=1inm4}o3157c=83;pD2459afc>50;3xL473;2d:>895ebd8yk759:;1<7?tH0377>h6:<=1ii>4}o31564=83;pD2459aa4:50;3xL473;2d:>895ee18yk759:?1<7?tH0377>h6:<=1ii:4}o31560=83;pD2459aa0650;3xL473;2d:>895ee58yk759:31<7?tH0377>h6:<=1ii64}o3156g=83;pD2459aagm50;3xL473;2d:>895ee`8yk759:n1<7?tH0377>h6:<=1iim4}o3156c=83;pD2459aac50;3xL473;2d:>895eed8yk759=;1<7?tH0377>h6:<=1ih>4}o31514=83;pD2459a`4895ed18yk759=?1<7?tH0377>h6:<=1ih:4}o31510=83;pD2459a`0895ed58yk759=31<7?tH0377>h6:<=1ih64}o3151g=83;pD2459a`g895ed`8yk759=n1<7?tH0377>h6:<=1ihm4}o3151c=83;pD2459a`c50;3xL473;2d:>895edd8yk759<;1<7?tH0377>h6:<=1ik>4}o31504=83;pD2459ac4895eg18yk759h6:<=1ik:4}o31500=83;pD2459ac0895eg58yk759<31<7?tH0377>h6:<=1ik64}o3150g=83;pD2459acg895eg`8yk759h6:<=1ikm4}o3150c=83;pD2459acc50;3xL473;2d:>895egd8yk759?;1<7?tH0377>h6:<=1j=>4}o31534=83;pD2459b54895f118yk759??1<7?tH0377>h6:<=1j=:4}o31530=83;pD2459b50895f158yk759?31<7?tH0377>h6:<=1j=64}o3153g=83;pD2459b5g895f1`8yk759?n1<7?tH0377>h6:<=1j=m4}o3153c=83;pD2459b5c50;3xL473;2d:>895f1d8yk759>;1<7?tH0377>h6:<=1j<>4}o31524=83;pD2459b44895f018yk759>?1<7?tH0377>h6:<=1j<:4}o31520=83;pD2459b40895f058yk759>31<7?tH0377>h6:<=1j<64}o3152g=83;pD2459b4g895f0`8yk759>n1<7?tH0377>h6:<=1j2459b4c50;3xL473;2d:>895f0d8yk7591;1<7?tH0377>h6:<=1j?>4}o315=4=83;pD2459b74895f318yk7591?1<7?tH0377>h6:<=1j?:4}o315=0=83;pD2459b70895f358yk759131<7?tH0377>h6:<=1j?64}o315=g=83;pD2459b7g895f3`8yk7591n1<7?tH0377>h6:<=1j?m4}o315=c=83;pD2459b7c50;3xL473;2d:>895f3d8yk7590;1<7?tH0377>h6:<=1j>>4}o315<4=83;pD2459b64895f218yk7590?1<7?tH0377>h6:<=1j>:4}o315<0=83;pD2459b60895f258yk759031<7?tH0377>h6:<=1j>64}o3152459b6g895f2`8yk7590n1<7?tH0377>h6:<=1j>m4}o3152459b6c50;3xL473;2d:>895f2d8yk759h;1<7?tH0377>h6:<=1j9>4}o315d4=83;pD2459b14895f518yk759h?1<7?tH0377>h6:<=1j9:4}o315d0=83;pD2459b10895f558yk759h31<7?tH0377>h6:<=1j964}o315dg=83;pD2459b1g895f5`8yk759hn1<7?tH0377>h6:<=1j9m4}o315dc=83;pD2459b1c50;3xL473;2d:>895f5d8yk759k;1<7?tH0377>h6:<=1j8>4}o315g4=83;pD2459b04895f418yk759k?1<7?tH0377>h6:<=1j8:4}o315g0=83;pD2459b00895f458yk759k31<7?tH0377>h6:<=1j864}o315gg=83;pD2459b0g895f4`8yk759kn1<7?tH0377>h6:<=1j8m4}o315gc=83;pD2459b0c50;3xL473;2d:>895f4d8yk759j;1<7?tH0377>h6:<=1j;>4}o315f4=83;pD2459b34895f718yk759j?1<7?tH0377>h6:<=1j;:4}o315f0=83;pD2459b30895f758yk759j31<7?tH0377>h6:<=1j;64}o315fg=83;pD2459b3g895f7`8yk759jn1<7?tH0377>h6:<=1j;m4}o315fc=83;pD2459b3c50;3xL473;2d:>895f7d8yk759m;1<7?tH0377>h6:<=1j:>4}o315a4=83;pD;0qc?=1e194?7|@8;??6`>2459b24895f618yk759m?1<7?tH0377>h6:<=1j::4}o315a0=83;pD?0qc?=1e594?7|@8;??6`>2459b20895f658yk759m31<7?tH0377>h6:<=1j:64}o315ag=83;pD30qc?=1e`94?7|@8;??6`>2459b2g895f6`8yk759mn1<7?tH0377>h6:<=1j:m4}o315ac=83;pDn0qc?=1ed94?7|@8;??6`>2459b2c50;3xL473;2d:>895f6d8yk759l;1<7?tH0377>h6:<=1j5>4}o315`4=83;pD2459b=4895f918yk759l?1<7?tH0377>h6:<=1j5:4}o315`0=83;pD2459b=0895f958yk759l31<7?tH0377>h6:<=1j564}o315`g=83;pD2459b=g895f9`8yk759ln1<7?tH0377>h6:<=1j5m4}o315`c=83;pD2459b=c50;3xL473;2d:>895f9d8yk759o;1<7?tH0377>h6:<=1j4>4}o315c4=83;pD2459b<4895f818yk759o?1<7?tH0377>h6:<=1j4:4}o315c0=83;pD2459b<0895f858yk759o31<7?tH0377>h6:<=1j464}o315cg=83;pD2459b895f8`8yk759on1<7?tH0377>h6:<=1j4m4}o315cc=83;pD2459b=>50;3xL473;2d:>895f8d8yk75:9;1<7?tH0377>h6:<=1jl>4}o31654=83;pD2459bd4=:50;3xL473;2d:>895f`18yk75:9?1<7?tH0377>h6:<=1jl:4}o31650=83;pD2459bd0=650;3xL473;2d:>895f`58yk75:931<7?tH0377>h6:<=1jl64}o3165g=83;pD2459bdg=m50;3xL473;2d:>895f``8yk75:9n1<7?tH0377>h6:<=1jlm4}o3165c=83;pD2459bdc<>50;3xL473;2d:>895f`d8yk75:8;1<7?tH0377>h6:<=1jo>4}o31644=83;pD2459bg4<:50;3xL473;2d:>895fc18yk75:8?1<7?tH0377>h6:<=1jo:4}o31640=83;pD2459bg0<650;3xL473;2d:>895fc58yk75:831<7?tH0377>h6:<=1jo64}o3164g=83;pD2459bgg895fc`8yk75:8n1<7?tH0377>h6:<=1jom4}o3164c=83;pD2459bgc?>50;3xL473;2d:>895fcd8yk75:;;1<7?tH0377>h6:<=1jn>4}o31674=83;pD2459bf4?:50;3xL473;2d:>895fb18yk75:;?1<7?tH0377>h6:<=1jn:4}o31670=83;pD2459bf0?650;3xL473;2d:>895fb58yk75:;31<7?tH0377>h6:<=1jn64}o3167g=83;pD2459bfg?m50;3xL473;2d:>895fb`8yk75:;n1<7?tH0377>h6:<=1jnm4}o3167c=83;pD2459bfc>>50;3xL473;2d:>895fbd8yk75::;1<7?tH0377>h6:<=1ji>4}o31664=83;pD2459ba4>:50;3xL473;2d:>895fe18yk75::?1<7?tH0377>h6:<=1ji:4}o31660=83;pD2459ba0>650;3xL473;2d:>895fe58yk75::31<7?tH0377>h6:<=1ji64}o3166g=83;pD2459bag>m50;3xL473;2d:>895fe`8yk75::n1<7?tH0377>h6:<=1jim4}o3166c=83;pD2459bac9>50;3xL473;2d:>895fed8yk75:=;1<7?tH0377>h6:<=1jh>4}o31614=83;pD2459b`49:50;3xL473;2d:>895fd18yk75:=?1<7?tH0377>h6:<=1jh:4}o31610=83;pD2459b`09650;3xL473;2d:>895fd58yk75:=31<7?tH0377>h6:<=1jh64}o3161g=83;pD2459b`g9m50;3xL473;2d:>895fd`8yk75:=n1<7?tH0377>h6:<=1jhm4}o3161c=83;pD2459b`c8>50;3xL473;2d:>895fdd8yk75:<;1<7?tH0377>h6:<=1jk>4}o31604=83;pD2459bc48:50;3xL473;2d:>895fg18yk75:h6:<=1jk:4}o31600=83;pD2459bc08650;3xL473;2d:>895fg58yk75:<31<7?tH0377>h6:<=1jk64}o3160g=83;pD2459bcg8m50;3xL473;2d:>895fg`8yk75:h6:<=1jkm4}o3160c=83;pD2459bcc;>50;3xL473;2d:>895fgd8yk75:?;1<7?tH0377>h6:<=1==>?;|l26705290:wE?>429m573028:;=6sa13057?6=9rB:=9=4n0063?778;1vb<<=6583>4}O98>87c?=5682455;;50;3xL473;2d:>8951127?xh6:;<=6=4>{I3206=i9;?<6<>?5:m5741?3:1=vF>1518j442?3;;<;5rn0012=<728qC=<:<;o3112<689=0qc?=27;94?7|@8;??6`>2459556?3td:>?8n:182M76<:1e=?;8:023=>{i9;8=n7>51zJ2515;7??0`9~j445>j0;60:<=l4}o3163b=83;pD234f>5<6sA;:8>5a1374>467l2we=?<9f;295~N69=90b<<:7;334`=zf889;=4?:0yK54243g;99:4>01d8yk75:>;1<7?tH0377>h6:<=1==??;|l26715290:wE?>429m573028::=6sa13047?6=9rB:=9=4n0063?779;1vb<<=7583>4}O98>87c?=5682445:;50;3xL473;2d:>8951137?xh6:;==6=4>{I3206=i9;?<6<>>5:m5740?3:1=vF>1518j442?3;;=;5rn0013=<728qC=<:<;o3112<688=0qc?=26;94?7|@8;??6`>2459557?3td:>?9n:182M76<:1e=?;8:022=>{i9;851zJ2515;7??1`9~j445?j0;60:<235f>5<6sA;:8>5a1374>466l2we=?<8f;295~N69=90b<<:7;335`=zf8894=4?:0yK54243g;99:4>00d8yk75:1;1<7?tH0377>h6:<=1==5290:wE?>429m573028:9=6sa130;7?6=9rB:=9=4n0063?77:;1vb<<=8583>4}O98>87c?=56824755;50;3xL473;2d:>8951107?xh6:;2=6=4>{I3206=i9;?<6<>=5:m574??3:1=vF>1518j442?3;;>;5rn001<=<728qC=<:<;o3112<68;=0qc?=29;94?7|@8;??6`>2459554?3td:>?6n:182M76<:1e=?;8:021=>{i9;83n7>51zJ2515;7??2`9~j4450j0;60:23:f>5<6sA;:8>5a1374>465l2we=?<7f;295~N69=90b<<:7;336`=zf8895=4?:0yK54243g;99:4>03d8yk75:0;1<7?tH0377>h6:<=1===?;|l267?5290:wE?>429m573028:8=6sa130:7?6=9rB:=9=4n0063?77;;1vb<<=9583>4}O98>87c?=56824654;50;3xL473;2d:>8951117?xh6:;3=6=4>{I3206=i9;?<6<><5:m574>?3:1=vF>1518j442?3;;?;5rn001==<728qC=<:<;o3112<68:=0qc?=28;94?7|@8;??6`>2459555?3td:>?7n:182M76<:1e=?;8:020=>{i9;82n7>51zJ2515;7??3`9~j4451j0;60:<>l4}o31623;f>5<6sA;:8>5a1374>464l2we=?<6f;295~N69=90b<<:7;337`=zf889m=4?:0yK54243g;99:4>02d8yk75:h;1<7?tH0377>h6:<=1==:?;|l267g5290:wE?>429m573028:?=6sa130b7?6=9rB:=9=4n0063?77<;1vb<<=a583>4}O98>87c?=5682415l;50;3xL473;2d:>8951167?xh6:;k=6=4>{I3206=i9;?<6<>;5:m574f?3:1=vF>1518j442?3;;8;5rn001e=<728qC=<:<;o3112<68==0qc?=2`;94?7|@8;??6`>2459552?3td:>?on:182M76<:1e=?;8:027=>{i9;8jn7>51zJ2515;7??4`9~j445ij0;60:<9l4}o316db=83;pDh7p`>23cf>5<6sA;:8>5a1374>463l2we=?05d8yk75:k;1<7?tH0377>h6:<=1==;?;|l267d5290:wE?>429m573028:>=6sa130a7?6=9rB:=9=4n0063?77=;1vb<<=b583>4}O98>87c?=5682405o;50;3xL473;2d:>8951177?xh6:;h=6=4>{I3206=i9;?<6<>:5:m574e?3:1=vF>1518j442?3;;9;5rn001f=<728qC=<:<;o3112<68<=0qc?=2c;94?7|@8;??6`>2459553?3td:>?ln:182M76<:1e=?;8:026=>{i9;8in7>51zJ2515;7??5`9~j445jj0;60:<8l4}o316gb=83;pD23`f>5<6sA;:8>5a1374>462l2we=?04d8yk75:j;1<7?tH0377>h6:<=1==8?;|l267e5290:wE?>429m573028:==6sa130`7?6=9rB:=9=4n0063?77>;1vb<<=c583>4}O98>87c?=5682435n;50;3xL473;2d:>8951147?xh6:;i=6=4>{I3206=i9;?<6<>95:m574d?3:1=vF>1518j442?3;;:;5rn001g=<728qC=<:<;o3112<68?=0qc?=2b;94?7|@8;??6`>2459550?3td:>?mn:182M76<:1e=?;8:025=>{i9;8hn7>51zJ2515;7??6`9~j445kj0;60:<;l4}o316fb=83;pD23af>5<6sA;:8>5a1374>461l2we=?07d8yk75:m;1<7?tH0377>h6:<=1==9?;|l267b5290:wE?>429m573028:<=6sa130g7?6=9rB:=9=4n0063?77?;1vb<<=d583>4}O98>87c?=5682425i;50;3xL473;2d:>8951157?xh6:;n=6=4>{I3206=i9;?<6<>85:m574c?3:1=vF>1518j442?3;;;;5rn001`=<728qC=<:<;o3112<68>=0qc?=2e;94?7|@8;??6`>2459551?3td:>?jn:182M76<:1e=?;8:024=>{i9;8on7>51zJ2515;7??7`9~j445lj0;60:<:l4}o316ab=83;pD23ff>5<6sA;:8>5a1374>460l2we=?06d8yk75:l;1<7?tH0377>h6:<=1==6?;|l267c5290:wE?>429m573028:3=6sa130f7?6=9rB:=9=4n0063?770;1vb<<=e583>4}O98>87c?=56824=5h;50;3xL473;2d:>89511:7?xh6:;o=6=4>{I3206=i9;?<6<>75:m574b?3:1=vF>1518j442?3;;4;5rn001a=<728qC=<:<;o3112<681=0qc?=2d;94?7|@8;??6`>245955>?3td:>?kn:182M76<:1e=?;8:02;=>{i9;8nn7>51zJ2515;7??8`9~j445mj0;60:<5l4}o316`b=83;pD23gf>5<6sA;:8>5a1374>46?l2we=?09d8yk75:o;1<7?tH0377>h6:<=1==7?;|l267`5290:wE?>429m573028:2=6sa130e7?6=9rB:=9=4n0063?771;1vb<<=f583>4}O98>87c?=56824<5k;50;3xL473;2d:>89511;7?xh6:;l=6=4>{I3206=i9;?<6<>65:m574a?3:1=vF>1518j442?3;;5;5rn001b=<728qC=<:<;o3112<680=0qc?=2g;94?7|@8;??6`>245955??3td:>?hn:182M76<:1e=?;8:02:=>{i9;8mn7>51zJ2515;7??9`9~j445nj0;60:<4l4}o316cb=83;pD23df>5<6sA;:8>5a1374>46>l2we=?08d8yk75;9;1<7?tH0377>h6:<=1==o?;|l26665290:wE?>429m573028:j=6sa13137?6=9rB:=9=4n0063?77i;1vb<<<0583>4}O98>87c?=56824d589511c7?xh6:::=6=4>{I3206=i9;?<6<>n5:m5757?3:1=vF>1518j442?3;;m;5rn0004=<728qC=<:<;o3112<68h=0qc?=31;94?7|@8;??6`>245955g?3td:>>>n:182M76<:1e=?;8:02b=>{i9;9;n7>51zJ2515;7??a`9~j4448j0;60:222f>5<6sA;:8>5a1374>46fl2we=?=?f;395~N69=90b<<:7;33e`=zf888==4>:0yK54243g;99:4>0`d8yk75;8;1=7?tH0377>h6:<=1==l?;|l26675280:wE?>429m573028:i=6sa13127?7=9rB:=9=4n0063?77j;1vb<<<1582>4}O98>87c?=56824g589511`7?xh6::;=6<4>{I3206=i9;?<6<>m5:m5756?3;1=vF>1518j442?3;;n;5rn0005=<728qC=<:<;o3112<68k=0qc?=30;94?7|@8;??6`>245955d?3td:>>?n:182M76<:1e=?;8:02a=>{i9;9:n7>51zJ2515;7??b`9~j4449j0;60:223f>5<6sA;:8>5a1374>46el2we=?=>f;295~N69=90b<<:7;33f`=zf888>=4?:0yK54243g;99:4>0cd8yk75;;;1<7?tH0377>h6:<=1==m?;|l26645290:wE?>429m573028:h=6sa13117?6=9rB:=9=4n0063?77k;1vb<<<2583>4}O98>87c?=56824f589511a7?xh6::8=6=4>{I3206=i9;?<6<>l5:m5755?3:1=vF>1518j442?3;;o;5rn0006=<728qC=<:<;o3112<68j=0qc?=33;94?7|@8;??6`>245955e?3td:>>{i9;99n7>51zJ2515;7??c`9~j444:j0;60:220f>5<6sA;:8>5a1374>46dl2we=?==f;295~N69=90b<<:7;33g`=zf888?=4?:0yK54243g;99:4>0bd8yk75;:;1<7?tH0377>h6:<=1==j?;|l26655290:wE?>429m573028:o=6sa13107?6=9rB:=9=4n0063?77l;1vb<<<3583>4}O98>87c?=56824a5;50;3xL473;2d:>89511f7?xh6::9=6=4>{I3206=i9;?<6<>k5:m5754?3:1=vF>1518j442?3;;h;5rn0007=<728qC=<:<;o3112<68m=0qc?=32;94?7|@8;??6`>245955b?3td:>>=n:182M76<:1e=?;8:02g=>{i9;98n7>51zJ2515;7??d`9~j444;j0;60:221f>5<6sA;:8>5a1374>46cl2we=?=0ed8yk75;=;1<7?tH0377>h6:<=1==k?;|l26625290:wE?>429m573028:n=6sa13177?6=9rB:=9=4n0063?77m;1vb<<<4583>4}O98>87c?=56824`589511g7?xh6::>=6=4>{I3206=i9;?<6<>j5:m5753?3:1=vF>1518j442?3;;i;5rn0000=<728qC=<:<;o3112<68l=0qc?=35;94?7|@8;??6`>245955c?3td:>>:n:182M76<:1e=?;8:02f=>{i9;9?n7>51zJ2515;7??e`9~j4440:226f>5<6sA;:8>5a1374>46bl2we=?=;f;295~N69=90b<<:7;33a`=zf8889=4?:0yK54243g;99:4>0dd8yk75;<;1<7?tH0377>h6:<=1==h?;|l26635290:wE?>429m573028:m=6sa13167?6=9rB:=9=4n0063?77n;1vb<<<5583>4}O98>87c?=56824c589511d7?xh6::?=6=4>{I3206=i9;?<6<>i5:m5752?3:1=vF>1518j442?3;;j;5rn0001=<728qC=<:<;o3112<68o=0qc?=34;94?7|@8;??6`>245955`?3td:>>;n:182M76<:1e=?;8:02e=>{i9;9>n7>51zJ2515;7??f`9~j444=j0;60:227f>5<6sA;:8>5a1374>46al2we=?=:f;295~N69=90b<<:7;33b`=zf888:=4?:0yK54243g;99:4>0gd8yk75;?;1<7?tH0377>h6:<=1=<>?;|l26605290:wE?>429m573028;;=6sa13157?6=9rB:=9=4n0063?768;1vb<<<6583>4}O98>87c?=56825558951027?xh6::<=6=4>{I3206=i9;?<61518j442?3;:<;5rn0002=<728qC=<:<;o3112<699=0qc?=37;94?7|@8;??6`>2459546?3td:>>8n:182M76<:1e=?;8:033=>{i9;9=n7>51zJ2515;7?>0`9~j444>j0;60:==l4}o3173b=83;pD224f>5<6sA;:8>5a1374>477l2we=?=9f;295~N69=90b<<:7;324`=zf888;=4?:0yK54243g;99:4>11d8yk75;>;1<7?tH0377>h6:<=1=429m573028;:=6sa13147?6=9rB:=9=4n0063?769;1vb<<<7583>4}O98>87c?=56825458951037?xh6::==6<4>{I3206=i9;?<65:m5750?3;1=vF>1518j442?3;:=;5rn0003=<628qC=<:<;o3112<698=0qc?=36;95?7|@8;??6`>2459547?3td:>>9n:082M76<:1e=?;8:032=>{i9;9;7?>1`9~j444?j0:60:=225f>4<6sA;:8>5a1374>476l2we=?=8f;395~N69=90b<<:7;325`=zf8884=4>:0yK54243g;99:4>10d8yk75;1;1=7?tH0377>h6:<=1=<5280:wE?>429m573028;9=6sa131;7?7=9rB:=9=4n0063?76:;1vb<<<8582>4}O98>87c?=56825758951007?xh6::2=6<4>{I3206=i9;?<61518j442?3;:>;5rn000<=<628qC=<:<;o3112<69;=0qc?=39;95?7|@8;??6`>2459544?3td:>>6n:082M76<:1e=?;8:031=>{i9;93n7?51zJ2515;7?>2`9~j4440j0:60:=?l4}o317=b=93;pD22:f>4<6sA;:8>5a1374>475l2we=?=7f;395~N69=90b<<:7;326`=zf8885=4>:0yK54243g;99:4>13d8yk75;0;1=7?tH0377>h6:<=1=<=?;|l266?5280:wE?>429m573028;8=6sa131:7?7=9rB:=9=4n0063?76;;1vb<<<9582>4}O98>87c?=56825658951017?xh6::3=6=4>{I3206=i9;?<6?3:1=vF>1518j442?3;:?;5rn000==<728qC=<:<;o3112<69:=0qc?=38;94?7|@8;??6`>2459545?3td:>>7n:182M76<:1e=?;8:030=>{i9;92n7>51zJ2515;7?>3`9~j4441j0;60:=>l4}o31722;f>5<6sA;:8>5a1374>474l2we=?=6f;295~N69=90b<<:7;327`=zf888m=4?:0yK54243g;99:4>12d8yk75;h;1<7?tH0377>h6:<=1=<:?;|l266g5290:wE?>429m573028;?=6sa131b7?6=9rB:=9=4n0063?76<;1vb<<4}O98>87c?=56825158951067?xh6::k=6=4>{I3206=i9;?<61518j442?3;:8;5rn000e=<728qC=<:<;o3112<69==0qc?=3`;94?7|@8;??6`>2459542?3td:>>on:182M76<:1e=?;8:037=>{i9;9jn7>51zJ2515;7?>4`9~j444ij0;60:=9l4}o317db=83;pDh7p`>22cf>5<6sA;:8>5a1374>473l2we=?=nf;295~N69=90b<<:7;320`=zf888n=4?:0yK54243g;99:4>15d8yk75;k;1<7?tH0377>h6:<=1=<;?;|l266d5290:wE?>429m573028;>=6sa131a7?6=9rB:=9=4n0063?76=;1vb<<4}O98>87c?=56825058951077?xh6::h=6=4>{I3206=i9;?<61518j442?3;:9;5rn000f=<728qC=<:<;o3112<69<=0qc?=3c;94?7|@8;??6`>2459543?3td:>>ln:182M76<:1e=?;8:036=>{i9;9in7>51zJ2515;7?>5`9~j444jj0;60:=8l4}o317gb=83;pD22`f>5<6sA;:8>5a1374>472l2we=?=mf;295~N69=90b<<:7;321`=zf888o=4?:0yK54243g;99:4>14d8yk75;j;1<7?tH0377>h6:<=1=<8?;|l266e5290:wE?>429m573028;==6sa131`7?6=9rB:=9=4n0063?76>;1vb<<4}O98>87c?=56825358951047?xh6::i=6=4>{I3206=i9;?<61518j442?3;::;5rn000g=<728qC=<:<;o3112<69?=0qc?=3b;94?7|@8;??6`>2459540?3td:>>mn:182M76<:1e=?;8:035=>{i9;9hn7>51zJ2515;7?>6`9~j444kj0;60:=;l4}o317fb=83;pD22af>5<6sA;:8>5a1374>471l2we=?=lf;295~N69=90b<<:7;322`=zf888h=4?:0yK54243g;99:4>17d8yk75;m;1<7?tH0377>h6:<=1=<9?;|l266b5290:wE?>429m573028;<=6sa131g7?6=9rB:=9=4n0063?76?;1vb<<4}O98>87c?=56825258951057?xh6::n=6=4>{I3206=i9;?<61518j442?3;:;;5rn000`=<728qC=<:<;o3112<69>=0qc?=3e;94?7|@8;??6`>2459541?3td:>>jn:182M76<:1e=?;8:034=>{i9;9on7>51zJ2515;7?>7`9~j444lj0;60:=:l4}o317ab=83;pD22ff>5<6sA;:8>5a1374>470l2we=?=kf;295~N69=90b<<:7;323`=zf888i=4?:0yK54243g;99:4>16d8yk75;l;1<7?tH0377>h6:<=1=<6?;|l266c5290:wE?>429m573028;3=6sa131f7?6=9rB:=9=4n0063?760;1vb<<4}O98>87c?=56825=589510:7?xh6::o=6=4>{I3206=i9;?<61518j442?3;:4;5rn000a=<728qC=<:<;o3112<691=0qc?=3d;94?7|@8;??6`>245954>?3td:>>kn:182M76<:1e=?;8:03;=>{i9;9nn7>51zJ2515;7?>8`9~j444mj0;60:=5l4}o317`b=83;pD22gf>5<6sA;:8>5a1374>47?l2we=?=jf;295~N69=90b<<:7;32<`=zf888j=4?:0yK54243g;99:4>19d8yk75;o;1<7?tH0377>h6:<=1=<7?;|l266`5290:wE?>429m573028;2=6sa131e7?6=9rB:=9=4n0063?761;1vb<<4}O98>87c?=56825<589510;7?xh6::l=6=4>{I3206=i9;?<61518j442?3;:5;5rn000b=<728qC=<:<;o3112<690=0qc?=3g;94?7|@8;??6`>245954??3td:>>hn:182M76<:1e=?;8:03:=>{i9;9mn7>51zJ2515;7?>9`9~j444nj0;60:=4l4}o317cb=83;pD22df>5<6sA;:8>5a1374>47>l2we=?=if;295~N69=90b<<:7;32=`=zf88?<=4?:0yK54243g;99:4>18d8yk75<9;1<7?tH0377>h6:<=1=429m573028;j=6sa13637?6=9rB:=9=4n0063?76i;1vb<<;0583>4}O98>87c?=56825d589510c7?xh6:=:=6=4>{I3206=i9;?<61518j442?3;:m;5rn0074=<728qC=<:<;o3112<69h=0qc?=41;94?7|@8;??6`>245954g?3td:>9>n:182M76<:1e=?;8:03b=>{i9;>;n7>51zJ2515;7?>a`9~j4438j0;60:=ll4}o3105b=83;pD252f>5<6sA;:8>5a1374>47fl2we=?:?f;295~N69=90b<<:7;32e`=zf88?==4?:0yK54243g;99:4>1`d8yk75<8;1<7?tH0377>h6:<=1=429m573028;i=6sa13627?6=9rB:=9=4n0063?76j;1vb<<;1583>4}O98>87c?=56825g589510`7?xh6:=;=6=4>{I3206=i9;?<61518j442?3;:n;5rn0075=<728qC=<:<;o3112<69k=0qc?=40;94?7|@8;??6`>245954d?3td:>9?n:182M76<:1e=?;8:03a=>{i9;>:n7>51zJ2515;7?>b`9~j4439j0;60:=ol4}o3104b=83;pD253f>5<6sA;:8>5a1374>47el2we=?:>f;295~N69=90b<<:7;32f`=zf88?>=4?:0yK54243g;99:4>1cd8yk75<;;1<7?tH0377>h6:<=1=429m573028;h=6sa13617?6=9rB:=9=4n0063?76k;1vb<<;2583>4}O98>87c?=56825f589510a7?xh6:=8=6=4>{I3206=i9;?<61518j442?3;:o;5rn0076=<728qC=<:<;o3112<69j=0qc?=43;94?7|@8;??6`>245954e?3td:>9{i9;>9n7>51zJ2515;7?>c`9~j443:j0;60:=nl4}o3107b=83;pD250f>5<6sA;:8>5a1374>47dl2we=?:=f;295~N69=90b<<:7;32g`=zf88??=4?:0yK54243g;99:4>1bd8yk75<:;1<7?tH0377>h6:<=1=429m573028;o=6sa13607?6=9rB:=9=4n0063?76l;1vb<<;3583>4}O98>87c?=56825a5;50;3xL473;2d:>89510f7?xh6:=9=6=4>{I3206=i9;?<61518j442?3;:h;5rn0077=<728qC=<:<;o3112<69m=0qc?=42;94?7|@8;??6`>245954b?3td:>9=n:182M76<:1e=?;8:03g=>{i9;>8n7>51zJ2515;7?>d`9~j443;j0;60:=il4}o3106b=83;pD251f>5<6sA;:8>5a1374>47cl2we=?:1ed8yk75<=;1<7?tH0377>h6:<=1=429m573028;n=6sa13677?6=9rB:=9=4n0063?76m;1vb<<;4583>4}O98>87c?=56825`589510g7?xh6:=>=6=4>{I3206=i9;?<61518j442?3;:i;5rn0070=<728qC=<:<;o3112<69l=0qc?=45;94?7|@8;??6`>245954c?3td:>9:n:182M76<:1e=?;8:03f=>{i9;>?n7>51zJ2515;7?>e`9~j4430:=hl4}o3101b=83;pD256f>5<6sA;:8>5a1374>47bl2we=?:;f;295~N69=90b<<:7;32a`=zf88?9=4?:0yK54243g;99:4>1dd8yk75<<;1<7?tH0377>h6:<=1=429m573028;m=6sa13667?6=9rB:=9=4n0063?76n;1vb<<;5583>4}O98>87c?=56825c589510d7?xh6:=?=6=4>{I3206=i9;?<61518j442?3;:j;5rn0071=<728qC=<:<;o3112<69o=0qc?=44;94?7|@8;??6`>245954`?3td:>9;n:182M76<:1e=?;8:03e=>{i9;>>n7>51zJ2515;7?>f`9~j443=j0;60:=kl4}o3100b=83;pD257f>5<6sA;:8>5a1374>47al2we=?::f;295~N69=90b<<:7;32b`=zf88?:=4?:0yK54243g;99:4>1gd8yk75h6:<=1=?>?;|l26105290:wE?>429m5730288;=6sa13657?6=9rB:=9=4n0063?758;1vb<<;6583>4}O98>87c?=56826558951327?xh6:=<=6=4>{I3206=i9;?<6<1518j442?3;9<;5rn0072=<728qC=<:<;o3112<6:9=0qc?=47;94?7|@8;??6`>2459576?3td:>98n:182M76<:1e=?;8:003=>{i9;>=n7>51zJ2515;7?=0`9~j443>j0;60:>=l4}o3103b=83;pD254f>5<6sA;:8>5a1374>447l2we=?:9f;295~N69=90b<<:7;314`=zf88?;=4?:0yK54243g;99:4>21d8yk75<>;1<7?tH0377>h6:<=1=???;|l26115290:wE?>429m5730288:=6sa13647?6=9rB:=9=4n0063?759;1vb<<;7583>4}O98>87c?=56826458951337?xh6:===6=4>{I3206=i9;?<6<<>5:m5720?3:1=vF>1518j442?3;9=;5rn0073=<728qC=<:<;o3112<6:8=0qc?=46;94?7|@8;??6`>2459577?3td:>99n:182M76<:1e=?;8:002=>{i9;>51zJ2515;7?=1`9~j443?j0;60:>255f>5<6sA;:8>5a1374>446l2we=?:8f;295~N69=90b<<:7;315`=zf88?4=4?:0yK54243g;99:4>20d8yk75<1;1<7?tH0377>h6:<=1=?5290:wE?>429m57302889=6sa136;7?6=9rB:=9=4n0063?75:;1vb<<;8583>4}O98>87c?=56826758951307?xh6:=2=6=4>{I3206=i9;?<6<<=5:m572??3:1=vF>1518j442?3;9>;5rn007<=<728qC=<:<;o3112<6:;=0qc?=49;94?7|@8;??6`>2459574?3td:>96n:182M76<:1e=?;8:001=>{i9;>3n7>51zJ2515;7?=2`9~j4430j0;60:>?l4}o310=b=83;pD25:f>5<6sA;:8>5a1374>445l2we=?:7f;295~N69=90b<<:7;316`=zf88?5=4?:0yK54243g;99:4>23d8yk75<0;1<7?tH0377>h6:<=1=?=?;|l261?5290:wE?>429m57302888=6sa136:7?6=9rB:=9=4n0063?75;;1vb<<;9583>4}O98>87c?=56826658951317?xh6:=3=6=4>{I3206=i9;?<6<<<5:m572>?3:1=vF>1518j442?3;9?;5rn007==<728qC=<:<;o3112<6::=0qc?=48;94?7|@8;??6`>2459575?3td:>97n:182M76<:1e=?;8:000=>{i9;>2n7>51zJ2515;7?=3`9~j4431j0;60:>>l4}o31025;f>5<6sA;:8>5a1374>444l2we=?:6f;295~N69=90b<<:7;317`=zf88?m=4?:0yK54243g;99:4>22d8yk75h6:<=1=?:?;|l261g5290:wE?>429m5730288?=6sa136b7?6=9rB:=9=4n0063?75<;1vb<<;a583>4}O98>87c?=56826158951367?xh6:=k=6=4>{I3206=i9;?<6<<;5:m572f?3:1=vF>1518j442?3;98;5rn007e=<728qC=<:<;o3112<6:==0qc?=4`;94?7|@8;??6`>2459572?3td:>9on:182M76<:1e=?;8:007=>{i9;>jn7>51zJ2515;7?=4`9~j443ij0;60:>9l4}o310db=83;pDh7p`>25cf>5<6sA;:8>5a1374>443l2we=?:nf;295~N69=90b<<:7;310`=zf88?n=4?:0yK54243g;99:4>25d8yk75h6:<=1=?;?;|l261d5290:wE?>429m5730288>=6sa136a7?6=9rB:=9=4n0063?75=;1vb<<;b583>4}O98>87c?=56826058951377?xh6:=h=6=4>{I3206=i9;?<6<<:5:m572e?3:1=vF>1518j442?3;99;5rn007f=<728qC=<:<;o3112<6:<=0qc?=4c;94?7|@8;??6`>2459573?3td:>9ln:182M76<:1e=?;8:006=>{i9;>in7>51zJ2515;7?=5`9~j443jj0;60:>8l4}o310gb=83;pD25`f>5<6sA;:8>5a1374>442l2we=?:mf;295~N69=90b<<:7;311`=zf88?o=4?:0yK54243g;99:4>24d8yk75h6:<=1=?8?;|l261e5290:wE?>429m5730288==6sa136`7?6=9rB:=9=4n0063?75>;1vb<<;c583>4}O98>87c?=56826358951347?xh6:=i=6=4>{I3206=i9;?<6<<95:m572d?3:1=vF>1518j442?3;9:;5rn007g=<728qC=<:<;o3112<6:?=0qc?=4b;94?7|@8;??6`>2459570?3td:>9mn:182M76<:1e=?;8:005=>{i9;>hn7>51zJ2515;7?=6`9~j443kj0;60:>;l4}o310fb=83;pD25af>5<6sA;:8>5a1374>441l2we=?:lf;295~N69=90b<<:7;312`=zf88?h=4?:0yK54243g;99:4>27d8yk75h6:<=1=?9?;|l261b5290:wE?>429m5730288<=6sa136g7?6=9rB:=9=4n0063?75?;1vb<<;d583>4}O98>87c?=56826258951357?xh6:=n=6=4>{I3206=i9;?<6<<85:m572c?3:1=vF>1518j442?3;9;;5rn007`=<728qC=<:<;o3112<6:>=0qc?=4e;94?7|@8;??6`>2459571?3td:>9jn:182M76<:1e=?;8:004=>{i9;>on7>51zJ2515;7?=7`9~j443lj0;60:>:l4}o310ab=83;pD25ff>5<6sA;:8>5a1374>440l2we=?:kf;295~N69=90b<<:7;313`=zf88?i=4?:0yK54243g;99:4>26d8yk75h6:<=1=?6?;|l261c5290:wE?>429m57302883=6sa136f7?6=9rB:=9=4n0063?750;1vb<<;e583>4}O98>87c?=56826=589513:7?xh6:=o=6=4>{I3206=i9;?<6<<75:m572b?3:1=vF>1518j442?3;94;5rn007a=<728qC=<:<;o3112<6:1=0qc?=4d;94?7|@8;??6`>245957>?3td:>9kn:182M76<:1e=?;8:00;=>{i9;>nn7>51zJ2515;7?=8`9~j443mj0;60:>5l4}o310`b=83;pD25gf>5<6sA;:8>5a1374>44?l2we=?:jf;295~N69=90b<<:7;31<`=zf88?j=4?:0yK54243g;99:4>29d8yk75h6:<=1=?7?;|l261`5290:wE?>429m57302882=6sa136e7?6=9rB:=9=4n0063?751;1vb<<;f583>4}O98>87c?=56826<589513;7?xh6:=l=6=4>{I3206=i9;?<6<<65:m572a?3:1=vF>1518j442?3;95;5rn007b=<728qC=<:<;o3112<6:0=0qc?=4g;94?7|@8;??6`>245957??3td:>9hn:182M76<:1e=?;8:00:=>{i9;>mn7>51zJ2515;7?=9`9~j443nj0;60:>4l4}o310cb=83;pD25df>5<6sA;:8>5a1374>44>l2we=?:if;295~N69=90b<<:7;31=`=zf88><=4?:0yK54243g;99:4>28d8yk75=9;1<7?tH0377>h6:<=1=?o?;|l26065290:wE?>429m5730288j=6sa13737?6=9rB:=9=4n0063?75i;1vb<<:0583>4}O98>87c?=56826d589513c7?xh6:<:=6=4>{I3206=i9;?<6<1518j442?3;9m;5rn0064=<728qC=<:<;o3112<6:h=0qc?=51;94?7|@8;??6`>245957g?3td:>8>n:182M76<:1e=?;8:00b=>{i9;?;n7>51zJ2515;7?=a`9~j4428j0;60:>ll4}o3115b=83;pD242f>5<6sA;:8>5a1374>44fl2we=?;?f;295~N69=90b<<:7;31e`=zf88>==4?:0yK54243g;99:4>2`d8yk75=8;1<7?tH0377>h6:<=1=?l?;|l26075290:wE?>429m5730288i=6sa13727?6=9rB:=9=4n0063?75j;1vb<<:1583>4}O98>87c?=56826g589513`7?xh6:<;=6=4>{I3206=i9;?<6<1518j442?3;9n;5rn0065=<728qC=<:<;o3112<6:k=0qc?=50;94?7|@8;??6`>245957d?3td:>8?n:182M76<:1e=?;8:00a=>{i9;?:n7>51zJ2515;7?=b`9~j4429j0;60:>ol4}o3114b=83;pD243f>5<6sA;:8>5a1374>44el2we=?;>f;295~N69=90b<<:7;31f`=zf88>>=4?:0yK54243g;99:4>2cd8yk75=;;1<7?tH0377>h6:<=1=?m?;|l26045290:wE?>429m5730288h=6sa13717?6=9rB:=9=4n0063?75k;1vb<<:2583>4}O98>87c?=56826f589513a7?xh6:<8=6=4>{I3206=i9;?<6<1518j442?3;9o;5rn0066=<728qC=<:<;o3112<6:j=0qc?=53;94?7|@8;??6`>245957e?3td:>8{i9;?9n7>51zJ2515;7?=c`9~j442:j0;60:>nl4}o3117b=83;pD240f>5<6sA;:8>5a1374>44dl2we=?;=f;295~N69=90b<<:7;31g`=zf88>?=4?:0yK54243g;99:4>2bd8yk75=:;1<7?tH0377>h6:<=1=?j?;|l26055290:wE?>429m5730288o=6sa13707?6=9rB:=9=4n0063?75l;1vb<<:3583>4}O98>87c?=56826a5;50;3xL473;2d:>89513f7?xh6:<9=6=4>{I3206=i9;?<6<1518j442?3;9h;5rn0067=<728qC=<:<;o3112<6:m=0qc?=52;94?7|@8;??6`>245957b?3td:>8=n:182M76<:1e=?;8:00g=>{i9;?8n7>51zJ2515;7?=d`9~j442;j0;60:>il4}o3116b=83;pD241f>5<6sA;:8>5a1374>44cl2we=?;8=4?:0yK54243g;99:4>2ed8yk75==;1<7?tH0377>h6:<=1=?k?;|l26025290:wE?>429m5730288n=6sa13777?6=9rB:=9=4n0063?75m;1vb<<:4583>4}O98>87c?=56826`589513g7?xh6:<>=6=4>{I3206=i9;?<6<1518j442?3;9i;5rn0060=<728qC=<:<;o3112<6:l=0qc?=55;94?7|@8;??6`>245957c?3td:>8:n:182M76<:1e=?;8:00f=>{i9;??n7>51zJ2515;7?=e`9~j4420:>hl4}o3111b=83;pD246f>5<6sA;:8>5a1374>44bl2we=?;;f;295~N69=90b<<:7;31a`=zf88>9=4?:0yK54243g;99:4>2dd8yk75=<;1<7?tH0377>h6:<=1=?h?;|l26035290:wE?>429m5730288m=6sa13767?6=9rB:=9=4n0063?75n;1vb<<:5583>4}O98>87c?=56826c589513d7?xh6:{I3206=i9;?<6<1518j442?3;9j;5rn0061=<728qC=<:<;o3112<6:o=0qc?=54;94?7|@8;??6`>245957`?3td:>8;n:182M76<:1e=?;8:00e=>{i9;?>n7>51zJ2515;7?=f`9~j442=j0;60:>kl4}o3110b=83;pD247f>5<6sA;:8>5a1374>44al2we=?;:f;295~N69=90b<<:7;31b`=zf88>:=4?:0yK54243g;99:4>2gd8yk75=?;1<7?tH0377>h6:<=1=>>?;|l26005290:wE?>429m5730289;=6sa13757?6=9rB:=9=4n0063?748;1vb<<:6583>4}O98>87c?=56827558951227?xh6:<<=6=4>{I3206=i9;?<6<=?5:m5731?3:1=vF>1518j442?3;8<;5rn0062=<728qC=<:<;o3112<6;9=0qc?=57;94?7|@8;??6`>2459566?3td:>88n:182M76<:1e=?;8:013=>{i9;?=n7>51zJ2515;7?<0`9~j442>j0;60:?=l4}o3113b=83;pD244f>5<6sA;:8>5a1374>457l2we=?;9f;295~N69=90b<<:7;304`=zf88>;=4?:0yK54243g;99:4>31d8yk75=>;1<7?tH0377>h6:<=1=>??;|l26015290:wE?>429m5730289:=6sa13747?6=9rB:=9=4n0063?749;1vb<<:7583>4}O98>87c?=56827458951237?xh6:<==6=4>{I3206=i9;?<6<=>5:m5730?3:1=vF>1518j442?3;8=;5rn0063=<728qC=<:<;o3112<6;8=0qc?=56;94?7|@8;??6`>2459567?3td:>89n:182M76<:1e=?;8:012=>{i9;?51zJ2515;7?<1`9~j442?j0;60:?245f>5<6sA;:8>5a1374>456l2we=?;8f;295~N69=90b<<:7;305`=zf88>4=4?:0yK54243g;99:4>30d8yk75=1;1<7?tH0377>h6:<=1=>5290:wE?>429m57302899=6sa137;7?6=9rB:=9=4n0063?74:;1vb<<:8583>4}O98>87c?=56827758951207?xh6:<2=6=4>{I3206=i9;?<6<==5:m573??3:1=vF>1518j442?3;8>;5rn006<=<728qC=<:<;o3112<6;;=0qc?=59;94?7|@8;??6`>2459564?3td:>86n:182M76<:1e=?;8:011=>{i9;?3n7>51zJ2515;7?<2`9~j4420j0;60:??l4}o311=b=83;pD24:f>5<6sA;:8>5a1374>455l2we=?;7f;295~N69=90b<<:7;306`=zf88>5=4?:0yK54243g;99:4>33d8yk75=0;1<7?tH0377>h6:<=1=>=?;|l260?5290:wE?>429m57302898=6sa137:7?6=9rB:=9=4n0063?74;;1vb<<:9583>4}O98>87c?=56827658951217?xh6:<3=6=4>{I3206=i9;?<6<=<5:m573>?3:1=vF>1518j442?3;8?;5rn006==<728qC=<:<;o3112<6;:=0qc?=58;94?7|@8;??6`>2459565?3td:>87n:182M76<:1e=?;8:010=>{i9;?2n7>51zJ2515;7?<3`9~j4421j0;60:?>l4}o31124;f>5<6sA;:8>5a1374>454l2we=?;6f;295~N69=90b<<:7;307`=zf88>m=4?:0yK54243g;99:4>32d8yk75=h;1<7?tH0377>h6:<=1=>:?;|l260g5290:wE?>429m5730289?=6sa137b7?6=9rB:=9=4n0063?74<;1vb<<:a583>4}O98>87c?=56827158951267?xh6:{I3206=i9;?<6<=;5:m573f?3:1=vF>1518j442?3;88;5rn006e=<728qC=<:<;o3112<6;==0qc?=5`;94?7|@8;??6`>2459562?3td:>8on:182M76<:1e=?;8:017=>{i9;?jn7>51zJ2515;7?<4`9~j442ij0;60:?9l4}o311db=83;pDh7p`>24cf>5<6sA;:8>5a1374>453l2we=?;nf;295~N69=90b<<:7;300`=zf88>n=4?:0yK54243g;99:4>35d8yk75=k;1<7?tH0377>h6:<=1=>;?;|l260d5290:wE?>429m5730289>=6sa137a7?6=9rB:=9=4n0063?74=;1vb<<:b583>4}O98>87c?=56827058951277?xh6:{I3206=i9;?<6<=:5:m573e?3:1=vF>1518j442?3;89;5rn006f=<728qC=<:<;o3112<6;<=0qc?=5c;94?7|@8;??6`>2459563?3td:>8ln:182M76<:1e=?;8:016=>{i9;?in7>51zJ2515;7?<5`9~j442jj0;60:?8l4}o311gb=83;pD24`f>5<6sA;:8>5a1374>452l2we=?;mf;295~N69=90b<<:7;301`=zf88>o=4?:0yK54243g;99:4>34d8yk75=j;1<7?tH0377>h6:<=1=>8?;|l260e5290:wE?>429m5730289==6sa137`7?6=9rB:=9=4n0063?74>;1vb<<:c583>4}O98>87c?=56827358951247?xh6:{I3206=i9;?<6<=95:m573d?3:1=vF>1518j442?3;8:;5rn006g=<728qC=<:<;o3112<6;?=0qc?=5b;94?7|@8;??6`>2459560?3td:>8mn:182M76<:1e=?;8:015=>{i9;?hn7>51zJ2515;7?<6`9~j442kj0;60:?;l4}o311fb=83;pD24af>5<6sA;:8>5a1374>451l2we=?;lf;295~N69=90b<<:7;302`=zf88>h=4?:0yK54243g;99:4>37d8yk75=m;1<7?tH0377>h6:<=1=>9?;|l260b5290:wE?>429m5730289<=6sa137g7?6=9rB:=9=4n0063?74?;1vb<<:d583>4}O98>87c?=56827258951257?xh6:{I3206=i9;?<6<=85:m573c?3:1=vF>1518j442?3;8;;5rn006`=<728qC=<:<;o3112<6;>=0qc?=5e;94?7|@8;??6`>2459561?3td:>8jn:182M76<:1e=?;8:014=>{i9;?on7>51zJ2515;7?<7`9~j442lj0;60:?:l4}o311ab=83;pD24ff>5<6sA;:8>5a1374>450l2we=?;kf;295~N69=90b<<:7;303`=zf88>i=4?:0yK54243g;99:4>36d8yk75=l;1<7?tH0377>h6:<=1=>6?;|l260c5290:wE?>429m57302893=6sa137f7?6=9rB:=9=4n0063?740;1vb<<:e583>4}O98>87c?=56827=589512:7?xh6:{I3206=i9;?<6<=75:m573b?3:1=vF>1518j442?3;84;5rn006a=<728qC=<:<;o3112<6;1=0qc?=5d;94?7|@8;??6`>245956>?3td:>8kn:182M76<:1e=?;8:01;=>{i9;?nn7>51zJ2515;7?<8`9~j442mj0;60:?5l4}o311`b=83;pD24gf>5<6sA;:8>5a1374>45?l2we=?;jf;395~N69=90b<<:7;30<`=zf88>j=4?:0yK54243g;99:4>39d8yk75=o;1<7?tH0377>h6:<=1=>7?;|l260`5290:wE?>429m57302892=6sa137e7?6=9rB:=9=4n0063?741;1vb<<:f583>4}O98>87c?=56827<589512;7?xh6:{I3206=i9;?<6<=65:m573a?3:1=vF>1518j442?3;85;5rn006b=<728qC=<:<;o3112<6;0=0qc?=5g;94?7|@8;??6`>245956??3td:>8hn:182M76<:1e=?;8:01:=>{i9;?mn7>51zJ2515;7?<9`9~j442nj0;60:?4l4}o311cb=83;pD24df>5<6sA;:8>5a1374>45>l2we=?;if;295~N69=90b<<:7;30=`=zf88=<=4?:0yK54243g;99:4>38d8yk75>9;1<7?tH0377>h6:<=1=>o?;|l26365290:wE?>429m5730289j=6sa13437?6=9rB:=9=4n0063?74i;1vb<<90583>4}O98>87c?=56827d589512c7?xh6:?:=6=4>{I3206=i9;?<6<=n5:m5707?3:1=vF>1518j442?3;8m;5rn0054=<728qC=<:<;o3112<6;h=0qc?=61;94?7|@8;??6`>245956g?3td:>;>n:182M76<:1e=?;8:01b=>{i9;<;n7>51zJ2515;7?0:?ll4}o3125b=83;pD272f>5<6sA;:8>5a1374>45fl2we=?8?f;295~N69=90b<<:7;30e`=zf88===4?:0yK54243g;99:4>3`d8yk75>8;1<7?tH0377>h6:<=1=>l?;|l26375290:wE?>429m5730289i=6sa13427?6=9rB:=9=4n0063?74j;1vb<<91583>4}O98>87c?=56827g589512`7?xh6:?;=6=4>{I3206=i9;?<6<=m5:m5706?3:1=vF>1518j442?3;8n;5rn0055=<728qC=<:<;o3112<6;k=0qc?=60;94?7|@8;??6`>245956d?3td:>;?n:182M76<:1e=?;8:01a=>{i9;<:n7>51zJ2515;7?0:?ol4}o3124b=83;pD273f>5<6sA;:8>5a1374>45el2we=?8>f;295~N69=90b<<:7;30f`=zf88=>=4?:0yK54243g;99:4>3cd8yk75>;;1<7?tH0377>h6:<=1=>m?;|l26345290:wE?>429m5730289h=6sa13417?6=9rB:=9=4n0063?74k;1vb<<92583>4}O98>87c?=56827f589512a7?xh6:?8=6<4>{I3206=i9;?<6<=l5:m5705?3:1=vF>1518j442?3;8o;5rn0056=<728qC=<:<;o3112<6;j=0qc?=63;94?7|@8;??6`>245956e?3td:>;{i9;<9n7>51zJ2515;7?0:?nl4}o3127b=83;pD270f>5<6sA;:8>5a1374>45dl2we=?8=f;295~N69=90b<<:7;30g`=zf88=?=4?:0yK54243g;99:4>3bd8yk75>:;1<7?tH0377>h6:<=1=>j?;|l26355290:wE?>429m5730289o=6sa13407?6=9rB:=9=4n0063?74l;1vb<<93583>4}O98>87c?=56827a5;50;3xL473;2d:>89512f7?xh6:?9=6=4>{I3206=i9;?<6<=k5:m5704?3:1=vF>1518j442?3;8h;5rn0057=<728qC=<:<;o3112<6;m=0qc?=62;94?7|@8;??6`>245956b?3td:>;=n:182M76<:1e=?;8:01g=>{i9;<8n7?51zJ2515;7?0:?il4}o3126b=83;pD271f>5<6sA;:8>5a1374>45cl2we=?83ed8yk75>=;1<7?tH0377>h6:<=1=>k?;|l26325290:wE?>429m5730289n=6sa13477?6=9rB:=9=4n0063?74m;1vb<<94583>4}O98>87c?=56827`589512g7?xh6:?>=6=4>{I3206=i9;?<6<=j5:m5703?3:1=vF>1518j442?3;8i;5rn0050=<728qC=<:<;o3112<6;l=0qc?=65;94?7|@8;??6`>245956c?3td:>;:n:182M76<:1e=?;8:01f=>{i9;51zJ2515;7?0:?hl4}o3121b=83;pD276f>5<6sA;:8>5a1374>45bl2we=?8;f;295~N69=90b<<:7;30a`=zf88=9=4?:0yK54243g;99:4>3dd8yk75><;1<7?tH0377>h6:<=1=>h?;|l26335290:wE?>429m5730289m=6sa13467?6=9rB:=9=4n0063?74n;1vb<<95583>4}O98>87c?=56827c589512d7?xh6:??=6=4>{I3206=i9;?<6<=i5:m5702?3:1=vF>1518j442?3;8j;5rn0051=<728qC=<:<;o3112<6;o=0qc?=64;94?7|@8;??6`>245956`?3td:>;;n:182M76<:1e=?;8:01e=>{i9;<>n7>51zJ2515;7?0:?kl4}o3120b=83;pD277f>5<6sA;:8>5a1374>45al2we=?8:f;295~N69=90b<<:7;30b`=zf88=:=4?:0yK54243g;99:4>3gd8yk75>?;1<7?tH0377>h6:<=1=9>?;|l26305290:wE?>429m573028>;=6sa13457?6=9rB:=9=4n0063?738;1vb<<96583>4}O98>87c?=56820558951527?xh6:?<=6=4>{I3206=i9;?<6<:?5:m5701?3:1=vF>1518j442?3;?<;5rn0052=<728qC=<:<;o3112<6<9=0qc?=67;94?7|@8;??6`>2459516?3td:>;8n:182M76<:1e=?;8:063=>{i9;<=n7>51zJ2515;7?;0`9~j441>j0;60:8=l4}o3123b=83;pD274f>5<6sA;:8>5a1374>427l2we=?89f;295~N69=90b<<:7;374`=zf88=;=4?:0yK54243g;99:4>41d8yk75>>;1<7?tH0377>h6:<=1=9??;|l26315290:wE?>429m573028>:=6sa13447?6=9rB:=9=4n0063?739;1vb<<97583>4}O98>87c?=56820458951537?xh6:?==6=4>{I3206=i9;?<6<:>5:m5700?3:1=vF>1518j442?3;?=;5rn0053=<728qC=<:<;o3112<6<8=0qc?=66;94?7|@8;??6`>2459517?3td:>;9n:182M76<:1e=?;8:062=>{i9;<51zJ2515;7?;1`9~j441?j0;60:8275f>5<6sA;:8>5a1374>426l2we=?88f;295~N69=90b<<:7;375`=zf88=4=4?:0yK54243g;99:4>40d8yk75>1;1<7?tH0377>h6:<=1=95290:wE?>429m573028>9=6sa134;7?6=9rB:=9=4n0063?73:;1vb<<98583>4}O98>87c?=56820758951507?xh6:?2=6=4>{I3206=i9;?<6<:=5:m570??3:1=vF>1518j442?3;?>;5rn005<=<728qC=<:<;o3112<6<;=0qc?=69;94?7|@8;??6`>2459514?3td:>;6n:182M76<:1e=?;8:061=>{i9;<3n7>51zJ2515;7?;2`9~j4410j0;60:8?l4}o312=b=83;pD27:f>5<6sA;:8>5a1374>425l2we=?87f;295~N69=90b<<:7;376`=zf88=5=4?:0yK54243g;99:4>43d8yk75>0;1<7?tH0377>h6:<=1=9=?;|l263?5290:wE?>429m573028>8=6sa134:7?6=9rB:=9=4n0063?73;;1vb<<99583>4}O98>87c?=56820658951517?xh6:?3=6=4>{I3206=i9;?<6<:<5:m570>?3:1=vF>1518j442?3;??;5rn005==<728qC=<:<;o3112<6<:=0qc?=68;94?7|@8;??6`>2459515?3td:>;7n:182M76<:1e=?;8:060=>{i9;<2n7>51zJ2515;7?;3`9~j4411j0;60:8>l4}o31227;f>5<6sA;:8>5a1374>424l2we=?86f;295~N69=90b<<:7;377`=zf88=m=4?:0yK54243g;99:4>42d8yk75>h;1<7?tH0377>h6:<=1=9:?;|l263g5290:wE?>429m573028>?=6sa134b7?6=9rB:=9=4n0063?73<;1vb<<9a583>4}O98>87c?=56820158951567?xh6:?k=6=4>{I3206=i9;?<6<:;5:m570f?3:1=vF>1518j442?3;?8;5rn005e=<728qC=<:<;o3112<6<==0qc?=6`;94?7|@8;??6`>2459512?3td:>;on:182M76<:1e=?;8:067=>{i9;51zJ2515;7?;4`9~j441ij0;60:89l4}o312db=838pDh7p`>27cf>5<5sA;:8>5a1374>423l2we=?8nf;296~N69=90b<<:7;370`=zf88=n=4?:3yK54243g;99:4>45d8yk75>k;1<7h6:<=1=9;?;|l263d52909wE?>429m573028>>=6sa134a7?6=:rB:=9=4n0063?73=;1vb<<9b583>7}O98>87c?=56820058951577?xh6:?h=6=46{I3206=i9;?<6<::5:m570e?3:15vF>1518j442?3;?9;5rn005f=<720qC=<:<;o3112<6<<=0qc?=6c;94??|@8;??6`>2459513?3td:>;ln:18:M76<:1e=?;8:066=>{i9;59zJ2515;7?;5`9~j441jj0;64uG1060?k75=>0:88l4}o312gb=833pD27`f>5<6sA;:8>5a1374>422l2we=?8mf;295~N69=90b<<:7;371`=zf88=o=4?:0yK54243g;99:4>44d8yk75>j;1<7?tH0377>h6:<=1=98?;|l263e5290:wE?>429m573028>==6sa134`7?6=9rB:=9=4n0063?73>;1vb<<9c583>4}O98>87c?=56820358951547?xh6:?i=6=4>{I3206=i9;?<6<:95:m570d?3:1=vF>1518j442?3;?:;5rn005g=<728qC=<:<;o3112<62459510?3td:>;mn:18:M76<:1e=?;8:065=>{i9;52zJ2515;7?;6`9~j441kj0;6?uG1060?k75=>0:8;l4}o312fb=838pD27af>5<5sA;:8>5a1374>421l2we=?8lf;296~N69=90b<<:7;372`=zf88=h=4?:3yK54243g;99:4>47d8yk75>m;1<7h6:<=1=99?;|l263b52909wE?>429m573028><=6sa134g7?6=9rB:=9=4n0063?73?;1vb<<9d583>4}O98>87c?=56820258951557?xh6:?n=6=4>{I3206=i9;?<6<:85:m570c?3:1=vF>1518j442?3;?;;5rn005`=<728qC=<:<;o3112<6<>=0qc?=6e;94?7|@8;??6`>2459511?3td:>;jn:182M76<:1e=?;8:064=>{i9;51zJ2515;7?;7`9~j441lj0;60:8:l4}o312ab=83;pD27ff>5<6sA;:8>5a1374>420l2we=?8kf;295~N69=90b<<:7;373`=zf88=i=4?:0yK54243g;99:4>46d8yk75>l;1<7?tH0377>h6:<=1=96?;|l263c5290:wE?>429m573028>3=6sa134f7?6=9rB:=9=4n0063?730;1vb<<9e583>4}O98>87c?=56820=589515:7?xh6:?o=6=4>{I3206=i9;?<6<:75:m570b?3:1=vF>1518j442?3;?4;5rn005a=<728qC=<:<;o3112<6<1=0qc?=6d;94?7|@8;??6`>245951>?3td:>;kn:182M76<:1e=?;8:06;=>{i9;51zJ2515;7?;8`9~j441mj0;60:85l4}o312`b=83;pD27gf>5<6sA;:8>5a1374>42?l2we=?8jf;295~N69=90b<<:7;37<`=zf88=j=4?:0yK54243g;99:4>49d8yk75>o;1<7?tH0377>h6:<=1=97?;|l263`5290:wE?>429m573028>2=6sa134e7?6=9rB:=9=4n0063?731;1vb<<9f583>4}O98>87c?=56820<589515;7?xh6:?l=6=4>{I3206=i9;?<6<:65:m570a?3:1=vF>1518j442?3;?5;5rn005b=<728qC=<:<;o3112<6<0=0qc?=6g;94?7|@8;??6`>245951??3td:>;hn:182M76<:1e=?;8:06:=>{i9;51zJ2515;7?;9`9~j441nj0;60:84l4}o312cb=83;pD27df>5<6sA;:8>5a1374>42>l2we=?8if;295~N69=90b<<:7;37=`=zf88<<=4?:0yK54243g;99:4>48d8yk75?9;1<7?tH0377>h6:<=1=9o?;|l26265290:wE?>429m573028>j=6sa13537?6=9rB:=9=4n0063?73i;1vb<<80583>4}O98>87c?=56820d589515c7?xh6:>:=6=4>{I3206=i9;?<6<:n5:m5717?3:1=vF>1518j442?3;?m;5rn0044=<728qC=<:<;o3112<6245951g?3td:>:>n:182M76<:1e=?;8:06b=>{i9;=;n7>51zJ2515;7?;a`9~j4408j0;60:8ll4}o3135b=83;pD262f>5<6sA;:8>5a1374>42fl2we=?9?f;295~N69=90b<<:7;37e`=zf88<==4?:0yK54243g;99:4>4`d8yk75?8;1<7?tH0377>h6:<=1=9l?;|l26275290:wE?>429m573028>i=6sa13527?6=9rB:=9=4n0063?73j;1vb<<81583>4}O98>87c?=56820g589515`7?xh6:>;=6=4>{I3206=i9;?<6<:m5:m5716?3:1=vF>1518j442?3;?n;5rn0045=<728qC=<:<;o3112<6245951d?3td:>:?n:182M76<:1e=?;8:06a=>{i9;=:n7>51zJ2515;7?;b`9~j4409j0;60:8ol4}o3134b=83;pD263f>5<6sA;:8>5a1374>42el2we=?9>f;295~N69=90b<<:7;37f`=zf88<>=4?:0yK54243g;99:4>4cd8yk75?;;1<7?tH0377>h6:<=1=9m?;|l26245290:wE?>429m573028>h=6sa13517?6=9rB:=9=4n0063?73k;1vb<<82583>4}O98>87c?=56820f589515a7?xh6:>8=6=4>{I3206=i9;?<6<:l5:m5715?3:1=vF>1518j442?3;?o;5rn0046=<728qC=<:<;o3112<6245951e?3td:>:{i9;=9n7>51zJ2515;7?;c`9~j440:j0;60:8nl4}o3137b=83;pD260f>5<6sA;:8>5a1374>42dl2we=?9=f;295~N69=90b<<:7;37g`=zf884bd8yk75?:;1<7?tH0377>h6:<=1=9j?;|l26255290:wE?>429m573028>o=6sa13507?6=9rB:=9=4n0063?73l;1vb<<83583>4}O98>87c?=56820a5;50;3xL473;2d:>89515f7?xh6:>9=6=4>{I3206=i9;?<6<:k5:m5714?3:1=vF>1518j442?3;?h;5rn0047=<728qC=<:<;o3112<6245951b?3td:>:=n:182M76<:1e=?;8:06g=>{i9;=8n7>51zJ2515;7?;d`9~j440;j0;60:8il4}o3136b=83;pD261f>5<6sA;:8>5a1374>42cl2we=?94ed8yk75?=;1<7?tH0377>h6:<=1=9k?;|l26225290:wE?>429m573028>n=6sa13577?6=9rB:=9=4n0063?73m;1vb<<84583>4}O98>87c?=56820`589515g7?xh6:>>=6=4>{I3206=i9;?<6<:j5:m5713?3:1=vF>1518j442?3;?i;5rn0040=<728qC=<:<;o3112<6245951c?3td:>::n:182M76<:1e=?;8:06f=>{i9;=?n7>51zJ2515;7?;e`9~j4400:8hl4}o3131b=83;pD266f>5<6sA;:8>5a1374>42bl2we=?9;f;295~N69=90b<<:7;37a`=zf88<9=4?:0yK54243g;99:4>4dd8yk75?<;1<7?tH0377>h6:<=1=9h?;|l26235290:wE?>429m573028>m=6sa13567?6=9rB:=9=4n0063?73n;1vb<<85583>4}O98>87c?=56820c589515d7?xh6:>?=6=4>{I3206=i9;?<6<:i5:m5712?3:1=vF>1518j442?3;?j;5rn0041=<728qC=<:<;o3112<6245951`?3td:>:;n:182M76<:1e=?;8:06e=>{i9;=>n7>51zJ2515;7?;f`9~j440=j0;60:8kl4}o3130b=83;pD267f>5<6sA;:8>5a1374>42al2we=?9:f;295~N69=90b<<:7;37b`=zf88<:=4?:0yK54243g;99:4>4gd8yk75??;1<7?tH0377>h6:<=1=8>?;|l26205290:wE?>429m573028?;=6sa13557?6=9rB:=9=4n0063?728;1vb<<86583>4}O98>87c?=56821558951427?xh6:><=6=4>{I3206=i9;?<6<;?5:m5711?3:1=vF>1518j442?3;><;5rn0042=<728qC=<:<;o3112<6=9=0qc?=77;94?7|@8;??6`>2459506?3td:>:8n:182M76<:1e=?;8:073=>{i9;==n7>51zJ2515;7?:0`9~j440>j0;60:9=l4}o3133b=83;pD264f>5<6sA;:8>5a1374>437l2we=?99f;295~N69=90b<<:7;364`=zf88<;=4?:0yK54243g;99:4>51d8yk75?>;1<7?tH0377>h6:<=1=8??;|l26215290:wE?>429m573028?:=6sa13547?6=9rB:=9=4n0063?729;1vb<<87583>4}O98>87c?=56821458951437?xh6:>==6=4>{I3206=i9;?<6<;>5:m5710?3:1=vF>1518j442?3;>=;5rn0043=<728qC=<:<;o3112<6=8=0qc?=76;94?7|@8;??6`>2459507?3td:>:9n:182M76<:1e=?;8:072=>{i9;=51zJ2515;7?:1`9~j440?j0;60:9265f>5<6sA;:8>5a1374>436l2we=?98f;295~N69=90b<<:7;365`=zf88<4=4?:0yK54243g;99:4>50d8yk75?1;1<7?tH0377>h6:<=1=85290:wE?>429m573028?9=6sa135;7?6=9rB:=9=4n0063?72:;1vb<<88583>4}O98>87c?=56821758951407?xh6:>2=6=4>{I3206=i9;?<6<;=5:m571??3:1=vF>1518j442?3;>>;5rn004<=<728qC=<:<;o3112<6=;=0qc?=79;94?7|@8;??6`>2459504?3td:>:6n:182M76<:1e=?;8:071=>{i9;=3n7>51zJ2515;7?:2`9~j4400j0;60:9?l4}o313=b=83;pD26:f>5<6sA;:8>5a1374>435l2we=?97f;295~N69=90b<<:7;366`=zf88<5=4?:0yK54243g;99:4>53d8yk75?0;1<7?tH0377>h6:<=1=8=?;|l262?5290:wE?>429m573028?8=6sa135:7?6=9rB:=9=4n0063?72;;1vb<<89583>4}O98>87c?=56821658951417?xh6:>3=6=4>{I3206=i9;?<6<;<5:m571>?3:1=vF>1518j442?3;>?;5rn004==<728qC=<:<;o3112<6=:=0qc?=78;94?7|@8;??6`>2459505?3td:>:7n:182M76<:1e=?;8:070=>{i9;=2n7>51zJ2515;7?:3`9~j4401j0;60:9>l4}o31326;f>5<6sA;:8>5a1374>434l2we=?96f;295~N69=90b<<:7;367`=zf8852d8yk75?h;1<7?tH0377>h6:<=1=8:?;|l262g5290:wE?>429m573028??=6sa135b7?6=9rB:=9=4n0063?72<;1vb<<8a583>4}O98>87c?=56821158951467?xh6:>k=6=4>{I3206=i9;?<6<;;5:m571f?3:1=vF>1518j442?3;>8;5rn004e=<728qC=<:<;o3112<6===0qc?=7`;94?7|@8;??6`>2459502?3td:>:on:182M76<:1e=?;8:077=>{i9;=jn7>51zJ2515;7?:4`9~j440ij0;60:99l4}o313db=83;pDh7p`>26cf>5<6sA;:8>5a1374>433l2we=?9nf;295~N69=90b<<:7;360`=zf8855d8yk75?k;1<7?tH0377>h6:<=1=8;?;|l262d5290:wE?>429m573028?>=6sa135a7?6=9rB:=9=4n0063?72=;1vb<<8b583>4}O98>87c?=56821058951477?xh6:>h=6=4>{I3206=i9;?<6<;:5:m571e?3:1=vF>1518j442?3;>9;5rn004f=<728qC=<:<;o3112<6=<=0qc?=7c;94?7|@8;??6`>2459503?3td:>:ln:182M76<:1e=?;8:076=>{i9;=in7>51zJ2515;7?:5`9~j440jj0;60:98l4}o313gb=83;pD26`f>5<6sA;:8>5a1374>432l2we=?9mf;295~N69=90b<<:7;361`=zf8854d8yk75?j;1<7?tH0377>h6:<=1=88?;|l262e5290:wE?>429m573028?==6sa135`7?6=9rB:=9=4n0063?72>;1vb<<8c583>4}O98>87c?=56821358951447?xh6:>i=6=4>{I3206=i9;?<6<;95:m571d?3:1=vF>1518j442?3;>:;5rn004g=<728qC=<:<;o3112<6=?=0qc?=7b;94?7|@8;??6`>2459500?3td:>:mn:182M76<:1e=?;8:075=>{i9;=hn7>51zJ2515;7?:6`9~j440kj0;60:9;l4}o313fb=83;pD26af>5<6sA;:8>5a1374>431l2we=?9lf;295~N69=90b<<:7;362`=zf8857d8yk75?m;1<7?tH0377>h6:<=1=89?;|l262b5290:wE?>429m573028?<=6sa135g7?6=9rB:=9=4n0063?72?;1vb<<8d583>4}O98>87c?=56821258951457?xh6:>n=6=4>{I3206=i9;?<6<;85:m571c?3:1=vF>1518j442?3;>;;5rn004`=<728qC=<:<;o3112<6=>=0qc?=7e;94?7|@8;??6`>2459501?3td:>:jn:182M76<:1e=?;8:074=>{i9;=on7>51zJ2515;7?:7`9~j440lj0;60:9:l4}o313ab=83;pD26ff>5<6sA;:8>5a1374>430l2we=?9kf;295~N69=90b<<:7;363`=zf8856d8yk75?l;1<7?tH0377>h6:<=1=86?;|l262c5290:wE?>429m573028?3=6sa135f7?6=9rB:=9=4n0063?720;1vb<<8e583>4}O98>87c?=56821=589514:7?xh6:>o=6=4>{I3206=i9;?<6<;75:m571b?3:1=vF>1518j442?3;>4;5rn004a=<728qC=<:<;o3112<6=1=0qc?=7d;94?7|@8;??6`>245950>?3td:>:kn:182M76<:1e=?;8:07;=>{i9;=nn7>51zJ2515;7?:8`9~j440mj0;60:95l4}o313`b=83;pD26gf>5<6sA;:8>5a1374>43?l2we=?9jf;295~N69=90b<<:7;36<`=zf8859d8yk75?o;1<7?tH0377>h6:<=1=87?;|l262`5290:wE?>429m573028?2=6sa135e7?6=9rB:=9=4n0063?721;1vb<<8f583>4}O98>87c?=56821<589514;7?xh6:>l=6=4>{I3206=i9;?<6<;65:m571a?3:1=vF>1518j442?3;>5;5rn004b=<728qC=<:<;o3112<6=0=0qc?=7g;94?7|@8;??6`>245950??3td:>:hn:182M76<:1e=?;8:07:=>{i9;=mn7>51zJ2515;7?:9`9~j440nj0;60:94l4}o313cb=83;pD26df>5<6sA;:8>5a1374>43>l2we=?9if;295~N69=90b<<:7;36=`=zf883<=4?:0yK54243g;99:4>58d8yk7509;1<7?tH0377>h6:<=1=8o?;|l26=65290:wE?>429m573028?j=6sa13:37?6=9rB:=9=4n0063?72i;1vb<<70583>4}O98>87c?=56821d589514c7?xh6:1:=6=4>{I3206=i9;?<6<;n5:m57>7?3:1=vF>1518j442?3;>m;5rn00;4=<728qC=<:<;o3112<6=h=0qc?=81;94?7|@8;??6`>245950g?3td:>5>n:182M76<:1e=?;8:07b=>{i9;2;n7>51zJ2515;7?:a`9~j44?8j0;60:9ll4}o31<5b=83;pD292f>5<6sA;:8>5a1374>43fl2we=?6?f;295~N69=90b<<:7;36e`=zf883==4?:0yK54243g;99:4>5`d8yk7508;1<7?tH0377>h6:<=1=8l?;|l26=75290:wE?>429m573028?i=6sa13:27?6=9rB:=9=4n0063?72j;1vb<<71583>4}O98>87c?=56821g589514`7?xh6:1;=6=4>{I3206=i9;?<6<;m5:m57>6?3:1=vF>1518j442?3;>n;5rn00;5=<728qC=<:<;o3112<6=k=0qc?=80;94?7|@8;??6`>245950d?3td:>5?n:182M76<:1e=?;8:07a=>{i9;2:n7>51zJ2515;7?:b`9~j44?9j0;60:9ol4}o31<4b=83;pD293f>5<6sA;:8>5a1374>43el2we=?6>f;295~N69=90b<<:7;36f`=zf883>=4?:0yK54243g;99:4>5cd8yk750;;1<7?tH0377>h6:<=1=8m?;|l26=45290:wE?>429m573028?h=6sa13:17?6=9rB:=9=4n0063?72k;1vb<<72583>4}O98>87c?=56821f589514a7?xh6:18=6=4>{I3206=i9;?<6<;l5:m57>5?3:1=vF>1518j442?3;>o;5rn00;6=<728qC=<:<;o3112<6=j=0qc?=83;94?7|@8;??6`>245950e?3td:>5{i9;29n7>51zJ2515;7?:c`9~j44?:j0;60:9nl4}o31<7b=83;pD290f>5<6sA;:8>5a1374>43dl2we=?6=f;295~N69=90b<<:7;36g`=zf883?=4?:0yK54243g;99:4>5bd8yk750:;1<7?tH0377>h6:<=1=8j?;|l26=55290:wE?>429m573028?o=6sa13:07?6=9rB:=9=4n0063?72l;1vb<<73583>4}O98>87c?=56821a5;50;3xL473;2d:>89514f7?xh6:19=6=4>{I3206=i9;?<6<;k5:m57>4?3:1=vF>1518j442?3;>h;5rn00;7=<728qC=<:<;o3112<6=m=0qc?=82;94?7|@8;??6`>245950b?3td:>5=n:182M76<:1e=?;8:07g=>{i9;28n7>51zJ2515;7?:d`9~j44?;j0;60:9il4}o31<6b=83;pD291f>5<6sA;:8>5a1374>43cl2we=?65ed8yk750=;1<7?tH0377>h6:<=1=8k?;|l26=25290:wE?>429m573028?n=6sa13:77?6=9rB:=9=4n0063?72m;1vb<<74583>4}O98>87c?=56821`589514g7?xh6:1>=6=4>{I3206=i9;?<6<;j5:m57>3?3:1=vF>1518j442?3;>i;5rn00;0=<728qC=<:<;o3112<6=l=0qc?=85;94?7|@8;??6`>245950c?3td:>5:n:182M76<:1e=?;8:07f=>{i9;2?n7>51zJ2515;7?:e`9~j44?0:9hl4}o31<1b=83;pD296f>5<6sA;:8>5a1374>43bl2we=?6;f;295~N69=90b<<:7;36a`=zf8839=4?:0yK54243g;99:4>5dd8yk750<;1<7?tH0377>h6:<=1=8h?;|l26=35290:wE?>429m573028?m=6sa13:67?6=9rB:=9=4n0063?72n;1vb<<75583>4}O98>87c?=56821c589514d7?xh6:1?=6=4>{I3206=i9;?<6<;i5:m57>2?3:1=vF>1518j442?3;>j;5rn00;1=<728qC=<:<;o3112<6=o=0qc?=84;94?7|@8;??6`>245950`?3td:>5;n:182M76<:1e=?;8:07e=>{i9;2>n7>51zJ2515;7?:f`9~j44?=j0;60:9kl4}o31<0b=83;pD297f>5<6sA;:8>5a1374>43al2we=?6:f;295~N69=90b<<:7;36b`=zf883:=4?:0yK54243g;99:4>5gd8yk750?;1<7?tH0377>h6:<=1=;>?;|l26=05290:wE?>429m573028<;=6sa13:57?6=9rB:=9=4n0063?718;1vb<<76583>4}O98>87c?=56822558951727?xh6:1<=6=4>{I3206=i9;?<6<8?5:m57>1?3:1=vF>1518j442?3;=<;5rn00;2=<728qC=<:<;o3112<6>9=0qc?=87;94?7|@8;??6`>2459536?3td:>58n:182M76<:1e=?;8:043=>{i9;2=n7>51zJ2515;7?90`9~j44?>j0;60::=l4}o31<3b=83;pD294f>5<6sA;:8>5a1374>407l2we=?69f;295~N69=90b<<:7;354`=zf883;=4?:0yK54243g;99:4>61d8yk750>;1<7?tH0377>h6:<=1=;??;|l26=15290:wE?>429m573028<:=6sa13:47?6=9rB:=9=4n0063?719;1vb<<77583>4}O98>87c?=56822458951737?xh6:1==6=4>{I3206=i9;?<6<8>5:m57>0?3:1=vF>1518j442?3;==;5rn00;3=<728qC=<:<;o3112<6>8=0qc?=86;94?7|@8;??6`>2459537?3td:>59n:182M76<:1e=?;8:042=>{i9;251zJ2515;7?91`9~j44??j0;60::295f>5<6sA;:8>5a1374>406l2we=?68f;295~N69=90b<<:7;355`=zf8834=4?:0yK54243g;99:4>60d8yk7501;1<7?tH0377>h6:<=1=;5290:wE?>429m573028<9=6sa13:;7?6=9rB:=9=4n0063?71:;1vb<<78583>4}O98>87c?=56822758951707?xh6:12=6=4>{I3206=i9;?<6<8=5:m57>??3:1=vF>1518j442?3;=>;5rn00;<=<728qC=<:<;o3112<6>;=0qc?=89;94?7|@8;??6`>2459534?3td:>56n:182M76<:1e=?;8:041=>{i9;23n7>51zJ2515;7?92`9~j44?0j0;60::?l4}o31<=b=83;pD29:f>5<6sA;:8>5a1374>405l2we=?67f;295~N69=90b<<:7;356`=zf8835=4?:0yK54243g;99:4>63d8yk7500;1<7?tH0377>h6:<=1=;=?;|l26=?5290:wE?>429m573028<8=6sa13::7?6=9rB:=9=4n0063?71;;1vb<<79583>4}O98>87c?=56822658951717?xh6:13=6=4>{I3206=i9;?<6<8<5:m57>>?3:1=vF>1518j442?3;=?;5rn00;==<728qC=<:<;o3112<6>:=0qc?=88;94?7|@8;??6`>2459535?3td:>57n:182M76<:1e=?;8:040=>{i9;22n7>51zJ2515;7?93`9~j44?1j0;60::>l4}o31<29;f>5<6sA;:8>5a1374>404l2we=?66f;295~N69=90b<<:7;357`=zf883m=4?:0yK54243g;99:4>62d8yk750h;1<7?tH0377>h6:<=1=;:?;|l26=g5290:wE?>429m5730284}O98>87c?=56822158951767?xh6:1k=6=4>{I3206=i9;?<6<8;5:m57>f?3:1=vF>1518j442?3;=8;5rn00;e=<728qC=<:<;o3112<6>==0qc?=8`;94?7|@8;??6`>2459532?3td:>5on:182M76<:1e=?;8:047=>{i9;2jn7>51zJ2515;7?94`9~j44?ij0;60::9l4}o31h7p`>29cf>5<6sA;:8>5a1374>403l2we=?6nf;295~N69=90b<<:7;350`=zf883n=4?:0yK54243g;99:4>65d8yk750k;1<7?tH0377>h6:<=1=;;?;|l26=d5290:wE?>429m573028<>=6sa13:a7?6=9rB:=9=4n0063?71=;1vb<<7b583>4}O98>87c?=56822058951777?xh6:1h=6=4>{I3206=i9;?<6<8:5:m57>e?3:1=vF>1518j442?3;=9;5rn00;f=<728qC=<:<;o3112<6><=0qc?=8c;94?7|@8;??6`>2459533?3td:>5ln:182M76<:1e=?;8:046=>{i9;2in7>51zJ2515;7?95`9~j44?jj0;60::8l4}o3129`f>5<6sA;:8>5a1374>402l2we=?6mf;295~N69=90b<<:7;351`=zf883o=4?:0yK54243g;99:4>64d8yk750j;1<7?tH0377>h6:<=1=;8?;|l26=e5290:wE?>429m573028<==6sa13:`7?6=9rB:=9=4n0063?71>;1vb<<7c583>4}O98>87c?=56822358951747?xh6:1i=6=4>{I3206=i9;?<6<895:m57>d?3:1=vF>1518j442?3;=:;5rn00;g=<728qC=<:<;o3112<6>?=0qc?=8b;94?7|@8;??6`>2459530?3td:>5mn:182M76<:1e=?;8:045=>{i9;2hn7>51zJ2515;7?96`9~j44?kj0;60::;l4}o3129af>5<6sA;:8>5a1374>401l2we=?6lf;295~N69=90b<<:7;352`=zf883h=4?:0yK54243g;99:4>67d8yk750m;1<7?tH0377>h6:<=1=;9?;|l26=b5290:wE?>429m573028<<=6sa13:g7?6=9rB:=9=4n0063?71?;1vb<<7d583>4}O98>87c?=56822258951757?xh6:1n=6=4>{I3206=i9;?<6<885:m57>c?3:1=vF>1518j442?3;=;;5rn00;`=<728qC=<:<;o3112<6>>=0qc?=8e;94?7|@8;??6`>2459531?3td:>5jn:182M76<:1e=?;8:044=>{i9;2on7>51zJ2515;7?97`9~j44?lj0;60:::l4}o3129ff>5<6sA;:8>5a1374>400l2we=?6kf;295~N69=90b<<:7;353`=zf883i=4?:0yK54243g;99:4>66d8yk750l;1<7?tH0377>h6:<=1=;6?;|l26=c5290:wE?>429m573028<3=6sa13:f7?6=9rB:=9=4n0063?710;1vb<<7e583>4}O98>87c?=56822=589517:7?xh6:1o=6=4>{I3206=i9;?<6<875:m57>b?3:1=vF>1518j442?3;=4;5rn00;a=<728qC=<:<;o3112<6>1=0qc?=8d;94?7|@8;??6`>245953>?3td:>5kn:182M76<:1e=?;8:04;=>{i9;2nn7>51zJ2515;7?98`9~j44?mj0;60::5l4}o31<`b=83;pD29gf>5<6sA;:8>5a1374>40?l2we=?6jf;295~N69=90b<<:7;35<`=zf883j=4?:0yK54243g;99:4>69d8yk750o;1<7?tH0377>h6:<=1=;7?;|l26=`5290:wE?>429m573028<2=6sa13:e7?6=9rB:=9=4n0063?711;1vb<<7f583>4}O98>87c?=56822<589517;7?xh6:1l=6=4>{I3206=i9;?<6<865:m57>a?3:1=vF>1518j442?3;=5;5rn00;b=<728qC=<:<;o3112<6>0=0qc?=8g;94?7|@8;??6`>245953??3td:>5hn:182M76<:1e=?;8:04:=>{i9;2mn7>51zJ2515;7?99`9~j44?nj0;60::4l4}o3129df>5<6sA;:8>5a1374>40>l2we=?6if;295~N69=90b<<:7;35=`=zf882<=4?:0yK54243g;99:4>68d8yk7519;1<7?tH0377>h6:<=1=;o?;|l26<65290:wE?>429m5730284}O98>87c?=56822d589517c7?xh6:0:=6=4>{I3206=i9;?<6<8n5:m57?7?3:1=vF>1518j442?3;=m;5rn00:4=<728qC=<:<;o3112<6>h=0qc?=91;94?7|@8;??6`>245953g?3td:>4>n:182M76<:1e=?;8:04b=>{i9;3;n7>51zJ2515;7?9a`9~j44>8j0;60::ll4}o31=5b=83;pD282f>5<6sA;:8>5a1374>40fl2we=?7?f;295~N69=90b<<:7;35e`=zf882==4?:0yK54243g;99:4>6`d8yk7518;1<7?tH0377>h6:<=1=;l?;|l26<75290:wE?>429m5730284}O98>87c?=56822g589517`7?xh6:0;=6=4>{I3206=i9;?<6<8m5:m57?6?3:1=vF>1518j442?3;=n;5rn00:5=<728qC=<:<;o3112<6>k=0qc?=90;94?7|@8;??6`>245953d?3td:>4?n:182M76<:1e=?;8:04a=>{i9;3:n7>51zJ2515;7?9b`9~j44>9j0;60::ol4}o31=4b=83;pD283f>5<6sA;:8>5a1374>40el2we=?7>f;295~N69=90b<<:7;35f`=zf882>=4?:0yK54243g;99:4>6cd8yk751;;1<7?tH0377>h6:<=1=;m?;|l26<45290:wE?>429m5730284}O98>87c?=56822f589517a7?xh6:08=6=4>{I3206=i9;?<6<8l5:m57?5?3:1=vF>1518j442?3;=o;5rn00:6=<728qC=<:<;o3112<6>j=0qc?=93;94?7|@8;??6`>245953e?3td:>4{i9;39n7>51zJ2515;7?9c`9~j44>:j0;60::nl4}o31=7b=83;pD280f>5<6sA;:8>5a1374>40dl2we=?7=f;295~N69=90b<<:7;35g`=zf882?=4?:0yK54243g;99:4>6bd8yk751:;1<7?tH0377>h6:<=1=;j?;|l26<55290:wE?>429m5730284}O98>87c?=56822a5;50;3xL473;2d:>89517f7?xh6:09=6=4>{I3206=i9;?<6<8k5:m57?4?3:1=vF>1518j442?3;=h;5rn00:7=<728qC=<:<;o3112<6>m=0qc?=92;94?7|@8;??6`>245953b?3td:>4=n:082M76<:1e=?;8:04g=>{i9;38n7>51zJ2515;7?9d`9~j44>;j0;60::il4}o31=6b=83;pD281f>5<6sA;:8>5a1374>40cl2we=?76ed8yk751=;1<7?tH0377>h6:<=1=;k?;|l26<25290:wE?>429m5730284}O98>87c?=56822`589517g7?xh6:0>=6=4>{I3206=i9;?<6<8j5:m57?3?3:1=vF>1518j442?3;=i;5rn00:0=<728qC=<:<;o3112<6>l=0qc?=95;95?7|@8;??6`>245953c?3td:>4:n:082M76<:1e=?;8:04f=>{i9;3?n7>51zJ2515;7?9e`9~j44>0::hl4}o31=1b=93;pD286f>4<6sA;:8>5a1374>40bl2we=?7;f;395~N69=90b<<:7;35a`=zf8829=4>:0yK54243g;99:4>6dd8yk751<;1=7?tH0377>h6:<=1=;h?;|l26<35280:wE?>429m5730284}O98>87c?=56822c589517d7?xh6:0?=6<4>{I3206=i9;?<6<8i5:m57?2?3;1=vF>1518j442?3;=j;5rn00:1=<628qC=<:<;o3112<6>o=0qc?=94;95?7|@8;??6`>245953`?3td:>4;n:082M76<:1e=?;8:04e=>{i9;3>n7>51zJ2515;7?9f`9~j44>=j0:60::kl4}o31=0b=93;pD287f>4<6sA;:8>5a1374>40al2we=?7:f;395~N69=90b<<:7;35b`=zf882:=4>:0yK54243g;99:4>6gd8yk751?;1=7?tH0377>h6:<=1=:>?;|l26<05280:wE?>429m573028=;=6sa13;57?7=9rB:=9=4n0063?708;1vb<<66582>4}O98>87c?=56823558951627?xh6:0<=6<4>{I3206=i9;?<6<9?5:m57?1?3:1=vF>1518j442?3;<<;5rn00:2=<628qC=<:<;o3112<6?9=0qc?=97;95?7|@8;??6`>2459526?3td:>48n:082M76<:1e=?;8:053=>{i9;3=n7?51zJ2515;7?80`9~j44>>j0:60:;=l4}o31=3b=93;pD:h7p`>284f>4<6sA;:8>5a1374>417l2we=?79f;395~N69=90b<<:7;344`=zf882;=4>:0yK54243g;99:4>71d8yk751>;1=7?tH0377>h6:<=1=:??;|l26<15280:wE?>429m573028=:=6sa13;47?6=9rB:=9=4n0063?709;1vb<<67582>4}O98>87c?=56823458951637?xh6:0==6<4>{I3206=i9;?<6<9>5:m57?0?3;1=vF>1518j442?3;<=;5rn00:3=<628qC=<:<;o3112<6?8=0qc?=96;95?7|@8;??6`>2459527?3td:>49n:082M76<:1e=?;8:052=>{i9;3;7?81`9~j44>?j0:60:;;h7p`>285f>4<6sA;:8>5a1374>416l2we=?78f;295~N69=90b<<:7;345`=zf8824=4?:0yK54243g;99:4>70d8yk7511;1<7?tH0377>h6:<=1=:5290:wE?>429m573028=9=6sa13;;7?6=9rB:=9=4n0063?70:;1vb<<68583>4}O98>87c?=56823758951607?xh6:02=6=4>{I3206=i9;?<6<9=5:m57???3:1=vF>1518j442?3;<>;5rn00:<=<728qC=<:<;o3112<6?;=0qc?=99;94?7|@8;??6`>2459524?3td:>46n:182M76<:1e=?;8:051=>{i9;33n7?51zJ2515;7?82`9~j44>0j0;60:;?l4}o31==b=83;pD8h7p`>28:f>5<6sA;:8>5a1374>415l2we=?77f;295~N69=90b<<:7;346`=zf8825=4?:0yK54243g;99:4>73d8yk7510;1<7?tH0377>h6:<=1=:=?;|l26429m573028=8=6sa13;:7?6=9rB:=9=4n0063?70;;1vb<<69583>4}O98>87c?=56823658951617?xh6:03=6=4>{I3206=i9;?<6<9<5:m57?>?3:1=vF>1518j442?3;2459525?3td:>47n:182M76<:1e=?;8:050=>{i9;32n7>51zJ2515;7?83`9~j44>1j0;60:;>l4}o31=9h7p`>28;f>5<6sA;:8>5a1374>414l2we=?76f;295~N69=90b<<:7;347`=zf882m=4?:0yK54243g;99:4>72d8yk751h;1<7?tH0377>h6:<=1=::?;|l26429m573028=?=6sa13;b7?6=9rB:=9=4n0063?70<;1vb<<6a583>4}O98>87c?=56823158951667?xh6:0k=6=4>{I3206=i9;?<6<9;5:m57?f?3:1=vF>1518j442?3;<8;5rn00:e=<728qC=<:<;o3112<6?==0qc?=9`;94?7|@8;??6`>2459522?3td:>4on:182M76<:1e=?;8:057=>{i9;3jn7>51zJ2515;7?84`9~j44>ij0;60:;9l4}o31=db=83;pD>h7p`>28cf>5<6sA;:8>5a1374>413l2we=?7nf;295~N69=90b<<:7;340`=zf882n=4?:0yK54243g;99:4>75d8yk751k;1<7?tH0377>h6:<=1=:;?;|l26429m573028=>=6sa13;a7?6=9rB:=9=4n0063?70=;1vb<<6b583>4}O98>87c?=56823058951677?xh6:0h=6=4>{I3206=i9;?<6<9:5:m57?e?3:1=vF>1518j442?3;<9;5rn00:f=<728qC=<:<;o3112<6?<=0qc?=9c;94?7|@8;??6`>2459523?3td:>4ln:182M76<:1e=?;8:056=>{i9;3in7>51zJ2515;7?85`9~j44>jj0;60:;8l4}o31=gb=83;pD?h7p`>28`f>4<6sA;:8>5a1374>412l2we=?7mf;295~N69=90b<<:7;341`=zf882o=4?:0yK54243g;99:4>74d8yk751j;1<7?tH0377>h6:<=1=:8?;|l26429m573028===6sa13;`7?6=9rB:=9=4n0063?70>;1vb<<6c583>4}O98>87c?=56823358951647?xh6:0i=6=4>{I3206=i9;?<6<995:m57?d?3:1=vF>1518j442?3;<:;5rn00:g=<728qC=<:<;o3112<6??=0qc?=9b;94?7|@8;??6`>2459520?3td:>4mn:182M76<:1e=?;8:055=>{i9;3hn7>51zJ2515;7?86`9~j44>kj0;60:;;l4}o31=fb=83;pD28af>5<6sA;:8>5a1374>411l2we=?7lf;295~N69=90b<<:7;342`=zf882h=4?:0yK54243g;99:4>77d8yk751m;1<7?tH0377>h6:<=1=:9?;|l26429m573028=<=6sa13;g7?6=9rB:=9=4n0063?70?;1vb<<6d583>4}O98>87c?=56823258951657?xh6:0n=6=4>{I3206=i9;?<6<985:m57?c?3:1=vF>1518j442?3;<;;5rn00:`=<728qC=<:<;o3112<6?>=0qc?=9e;94?7|@8;??6`>2459521?3td:>4jn:182M76<:1e=?;8:054=>{i9;3on7>51zJ2515;7?87`9~j44>lj0;60:;:l4}o31=ab=83;pD=h7p`>28ff>5<6sA;:8>5a1374>410l2we=?7kf;295~N69=90b<<:7;343`=zf882i=4?:0yK54243g;99:4>76d8yk751l;1<7?tH0377>h6:<=1=:6?;|l26429m573028=3=6sa13;f7?6=9rB:=9=4n0063?700;1vb<<6e583>4}O98>87c?=56823=589516:7?xh6:0o=6=4>{I3206=i9;?<6<975:m57?b?3:1=vF>1518j442?3;<4;5rn00:a=<728qC=<:<;o3112<6?1=0qc?=9d;94?7|@8;??6`>245952>?3td:>4kn:182M76<:1e=?;8:05;=>{i9;3nn7>51zJ2515;7?88`9~j44>mj0;60:;5l4}o31=`b=83;pD2h7p`>28gf>5<6sA;:8>5a1374>41?l2we=?7jf;295~N69=90b<<:7;34<`=zf882j=4?:0yK54243g;99:4>79d8yk751o;1<7?tH0377>h6:<=1=:7?;|l26<`5290:wE?>429m573028=2=6sa13;e7?6=9rB:=9=4n0063?701;1vb<<6f583>4}O98>87c?=56823<589516;7?xh6:0l=6=4>{I3206=i9;?<6<965:m57?a?3;1=vF>1518j442?3;<5;5rn00:b=<728qC=<:<;o3112<6?0=0qc?=9g;94?7|@8;??6`>245952??3td:>4hn:182M76<:1e=?;8:05:=>{i9;3mn7>51zJ2515;7?89`9~j44>nj0;60:;4l4}o31=cb=83;pD3h7p`>28df>5<6sA;:8>5a1374>41>l2we=?7if;295~N69=90b<<:7;34=`=zf88j<=4?:0yK54243g;99:4>78d8yk75i9;1<7?tH0377>h6:<=1=:o?;|l26d65290:wE?>429m573028=j=6sa13c37?6=9rB:=9=4n0063?70i;1vb<4}O98>87c?=56823d589516c7?xh6:h:=6=4>{I3206=i9;?<6<9n5:m57g7?3:1=vF>1518j442?3;245952g?3td:>l>n:182M76<:1e=?;8:05b=>{i9;k;n7>51zJ2515;7?8a`9~j44f8j0;60:;ll4}o31e5b=83;pDkh7p`>2`2f>5<6sA;:8>5a1374>41fl2we=?o?f;295~N69=90b<<:7;34e`=zf88j==4?:0yK54243g;99:4>7`d8yk75i8;1<7?tH0377>h6:<=1=:l?;|l26d75290:wE?>429m573028=i=6sa13c27?6=9rB:=9=4n0063?70j;1vb<4}O98>87c?=56823g589516`7?xh6:h;=6=4>{I3206=i9;?<6<9m5:m57g6?3:1=vF>1518j442?3;245952d?3td:>l?n:182M76<:1e=?;8:05a=>{i9;k:n7>51zJ2515;7?8b`9~j44f9j0;60:;ol4}o31e4b=83;pDhh7p`>2`3f>5<6sA;:8>5a1374>41el2we=?o>f;295~N69=90b<<:7;34f`=zf88j>=4?:0yK54243g;99:4>7cd8yk75i;;1<7?tH0377>h6:<=1=:m?;|l26d45290:wE?>429m573028=h=6sa13c17?6=9rB:=9=4n0063?70k;1vb<4}O98>87c?=56823f589516a7?xh6:h8=6=4>{I3206=i9;?<6<9l5:m57g5?3:1=vF>1518j442?3;245952e?3td:>l{i9;k9n7>51zJ2515;7?8c`9~j44f:j0;60:;nl4}o31e7b=83;pDih7p`>2`0f>5<6sA;:8>5a1374>41dl2we=?o=f;295~N69=90b<<:7;34g`=zf88j?=4?:0yK54243g;99:4>7bd8yk75i:;1<7?tH0377>h6:<=1=:j?;|l26d55290:wE?>429m573028=o=6sa13c07?6=9rB:=9=4n0063?70l;1vb<4}O98>87c?=56823a5;50;3xL473;2d:>89516f7?xh6:h9=6=4>{I3206=i9;?<6<9k5:m57g4?3:1=vF>1518j442?3;245952b?3td:>l=n:182M76<:1e=?;8:05g=>{i9;k8n7>51zJ2515;7?8d`9~j44f;j0;60:;il4}o31e6b=83;pDnh7p`>2`1f>5<6sA;:8>5a1374>41cl2we=?o7ed8yk75i=;1<7?tH0377>h6:<=1=:k?;|l26d25290:wE?>429m573028=n=6sa13c77?6=9rB:=9=4n0063?70m;1vb<4}O98>87c?=56823`589516g7?xh6:h>=6=4>{I3206=i9;?<6<9j5:m57g3?3:1=vF>1518j442?3;245952c?3td:>l:n:182M76<:1e=?;8:05f=>{i9;k?n7>51zJ2515;7?8e`9~j44f0:;hl4}o31e1b=83;pDoh7p`>2`6f>5<6sA;:8>5a1374>41bl2we=?o;f;295~N69=90b<<:7;34a`=zf88j9=4?:0yK54243g;99:4>7dd8yk75i<;1<7?tH0377>h6:<=1=:h?;|l26d35290:wE?>429m573028=m=6sa13c67?6=9rB:=9=4n0063?70n;1vb<4}O98>87c?=56823c589516d7?xh6:h?=6=4>{I3206=i9;?<6<9i5:m57g2?3:1=vF>1518j442?3;245952`?3td:>l;n:182M76<:1e=?;8:05e=>{i9;k>n7>51zJ2515;7?8f`9~j44f=j0;60:;kl4}o31e0b=83;pDlh7p`>2`7f>5<6sA;:8>5a1374>41al2we=?o:f;295~N69=90b<<:7;34b`=zf88j:=4?:0yK54243g;99:4>7gd8yk75i?;1<7?tH0377>h6:<=1=5>?;|l26d05290:wE?>429m5730282;=6sa13c57?6=9rB:=9=4n0063?7?8;1vb<4}O98>87c?=5682<558951927?xh6:h<=6=4>{I3206=i9;?<6<6?5:m57g1?3:1=vF>1518j442?3;3<;5rn00b2=<728qC=<:<;o3112<609=0qc?=a7;94?7|@8;??6`>24595=6?3td:>l8n:182M76<:1e=?;8:0:3=>{i9;k=n7>51zJ2515;7?70`9~j44f>j0;60:4=l4}o31e3b=83;pD2`4f>5<6sA;:8>5a1374>4>7l2we=?o9f;295~N69=90b<<:7;3;4`=zf88j;=4?:0yK54243g;99:4>81d8yk75i>;1<7?tH0377>h6:<=1=5??;|l26d15290:wE?>429m5730282:=6sa13c47?6=9rB:=9=4n0063?7?9;1vb<4}O98>87c?=5682<458951937?xh6:h==6=4>{I3206=i9;?<6<6>5:m57g0?3:1=vF>1518j442?3;3=;5rn00b3=<728qC=<:<;o3112<608=0qc?=a6;94?7|@8;??6`>24595=7?3td:>l9n:182M76<:1e=?;8:0:2=>{i9;k51zJ2515;7?71`9~j44f?j0;60:42`5f>5<6sA;:8>5a1374>4>6l2we=?o8f;295~N69=90b<<:7;3;5`=zf88j4=4?:0yK54243g;99:4>80d8yk75i1;1<7?tH0377>h6:<=1=55290:wE?>429m57302829=6sa13c;7?6=9rB:=9=4n0063?7?:;1vb<4}O98>87c?=5682<758951907?xh6:h2=6=4>{I3206=i9;?<6<6=5:m57g??3:1=vF>1518j442?3;3>;5rn00b<=<728qC=<:<;o3112<60;=0qc?=a9;94?7|@8;??6`>24595=4?3td:>l6n:182M76<:1e=?;8:0:1=>{i9;k3n7>51zJ2515;7?72`9~j44f0j0;60:4?l4}o31e=b=83;pD2`:f>5<6sA;:8>5a1374>4>5l2we=?o7f;295~N69=90b<<:7;3;6`=zf88j5=4?:0yK54243g;99:4>83d8yk75i0;1<7?tH0377>h6:<=1=5=?;|l26d?5290:wE?>429m57302828=6sa13c:7?6=9rB:=9=4n0063?7?;;1vb<4}O98>87c?=5682<658951917?xh6:h3=6=4>{I3206=i9;?<6<6<5:m57g>?3:1=vF>1518j442?3;3?;5rn00b==<728qC=<:<;o3112<60:=0qc?=a8;94?7|@8;??6`>24595=5?3td:>l7n:182M76<:1e=?;8:0:0=>{i9;k2n7>51zJ2515;7?73`9~j44f1j0;60:4>l4}o31e2`;f>5<6sA;:8>5a1374>4>4l2we=?o6f;295~N69=90b<<:7;3;7`=zf88jm=4?:0yK54243g;99:4>82d8yk75ih;1<7?tH0377>h6:<=1=5:?;|l26dg5290:wE?>429m5730282?=6sa13cb7?6=9rB:=9=4n0063?7?<;1vb<4}O98>87c?=5682<158951967?xh6:hk=6=4>{I3206=i9;?<6<6;5:m57gf?3:1=vF>1518j442?3;38;5rn00be=<728qC=<:<;o3112<60==0qc?=a`;94?7|@8;??6`>24595=2?3td:>lon:182M76<:1e=?;8:0:7=>{i9;kjn7>51zJ2515;7?74`9~j44fij0;60:49l4}o31edb=83;pDh7p`>2`cf>5<6sA;:8>5a1374>4>3l2we=?onf;295~N69=90b<<:7;3;0`=zf88jn=4?:0yK54243g;99:4>85d8yk75ik;1<7?tH0377>h6:<=1=5;?;|l26dd5290:wE?>429m5730282>=6sa13ca7?6=9rB:=9=4n0063?7?=;1vb<4}O98>87c?=5682<058951977?xh6:hh=6=4>{I3206=i9;?<6<6:5:m57ge?3:1=vF>1518j442?3;39;5rn00bf=<728qC=<:<;o3112<60<=0qc?=ac;94?7|@8;??6`>24595=3?3td:>lln:182M76<:1e=?;8:0:6=>{i9;kin7>51zJ2515;7?75`9~j44fjj0;60:48l4}o31egb=83;pD2``f>5<6sA;:8>5a1374>4>2l2we=?omf;295~N69=90b<<:7;3;1`=zf88jo=4?:0yK54243g;99:4>84d8yk75ij;1<7?tH0377>h6:<=1=58?;|l26de5290:wE?>429m5730282==6sa13c`7?6=9rB:=9=4n0063?7?>;1vb<4}O98>87c?=5682<358951947?xh6:hi=6=4>{I3206=i9;?<6<695:m57gd?3:1=vF>1518j442?3;3:;5rn00bg=<728qC=<:<;o3112<60?=0qc?=ab;94?7|@8;??6`>24595=0?3td:>lmn:182M76<:1e=?;8:0:5=>{i9;khn7>51zJ2515;7?76`9~j44fkj0;60:4;l4}o31efb=83;pD2`af>5<6sA;:8>5a1374>4>1l2we=?olf;295~N69=90b<<:7;3;2`=zf88jh=4?:0yK54243g;99:4>87d8yk75im;1<7?tH0377>h6:<=1=59?;|l26db5290:wE?>429m5730282<=6sa13cg7?6=9rB:=9=4n0063?7??;1vb<4}O98>87c?=5682<258951957?xh6:hn=6=4>{I3206=i9;?<6<685:m57gc?3:1=vF>1518j442?3;3;;5rn00b`=<728qC=<:<;o3112<60>=0qc?=ae;94?7|@8;??6`>24595=1?3td:>ljn:182M76<:1e=?;8:0:4=>{i9;kon7>51zJ2515;7?77`9~j44flj0;60:4:l4}o31eab=83;pD2`ff>5<6sA;:8>5a1374>4>0l2we=?okf;295~N69=90b<<:7;3;3`=zf88ji=4?:0yK54243g;99:4>86d8yk75il;1<7?tH0377>h6:<=1=56?;|l26dc5290:wE?>429m57302823=6sa13cf7?6=9rB:=9=4n0063?7?0;1vb<4}O98>87c?=5682<=589519:7?xh6:ho=6=4>{I3206=i9;?<6<675:m57gb?3:1=vF>1518j442?3;34;5rn00ba=<728qC=<:<;o3112<601=0qc?=ad;94?7|@8;??6`>24595=>?3td:>lkn:182M76<:1e=?;8:0:;=>{i9;knn7>51zJ2515;7?78`9~j44fmj0;60:45l4}o31e`b=83;pD2`gf>5<6sA;:8>5a1374>4>?l2we=?ojf;295~N69=90b<<:7;3;<`=zf88jj=4?:0yK54243g;99:4>89d8yk75io;1<7?tH0377>h6:<=1=57?;|l26d`5290:wE?>429m57302822=6sa13ce7?6=9rB:=9=4n0063?7?1;1vb<4}O98>87c?=5682<<589519;7?xh6:hl=6=4>{I3206=i9;?<6<665:m57ga?3:1=vF>1518j442?3;35;5rn00bb=<728qC=<:<;o3112<600=0qc?=ag;94?7|@8;??6`>24595=??3td:>lhn:182M76<:1e=?;8:0::=>{i9;kmn7>51zJ2515;7?79`9~j44fnj0;60:44l4}o31ecb=83;pD2`df>5<6sA;:8>5a1374>4>>l2we=?oif;295~N69=90b<<:7;3;=`=zf88i<=4?:0yK54243g;99:4>88d8yk75j9;1<7?tH0377>h6:<=1=5o?;|l26g65290:wE?>429m5730282j=6sa13`37?6=9rB:=9=4n0063?7?i;1vb<4}O98>87c?=568289519c7?xh6:k:=6=4>{I3206=i9;?<6<6n5:m57d7?3:1=vF>1518j442?3;3m;5rn00a4=<728qC=<:<;o3112<60h=0qc?=b1;94?7|@8;??6`>24595=g?3td:>o>n:182M76<:1e=?;8:0:b=>{i9;h;n7>51zJ2515;7?7a`9~j44e8j0;60:4ll4}o31f5b=83;pD2c2f>5<6sA;:8>5a1374>4>fl2we=?l?f;295~N69=90b<<:7;3;e`=zf88i==4?:0yK54243g;99:4>8`d8yk75j8;1<7?tH0377>h6:<=1=5l?;|l26g75280:wE?>429m5730282i=6sa13`27?6=9rB:=9=4n0063?7?j;1vb<4}O98>87c?=568289519`7?xh6:k;=6=4>{I3206=i9;?<6<6m5:m57d6?3:1=vF>1518j442?3;3n;5rn00a5=<728qC=<:<;o3112<60k=0qc?=b0;94?7|@8;??6`>24595=d?3td:>o?n:182M76<:1e=?;8:0:a=>{i9;h:n7>51zJ2515;7?7b`9~j44e9j0;60:4ol4}o31f4b=83;pD2c3f>5<6sA;:8>5a1374>4>el2we=?l>f;295~N69=90b<<:7;3;f`=zf88i>=4?:0yK54243g;99:4>8cd8yk75j;;1<7?tH0377>h6:<=1=5m?;|l26g45290:wE?>429m5730282h=6sa13`17?7=9rB:=9=4n0063?7?k;1vb<4}O98>87c?=568289519a7?xh6:k8=6=4>{I3206=i9;?<6<6l5:m57d5?3:1=vF>1518j442?3;3o;5rn00a6=<728qC=<:<;o3112<60j=0qc?=b3;94?7|@8;??6`>24595=e?3td:>o{i9;h9n7>51zJ2515;7?7c`9~j44e:j0;60:4nl4}o31f7b=83;pD2c0f>5<6sA;:8>5a1374>4>dl2we=?l=f;295~N69=90b<<:7;3;g`=zf88i?=4?:0yK54243g;99:4>8bd8yk75j:;1<7?tH0377>h6:<=1=5j?;|l26g55290:wE?>429m5730282o=6sa13`07?6=9rB:=9=4n0063?7?l;1vb<4}O98>87c?=5682;50;3xL473;2d:>89519f7?xh6:k9=6=4>{I3206=i9;?<6<6k5:m57d4?3:1=vF>1518j442?3;3h;5rn00a7=<728qC=<:<;o3112<60m=0qc?=b2;94?7|@8;??6`>24595=b?3td:>o=n:182M76<:1e=?;8:0:g=>{i9;h8n7>51zJ2515;7?7d`9~j44e;j0;60:4il4}o31f6b=83;pD2c1f>5<6sA;:8>5a1374>4>cl2we=?l8ed8yk75j=;1<7?tH0377>h6:<=1=5k?;|l26g25290:wE?>429m5730282n=6sa13`77?6=9rB:=9=4n0063?7?m;1vb<4}O98>87c?=5682<`589519g7?xh6:k>=6=4>{I3206=i9;?<6<6j5:m57d3?3:1=vF>1518j442?3;3i;5rn00a0=<728qC=<:<;o3112<60l=0qc?=b5;94?7|@8;??6`>24595=c?3td:>o:n:182M76<:1e=?;8:0:f=>{i9;h?n7>51zJ2515;7?7e`9~j44e0:4hl4}o31f1b=83;pD2c6f>5<6sA;:8>5a1374>4>bl2we=?l;f;295~N69=90b<<:7;3;a`=zf88i9=4?:0yK54243g;99:4>8dd8yk75j<;1<7?tH0377>h6:<=1=5h?;|l26g35290:wE?>429m5730282m=6sa13`67?6=9rB:=9=4n0063?7?n;1vb<4}O98>87c?=568289519d7?xh6:k?=6=4>{I3206=i9;?<6<6i5:m57d2?3:1=vF>1518j442?3;3j;5rn00a1=<728qC=<:<;o3112<60o=0qc?=b4;94?7|@8;??6`>24595=`?3td:>o;n:182M76<:1e=?;8:0:e=>{i9;h>n7>51zJ2515;7?7f`9~j44e=j0;60:4kl4}o31f0b=83;pD2c7f>5<6sA;:8>5a1374>4>al2we=?l:f;295~N69=90b<<:7;3;b`=zf88i:=4?:0yK54243g;99:4>8gd8yk75j?;1<7?tH0377>h6:<=1=4>?;|l26g05290:wE?>429m5730283;=6sa13`57?6=9rB:=9=4n0063?7>8;1vb<4}O98>87c?=5682=558951827?xh6:k<=6=4>{I3206=i9;?<6<7?5:m57d1?3:1=vF>1518j442?3;2<;5rn00a2=<728qC=<:<;o3112<619=0qc?=b7;94?7|@8;??6`>24595<6?3td:>o8n:182M76<:1e=?;8:0;3=>{i9;h=n7>51zJ2515;7?60`9~j44e>j0;60:5=l4}o31f3b=83;pD2c4f>5<6sA;:8>5a1374>4?7l2we=?l9f;295~N69=90b<<:7;3:4`=zf88i;=4?:0yK54243g;99:4>91d8yk75j>;1<7?tH0377>h6:<=1=4??;|l26g15290:wE?>429m5730283:=6sa13`47?6=9rB:=9=4n0063?7>9;1vb<4}O98>87c?=5682=458951837?xh6:k==6=4>{I3206=i9;?<6<7>5:m57d0?3:1=vF>1518j442?3;2=;5rn00a3=<728qC=<:<;o3112<618=0qc?=b6;94?7|@8;??6`>24595<7?3td:>o9n:182M76<:1e=?;8:0;2=>{i9;h51zJ2515;7?61`9~j44e?j0;60:52c5f>5<6sA;:8>5a1374>4?6l2we=?l8f;295~N69=90b<<:7;3:5`=zf88i4=4?:0yK54243g;99:4>90d8yk75j1;1<7?tH0377>h6:<=1=45290:wE?>429m57302839=6sa13`;7?6=9rB:=9=4n0063?7>:;1vb<4}O98>87c?=5682=758951807?xh6:k2=6=4>{I3206=i9;?<6<7=5:m57d??3:1=vF>1518j442?3;2>;5rn00a<=<728qC=<:<;o3112<61;=0qc?=b9;94?7|@8;??6`>24595<4?3td:>o6n:182M76<:1e=?;8:0;1=>{i9;h3n7>51zJ2515;7?62`9~j44e0j0;60:5?l4}o31f=b=83;pD2c:f>5<6sA;:8>5a1374>4?5l2we=?l7f;295~N69=90b<<:7;3:6`=zf88i5=4?:0yK54243g;99:4>93d8yk75j0;1<7?tH0377>h6:<=1=4=?;|l26g?5290:wE?>429m57302838=6sa13`:7?6=9rB:=9=4n0063?7>;;1vb<4}O98>87c?=5682=658951817?xh6:k3=6=4>{I3206=i9;?<6<7<5:m57d>?3:1=vF>1518j442?3;2?;5rn00a==<728qC=<:<;o3112<61:=0qc?=b8;94?7|@8;??6`>24595<5?3td:>o7n:182M76<:1e=?;8:0;0=>{i9;h2n7>51zJ2515;7?63`9~j44e1j0;60:5>l4}o31f2c;f>5<6sA;:8>5a1374>4?4l2we=?l6f;295~N69=90b<<:7;3:7`=zf88im=4?:0yK54243g;99:4>92d8yk75jh;1<7?tH0377>h6:<=1=4:?;|l26gg5290:wE?>429m5730283?=6sa13`b7?6=9rB:=9=4n0063?7><;1vb<4}O98>87c?=5682=158951867?xh6:kk=6=4>{I3206=i9;?<6<7;5:m57df?3:1=vF>1518j442?3;28;5rn00ae=<728qC=<:<;o3112<61==0qc?=b`;94?7|@8;??6`>24595<2?3td:>oon:182M76<:1e=?;8:0;7=>{i9;hjn7>51zJ2515;7?64`9~j44eij0;60:59l4}o31fdb=83;pDh7p`>2ccf>5<6sA;:8>5a1374>4?3l2we=?lnf;295~N69=90b<<:7;3:0`=zf88in=4?:0yK54243g;99:4>95d8yk75jk;1<7?tH0377>h6:<=1=4;?;|l26gd5290:wE?>429m5730283>=6sa13`a7?6=9rB:=9=4n0063?7>=;1vb<4}O98>87c?=5682=058951877?xh6:kh=6=4>{I3206=i9;?<6<7:5:m57de?3:1=vF>1518j442?3;29;5rn00af=<728qC=<:<;o3112<61<=0qc?=bc;94?7|@8;??6`>24595<3?3td:>oln:182M76<:1e=?;8:0;6=>{i9;hin7>51zJ2515;7?65`9~j44ejj0;60:58l4}o31fgb=83;pD2c`f>5<6sA;:8>5a1374>4?2l2we=?lmf;295~N69=90b<<:7;3:1`=zf88io=4?:0yK54243g;99:4>94d8yk75jj;1<7?tH0377>h6:<=1=48?;|l26ge5290:wE?>429m5730283==6sa13``7?6=9rB:=9=4n0063?7>>;1vb<4}O98>87c?=5682=358951847?xh6:ki=6=4>{I3206=i9;?<6<795:m57dd?3:1=vF>1518j442?3;2:;5rn00ag=<728qC=<:<;o3112<61?=0qc?=bb;94?7|@8;??6`>24595<0?3td:>omn:182M76<:1e=?;8:0;5=>{i9;hhn7>51zJ2515;7?66`9~j44ekj0;60:5;l4}o31ffb=83;pD2caf>5<6sA;:8>5a1374>4?1l2we=?llf;295~N69=90b<<:7;3:2`=zf88ih=4?:0yK54243g;99:4>97d8yk75jm;1<7?tH0377>h6:<=1=49?;|l26gb5290:wE?>429m5730283<=6sa13`g7?6=9rB:=9=4n0063?7>?;1vb<4}O98>87c?=5682=258951857?xh6:kn=6=4>{I3206=i9;?<6<785:m57dc?3:1=vF>1518j442?3;2;;5rn00a`=<728qC=<:<;o3112<61>=0qc?=be;94?7|@8;??6`>24595<1?3td:>ojn:182M76<:1e=?;8:0;4=>{i9;hon7>51zJ2515;7?67`9~j44elj0;60:5:l4}o31fab=83;pD2cff>5<6sA;:8>5a1374>4?0l2we=?lkf;295~N69=90b<<:7;3:3`=zf88ii=4?:0yK54243g;99:4>96d8yk75jl;1<7?tH0377>h6:<=1=46?;|l26gc5290:wE?>429m57302833=6sa13`f7?6=9rB:=9=4n0063?7>0;1vb<4}O98>87c?=5682==589518:7?xh6:ko=6=4>{I3206=i9;?<6<775:m57db?3:1=vF>1518j442?3;24;5rn00aa=<728qC=<:<;o3112<611=0qc?=bd;94?7|@8;??6`>24595<>?3td:>okn:182M76<:1e=?;8:0;;=>{i9;hnn7>51zJ2515;7?68`9~j44emj0;60:55l4}o31f`b=83;pD2cgf>5<6sA;:8>5a1374>4??l2we=?ljf;295~N69=90b<<:7;3:<`=zf88ij=4?:0yK54243g;99:4>99d8yk75jo;1<7?tH0377>h6:<=1=47?;|l26g`5290:wE?>429m57302832=6sa13`e7?6=9rB:=9=4n0063?7>1;1vb<4}O98>87c?=5682=<589518;7?xh6:kl=6=4>{I3206=i9;?<6<765:m57da?3:1=vF>1518j442?3;25;5rn00ab=<728qC=<:<;o3112<610=0qc?=bg;94?7|@8;??6`>24595ohn:182M76<:1e=?;8:0;:=>{i9;hmn7>51zJ2515;7?69`9~j44enj0;60:54l4}o31fcb=83;pD2cdf>5<6sA;:8>5a1374>4?>l2we=?lif;295~N69=90b<<:7;3:=`=zf88h<=4?:0yK54243g;99:4>98d8yk75k9;1<7?tH0377>h6:<=1=4o?;|l26f65290:wE?>429m5730283j=6sa13a37?6=9rB:=9=4n0063?7>i;1vb<4}O98>87c?=5682=d589518c7?xh6:j:=6=4>{I3206=i9;?<6<7n5:m57e7?3:1=vF>1518j442?3;2m;5rn00`4=<728qC=<:<;o3112<61h=0qc?=c1;94?7|@8;??6`>24595n>n:182M76<:1e=?;8:0;b=>{i9;i;n7>51zJ2515;7?6a`9~j44d8j0;60:5ll4}o31g5b=83;pD2b2f>5<6sA;:8>5a1374>4?fl2we=?m?f;295~N69=90b<<:7;3:e`=zf88h==4?:0yK54243g;99:4>9`d8yk75k8;1<7?tH0377>h6:<=1=4l?;|l26f75290:wE?>429m5730283i=6sa13a27?6=9rB:=9=4n0063?7>j;1vb<4}O98>87c?=5682=g589518`7?xh6:j;=6=4>{I3206=i9;?<6<7m5:m57e6?3:1=vF>1518j442?3;2n;5rn00`5=<728qC=<:<;o3112<61k=0qc?=c0;94?7|@8;??6`>24595n?n:182M76<:1e=?;8:0;a=>{i9;i:n7>51zJ2515;7?6b`9~j44d9j0;60:5ol4}o31g4b=83;pD2b3f>5<6sA;:8>5a1374>4?el2we=?m>f;295~N69=90b<<:7;3:f`=zf88h>=4?:0yK54243g;99:4>9cd8yk75k;;1<7?tH0377>h6:<=1=4m?;|l26f45290:wE?>429m5730283h=6sa13a17?6=9rB:=9=4n0063?7>k;1vb<4}O98>87c?=5682=f589518a7?xh6:j8=6=4>{I3206=i9;?<6<7l5:m57e5?3:1=vF>1518j442?3;2o;5rn00`6=<728qC=<:<;o3112<61j=0qc?=c3;94?7|@8;??6`>24595n{i9;i9n7>51zJ2515;7?6c`9~j44d:j0;60:5nl4}o31g7b=83;pD2b0f>5<6sA;:8>5a1374>4?dl2we=?m=f;295~N69=90b<<:7;3:g`=zf88h?=4?:0yK54243g;99:4>9bd8yk75k:;1<7?tH0377>h6:<=1=4j?;|l26f55290:wE?>429m5730283o=6sa13a07?6=9rB:=9=4n0063?7>l;1vb<4}O98>87c?=5682=a5;50;3xL473;2d:>89518f7?xh6:j9=6=4>{I3206=i9;?<6<7k5:m57e4?3:1=vF>1518j442?3;2h;5rn00`7=<728qC=<:<;o3112<61m=0qc?=c2;94?7|@8;??6`>24595n=n:182M76<:1e=?;8:0;g=>{i9;i8n7>51zJ2515;7?6d`9~j44d;j0;60:5il4}o31g6b=83;pD2b1f>5<6sA;:8>5a1374>4?cl2we=?m9ed8yk75k=;1<7?tH0377>h6:<=1=4k?;|l26f25290:wE?>429m5730283n=6sa13a77?6=9rB:=9=4n0063?7>m;1vb<4}O98>87c?=5682=`589518g7?xh6:j>=6=4>{I3206=i9;?<6<7j5:m57e3?3:1=vF>1518j442?3;2i;5rn00`0=<728qC=<:<;o3112<61l=0qc?=c5;94?7|@8;??6`>24595n:n:182M76<:1e=?;8:0;f=>{i9;i?n7>51zJ2515;7?6e`9~j44d0:5hl4}o31g1b=83;pD2b6f>5<6sA;:8>5a1374>4?bl2we=?m;f;295~N69=90b<<:7;3:a`=zf88h9=4?:0yK54243g;99:4>9dd8yk75k<;1<7?tH0377>h6:<=1=4h?;|l26f35290:wE?>429m5730283m=6sa13a67?6=9rB:=9=4n0063?7>n;1vb<4}O98>87c?=5682=c589518d7?xh6:j?=6=4>{I3206=i9;?<6<7i5:m57e2?3:1=vF>1518j442?3;2j;5rn00`1=<728qC=<:<;o3112<61o=0qc?=c4;94?7|@8;??6`>24595<`?3td:>n;n:182M76<:1e=?;8:0;e=>{i9;i>n7>51zJ2515;7?6f`9~j44d=j0;60:5kl4}o31g0b=83;pD2b7f>5<6sA;:8>5a1374>4?al2we=?m:f;295~N69=90b<<:7;3:b`=zf88h:=4?:0yK54243g;99:4>9gd8yk75k?;1<7?tH0377>h6:<=1=l>?;|l26f05290:wE?>429m573028k;=6sa13a57?6=9rB:=9=4n0063?7f8;1vb<4}O98>87c?=5682e558951`27?xh6:j<=6=4>{I3206=i9;?<61518j442?3;j<;5rn00`2=<728qC=<:<;o3112<6i9=0qc?=c7;94?7|@8;??6`>24595d6?3td:>n8n:182M76<:1e=?;8:0c3=>{i9;i=n7>51zJ2515;7?n0`9~j44d>j0;60:m=l4}o31g3b=83;pD2b4f>5<6sA;:8>5a1374>4g7l2we=?m9f;295~N69=90b<<:7;3b4`=zf88h;=4?:0yK54243g;99:4>a1d8yk75k>;1<7?tH0377>h6:<=1=l??;|l26f15290:wE?>429m573028k:=6sa13a47?6=9rB:=9=4n0063?7f9;1vb<4}O98>87c?=5682e458951`37?xh6:j==6=4>{I3206=i9;?<65:m57e0?3:1=vF>1518j442?3;j=;5rn00`3=<728qC=<:<;o3112<6i8=0qc?=c6;94?7|@8;??6`>24595d7?3td:>n9n:182M76<:1e=?;8:0c2=>{i9;i51zJ2515;7?n1`9~j44d?j0;60:m2b5f>5<6sA;:8>5a1374>4g6l2we=?m8f;295~N69=90b<<:7;3b5`=zf88h4=4?:0yK54243g;99:4>a0d8yk75k1;1<7?tH0377>h6:<=1=l5290:wE?>429m573028k9=6sa13a;7?6=9rB:=9=4n0063?7f:;1vb<4}O98>87c?=5682e758951`07?xh6:j2=6=4>{I3206=i9;?<61518j442?3;j>;5rn00`<=<728qC=<:<;o3112<6i;=0qc?=c9;94?7|@8;??6`>24595d4?3td:>n6n:182M76<:1e=?;8:0c1=>{i9;i3n7>51zJ2515;7?n2`9~j44d0j0;60:m?l4}o31g=b=83;pD2b:f>5<6sA;:8>5a1374>4g5l2we=?m7f;295~N69=90b<<:7;3b6`=zf88h5=4?:0yK54243g;99:4>a3d8yk75k0;1<7?tH0377>h6:<=1=l=?;|l26f?5290:wE?>429m573028k8=6sa13a:7?6=9rB:=9=4n0063?7f;;1vb<4}O98>87c?=5682e658951`17?xh6:j3=6=4>{I3206=i9;?<6?3:1=vF>1518j442?3;j?;5rn00`==<728qC=<:<;o3112<6i:=0qc?=c8;94?7|@8;??6`>24595d5?3td:>n7n:182M76<:1e=?;8:0c0=>{i9;i2n7>51zJ2515;7?n3`9~j44d1j0;60:m>l4}o31g2b;f>5<6sA;:8>5a1374>4g4l2we=?m6f;295~N69=90b<<:7;3b7`=zf88hm=4?:0yK54243g;99:4>a2d8yk75kh;1<7?tH0377>h6:<=1=l:?;|l26fg5290:wE?>429m573028k?=6sa13ab7?6=9rB:=9=4n0063?7f<;1vb<4}O98>87c?=5682e158951`67?xh6:jk=6=4>{I3206=i9;?<61518j442?3;j8;5rn00`e=<728qC=<:<;o3112<6i==0qc?=c`;94?7|@8;??6`>24595d2?3td:>non:182M76<:1e=?;8:0c7=>{i9;ijn7>51zJ2515;7?n4`9~j44dij0;60:m9l4}o31gdb=83;pDh7p`>2bcf>5<6sA;:8>5a1374>4g3l2we=?mnf;295~N69=90b<<:7;3b0`=zf88hn=4?:0yK54243g;99:4>a5d8yk75kk;1<7?tH0377>h6:<=1=l;?;|l26fd5290:wE?>429m573028k>=6sa13aa7?6=9rB:=9=4n0063?7f=;1vb<4}O98>87c?=5682e058951`77?xh6:jh=6=4>{I3206=i9;?<61518j442?3;j9;5rn00`f=<728qC=<:<;o3112<6i<=0qc?=cc;94?7|@8;??6`>24595d3?3td:>nln:182M76<:1e=?;8:0c6=>{i9;iin7>51zJ2515;7?n5`9~j44djj0;60:m8l4}o31ggb=83;pD2b`f>5<6sA;:8>5a1374>4g2l2we=?mmf;295~N69=90b<<:7;3b1`=zf88ho=4?:0yK54243g;99:4>a4d8yk75kj;1<7?tH0377>h6:<=1=l8?;|l26fe5290:wE?>429m573028k==6sa13a`7?6=9rB:=9=4n0063?7f>;1vb<4}O98>87c?=5682e358951`47?xh6:ji=6=4>{I3206=i9;?<61518j442?3;j:;5rn00`g=<728qC=<:<;o3112<6i?=0qc?=cb;94?7|@8;??6`>24595d0?3td:>nmn:182M76<:1e=?;8:0c5=>{i9;ihn7>51zJ2515;7?n6`9~j44dkj0;60:m;l4}o31gfb=83;pD2baf>5<6sA;:8>5a1374>4g1l2we=?mlf;295~N69=90b<<:7;3b2`=zf88hh=4?:0yK54243g;99:4>a7d8yk75km;1<7?tH0377>h6:<=1=l9?;|l26fb5290:wE?>429m573028k<=6sa13ag7?6=9rB:=9=4n0063?7f?;1vb<4}O98>87c?=5682e258951`57?xh6:jn=6=4>{I3206=i9;?<61518j442?3;j;;5rn00``=<728qC=<:<;o3112<6i>=0qc?=ce;94?7|@8;??6`>24595d1?3td:>njn:182M76<:1e=?;8:0c4=>{i9;ion7>51zJ2515;7?n7`9~j44dlj0;60:m:l4}o31gab=83;pD2bff>5<6sA;:8>5a1374>4g0l2we=?mkf;295~N69=90b<<:7;3b3`=zf88hi=4?:0yK54243g;99:4>a6d8yk75kl;1<7?tH0377>h6:<=1=l6?;|l26fc5290:wE?>429m573028k3=6sa13af7?6=9rB:=9=4n0063?7f0;1vb<4}O98>87c?=5682e=58951`:7?xh6:jo=6=4>{I3206=i9;?<61518j442?3;j4;5rn00`a=<728qC=<:<;o3112<6i1=0qc?=cd;94?7|@8;??6`>24595d>?3td:>nkn:182M76<:1e=?;8:0c;=>{i9;inn7>51zJ2515;7?n8`9~j44dmj0;60:m5l4}o31g`b=83;pD2bgf>5<6sA;:8>5a1374>4g?l2we=?mjf;295~N69=90b<<:7;3b<`=zf88hj=4?:0yK54243g;99:4>a9d8yk75ko;1<7?tH0377>h6:<=1=l7?;|l26f`5290:wE?>429m573028k2=6sa13ae7?6=9rB:=9=4n0063?7f1;1vb<4}O98>87c?=5682e<58951`;7?xh6:jl=6=4>{I3206=i9;?<61518j442?3;j5;5rn00`b=<728qC=<:<;o3112<6i0=0qc?=cg;94?7|@8;??6`>24595d??3td:>nhn:182M76<:1e=?;8:0c:=>{i9;imn7>51zJ2515;7?n9`9~j44dnj0;60:m4l4}o31gcb=83;pD2bdf>5<6sA;:8>5a1374>4g>l2we=?mif;295~N69=90b<<:7;3b=`=zf88o<=4?:0yK54243g;99:4>a8d8yk75l9;1<7?tH0377>h6:<=1=lo?;|l26a65290:wE?>429m573028kj=6sa13f37?6=9rB:=9=4n0063?7fi;1vb<4}O98>87c?=5682ed58951`c7?xh6:m:=6=4>{I3206=i9;?<61518j442?3;jm;5rn00g4=<728qC=<:<;o3112<6ih=0qc?=d1;94?7|@8;??6`>24595dg?3td:>i>n:182M76<:1e=?;8:0cb=>{i9;n;n7>51zJ2515;7?na`9~j44c8j0;60:mll4}o31`5b=83;pD2e2f>5<6sA;:8>5a1374>4gfl2we=?j?f;295~N69=90b<<:7;3be`=zf88o==4?:0yK54243g;99:4>a`d8yk75l8;1<7?tH0377>h6:<=1=ll?;|l26a75290:wE?>429m573028ki=6sa13f27?6=9rB:=9=4n0063?7fj;1vb<4}O98>87c?=5682eg58951``7?xh6:m;=6=4>{I3206=i9;?<61518j442?3;jn;5rn00g5=<728qC=<:<;o3112<6ik=0qc?=d0;94?7|@8;??6`>24595dd?3td:>i?n:182M76<:1e=?;8:0ca=>{i9;n:n7>51zJ2515;7?nb`9~j44c9j0;60:mol4}o31`4b=83;pD2e3f>5<6sA;:8>5a1374>4gel2we=?j>f;295~N69=90b<<:7;3bf`=zf88o>=4?:0yK54243g;99:4>acd8yk75l;;1<7?tH0377>h6:<=1=lm?;|l26a45290:wE?>429m573028kh=6sa13f17?6=9rB:=9=4n0063?7fk;1vb<4}O98>87c?=5682ef58951`a7?xh6:m8=6=4>{I3206=i9;?<61518j442?3;jo;5rn00g6=<728qC=<:<;o3112<6ij=0qc?=d3;94?7|@8;??6`>24595de?3td:>i{i9;n9n7>51zJ2515;7?nc`9~j44c:j0;60:mnl4}o31`7b=83;pD2e0f>5<6sA;:8>5a1374>4gdl2we=?j=f;295~N69=90b<<:7;3bg`=zf88o?=4?:0yK54243g;99:4>abd8yk75l:;1<7?tH0377>h6:<=1=lj?;|l26a55290:wE?>429m573028ko=6sa13f07?6=9rB:=9=4n0063?7fl;1vb<4}O98>87c?=5682ea5;50;3xL473;2d:>8951`f7?xh6:m9=6=4>{I3206=i9;?<61518j442?3;jh;5rn00g7=<728qC=<:<;o3112<6im=0qc?=d2;94?7|@8;??6`>24595db?3td:>i=n:182M76<:1e=?;8:0cg=>{i9;n8n7>51zJ2515;7?nd`9~j44c;j0;60:mil4}o31`6b=83;pD2e1f>5<6sA;:8>5a1374>4gcl2we=?jaed8yk75l=;1<7?tH0377>h6:<=1=lk?;|l26a25290:wE?>429m573028kn=6sa13f77?6=9rB:=9=4n0063?7fm;1vb<4}O98>87c?=5682e`58951`g7?xh6:m>=6=4>{I3206=i9;?<61518j442?3;ji;5rn00g0=<728qC=<:<;o3112<6il=0qc?=d5;94?7|@8;??6`>24595dc?3td:>i:n:182M76<:1e=?;8:0cf=>{i9;n?n7>51zJ2515;7?ne`9~j44c0:mhl4}o31`1b=83;pD2e6f>5<6sA;:8>5a1374>4gbl2we=?j;f;295~N69=90b<<:7;3ba`=zf88o9=4?:0yK54243g;99:4>add8yk75l<;1<7?tH0377>h6:<=1=lh?;|l26a35290:wE?>429m573028km=6sa13f67?6=9rB:=9=4n0063?7fn;1vb<4}O98>87c?=5682ec58951`d7?xh6:m?=6=4>{I3206=i9;?<61518j442?3;jj;5rn00g1=<728qC=<:<;o3112<6io=0qc?=d4;94?7|@8;??6`>24595d`?3td:>i;n:182M76<:1e=?;8:0ce=>{i9;n>n7>51zJ2515;7?nf`9~j44c=j0;60:mkl4}o31`0b=83;pD2e7f>5<6sA;:8>5a1374>4gal2we=?j:f;295~N69=90b<<:7;3bb`=zf88o:=4?:0yK54243g;99:4>agd8yk75l?;1<7?tH0377>h6:<=1=o>?;|l26a05290:wE?>429m573028h;=6sa13f57?6=9rB:=9=4n0063?7e8;1vb<4}O98>87c?=5682f558951c27?xh6:m<=6=4>{I3206=i9;?<61518j442?3;i<;5rn00g2=<728qC=<:<;o3112<6j9=0qc?=d7;94?7|@8;??6`>24595g6?3td:>i8n:182M76<:1e=?;8:0`3=>{i9;n=n7>51zJ2515;7?m0`9~j44c>j0;60:n=l4}o31`3b=83;pD2e4f>5<6sA;:8>5a1374>4d7l2we=?j9f;295~N69=90b<<:7;3a4`=zf88o;=4?:0yK54243g;99:4>b1d8yk75l>;1<7?tH0377>h6:<=1=o??;|l26a15290:wE?>429m573028h:=6sa13f47?6=9rB:=9=4n0063?7e9;1vb<4}O98>87c?=5682f458951c37?xh6:m==6<4>{I3206=i9;?<65:m57b0?3:1=vF>1518j442?3;i=;5rn00g3=<728qC=<:<;o3112<6j8=0qc?=d6;94?7|@8;??6`>24595g7?3td:>i9n:182M76<:1e=?;8:0`2=>{i9;n51zJ2515;7?m1`9~j44c?j0;60:n2e5f>5<6sA;:8>5a1374>4d6l2we=?j8f;295~N69=90b<<:7;3a5`=zf88o4=4?:0yK54243g;99:4>b0d8yk75l1;1<7?tH0377>h6:<=1=o5290:wE?>429m573028h9=6sa13f;7?6=9rB:=9=4n0063?7e:;1vb<4}O98>87c?=5682f758951c07?xh6:m2=6=4>{I3206=i9;?<61518j442?3;i>;5rn00g<=<728qC=<:<;o3112<6j;=0qc?=d9;94?7|@8;??6`>24595g4?3td:>i6n:182M76<:1e=?;8:0`1=>{i9;n3n7>51zJ2515;7?m2`9~j44c0j0;60:n?l4}o31`=b=83;pD2e:f>4<6sA;:8>5a1374>4d5l2we=?j7f;395~N69=90b<<:7;3a6`=zf88o5=4>:0yK54243g;99:4>b3d8yk75l0;1=7?tH0377>h6:<=1=o=?;|l26a?5280:wE?>429m573028h8=6sa13f:7?7=9rB:=9=4n0063?7e;;1vb<4}O98>87c?=5682f658951c17?xh6:m3=6=4>{I3206=i9;?<6?3:1=vF>1518j442?3;i?;5rn00g==<728qC=<:<;o3112<6j:=0qc?=d8;94?7|@8;??6`>24595g5?3td:>i7n:182M76<:1e=?;8:0`0=>{i9;n2n7>51zJ2515;7?m3`9~j44c1j0;60:n>l4}o31`2e;f>5<6sA;:8>5a1374>4d4l2we=?j6f;295~N69=90b<<:7;3a7`=zf88om=4?:0yK54243g;99:4>b2d8yk75lh;1<7?tH0377>h6:<=1=o:?;|l26ag5290:wE?>429m573028h?=6sa13fb7?6=9rB:=9=4n0063?7e<;1vb<4}O98>87c?=5682f158951c67?xh6:mk=6=4>{I3206=i9;?<61518j442?3;i8;5rn00ge=<728qC=<:<;o3112<6j==0qc?=d`;94?7|@8;??6`>24595g2?3td:>ion:182M76<:1e=?;8:0`7=>{i9;njn7>51zJ2515;7?m4`9~j44cij0;60:n9l4}o31`db=83;pDh7p`>2ecf>5<6sA;:8>5a1374>4d3l2we=?jnf;295~N69=90b<<:7;3a0`=zf88on=4?:0yK54243g;99:4>b5d8yk75lk;1<7?tH0377>h6:<=1=o;?;|l26ad5290:wE?>429m573028h>=6sa13fa7?6=9rB:=9=4n0063?7e=;1vb<4}O98>87c?=5682f058951c77?xh6:mh=6=4>{I3206=i9;?<61518j442?3;i9;5rn00gf=<728qC=<:<;o3112<6j<=0qc?=dc;94?7|@8;??6`>24595g3?3td:>iln:182M76<:1e=?;8:0`6=>{i9;nin7>51zJ2515;7?m5`9~j44cjj0;60:n8l4}o31`gb=83;pD2e`f>5<6sA;:8>5a1374>4d2l2we=?jmf;295~N69=90b<<:7;3a1`=zf88oo=4?:0yK54243g;99:4>b4d8yk75lj;1<7?tH0377>h6:<=1=o8?;|l26ae5290:wE?>429m573028h==6sa13f`7?6=9rB:=9=4n0063?7e>;1vb<4}O98>87c?=5682f358951c47?xh6:mi=6=4>{I3206=i9;?<61518j442?3;i:;5rn00gg=<728qC=<:<;o3112<6j?=0qc?=db;94?7|@8;??6`>24595g0?3td:>imn:182M76<:1e=?;8:0`5=>{i9;nhn7>51zJ2515;7?m6`9~j44ckj0;60:n;l4}o31`fb=83;pD2eaf>5<6sA;:8>5a1374>4d1l2we=?jlf;295~N69=90b<<:7;3a2`=zf88oh=4?:0yK54243g;99:4>b7d8yk75lm;1<7?tH0377>h6:<=1=o9?;|l26ab5290:wE?>429m573028h<=6sa13fg7?6=9rB:=9=4n0063?7e?;1vb<4}O98>87c?=5682f258951c57?xh6:mn=6=4>{I3206=i9;?<61518j442?3;i;;5rn00g`=<728qC=<:<;o3112<6j>=0qc?=de;94?7|@8;??6`>24595g1?3td:>ijn:182M76<:1e=?;8:0`4=>{i9;non7>51zJ2515;7?m7`9~j44clj0;60:n:l4}o31`ab=83;pD2eff>5<6sA;:8>5a1374>4d0l2we=?jkf;295~N69=90b<<:7;3a3`=zf88oi=4?:0yK54243g;99:4>b6d8yk75ll;1<7?tH0377>h6:<=1=o6?;|l26ac5290:wE?>429m573028h3=6sa13ff7?6=9rB:=9=4n0063?7e0;1vb<4}O98>87c?=5682f=58951c:7?xh6:mo=6=4>{I3206=i9;?<61518j442?3;i4;5rn00ga=<728qC=<:<;o3112<6j1=0qc?=dd;94?7|@8;??6`>24595g>?3td:>ikn:182M76<:1e=?;8:0`;=>{i9;nnn7>51zJ2515;7?m8`9~j44cmj0;60:n5l4}o31``b=83;pD2egf>5<6sA;:8>5a1374>4d?l2we=?jjf;295~N69=90b<<:7;3a<`=zf88oj=4?:0yK54243g;99:4>b9d8yk75lo;1<7?tH0377>h6:<=1=o7?;|l26a`5290:wE?>429m573028h2=6sa13fe7?6=9rB:=9=4n0063?7e1;1vb<4}O98>87c?=5682f<58951c;7?xh6:ml=6=4>{I3206=i9;?<61518j442?3;i5;5rn00gb=<728qC=<:<;o3112<6j0=0qc?=dg;94?7|@8;??6`>24595g??3td:>ihn:182M76<:1e=?;8:0`:=>{i9;nmn7>51zJ2515;7?m9`9~j44cnj0;60:n4l4}o31`cb=83;pD2edf>5<6sA;:8>5a1374>4d>l2we=?jif;295~N69=90b<<:7;3a=`=zf88n<=4?:0yK54243g;99:4>b8d8yk75m9;1<7?tH0377>h6:<=1=oo?;|l26`65290:wE?>429m573028hj=6sa13g37?6=9rB:=9=4n0063?7ei;1vb<4}O98>87c?=5682fd58951cc7?xh6:l:=6=4>{I3206=i9;?<61518j442?3;im;5rn00f4=<728qC=<:<;o3112<6jh=0qc?=e1;94?7|@8;??6`>24595gg?3td:>h>n:182M76<:1e=?;8:0`b=>{i9;o;n7>51zJ2515;7?ma`9~j44b8j0;60:nll4}o31a5b=83;pD2d2f>5<6sA;:8>5a1374>4dfl2we=?k?f;295~N69=90b<<:7;3ae`=zf88n==4?:0yK54243g;99:4>b`d8yk75m8;1<7?tH0377>h6:<=1=ol?;|l26`75290:wE?>429m573028hi=6sa13g27?6=9rB:=9=4n0063?7ej;1vb<4}O98>87c?=5682fg58951c`7?xh6:l;=6=4>{I3206=i9;?<61518j442?3;in;5rn00f5=<728qC=<:<;o3112<6jk=0qc?=e0;94?7|@8;??6`>24595gd?3td:>h?n:182M76<:1e=?;8:0`a=>{i9;o:n7>51zJ2515;7?mb`9~j44b9j0;60:nol4}o31a4b=83;pD2d3f>5<6sA;:8>5a1374>4del2we=?k>f;295~N69=90b<<:7;3af`=zf88n>=4?:0yK54243g;99:4>bcd8yk75m;;1<7?tH0377>h6:<=1=om?;|l26`45290:wE?>429m573028hh=6sa13g17?6=9rB:=9=4n0063?7ek;1vb<4}O98>87c?=5682ff58951ca7?xh6:l8=6=4>{I3206=i9;?<61518j442?3;io;5rn00f6=<728qC=<:<;o3112<6jj=0qc?=e3;94?7|@8;??6`>24595ge?3td:>h{i9;o9n7>51zJ2515;7?mc`9~j44b:j0;60:nnl4}o31a7b=83;pD2d0f>5<6sA;:8>5a1374>4ddl2we=?k=f;295~N69=90b<<:7;3ag`=zf88n?=4?:0yK54243g;99:4>bbd8yk75m:;1<7?tH0377>h6:<=1=oj?;|l26`55290:wE?>429m573028ho=6sa13g07?6=9rB:=9=4n0063?7el;1vb<4}O98>87c?=5682fa5;50;3xL473;2d:>8951cf7?xh6:l9=6=4>{I3206=i9;?<61518j442?3;ih;5rn00f7=<728qC=<:<;o3112<6jm=0qc?=e2;94?7|@8;??6`>24595gb?3td:>h=n:182M76<:1e=?;8:0`g=>{i9;o8n7>51zJ2515;7?md`9~j44b;j0;60:nil4}o31a6b=83;pD2d1f>5<6sA;:8>5a1374>4dcl2we=?kbed8yk75m=;1<7?tH0377>h6:<=1=ok?;|l26`25290:wE?>429m573028hn=6sa13g77?6=9rB:=9=4n0063?7em;1vb<4}O98>87c?=5682f`58951cg7?xh6:l>=6=4>{I3206=i9;?<61518j442?3;ii;5rn00f0=<728qC=<:<;o3112<6jl=0qc?=e5;94?7|@8;??6`>24595gc?3td:>h:n:182M76<:1e=?;8:0`f=>{i9;o?n7>51zJ2515;7?me`9~j44b0:nhl4}o31a1b=83;pD2d6f>5<6sA;:8>5a1374>4dbl2we=?k;f;295~N69=90b<<:7;3aa`=zf88n9=4?:0yK54243g;99:4>bdd8yk75m<;1<7?tH0377>h6:<=1=oh?;|l26`35290:wE?>429m573028hm=6sa13g67?6=9rB:=9=4n0063?7en;1vb<4}O98>87c?=5682fc58951cd7?xh6:l?=6=4>{I3206=i9;?<61518j442?3;ij;5rn00f1=<728qC=<:<;o3112<6jo=0qc?=e4;94?7|@8;??6`>24595g`?3td:>h;n:182M76<:1e=?;8:0`e=>{i9;o>n7>51zJ2515;7?mf`9~j44b=j0;60:nkl4}o31a0b=83;pD2d7f>5<6sA;:8>5a1374>4dal2we=?k:f;295~N69=90b<<:7;3ab`=zf88n:=4?:0yK54243g;99:4>bgd8yk75m?;1<7?tH0377>h6:<=1=n>?;|l26`05290:wE?>429m573028i;=6sa13g57?6=9rB:=9=4n0063?7d8;1vb<4}O98>87c?=5682g558951b27?xh6:l<=6=4>{I3206=i9;?<61518j442?3;h<;5rn00f2=<728qC=<:<;o3112<6k9=0qc?=e7;94?7|@8;??6`>24595f6?3td:>h8n:182M76<:1e=?;8:0a3=>{i9;o=n7>51zJ2515;7?l0`9~j44b>j0;60:o=l4}o31a3b=83;pD2d4f>5<6sA;:8>5a1374>4e7l2we=?k9f;295~N69=90b<<:7;3`4`=zf88n;=4?:0yK54243g;99:4>c1d8yk75m>;1<7?tH0377>h6:<=1=n??;|l26`15290:wE?>429m573028i:=6sa13g47?6=9rB:=9=4n0063?7d9;1vb<4}O98>87c?=5682g458951b37?xh6:l==6=4>{I3206=i9;?<65:m57c0?3:1=vF>1518j442?3;h=;5rn00f3=<728qC=<:<;o3112<6k8=0qc?=e6;94?7|@8;??6`>24595f7?3td:>h9n:182M76<:1e=?;8:0a2=>{i9;o51zJ2515;7?l1`9~j44b?j0;60:o2d5f>5<6sA;:8>5a1374>4e6l2we=?k8f;295~N69=90b<<:7;3`5`=zf88n4=4?:0yK54243g;99:4>c0d8yk75m1;1<7?tH0377>h6:<=1=n5290:wE?>429m573028i9=6sa13g;7?6=9rB:=9=4n0063?7d:;1vb<4}O98>87c?=5682g758951b07?xh6:l2=6=4>{I3206=i9;?<61518j442?3;h>;5rn00f<=<728qC=<:<;o3112<6k;=0qc?=e9;94?7|@8;??6`>24595f4?3td:>h6n:182M76<:1e=?;8:0a1=>{i9;o3n7>51zJ2515;7?l2`9~j44b0j0;60:o?l4}o31a=b=83;pD2d:f>5<6sA;:8>5a1374>4e5l2we=?k7f;295~N69=90b<<:7;3`6`=zf88n5=4?:0yK54243g;99:4>c3d8yk75m0;1<7?tH0377>h6:<=1=n=?;|l26`?5290:wE?>429m573028i8=6sa13g:7?6=9rB:=9=4n0063?7d;;1vb<4}O98>87c?=5682g658951b17?xh6:l3=6=4>{I3206=i9;?<6?3:1=vF>1518j442?3;h?;5rn00f==<728qC=<:<;o3112<6k:=0qc?=e8;94?7|@8;??6`>24595f5?3td:>h7n:182M76<:1e=?;8:0a0=>{i9;o2n7>51zJ2515;7?l3`9~j44b1j0;60:o>l4}o31a2d;f>5<6sA;:8>5a1374>4e4l2we=?k6f;295~N69=90b<<:7;3`7`=zf88nm=4?:0yK54243g;99:4>c2d8yk75mh;1<7?tH0377>h6:<=1=n:?;|l26`g5290:wE?>429m573028i?=6sa13gb7?6=9rB:=9=4n0063?7d<;1vb<4}O98>87c?=5682g158951b67?xh6:lk=6=4>{I3206=i9;?<61518j442?3;h8;5rn00fe=<728qC=<:<;o3112<6k==0qc?=e`;94?7|@8;??6`>24595f2?3td:>hon:182M76<:1e=?;8:0a7=>{i9;ojn7>51zJ2515;7?l4`9~j44bij0;60:o9l4}o31adb=83;pDh7p`>2dcf>5<6sA;:8>5a1374>4e3l2we=?knf;295~N69=90b<<:7;3`0`=zf88nn=4?:0yK54243g;99:4>c5d8yk75mk;1<7?tH0377>h6:<=1=n;?;|l26`d5290:wE?>429m573028i>=6sa13ga7?6=9rB:=9=4n0063?7d=;1vb<4}O98>87c?=5682g058951b77?xh6:lh=6=4>{I3206=i9;?<61518j442?3;h9;5rn00ff=<728qC=<:<;o3112<6k<=0qc?=ec;94?7|@8;??6`>24595f3?3td:>hln:182M76<:1e=?;8:0a6=>{i9;oin7>51zJ2515;7?l5`9~j44bjj0;60:o8l4}o31agb=83;pD2d`f>5<6sA;:8>5a1374>4e2l2we=?kmf;295~N69=90b<<:7;3`1`=zf88no=4?:0yK54243g;99:4>c4d8yk75mj;1<7?tH0377>h6:<=1=n8?;|l26`e5290:wE?>429m573028i==6sa13g`7?6=9rB:=9=4n0063?7d>;1vb<4}O98>87c?=5682g358951b47?xh6:li=6=4>{I3206=i9;?<61518j442?3;h:;5rn00fg=<728qC=<:<;o3112<6k?=0qc?=eb;94?7|@8;??6`>24595f0?3td:>hmn:182M76<:1e=?;8:0a5=>{i9;ohn7>51zJ2515;7?l6`9~j44bkj0;60:o;l4}o31afb=83;pD2daf>5<6sA;:8>5a1374>4e1l2we=?klf;295~N69=90b<<:7;3`2`=zf88nh=4?:0yK54243g;99:4>c7d8yk75mm;1<7?tH0377>h6:<=1=n9?;|l26`b5290:wE?>429m573028i<=6sa13gg7?6=9rB:=9=4n0063?7d?;1vb<4}O98>87c?=5682g258951b57?xh6:ln=6=4>{I3206=i9;?<61518j442?3;h;;5rn00f`=<728qC=<:<;o3112<6k>=0qc?=ee;94?7|@8;??6`>24595f1?3td:>hjn:182M76<:1e=?;8:0a4=>{i9;oon7>51zJ2515;7?l7`9~j44blj0;60:o:l4}o31aab=83;pD2dff>5<6sA;:8>5a1374>4e0l2we=?kkf;295~N69=90b<<:7;3`3`=zf88ni=4?:0yK54243g;99:4>c6d8yk75ml;1<7?tH0377>h6:<=1=n6?;|l26`c5290:wE?>429m573028i3=6sa13gf7?6=9rB:=9=4n0063?7d0;1vb<4}O98>87c?=5682g=58951b:7?xh6:lo=6=4>{I3206=i9;?<61518j442?3;h4;5rn00fa=<728qC=<:<;o3112<6k1=0qc?=ed;94?7|@8;??6`>24595f>?3td:>hkn:182M76<:1e=?;8:0a;=>{i9;onn7>51zJ2515;7?l8`9~j44bmj0;60:o5l4}o31a`b=83;pD2dgf>5<6sA;:8>5a1374>4e?l2we=?kjf;295~N69=90b<<:7;3`<`=zf88nj=4?:0yK54243g;99:4>c9d8yk75mo;1<7?tH0377>h6:<=1=n7?;|l26``5290:wE?>429m573028i2=6sa13ge7?6=9rB:=9=4n0063?7d1;1vb<4}O98>87c?=5682g<58951b;7?xh6:ll=6=4>{I3206=i9;?<61518j442?3;h5;5rn00fb=<728qC=<:<;o3112<6k0=0qc?=eg;94?7|@8;??6`>24595f??3td:>hhn:182M76<:1e=?;8:0a:=>{i9;omn7>51zJ2515;7?l9`9~j44bnj0;60:o4l4}o31acb=83;pD2ddf>5<6sA;:8>5a1374>4e>l2we=?kif;295~N69=90b<<:7;3`=`=zf88m<=4?:0yK54243g;99:4>c8d8yk75n9;1<7?tH0377>h6:<=1=no?;|l26c65290:wE?>429m573028ij=6sa13d37?6=9rB:=9=4n0063?7di;1vb<4}O98>87c?=5682gd58951bc7?xh6:o:=6=4>{I3206=i9;?<61518j442?3;hm;5rn00e4=<728qC=<:<;o3112<6kh=0qc?=f1;94?7|@8;??6`>24595fg?3td:>k>n:182M76<:1e=?;8:0ab=>{i9;l;n7>51zJ2515;7?la`9~j44a8j0;60:oll4}o31b5b=83;pD2g2f>5<6sA;:8>5a1374>4efl2we=?h?f;295~N69=90b<<:7;3`e`=zf88m==4?:0yK54243g;99:4>c`d8yk75n8;1<7?tH0377>h6:<=1=nl?;|l26c75290:wE?>429m573028ii=6sa13d27?6=9rB:=9=4n0063?7dj;1vb<4}O98>87c?=5682gg58951b`7?xh6:o;=6=4>{I3206=i9;?<61518j442?3;hn;5rn00e5=<728qC=<:<;o3112<6kk=0qc?=f0;94?7|@8;??6`>24595fd?3td:>k?n:182M76<:1e=?;8:0aa=>{i9;l:n7>51zJ2515;7?lb`9~j44a9j0;60:ool4}o31b4b=83;pD2g3f>5<6sA;:8>5a1374>4eel2we=?h>f;295~N69=90b<<:7;3`f`=zf88m>=4?:0yK54243g;99:4>ccd8yk75n;;1<7?tH0377>h6:<=1=nm?;|l26c45290:wE?>429m573028ih=6sa13d17?6=9rB:=9=4n0063?7dk;1vb<4}O98>87c?=5682gf58951ba7?xh6:o8=6=4>{I3206=i9;?<61518j442?3;ho;5rn00e6=<728qC=<:<;o3112<6kj=0qc?=f3;94?7|@8;??6`>24595fe?3td:>k{i9;l9n7>51zJ2515;7?lc`9~j44a:j0;60:onl4}o31b7b=83;pD2g0f>5<6sA;:8>5a1374>4edl2we=?h=f;295~N69=90b<<:7;3`g`=zf88m?=4?:0yK54243g;99:4>cbd8yk75n:;1<7?tH0377>h6:<=1=nj?;|l26c55290:wE?>429m573028io=6sa13d07?6=9rB:=9=4n0063?7dl;1vb<4}O98>87c?=5682ga5;50;3xL473;2d:>8951bf7?xh6:o9=6=4>{I3206=i9;?<61518j442?3;hh;5rn00e7=<728qC=<:<;o3112<6km=0qc?=f2;94?7|@8;??6`>24595fb?3td:>k=n:182M76<:1e=?;8:0ag=>{i9;l8n7>51zJ2515;7?ld`9~j44a;j0;60:oil4}o31b6b=83;pD2g1f>5<6sA;:8>5a1374>4ecl2we=?hced8yk75n=;1<7?tH0377>h6:<=1=nk?;|l26c25290:wE?>429m573028in=6sa13d77?6=9rB:=9=4n0063?7dm;1vb<4}O98>87c?=5682g`58951bg7?xh6:o>=6=4>{I3206=i9;?<61518j442?3;hi;5rn00e0=<728qC=<:<;o3112<6kl=0qc?=f5;94?7|@8;??6`>24595fc?3td:>k:n:182M76<:1e=?;8:0af=>{i9;l?n7>51zJ2515;7?le`9~j44a0:ohl4}o31b1b=83;pD2g6f>5<6sA;:8>5a1374>4ebl2we=?h;f;295~N69=90b<<:7;3`a`=zf88m9=4?:0yK54243g;99:4>cdd8yk75n<;1<7?tH0377>h6:<=1=nh?;|l26c35290:wE?>429m573028im=6sa13d67?6=9rB:=9=4n0063?7dn;1vb<4}O98>87c?=5682gc58951bd7?xh6:o?=6=4>{I3206=i9;?<61518j442?3;hj;5rn00e1=<728qC=<:<;o3112<6ko=0qc?=f4;94?7|@8;??6`>24595f`?3td:>k;n:182M76<:1e=?;8:0ae=>{i9;l>n7>51zJ2515;7?lf`9~j44a=j0;60:okl4}o31b0b=83;pD2g7f>5<6sA;:8>5a1374>4eal2we=?h:f;295~N69=90b<<:7;3`b`=zf88m:=4?:0yK54243g;99:4>cgd8yk75n?;1<7?tH0377>h6:<=1=i>?;|l26c05290:wE?>429m573028n;=6sa13d57?6=9rB:=9=4n0063?7c8;1vb<4}O98>87c?=5682`558951e27?xh6:o<=6=4>{I3206=i9;?<61518j442?3;o<;5rn00e2=<728qC=<:<;o3112<6l9=0qc?=f7;94?7|@8;??6`>24595a6?3td:>k8n:182M76<:1e=?;8:0f3=>{i9;l=n7>51zJ2515;7?k0`9~j44a>j0;60:h=l4}o31b3b=83;pD2g4f>5<6sA;:8>5a1374>4b7l2we=?h9f;295~N69=90b<<:7;3g4`=zf88m;=4?:0yK54243g;99:4>d1d8yk75n>;1<7?tH0377>h6:<=1=i??;|l26c15290:wE?>429m573028n:=6sa13d47?6=9rB:=9=4n0063?7c9;1vb<4}O98>87c?=5682`458951e37?xh6:o==6=4>{I3206=i9;?<65:m57`0?3;1=vF>1518j442?3;o=;5rn00e3=<728qC=<:<;o3112<6l8=0qc?=f6;94?7|@8;??6`>24595a7?3td:>k9n:182M76<:1e=?;8:0f2=>{i9;l51zJ2515;7?k1`9~j44a?j0;60:h2g5f>5<6sA;:8>5a1374>4b6l2we=?h8f;295~N69=90b<<:7;3g5`=zf88m4=4?:0yK54243g;99:4>d0d8yk75n1;1<7?tH0377>h6:<=1=i5290:wE?>429m573028n9=6sa13d;7?6=9rB:=9=4n0063?7c:;1vb<4}O98>87c?=5682`758951e07?xh6:o2=6=4>{I3206=i9;?<61518j442?3;o>;5rn00e<=<728qC=<:<;o3112<6l;=0qc?=f9;94?7|@8;??6`>24595a4?3td:>k6n:182M76<:1e=?;8:0f1=>{i9;l3n7>51zJ2515;7?k2`9~j44a0j0;60:h?l4}o31b=b=83;pD2g:f>5<6sA;:8>5a1374>4b5l2we=?h7f;395~N69=90b<<:7;3g6`=zf88m5=4>:0yK54243g;99:4>d3d8yk75n0;1=7?tH0377>h6:<=1=i=?;|l26c?5280:wE?>429m573028n8=6sa13d:7?7=9rB:=9=4n0063?7c;;1vb<4}O98>87c?=5682`658951e17?xh6:o3=6=4>{I3206=i9;?<6?3:1=vF>1518j442?3;o?;5rn00e==<728qC=<:<;o3112<6l:=0qc?=f8;94?7|@8;??6`>24595a5?3td:>k7n:182M76<:1e=?;8:0f0=>{i9;l2n7>51zJ2515;7?k3`9~j44a1j0;60:h>l4}o31b2g;f>5<6sA;:8>5a1374>4b4l2we=?h6f;295~N69=90b<<:7;3g7`=zf88mm=4?:0yK54243g;99:4>d2d8yk75nh;1<7?tH0377>h6:<=1=i:?;|l26cg5290:wE?>429m573028n?=6sa13db7?6=9rB:=9=4n0063?7c<;1vb<4}O98>87c?=5682`158951e67?xh6:ok=6=4>{I3206=i9;?<61518j442?3;o8;5rn00ee=<728qC=<:<;o3112<6l==0qc?=f`;94?7|@8;??6`>24595a2?3td:>kon:182M76<:1e=?;8:0f7=>{i9;ljn7>51zJ2515;7?k4`9~j44aij0;60:h9l4}o31bdb=83;pDh7p`>2gcf>5<6sA;:8>5a1374>4b3l2we=?hnf;295~N69=90b<<:7;3g0`=zf88mn=4?:0yK54243g;99:4>d5d8yk75nk;1<7?tH0377>h6:<=1=i;?;|l26cd5290:wE?>429m573028n>=6sa13da7?6=9rB:=9=4n0063?7c=;1vb<4}O98>87c?=5682`058951e77?xh6:oh=6=4>{I3206=i9;?<61518j442?3;o9;5rn00ef=<728qC=<:<;o3112<6l<=0qc?=fc;94?7|@8;??6`>24595a3?3td:>kln:182M76<:1e=?;8:0f6=>{i9;lin7>51zJ2515;7?k5`9~j44ajj0;60:h8l4}o31bgb=83;pD2g`f>5<6sA;:8>5a1374>4b2l2we=?hmf;295~N69=90b<<:7;3g1`=zf88mo=4?:0yK54243g;99:4>d4d8yk75nj;1<7?tH0377>h6:<=1=i8?;|l26ce5290:wE?>429m573028n==6sa13d`7?6=9rB:=9=4n0063?7c>;1vb<4}O98>87c?=5682`358951e47?xh6:oi=6=4>{I3206=i9;?<61518j442?3;o:;5rn00eg=<728qC=<:<;o3112<6l?=0qc?=fb;94?7|@8;??6`>24595a0?3td:>kmn:182M76<:1e=?;8:0f5=>{i9;lhn7>51zJ2515;7?k6`9~j44akj0;60:h;l4}o31bfb=83;pD2gaf>5<6sA;:8>5a1374>4b1l2we=?hlf;295~N69=90b<<:7;3g2`=zf88mh=4?:0yK54243g;99:4>d7d8yk75nm;1<7?tH0377>h6:<=1=i9?;|l26cb5290:wE?>429m573028n<=6sa13dg7?6=9rB:=9=4n0063?7c?;1vb<4}O98>87c?=5682`258951e57?xh6:on=6=4>{I3206=i9;?<61518j442?3;o;;5rn00e`=<728qC=<:<;o3112<6l>=0qc?=fe;94?7|@8;??6`>24595a1?3td:>kjn:182M76<:1e=?;8:0f4=>{i9;lon7>51zJ2515;7?k7`9~j44alj0;60:h:l4}o31bab=83;pD2gff>5<6sA;:8>5a1374>4b0l2we=?hkf;295~N69=90b<<:7;3g3`=zf88mi=4?:0yK54243g;99:4>d6d8yk75nl;1<7?tH0377>h6:<=1=i6?;|l26cc5290:wE?>429m573028n3=6sa13df7?6=9rB:=9=4n0063?7c0;1vb<4}O98>87c?=5682`=58951e:7?xh6:oo=6=4>{I3206=i9;?<61518j442?3;o4;5rn00ea=<728qC=<:<;o3112<6l1=0qc?=fd;94?7|@8;??6`>24595a>?3td:>kkn:182M76<:1e=?;8:0f;=>{i9;lnn7>51zJ2515;7?k8`9~j44amj0;60:h5l4}o31b`b=83;pD2ggf>5<6sA;:8>5a1374>4b?l2we=?hjf;295~N69=90b<<:7;3g<`=zf88mj=4?:0yK54243g;99:4>d9d8yk75no;1<7?tH0377>h6:<=1=i7?;|l26c`5290:wE?>429m573028n2=6sa13de7?6=9rB:=9=4n0063?7c1;1vb<4}O98>87c?=5682`<58951e;7?xh6:ol=6=4>{I3206=i9;?<61518j442?3;o5;5rn00eb=<728qC=<:<;o3112<6l0=0qc?=fg;94?7|@8;??6`>24595a??3td:>khn:182M76<:1e=?;8:0f:=>{i9;lmn7>51zJ2515;7?k9`9~j44anj0;60:h4l4}o31bcb=83;pD2gdf>5<6sA;:8>5a1374>4b>l2we=?hif;295~N69=90b<<:7;3g=`=zf89;<=4?:0yK54243g;99:4>d8d8yk7489;1<7?tH0377>h6:<=1=io?;|l27565290:wE?>429m573028nj=6sa12237?6=9rB:=9=4n0063?7ci;1vb<=?0583>4}O98>87c?=5682`d58951ec7?xh6;9:=6=4>{I3206=i9;?<61518j442?3;om;5rn0134=<728qC=<:<;o3112<6lh=0qc?<01;94?7|@8;??6`>24595ag?3td:?=>n:182M76<:1e=?;8:0fb=>{i9::;n7>51zJ2515;7?ka`9~j4578j0;60:hll4}o3045b=83;pD312f>5<6sA;:8>5a1374>4bfl2we=>>?f;295~N69=90b<<:7;3ge`=zf89;==4?:0yK54243g;99:4>d`d8yk7488;1<7?tH0377>h6:<=1=il?;|l27575290:wE?>429m573028ni=6sa12227?6=9rB:=9=4n0063?7cj;1vb<=?1583>4}O98>87c?=5682`g58951e`7?xh6;9;=6=4>{I3206=i9;?<61518j442?3;on;5rn0135=<728qC=<:<;o3112<6lk=0qc?<00;94?7|@8;??6`>24595ad?3td:?=?n:182M76<:1e=?;8:0fa=>{i9:::n7>51zJ2515;7?kb`9~j4579j0;60:hol4}o3044b=83;pD313f>5<6sA;:8>5a1374>4bel2we=>>>f;295~N69=90b<<:7;3gf`=zf89;>=4?:0yK54243g;99:4>dcd8yk748;;1<7?tH0377>h6:<=1=im?;|l27545290:wE?>429m573028nh=6sa12217?6=9rB:=9=4n0063?7ck;1vb<=?2583>4}O98>87c?=5682`f58951ea7?xh6;98=6=4>{I3206=i9;?<61518j442?3;oo;5rn0136=<728qC=<:<;o3112<6lj=0qc?<03;94?7|@8;??6`>24595ae?3td:?={i9::9n7>51zJ2515;7?kc`9~j457:j0;60:hnl4}o3047b=83;pD310f>5<6sA;:8>5a1374>4bdl2we=>>=f;295~N69=90b<<:7;3gg`=zf89;?=4?:0yK54243g;99:4>dbd8yk748:;1<7?tH0377>h6:<=1=ij?;|l27555290:wE?>429m573028no=6sa12207?6=9rB:=9=4n0063?7cl;1vb<=?3583>4}O98>87c?=5682`a5;50;3xL473;2d:>8951ef7?xh6;99=6=4>{I3206=i9;?<61518j442?3;oh;5rn0137=<728qC=<:<;o3112<6lm=0qc?<02;94?7|@8;??6`>24595ab?3td:?==n:182M76<:1e=?;8:0fg=>{i9::8n7>51zJ2515;7?kd`9~j457;j0;60:hil4}o3046b=83;pD311f>5<6sA;:8>5a1374>4bcl2we=>>ded8yk748=;1<7?tH0377>h6:<=1=ik?;|l27525290:wE?>429m573028nn=6sa12277?6=9rB:=9=4n0063?7cm;1vb<=?4583>4}O98>87c?=5682``58951eg7?xh6;9>=6=4>{I3206=i9;?<61518j442?3;oi;5rn0130=<728qC=<:<;o3112<6ll=0qc?<05;94?7|@8;??6`>24595ac?3td:?=:n:182M76<:1e=?;8:0ff=>{i9::?n7>51zJ2515;7?ke`9~j4570:hhl4}o3041b=83;pD316f>5<6sA;:8>5a1374>4bbl2we=>>;f;295~N69=90b<<:7;3ga`=zf89;9=4?:0yK54243g;99:4>ddd8yk748<;1<7?tH0377>h6:<=1=ih?;|l27535290:wE?>429m573028nm=6sa12267?6=9rB:=9=4n0063?7cn;1vb<=?5583>4}O98>87c?=5682`c58951ed7?xh6;9?=6=4>{I3206=i9;?<61518j442?3;oj;5rn0131=<728qC=<:<;o3112<6lo=0qc?<04;94?7|@8;??6`>24595a`?3td:?=;n:182M76<:1e=?;8:0fe=>{i9::>n7>51zJ2515;7?kf`9~j457=j0;60:hkl4}o3040b=83;pD317f>5<6sA;:8>5a1374>4bal2we=>>:f;295~N69=90b<<:7;3gb`=zf89;:=4?:0yK54243g;99:4>dgd8yk748?;1<7?tH0377>h6:<=1=h>?;|l27505290:wE?>429m573028o;=6sa12257?6=9rB:=9=4n0063?7b8;1vb<=?6583>4}O98>87c?=5682a558951d27?xh6;9<=6=4>{I3206=i9;?<61518j442?3;n<;5rn0132=<728qC=<:<;o3112<6m9=0qc?<07;94?7|@8;??6`>24595`6?3td:?=8n:182M76<:1e=?;8:0g3=>{i9::=n7>51zJ2515;7?j0`9~j457>j0;60:i=l4}o3043b=83;pD314f>5<6sA;:8>5a1374>4c7l2we=>>9f;295~N69=90b<<:7;3f4`=zf89;;=4?:0yK54243g;99:4>e1d8yk748>;1<7?tH0377>h6:<=1=h??;|l27515290:wE?>429m573028o:=6sa12247?6=9rB:=9=4n0063?7b9;1vb<=?7583>4}O98>87c?=5682a458951d37?xh6;9==6=4>{I3206=i9;?<65:m5660?3:1=vF>1518j442?3;n=;5rn0133=<728qC=<:<;o3112<6m8=0qc?<06;94?7|@8;??6`>24595`7?3td:?=9n:182M76<:1e=?;8:0g2=>{i9::51zJ2515;7?j1`9~j457?j0;60:i315f>5<6sA;:8>5a1374>4c6l2we=>>8f;295~N69=90b<<:7;3f5`=zf89;4=4?:0yK54243g;99:4>e0d8yk7481;1<7?tH0377>h6:<=1=h5290:wE?>429m573028o9=6sa122;7?6=9rB:=9=4n0063?7b:;1vb<=?8583>4}O98>87c?=5682a758951d07?xh6;92=6=4>{I3206=i9;?<61518j442?3;n>;5rn013<=<728qC=<:<;o3112<6m;=0qc?<09;94?7|@8;??6`>24595`4?3td:?=6n:182M76<:1e=?;8:0g1=>{i9::3n7>51zJ2515;7?j2`9~j4570j0;60:i?l4}o304=b=83;pD31:f>5<6sA;:8>5a1374>4c5l2we=>>7f;295~N69=90b<<:7;3f6`=zf89;5=4?:0yK54243g;99:4>e3d8yk7480;1<7?tH0377>h6:<=1=h=?;|l275?5290:wE?>429m573028o8=6sa122:7?6=9rB:=9=4n0063?7b;;1vb<=?9583>4}O98>87c?=5682a658951d17?xh6;93=6=4>{I3206=i9;?<6?3:1=vF>1518j442?3;n?;5rn013==<728qC=<:<;o3112<6m:=0qc?<08;94?7|@8;??6`>24595`5?3td:?=7n:182M76<:1e=?;8:0g0=>{i9::2n7>51zJ2515;7?j3`9~j4571j0;60:i>l4}o30431;f>5<6sA;:8>5a1374>4c4l2we=>>6f;295~N69=90b<<:7;3f7`=zf89;m=4?:0yK54243g;99:4>e2d8yk748h;1<7?tH0377>h6:<=1=h:?;|l275g5290:wE?>429m573028o?=6sa122b7?6=9rB:=9=4n0063?7b<;1vb<=?a583>4}O98>87c?=5682a158951d67?xh6;9k=6=4>{I3206=i9;?<61518j442?3;n8;5rn013e=<728qC=<:<;o3112<6m==0qc?<0`;94?7|@8;??6`>24595`2?3td:?=on:182M76<:1e=?;8:0g7=>{i9::jn7>51zJ2515;7?j4`9~j457ij0;60:i9l4}o304db=83;pDh7p`>31cf>5<6sA;:8>5a1374>4c3l2we=>>nf;295~N69=90b<<:7;3f0`=zf89;n=4?:0yK54243g;99:4>e5d8yk748k;1<7?tH0377>h6:<=1=h;?;|l275d5290:wE?>429m573028o>=6sa122a7?6=9rB:=9=4n0063?7b=;1vb<=?b583>4}O98>87c?=5682a058951d77?xh6;9h=6=4>{I3206=i9;?<61518j442?3;n9;5rn013f=<728qC=<:<;o3112<6m<=0qc?<0c;94?7|@8;??6`>24595`3?3td:?=ln:182M76<:1e=?;8:0g6=>{i9::in7>51zJ2515;7?j5`9~j457jj0;60:i8l4}o304gb=83;pD31`f>5<6sA;:8>5a1374>4c2l2we=>>mf;295~N69=90b<<:7;3f1`=zf89;o=4?:0yK54243g;99:4>e4d8yk748j;1<7?tH0377>h6:<=1=h8?;|l275e5290:wE?>429m573028o==6sa122`7?6=9rB:=9=4n0063?7b>;1vb<=?c583>4}O98>87c?=5682a358951d47?xh6;9i=6=4>{I3206=i9;?<61518j442?3;n:;5rn013g=<728qC=<:<;o3112<6m?=0qc?<0b;94?7|@8;??6`>24595`0?3td:?=mn:182M76<:1e=?;8:0g5=>{i9::hn7>51zJ2515;7?j6`9~j457kj0;60:i;l4}o304fb=83;pD31af>5<6sA;:8>5a1374>4c1l2we=>>lf;295~N69=90b<<:7;3f2`=zf89;h=4?:0yK54243g;99:4>e7d8yk748m;1<7?tH0377>h6:<=1=h9?;|l275b5290:wE?>429m573028o<=6sa122g7?6=9rB:=9=4n0063?7b?;1vb<=?d583>4}O98>87c?=5682a258951d57?xh6;9n=6=4>{I3206=i9;?<61518j442?3;n;;5rn013`=<728qC=<:<;o3112<6m>=0qc?<0e;94?7|@8;??6`>24595`1?3td:?=jn:182M76<:1e=?;8:0g4=>{i9::on7>51zJ2515;7?j7`9~j457lj0;60:i:l4}o304ab=83;pD31ff>5<6sA;:8>5a1374>4c0l2we=>>kf;295~N69=90b<<:7;3f3`=zf89;i=4?:0yK54243g;99:4>e6d8yk748l;1<7?tH0377>h6:<=1=h6?;|l275c5290:wE?>429m573028o3=6sa122f7?6=9rB:=9=4n0063?7b0;1vb<=?e583>4}O98>87c?=5682a=58951d:7?xh6;9o=6=4>{I3206=i9;?<61518j442?3;n4;5rn013a=<728qC=<:<;o3112<6m1=0qc?<0d;94?7|@8;??6`>24595`>?3td:?=kn:182M76<:1e=?;8:0g;=>{i9::nn7>51zJ2515;7?j8`9~j457mj0;60:i5l4}o304`b=83;pD31gf>5<6sA;:8>5a1374>4c?l2we=>>jf;295~N69=90b<<:7;3f<`=zf89;j=4?:0yK54243g;99:4>e9d8yk748o;1<7?tH0377>h6:<=1=h7?;|l275`5290:wE?>429m573028o2=6sa122e7?6=9rB:=9=4n0063?7b1;1vb<=?f583>4}O98>87c?=5682a<58951d;7?xh6;9l=6=4>{I3206=i9;?<61518j442?3;n5;5rn013b=<728qC=<:<;o3112<6m0=0qc?<0g;94?7|@8;??6`>24595`??3td:?=hn:182M76<:1e=?;8:0g:=>{i9::mn7>51zJ2515;7?j9`9~j457nj0;60:i4l4}o304cb=83;pD31df>5<6sA;:8>5a1374>4c>l2we=>>if;295~N69=90b<<:7;3f=`=zf89:<=4?:0yK54243g;99:4>e8d8yk7499;1<7?tH0377>h6:<=1=ho?;|l27465290:wE?>429m573028oj=6sa12337?6=9rB:=9=4n0063?7bi;1vb<=>0583>4}O98>87c?=5682ad58951dc7?xh6;8:=6=4>{I3206=i9;?<61518j442?3;nm;5rn0124=<728qC=<:<;o3112<6mh=0qc?<11;94?7|@8;??6`>24595`g?3td:?<>n:182M76<:1e=?;8:0gb=>{i9:;;n7>51zJ2515;7?ja`9~j4568j0;60:ill4}o3055b=83;pD302f>5<6sA;:8>5a1374>4cfl2we=>??f;295~N69=90b<<:7;3fe`=zf89:==4?:0yK54243g;99:4>e`d8yk7498;1<7?tH0377>h6:<=1=hl?;|l27475290:wE?>429m573028oi=6sa12327?6=9rB:=9=4n0063?7bj;1vb<=>1583>4}O98>87c?=5682ag58951d`7?xh6;8;=6=4>{I3206=i9;?<61518j442?3;nn;5rn0125=<728qC=<:<;o3112<6mk=0qc?<10;94?7|@8;??6`>24595`d?3td:?{i9:;:n7>51zJ2515;7?jb`9~j4569j0;60:iol4}o3054b=83;pD303f>5<6sA;:8>5a1374>4cel2we=>?>f;295~N69=90b<<:7;3ff`=zf89:>=4?:0yK54243g;99:4>ecd8yk749;;1<7?tH0377>h6:<=1=hm?;|l27445290:wE?>429m573028oh=6sa12317?6=9rB:=9=4n0063?7bk;1vb<=>2583>4}O98>87c?=5682af58951da7?xh6;88=6=4>{I3206=i9;?<61518j442?3;no;5rn0126=<728qC=<:<;o3112<6mj=0qc?<13;94?7|@8;??6`>24595`e?3td:?<{i9:;9n7>51zJ2515;7?jc`9~j456:j0;60:inl4}o3057b=83;pD300f>5<6sA;:8>5a1374>4cdl2we=>?=f;295~N69=90b<<:7;3fg`=zf89:?=4?:0yK54243g;99:4>ebd8yk749:;1<7?tH0377>h6:<=1=hj?;|l27455290:wE?>429m573028oo=6sa12307?6=9rB:=9=4n0063?7bl;1vb<=>3583>4}O98>87c?=5682aa5;50;3xL473;2d:>8951df7?xh6;89=6=4>{I3206=i9;?<61518j442?3;nh;5rn0127=<728qC=<:<;o3112<6mm=0qc?<12;94?7|@8;??6`>24595`b?3td:?<=n:182M76<:1e=?;8:0gg=>{i9:;8n7>51zJ2515;7?jd`9~j456;j0;60:iil4}o3056b=83;pD301f>5<6sA;:8>5a1374>4ccl2we=>?eed8yk749=;1<7?tH0377>h6:<=1=hk?;|l27425290:wE?>429m573028on=6sa12377?6=9rB:=9=4n0063?7bm;1vb<=>4583>4}O98>87c?=5682a`58951dg7?xh6;8>=6=4>{I3206=i9;?<61518j442?3;ni;5rn0120=<728qC=<:<;o3112<6ml=0qc?<15;94?7|@8;??6`>24595`c?3td:?<:n:182M76<:1e=?;8:0gf=>{i9:;?n7>51zJ2515;7?je`9~j4560:ihl4}o3051b=83;pD306f>5<6sA;:8>5a1374>4cbl2we=>?;f;295~N69=90b<<:7;3fa`=zf89:9=4?:0yK54243g;99:4>edd8yk749<;1<7?tH0377>h6:<=1=hh?;|l27435290:wE?>429m573028om=6sa12367?6=9rB:=9=4n0063?7bn;1vb<=>5583>4}O98>87c?=5682ac58951dd7?xh6;8?=6=4>{I3206=i9;?<61518j442?3;nj;5rn0121=<728qC=<:<;o3112<6mo=0qc?<14;94?7|@8;??6`>24595``?3td:?<;n:182M76<:1e=?;8:0ge=>{i9:;>n7>51zJ2515;7?jf`9~j456=j0;60:ikl4}o3050b=83;pD307f>5<6sA;:8>5a1374>4cal2we=>?:f;295~N69=90b<<:7;3fb`=zf89::=4?:0yK54243g;99:4>egd8yk749?;1<7?tH0377>h6:<=1=k>?;|l27405290:wE?>429m573028l;=6sa12357?6=9rB:=9=4n0063?7a8;1vb<=>6583>4}O98>87c?=5682b558951g27?xh6;8<=6=4>{I3206=i9;?<61518j442?3;m<;5rn0122=<728qC=<:<;o3112<6n9=0qc?<17;94?7|@8;??6`>24595c6?3td:?<8n:182M76<:1e=?;8:0d3=>{i9:;=n7>51zJ2515;7?i0`9~j456>j0;60:j=l4}o3053b=83;pD304f>5<6sA;:8>5a1374>4`7l2we=>?9f;295~N69=90b<<:7;3e4`=zf89:;=4?:0yK54243g;99:4>f1d8yk749>;1<7?tH0377>h6:<=1=k??;|l27415290:wE?>429m573028l:=6sa12347?6=9rB:=9=4n0063?7a9;1vb<=>7583>4}O98>87c?=5682b458951g37?xh6;8==6=4>{I3206=i9;?<65:m5670?3:1=vF>1518j442?3;m=;5rn0123=<728qC=<:<;o3112<6n8=0qc?<16;94?7|@8;??6`>24595c7?3td:?<9n:082M76<:1e=?;8:0d2=>{i9:;51zJ2515;7?i1`9~j456?j0;60:j305f>5<6sA;:8>5a1374>4`6l2we=>?8f;295~N69=90b<<:7;3e5`=zf89:4=4?:0yK54243g;99:4>f0d8yk7491;1<7?tH0377>h6:<=1=k5290:wE?>429m573028l9=6sa123;7?6=9rB:=9=4n0063?7a:;1vb<=>8583>4}O98>87c?=5682b758951g07?xh6;82=6=4>{I3206=i9;?<61518j442?3;m>;5rn012<=<728qC=<:<;o3112<6n;=0qc?<19;94?7|@8;??6`>24595c4?3td:?<6n:182M76<:1e=?;8:0d1=>{i9:;3n7>51zJ2515;7?i2`9~j4560j0;60:j?l4}o305=b=83;pD30:f>5<6sA;:8>5a1374>4`5l2we=>?7f;295~N69=90b<<:7;3e6`=zf89:5=4?:0yK54243g;99:4>f3d8yk7490;1<7?tH0377>h6:<=1=k=?;|l274?5280:wE?>429m573028l8=6sa123:7?7=9rB:=9=4n0063?7a;;1vb<=>9582>4}O98>87c?=5682b658951g17?xh6;83=6<4>{I3206=i9;?<6?3;1=vF>1518j442?3;m?;5rn012==<628qC=<:<;o3112<6n:=0qc?<18;94?7|@8;??6`>24595c5?3td:?<7n:182M76<:1e=?;8:0d0=>{i9:;2n7>51zJ2515;7?i3`9~j4561j0;60:j>l4}o30530;f>5<6sA;:8>5a1374>4`4l2we=>?6f;295~N69=90b<<:7;3e7`=zf89:m=4?:0yK54243g;99:4>f2d8yk749h;1<7?tH0377>h6:<=1=k:?;|l274g5290:wE?>429m573028l?=6sa123b7?6=9rB:=9=4n0063?7a<;1vb<=>a583>4}O98>87c?=5682b158951g67?xh6;8k=6=4>{I3206=i9;?<61518j442?3;m8;5rn012e=<728qC=<:<;o3112<6n==0qc?<1`;94?7|@8;??6`>24595c2?3td:?{i9:;jn7>51zJ2515;7?i4`9~j456ij0;60:j9l4}o305db=83;pDh7p`>30cf>5<6sA;:8>5a1374>4`3l2we=>?nf;295~N69=90b<<:7;3e0`=zf89:n=4?:0yK54243g;99:4>f5d8yk749k;1<7?tH0377>h6:<=1=k;?;|l274d5290:wE?>429m573028l>=6sa123a7?6=9rB:=9=4n0063?7a=;1vb<=>b583>4}O98>87c?=5682b058951g77?xh6;8h=6=4>{I3206=i9;?<61518j442?3;m9;5rn012f=<728qC=<:<;o3112<6n<=0qc?<1c;94?7|@8;??6`>24595c3?3td:?{i9:;in7>51zJ2515;7?i5`9~j456jj0;60:j8l4}o305gb=83;pD30`f>5<6sA;:8>5a1374>4`2l2we=>?mf;295~N69=90b<<:7;3e1`=zf89:o=4?:0yK54243g;99:4>f4d8yk749j;1<7?tH0377>h6:<=1=k8?;|l274e5290:wE?>429m573028l==6sa123`7?6=9rB:=9=4n0063?7a>;1vb<=>c583>4}O98>87c?=5682b358951g47?xh6;8i=6=4>{I3206=i9;?<61518j442?3;m:;5rn012g=<728qC=<:<;o3112<6n?=0qc?<1b;94?7|@8;??6`>24595c0?3td:?{i9:;hn7>51zJ2515;7?i6`9~j456kj0;60:j;l4}o305fb=83;pD30af>5<6sA;:8>5a1374>4`1l2we=>?lf;295~N69=90b<<:7;3e2`=zf89:h=4?:0yK54243g;99:4>f7d8yk749m;1<7?tH0377>h6:<=1=k9?;|l274b5290:wE?>429m573028l<=6sa123g7?6=9rB:=9=4n0063?7a?;1vb<=>d583>4}O98>87c?=5682b258951g57?xh6;8n=6=4>{I3206=i9;?<61518j442?3;m;;5rn012`=<728qC=<:<;o3112<6n>=0qc?<1e;94?7|@8;??6`>24595c1?3td:?{i9:;on7>51zJ2515;7?i7`9~j456lj0;60:j:l4}o305ab=83;pD30ff>5<6sA;:8>5a1374>4`0l2we=>?kf;295~N69=90b<<:7;3e3`=zf89:i=4?:0yK54243g;99:4>f6d8yk749l;1<7?tH0377>h6:<=1=k6?;|l274c5290:wE?>429m573028l3=6sa123f7?6=9rB:=9=4n0063?7a0;1vb<=>e583>4}O98>87c?=5682b=58951g:7?xh6;8o=6=4>{I3206=i9;?<61518j442?3;m4;5rn012a=<728qC=<:<;o3112<6n1=0qc?<1d;94?7|@8;??6`>24595c>?3td:?{i9:;nn7>51zJ2515;7?i8`9~j456mj0;60:j5l4}o305`b=83;pD30gf>5<6sA;:8>5a1374>4`?l2we=>?jf;295~N69=90b<<:7;3e<`=zf89:j=4?:0yK54243g;99:4>f9d8yk749o;1<7?tH0377>h6:<=1=k7?;|l274`5290:wE?>429m573028l2=6sa123e7?6=9rB:=9=4n0063?7a1;1vb<=>f583>4}O98>87c?=5682b<58951g;7?xh6;8l=6=4>{I3206=i9;?<61518j442?3;m5;5rn012b=<728qC=<:<;o3112<6n0=0qc?<1g;94?7|@8;??6`>24595c??3td:?{i9:;mn7>51zJ2515;7?i9`9~j456nj0;60:j4l4}o305cb=83;pD30df>5<6sA;:8>5a1374>4`>l2we=>?if;295~N69=90b<<:7;3e=`=zf899<=4?:0yK54243g;99:4>f8d8yk74:9;1<7?tH0377>h6:<=1=ko?;|l27765290:wE?>429m573028lj=6sa12037?6=9rB:=9=4n0063?7ai;1vb<==0583>4}O98>87c?=5682bd5=;50;3xL473;2d:>8951gc7?xh6;;:=6=4>{I3206=i9;?<61518j442?3;mm;5rn0114=<728qC=<:<;o3112<6nh=0qc?<21;94?7|@8;??6`>24595cg?3td:??>n:182M76<:1e=?;8:0db=>{i9:8;n7>51zJ2515;7?ia`9~j4558j0;60:jll4}o3065b=83;pD332f>5<6sA;:8>5a1374>4`fl2we=>f`d8yk74:8;1<7?tH0377>h6:<=1=kl?;|l27775290:wE?>429m573028li=6sa12027?6=9rB:=9=4n0063?7aj;1vb<==1583>4}O98>87c?=5682bg5<;50;3xL473;2d:>8951g`7?xh6;;;=6=4>{I3206=i9;?<61518j442?3;mn;5rn0115=<728qC=<:<;o3112<6nk=0qc?<20;94?7|@8;??6`>24595cd?3td:???n:182M76<:1e=?;8:0da=>{i9:8:n7>51zJ2515;7?ib`9~j4559j0;60:jol4}o3064b=83;pD333f>5<6sA;:8>5a1374>4`el2we=><>f;295~N69=90b<<:7;3ef`=zf899>=4?:0yK54243g;99:4>fcd8yk74:;;1<7?tH0377>h6:<=1=km?;|l27745290:wE?>429m573028lh=6sa12017?6=9rB:=9=4n0063?7ak;1vb<==2583>4}O98>87c?=5682bf5?;50;3xL473;2d:>8951ga7?xh6;;8=6=4>{I3206=i9;?<61518j442?3;mo;5rn0116=<728qC=<:<;o3112<6nj=0qc?<23;95?7|@8;??6`>24595ce?3td:??{i9:89n7>51zJ2515;7?ic`9~j455:j0;60:jnl4}o3067b=83;pD330f>5<6sA;:8>5a1374>4`dl2we=><=f;295~N69=90b<<:7;3eg`=zf899?=4?:0yK54243g;99:4>fbd8yk74::;1<7?tH0377>h6:<=1=kj?;|l27755290:wE?>429m573028lo=6sa12007?6=9rB:=9=4n0063?7al;1vb<==3583>4}O98>87c?=5682ba5>;50;3xL473;2d:>8951gf7?xh6;;9=6=4>{I3206=i9;?<61518j442?3;mh;5rn0117=<728qC=<:<;o3112<6nm=0qc?<22;94?7|@8;??6`>24595cb?3td:??=n:182M76<:1e=?;8:0dg=>{i9:88n7>51zJ2515;7?id`9~j455;j0;60:jil4}o3066b=83;pD331f>5<6sA;:8>5a1374>4`cl2we=><fed8yk74:=;1<7?tH0377>h6:<=1=kk?;|l27725290:wE?>429m573028ln=6sa12077?6=9rB:=9=4n0063?7am;1vb<==4583>4}O98>87c?=5682b`59;50;3xL473;2d:>8951gg7?xh6;;>=6=4>{I3206=i9;?<61518j442?3;mi;5rn0110=<728qC=<:<;o3112<6nl=0qc?<25;94?7|@8;??6`>24595cc?3td:??:n:182M76<:1e=?;8:0df=>{i9:8?n7>51zJ2515;7?ie`9~j4550:jhl4}o3061b=83;pD336f>5<6sA;:8>5a1374>4`bl2we=><;f;295~N69=90b<<:7;3ea`=zf8999=4?:0yK54243g;99:4>fdd8yk74:<;1<7?tH0377>h6:<=1=kh?;|l27735290:wE?>429m573028lm=6sa12067?6=9rB:=9=4n0063?7an;1vb<==5583>4}O98>87c?=5682bc58;50;3xL473;2d:>8951gd7?xh6;;?=6=4>{I3206=i9;?<61518j442?3;mj;5rn0111=<728qC=<:<;o3112<6no=0qc?<24;94?7|@8;??6`>24595c`?3td:??;n:182M76<:1e=?;8:0de=>{i9:8>n7>51zJ2515;7?if`9~j455=j0;60:jkl4}o3060b=83;pD337f>5<6sA;:8>5a1374>4`al2we=><:f;295~N69=90b<<:7;3eb`=zf899:=4?:0yK54243g;99:4>fgd8yk74:?;1<7?tH0377>h6:<=1>=>?;|l27705290:wE?>429m57302;:;=6sa12057?6=9rB:=9=4n0063?478;1vb<==6583>4}O98>87c?=5681455;;50;3xL473;2d:>8952127?xh6;;<=6=4>{I3206=i9;?<6?>?5:m5641?3:1=vF>1518j442?38;<;5rn0112=<728qC=<:<;o3112<589=0qc?<27;94?7|@8;??6`>2459656?3td:??8n:182M76<:1e=?;8:323=>{i9:8=n7>51zJ2515;7j0;609<=l4}o3063b=83;pD334f>5<6sA;:8>5a1374>767l2we=><9f;295~N69=90b<<:7;034`=zf899;=4?:0yK54243g;99:4=01d8yk74:>;1<7?tH0377>h6:<=1>=??;|l27715290:wE?>429m57302;::=6sa12047?6=9rB:=9=4n0063?479;1vb<==7583>4}O98>87c?=5681445:;50;3xL473;2d:>8952137?xh6;;==6=4>{I3206=i9;?<6?>>5:m5640?3:1=vF>1518j442?38;=;5rn0113=<728qC=<:<;o3112<588=0qc?<26;94?7|@8;??6`>2459657?3td:??9n:182M76<:1e=?;8:322=>{i9:851zJ2515;709<335f>5<6sA;:8>5a1374>766l2we=><8f;295~N69=90b<<:7;035`=zf8994=4?:0yK54243g;99:4=00d8yk74:1;1<7?tH0377>h6:<=1>=5290:wE?>429m57302;:9=6sa120;7?6=9rB:=9=4n0063?47:;1vb<==8583>4}O98>87c?=56814755;50;3xL473;2d:>8952107?xh6;;2=6=4>{I3206=i9;?<6?>=5:m564??3:1=vF>1518j442?38;>;5rn011<=<728qC=<:<;o3112<58;=0qc?<29;94?7|@8;??6`>2459654?3td:??6n:182M76<:1e=?;8:321=>{i9:83n7>51zJ2515;70933:f>5<6sA;:8>5a1374>765l2we=><7f;295~N69=90b<<:7;036`=zf8995=4?:0yK54243g;99:4=03d8yk74:0;1<7?tH0377>h6:<=1>==?;|l277?5290:wE?>429m57302;:8=6sa120:7?6=9rB:=9=4n0063?47;;1vb<==9583>4}O98>87c?=56814654;50;3xL473;2d:>8952117?xh6;;3=6=4>{I3206=i9;?<6?><5:m564>?3:1=vF>1518j442?38;?;5rn011==<728qC=<:<;o3112<58:=0qc?<28;94?7|@8;??6`>2459655?3td:??7n:182M76<:1e=?;8:320=>{i9:82n7>51zJ2515;709<>l4}o30633;f>5<6sA;:8>5a1374>764l2we=><6f;295~N69=90b<<:7;037`=zf899m=4?:0yK54243g;99:4=02d8yk74:h;1<7?tH0377>h6:<=1>=:?;|l277g5290:wE?>429m57302;:?=6sa120b7?6=9rB:=9=4n0063?47<;1vb<==a583>4}O98>87c?=5681415l;50;3xL473;2d:>8952167?xh6;;k=6=4>{I3206=i9;?<6?>;5:m564f?3:1=vF>1518j442?38;8;5rn011e=<728qC=<:<;o3112<58==0qc?<2`;94?7|@8;??6`>2459652?3td:??on:182M76<:1e=?;8:327=>{i9:8jn7>51zJ2515;709<9l4}o306db=83;pDh7p`>33cf>5<6sA;:8>5a1374>763l2we=>h6:<=1>=;?;|l277d5290:wE?>429m57302;:>=6sa120a7?6=9rB:=9=4n0063?47=;1vb<==b583>4}O98>87c?=5681405o;50;3xL473;2d:>8952177?xh6;;h=6=4>{I3206=i9;?<6?>:5:m564e?3:1=vF>1518j442?38;9;5rn011f=<728qC=<:<;o3112<58<=0qc?<2c;94?7|@8;??6`>2459653?3td:??ln:182M76<:1e=?;8:326=>{i9:8in7>51zJ2515;709<8l4}o306gb=83;pD33`f>5<6sA;:8>5a1374>762l2we=>h6:<=1>=8?;|l277e5290:wE?>429m57302;:==6sa120`7?6=9rB:=9=4n0063?47>;1vb<==c583>4}O98>87c?=5681435n;50;3xL473;2d:>8952147?xh6;;i=6=4>{I3206=i9;?<6?>95:m564d?3:1=vF>1518j442?38;:;5rn011g=<728qC=<:<;o3112<58?=0qc?<2b;94?7|@8;??6`>2459650?3td:??mn:182M76<:1e=?;8:325=>{i9:8hn7>51zJ2515;709<;l4}o306fb=83;pD33af>5<6sA;:8>5a1374>761l2we=>h6:<=1>=9?;|l277b5290:wE?>429m57302;:<=6sa120g7?6=9rB:=9=4n0063?47?;1vb<==d583>4}O98>87c?=5681425i;50;3xL473;2d:>8952157?xh6;;n=6=4>{I3206=i9;?<6?>85:m564c?3:1=vF>1518j442?38;;;5rn011`=<728qC=<:<;o3112<58>=0qc?<2e;94?7|@8;??6`>2459651?3td:??jn:182M76<:1e=?;8:324=>{i9:8on7>51zJ2515;709<:l4}o306ab=83;pD33ff>5<6sA;:8>5a1374>760l2we=>h6:<=1>=6?;|l277c5290:wE?>429m57302;:3=6sa120f7?6=9rB:=9=4n0063?470;1vb<==e583>4}O98>87c?=56814=5h;50;3xL473;2d:>89521:7?xh6;;o=6=4>{I3206=i9;?<6?>75:m564b?3:1=vF>1518j442?38;4;5rn011a=<728qC=<:<;o3112<581=0qc?<2d;94?7|@8;??6`>245965>?3td:??kn:182M76<:1e=?;8:32;=>{i9:8nn7>51zJ2515;709<5l4}o306`b=83;pD33gf>5<6sA;:8>5a1374>76?l2we=>h6:<=1>=7?;|l277`5290:wE?>429m57302;:2=6sa120e7?6=9rB:=9=4n0063?471;1vb<==f583>4}O98>87c?=56814<5k;50;3xL473;2d:>89521;7?xh6;;l=6=4>{I3206=i9;?<6?>65:m564a?3:1=vF>1518j442?38;5;5rn011b=<728qC=<:<;o3112<580=0qc?<2g;94?7|@8;??6`>245965??3td:??hn:182M76<:1e=?;8:32:=>{i9:8mn7>51zJ2515;709<4l4}o306cb=83;pD33df>5<6sA;:8>5a1374>76>l2we=>h6:<=1>=o?;|l27665290:wE?>429m57302;:j=6sa12137?6=9rB:=9=4n0063?47i;1vb<=<0583>4}O98>87c?=56814d589521c7?xh6;::=6=4>{I3206=i9;?<6?>n5:m5657?3:1=vF>1518j442?38;m;5rn0104=<728qC=<:<;o3112<58h=0qc?<31;94?7|@8;??6`>245965g?3td:?>>n:182M76<:1e=?;8:32b=>{i9:9;n7>51zJ2515;709322f>5<6sA;:8>5a1374>76fl2we=>=?f;295~N69=90b<<:7;03e`=zf898==4?:0yK54243g;99:4=0`d8yk74;8;1<7?tH0377>h6:<=1>=l?;|l27675290:wE?>429m57302;:i=6sa12127?6=9rB:=9=4n0063?47j;1vb<=<1583>4}O98>87c?=56814g589521`7?xh6;:;=6=4>{I3206=i9;?<6?>m5:m5656?3:1=vF>1518j442?38;n;5rn0105=<728qC=<:<;o3112<58k=0qc?<30;94?7|@8;??6`>245965d?3td:?>?n:182M76<:1e=?;8:32a=>{i9:9:n7>51zJ2515;709323f>5<6sA;:8>5a1374>76el2we=>=>f;295~N69=90b<<:7;03f`=zf898>=4?:0yK54243g;99:4=0cd8yk74;;;1<7?tH0377>h6:<=1>=m?;|l27645290:wE?>429m57302;:h=6sa12117?6=9rB:=9=4n0063?47k;1vb<=<2583>4}O98>87c?=56814f589521a7?xh6;:8=6=4>{I3206=i9;?<6?>l5:m5655?3:1=vF>1518j442?38;o;5rn0106=<728qC=<:<;o3112<58j=0qc?<33;94?7|@8;??6`>245965e?3td:?>{i9:99n7>51zJ2515;709320f>5<6sA;:8>5a1374>76dl2we=>==f;295~N69=90b<<:7;03g`=zf898?=4?:0yK54243g;99:4=0bd8yk74;:;1<7?tH0377>h6:<=1>=j?;|l27655290:wE?>429m57302;:o=6sa12107?6=9rB:=9=4n0063?47l;1vb<=<3583>4}O98>87c?=56814a5;50;3xL473;2d:>89521f7?xh6;:9=6=4>{I3206=i9;?<6?>k5:m5654?3:1=vF>1518j442?38;h;5rn0107=<728qC=<:<;o3112<58m=0qc?<32;94?7|@8;??6`>245965b?3td:?>=n:182M76<:1e=?;8:32g=>{i9:98n7>51zJ2515;709321f>5<6sA;:8>5a1374>76cl2we=>=h6:<=1>=k?;|l27625290:wE?>429m57302;:n=6sa12177?6=9rB:=9=4n0063?47m;1vb<=<4583>4}O98>87c?=56814`589521g7?xh6;:>=6=4>{I3206=i9;?<6?>j5:m5653?3:1=vF>1518j442?38;i;5rn0100=<728qC=<:<;o3112<58l=0qc?<35;94?7|@8;??6`>245965c?3td:?>:n:182M76<:1e=?;8:32f=>{i9:9?n7>51zJ2515;709326f>5<6sA;:8>5a1374>76bl2we=>=;f;295~N69=90b<<:7;03a`=zf8989=4?:0yK54243g;99:4=0dd8yk74;<;1<7?tH0377>h6:<=1>=h?;|l27635290:wE?>429m57302;:m=6sa12167?6=9rB:=9=4n0063?47n;1vb<=<5583>4}O98>87c?=56814c589521d7?xh6;:?=6=4>{I3206=i9;?<6?>i5:m5652?3:1=vF>1518j442?38;j;5rn0101=<728qC=<:<;o3112<58o=0qc?<34;94?7|@8;??6`>245965`?3td:?>;n:182M76<:1e=?;8:32e=>{i9:9>n7>51zJ2515;709327f>5<6sA;:8>5a1374>76al2we=>=:f;295~N69=90b<<:7;03b`=zf898:=4?:0yK54243g;99:4=0gd8yk74;?;1<7?tH0377>h6:<=1><>?;|l27605290:wE?>429m57302;;;=6sa12157?6=9rB:=9=4n0063?468;1vb<=<6583>4}O98>87c?=56815558952027?xh6;:<=6=4>{I3206=i9;?<6???5:m5651?3:1=vF>1518j442?38:<;5rn0102=<728qC=<:<;o3112<599=0qc?<37;94?7|@8;??6`>2459646?3td:?>8n:182M76<:1e=?;8:333=>{i9:9=n7>51zJ2515;7<>0`9~j454>j0;609==l4}o3073b=83;pD324f>5<6sA;:8>5a1374>777l2we=>=9f;295~N69=90b<<:7;024`=zf898;=4?:0yK54243g;99:4=11d8yk74;>;1<7?tH0377>h6:<=1>429m57302;;:=6sa12147?6=9rB:=9=4n0063?469;1vb<=<7583>4}O98>87c?=56815458952037?xh6;:==6=4>{I3206=i9;?<6??>5:m5650?3:1=vF>1518j442?38:=;5rn0103=<728qC=<:<;o3112<598=0qc?<36;94?7|@8;??6`>2459647?3td:?>9n:182M76<:1e=?;8:332=>{i9:951zJ2515;7<>1`9~j454?j0;609=325f>5<6sA;:8>5a1374>776l2we=>=8f;295~N69=90b<<:7;025`=zf8984=4?:0yK54243g;99:4=10d8yk74;1;1<7?tH0377>h6:<=1><5290:wE?>429m57302;;9=6sa121;7?6=9rB:=9=4n0063?46:;1vb<=<8583>4}O98>87c?=56815758952007?xh6;:2=6=4>{I3206=i9;?<6??=5:m565??3:1=vF>1518j442?38:>;5rn010<=<728qC=<:<;o3112<59;=0qc?<39;94?7|@8;??6`>2459644?3td:?>6n:182M76<:1e=?;8:331=>{i9:93n7>51zJ2515;7<>2`9~j4540j0;609=?l4}o307=b=83;pD32:f>5<6sA;:8>5a1374>775l2we=>=7f;295~N69=90b<<:7;026`=zf8985=4?:0yK54243g;99:4=13d8yk74;0;1<7?tH0377>h6:<=1><=?;|l276?5290:wE?>429m57302;;8=6sa121:7?6=9rB:=9=4n0063?46;;1vb<=<9583>4}O98>87c?=56815658952017?xh6;:3=6=4>{I3206=i9;?<6??<5:m565>?3:1=vF>1518j442?38:?;5rn010==<728qC=<:<;o3112<59:=0qc?<38;94?7|@8;??6`>2459645?3td:?>7n:182M76<:1e=?;8:330=>{i9:92n7>51zJ2515;7<>3`9~j4541j0;609=>l4}o30732;f>5<6sA;:8>5a1374>774l2we=>=6f;295~N69=90b<<:7;027`=zf898m=4?:0yK54243g;99:4=12d8yk74;h;1<7?tH0377>h6:<=1><:?;|l276g5290:wE?>429m57302;;?=6sa121b7?6=9rB:=9=4n0063?46<;1vb<=4}O98>87c?=56815158952067?xh6;:k=6=4>{I3206=i9;?<6??;5:m565f?3:1=vF>1518j442?38:8;5rn010e=<728qC=<:<;o3112<59==0qc?<3`;94?7|@8;??6`>2459642?3td:?>on:182M76<:1e=?;8:337=>{i9:9jn7>51zJ2515;7<>4`9~j454ij0;609=9l4}o307db=83;pDh7p`>32cf>5<6sA;:8>5a1374>773l2we=>=nf;295~N69=90b<<:7;020`=zf898n=4?:0yK54243g;99:4=15d8yk74;k;1<7?tH0377>h6:<=1><;?;|l276d5290:wE?>429m57302;;>=6sa121a7?6=9rB:=9=4n0063?46=;1vb<=4}O98>87c?=56815058952077?xh6;:h=6=4>{I3206=i9;?<6??:5:m565e?3:1=vF>1518j442?38:9;5rn010f=<728qC=<:<;o3112<59<=0qc?<3c;94?7|@8;??6`>2459643?3td:?>ln:182M76<:1e=?;8:336=>{i9:9in7>51zJ2515;7<>5`9~j454jj0;609=8l4}o307gb=83;pD32`f>5<6sA;:8>5a1374>772l2we=>=mf;295~N69=90b<<:7;021`=zf898o=4?:0yK54243g;99:4=14d8yk74;j;1<7?tH0377>h6:<=1><8?;|l276e5290:wE?>429m57302;;==6sa121`7?6=9rB:=9=4n0063?46>;1vb<=4}O98>87c?=56815358952047?xh6;:i=6=4>{I3206=i9;?<6??95:m565d?3:1=vF>1518j442?38::;5rn010g=<728qC=<:<;o3112<59?=0qc?<3b;94?7|@8;??6`>2459640?3td:?>mn:182M76<:1e=?;8:335=>{i9:9hn7>51zJ2515;7<>6`9~j454kj0;609=;l4}o307fb=83;pD32af>5<6sA;:8>5a1374>771l2we=>=lf;295~N69=90b<<:7;022`=zf898h=4?:0yK54243g;99:4=17d8yk74;m;1<7?tH0377>h6:<=1><9?;|l276b5290:wE?>429m57302;;<=6sa121g7?6=9rB:=9=4n0063?46?;1vb<=4}O98>87c?=56815258952057?xh6;:n=6=4>{I3206=i9;?<6??85:m565c?3:1=vF>1518j442?38:;;5rn010`=<728qC=<:<;o3112<59>=0qc?<3e;94?7|@8;??6`>2459641?3td:?>jn:182M76<:1e=?;8:334=>{i9:9on7>51zJ2515;7<>7`9~j454lj0;609=:l4}o307ab=83;pD32ff>5<6sA;:8>5a1374>770l2we=>=kf;295~N69=90b<<:7;023`=zf898i=4?:0yK54243g;99:4=16d8yk74;l;1<7?tH0377>h6:<=1><6?;|l276c5290:wE?>429m57302;;3=6sa121f7?6=9rB:=9=4n0063?460;1vb<=4}O98>87c?=56815=589520:7?xh6;:o=6=4>{I3206=i9;?<6??75:m565b?3:1=vF>1518j442?38:4;5rn010a=<728qC=<:<;o3112<591=0qc?<3d;94?7|@8;??6`>245964>?3td:?>kn:182M76<:1e=?;8:33;=>{i9:9nn7>51zJ2515;7<>8`9~j454mj0;609=5l4}o307`b=83;pD32gf>5<6sA;:8>5a1374>77?l2we=>=jf;295~N69=90b<<:7;02<`=zf898j=4?:0yK54243g;99:4=19d8yk74;o;1<7?tH0377>h6:<=1><7?;|l276`5290:wE?>429m57302;;2=6sa121e7?6=9rB:=9=4n0063?461;1vb<=4}O98>87c?=56815<589520;7?xh6;:l=6=4>{I3206=i9;?<6??65:m565a?3:1=vF>1518j442?38:5;5rn010b=<728qC=<:<;o3112<590=0qc?<3g;94?7|@8;??6`>245964??3td:?>hn:182M76<:1e=?;8:33:=>{i9:9mn7>51zJ2515;7<>9`9~j454nj0;609=4l4}o307cb=83;pD32df>5<6sA;:8>5a1374>77>l2we=>=if;295~N69=90b<<:7;02=`=zf89?<=4?:0yK54243g;99:4=18d8yk74<9;1<7?tH0377>h6:<=1>429m57302;;j=6sa12637?6=9rB:=9=4n0063?46i;1vb<=;0583>4}O98>87c?=56815d589520c7?xh6;=:=6=4>{I3206=i9;?<6??n5:m5627?3:1=vF>1518j442?38:m;5rn0174=<728qC=<:<;o3112<59h=0qc?<41;94?7|@8;??6`>245964g?3td:?9>n:182M76<:1e=?;8:33b=>{i9:>;n7>51zJ2515;7<>a`9~j4538j0;609=ll4}o3005b=83;pD352f>5<6sA;:8>5a1374>77fl2we=>:?f;295~N69=90b<<:7;02e`=zf89?==4?:0yK54243g;99:4=1`d8yk74<8;1<7?tH0377>h6:<=1>429m57302;;i=6sa12627?6=9rB:=9=4n0063?46j;1vb<=;1583>4}O98>87c?=56815g589520`7?xh6;=;=6=4>{I3206=i9;?<6??m5:m5626?3:1=vF>1518j442?38:n;5rn0175=<728qC=<:<;o3112<59k=0qc?<40;94?7|@8;??6`>245964d?3td:?9?n:182M76<:1e=?;8:33a=>{i9:>:n7>51zJ2515;7<>b`9~j4539j0;609=ol4}o3004b=83;pD353f>5<6sA;:8>5a1374>77el2we=>:>f;295~N69=90b<<:7;02f`=zf89?>=4?:0yK54243g;99:4=1cd8yk74<;;1<7?tH0377>h6:<=1>429m57302;;h=6sa12617?6=9rB:=9=4n0063?46k;1vb<=;2583>4}O98>87c?=56815f589520a7?xh6;=8=6=4>{I3206=i9;?<6??l5:m5625?3:1=vF>1518j442?38:o;5rn0176=<728qC=<:<;o3112<59j=0qc?<43;94?7|@8;??6`>245964e?3td:?9{i9:>9n7>51zJ2515;7<>c`9~j453:j0;609=nl4}o3007b=83;pD350f>5<6sA;:8>5a1374>77dl2we=>:=f;295~N69=90b<<:7;02g`=zf89??=4?:0yK54243g;99:4=1bd8yk74<:;1<7?tH0377>h6:<=1>429m57302;;o=6sa12607?6=9rB:=9=4n0063?46l;1vb<=;3583>4}O98>87c?=56815a5;50;3xL473;2d:>89520f7?xh6;=9=6=4>{I3206=i9;?<6??k5:m5624?3:1=vF>1518j442?38:h;5rn0177=<728qC=<:<;o3112<59m=0qc?<42;94?7|@8;??6`>245964b?3td:?9=n:182M76<:1e=?;8:33g=>{i9:>8n7>51zJ2515;7<>d`9~j453;j0;609=il4}o3006b=83;pD351f>5<6sA;:8>5a1374>77cl2we=>:h6:<=1>429m57302;;n=6sa12677?6=9rB:=9=4n0063?46m;1vb<=;4583>4}O98>87c?=56815`589520g7?xh6;=>=6=4>{I3206=i9;?<6??j5:m5623?3:1=vF>1518j442?38:i;5rn0170=<728qC=<:<;o3112<59l=0qc?<45;94?7|@8;??6`>245964c?3td:?9:n:182M76<:1e=?;8:33f=>{i9:>?n7>51zJ2515;7<>e`9~j45309=hl4}o3001b=83;pD356f>5<6sA;:8>5a1374>77bl2we=>:;f;295~N69=90b<<:7;02a`=zf89?9=4?:0yK54243g;99:4=1dd8yk74<<;1<7?tH0377>h6:<=1>429m57302;;m=6sa12667?6=9rB:=9=4n0063?46n;1vb<=;5583>4}O98>87c?=56815c589520d7?xh6;=?=6=4>{I3206=i9;?<6??i5:m5622?3:1=vF>1518j442?38:j;5rn0171=<728qC=<:<;o3112<59o=0qc?<44;94?7|@8;??6`>245964`?3td:?9;n:182M76<:1e=?;8:33e=>{i9:>>n7>51zJ2515;7<>f`9~j453=j0;609=kl4}o3000b=83;pD357f>5<6sA;:8>5a1374>77al2we=>::f;295~N69=90b<<:7;02b`=zf89?:=4?:0yK54243g;99:4=1gd8yk74h6:<=1>?>?;|l27105290:wE?>429m57302;8;=6sa12657?6=9rB:=9=4n0063?458;1vb<=;6583>4}O98>87c?=56816558952327?xh6;=<=6=4>{I3206=i9;?<6?1518j442?389<;5rn0172=<728qC=<:<;o3112<5:9=0qc?<47;94?7|@8;??6`>2459676?3td:?98n:182M76<:1e=?;8:303=>{i9:>=n7>51zJ2515;7<=0`9~j453>j0;609>=l4}o3003b=83;pD354f>5<6sA;:8>5a1374>747l2we=>:9f;295~N69=90b<<:7;014`=zf89?;=4?:0yK54243g;99:4=21d8yk74<>;1<7?tH0377>h6:<=1>???;|l27115290:wE?>429m57302;8:=6sa12647?6=9rB:=9=4n0063?459;1vb<=;7583>4}O98>87c?=56816458952337?xh6;===6=4>{I3206=i9;?<6?<>5:m5620?3:1=vF>1518j442?389=;5rn0173=<728qC=<:<;o3112<5:8=0qc?<46;94?7|@8;??6`>2459677?3td:?99n:182M76<:1e=?;8:302=>{i9:>51zJ2515;7<=1`9~j453?j0;609>355f>5<6sA;:8>5a1374>746l2we=>:8f;295~N69=90b<<:7;015`=zf89?4=4?:0yK54243g;99:4=20d8yk74<1;1<7?tH0377>h6:<=1>?5290:wE?>429m57302;89=6sa126;7?6=9rB:=9=4n0063?45:;1vb<=;8583>4}O98>87c?=56816758952307?xh6;=2=6=4>{I3206=i9;?<6?<=5:m562??3:1=vF>1518j442?389>;5rn017<=<728qC=<:<;o3112<5:;=0qc?<49;94?7|@8;??6`>2459674?3td:?96n:182M76<:1e=?;8:301=>{i9:>3n7>51zJ2515;7<=2`9~j4530j0;609>?l4}o300=b=83;pD35:f>5<6sA;:8>5a1374>745l2we=>:7f;295~N69=90b<<:7;016`=zf89?5=4?:0yK54243g;99:4=23d8yk74<0;1<7?tH0377>h6:<=1>?=?;|l271?5290:wE?>429m57302;88=6sa126:7?6=9rB:=9=4n0063?45;;1vb<=;9583>4}O98>87c?=56816658952317?xh6;=3=6=4>{I3206=i9;?<6?<<5:m562>?3:1=vF>1518j442?389?;5rn017==<728qC=<:<;o3112<5::=0qc?<48;94?7|@8;??6`>2459675?3td:?97n:182M76<:1e=?;8:300=>{i9:>2n7>51zJ2515;7<=3`9~j4531j0;609>>l4}o30035;f>5<6sA;:8>5a1374>744l2we=>:6f;295~N69=90b<<:7;017`=zf89?m=4?:0yK54243g;99:4=22d8yk74h6:<=1>?:?;|l271g5290:wE?>429m57302;8?=6sa126b7?6=9rB:=9=4n0063?45<;1vb<=;a583>4}O98>87c?=56816158952367?xh6;=k=6=4>{I3206=i9;?<6?<;5:m562f?3:1=vF>1518j442?3898;5rn017e=<728qC=<:<;o3112<5:==0qc?<4`;94?7|@8;??6`>2459672?3td:?9on:182M76<:1e=?;8:307=>{i9:>jn7>51zJ2515;7<=4`9~j453ij0;609>9l4}o300db=83;pDh7p`>35cf>5<6sA;:8>5a1374>743l2we=>:nf;295~N69=90b<<:7;010`=zf89?n=4?:0yK54243g;99:4=25d8yk74h6:<=1>?;?;|l271d5290:wE?>429m57302;8>=6sa126a7?6=9rB:=9=4n0063?45=;1vb<=;b583>4}O98>87c?=56816058952377?xh6;=h=6=4>{I3206=i9;?<6?<:5:m562e?3:1=vF>1518j442?3899;5rn017f=<728qC=<:<;o3112<5:<=0qc?<4c;94?7|@8;??6`>2459673?3td:?9ln:182M76<:1e=?;8:306=>{i9:>in7>51zJ2515;7<=5`9~j453jj0;609>8l4}o300gb=83;pD35`f>5<6sA;:8>5a1374>742l2we=>:mf;295~N69=90b<<:7;011`=zf89?o=4?:0yK54243g;99:4=24d8yk74h6:<=1>?8?;|l271e5290:wE?>429m57302;8==6sa126`7?6=9rB:=9=4n0063?45>;1vb<=;c583>4}O98>87c?=56816358952347?xh6;=i=6=4>{I3206=i9;?<6?<95:m562d?3:1=vF>1518j442?389:;5rn017g=<728qC=<:<;o3112<5:?=0qc?<4b;94?7|@8;??6`>2459670?3td:?9mn:182M76<:1e=?;8:305=>{i9:>hn7>51zJ2515;7<=6`9~j453kj0;609>;l4}o300fb=83;pD35af>5<6sA;:8>5a1374>741l2we=>:lf;295~N69=90b<<:7;012`=zf89?h=4?:0yK54243g;99:4=27d8yk74h6:<=1>?9?;|l271b5290:wE?>429m57302;8<=6sa126g7?6=9rB:=9=4n0063?45?;1vb<=;d583>4}O98>87c?=56816258952357?xh6;=n=6=4>{I3206=i9;?<6?<85:m562c?3:1=vF>1518j442?389;;5rn017`=<728qC=<:<;o3112<5:>=0qc?<4e;94?7|@8;??6`>2459671?3td:?9jn:182M76<:1e=?;8:304=>{i9:>on7>51zJ2515;7<=7`9~j453lj0;609>:l4}o300ab=83;pD35ff>5<6sA;:8>5a1374>740l2we=>:kf;295~N69=90b<<:7;013`=zf89?i=4?:0yK54243g;99:4=26d8yk74h6:<=1>?6?;|l271c5290:wE?>429m57302;83=6sa126f7?6=9rB:=9=4n0063?450;1vb<=;e583>4}O98>87c?=56816=589523:7?xh6;=o=6=4>{I3206=i9;?<6?<75:m562b?3:1=vF>1518j442?3894;5rn017a=<728qC=<:<;o3112<5:1=0qc?<4d;94?7|@8;??6`>245967>?3td:?9kn:182M76<:1e=?;8:30;=>{i9:>nn7>51zJ2515;7<=8`9~j453mj0;609>5l4}o300`b=83;pD35gf>5<6sA;:8>5a1374>74?l2we=>:jf;295~N69=90b<<:7;01<`=zf89?j=4?:0yK54243g;99:4=29d8yk74h6:<=1>?7?;|l271`5290:wE?>429m57302;82=6sa126e7?6=9rB:=9=4n0063?451;1vb<=;f583>4}O98>87c?=56816<589523;7?xh6;=l=6=4>{I3206=i9;?<6?<65:m562a?3:1=vF>1518j442?3895;5rn017b=<728qC=<:<;o3112<5:0=0qc?<4g;94?7|@8;??6`>245967??3td:?9hn:182M76<:1e=?;8:30:=>{i9:>mn7>51zJ2515;7<=9`9~j453nj0;609>4l4}o300cb=83;pD35df>5<6sA;:8>5a1374>74>l2we=>:if;295~N69=90b<<:7;01=`=zf89><=4?:0yK54243g;99:4=28d8yk74=9;1<7?tH0377>h6:<=1>?o?;|l27065290:wE?>429m57302;8j=6sa12737?6=9rB:=9=4n0063?45i;1vb<=:0583>4}O98>87c?=56816d589523c7?xh6;<:=6=4>{I3206=i9;?<6?1518j442?389m;5rn0164=<728qC=<:<;o3112<5:h=0qc?<51;94?7|@8;??6`>245967g?3td:?8>n:182M76<:1e=?;8:30b=>{i9:?;n7>51zJ2515;7<=a`9~j4528j0;609>ll4}o3015b=83;pD342f>5<6sA;:8>5a1374>74fl2we=>;?f;295~N69=90b<<:7;01e`=zf89>==4?:0yK54243g;99:4=2`d8yk74=8;1<7?tH0377>h6:<=1>?l?;|l27075290:wE?>429m57302;8i=6sa12727?6=9rB:=9=4n0063?45j;1vb<=:1583>4}O98>87c?=56816g589523`7?xh6;<;=6=4>{I3206=i9;?<6?1518j442?389n;5rn0165=<728qC=<:<;o3112<5:k=0qc?<50;94?7|@8;??6`>245967d?3td:?8?n:182M76<:1e=?;8:30a=>{i9:?:n7>51zJ2515;7<=b`9~j4529j0;609>ol4}o3014b=83;pD343f>5<6sA;:8>5a1374>74el2we=>;>f;295~N69=90b<<:7;01f`=zf89>>=4?:0yK54243g;99:4=2cd8yk74=;;1<7?tH0377>h6:<=1>?m?;|l27045290:wE?>429m57302;8h=6sa12717?6=9rB:=9=4n0063?45k;1vb<=:2583>4}O98>87c?=56816f589523a7?xh6;<8=6=4>{I3206=i9;?<6?1518j442?389o;5rn0166=<728qC=<:<;o3112<5:j=0qc?<53;94?7|@8;??6`>245967e?3td:?8{i9:?9n7>51zJ2515;7<=c`9~j452:j0;609>nl4}o3017b=83;pD340f>5<6sA;:8>5a1374>74dl2we=>;=f;295~N69=90b<<:7;01g`=zf89>?=4?:0yK54243g;99:4=2bd8yk74=:;1<7?tH0377>h6:<=1>?j?;|l27055290:wE?>429m57302;8o=6sa12707?6=9rB:=9=4n0063?45l;1vb<=:3583>4}O98>87c?=56816a5;50;3xL473;2d:>89523f7?xh6;<9=6=4>{I3206=i9;?<6?1518j442?389h;5rn0167=<728qC=<:<;o3112<5:m=0qc?<52;94?7|@8;??6`>245967b?3td:?8=n:182M76<:1e=?;8:30g=>{i9:?8n7>51zJ2515;7<=d`9~j452;j0;609>il4}o3016b=83;pD341f>5<6sA;:8>5a1374>74cl2we=>;8=4?:0yK54243g;99:4=2ed8yk74==;1<7?tH0377>h6:<=1>?k?;|l27025290:wE?>429m57302;8n=6sa12777?6=9rB:=9=4n0063?45m;1vb<=:4583>4}O98>87c?=56816`589523g7?xh6;<>=6=4>{I3206=i9;?<6?1518j442?389i;5rn0160=<728qC=<:<;o3112<5:l=0qc?<55;94?7|@8;??6`>245967c?3td:?8:n:182M76<:1e=?;8:30f=>{i9:??n7>51zJ2515;7<=e`9~j45209>hl4}o3011b=83;pD346f>5<6sA;:8>5a1374>74bl2we=>;;f;295~N69=90b<<:7;01a`=zf89>9=4?:0yK54243g;99:4=2dd8yk74=<;1<7?tH0377>h6:<=1>?h?;|l27035290:wE?>429m57302;8m=6sa12767?6=9rB:=9=4n0063?45n;1vb<=:5583>4}O98>87c?=56816c589523d7?xh6;{I3206=i9;?<6?1518j442?389j;5rn0161=<728qC=<:<;o3112<5:o=0qc?<54;94?7|@8;??6`>245967`?3td:?8;n:182M76<:1e=?;8:30e=>{i9:?>n7>51zJ2515;7<=f`9~j452=j0;609>kl4}o3010b=83;pD347f>5<6sA;:8>5a1374>74al2we=>;:f;295~N69=90b<<:7;01b`=zf89>:=4?:0yK54243g;99:4=2gd8yk74=?;1<7?tH0377>h6:<=1>>>?;|l27005290:wE?>429m57302;9;=6sa12757?6=9rB:=9=4n0063?448;1vb<=:6583>4}O98>87c?=56817558952227?xh6;<<=6=4>{I3206=i9;?<6?=?5:m5631?3:1=vF>1518j442?388<;5rn0162=<728qC=<:<;o3112<5;9=0qc?<57;94?7|@8;??6`>2459666?3td:?88n:182M76<:1e=?;8:313=>{i9:?=n7>51zJ2515;7<<0`9~j452>j0;609?=l4}o3013b=83;pD344f>5<6sA;:8>5a1374>757l2we=>;9f;295~N69=90b<<:7;004`=zf89>;=4?:0yK54243g;99:4=31d8yk74=>;1<7?tH0377>h6:<=1>>??;|l27015290:wE?>429m57302;9:=6sa12747?6=9rB:=9=4n0063?449;1vb<=:7583>4}O98>87c?=56817458952237?xh6;<==6=4>{I3206=i9;?<6?=>5:m5630?3:1=vF>1518j442?388=;5rn0163=<728qC=<:<;o3112<5;8=0qc?<56;94?7|@8;??6`>2459667?3td:?89n:182M76<:1e=?;8:312=>{i9:?51zJ2515;7<<1`9~j452?j0;609?345f>5<6sA;:8>5a1374>756l2we=>;8f;295~N69=90b<<:7;005`=zf89>4=4?:0yK54243g;99:4=30d8yk74=1;1<7?tH0377>h6:<=1>>5290:wE?>429m57302;99=6sa127;7?6=9rB:=9=4n0063?44:;1vb<=:8583>4}O98>87c?=56817758952207?xh6;<2=6=4>{I3206=i9;?<6?==5:m563??3:1=vF>1518j442?388>;5rn016<=<728qC=<:<;o3112<5;;=0qc?<59;94?7|@8;??6`>2459664?3td:?86n:182M76<:1e=?;8:311=>{i9:?3n7>51zJ2515;7<<2`9~j4520j0;609??l4}o301=b=83;pD34:f>5<6sA;:8>5a1374>755l2we=>;7f;295~N69=90b<<:7;006`=zf89>5=4?:0yK54243g;99:4=33d8yk74=0;1<7?tH0377>h6:<=1>>=?;|l270?5290:wE?>429m57302;98=6sa127:7?6=9rB:=9=4n0063?44;;1vb<=:9583>4}O98>87c?=56817658952217?xh6;<3=6=4>{I3206=i9;?<6?=<5:m563>?3:1=vF>1518j442?388?;5rn016==<728qC=<:<;o3112<5;:=0qc?<58;94?7|@8;??6`>2459665?3td:?87n:182M76<:1e=?;8:310=>{i9:?2n7>51zJ2515;7<<3`9~j4521j0;609?>l4}o30134;f>5<6sA;:8>5a1374>754l2we=>;6f;295~N69=90b<<:7;007`=zf89>m=4?:0yK54243g;99:4=32d8yk74=h;1<7?tH0377>h6:<=1>>:?;|l270g5290:wE?>429m57302;9?=6sa127b7?6=9rB:=9=4n0063?44<;1vb<=:a583>4}O98>87c?=56817158952267?xh6;{I3206=i9;?<6?=;5:m563f?3:1=vF>1518j442?3888;5rn016e=<728qC=<:<;o3112<5;==0qc?<5`;94?7|@8;??6`>2459662?3td:?8on:182M76<:1e=?;8:317=>{i9:?jn7>51zJ2515;7<<4`9~j452ij0;609?9l4}o301db=83;pDh7p`>34cf>5<6sA;:8>5a1374>753l2we=>;nf;295~N69=90b<<:7;000`=zf89>n=4?:0yK54243g;99:4=35d8yk74=k;1<7?tH0377>h6:<=1>>;?;|l270d5290:wE?>429m57302;9>=6sa127a7?6=9rB:=9=4n0063?44=;1vb<=:b583>4}O98>87c?=56817058952277?xh6;{I3206=i9;?<6?=:5:m563e?3:1=vF>1518j442?3889;5rn016f=<728qC=<:<;o3112<5;<=0qc?<5c;94?7|@8;??6`>2459663?3td:?8ln:182M76<:1e=?;8:316=>{i9:?in7>51zJ2515;7<<5`9~j452jj0;609?8l4}o301gb=83;pD34`f>5<6sA;:8>5a1374>752l2we=>;mf;295~N69=90b<<:7;001`=zf89>o=4?:0yK54243g;99:4=34d8yk74=j;1<7?tH0377>h6:<=1>>8?;|l270e5290:wE?>429m57302;9==6sa127`7?6=9rB:=9=4n0063?44>;1vb<=:c583>4}O98>87c?=56817358952247?xh6;{I3206=i9;?<6?=95:m563d?3:1=vF>1518j442?388:;5rn016g=<728qC=<:<;o3112<5;?=0qc?<5b;94?7|@8;??6`>2459660?3td:?8mn:182M76<:1e=?;8:315=>{i9:?hn7>51zJ2515;7<<6`9~j452kj0;609?;l4}o301fb=83;pD34af>5<6sA;:8>5a1374>751l2we=>;lf;295~N69=90b<<:7;002`=zf89>h=4?:0yK54243g;99:4=37d8yk74=m;1<7?tH0377>h6:<=1>>9?;|l270b5290:wE?>429m57302;9<=6sa127g7?6=9rB:=9=4n0063?44?;1vb<=:d583>4}O98>87c?=56817258952257?xh6;{I3206=i9;?<6?=85:m563c?3:1=vF>1518j442?388;;5rn016`=<728qC=<:<;o3112<5;>=0qc?<5e;94?7|@8;??6`>2459661?3td:?8jn:182M76<:1e=?;8:314=>{i9:?on7>51zJ2515;7<<7`9~j452lj0;609?:l4}o301ab=83;pD34ff>5<6sA;:8>5a1374>750l2we=>;kf;295~N69=90b<<:7;003`=zf89>i=4?:0yK54243g;99:4=36d8yk74=l;1<7?tH0377>h6:<=1>>6?;|l270c5290:wE?>429m57302;93=6sa127f7?6=9rB:=9=4n0063?440;1vb<=:e583>4}O98>87c?=56817=589522:7?xh6;{I3206=i9;?<6?=75:m563b?3:1=vF>1518j442?3884;5rn016a=<728qC=<:<;o3112<5;1=0qc?<5d;94?7|@8;??6`>245966>?3td:?8kn:182M76<:1e=?;8:31;=>{i9:?nn7>51zJ2515;7<<8`9~j452mj0;609?5l4}o301`b=83;pD34gf>5<6sA;:8>5a1374>75?l2we=>;jf;295~N69=90b<<:7;00<`=zf89>j=4?:0yK54243g;99:4=39d8yk74=o;1<7?tH0377>h6:<=1>>7?;|l270`5290:wE?>429m57302;92=6sa127e7?6=9rB:=9=4n0063?441;1vb<=:f583>4}O98>87c?=56817<589522;7?xh6;{I3206=i9;?<6?=65:m563a?3:1=vF>1518j442?3885;5rn016b=<728qC=<:<;o3112<5;0=0qc?<5g;94?7|@8;??6`>245966??3td:?8hn:182M76<:1e=?;8:31:=>{i9:?mn7>51zJ2515;7<<9`9~j452nj0;609?4l4}o301cb=83;pD34df>5<6sA;:8>5a1374>75>l2we=>;if;295~N69=90b<<:7;00=`=zf89=<=4?:0yK54243g;99:4=38d8yk74>9;1<7?tH0377>h6:<=1>>o?;|l27365290:wE?>429m57302;9j=6sa12437?6=9rB:=9=4n0063?44i;1vb<=90583>4}O98>87c?=56817d589522c7?xh6;?:=6=4>{I3206=i9;?<6?=n5:m5607?3:1=vF>1518j442?388m;5rn0154=<728qC=<:<;o3112<5;h=0qc?<61;94?7|@8;??6`>245966g?3td:?;>n:182M76<:1e=?;8:31b=>{i9:<;n7>51zJ2515;7<09?ll4}o3025b=83;pD372f>5<6sA;:8>5a1374>75fl2we=>8?f;295~N69=90b<<:7;00e`=zf89===4?:0yK54243g;99:4=3`d8yk74>8;1<7?tH0377>h6:<=1>>l?;|l27375290:wE?>429m57302;9i=6sa12427?6=9rB:=9=4n0063?44j;1vb<=91583>4}O98>87c?=56817g589522`7?xh6;?;=6=4>{I3206=i9;?<6?=m5:m5606?3:1=vF>1518j442?388n;5rn0155=<728qC=<:<;o3112<5;k=0qc?<60;94?7|@8;??6`>245966d?3td:?;?n:182M76<:1e=?;8:31a=>{i9:<:n7>51zJ2515;7<09?ol4}o3024b=83;pD373f>5<6sA;:8>5a1374>75el2we=>8>f;295~N69=90b<<:7;00f`=zf89=>=4?:0yK54243g;99:4=3cd8yk74>;;1<7?tH0377>h6:<=1>>m?;|l27345290:wE?>429m57302;9h=6sa12417?6=9rB:=9=4n0063?44k;1vb<=92583>4}O98>87c?=56817f589522a7?xh6;?8=6=4>{I3206=i9;?<6?=l5:m5605?3:1=vF>1518j442?388o;5rn0156=<728qC=<:<;o3112<5;j=0qc?<63;94?7|@8;??6`>245966e?3td:?;{i9:<9n7>51zJ2515;7<09?nl4}o3027b=83;pD370f>5<6sA;:8>5a1374>75dl2we=>8=f;295~N69=90b<<:7;00g`=zf89=?=4?:0yK54243g;99:4=3bd8yk74>:;1<7?tH0377>h6:<=1>>j?;|l27355290:wE?>429m57302;9o=6sa12407?6=9rB:=9=4n0063?44l;1vb<=93583>4}O98>87c?=56817a5;50;3xL473;2d:>89522f7?xh6;?9=6=4>{I3206=i9;?<6?=k5:m5604?3:1=vF>1518j442?388h;5rn0157=<728qC=<:<;o3112<5;m=0qc?<62;94?7|@8;??6`>245966b?3td:?;=n:182M76<:1e=?;8:31g=>{i9:<8n7>51zJ2515;7<09?il4}o3026b=83;pD371f>5<6sA;:8>5a1374>75cl2we=>8=;1<7?tH0377>h6:<=1>>k?;|l27325290:wE?>429m57302;9n=6sa12477?6=9rB:=9=4n0063?44m;1vb<=94583>4}O98>87c?=56817`589522g7?xh6;?>=6=4>{I3206=i9;?<6?=j5:m5603?3:1=vF>1518j442?388i;5rn0150=<728qC=<:<;o3112<5;l=0qc?<65;94?7|@8;??6`>245966c?3td:?;:n:182M76<:1e=?;8:31f=>{i9:51zJ2515;7<09?hl4}o3021b=83;pD376f>5<6sA;:8>5a1374>75bl2we=>8;f;295~N69=90b<<:7;00a`=zf89=9=4?:0yK54243g;99:4=3dd8yk74><;1<7?tH0377>h6:<=1>>h?;|l27335290:wE?>429m57302;9m=6sa12467?6=9rB:=9=4n0063?44n;1vb<=95583>4}O98>87c?=56817c5?4>{08~^=??2?4>{08~^=??2=q2=8460c84<6<68kl1qc?=56817c389522d5?xh6;??36=4={I3206=i9;?<6?=i7:m560213:1>vF>1518j442?388j55rn0151d<72;qC=<:<;o3112<5;o30qc?<64`94?4|@8;??6`>245966`f3td:?;;l:181M76<:1e=?;8:31ef>{i9:<>h7>52zJ2515;7<09?kj4}o3020`=838pD3743>5<5sA;:8>5a1374>75an2we=>891;296~N69=90b<<:7;0745=zf89=:?4?:3yK54243g;99:4=4138yk74>?91<7h6:<=1>9>=;|l273032909wE?>429m57302;>;?6sa12451?6=:rB:=9=4n0063?438=1vb<=96783>7}O98>87c?=56810538952525?xh6;?<36=4={I3206=i9;?<6?:?7:m560113:1>vF>1518j442?38?<55rn0152d<72;qC=<:<;o3112<5<930qc?<67`94?4|@8;??6`>2459616f3td:?;8l:181M76<:1e=?;8:363f>{i9:<=h7>52zJ2515;7<;0b9~j451>l0;6?uG1060?k75=>098=j4}o3023`=838pD3753>5<5sA;:8>5a1374>727n2we=>881;296~N69=90b<<:7;0755=zf89=;?4?:3yK54243g;99:4=4038yk74>>91<7h6:<=1>9?=;|l273132909wE?>429m57302;>:?6sa12441?6=:rB:=9=4n0063?439=1vb<=97783>7}O98>87c?=56810438952535?xh6;?=36=4={I3206=i9;?<6?:>7:m560013:1>vF>1518j442?38?=55rn0153d<72;qC=<:<;o3112<5<830qc?<66`94?4|@8;??6`>2459617f3td:?;9l:181M76<:1e=?;8:362f>{i9:<52zJ2515;7<;1b9~j451?l0;6?uG1060?k75=>09837:3>5<5sA;:8>5a1374>726n2we=>871;296~N69=90b<<:7;0765=zf89=4?4?:3yK54243g;99:4=4338yk74>191<7h6:<=1>9<=;|l273>32909wE?>429m57302;>9?6sa124;1?6=:rB:=9=4n0063?43:=1vb<=98783>7}O98>87c?=56810738952505?xh6;?236=4={I3206=i9;?<6?:=7:m560?13:1>vF>1518j442?38?>55rn0152459614f3td:?;6l:181M76<:1e=?;8:361f>{i9:<3h7>52zJ2515;7<;2b9~j4510l0;6?uG1060?k75=>098?j4}o302=`=838pD37;3>5<5sA;:8>5a1374>725n2we=>861;296~N69=90b<<:7;0775=zf89=5?4?:3yK54243g;99:4=4238yk74>091<7h6:<=1>9==;|l273?32909wE?>429m57302;>8?6sa124:1?6=:rB:=9=4n0063?43;=1vb<=99783>7}O98>87c?=5681063?4>{38~^=??2=q2=8460c824g`=j121qc?=56810607>4}o302<>=83;pD8k0:098>94}o3028k0:098>64}o3028k0:098>74}o3028k0:098>o4}o3028k0:098>l4}o3028k0:098>m4}o3028k0:098>j4}o302<`=83;pD8k0:098>k4}o302d6=83;pD8k0:098>h4}o302d7=83;pD8k0:0989>4}o302d4=83;pD8k0:0989?4}o302d5=83;pD8k0:0989<4}o302d2=83;pD8k0:u6148:4g<68kl1qc?=5681012?4>{38~^=??2:q2=8460c824g`=ug;99:4=4578yk74>h=1<7?tH0377>Oa:;0:w?4rZ9;;>6}>9<020cd9yk75=>098984}o302d>=83;pD8k0:u6148:4g<68kl1qc?=568101>7?4}o302dg=83;pD8k0:u6148:4g<68kl1qc?=568101g7>4}o302de=83;pD8k0:u6148:4g<68kl1qc?=568101e895256g?xh6;?km6=4>{I3206=i9;?<6?:;e:m560e83:1=vF>1518j442?38?8k5rn015f4<728qC=<:<;o3112<5<<:0qc?<6c094?7|@8;??6`>245961363td:?;l<:182M76<:1e=?;8:3666>{i9:51zJ2515;7<;529~j451j<0;60988:4}o302g0=83;pD7p`>37`4>5<6sA;:8>5a1374>722>2we=>8m8;295~N69=90b<<:7;0712=zf89=n44?:0yK54243g;99:4=44:8yk74>kk1<7?tH0377>h6:<=1>9;6;|l273de290:wE?>429m57302;>>m6sa124ag?6=9rB:=9=4n0063?43=k1vb<=9be83>4}O98>87c?=568100e895257g?xh6;?hm6=4>{I3206=i9;?<6?::e:m560d83:1=vF>1518j442?38?9k5rn015g4<728qC=<:<;o3112<5245961063td:?;m<:182M76<:1e=?;8:3656>{i9:51zJ2515;7<;629~j451k<0;6098;:4}o302f0=83;pD7p`>37a4>5<6sA;:8>5a1374>721>2we=>8l8;295~N69=90b<<:7;0722=zf89=o44?:0yK54243g;99:4=47:8yk74>jk1<7?tH0377>h6:<=1>986;|l273ee290:wE?>429m57302;>=m6sa124`g?6=9rB:=9=4n0063?43>k1vb<=9ce83>4}O98>87c?=568103e895254g?xh6;?im6=4>{I3206=i9;?<6?:9e:m560c83:1=vF>1518j442?38?:k5rn015`4<728qC=<:<;o3112<5<>:0qc?<6e094?7|@8;??6`>245961163td:?;j<:182M76<:1e=?;8:3646>{i9:51zJ2515;7<;729~j451l<0;6098::4}o302a0=83;pD7p`>37f4>5<6sA;:8>5a1374>720>2we=>8k8;295~N69=90b<<:7;0732=zf89=h44>:0yK54243g;99:4=46:8 442939ojl5rn015`d<628qC=<:<;o3112<5<>30(<<:1;1gb==zf89=ho4>:0yK54243g;99:4=46c8 442939oj:5rn015`f<628qC=<:<;o3112<5<>h0(<<:1;1gb3=zf89=hi4>:0yK54243g;99:4=46a8 442939oj85rn015``<628qC=<:<;o3112<5<>n0(<<:1;1gb1=zf89=hk4>:0yK54243g;99:4=46g8 442939oj>5rn015a5<628qC=<:<;o3112<5<>l0(<<:1;1gb7=zf89=i<4>:0yK54243g;99:4=4928 442939oj<5rn015a7<628qC=<:<;o3112<5<1;0(<<:1;1gb5=zf89=i>4>:0yK54243g;99:4=4908 442939oik5rn015a1<628qC=<:<;o3112<5<190(<<:1;1gaa=zf89=i84>:0yK54243g;99:4=4968 442939oin5rn015a3<628qC=<:<;o3112<5<1?0(<<:1;1gag=zf89=i:4>:0yK54243g;99:4=4948 442939oil5rn015a=<628qC=<:<;o3112<5<1=0(<<:1;1ga<=zf89=i44>:0yK54243g;99:4=49:8 442939oi55rn015ad<628qC=<:<;o3112<5<130(<<:1;1ga2=zf89=io4>:0yK54243g;99:4=49c8 442939oi;5rn015af<628qC=<:<;o3112<5<1h0(<<:1;1ga0=zf89=ii4>:0yK54243g;99:4=49a8 442939oi95rn015a`<628qC=<:<;o3112<5<1n0(<<:1;1f47=zf89=ik4>:0yK54243g;99:4=49g8 442939n<<5rn015b5<628qC=<:<;o3112<5<1l0(<<:1;1f45=zf89=j<4>:0yK54243g;99:4=4828 442939ojk5rn015b7<628qC=<:<;o3112<5<0;0(<<:1;1gb`=zf89=j>4>:0yK54243g;99:4=4808 442939oji5rn015b1<628qC=<:<;o3112<5<090(<<:1;1gbf=zf89=j84>:0yK54243g;99:4=4868 442939oj45rn015b3<628qC=<:<;o3112<5<0?0(<<:1;1ga`=zf89=j:4>:0yK54243g;99:4=4848 44293?;??5rn015b=<628qC=<:<;o3112<5<0=0(<<:1;7374=zf89=j44>:0yK54243g;99:4=48:8 44293?;?o5rn015bd<628qC=<:<;o3112<5<030(<<:1;737d=zf89=jo4>:0yK54243g;99:4=48c8 44293?;?45rn015bf<628qC=<:<;o3112<5<0h0(<<:1;7343=zf89=ji4>:0yK54243g;99:4=48a8 44293?;<85rn015b`<628qC=<:<;o3112<5<0n0(<<:1;734c=zf89=jk4>:0yK54243g;99:4=48g8 44293?;:0yK54243g;99:4=4`28 44293>h=o5rn01447<628qC=<:<;o3112<54>:0yK54243g;99:4=4`08 44293>h=55rn01441<628qC=<:<;o3112<5:0yK54243g;99:4=4`68 44293>h=;5rn01443<628qC=<:<;o3112<5:0yK54243g;99:4=4`48 44293>h=95rn0144=<628qC=<:<;o3112<5:0yK54243g;99:4=4`:8 44293>h=?5rn0144d<628qC=<:<;o3112<5:0yK54243g;99:4=4`c8 44293>h==5rn0144f<628qC=<:<;o3112<5:0yK54243g;99:4=4`a8 44293>h>95rn0144`<628qC=<:<;o3112<5:0yK54243g;99:4=4`g8 44293>h>?5rn01455<628qC=<:<;o3112<5:0yK54243g;99:4=4c28 44293>h>=5rn01457<628qC=<:<;o3112<54>:0yK54243g;99:4=4c08 44293>h=h5rn01451<628qC=<:<;o3112<5:0yK54243g;99:4=4c68 44293>h=45rn01453<628qC=<:<;o3112<5:0yK54243g;99:4=4c48 4429388ol5rn0145=<628qC=<:<;o3112<5:0yK54243g;99:4=4c:8 4429388o55rn0145d<628qC=<:<;o3112<5:0yK54243g;99:4=4cc8 4429388o;5rn0145f<628qC=<:<;o3112<5:0yK54243g;99:4=4ca8 4429388o95rn0145`<628qC=<:<;o3112<5:0yK54243g;99:4=4cg8 44293899o5rn01465<628qC=<:<;o3112<5<4>:0yK54243g;99:4=4b28 4429389945rn01467<628qC=<:<;o3112<5>4>:0yK54243g;99:4=4b08 44293899:5rn01461<628qC=<:<;o3112<584>:0yK54243g;99:4=4b68 4429389985rn01463<628qC=<:<;o3112<5:4>:0yK54243g;99:4=4b48 44293>><45rn0146=<628qC=<:<;o3112<544>:0yK54243g;99:4=4b:8 44293>8:o5rn0146d<628qC=<:<;o3112<5o4>:0yK54243g;99:4=4bc8 44293>8:45rn0146f<628qC=<:<;o3112<5i4>:0yK54243g;99:4=4ba8 44293>8::5rn0146`<628qC=<:<;o3112<5k4>:0yK54243g;99:4=4bg8 44293>8:85rn01475<628qC=<:<;o3112<5:0yK54243g;99:4=4e28 44293>8:>5rn01477<628qC=<:<;o3112<54>:0yK54243g;99:4=4e08 44293>8?k5rn01471<628qC=<:<;o3112<5:0yK54243g;99:4=4e68 44293>8?o5rn01473<628qC=<:<;o3112<5:0yK54243g;99:4=4e48 44293>8?:5rn0147=<628qC=<:<;o3112<5:0yK54243g;99:4=4e:8 44293>8?>5rn0147d<628qC=<:<;o3112<5:0yK54243g;99:4=4ec8 44293>:<55rn0147f<628qC=<:<;o3112<5:0yK54243g;99:4=4ea8 44293>::0yK54243g;99:4=4eg8 44293>::0yK54243g;99:4=4d28 44293>:4>:0yK54243g;99:4=4d08 44293>;:i5rn01401<628qC=<:<;o3112<5:0yK54243g;99:4=4d68 44293>;:l5rn01403<628qC=<:<;o3112<5:0yK54243g;99:4=4d48 44293>;:55rn0140=<628qC=<:<;o3112<5:0yK54243g;99:4=4d:8 44293>;:;5rn0140d<628qC=<:<;o3112<5:0yK54243g;99:4=4dc8 44293>;:95rn0140f<628qC=<:<;o3112<5:0yK54243g;99:4=4da8 44293>;:?5rn0140`<628qC=<:<;o3112<5:0yK54243g;99:4=4dg8 44293>;9k5rn01415<628qC=<:<;o3112<5:0yK54243g;99:4=4g28 44293>;9i5rn01417<628qC=<:<;o3112<54>:0yK54243g;99:4=4g08 44293>;9o5rn01411<628qC=<:<;o3112<5:0yK54243g;99:4=4g68 44293>;945rn01413<628qC=<:<;o3112<5:0yK54243g;99:4=4g48 44293>;9:5rn0141=<628qC=<:<;o3112<5:0yK54243g;99:4=4g:8 44293>;;95rn0141d<628qC=<:<;o3112<5:0yK54243g;99:4=4gc8 44293>;;?5rn0141f<628qC=<:<;o3112<5:0yK54243g;99:4=4ga8 44293>;;=5rn0141`<628qC=<:<;o3112<5:0yK54243g;99:4=4gg8 44293>;:n5rn01425<628qC=<:<;o3112<5:0yK54243g;99:4=5128 442938>8;5rn01427<628qC=<:<;o3112<5=9;0(<<:1;06``=zf89<:>4>:0yK54243g;99:4=5108 442938?5=5rn01421<628qC=<:<;o3112<5=990(<<:1;0`53=zf89<:84>:0yK54243g;99:4=5168 442938h>=5rn01423<628qC=<:<;o3112<5=9?0(<<:1;0`5c=zf89<::4>:0yK54243g;99:4=5148 442938h=h5rn0142=<628qC=<:<;o3112<5=9=0(<<:1;0`5a=zf89<:44>:0yK54243g;99:4=51:8 442938h=n5rn0142d<628qC=<:<;o3112<5=930(<<:1;0`5g=zf89<:o4>:0yK54243g;99:4=51c8 442938h=l5rn0142f<628qC=<:<;o3112<5=9h0(<<:1;0`5<=zf89<:i4>:0yK54243g;99:4=51a8 442938h=55rn0142`<628qC=<:<;o3112<5=9n0(<<:1;0ab`=zf89<:k4>:0yK54243g;99:4=51g8 442938h<55rn01435<628qC=<:<;o3112<5=9l0(<<:1;0`42=zf89<;<4>:0yK54243g;99:4=5028 442938h<;5rn01437<628qC=<:<;o3112<5=8;0(<<:1;0`40=zf89<;>4>:0yK54243g;99:4=5008 442938h<95rn01431<628qC=<:<;o3112<5=890(<<:1;0`46=zf89<;84>:0yK54243g;99:4=5068 442938h:0yK54243g;99:4=5048 442938h<=5rn0143=<628qC=<:<;o3112<5=8=0(<<:1;0b04=zf89<;44>:0yK54243g;99:4=50:8 442938j8=5rn0143d<628qC=<:<;o3112<5=830(<<:1;0b7c=zf89<;o4>:0yK54243g;99:4=50c8 442938j?h5rn0143f<628qC=<:<;o3112<5=8h0(<<:1;0b7a=zf89<;i4>:0yK54243g;99:4=50a8 442938j8o5rn0143`<628qC=<:<;o3112<5=8n0(<<:1;0b0d=zf89<;k4>:0yK54243g;99:4=50g8 442938j845rn014<5<628qC=<:<;o3112<5=8l0(<<:1;0b0==zf89<4<4>:0yK54243g;99:4=5328 442938j8:5rn014<7<628qC=<:<;o3112<5=;;0(<<:1;0b03=zf89<4>4>:0yK54243g;99:4=5308 442938j885rn014<1<628qC=<:<;o3112<5=;90(<<:1;0b01=zf89<484>:0yK54243g;99:4=5368 442938j8>5rn014<3<628qC=<:<;o3112<5=;?0(<<:1;0aa3=zf89<4:4>:0yK54243g;99:4=5348 442938ij=5rn014<=<628qC=<:<;o3112<5=;=0(<<:1;0aac=zf89<444>:0yK54243g;99:4=53:8 442938iih5rn014:0yK54243g;99:4=53c8 442938iin5rn014:0yK54243g;99:4=53a8 442938iil5rn014<`<628qC=<:<;o3112<5=;n0(<<:1;0aa<=zf89<4k4>:0yK54243g;99:4=53g8 442938ii55rn014=5<628qC=<:<;o3112<5=;l0(<<:1;0b54=zf89<5<4>:0yK54243g;99:4=5228 442938i?55rn014=7<628qC=<:<;o3112<5=:;0(<<:1;0a=d=zf89<5>4>:0yK54243g;99:4=5208 442938i9?5rn014=1<628qC=<:<;o3112<5=:90(<<:1;0a<7=zf89<584>:0yK54243g;99:4=5268 442938jml5rn014=3<628qC=<:<;o3112<5=:?0(<<:1;1;ba=zf89<5:4>:0yK54243g;99:4=5248 4429393mi5rn014==<628qC=<:<;o3112<5=:=0(<<:1;1;ef=zf89<544>:0yK54243g;99:4=52:8 4429393mo5rn014=d<628qC=<:<;o3112<5=:30(<<:1;1;e<=zf89<5o4>:0yK54243g;99:4=52c8 4429393m55rn014=f<628qC=<:<;o3112<5=:h0(<<:1;1;e2=zf89<5i4>:0yK54243g;99:4=52a8 4429393m;5rn014=`<628qC=<:<;o3112<5=:n0(<<:1;160a=zf89<5k4>:0yK54243g;99:4=52g8 442939?jk5rn014e5<628qC=<:<;o3112<5=:l0(<<:1;17b`=zf89:0yK54243g;99:4=5528 442939?ji5rn014e7<628qC=<:<;o3112<5==;0(<<:1;17bg=zf894>:0yK54243g;99:4=5508 442939?jl5rn014e1<628qC=<:<;o3112<5==90(<<:1;17b<=zf89:0yK54243g;99:4=5568 442939?j55rn014e3<628qC=<:<;o3112<5==?0(<<:1;12e6=zf89:0yK54243g;99:4=5548 442939:985rn014e=<628qC=<:<;o3112<5===0(<<:1;1211=zf89:0yK54243g;99:4=55:8 442939:9>5rn014ed<628qC=<:<;o3112<5==30(<<:1;1214=zf89:0yK54243g;99:4=55c8 442939:9=5rn014ef<628qC=<:<;o3112<5==h0(<<:1;120c=zf89:0yK54243g;99:4=55a8 442939:8h5rn014e`<628qC=<:<;o3112<5==n0(<<:1;3g5`=zf89:0yK54243g;99:4=55g8 442939ojo5rn014f5<628qC=<:<;o3112<5==l0(<<:1;7376=zf89:0yK54243g;99:4=5428 44293?;<:5rn014f7<628qC=<:<;o3112<5=<;0(<<:1;6`5f=zf894>:0yK54243g;99:4=5408 4429388on5rn014f1<628qC=<:<;o3112<5=<90(<<:1;011a=zf89:0yK54243g;99:4=5468 44293>8:?5rn014f3<628qC=<:<;o3112<5=:0yK54243g;99:4=5448 44293>:==5rn014f=<628qC=<:<;o3112<5=<=0(<<:1;632`=zf89:0yK54243g;99:4=54:8 442938h=:5rn014fd<628qC=<:<;o3112<5=<30(<<:1;0abc=zf89:0yK54243g;99:4=54c8 442938j8?5rn014ff<628qC=<:<;o3112<5=:0yK54243g;99:4=54a8 442938j=?5rn014f`<628qC=<:<;o3112<5=:0yK54243g;99:4=54g8 442939><=5rn014g5<628qC=<:<;o3112<5=:0yK54243g;99:4=5728 44293;o=k5rn014g7<728qC=<:<;o3112<5=?;0qc?<7b194?7|@8;??6`>245960053td:?:m;:182M76<:1e=?;8:3757>{i9:=h97>51zJ2515;7<:659~j450k?0;6099;;4}o303f1=83;pD36a;>5<6sA;:8>5a1374>731?2we=>9l9;295~N69=90b<<:7;062==zf89h6:<=1>88n;|l272ed290:wE?>429m57302;?=n6sa125``?6=9rB:=9=4n0063?42>j1vb<=8cd83>4}O98>87c?=568113b895244f?xh6;>n;6=4>{I3206=i9;?<6?;9f:m561c93:1=vF>1518j442?38>;=5rn014`7<728qC=<:<;o3112<5=>;0qc?<7e194?7|@8;??6`>245960153td:?:j;:182M76<:1e=?;8:3747>{i9:=o97>51zJ2515;7<:759~j450l?0;6099:;4}o303a1=83;pD36f;>5<6sA;:8>5a1374>730?2we=>9k9;295~N69=90b<<:7;063==zf89h6:<=1>89n;|l272bd290:wE?>429m57302;?4}O98>87c?=568112b895245f?xh6;>o;6=4>{I3206=i9;?<6?;8f:m561b93:1=vF>1518j442?38>4=5rn014a7<728qC=<:<;o3112<5=1;0qc?<7d194?7|@8;??6`>245960>53td:?:k;:182M76<:1e=?;8:37;7>{i9:=n97>51zJ2515;7<:859~j450m?0;60995;4}o303`1=83;pD36g;>5<6sA;:8>5a1374>73??2we=>9j9;295~N69=90b<<:7;06<==zf89h6:<=1>86n;|l272cd290:wE?>429m57302;?3n6sa125f`?6=9rB:=9=4n0063?420j1vb<=8ed83>4}O98>87c?=56811=b89524:f?xh6;>l;6=4>{I3206=i9;?<6?;7f:m561a93:1=vF>1518j442?38>5=5rn014b7<728qC=<:<;o3112<5=0;0qc?<7g194?7|@8;??6`>245960?53td:?:h;:182M76<:1e=?;8:37:7>{i9:=m97>51zJ2515;7<:959~j450n?0;60994;4}o303c1=83;pD36d;>5<6sA;:8>5a1374>73>?2we=>9i9;295~N69=90b<<:7;06===zf89h6:<=1>87n;|l272`d290:wE?>429m57302;?2n6sa125e`?6=9rB:=9=4n0063?421j1vb<=8fd83>4}O98>87c?=5681189524;f?xh6;1:;6=4>{I3206=i9;?<6?;6f:m56>793:1=vF>1518j442?38>m=5rn01;47<728qC=<:<;o3112<5=h;0qc?<81194?7|@8;??6`>245960g53td:?5>;:182M76<:1e=?;8:37b7>{i9:2;97>51zJ2515;7<:a59~j45?8?0;6099l;4}o30<51=83;pD392;>5<6sA;:8>5a1374>73f?2we=>6?9;295~N69=90b<<:7;06e==zf893h6:<=1>8on;|l27=6d290:wE?>429m57302;?jn6sa12:3`?6=9rB:=9=4n0063?42ij1vb<=70d83>4}O98>87c?=56811db89524cf?xh6;1;;6=4>{I3206=i9;?<6?;nf:m56>693:1=vF>1518j442?38>n=5rn01;57<728qC=<:<;o3112<5=k;0qc?<80194?7|@8;??6`>245960d53td:?5?;:182M76<:1e=?;8:37a7>{i9:2:97>51zJ2515;7<:b59~j45?9?0;6099o;4}o30<41=83;pD393;>5<6sA;:8>5a1374>73e?2we=>6>9;295~N69=90b<<:7;06f==zf893=l4?:0yK54243g;99:4=5c;8yk7408h1<7?tH0377>h6:<=1>8ln;|l27=7d290:wE?>429m57302;?in6sa12:2`?6=9rB:=9=4n0063?42jj1vb<=71d83>4}O98>87c?=56811gb89524`f?xh6;18;6=4>{I3206=i9;?<6?;mf:m56>593:1=vF>1518j442?38>o=5rn01;67<728qC=<:<;o3112<5=j;0qc?<83194?7|@8;??6`>245960e53td:?5<;:182M76<:1e=?;8:37`7>{i9:2997>51zJ2515;7<:c59~j45?:?0;6099n;4}o30<71=83;pD390;>5<6sA;:8>5a1374>73d?2we=>6=9;295~N69=90b<<:7;06g==zf893>l4?:0yK54243g;99:4=5b;8yk740;h1<7?tH0377>h6:<=1>8mn;|l27=4d290:wE?>429m57302;?hn6sa12:1`?6=9rB:=9=4n0063?42kj1vb<=72d83>4}O98>87c?=56811fb89524af?xh6;19;6=4>{I3206=i9;?<6?;lf:m56>493:1=vF>1518j442?38>h=5rn01;77<728qC=<:<;o3112<5=m;0qc?<82194?7|@8;??6`>245960b53td:?5=;:182M76<:1e=?;8:37g7>{i9:2897>51zJ2515;7<:d59~j45?;?0;6099i;4}o30<61=83;pD391;>5<6sA;:8>5a1374>73c?2we=>6<9;295~N69=90b<<:7;06`==zf893?l4?:0yK54243g;99:4=5e;8yk740:h1<7?tH0377>h6:<=1>8jn;|l27=5d290:wE?>429m57302;?on6sa12:0`?6=9rB:=9=4n0063?42lj1vb<=73d83>4}O98>87c?=56811abh50;3xL473;2d:>89524ff?xh6;1>;6=4>{I3206=i9;?<6?;kf:m56>393:1=vF>1518j442?38>i=5rn01;07<728qC=<:<;o3112<5=l;0qc?<85194?7|@8;??6`>245960c53td:?5:;:182M76<:1e=?;8:37f7>{i9:2?97>51zJ2515;7<:e59~j45?099h;4}o30<11=83;pD396;>5<6sA;:8>5a1374>73b?2we=>6;9;295~N69=90b<<:7;06a==zf8938l4?:0yK54243g;99:4=5d;8yk740=h1<7?tH0377>h6:<=1>8kn;|l27=2d290:wE?>429m57302;?nn6sa12:7`?6=9rB:=9=4n0063?42mj1vb<=74d83>4}O98>87c?=56811`b89524gf?xh6;1?;6=4>{I3206=i9;?<6?;jf:m56>293:1=vF>1518j442?38>j=5rn01;17<728qC=<:<;o3112<5=o;0qc?<84194?7|@8;??6`>245960`53td:?5;;:182M76<:1e=?;8:37e7>{i9:2>97>51zJ2515;7<:f59~j45?=?0;6099k;4}o30<01=83;pD397;>5<6sA;:8>5a1374>73a?2we=>6:9;295~N69=90b<<:7;06b==zf8939l4?:0yK54243g;99:4=5g;8yk740h6:<=1>8hn;|l27=3d290:wE?>429m57302;?mn6sa12:6`?6=9rB:=9=4n0063?42nj1vb<=75d83>4}O98>87c?=56811cb89524df?xh6;1<;6=4>{I3206=i9;?<6?;if:m56>193:1=vF>1518j442?38=<=5rn01;27<728qC=<:<;o3112<5>9;0qc?<87194?7|@8;??6`>245963653td:?58;:182M76<:1e=?;8:3437>{i9:2=97>51zJ2515;7<9059~j45?>?0;609:=;4}o30<31=83;pD394;>5<6sA;:8>5a1374>707?2we=>699;295~N69=90b<<:7;054==zf893:l4?:0yK54243g;99:4=61;8yk740?h1<7?tH0377>h6:<=1>;>n;|l27=0d290:wE?>429m57302;<;n6sa12:5`?6=9rB:=9=4n0063?418j1vb<=76d83>4}O98>87c?=568125b895272f?xh6;1=;6=4>{I3206=i9;?<6?8?f:m56>093:1=vF>1518j442?38===5rn01;37<728qC=<:<;o3112<5>8;0qc?<86194?7|@8;??6`>245963753td:?59;:182M76<:1e=?;8:3427>{i9:2<97>51zJ2515;7<9159~j45???0;609:<;4}o30<21=83;pD395;>5<6sA;:8>5a1374>706?2we=>689;295~N69=90b<<:7;055==zf893;l4?:0yK54243g;99:4=60;8yk740>h1<7?tH0377>h6:<=1>;?n;|l27=1d290:wE?>429m57302;<:n6sa12:4`?6=9rB:=9=4n0063?419j1vb<=77d83>4}O98>87c?=568124b895273f?xh6;12;6=4>{I3206=i9;?<6?8>f:m56>?93:1=vF>1518j442?38=>=5rn01;<7<728qC=<:<;o3112<5>;;0qc?<89194?7|@8;??6`>245963453td:?56;:182M76<:1e=?;8:3417>{i9:2397>51zJ2515;7<9259~j45?0?0;609:?;4}o30<=1=83;pD39:;>5<6sA;:8>5a1374>705?2we=>679;295~N69=90b<<:7;056==zf8934l4?:0yK54243g;99:4=63;8yk7401h1<7?tH0377>h6:<=1>;d290:wE?>429m57302;<9n6sa12:;`?6=9rB:=9=4n0063?41:j1vb<=78d83>4}O98>87c?=568127b895270f?xh6;13;6=4>{I3206=i9;?<6?8=f:m56>>93:1=vF>1518j442?38=?=5rn01;=7<728qC=<:<;o3112<5>:;0qc?<88194?7|@8;??6`>245963553td:?57;:182M76<:1e=?;8:3407>{i9:2297>51zJ2515;7<9359~j45?1?0;609:>;4}o30<<1=83;pD39;;>5<6sA;:8>5a1374>704?2we=>669;295~N69=90b<<:7;057==zf8935l4?:0yK54243g;99:4=62;8yk7400h1<7?tH0377>h6:<=1>;=n;|l27=?d290:wE?>429m57302;<8n6sa12::`?6=9rB:=9=4n0063?41;j1vb<=79d83>4}O98>87c?=568126b895271f?xh6;1k;6=4>{I3206=i9;?<6?8f93:1=vF>1518j442?38=8=5rn01;e7<728qC=<:<;o3112<5>=;0qc?<8`194?7|@8;??6`>245963253td:?5o;:182M76<:1e=?;8:3477>{i9:2j97>51zJ2515;7<9459~j45?i?0;609:9;4}o30=7p`>39c;>5<6sA;:8>5a1374>703?2we=>6n9;295~N69=90b<<:7;050==zf893ml4?:0yK54243g;99:4=65;8yk740hh1<7?tH0377>h6:<=1>;:n;|l27=gd290:wE?>429m57302;4}O98>87c?=568121b895276f?xh6;1h;6=4>{I3206=i9;?<6?8;f:m56>e93:1=vF>1518j442?38=9=5rn01;f7<728qC=<:<;o3112<5><;0qc?<8c194?7|@8;??6`>245963353td:?5l;:182M76<:1e=?;8:3467>{i9:2i97>51zJ2515;7<9559~j45?j?0;609:8;4}o3039`;>5<6sA;:8>5a1374>702?2we=>6m9;295~N69=90b<<:7;051==zf893nl4?:0yK54243g;99:4=64;8yk740kh1<7?tH0377>h6:<=1>;;n;|l27=dd290:wE?>429m57302;<>n6sa12:a`?6=9rB:=9=4n0063?41=j1vb<=7bd83>4}O98>87c?=568120b895277f?xh6;1i;6=4>{I3206=i9;?<6?8:f:m56>d93:1=vF>1518j442?38=:=5rn01;g7<728qC=<:<;o3112<5>?;0qc?<8b194?7|@8;??6`>245963053td:?5m;:182M76<:1e=?;8:3457>{i9:2h97>51zJ2515;7<9659~j45?k?0;609:;;4}o3039a;>5<6sA;:8>5a1374>701?2we=>6l9;295~N69=90b<<:7;052==zf893ol4?:0yK54243g;99:4=67;8yk740jh1<7?tH0377>h6:<=1>;8n;|l27=ed290:wE?>429m57302;<=n6sa12:``?6=9rB:=9=4n0063?41>j1vb<=7cd83>4}O98>87c?=568123b895274f?xh6;1n;6=4>{I3206=i9;?<6?89f:m56>c93:1=vF>1518j442?38=;=5rn01;`7<728qC=<:<;o3112<5>>;0qc?<8e194?7|@8;??6`>245963153td:?5j;:182M76<:1e=?;8:3447>{i9:2o97>51zJ2515;7<9759~j45?l?0;609::;4}o3039f;>5<6sA;:8>5a1374>700?2we=>6k9;295~N69=90b<<:7;053==zf893hl4?:0yK54243g;99:4=66;8yk740mh1<7?tH0377>h6:<=1>;9n;|l27=bd290:wE?>429m57302;<4}O98>87c?=568122b895275f?xh6;1o;6=4>{I3206=i9;?<6?88f:m56>b93:1=vF>1518j442?38=4=5rn01;a7<728qC=<:<;o3112<5>1;0qc?<8d194?7|@8;??6`>245963>53td:?5k;:182M76<:1e=?;8:34;7>{i9:2n97>51zJ2515;7<9859~j45?m?0;609:5;4}o30<`1=83;pD39g;>5<6sA;:8>5a1374>70??2we=>6j9;295~N69=90b<<:7;05<==zf893il4?:0yK54243g;99:4=69;8yk740lh1<7?tH0377>h6:<=1>;6n;|l27=cd290:wE?>429m57302;<3n6sa12:f`?6=9rB:=9=4n0063?410j1vb<=7ed83>4}O98>87c?=56812=b89527:f?xh6;1l;6=4>{I3206=i9;?<6?87f:m56>a93:1=vF>1518j442?38=5=5rn01;b7<728qC=<:<;o3112<5>0;0qc?<8g194?7|@8;??6`>245963?53td:?5h;:182M76<:1e=?;8:34:7>{i9:2m97>51zJ2515;7<9959~j45?n?0;609:4;4}o3039d;>5<6sA;:8>5a1374>70>?2we=>6i9;295~N69=90b<<:7;05===zf893jl4?:0yK54243g;99:4=68;8yk740oh1<7?tH0377>h6:<=1>;7n;|l27=`d290:wE?>429m57302;<2n6sa12:e`?6=9rB:=9=4n0063?411j1vb<=7fd83>4}O98>87c?=5681289527;f?xh6;0:;6=4>{I3206=i9;?<6?86f:m56?793:1=vF>1518j442?38=m=5rn01:47<728qC=<:<;o3112<5>h;0qc?<91194?7|@8;??6`>245963g53td:?4>;:182M76<:1e=?;8:34b7>{i9:3;97>51zJ2515;7<9a59~j45>8?0;609:l;4}o30=51=83;pD382;>5<6sA;:8>5a1374>70f?2we=>7?9;295~N69=90b<<:7;05e==zf892h6:<=1>;on;|l27<6d290:wE?>429m57302;4}O98>87c?=56812db89527cf?xh6;0;;6=4>{I3206=i9;?<6?8nf:m56?693:1=vF>1518j442?38=n=5rn01:57<728qC=<:<;o3112<5>k;0qc?<90194?7|@8;??6`>245963d53td:?4?;:182M76<:1e=?;8:34a7>{i9:3:97>51zJ2515;7<9b59~j45>9?0;609:o;4}o30=41=83;pD383;>5<6sA;:8>5a1374>70e?2we=>7>9;295~N69=90b<<:7;05f==zf892=l4?:0yK54243g;99:4=6c;8yk7418h1<7?tH0377>h6:<=1>;ln;|l27<7d290:wE?>429m57302;4}O98>87c?=56812gb89527`f?xh6;08;6=4>{I3206=i9;?<6?8mf:m56?593:1=vF>1518j442?38=o=5rn01:67<728qC=<:<;o3112<5>j;0qc?<93194?7|@8;??6`>245963e53td:?4<;:182M76<:1e=?;8:34`7>{i9:3997>51zJ2515;7<9c59~j45>:?0;609:n;4}o30=71=83;pD380;>5<6sA;:8>5a1374>70d?2we=>7=9;295~N69=90b<<:7;05g==zf892>l4?:0yK54243g;99:4=6b;8yk741;h1<7?tH0377>h6:<=1>;mn;|l27<4d290:wE?>429m57302;4}O98>87c?=56812fb89527af?xh6;09;6=4>{I3206=i9;?<6?8lf:m56?493:1=vF>1518j442?38=h=5rn01:77<728qC=<:<;o3112<5>m;0qc?<92194?7|@8;??6`>245963b53td:?4=;:182M76<:1e=?;8:34g7>{i9:3897>51zJ2515;7<9d59~j45>;?0;609:i;4}o30=61=83;pD381;>5<6sA;:8>5a1374>70c?2we=>7<9;295~N69=90b<<:7;05`==zf892?l4?:0yK54243g;99:4=6e;8yk741:h1<7?tH0377>h6:<=1>;jn;|l27<5d290:wE?>429m57302;4}O98>87c?=56812abh50;3xL473;2d:>89527ff?xh6;0>;6=4>{I3206=i9;?<6?8kf:m56?393:1=vF>1518j442?38=i=5rn01:07<728qC=<:<;o3112<5>l;0qc?<95194?7|@8;??6`>245963c53td:?4:;:182M76<:1e=?;8:34f7>{i9:3?97>51zJ2515;7<9e59~j45>09:h;4}o30=11=83;pD386;>5<6sA;:8>5a1374>70b?2we=>7;9;295~N69=90b<<:7;05a==zf8928l4?:0yK54243g;99:4=6d;8yk741=h1<7?tH0377>h6:<=1>;kn;|l27<2d290:wE?>429m57302;4}O98>87c?=56812`b89527gf?xh6;0?;6=4>{I3206=i9;?<6?8jf:m56?293:1=vF>1518j442?38=j=5rn01:17<728qC=<:<;o3112<5>o;0qc?<94194?7|@8;??6`>245963`53td:?4;;:182M76<:1e=?;8:34e7>{i9:3>97>51zJ2515;7<9f59~j45>=?0;609:k;4}o30=01=83;pD387;>5<6sA;:8>5a1374>70a?2we=>7:9;295~N69=90b<<:7;05b==zf8929l4?:0yK54243g;99:4=6g;8yk741h6:<=1>;hn;|l27<3d290:wE?>429m57302;4}O98>87c?=56812cb89527df?xh6;0<;6=4>{I3206=i9;?<6?8if:m56?193:1=vF>1518j442?38<<=5rn01:27<728qC=<:<;o3112<5?9;0qc?<97194?7|@8;??6`>245962653td:?48;:182M76<:1e=?;8:3537>{i9:3=97>51zJ2515;7<8059~j45>>?0;609;=;4}o30=31=83;pD:=7p`>384;>5<6sA;:8>5a1374>717?2we=>799;295~N69=90b<<:7;044==zf892:l4?:0yK54243g;99:4=71;8yk741?h1<7?tH0377>h6:<=1>:>n;|l27<0d290:wE?>429m57302;=;n6sa12;5`?6=9rB:=9=4n0063?408j1vb<=66d83>4}O98>87c?=568135b895262f?xh6;0=;6=4>{I3206=i9;?<6?9?f:m56?093:1=vF>1518j442?38<==5rn01:37<728qC=<:<;o3112<5?8;0qc?<96194?7|@8;??6`>245962753td:?49;:182M76<:1e=?;8:3527>{i9:3<97>51zJ2515;7<8159~j45>??0;609;<;4}o30=21=83;pD;=7p`>385;>5<6sA;:8>5a1374>716?2we=>789;295~N69=90b<<:7;045==zf892;l4?:0yK54243g;99:4=70;8yk741>h1<7?tH0377>h6:<=1>:?n;|l27<1d290:wE?>429m57302;=:n6sa12;4`?6=9rB:=9=4n0063?409j1vb<=67d83>4}O98>87c?=568134b895263f?xh6;02;6=4>{I3206=i9;?<6?9>f:m56??93:1=vF>1518j442?38<>=5rn01:<7<728qC=<:<;o3112<5?;;0qc?<99194?7|@8;??6`>245962453td:?46;:182M76<:1e=?;8:3517>{i9:3397>51zJ2515;7<8259~j45>0?0;609;?;4}o30==1=83;pD8=7p`>38:;>5<6sA;:8>5a1374>715?2we=>779;295~N69=90b<<:7;046==zf8924l4?:0yK54243g;99:4=73;8yk7411h1<7?tH0377>h6:<=1>:d290:wE?>429m57302;=9n6sa12;;`?6=9rB:=9=4n0063?40:j1vb<=68d83>4}O98>87c?=568137b895260f?xh6;03;6=4>{I3206=i9;?<6?9=f:m56?>93:1=vF>1518j442?38245962553td:?47;:182M76<:1e=?;8:3507>{i9:3297>51zJ2515;7<8359~j45>1?0;609;>;4}o30=<1=83;pD9=7p`>38;;>5<6sA;:8>5a1374>714?2we=>769;295~N69=90b<<:7;047==zf8925l4?:0yK54243g;99:4=72;8yk7410h1<7?tH0377>h6:<=1>:=n;|l27429m57302;=8n6sa12;:`?6=9rB:=9=4n0063?40;j1vb<=69d83>4}O98>87c?=568136b895261f?xh6;0k;6=4>{I3206=i9;?<6?91518j442?38<8=5rn01:e7<728qC=<:<;o3112<5?=;0qc?<9`194?7|@8;??6`>245962253td:?4o;:182M76<:1e=?;8:3577>{i9:3j97>51zJ2515;7<8459~j45>i?0;609;9;4}o30=d1=83;pD>=7p`>38c;>5<6sA;:8>5a1374>713?2we=>7n9;295~N69=90b<<:7;040==zf892ml4?:0yK54243g;99:4=75;8yk741hh1<7?tH0377>h6:<=1>::n;|l27429m57302;=?n6sa12;b`?6=9rB:=9=4n0063?404}O98>87c?=568131b895266f?xh6;0h;6=4>{I3206=i9;?<6?9;f:m56?e93:1=vF>1518j442?38<9=5rn01:f7<728qC=<:<;o3112<5?<;0qc?<9c194?7|@8;??6`>245962353td:?4l;:182M76<:1e=?;8:3567>{i9:3i97>51zJ2515;7<8559~j45>j?0;609;8;4}o30=g1=83;pD?=7p`>38`;>5<6sA;:8>5a1374>712?2we=>7m9;295~N69=90b<<:7;041==zf892nl4?:0yK54243g;99:4=74;8yk741kh1<7?tH0377>h6:<=1>:;n;|l27429m57302;=>n6sa12;a`?6=9rB:=9=4n0063?40=j1vb<=6bd83>4}O98>87c?=568130b895267f?xh6;0i;6=4>{I3206=i9;?<6?9:f:m56?d93:1=vF>1518j442?38<:=5rn01:g7<728qC=<:<;o3112<5??;0qc?<9b194?7|@8;??6`>245962053td:?4m;:182M76<:1e=?;8:3557>{i9:3h97>51zJ2515;7<8659~j45>k?0;609;;;4}o30=f1=83;pD<=7p`>38a;>5<6sA;:8>5a1374>711?2we=>7l9;295~N69=90b<<:7;042==zf892ol4?:0yK54243g;99:4=77;8yk741jh1<7?tH0377>h6:<=1>:8n;|l27429m57302;==n6sa12;``?6=9rB:=9=4n0063?40>j1vb<=6cd83>4}O98>87c?=568133b895264f?xh6;0n;6=4>{I3206=i9;?<6?99f:m56?c93:1=vF>1518j442?38<;=5rn01:`7<728qC=<:<;o3112<5?>;0qc?<9e194?7|@8;??6`>245962153td:?4j;:182M76<:1e=?;8:3547>{i9:3o97>51zJ2515;7<8759~j45>l?0;609;:;4}o30=a1=83;pD==7p`>38f;>5<6sA;:8>5a1374>710?2we=>7k9;295~N69=90b<<:7;043==zf892hl4?:0yK54243g;99:4=76;8yk741mh1<7?tH0377>h6:<=1>:9n;|l27429m57302;=4}O98>87c?=568132b895265f?xh6;0o;6=4>{I3206=i9;?<6?98f:m56?b93:1=vF>1518j442?38<4=5rn01:a7<728qC=<:<;o3112<5?1;0qc?<9d194?7|@8;??6`>245962>53td:?4k;:182M76<:1e=?;8:35;7>{i9:3n97>51zJ2515;7<8859~j45>m?0;609;5;4}o30=`1=83;pD2=7p`>38g;>5<6sA;:8>5a1374>71??2we=>7j9;295~N69=90b<<:7;04<==zf892il4?:0yK54243g;99:4=79;8yk741lh1<7?tH0377>h6:<=1>:6n;|l27429m57302;=3n6sa12;f`?6=9rB:=9=4n0063?400j1vb<=6ed83>4}O98>87c?=56813=b89526:f?xh6;0l;6=4>{I3206=i9;?<6?97f:m56?a93:1=vF>1518j442?38<5=5rn01:b7<728qC=<:<;o3112<5?0;0qc?<9g194?7|@8;??6`>245962?53td:?4h;:182M76<:1e=?;8:35:7>{i9:3m97>51zJ2515;7<8959~j45>n?0;609;4;4}o30=c1=83;pD3=7p`>38d;>5<5sA;:8>5a1374>71>?2we=>7i9;296~N69=90b<<:7;04===zf892jl4?:3yK54243g;99:4=78;8yk741oh1<7h6:<=1>:7n;|l27<`d2909wE?>429m57302;=2n6sa12;e`?6=:rB:=9=4n0063?401j1vb<=6fd83>7}O98>87c?=5681389526;f?xh6;h:;6=4={I3206=i9;?<6?96f:m56g793:1>vF>1518j442?38245962g53td:?l>;:181M76<:1e=?;8:35b7>{i9:k;97>52zJ2515;7<8a59~j45f8?0;6?uG1060?k75=>09;l;4}o30e51=838pDk=7p`>3`2;>5<5sA;:8>5a1374>71f?2we=>o?9;296~N69=90b<<:7;04e==zf89jh6:<=1>:on;|l27d6d2909wE?>429m57302;=jn6sa12c3`?6=:rB:=9=4n0063?40ij1vb<=n0d83>7}O98>87c?=56813db89526cf?xh6;h;;6=4={I3206=i9;?<6?9nf:m56g693:1>vF>1518j442?38245962d53td:?l?;:181M76<:1e=?;8:35a7>{i9:k:97>52zJ2515;7<8b59~j45f9?0;6?uG1060?k75=>09;o;4}o30e41=838pDh=7p`>3`3;>5<5sA;:8>5a1374>71e?2we=>o>9;296~N69=90b<<:7;04f==zf89j=l4?:3yK54243g;99:4=7c;8yk74i8h1<7h6:<=1>:ln;|l27d7d2909wE?>429m57302;=in6sa12c2`?6=:rB:=9=4n0063?40jj1vb<=n1d83>7}O98>87c?=56813gb89526`f?xh6;h8;6=4={I3206=i9;?<6?9mf:m56g593:1>vF>1518j442?38245962e53td:?l<;:181M76<:1e=?;8:35`7>{i9:k997>52zJ2515;7<8c59~j45f:?0;6?uG1060?k75=>09;n;4}o30e71=838pDi=7p`>3`0;>5<6sA;:8>5a1374>71d?2we=>o=9;295~N69=90b<<:7;04g==zf89j>l4?:0yK54243g;99:4=7b;8yk74i;h1<7?tH0377>h6:<=1>:mn;|l27d4d290:wE?>429m57302;=hn6sa12c1`?6=9rB:=9=4n0063?40kj1vb<=n2d83>4}O98>87c?=56813fb89526af?xh6;h9;6=4>{I3206=i9;?<6?9lf:m56g493:1=vF>1518j442?38245962b53td:?l=;:182M76<:1e=?;8:35g7>{i9:k897>51zJ2515;7<8d59~j45f;?0;609;i;4}o30e61=83;pDn=7p`>3`1;>5<6sA;:8>5a1374>71c?2we=>o<9;295~N69=90b<<:7;04`==zf89j?l4?:0yK54243g;99:4=7e;8yk74i:h1<7?tH0377>h6:<=1>:jn;|l27d5d290:wE?>429m57302;=on6sa12c0`?6=9rB:=9=4n0063?40lj1vb<=n3d83>4}O98>87c?=56813abh50;3xL473;2d:>89526ff?xh6;h>;6=4>{I3206=i9;?<6?9kf:m56g393:1=vF>1518j442?38245962c53td:?l:;:182M76<:1e=?;8:35f7>{i9:k?97>51zJ2515;7<8e59~j45f09;h;4}o30e11=83;pDo=7p`>3`6;>5<6sA;:8>5a1374>71b?2we=>o;9;295~N69=90b<<:7;04a==zf89j8l4?:0yK54243g;99:4=7d;8yk74i=h1<7?tH0377>h6:<=1>:kn;|l27d2d290:wE?>429m57302;=nn6sa12c7`?6=9rB:=9=4n0063?40mj1vb<=n4d83>4}O98>87c?=56813`b89526gf?xh6;h?;6=4>{I3206=i9;?<6?9jf:m56g293:1=vF>1518j442?38245962`53td:?l;;:182M76<:1e=?;8:35e7>{i9:k>97>51zJ2515;7<8f59~j45f=?0;609;k;4}o30e01=83;pDl=7p`>3`7;>5<6sA;:8>5a1374>71a?2we=>o:9;295~N69=90b<<:7;04b==zf89j9l4?:0yK54243g;99:4=7g;8yk74ih6:<=1>:hn;|l27d3d290:wE?>429m57302;=mn6sa12c6`?6=9rB:=9=4n0063?40nj1vb<=n5d83>4}O98>87c?=56813cb89526df?xh6;h<;6=4>{I3206=i9;?<6?9if:m56g193:1=vF>1518j442?383<=5rn01b27<728qC=<:<;o3112<509;0qc?24596=653td:?l8;:182M76<:1e=?;8:3:37>{i9:k=97>51zJ2515;7<7059~j45f>?0;6094=;4}o30e31=83;pD3`4;>5<6sA;:8>5a1374>7>7?2we=>o99;295~N69=90b<<:7;0;4==zf89j:l4?:0yK54243g;99:4=81;8yk74i?h1<7?tH0377>h6:<=1>5>n;|l27d0d290:wE?>429m57302;2;n6sa12c5`?6=9rB:=9=4n0063?4?8j1vb<=n6d83>4}O98>87c?=5681<5b895292f?xh6;h=;6=4>{I3206=i9;?<6?6?f:m56g093:1=vF>1518j442?383==5rn01b37<728qC=<:<;o3112<508;0qc?24596=753td:?l9;:182M76<:1e=?;8:3:27>{i9:k<97>51zJ2515;7<7159~j45f??0;6094<;4}o30e21=83;pD3`5;>5<6sA;:8>5a1374>7>6?2we=>o89;295~N69=90b<<:7;0;5==zf<=hi7>51zl2601=:1;27p`:7gd94?7|f88>;7<71`9~j0>483:1=v`>24596=7e3td>4;?50;3xj442?383=n5rn4::6?6=9rd:>895293g?xh20j91<7?tn0063?4?9l1vb86i4;295~h6:<=1>5?i;|l6=73=83;pb<<:7;0;65=zu^35?4?:082>712>rY?594>c6g96?74;;38n7=9a5:8 473?3lnm6*i2282g3=O00k0D49=;I::f>d6m:h1<7;i:3430?40:oqQ?o;57zg4>`>=m00o;7?<7;g5>45?2tB35>5+11d4>4e13g2297<9059m55d42;=>:6`>24594>o3;o0;66g>0b`94?=n99k=6=44+034e?6=,ooh630bkkm:098/470?3:1(kkl:034=>hamk0976%>16494?"amj0:=:74ngga>6=<#8;<97>5$gg`>47012dmio4;;:)2522=83.min4>16;8jcce2<10'-69181<7*ieb8252?50;&eaf<69>30bkkm:898/470n3:1(kkl:034=>hamk0j76%>16g94?"amj0:=:74ngga>g=<#8;5$gg`>47012dmio4l;:)252e=83.min4>16;8jcce2m10'-69>91<7*ieb8252?hamk0976%>1c594?"amj0:=oo4ngga>6=<#8;i:7>5$gg`>47ei2dmio4;;:)25g3=83.min4>1cc8jcce2<10'-69j91<7*ieb825gghamk0j76%>1cd94?"amj0:=oo4ngga>g=<#8;ii7>5$gg`>47ei2dmio4l;:)25gb=83.min4>1cc8jcce2m10'-69k>1<7*ieb825gghamk0976%>1g:94?"amj0:=kl4ngga>6=<#8;m;7>5$gg`>47aj2dmio4;;:)25c0=83.min4>1g`8jcce2<10'<-6:9>1<7*ieb825cd=<50;&eaf<69oh0bkkm:898/44793:1(kkl:03ef>hamk0j76%>21294?"amj0:=kl4ngga>g=<#8;mj7>5$gg`>47aj2dmio4l;:)25cc=83.min4>1g`8jcce2m10'-69o?1<7*ieb825cd5<#nli1;5=;;6=4+fda93475<#nli1;7=;i6=4+fda934754o63b>5<#nli1;1=;26=4+fda93475<#nli1;3=;<6=4+fda93475<#nli1;==;>6=4+fda93475<#nli1;d=;86=4+fda93475<#nli1;f=8i6=4+fda937g5<#nli1;?o4ngga>4=<#>9o6=4+fda936e5<#nli1;>m4ngga>4=<#>9j6=4+fda936e5<#nli1;>m4ngga>6=<#>936=4+fda936e5<#nli1;>m4ngga>0=<#>>=6=4+fda936e5<#nli1;>m4ngga>2=<#>>?6=4+fda936e5<#nli1;>m4ngga><=<#>>96=4+fda936e5<#nli1;>m4ngga>g=<#>>;6=4+fda936e5<#nli1;>m4ngga>a=<#>9n6=4+fda936e5<#nli1;>m4ngga>c=>26=4+fda931>5<#nli1;964ngga>4=5;n33g`<722e:i:188f05703;1:7>50z&;=1<0m>1/==j=:403f>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?4i5;f>5<5<5;h605?6=3f;;;:4?::a17c1280=6=4?{%::0?1b?2.:5<5;|`675?=93<1<7>t$9;7>2c73-;;h?4:21`8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>087d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb40;5?7=>3:1:188k460?3:17pl:29495?0=83:p(57;:6g3?!77l;0>>=l4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8<77;392?6=8r.35948e19'55b52<8;n6*>12d955?13-;;j94<239'3=n<0o1<75f42d94?=n<1n1<75f114f>5<>5651;494?6|,13?6:k?;%33`7<2:9h0(<=;%:ae?2<@13:7c?=5684?l2>m3:17d:>o3;80;66a>06594?=zj<8357?56;294~"?1=0047j2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:99j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd2:1k1=7850;2x =?32>o;7)??d38665d<,8;8j7??979'55`32:897)6ma;68L=?63g;99:46;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f04?j3;1:7>50z&;=1<0m91/==j=:403f>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l5f48g94?=n<:l1<75f49f94?=n995<5;|`66=e=93<1<7>t$9;7>2c73-;;h?4:21`8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0i7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb404b?7=>3:1:188k460?3:17pl:29295?0=83:p(57;:6g3?!77l;0>>=l4$030b?771?1/==h;:201?!>ei3>0D57>;o31122i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8<72;392?6=8r.35948e19'55b52<8;n6*>12d955?13-;;j94<239'`=n<0o1<75f42d94?=n<1n1<75f114f>5<>5=51;494?6|,13?6:k?;%33`7<2:9h0(<=;%:ae?2<@13:7c?=568e?l2>m3:17d:>o3;80;66a>06594?=zj<8387?56;294~"?1=0047j2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:028m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e=;2>6<49:183!>><3=n<6*>0e09176e3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895109j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd2;;i1=7850;2x =?32>o;7)??d38665d<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>2:k7=`<722c??k4?::k7k2;714g=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?<;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f05403;1:7>50z&;=1<0m91/==j=:403f>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<:4i5;f>5<5<5;h605?6=3f;;;:4?::a1624280=6=4?{%::0?1b82.:h6:<=1=85f48g94?=n<:l1<75f49f94?=n995<5;|`6710=93<1<7>t$9;7>2c73-;;h?4:21`8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0::6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c7002<62?0;6=u+88693`6<,8:o>7;=0c9'545a28:2:6*>0g69774<,1hj695G8838j442?3;<7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb4173:10;66sm526:>4<1290;w)664;5f4>"68m819?>m;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=901b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl:35c95?0=83:p(57;:6g3?!77l;0>>=l4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6i2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo;<4c82>3<729q/44:57d28 46c:3?946>>2.:2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8==e;392?6=8r.35948e19'55b52<8;n6*>12d955?13-;;j94<239'4e5<8=7>5;n3332<722wi9>5<7s-22879j0:&24a4==;:i7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24595a=n<0o1<75f42d94?=n<1n1<75f114f>5<?>>51;494?6|,13?6:k?;%33`7<2:9h0(<=;%:ae?2<@13:7c?=5682a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?358k1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;3e?l2>m3:17d:>o3;80;66a>06594?=zj<98>7?56;294~"?1=0047j2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:328m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e=:986<49:183!>><3=n<6*>0e09176e3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895209j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd2;:>1=7850;2x =?32>o;7)??d38665d<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=2:k7=`<722c??k4?::k7k2;714g=#989m6<>66:&24c2=;;80(5ln:59K<<7;7<<;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f054>3;1:7>50z&;=1<0m91/==j=:403f>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6?:4i5;f>5<5<5;h605?6=3f;;;:4?::a1650280=6=4?{%::0?1b82.:h6:<=1>85f48g94?=n<:l1<75f49f94?=n995<5;|`676?=93<1<7>t$9;7>2c73-;;h?4:21`8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>09:6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c707d<62?0;6=u+88693`6<,8:o>7;=0c9'545a28:2:6*>0g69774<,1hj695G8838j442?38<7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb410f?7=>3:10;66sm521`>4<1290;w)664;5f4>"68m819?>m;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:01b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl:32f95?0=83:p(57;:6g3?!77l;0>>=l4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<5i2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo;<3d82>3<729q/44:57d28 46c:3?946>>2.:2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8=12d955?13-;;j94<239'7e5<8=7>5;n3332<722wi9>:?:085>5<7s-22879j0:&24a4==;:i7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24596a=n<0o1<75f42d94?=n<1n1<75f114f>5<?9?51;494?6|,13?6:k?;%33`7<2:9h0(<=;%:ae?2<@13:7c?=5681a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?358k1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;0e?l2>m3:17d:>o3;80;66a>06594?=zj<9?87?56;294~"?1=0047j2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:228m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e=:>>6<49:183!>><3=n<6*>0e09176e3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895309j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd2;9h1=7850;2x =?32>o<7)??d38665d<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895339j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd2;=i1=7850;2x =?32>o<7)??d38665d<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895329j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd2;?n1=7850;2x =?32>o;7)??d38665d<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4<4:k7=`<722c??k4?::k7l0:6;4?:1y'<<2=?l:0(<>k2;714g=#989m6<>66:&24c2=;;80(5ln:59K<<7;7=:;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f05013;1:7>50z&;=1<0m91/==j=:403f>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6>84i5;f>5<5<5;h605?6=3f;;;:4?::a16>3280=6=4?{%::0?1b82.:h6:<=1?:5f48g94?=n<:l1<75f49f94?=n995<5;|`67=1=93<1<7>t$9;7>2c73-;;h?4:21`8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0846g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c70<=<62?0;6=u+88693`6<,8:o>7;=0c9'545a28:2:6*>0g69774<,1hj695G8838j442?3927d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb41;=?7=>3:10;66sm52:b>4<1290;w)664;5f4>"68m819?>m;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=;k1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl:39`95?0=83:p(57;:6g3?!77l;0>>=l4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<4k2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo;<8b82>3<729q/44:57d28 46c:3?946>>2.:2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8=9f;392?6=8r.35948e19'55b52<8;n6*>12d955?13-;;j94<239'6c5<8=7>5;n3332<722wi9>9?:085>5<7s-22879j0:&24a4==;:i7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24597c=n<0o1<75f42d94?=n<1n1<75f114f>5<?:?51;494?6|,13?6:k?;%33`7<2:9h0(<=;%:ae?2<@13:7c?=56874>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?358k1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;62?l2>m3:17d:>o3;80;66a>06594?=zj<9047j2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:508m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e=:=?6<49:183!>><3=n<6*>0e09176e3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895429j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd2;>?1=7850;2x =?32>o;7)??d38665d<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;4:k7=`<722c??k4?::k7k2;714g=#989m6<>66:&24c2=;;80(5ln:59K<<7;7::;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f050?3;1:7>50z&;=1<0m91/==j=:403f>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6984i5;f>5<5<5;h605?6=3f;;;:4?::a161?280=6=4?{%::0?1b82.:h6:<=18:5f48g94?=n<:l1<75f49f94?=n995<5;|`672g=93<1<7>t$9;7>2c73-;;h?4:21`8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0?46g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c703g<62?0;6=u+88693`6<,8:o>7;=0c9'545a28:2:6*>0g69774<,1hj695G8838j442?3>27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb414g?7=>3:10;66sm525g>4<1290;w)664;5f4>"68m819?>m;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:188k460?3:17pl:36g95?0=83:p(57;:6g3?!77l;0>>=l4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3k2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo;<7g82>3<729q/44:57d28 46c:3?946>>2.:2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8=70;392?6=8r.35948e19'55b52<8;n6*>12d955?13-;;j94<239'1c5<8=7>5;n3332<722wi9>6>:085>5<7s-22879j0:&24a4==;:i7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24590c=n<0o1<75f42d94?=n<1n1<75f114f>5<?5<51;494?6|,13?6:k?;%33`7<2:9h0(<=;%:ae?2<@13:7c?=56864>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<4>:783>5}#00>1;h>4$02g6?358k1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;72?l2>m3:17d:>o3;80;66a>06594?=zj<9397?56;294~"?1=0047j2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:408m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e=:2=6<49:183!>><3=n<6*>0e09176e3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895529j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6no31=7850;2x =?32>o<7)??d382b7?<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895559j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5k:o1=7850;2x =?32>o<7)??d381g6b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895549j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6n?31=7=50;2x 474n33:56*7958ga2=#99n965<5<:583>5}#989m6498;%33`7<6n;30D57>;o3112<2?2c?io4?::k77g<722c:h5131a?!77l;0:j?74H9;2?k75=>0>46g61083>>o3;k0;66am8c83>>{e9o=?6<4<:183!76;o02=45+8869``1<,8:o>7?i289K<<7;7;6;h:b5?6=3`2j>7>5;ng4`?6=3th:j8;51;694?6|,8;8j7787:&24a4=9o827E661:l2601==h1b8hl50;9j06d=831b==o7:188kg>e2900qo?i9582>6<729q/=<=i:000f>"68m81=k<6;I::5>h6:<=19o5f90394?=n<:h1<75`b9`94?=zj8lac03-;;h?4>f3;8L=?63g;99:4:c:k;e4<722c3m?4?::mf3a<722wi=k8?:087>5<7s-;:?k46769'55b528l956F7909m57302n8;29?jd?j3:17pl>f8d95?5=83:p(5<5<:283>5}#989m64?6;%::0?bb?2.:>92d:>8955g9jh59658 46c:3;m>45G8838j442?3<;7d:jb;29?l24j3:17d??a983>>ie0k0;66sm1gc1>4<4290;w)?>3g8266d<,8:o>7?i289K<<7;78>;h;25?6=3`>8n7>5;n`;f?6=3th:j5=51;194?6|,8;8j77>9:&;=11/==j=:0d1=>N?181e=?;8:708m=g62900e5o=:188k`1c2900qo?i6282>1<729q/=<=i:854?!77l;0:j?74H9;2?k75=>0=?6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb0db7?7=;3:112d9575e3-;;h?4>f3;8L=?63g;99:494:k:54<722c??o4?::ma5<7s-;:?k46189'<<2=ll=0(<>k2;3e6<=O00;0b<<:7;46?l>f93:17d6n2;29?jc0l3:17pl>f7695?2=83:p("68m81=k<6;I::5>h6:<=1:;5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895669j=47=831b8>l50;9lf=d=831vnh590;8 =?32mo<7)??d382b7?<@13:7c?=5685<>o?i80;66g7a383>>ib?m0;66sm1g46>4<3290;w)?>3g8:32=#99n965<5;|`2bd3=9391<7>t$030b?75;k1/==j=:0d1=>N?181e=?;8:7c8m<762900e9=m:188kg>e2900qo?i8782>6<729q/=<=i:83:?!>><3nn;6*>0e095c4>3A22=6`>24592g=n0h;1<75f8`094?=hm>n1<75rb0d52?7=<3:112d9=21<,8:o>7?i289K<<7;78l;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a5cg128086=4?{%327c<6::h0(<>k2;3e6<=O00;0b<<:7;4g?l?693:17d:f9595?5=83:p("?1=0oi:5+11f1>4`512B35<5a1374>3c5<<103-;;h?4>f3;8L=?63g;99:49f:k7ag<722c??o4?::k24d>=831dn5l50;9~f4`f?3;1?7>50z&256`=9;9i7)??d382b7?<@13:7c?=56844>o>980;66g;3c83>>ie0k0;66sm1g:;>4<4290;w)?>3g8:5<=#00>1hh94$02g6?7a:01C44?4n0063?163`2j=7>5;h:b6?6=3fo5;|`2b3>=93>1<7>t$030b??0?2.:>92d:>895739j0`d=831b8>l50;9j55g?2900co6m:188yg7ai10:6>4?:1y'545a2888n6*>0e095c4>3A22=6`>245936=n18;1<75f42`94?=hj1h1<75rb0d5e?7=;3:112d9=4?<,13?6ik8;%33`7<6n;30D57>;o3112<0<2c3m<4?::k;e7<722en;i4?::a5c2e280?6=4?{%327c<>?>1/==j=:0d1=>N?181e=?;8:678m1ce2900e9=m:188m46f03:17bl7b;29?xd6n1k1=7=50;2x 474n3;9?o5+11f1>4`512B35<5a1374>205<<7>3-2287jj7:&24a4=9o827E661:l2601=?>1b4l?50;9j50z&256`=1>=0(<>k2;3e6<=O00;0b<<:7;5;?l2bj3:17d:>{e9o2i6<4<:183!76;o0:>>l4$02g6?7a:01C44?4n0063?1>3`3:=7>5;h60f?6=3fh3n7>5;|`2b3e=9391<7>t$030b??612.3594j4d9'55b528l956F7909m57302>k0e5o>:188m=g52900ch9k:188yg7ao3mk0;66g;3c83>>o68h21<75`b9`94?=zj8l3o7?53;294~"69:l1=?=m;%33`7<6n;30D57>;o3112<0k2c2=<4?::k77g<722ei4o4?::a5c0c28086=4?{%327c<>901/44:5dd58 46c:3;m>45G8838j442?3=o7d6n1;29?l>f:3:17bk8d;29?xd6n=o1=7:50;2x 474n33<;6*>0e095c4>3A22=6`>24593`=n5<:283>5}#989m6<<50z&256`=1830(57;:eg4?!77l;0:j?74H9;2?k75=>03<6g7a083>>o?i;0;66aj7e83>>{e9o>m6<4;:183!76;o02;:5+11f1>4`512B35<5a1374>=75<5;n`;f?6=3th:j5k51;194?6|,8;8j7?=3c9'55b528l956F7909m57302180e4?>:188m15e2900co6m:188yg7a>o0:6>4?:1y'545a20;27)664;ff3>"68m81=k<6;I::5>h6:<=14>5f8`394?=n0h81<75`e6f94?=zj8l><7?54;294~"69:l15:94$02g6?7a:01C44?4n0063?>33`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3;m>45G8838j442?32>7d7>1;29?l24j3:17bl7b;29?xd6n>:1=7=50;2x 474n33:56*7958f0`=#99n965<5<:583>5}#989m6498;%33`7<6n;30D57>;o3112h5131a?!77l;0:j?74H9;2?k75=>0346g61083>>o3;k0;66am8c83>>{e9o=:6<4<:183!76;o02=45+8869a1c<,8:o>7?i289K<<7;766;h:b5?6=3`2j>7>5;ng4`?6=3th:j8<51;694?6|,8;8j7787:&24a4=9o827E661:l2601=0h1b8hl50;9j06d=831b==o7:188kg>e2900qo?i9082>6<729q/=<=i:000f>"68m81=k<6;I::5>h6:<=14o5f90394?=n<:h1<75`b9`94?=zj8l<>7?53;294~"69:l15<74$9;7>`2b3-;;h?4>f3;8L=?63g;99:47c:k;e4<722c3m?4?::mf3a<722wi=k;<:087>5<7s-;:?k46769'55b528l956F7909m573021n0e9km:188m15e2900e<>n8;29?jd?j3:17pl>f8095?5=83:p(5<5<4>:283>5}#989m64?6;%::0?bb?2.:>92d:>8958g9jh59658 46c:3;m>45G8838j442?33;7d:jb;29?l24j3:17d??a983>>ie0k0;66sm1g;0>4<4290;w)?>3g8266d<,8:o>7?i289K<<7;77>;h;25?6=3`>8n7>5;n`;f?6=3th:j:;51;194?6|,8;8j77>9:&;=11/==j=:0d1=>N?181e=?;8:808m=g62900e5o=:188k`1c2900qo?i5782>1<729q/=<=i:854?!77l;0:j?74H9;2?k75=>02?6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb0d:1?7=;3:112d9575e3-;;h?4>f3;8L=?63g;99:464:k:54<722c??o4?::ma5<7s-;:?k46189'<<2=m=o0(<>k2;3e6<=O00;0b<<:7;;6?l>f93:17d6n2;29?jc0l3:17pl>f4595?2=83:p("68m81=k<6;I::5>h6:<=15;5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895969j=47=831b8>l50;9lf=d=831vnh590;8 =?32mo<7)??d382b7?<@13:7c?=568:<>o?i80;66g7a383>>ib?m0;66sm1g7;>4<3290;w)?>3g8:32=#99n965<5;|`2b<1=9391<7>t$030b?75;k1/==j=:0d1=>N?181e=?;8:8c8m<762900e9=m:188kg>e2900qo?i7982>6<729q/=<=i:83:?!>><3nn;6*>0e095c4>3A22=6`>2459=g=n0h;1<75f8`094?=hm>n1<75rb0d6=?7=<3:112d9=21<,8:o>7?i289K<<7;77l;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a5c??28086=4?{%327c<6::h0(<>k2;3e6<=O00;0b<<:7;;g?l?693:17d:f6;95?5=83:p("?1=0oi:5+11f1>4`512B35<5a1374>5<<103-;;h?4>f3;8L=?63g;99:46f:k7ag<722c??o4?::k24d>=831dn5l50;9~f4`>13;1?7>50z&256`=9;9i7)??d382b7?<@13:7c?=568b4>o>980;66g;3c83>>ie0k0;66sm1g5b>4<4290;w)?>3g8:5<=#00>1hh94$02g6?7a:01C44?4n0063?g63`2j=7>5;h:b6?6=3fo5;|`2b0d=93>1<7>t$030b??0?2.:>92d:>895a39j0`d=831b8>l50;9j55g?2900co6m:188yg7a1h0:6>4?:1y'545a2888n6*>0e095c4>3A22=6`>2459e6=n18;1<75f42`94?=hj1h1<75rb0d4f?7=;3:112d9=4?<,13?6ik8;%33`7<6n;30D57>;o3112?>1/==j=:0d1=>N?181e=?;8:`78m1ce2900e9=m:188m46f03:17bl7b;29?xd6n0h1=7=50;2x 474n3;9?o5+11f1>4`512B35<5a1374>d05<<7>3-2287jj7:&24a4=9o827E661:l2601=i>1b4l?50;9j50z&256`=1>=0(<>k2;3e6<=O00;0b<<:7;c;?l2bj3:17d:>{e9o3h6<4<:183!76;o0:>>l4$02g6?7a:01C44?4n0063?g>3`3:=7>5;h60f?6=3fh3n7>5;|`2b2b=9391<7>t$030b??612.3594ke69'55b528l956F7909m57302hk0e5o>:188m=g52900ch9k:188yg7a=l0:694?:1y'545a20=<7)??d382b7?<@13:7c?=568bf>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj8l2h7?53;294~"69:l1=?=m;%33`7<6n;30D57>;o3112901/44:5dd58 46c:3;m>45G8838j442?3ko7d6n1;29?l>f:3:17bk8d;29?xd6n0e095c4>3A22=6`>2459e`=n5<:283>5}#989m6<<50z&256`=1830(57;:eg4?!77l;0:j?74H9;2?k75=>0i<6g7a083>>o?i;0;66aj7e83>>{e9o<:6<4;:183!76;o02;:5+11f1>4`512B35<5a1374>g75<5;n`;f?6=3th:jl>51;194?6|,8;8j7?=3c9'55b528l956F7909m57302k80e4?>:188m15e2900co6m:188yg7a080:6>4?:1y'545a20;27)664;ff3>"68m81=k<6;I::5>h6:<=1n>5f8`394?=n0h81<75`e6f94?=zj8lj=7?53;294~"69:l1=?=m;%33`7<6n;30D57>;o31125<5<5;h605?6=3f;;;:4?::a5cb4280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5c`4280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cc4280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cca280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5c`1280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5c`3280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5c`2280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cb2280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5c`0280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5c`?280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cb>280=6=4?{%::0?1b?2.:4i5;f>5<5<5;h605?6=3f;;;:4?::a5cb1280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cbf280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cbe280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cc5280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cc0280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cc3280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5ccf280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cc?280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cc>280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5ccc280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5cce280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5ccd280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5ccb280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a5c`7280=6=4?{%::0?1b?2.:5<5<5;h605?6=3f;;;:4?::a7d20280?6=4?{%327c<>?11/==j=:0g0f>N?181e=?;8:bd8m=g62900e5o=:188m46f03:17bl7b;29?xd4ih31=7850;2x 474n33:56*7958`1c=#99n964i9c2>5<5<5<<1?3-;;h?4>e2`8L=?63g;99:4k1:k;e4<722c3m?4?::k24d>=831dn5l50;9~f6gf03;1:7>50z&256`=1830(57;:e3b?!77l;0:i>l4H9;2?k75=>0o>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm3``;>4<1290;w)?>3g8:5<=#00>1oo>4$02g6?7b;k1C44?4n0063?b43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8mo951;494?6|,8;8j77>9:&;=1N?181e=?;8:e68m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg5fj?0:6;4?:1y'545a20;27)664;aa4>"68m81=h=m;I::5>h6:<=1h85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb2ca1?7=>3:112d9=4?<,13?6nl?;%33`7<6m:h0D57>;o31122c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi?ll;:085>5<7s-;:?k46189'<<2=kk:0(<>k2;3f7g=O00;0b<<:7;f4?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4i=?1=7:50;2x 474n33<46*>0e095`5e3A22=6`>2459`==n0h;1<75f8`094?=n99k36=44oc:a>5<4>:583>5}#989m6497;%33`7<6m:h0D57>;o3112om3;392?6=8r.:=>h590;8 =?32jh;7)??d382a6d<@13:7c?=568ge>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e;h>96<4;:183!76;o02;55+11f1>4c4j2B35<5a1374>ad5<5;n`;f?6=3th8m9?51;694?6|,8;8j7788:&24a4=9l9i7E661:l2601=lj1b4l?50;9je2900qo=n4182>1<729q/=<=i:85;?!77l;0:i>l4H9;2?k75=>0oh6g7a083>>o?i;0;66g>0`:94?=hj1h1<75rb2c0b?7=<3:112d9=2><,8:o>7?j3c9K<<7;7jj;h:b5?6=3`2j>7>5;h33e=<722ei4o4?::a7d5b280?6=4?{%327c<>?11/==j=:0g0f>N?181e=?;8:ed8m=g62900e5o=:188m46f03:17bl7b;29?xd4i:n1=7:50;2x 474n33<46*>0e095`5e3A22=6`>2459a5=n0h;1<75f8`094?=n99k36=44oc:a>5<:583>5}#989m6497;%33`7<6m:h0D57>;o3112oh596:8 46c:3;n?o5G8838j442?3o97d6n1;29?l>f:3:17d??a983>>ie0k0;66sm3`1b>4<3290;w)?>3g8:3==#99n965<5;|`0e1c=93>1<7>t$030b??002.:>92d:>895e59j"68m81=h=m;I::5>h6:<=1i85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb2c7`?7=<3:112d9=2><,8:o>7?j3c9K<<7;7k9;h:b5?6=3`2j>7>5;h33e=<722ei4o4?::a7d2d280?6=4?{%327c<>?11/==j=:0g0f>N?181e=?;8:d58m=g62900e5o=:188m46f03:17bl7b;29?xd4i=h1=7:50;2x 474n33<46*>0e095`5e3A22=6`>2459a==n0h;1<75f8`094?=n99k36=44oc:a>5<:583>5}#989m6497;%33`7<6m:h0D57>;o3112o;9;390?6=8r.:=>h596:8 46c:3;n?o5G8838j442?3oj7d6n1;29?l>f:3:17d??a983>>ie0k0;66sm3`6;>4<3290;w)?>3g8:3==#99n965<5;|`0e12=93>1<7>t$030b??002.:>92d:>895eb9jo?i80;66g7a383>>o68h21<75`b9`94?=zj:k847?54;294~"69:l15:64$02g6?7b;k1C44?4n0063?cb3`2j=7>5;h:b6?6=3`;;m54?::ma5<7s-;:?k46799'55b528o8n6F7909m57302ll0e5o>:188m=g52900e<>n8;29?jd?j3:17pl:20295?0=83:p("?1=0hn=5+11f1>047j2B35<5a1374>c65<5<6=44od5g>5<4>:383>5}#989m6h8<;%33`7<6m:80(9<=:7g4?k1?;3i0b<>mf;37?!?7k3oe2900qo?j3982>7<729q/=<=i:d40?!77l;0:i>94$501>3c03g=3?7m4n02ab?373-3;o7k8d:J;=4=i9;?<6k<4i9c3>5<`043-;;h?4>e228 1452?o<7c973;a8j46en3?;7)7?c;g4`>N?181e=?;8:g18m=g72900co6m:188yg7b;?0:6?4?:1y'545a2l<87)??d382a63<,=896;k8;o5;7?ec25<:383>5}#989m6h8<;%33`7<6m:30(9<=:7g4?k1?;3i0b<>mf;73?!?7k3oe2900qo=jf982>3<729q/44:57d28 46c:39i?n5+101e>46>>2.:2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>ki7;392?6=8r.35948e19'55b52:h8o6*>12d955?13-;;j94<239'c15<8=7>5;n3332<722wi?hh::085>5<7s-22879j0:&24a4=;k9h7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459b==n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568e=>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<4>:783>5}#00>1;h>4$02g6?5e;j1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;db?l2>m3:17d:>o3;80;66a>06594?=zj:om>7?56;294~"?1=06d4k2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:g`8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;ll:6<49:183!>><3=n<6*>0e097g5d3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895fb9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4mo:1=7850;2x =?32>o;7)??d380f6e<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4id:k7=`<722c??k4?::k7k2;1a7f=#989m6<>66:&24c2=;;80(5ln:59K<<7;7hj;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6cbm3;1:7>50z&;=1<0m91/==j=:2`0g>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6kh4i5;f>5<5<5;h605?6=3f;;;:4?::a7`cc280=6=4?{%::0?1b82.:h6:<=1==>4i5;f>5<5<5;h605?6=3f;;;:4?::a7`cd280=6=4?{%::0?1b82.:h6:<=1==?4i5;f>5<5<5;h605?6=3f;;;:4?::a7`cf280=6=4?{%::0?1b82.:h6:<=1==<4i5;f>5<5<5;h605?6=3f;;;:4?::a7`c>280=6=4?{%::0?1b82.:h6:<=1===4i5;f>5<5<5;h605?6=3f;;;:4?::a7`c?280=6=4?{%::0?1b82.:h6:<=1==:4i5;f>5<5<5;h605?6=3f;;;:4?::a7`c0280=6=4?{%::0?1b82.:h6:<=1==;4i5;f>5<5<5;h605?6=3f;;;:4?::a7`c1280=6=4?{%::0?1b82.:h6:<=1==84i5;f>5<5<5;h605?6=3f;;;:4?::a7`c2280=6=4?{%::0?1b82.:h6:<=1==94i5;f>5<5<5;h605?6=3f;;;:4?::a7`c3280=6=4?{%::0?1b82.:h6:<=1==64i5;f>5<5<5;h605?6=3f;;;:4?::a7`c4280=6=4?{%::0?1b82.:h6:<=1==74i5;f>5<5<5;h605?6=3f;;;:4?::a7`c5280=6=4?{%::0?1b82.:h6:<=1==o4i5;f>5<5<5;h605?6=3f;;;:4?::a7`c6280=6=4?{%::0?1b82.:h6:<=1==l4i5;f>5<5<5;h605?6=3f;;;:4?::a7``b280=6=4?{%::0?1b82.:h6:<=1==m4i5;f>5<5<5;h605?6=3f;;;:4?::a7``c280=6=4?{%::0?1b82.:h6:<=1==j4i5;f>5<5<5;h605?6=3f;;;:4?::a7``d280=6=4?{%::0?1b82.:h6:<=1==k4i5;f>5<5<5;h605?6=3f;;;:4?::a7``e280=6=4?{%::0?1b82.:h6:<=1==h4i5;f>5<5<5;h605?6=3f;;;:4?::a7``f280=6=4?{%::0?1b82.:h6:<=1=<>4i5;f>5<5<5;h605?6=3f;;;:4?::a7``>280=6=4?{%::0?1b82.:h6:<=1=5<5<5;h605?6=3f;;;:4?::a7``1280=6=4?{%::0?1b82.:h6:<=1=<<4i5;f>5<5<5;h605?6=3f;;;:4?::a7`ce280=6=4?{%::0?1b82.:h6:<=1=<=4i5;f>5<5<5;h605?6=3f;;;:4?::a7`c7280=6=4?{%::0?1b82.:h6:<=1=<:4i5;f>5<5<5;h605?6=3f;;;:4?::a7`ba280=6=4?{%::0?1b82.:h6:<=1=<;4i5;f>5<5<5;h605?6=3f;;;:4?::a7`db280=6=4?{%::0?1b82.:h6:<=1=<84i5;f>5<5<5;h605?6=3f;;;:4?::a7g?b28086=4?{%327c<6::h0(<>k2;1a7a=O00;0b<<:7;323>o>980;66g;3c83>>ie0k0;66sm3c;g>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?>8:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028;27d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;a>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?>a:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028;i7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;b>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?>c:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028;o7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;:>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?>e:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028;m7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;;>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?=0:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m5730288:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;4>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?=2:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028887d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;5>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?=4:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m5730288>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;6>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?=6:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m5730288<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;7>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?=8:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028827d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;0>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?=a:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m5730288i7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;1>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?=c:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m5730288o7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;3>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?=e:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m5730288m7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c:e>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?<0:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m5730289:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c:f>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?<2:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028987d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c:g>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?<4:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m5730289>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c:`>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?<6:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m5730289<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c:a>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?<8:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028927d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c:b>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?5<7s-;:?k46769'55b52:h8h6F7909m5730289i7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c::>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?5<7s-;:?k46769'55b52:h8h6F7909m5730289o7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c:;>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?5<7s-;:?k46769'55b52:h8h6F7909m5730289m7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c:4>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?;0:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028>:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3cc5>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?;2:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028>87d:jb;29?l24j3:17d??a983>>ie0k0;66sm3cc6>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?;4:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028>>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3cc7>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?;6:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028><7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3cc0>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?;8:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028>27d:jb;29?l24j3:17d??a983>>ie0k0;66sm3cc1>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?;a:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028>i7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3cc2>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?;c:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028>o7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3cc3>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?;e:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028>m7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;`>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?:0:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028?:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c;2>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?:2:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028?87d:jb;29?l24j3:17d??a983>>ie0k0;66sm3c:5>4<4290;w)?>3g8266d<,8:o>7=m3e9K<<7;7?:4:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:h8h6F7909m573028?>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3d4f>4<1290;w)664;5f3>"68m81?o=k;%327c<680<0(<>i4;33b6=#0kk186F7909m573028?=7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2g40?7=>3:1l;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1f2<<62?0;6=u+88693`1<,8:o>7=m3e9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:955f48g94?=n<:l1<75f49f94?=n995<5;|`0a=1=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1=874i5;f>5<5<5;h605?6=3f;;;:4?::a7`?6280=6=4?{%::0?1b?2.:46>>2.:ei3>0D57>;o3112<6=h1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17plj4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=90;66sm3dce>4<1290;w)664;5f3>"68m81?o=k;%;33?76;j1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56821f=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56821a=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56821`=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56821c=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568225=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568224=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568227=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568226=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568221=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568220=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568223=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568222=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56822==n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56822<=n<0o1<75f42d94?=n<1n1<75f114f>5<51;494?6|,13?6:k?;%33`7<4j:n0(<=;%:ae?2<@13:7c?=56822d=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56822g=n<0o1<75f42d94?=n<1n1<75f114f>5<8:030g>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?9c:k7=`<722c??k4?::k74?:1y'545a2888n6*>0e097g5c3A22=6`>245953b5<444j2.:>92d:>89517g8m<762900e9=m:188kg>e2900qo=mb`82>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0::k5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951628m<762900e9=m:188kg>e2900qo=mb882>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:;<5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951608m<762900e9=m:188kg>e2900qo=mb982>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:;>5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951668m<762900e9=m:188kg>e2900qo=mb682>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:;85f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951648m<762900e9=m:188kg>e2900qo=mc282>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:;:5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89516:8m<762900e9=m:188kg>e2900qo=mc382>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:;45f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89516c8m<762900e9=m:188kg>e2900qo=mc082>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:;o5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89516a8m<762900e9=m:188kg>e2900qo=mc182>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:;i5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89516g8m<762900e9=m:188kg>e2900qo=mbg82>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:;k5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951928m<762900e9=m:188kg>e2900qo=mbd82>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:4<5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951908m<762900e9=m:188kg>e2900qo=mbe82>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:4>5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951968m<762900e9=m:188kg>e2900qo=mbb82>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:485f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951948m<762900e9=m:188kg>e2900qo=mbc82>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:4:5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89519:8m<762900e9=m:188kg>e2900qo=mb782>1<729q/=<=i:854?!77l;08n>j4H9;2?k75=>0:445f4d`94?=n<:h1<75f11c;>5<7=m3e9'=51=989h7)?>3g824<0<,8:m87??f29'4>f3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>j?a;392?6=8r.35948e69'55b52:h8h6*6068256e<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89519`8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;m;o6<49:183!>><3=n;6*>0e097g5c3-3;;7?>3b9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:4n5f48g94?=n<:l1<75f49f94?=n995<5;|`0`4d=93<1<7>t$9;7>2c03-;;h?412d955?13-;;j94>0g18 =df2=1C44?4n0063?7?l2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=k1882>3<729q/44:57d58 46c:39i?i5+9159545d3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0:f?l2>m3:17d:>o3;80;66a>06594?=zj:n:;7?56;294~"?1=06d4l2.2<:4>12a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=5h4i5;f>5<5<5;h605?6=3f;;;:4?::a7a72280=6=4?{%::0?1b?2.:46>>2.:ei3>0D57>;o3112<6191b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17plj4$824>474k2.:=>h511;5?!77n=0:1=O00;0b<<:7;3:5>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?5e;m1/5=95101`?!76;o0:<484$02e0?77n:1/4oo54:J;=4=i9;?<6<7=;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6b7n3;1:7>50z&;=1<0m>1/==j=:2`0`>">8>0:=>m4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9090e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3e2:>4<1290;w)664;5f3>"68m81?o=k;%;33?76;j1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5682=1=n<0o1<75f42d94?=n<1n1<75f114f>5<8:030g>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?65:k7=`<722c??k4?::k7k2;1a7a=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>979j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4l:h1=7850;2x =?32>o<7)??d380f6b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951858m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;m9j6<49:183!>><3=n;6*>0e097g5c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0;;?l2>m3:17d:>o3;80;66a>06594?=zj:n857?56;294~"?1=06d4l2.:=>h511;5?!77n=0:1=O00;0b<<:7;3:=>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?5e;m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5682=d=n<0o1<75f42d94?=n<1n1<75f114f>5<951;494?6|,13?6:k8;%33`7<4j:n0(i3:&;fd<33A22=6`>245955<8=7>5;n3332<722wi?i:;:085>5<7s-22879j7:&24a4=;k9o7)?>3g824<0<,8:m87??f29'4?d3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>j;3;392?6=8r.35948e69'55b52:h8h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?7>l2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=k4382>3<729q/44:57d58 46c:39i?i5+101e>46>>2.:ei3>0D57>;o3112<61l1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17plj4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=90l0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3e63>4<1290;w)664;5f3>"68m81?o=k;%327c<680<0(<>i4;33b6=#0kk186F7909m573028k;7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2f0b?7=>3:1l>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1g7`<62?0;6=u+88693`1<,8:o>7=m3e9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:m?5f48g94?=n<:l1<75f49f94?=n995<5;|`0`6b=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1=l=4i5;f>5<5<5;h605?6=3f;;;:4?::a7a51280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6b4=3;1:7>50z&;=1<0m>1/==j=:2`0`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?n5:k7=`<722c??k4?::k7k2;1a7a=#19=1=<=l;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9h<0e97j:188m15a290C5=<4;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6e>:3;1:7>50z&;=1<0m91/==j=:2`0`>">8>0:=>m4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6i>1b84k50;9j06`=83B25<5;h605?6=3f;;;:4?::a7f?6280=6=4?{%::0?1b82.:46>>2.:65f49f94?=n995<5;|`0g<6=93<1<7>t$9;7>2c73-;;h?412d955?13-;;j94<239'4g>3`>2i7>5;h60b?6=@0:976g;8e83>>o68?o1<75f42394?=h99=<6=44}c1`7=m3e9'=51=989h7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24595dg5l0;66g;3083>>i68>=1<75rb2a;a?7=>3:1l<=;%:ae?2<@13:7c?=5682eg=n<0o1<75f42d94?N>8;10e96k:188m461m3:17d:<1;29?j77?>0;66sm3b;a>4<1290;w)664;5f4>"68m81?o=k;%;33?76;j1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;3bg>o31l0;66g;3g83>M?7:21b85j50;9j550b2900e9=>:188k460?3:17plj4$824>474k2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:0cg?l2>m3:17d:?50;9l55102900qo=l9882>3<729q/44:57d28 46c:39i?i5+9159545d3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8951`g8m1?b2900e9=i:18K=54<3`>3h7>5;h332`<722c??<4?::m2421=831vn>m68;392?6=8r.35948e19'55b52:h8h6*6068256e<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>ag9j0h50;J:47=8=7>5;n3332<722wi?n78:085>5<7s-22879j0:&24a4=;k9o7)7?7;327f=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?m0:k7=`<722c??k4?:I;36>=n<1n1<75f114f>5<8:030g>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6;h6:a?6=3`>8j7>5H821?>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?5e;m1/5=95101`?!76;o0:<484$02e0?55:2.3nl4;;I::5>h6:<=1=o<4i5;f>5<>o3;80;66a>06594?=zj:i287?56;294~"?1=06d4l2.2<:4>12a8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:n>5f48g94?=n<:l1<7F60398m1>c2900e<>9e;29?l2493:17b??7683>>{e;j2o6<49:183!>><3=n<6*>0e097g5c3-3;;7?>3b9'545a28:2:6*>0g69774<,1hj695G8838j442?3;i86g;9d83>>o3;o0;6E7?2:9j0=b=831b==8j:188m1562900c<>87;29?xd4k1i1=7850;2x =?32>o;7)??d380f6b<,0:<67d:6e;29?l24n3:1D4>=;:k790:6;4?:1y'<<2=?l:0(<>k2;1a7a=#19=1=<=l;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9k<0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3d7e>4<1290;w)664;5f4>"68m81?o=k;%;33?76;j1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;3a3>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?5e;m1/5=95101`?!76;o0:<484$02e0?55:2.3nl4;;I::5>h6:<=1=o64i5;f>5<5<5;h605?6=3f;;;:4?::a7`3c280=6=4?{%::0?1b82.:46>>2.:?50;9l55102900qo=j5b82>3<729q/44:57d28 46c:39i?i5+9159545d3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8951cc8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;l?i6<49:183!>><3=n<6*>0e097g5c3-3;;7?>3b9'545a28:2:6*>0g69774<,1hj695G8838j442?3;in6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1f2=<62?0;6=u+88693`6<,8:o>7=m3e9'=51=989h7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24595ge5<8=7>5;n3332<722wi?h88:085>5<7s-22879j0:&24a4=;k9o7)7?7;327f=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?md:k7=`<722c??k4?::k7?0:6;4?:1y'<<2=?l:0(<>k2;1a7a=#19=1=<=l;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9ko0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3d46>4<1290;w)664;5f4>"68m81?o=k;%;33?76;j1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;3ab>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?5e;m1/5=95101`?!76;o0:<484$02e0?55:2.3nl4;;I::5>h6:<=1=n>4i5;f>5<5<5;h605?6=3f;;;:4?::a7`04280=6=4?{%::0?1b82.:46>>2.:?50;9l55102900qo=j6382>3<729q/44:57d28 46c:39i?i5+9159545d3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8951b08m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;l<:6<49:183!>><3=n<6*>0e097g5c3-3;;7?>3b9'545a28:2:6*>0g69774<,1hj695G8838j442?3;h?6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1f1d<62?0;6=u+88693`6<,8:o>7=m3e9'=51=989h7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24595f25<8=7>5;n3332<722wi?h;6:085>5<7s-22879j0:&24a4=;k9o7)7?7;327f=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?l5:k7=`<722c??k4?::k7k2;1a7a=#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>8951b48m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;m>m6<49:183!>><3=n;6*>0e097g5c3-3;;7?>3b9'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1=n94i5;f>5<5<5;h605?6=3f;;;:4?::a7a2b280=6=4?{%::0?1b?2.:46>>2.:ei3h27E661:l2601=9j20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3d65>4<1290;w)664;5f3>"68m81?o=k;%327c<680<0(<>i4;33b6=#0kk186F7909m573028i27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2f17?7=>3:1li3:&;fd<33A22=6`>24595fg5<8=7>5;n3332<722wi?i<>:085>5<7s-22879j7:&24a4=;k9o7)7?7;327f=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>cc9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4l?o1=7850;2x =?32>o<7)??d380f6b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951ba8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;l9i6<49:183!>><3=n;6*>0e097g5c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0ag?l2>m3:17d:>o3;80;66a>06594?=zj:o857?56;294~"?1=06d4l2.:=>h511;5?!77n=0:1=O00;0b<<:7;3`a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?5e;m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5682gc=n<0o1<75f42d94?=n<1n1<75f114f>5<951;494?6|,13?6:k8;%33`7<4j:n0(i3:&;fd<33A22=6`>24595a65<8=7>5;n3332<722wi?h=9:085>5<7s-22879j7:&24a4=;k9o7)?>3g824<0<,8:m87??f29'4b63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>k<5;392?6=8r.35948e69'55b52:h8h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?7c:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=j3582>3<729q/44:57d58 46c:39i?i5+101e>46>>2.:ei3>0D57>;o3112<6l:1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17plj4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9m>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3d11>4<1290;w)664;5f3>"68m81?o=k;%327c<680<0(<>i4;33b6=#0kk186F7909m573028n>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2g05?7=>3:1l>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1f75<62?0;6=u+88693`1<,8:o>7=m3e9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:h:5f48g94?=n<:l1<75f49f94?=n995<5;|`0a7c=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1=i64i5;f>5<5<5;h605?6=3f;;;:4?::a7`4c280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6c5k3;1:7>50z&;=1<0m>1/==j=:2`0`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?ka:k7=`<722c??k4?::k7k2;1a7a=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>dc9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4m;k1=7850;2x =?32>o<7)??d380f6b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951ea8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;l826<49:183!>><3=n;6*>0e097g5c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0fg?l2>m3:17d:>o3;80;66a>06594?=zj:o947?56;294~"?1=06d4l2.:=>h511;5?!77n=0:1=O00;0b<<:7;3ga>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:4>:783>5}#00>1;h94$02g6?5e;m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5682`c=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24595`65<8=7>5;n3332<722wi?h<::085>5<7s-22879j7:&24a4=;k9o7)?>3g824<0<,8:m87??f29'4c63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>k;3;392?6=8r.35948e69'55b52:h8h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?7b:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=j4382>3<729q/44:57d58 46c:39i?i5+101e>46>>2.:ei3>0D57>;o3112<6m:1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17plj4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9l>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3d63>4<1290;w)664;5f3>"68m81?o=k;%327c<680<0(<>i4;33b6=#0kk186F7909m573028o>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2g0b?7=>3:1l>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1f7`<62?0;6=u+88693`1<,8:o>7=m3e9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:i:5f48g94?=n<:l1<75f49f94?=n995<5;|`0a6g=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1=h64i5;f>5<5<5;h605?6=3f;;;:4?::a7`4a280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6c5<3;1:7>50z&;=1<0m>1/==j=:2`0`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?ja:k7=`<722c??k4?::k7k2;1a7a=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>ec9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4kmh1=7850;2x =?32>o;7)??d380f6b<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>eb9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4kmk1=7850;2x =?32>o;7)??d380f6b<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>ee9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4ko81=7850;2x =?32>o<7)??d380f6b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951dg8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;jl:6<49:183!>><3=n;6*>0e097g5c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0ge?l2>m3:17d:>o3;80;66a>06594?=zj:imm7?56;294~"?1=06d4l2.:=>h511;5?!77n=0:1=O00;0b<<:7;3e4>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?5e;m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5682b4=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24595c45<8=7>5;n3332<722wi?nh8:085>5<7s-22879j7:&24a4=;k9o7)?>3g824<0<,8:m87??f29'4`43`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>mi6;392?6=8r.35948e69'55b52:h8h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?7a<2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=lf482>3<729q/44:57d58 46c:39i?i5+101e>46>>2.:ei3>0D57>;o3112<6n<1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17plj4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9o<0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3bd0>4<1290;w)664;5f3>"68m81?o=k;%327c<680<0(<>i4;33b6=#0kk186F7909m573028l<7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2ae4?7=>3:1l>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1`ac<62?0;6=u+88693`1<,8:o>7=m3e9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:j45f48g94?=n<:l1<75f49f94?=n995<5;|`0a12=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1=ko4i5;f>5<5<5;h605?6=3f;;;:4?::a7ab3280=6=4?{%::0?1b82.:h6:<=1=kl4i5;f>5<5<5;h605?6=3f;;;:4?::a7ab4280=6=4?{%::0?1b82.:h6:<=1=km4i5;f>5<5<5;h605?6=3f;;;:4?::a7ab6280=6=4?{%::0?1b82.:h6:<=1=kj4i5;f>5<5<5;h605?6=3f;;;:4?::a7ab7280=6=4?{%::0?1b82.:h6:<=1=kk4i5;f>5<5<5;h605?6=3f;;;:4?::a7aea280=6=4?{%::0?1b82.:h6:<=1=kh4i5;f>5<5<5;h605?6=3f;;;:4?::a7aeb280=6=4?{%::0?1b82.:h6:<=1>=>4i5;f>5<5<5;h605?6=3f;;;:4?::a7aec280=6=4?{%::0?1b82.:h6:<=1>=?4i5;f>5<5<5;h605?6=3f;;;:4?::a7aed280=6=4?{%::0?1b82.:h6:<=1>=<4i5;f>5<5<5;h605?6=3f;;;:4?::a7aee280=6=4?{%::0?1b82.:h6:<=1>==4i5;f>5<5<5;h605?6=3f;;;:4?::a7aef280=6=4?{%::0?1b82.:h6:<=1>=:4i5;f>5<5<5;h605?6=3f;;;:4?::a7ae>280=6=4?{%::0?1b82.:h6:<=1>=;4i5;f>5<5<5;h605?6=3f;;;:4?::a7ae?280=6=4?{%::0?1b82.:h6:<=1>=84i5;f>5<5<5;h605?6=3f;;;:4?::a7ae1280=6=4?{%::0?1b82.:h6:<=1>=94i5;f>5<5<5;h605?6=3f;;;:4?::a7ae2280=6=4?{%::0?1b82.:h6:<=1>=64i5;f>5<5<5;h605?6=3f;;;:4?::a7ae3280=6=4?{%::0?1b82.:h6:<=1>=74i5;f>5<5<5;h605?6=3f;;;:4?::a7ae4280=6=4?{%::0?1b82.:h6:<=1>=o4i5;f>5<5<5;h605?6=3f;;;:4?::a7ae5280=6=4?{%::0?1b82.:h6:<=1>=l4i5;f>5<5<5;h605?6=3f;;;:4?::a7ae6280=6=4?{%::0?1b82.:h6:<=1>=m4i5;f>5<5<5;h605?6=3f;;;:4?::a7ae7280=6=4?{%::0?1b82.:h6:<=1>=j4i5;f>5<5<5;h605?6=3f;;;:4?::a7ada280=6=4?{%::0?1b82.:h6:<=1>=k4i5;f>5<5<5;h605?6=3f;;;:4?::a7adb280=6=4?{%::0?1b82.:h6:<=1>=h4i5;f>5<5<5;h605?6=3f;;;:4?::a7adc280=6=4?{%::0?1b82.:h6:<=1><>4i5;f>5<5<5;h605?6=3f;;;:4?::a7abf280=6=4?{%::0?1b82.:h6:<=1>5<5<5;h605?6=3f;;;:4?::a7ab>280=6=4?{%::0?1b82.:h6:<=1><<4i5;f>5<5<5;h605?6=3f;;;:4?::a7ab?280=6=4?{%::0?1b82.:h6:<=1><=4i5;f>5<5<5;h605?6=3f;;;:4?::a7ab0280=6=4?{%::0?1b82.:h6:<=1><:4i5;f>5<5<5;h605?6=3f;;;:4?::a7ab1280=6=4?{%::0?1b82.:h6:<=1><;4i5;f>5<5<5;h605?6=3f;;;:4?::a7ab2280=6=4?{%::0?1b82.:h6:<=1><84i5;f>5<5<5;h605?6=3f;;;:4?::a7ab5280=6=4?{%::0?1b82.:h6:<=1><94i5;f>5<5<5;h605?6=3f;;;:4?::a7ae0280=6=4?{%::0?1b82.:h6:<=1><64i5;f>5<5<5;h605?6=3f;;;:4?::a7add280=6=4?{%::0?1b82.:h6:<=1><74i5;f>5<5<5;h605?6=3f;;;:4?::a7ade280=6=4?{%::0?1b82.:h6:<=1>5<5<5;h605?6=3f;;;:4?::a7a?4280=6=4?{%::0?1b82.:h6:<=1>5<5<5;h605?6=3f;;;:4?::a7a?5280=6=4?{%::0?1b82.:h6:<=1>5<5<5;h605?6=3f;;;:4?::a7a?6280=6=4?{%::0?1b82.:h6:<=1>5<5<5;h605?6=3f;;;:4?::a7a>a280=6=4?{%::0?1b82.:h6:<=1>5<5<5;h605?6=3f;;;:4?::a7a>b280=6=4?{%::0?1b82.:h6:<=1>5<5<5;h605?6=3f;;;:4?::a7a>c280=6=4?{%::0?1b82.:h6:<=1>?>4i5;f>5<5<5;h605?6=3f;;;:4?::a7a>d280=6=4?{%::0?1b82.:h6:<=1>??4i5;f>5<5<5;h605?6=3f;;;:4?::a7a>e280=6=4?{%::0?1b82.:h6:<=1>?<4i5;f>5<5<5;h605?6=3f;;;:4?::a7a>f280=6=4?{%::0?1b82.:h6:<=1>?=4i5;f>5<5<5;h605?6=3f;;;:4?::a7a>>280=6=4?{%::0?1b82.:h6:<=1>?:4i5;f>5<5<5;h605?6=3f;;;:4?::a7a>?280=6=4?{%::0?1b82.:h6:<=1>?;4i5;f>5<5<5;h605?6=3f;;;:4?::a7a>0280=6=4?{%::0?1b82.:h6:<=1>?84i5;f>5<5<5;h605?6=3f;;;:4?::a7a>1280=6=4?{%::0?1b82.:h6:<=1>?94i5;f>5<5<5;h605?6=3f;;;:4?::a7a?f280=6=4?{%::0?1b82.:h6:<=1>?64i5;f>5<5<5;h605?6=3f;;;:4?::a7a?>280=6=4?{%::0?1b82.:h6:<=1>?74i5;f>5<5<5;h605?6=3f;;;:4?::a7a??280=6=4?{%::0?1b82.:h6:<=1>?o4i5;f>5<5<5;h605?6=3f;;;:4?::a7a?0280=6=4?{%::0?1b82.:h6:<=1>?l4i5;f>5<5<5;h605?6=3f;;;:4?::a7a?1280=6=4?{%::0?1b82.:h6:<=1>?m4i5;f>5<5<5;h605?6=3f;;;:4?::a7a?2280=6=4?{%::0?1b82.:h6:<=1>?j4i5;f>5<5<5;h605?6=3f;;;:4?::a7a?3280=6=4?{%::0?1b82.:h6:<=1>?k4i5;f>5<5<5;h605?6=3f;;;:4?::a7a?7280=6=4?{%::0?1b82.:h6:<=1>?h4i5;f>5<5<5;h605?6=3f;;;:4?::a7ac5280=6=4?{%::0?1b82.:h6:<=1>>>4i5;f>5<5<5;h605?6=3f;;;:4?::a7ac6280=6=4?{%::0?1b82.:h6:<=1>>?4i5;f>5<5<5;h605?6=3f;;;:4?::a7ac7280=6=4?{%::0?1b82.:h6:<=1>><4i5;f>5<5<5;h605?6=3f;;;:4?::a7aba280=6=4?{%::0?1b82.:h6:<=1>>=4i5;f>5<5<5;h605?6=3f;;;:4?::a51d428096=4?{%327c<4j91/==j=:06be>N?181e=?;8:317?l>f83:17bl7b;29?xd60e0951gf3A22=6`>24596635<:383>5}#989m6>l?;%33`7<6;o3112<5;?1b4l>50;9lf=d=831vn<:m5;396?6=8r.:=>h58`58 46c:3;?ml5+43092`1<,0:h65om;I::5>h6:<=1>>94i9c3>5<7?;a`9'545a28:2:6*>0g69774<,1hj6o74H9;2?k75=>09?55f48g94?=n<:l1<75f49f94?=n995<5;|`20f6=93<1<7>t$9;7>2c73-;;h?4>4`c8 474n3;;5;5+11d7>6453-2im7l6;I::5>h6:<=1>>74i5;f>5<5<5;h605?6=3f;;;:4?::a17g?280=6=4?{%::0?1b82.:h6:<=1>>o4i5;f>5<5<5;h605?6=3f;;;:4?::a17g0280=6=4?{%::0?1b82.:h6:<=1>>l4i5;f>5<5<5;h605?6=3f;;;:4?::a17d1280=6=4?{%::0?1b82.:h6:<=1>>m4i5;f>5<5<5;h605?6=3f;;;:4?::a17d2280=6=4?{%::0?1b82.:h6:<=1>>j4i5;f>5<5<5;h605?6=3f;;;:4?::a17g6280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f04f83;1:7>50z&;=1<0m>1/==j=:40;`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<k2;7166:&24c2=99l87)6ma;`:?M>>92d:>8952528m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e=;n?6<49:183!>><3=n;6*>0e0917>c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:362?l2>m3:17d:>o3;80;66a>06594?=zj<8o?7?56;294~"?1=004?l2.:=>h511;5?!77n=0:1=O00;0b<<:7;076>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?350m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568106=n<0o1<75f42d94?=n<1n1<75f114f>5<>i?51;494?6|,13?6:k8;%33`7<2:1n0(i3:&;fd<33A22=6`>24596125<8=7>5;n3332<722wi9?j?:085>5<7s-22879j7:&24a4==;2o7)?>3g824<0<,8:m87??f29'7223`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn812d955?13-;;j94>0g18 =df2=1C44?4n0063?43>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo;=cd82>3<729q/44:57d58 46c:3?94i5+101e>46>>2.:ei3>0D57>;o3112<5<>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl:2bf95?0=83:p(57;:6g4?!77l;0>>5j4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:=20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm53f`>4<1290;w)664;5f3>"68m819?6k;%327c<680<0(<>i4;33b6=#0kk186F7909m57302;>27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb40gf?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c71`d<62?0;6=u+88693`1<,8:o>7;=8e9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>098o5f48g94?=n<:l1<75f49f94?=n995<5;|`66a?=93<1<7>t$9;7>2c03-;;h?4:29f8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1>9m4i5;f>5<5<5;h605?6=3f;;;:4?::a17b?280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f04c?3;1:7>50z&;=1<0m>1/==j=:40;`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<;e:k7=`<722c??k4?::k7k2;7166:&24c2=99l87)6ma;68L=?63g;99:4=4g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd2:m?1=7850;2x =?32>o<7)??d3866=b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8952428m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e=;ih6<49:183!>><3=n;6*>0e0917>c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:372?l2>m3:17d:>o3;80;66a>06594?=zj<8hn7?56;294~"?1=004?l2.:=>h511;5?!77n=0:1=O00;0b<<:7;066>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?350m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568116=n<0o1<75f42d94?=n<1n1<75f114f>5<>lh51;494?6|,13?6:k8;%33`7<2:1n0(i3:&;fd<33A22=6`>24596025<8=7>5;n3332<722wi9?ol:085>5<7s-22879j0:&24a4==;2o7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24596035<8=7>5;n3332<722wi9?om:085>5<7s-22879j0:&24a4==;2o7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24596005<8=7>5;n3332<722wi8;k6:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;?<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm47df>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`;:?M>>92d:>89524:8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:80d82>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n989K<<7;7<:9:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;k7:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;?j7d:jb;29?l24j3:17d??a983>>ie0k0;66sm47dg>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`;4?M>>92d:>89524`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:80e82>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n969K<<7;7<:c:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;k8:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;?o7d:jb;29?l24j3:17d??a983>>ie0k0;66sm47d`>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`;5?M>>92d:>89524g8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:80b82>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n979K<<7;7<:f:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;k9:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;<;7d:jb;29?l24j3:17d??a983>>ie0k0;66sm47da>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`;6?M>>92d:>8952738m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:80c82>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n949K<<7;7<92:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;k::087>5<7s-;:?k46769'55b52=?9=6F7909m57302;<87d:jb;29?l24j3:17d??a983>>ie0k0;66sm47db>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`;7?M>>92d:>8952768m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:80`82>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n959K<<7;7<95:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;h7:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;<=7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4624>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`;0?M>>92d:>8952758m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:81782>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n929K<<7;7<98:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;h8:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;<27d:jb;29?l24j3:17d??a983>>ie0k0;66sm4625>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`;1?M>>92d:>89527c8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:81482>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n939K<<7;7<9b:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;h9:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;>ie0k0;66sm4626>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`;2?M>>92d:>89527f8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:81582>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n909K<<7;7<9e:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;h::087>5<7s-;:?k46769'55b52=?9=6F7909m57302;>ie0k0;66sm4627>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`;3?M>>92d:>8952628m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:81282>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n919K<<7;7<81:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;h;:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;=97d:jb;29?l24j3:17d??a983>>ie0k0;66sm4620>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`:e?M>>92d:>8952618m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:81382>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n8g9K<<7;7<84:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;h<:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;=>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4621>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`:f?M>>92d:>8952648m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:81082>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n8d9K<<7;7<87:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;h=:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;=37d:jb;29?l24j3:17d??a983>>ie0k0;66sm4622>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`:`?M>>92d:>89526;8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:81182>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n8b9K<<7;7<8a:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;h>:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;=i7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4623>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`:a?M>>92d:>89526a8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:80g82>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n8c9K<<7;7<8d:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;h?:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;=n7d:jb;29?l24j3:17d??a983>>ie0k0;66sm47de>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`:b?M>>92d:>89526d8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:80882>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n8`9K<<7;7<70:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8;k;:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;2:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm47d:>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81/jk>54`::?M>>92d:>8952908m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:80982>0<729q/=<=i:83:?!>><3ij=6*>0e0900463-lm<7:n889K<<7;7<73:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8:?7:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;2?7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4600>4<2290;w)?>3g8:5<=#00>1noh4$02g6?22:81C44?4n0063?4?=2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a0246280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3:5?l2bj3:17d:>{e<>8h6<48:183!76;o02=45+8869fgb<,8:o>7::209K<<7;7<77:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8:5<7s-;:?k46769'55b52=?9=6F7909m57302;237d:jb;29?l24j3:17d??a983>>ie0k0;66sm460a>4<0290;w)?>3g8:5<=#00>1noj4$02g6?22:81C44?4n0063?4?12c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a027a280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3:b?l2bj3:17d:>{e<>8j6<48:183!76;o02=45+8869fgb<,8:o>7::209K<<7;7<7b:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8:?j:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;2h7d:jb;29?l24j3:17d??a983>>ie0k0;66sm460:>4<0290;w)?>3g8:5<=#00>1noj4$02g6?22:81C44?4n0063?4?l2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a027c280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3:f?l2bj3:17d:>{e<>836<48:183!76;o02=45+8869fgb<,8:o>7::209K<<7;7<7f:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8:?l:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;3;7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4604>4<0290;w)?>3g8:5<=#00>1noj4$02g6?22:81C44?4n0063?4>92c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a027e280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3;1?l2bj3:17d:>{e<>8=6<48:183!76;o02=45+8869fgb<,8:o>7::209K<<7;7<63:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8:?n:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;3?7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4606>4<0290;w)?>3g8:5<=#00>1noj4$02g6?22:81C44?4n0063?4>=2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a027>280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3;5?l2bj3:17d:>{e<>8?6<48:183!76;o02=45+8869fgb<,8:o>7::209K<<7;7<67:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8:?8:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;337d:jb;29?l24j3:17d??a983>>ie0k0;66sm4601>4<0290;w)?>3g8:5<=#00>1noj4$02g6?22:81C44?4n0063?4>12c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a03d1280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3;b?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21lk0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f011C44?4n0063?4>k2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03d2280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3;g?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21lh0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f0>1C44?4n0063?4>n2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03d3280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3c3?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21l00:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f0?1C44?4n0063?4f:2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03d4280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3c0?l2bj3:17d:>{e7::209'bc6=

    7E661:l2601=:h>0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg21l10:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f0<1C44?4n0063?4f=2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03d5280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3c5?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21l>0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f0=1C44?4n0063?4f02c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03e2280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3c:?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21m:0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2fi91C44?4n0063?4fj2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03e3280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3c`?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21m;0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f1o1C44?4n0063?4fm2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03e4280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3ce?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21m80:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f1l1C44?4n0063?4e92c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03e5280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3`1?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21m90:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f1m1C44?4n0063?4e<2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03e6280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3`6?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21lo0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f1j1C44?4n0063?4e?2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03e7280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3`;?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21ll0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f1k1C44?4n0063?4ei2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03da280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3`a?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21lm0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f1h1C44?4n0063?4el2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03db280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3`f?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21lj0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f111C44?4n0063?4d82c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03dc280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3a2?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21l?0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f0m1C44?4n0063?4d;2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a03d6280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:3a7?l2bj3:17d:>{e7::209'bc6=:188m=g52900e5o<:188m=g32900ch9k:188yg21l<0:684?:1y'545a20;27)664;ab5>"68m8188<>;%de4?2f0:1C44?4n0063?4d>2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a00da28086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;0`3>o>980;66g;3c83>>ie0k0;66sm44`f>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;i27d:jb;29?l24j3:17d??a983>>ie0k0;66sm44``>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;ii7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`a>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;io7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`b>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;im7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`:>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;n:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`;>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;n87d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`4>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;n>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`5>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;n<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`6>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;n27d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`7>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;ni7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`0>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;no7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`2>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;nm7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`3>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;o:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44ce>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;o87d:jb;29?l24j3:17d??a983>>ie0k0;66sm44cf>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;o>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44cg>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;o<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44c`>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;o27d:jb;29?l24j3:17d??a983>>ie0k0;66sm44ca>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;oi7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44cb>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;oo7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44c:>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;om7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44c;>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;l:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44a5>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;l87d:jb;29?l24j3:17d??a983>>ie0k0;66sm44a6>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;l>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44a7>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;l<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44a0>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;l27d:jb;29?l24j3:17d??a983>>ie0k0;66sm44a1>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;li7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44a2>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7:087>5<7s-;:?k46769'55b52=?9=6F7909m57302;lo7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44a3>4<4290;w)?>3g8266d<,8:o>7::209K<<7;75<7s-;:?k46769'55b52=?9=6F7909m57302;lm7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`g>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=?0:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:::7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44`1>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=?2:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302::87d:jb;29?l24j3:17d??a983>>ie0k0;66sm44c4>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=?4:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302::>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm47c5>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=?6:k:54<722c??o4?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39;;6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>66?3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39;56g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>66f3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39;n6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>66d3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39;h6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>66b3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39;j6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6773`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39:=6g61083>>o3;k0;66am8c83>>{e6<4;:183!76;o02;:5+11f1>13592B35<5a1374>6753`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;127>o?i80;66g7a383>>ib?m0;66sm47cb>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=>4:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:;>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm47c0>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?56>2c3m<4?::k;e7<722en;i4?::a03g>28086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;123>o>980;66g;3c83>>ie0k0;66sm47;0>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>?7;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a03g528086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39:56g7a083>>o?i;0;66aj7e83>>{e>l4$02g6?22:81C44?4n0063?56i2c2=<4?::k77g<722ei4o4?::a03?5280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:23a?l2bj3:17d:>{e7::209K<<7;7=>c:k;e4<722c3m?4?::mf3a<722wi8;o::080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39:h6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>67b3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;12b>o?i80;66g7a383>>ib?m0;66sm47:3>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7==0:k:54<722c??o4?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?399=6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6453`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?399?6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6433`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39996g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6413`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?399;6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>64?3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39956g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>64f3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?399n6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>64d3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?399h6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>64b3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;11b>o?i80;66g7a383>>ib?m0;66sm47:0>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=<0:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:9:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm475`>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?54:2c3m<4?::k;e7<722en;i4?::a03>528086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;107>o>980;66g;3c83>>ie0k0;66sm474`>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>=;;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a031e28086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39896g7a083>>o?i;0;66aj7e83>>{e>l4$02g6?22:81C44?4n0063?54>2c2=<4?::k77g<722ei4o4?::a030e280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:214?l2bj3:17d:>{e7::209K<<7;7=<8:k;e4<722c3m?4?::mf3a<722wi88;i:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39856g61083>>o3;k0;66am8c83>>{e<<>36<4<:183!76;o02=45+8869``?<,8:o>7::209K<<7;7=5<7s-;:?k4>22`8 46c:3>>><5G8838j442?398n6g61083>>o3;k0;66am8c83>>{e<<9:6<4;:183!76;o02;:5+11f1>13592B35<5a1374>65d3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;10`>o?i80;66g7a383>>ib?m0;66sm447g>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=5<7s-;:?k46769'55b52=?9=6F7909m57302:9m7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4465>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5382c3m<4?::k;e7<722en;i4?::a003e28086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;175>o>980;66g;3c83>>ie0k0;66sm440f>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>:=;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a002328086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39??6g7a083>>o?i;0;66aj7e83>>{e<>l4$02g6?22:81C44?4n0063?53<2c2=<4?::k77g<722ei4o4?::a004c280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:266?l2bj3:17d:>{e<<>86<4<:183!76;o02=45+8869``?<,8:o>7::209K<<7;7=;6:k;e4<722c3m?4?::mf3a<722wi88;6:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39?;6g61083>>o3;k0;66am8c83>>{e<<8h6<4;:183!76;o02;:5+11f1>13592B35<5a1374>62?3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;17=>o?i80;66g7a383>>ib?m0;66sm447;>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=;a:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:>i7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4462>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?53k2c3m<4?::k;e7<722en;i4?::a003028086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;17`>o>980;66g;3c83>>ie0k0;66sm440b>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>:j;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a002728086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39?j6g7a083>>o?i;0;66aj7e83>>{e<>l4$02g6?22:81C44?4n0063?5282c2=<4?::k77g<722ei4o4?::a004>280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:272?l2bj3:17d:>{e<<9m6<4<:183!76;o02=45+8869``?<,8:o>7::209K<<7;7=:2:k;e4<722c3m?4?::mf3a<722wi88;::080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39>?6g61083>>o3;k0;66am8c83>>{e<<836<4;:183!76;o02;:5+11f1>13592B35<5a1374>6333`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;161>o?i80;66g7a383>>ib?m0;66sm4477>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=:6:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:?<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm441g>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5202c3m<4?::k;e7<722en;i4?::a003428086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;16=>o>980;66g;3c83>>ie0k0;66sm4405>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>;n;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a005d28086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39>n6g7a083>>o?i;0;66aj7e83>>{e<>l4$02g6?22:81C44?4n0063?52k2c2=<4?::k77g<722ei4o4?::a0042280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:27g?l2bj3:17d:>{e<<9i6<4<:183!76;o02=45+8869``?<,8:o>7::209K<<7;7=:e:k;e4<722c3m?4?::mf3a<722wi8888:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39>j6g61083>>o3;k0;66am8c83>>{e<<926<4;:183!76;o02;:5+11f1>13592B35<5a1374>6073`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;155>o?i80;66g7a383>>ib?m0;66sm4445>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=92:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:<87d:jb;29?l24j3:17d??a983>>ie0k0;66sm446e>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?51<2c3m<4?::k;e7<722en;i4?::a000228086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;151>o>980;66g;3c83>>ie0k0;66sm4414>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>89;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a002b28086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39=;6g7a083>>o?i;0;66aj7e83>>{e<<>l4$02g6?22:81C44?4n0063?5102c2=<4?::k77g<722ei4o4?::a0051280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:24:?l2bj3:17d:>{e<<>o6<4<:183!76;o02=45+8869``?<,8:o>7::209K<<7;7=9a:k;e4<722c3m?4?::mf3a<722wi888<:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39=n6g61083>>o3;k0;66am8c83>>{e<<9>6<4;:183!76;o02;:5+11f1>13592B35<5a1374>60d3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;15`>o?i80;66g7a383>>ib?m0;66sm4441>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=9e:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:>ie0k0;66sm446a>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5082c3m<4?::k;e7<722en;i4?::a000628086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;145>o>980;66g;3c83>>ie0k0;66sm4410>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>9=;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a002f28086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39>o?i;0;66aj7e83>>{e<<<;6<4<:183!76;o0:>>l4$02g6?22:81C44?4n0063?50<2c2=<4?::k77g<722ei4o4?::a0055280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:256?l2bj3:17d:>{e<<>26<4<:183!76;o02=45+8869``?<,8:o>7::209K<<7;7=86:k;e4<722c3m?4?::mf3a<722wi88;l:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39<;6g61083>>o3;k0;66am8c83>>{e<<8m6<4;:183!76;o02;:5+11f1>13592B35<5a1374>61?3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;14=>o?i80;66g7a383>>ib?m0;66sm4472>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=8a:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:=i7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4742>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=8c:k:54<722c??o4?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39>o3;k0;66am8c83>>{e13592B35<5a1374>61b3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39>o3;k0;66am8c83>>{e13592B35<5a1374>6>73`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?393=6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6>53`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?393?6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6>33`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39396g61083>>o3;k0;66am8c83>>{e6<4;:183!76;o02;:5+11f1>13592B35<5a1374>6>13`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?393;6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6>?3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39356g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6>f3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?393n6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6>d3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?393h6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6>b3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?393j6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6?73`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?392=6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6?53`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?392?6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6?33`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39296g61083>>o3;k0;66am8c83>>{em6<4;:183!76;o02;:5+11f1>13592B35<5a1374>6?13`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?392;6g61083>>o3;k0;66am8c83>>{eo6<4;:183!76;o02;:5+11f1>13592B35<5a1374>6??3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39256g61083>>o3;k0;66am8c83>>{ei6<4;:183!76;o02;:5+11f1>13592B35<5a1374>6?f3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?392n6g61083>>o3;k0;66am8c83>>{e26<4;:183!76;o02;:5+11f1>13592B35<5a1374>6?d3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?392h6g61083>>o3;k0;66am8c83>>{e<6<4;:183!76;o02;:5+11f1>13592B35<5a1374>6?b3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?392j6g61083>>o3;k0;66am8c83>>{e>6<4;:183!76;o02;:5+11f1>13592B35<5a1374>6g73`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39j=6g61083>>o3;k0;66am8c83>>{e86<4;:183!76;o02;:5+11f1>13592B35<5a1374>6g53`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39j?6g61083>>o3;k0;66am8c83>>{e:6<4;:183!76;o02;:5+11f1>13592B35<5a1374>6g33`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39j96g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6g13`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39j;6g61083>>o3;k0;66am8c83>>{e13592B35<5a1374>6g?3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39j56g61083>>o3;k0;66am8c83>>{e<7::209K<<7;7=na:k;e4<722c3m?4?::mf3a<722wi8;?k:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39jn6g61083>>o3;k0;66am8c83>>{e<13592B35<5a1374>6gd3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;1b`>o?i80;66g7a383>>ib?m0;66sm473a>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=ne:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:km7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44da>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5e82c3m<4?::k;e7<722en;i4?::a037f28086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;1a5>o>980;66g;3c83>>ie0k0;66sm44fa>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>l=;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a00`f28086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39i?6g7a083>>o?i;0;66aj7e83>>{e>l4$02g6?22:81C44?4n0063?5e<2c2=<4?::k77g<722ei4o4?::a00bf280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:2`6?l2bj3:17d:>{e<7::209K<<7;7=m6:k;e4<722c3m?4?::mf3a<722wi8;?7:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39i;6g61083>>o3;k0;66am8c83>>{e<13592B35<5a1374>6d?3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;1a=>o?i80;66g7a383>>ib?m0;66sm4734>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=ma:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:hi7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44d4>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5ek2c3m<4?::k;e7<722en;i4?::a037128086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;1a`>o>980;66g;3c83>>ie0k0;66sm44f4>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>lj;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a00`128086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39ij6g7a083>>o?i;0;66aj7e83>>{e6<4<:183!76;o0:>>l4$02g6?22:81C44?4n0063?5d82c2=<4?::k77g<722ei4o4?::a00b1280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:2a2?l2bj3:17d:>{e<6<4<:183!76;o02=45+8869``?<,8:o>7::209K<<7;7=l2:k;e4<722c3m?4?::mf3a<722wi8;?;:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39h?6g61083>>o3;k0;66am8c83>>{e<6<4;:183!76;o02;:5+11f1>13592B35<5a1374>6e33`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;1`1>o?i80;66g7a383>>ib?m0;66sm4730>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=l6:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:i<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44d0>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5d02c3m<4?::k;e7<722en;i4?::a037528086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;1`=>o>980;66g;3c83>>ie0k0;66sm44f0>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>mn;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a00`528086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39hn6g7a083>>o?i;0;66aj7e83>>{e>l4$02g6?22:81C44?4n0063?5dk2c2=<4?::k77g<722ei4o4?::a00b6280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:2ag?l2bj3:17d:>{e<7::209K<<7;7=le:k;e4<722c3m?4?::mf3a<722wi8;>i:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39hj6g61083>>o3;k0;66am8c83>>{e<13592B35<5a1374>6b73`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;1g5>o?i80;66g7a383>>ib?m0;66sm472f>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=k2:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:n87d:jb;29?l24j3:17d??a983>>ie0k0;66sm44gf>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5c<2c3m<4?::k;e7<722en;i4?::a036c28086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;1g1>o>980;66g;3c83>>ie0k0;66sm44af>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>j9;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a00cc28086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39o;6g7a083>>o?i;0;66aj7e83>>{e>l4$02g6?22:81C44?4n0063?5c02c2=<4?::k77g<722ei4o4?::a00ec280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:2f:?l2bj3:17d:>{e<7::209K<<7;7=ka:k;e4<722c3m?4?::mf3a<722wi8;>m:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39on6g61083>>o3;k0;66am8c83>>{e<13592B35<5a1374>6bd3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;1g`>o?i80;66g7a383>>ib?m0;66sm472b>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=ke:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:nm7d:jb;29?l24j3:17d??a983>>ie0k0;66sm44gb>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5b82c3m<4?::k;e7<722en;i4?::a036>28086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;1f5>o>980;66g;3c83>>ie0k0;66sm44ab>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>k=;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a00c>28086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39n?6g7a083>>o?i;0;66aj7e83>>{e>l4$02g6?22:81C44?4n0063?5b<2c2=<4?::k77g<722ei4o4?::a00e>280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:2g6?l2bj3:17d:>{e<7::209K<<7;7=j6:k;e4<722c3m?4?::mf3a<722wi8;>8:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39n;6g61083>>o3;k0;66am8c83>>{e<13592B35<5a1374>6c?3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;1f=>o?i80;66g7a383>>ib?m0;66sm4706>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=ja:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302:oi7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4726>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5bk2c3m<4?::k;e7<722en;i4?::a034328086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;1f`>o>980;66g;3c83>>ie0k0;66sm44g7>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>kj;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a036328086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39nj6g7a083>>o?i;0;66aj7e83>>{e>l4$02g6?22:81C44?4n0063?5a82c2=<4?::k77g<722ei4o4?::a00c4280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:2d2?l2bj3:17d:>{e7::209K<<7;7=i2:k;e4<722c3m?4?::mf3a<722wi8;<=:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39m?6g61083>>o3;k0;66am8c83>>{e<13592B35<5a1374>6`33`>nn7>5;h60f?6=3`;;m54?::ma=:080>5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;1e1>o?i80;66g7a383>>ib?m0;66sm4702>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7=i6:k:54<722c??o4?::ma:087>5<7s-;:?k46769'55b52=?9=6F7909m57302:l<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm4722>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?5a02c3m<4?::k;e7<722en;i4?::a034728086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;1e=>o>980;66g;3c83>>ie0k0;66sm44g3>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6>hn;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a036728086=4?{%327c<>901/44:5dd;8 46c:3>>><5G8838j442?39mn6g7a083>>o?i;0;66aj7e83>>{e>l4$02g6?22:81C44?4n0063?5ak2c2=<4?::k77g<722ei4o4?::a00ba280?6=4?{%327c<>?>1/==j=:5715>N?181e=?;8:2dg?l2bj3:17d:>{e<7::209K<<7;7=ie:k;e4<722c3m?4?::mf3a<722wi8;?l:080>5<7s-;:?k4>22`8 46c:3>>><5G8838j442?39mj6g61083>>o3;k0;66am8c83>>{e<13592B35<5a1374>1673`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;6664=O00;0b<<:7;635>o?i80;66g7a383>>ib?m0;66sm4732>4<4290;w)?>3g8266d<,8:o>7::209K<<7;7:?2:k:54<722c??o4?::ma5<7s-;:?k46769'55b52=?9=6F7909m57302=:87d:jb;29?l24j3:17d??a983>>ie0k0;66sm44d2>4<4290;w)?>3g8:5<=#00>1hh74$02g6?22:81C44?4n0063?27<2c3m<4?::k;e7<722en;i4?::a036128086=4?{%327c<6::h0(<>k2;6664=O00;0b<<:7;631>o>980;66g;3c83>>ie0k0;66sm44a4>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<69>9;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a0a6e280=6=4?{%::0?1b?2.:8;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1d1;3;1:7>50z&;=1<0m91/==j=:5cgf>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<69>7;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1d1:3;1:7>50z&;=1<0m91/==j=:5cgf>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<69>6;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1d193;1:7>50z&;=1<0m91/==j=:5cgf>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<69>n;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1d183;1:7>50z&;=1<0m91/==j=:5cgf>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<69>m;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1b><3;1:7>50z&;=1<0m>1/==j=:5715>"69:l1==79;%33b1<68o90(5ln:59K<<7;7:?c:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4;0e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3jo<7)??d387ead<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89541g8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e><3=n;6*>0e090dbe3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:52e?l2>m3:17d:>o3;80;66a>06594?=zj=h>57?56;294~"?1=01gcj2.:=>h511;5?!77n=0:1=O00;0b<<:7;624>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<i954>:783>5}#00>1;h94$02g6?2flk1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568754=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24590445<8=7>5;n3332<722wi8iol:085>5<7s-22879j7:&24a4=<<8:7)?>3g824<0<,8:m87??f29'1743`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9jn0;392?6=8r.35948e69'55b52=?9=6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?26<2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:mab82>3<729q/44:57d58 46c:3>jho5+101e>46>>2.:ei3>0D57>;o3112<39<1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;b4395?0=83:p(57;:6g4?!77l;0?mil4$030b?771?1/==h;:02e7>"?jh0i56F7909m57302=;=7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5`64?7=>3:10?=:5f48g94?=n<:l1<75f49f94?=n995<5;|`7f1`=93<1<7>t$9;7>2c03-;;h?4;ae`8 474n3;;5;5+11d7>46a;2.3nl4m9:J;=4=i9;?<69?7;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1d3m3;1:7>50z&;=1<0m>1/==j=:5cgf>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:4;189j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3j=n1=7850;2x =?32>o<7)??d387ead<,8;8j7??979'55`328:m?6*7b`8a=>N?181e=?;8:53b?l2>m3:17d:>o3;80;66a>06594?=zj=h?o7?56;294~"?1=01gcj2.:=>h511;5?!77n=0:g?<@13:7c?=56875g=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd17d3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9ln8;392?6=8r.35948e69'55b52=kon6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?26l2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo;=0782>3<729q/44:57d58 46c:3>>><5+101e>46>>2.:ei3>0D57>;o3112<39l1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;f8:95?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<39o1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;f8595?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:91b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;f8495?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:81b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;f8795?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:;1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;f8695?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3::1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;f8195?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:=1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;c1a95?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:<1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;c1c95?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:?1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;c1:95?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;c1495?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:11b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;c1695?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:01b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;c1095?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<3:h1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;c2a95?0=83:p(57;:6g4?!77l;0?9??4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=<;h0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4bgb>4<1290;w)664;5f4>"68m8188<>;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<;i0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4bg;>4<1290;w)664;5f4>"68m8188<>;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<;n0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4bg5>4<1290;w)664;5f4>"68m8188<>;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<;o0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4bg7>4<1290;w)664;5f4>"68m8188<>;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<;l0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4bg1>4<1290;w)664;5f4>"68m8188<>;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<::0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c;1>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:;0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c;3>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:80e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c:f>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:90e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c:a>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c::>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:?0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c:4>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:<0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c:6>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c:0>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c:2>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:30e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c5e>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:k0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c5g>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:h0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c5a>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:i0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c5:>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:n0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4cc2>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:o0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c;e>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<:l0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c;g>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<=:0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c;a>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<=;0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c;:>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<=80e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c;4>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<=90e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c;6>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<=>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4c:g>4<1290;w)664;5f4>"68m818ljm;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<=?0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4b17>4<1290;w)664;5f3>"68m8188<>;%327c<680<0(<>i4;33b6=#0kk186F7909m57302=>=7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5a07?7=>3:1?;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6`77<62?0;6=u+88693`1<,8:o>7::209'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0?855f48g94?=n<:l1<75f49f94?=n995<5;|`7g66=93<1<7>t$9;7>2c03-;;h?4;5338 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=18974i5;f>5<5<5;h605?6=3f;;;:4?::a0f4a280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1e5m3;1:7>50z&;=1<0m>1/==j=:5715>"69:l1==79;%33b1<68o90(5ln:59K<<7;7:;b:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4;4b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3k;i1=7850;2x =?32>o<7)??d387177<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89545f8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e><3=n;6*>0e0900463-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:56f?l2>m3:17d:>o3;80;66a>06594?=zj=i9m7?56;294~"?1=013592.:=>h511;5?!77n=0:1=O00;0b<<:7;67b>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<h>44>:783>5}#00>1;h94$02g6?22:81/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568715=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24590075<8=7>5;n3332<722wi8n<8:085>5<7s-22879j7:&24a4=<<8:7)?>3g824<0<,8:m87??f29'1353`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9m12d955?13-;;j94>0g18 =df2=1C44?4n0063?22;2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:l3`82>3<729q/44:57d58 46c:3>>><5+101e>46>>2.:ei3>0D57>;o3112<3==1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;c2;95?0=83:p(57;:6g4?!77l;0?9??4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=<0;66sm4b1;>4<1290;w)664;5f3>"68m8188<>;%327c<680<0(<>i4;33b6=#0kk186F7909m57302=?=7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5a03?7=>3:1>;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6`73<62?0;6=u+88693`1<,8:o>7::209'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0?955f48g94?=n<:l1<75f49f94?=n995<5;|`7g63=93<1<7>t$9;7>2c03-;;h?4;5338 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=18874i5;f>5<5<5;h605?6=3f;;;:4?::a0f56280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1e5>3;1:7>50z&;=1<0m>1/==j=:5715>"69:l1==79;%33b1<68o90(5ln:59K<<7;7::b:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4;5b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=i1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;5e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=h1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;5d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=31=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;5g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=21=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;619j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m==1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;609j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=<1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;639j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=?1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;629j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=>1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;659j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=91=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;649j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=81=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;679j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=;1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;669j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=:1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;699j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:o1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;689j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:n1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;6`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:i1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;6c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:h1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;6b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:k1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;6e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:31=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;6d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:21=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;6g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:=1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;719j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:<1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;709j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:?1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;739j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m<91=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;729j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m<81=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;759j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m<;1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;749j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m<:1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;779j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=l1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;769j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=o1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;799j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m=k1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;789j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:l1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;7`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3m:>1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;7c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd288l1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;7b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd288n1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;7e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd288h1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;7d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28;l1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;7g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28;n1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;819j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28;h1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;809j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28;31=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;839j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28;=1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;829j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28;?1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;859j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28;91=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;849j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28;;1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4;879j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28<>1=7850;2x =?32>o<7)??d387177<,8;8j7??979'55`328:m?6*7b`8a=>N?181e=?;8:5:4?l2>m3:17d:>o3;80;66a>06594?=zj<:>?7?56;294~"?1=013592.:=>h511;5?!77n=0:g?<@13:7c?=5687<==n<0o1<75f42d94?=n<1n1<75f114f>5<<8<51;494?6|,13?6:k8;%33`7<3=;;0(i3:&;fd1>>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8>:c;392?6=8r.35948e69'55b52=?9=6*>12d955?13-;;j94>0g18 =df2k30D57>;o3112<30h1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl:04`95?0=83:p(57;:6g4?!77l;0?9??4$030b?771?1/==h;:02e7>"?jh0i56F7909m57302=2i7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb426e?7=>3:10?4n5f48g94?=n<:l1<75f49f94?=n995<5;|`640?=93<1<7>t$9;7>2c03-;;h?4;5338 474n3;;5;5+11d7>46a;2.3nl4m9:J;=4=i9;?<696k;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f06203;1:7>50z&;=1<0m>1/==j=:5715>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:4;8d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd28<=1=7850;2x =?32>o<7)??d387177<,8;8j7??979'55`328:m?6*7b`8a=>N?181e=?;8:5:e?l2>m3:17d:>o3;80;66a>06594?=zj<:>:7?56;294~"?1=013592.:=>h511;5?!77n=0:g?<@13:7c?=5687=5=n<0o1<75f42d94?=n<1n1<75f114f>5<<8;51;494?6|,13?6:k8;%33`7<3=;;0(i3:&;fd<33A22=6`>24590<75<8=7>5;n3332<722wi8i:n:085>5<7s-22879j7:&24a4=<<8:7)?>3g824<0<,8:m87??f29'1?53`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8>?4;392?6=8r.35948e69'55b52=?9=6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?2>;2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo;?6682>3<729q/44:57d58 46c:3>>><5+101e>46>>2.:ei3>0D57>;o3112<31=1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;d5;95?0=83:p(57;:6g4?!77l;0?9??4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=<0?0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4g:`>4<1290;w)664;5f4>"68m8188<>;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=<0<0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4cda>4<1290;w)664;5f3>"68m8188<>;%327c<680<0(<>i4;33b6=#0kk186F7909m57302=3<7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5`2e?7=>3:1246g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6a05<62?0;6=u+88693`1<,8:o>7:ndc9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0?545f48g94?=n<:l1<75f49f94?=n995<5;|`7f4?=93<1<7>t$9;7>2c03-;;h?4;ae`8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=184o4i5;f>5<5<5;h605?6=3f;;;:4?::a0gef280=6=4?{%::0?1b?2.:;7:6b:k7=`<722c??k4?::k7?0:6;4?:1y'<<2=?l:0(<>k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:6c:k7=`<722c??k4?::k7<0:6;4?:1y'<<2=?l:0(<>k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:6d:k7=`<722c??k4?::k7=0:6;4?:1y'<<2=?l:0(<>k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:6e:k7=`<722c??k4?::k7:0:6;4?:1y'<<2=?l:0(<>k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:6f:k7=`<722c??k4?::k7;0:6;4?:1y'<<2=?l:0(<>k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n0:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n1:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n2:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n3:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n4:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n5:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n6:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n7:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n8:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:n9:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:na:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:nb:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:nc:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:nd:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:ne:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:nf:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m0:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m1:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m2:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m3:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m4:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m5:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m6:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m7:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m8:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7:m9:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l=0(<>k2;6664=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4;b`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3k1?1=7850;2x =?32>o<7)??d387177<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8954c`8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e><3=n;6*>0e0900463-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:5``?l2>m3:17d:>o3;80;66a>06594?=zj=i13592.:=>h511;5?!77n=0:1=O00;0b<<:7;6a`>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<h;i4>:783>5}#00>1;h94$02g6?22:81/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5687f`=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24590g`5<8=7>5;n3332<722wi8n96:085>5<7s-22879j7:&24a4=<<8:7)?>3g824<0<,8:m87??f29'1e73`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9m87;392?6=8r.35948e69'55b52=?9=6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?2d92c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:l7482>3<729q/44:57d58 46c:3>>><5+101e>46>>2.:ei3>0D57>;o3112<3k;1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;c6195?0=83:p(57;:6g4?!77l;0?9??4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=0;66sm4b52>4<1290;w)664;5f3>"68m8188<>;%327c<680<0(<>i4;33b6=#0kk186F7909m57302=i?7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5a5b?7=>3:1h96g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6`2a<62?0;6=u+88693`1<,8:o>7::209'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0?o;5f48g94?=n<:l1<75f49f94?=n995<5;|`7g<0=93<1<7>t$9;7>2c03-;;h?4;5338 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=18n94i5;f>5<5<5;h605?6=3f;;;:4?::a0f?3280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1e>:3;1:7>50z&;=1<0m>1/==j=:5715>"69:l1==79;%33b1<68o90(5ln:59K<<7;7:l9:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4;c`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3k1o1=7850;2x =?32>o<7)??d387177<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8954b`8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e><3=n;6*>0e0900463-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:5a`?l2>m3:17d:>o3;80;66a>06594?=zj=i3m7?56;294~"?1=013592.:=>h511;5?!77n=0:1=O00;0b<<:7;6``>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<h4?4>:783>5}#00>1;h94$02g6?22:81/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5687g`=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24590f`5<8=7>5;n3332<722wi8n8n:085>5<7s-22879j7:&24a4=<<8:7)?>3g824<0<,8:m87??f29'1b73`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hl7;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1b63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hmf;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1b53`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hme;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1b43`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hmd;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1b33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hmb;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1b23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hma;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1b13`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm9;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1b03`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm8;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1b?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm7;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1b>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm6;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1bf3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm5;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1be3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm4;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1bd3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm3;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1bc3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm2;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1bb3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hl6;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1ba3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hl5;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c73`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hl4;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hl3;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c53`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hl2;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c43`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hl1;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hl0;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hmc;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c13`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm1;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c03`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hm0;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hk5;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1c>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hk4;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1cf3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hk3;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1ce3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hk2;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1cd3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hk1;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1cc3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hk0;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1cb3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9hlf;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'1ca3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9l12d955?13-;;j94<239'1`73`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9lm1;392?6=8r.35948e19'55b52=kon6*>12d955?13-;;j94<239'1`63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9mlc;392?6=8r.35948e69'55b52=?9=6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?2a:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:lc`82>3<729q/44:57d58 46c:3>>><5+101e>46>>2.:ei3>0D57>;o3112<3n:1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;cb:95?0=83:p(57;:6g4?!77l;0?9??4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4ba7>4<1290;w)664;5f3>"68m8188<>;%327c<680<0(<>i4;33b6=#0kk186F7909m57302=l>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5a`6?7=>3:1m:6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6`g5<62?0;6=u+88693`1<,8:o>7::209'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0?j:5f48g94?=n<:l1<75f49f94?=n995<5;|`7ggc=93<1<7>t$9;7>2c03-;;h?4;5338 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=18k64i5;f>5<5<5;h605?6=3f;;;:4?::a0fdd280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1eei3;1:7>50z&;=1<0m>1/==j=:5715>"69:l1==79;%33b1<68o90(5ln:59K<<7;7:ia:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4;fc9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3kk<1=7850;2x =?32>o<7)??d387177<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8954ga8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e><3=n;6*>0e0900463-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:5dg?l2>m3:17d:>o3;80;66a>06594?=zj=ii>7?56;294~"?1=013592.:=>h511;5?!77n=0:1=O00;0b<<:7;6ea>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<hho4>:783>5}#00>1;h94$02g6?22:81/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5687bc=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24591565<8=7>5;n3332<722wi8nj8:085>5<7s-22879j7:&24a4=<<8:7)?>3g824<0<,8:m87??f29'0663`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9mk5;392?6=8r.35948e69'55b52=?9=6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?37:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:ld282>3<729q/44:57d58 46c:3>>><5+101e>46>>2.:ei3>0D57>;o3112<28:1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;ce395?0=83:p(57;:6g4?!77l;0?9??4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601==9>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4bae>4<1290;w)664;5f3>"68m8188<>;%327c<680<0(<>i4;33b6=#0kk186F7909m57302<:>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5a`3?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6`f4<62?0;6=u+88693`1<,8:o>7::209'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0><:5f48g94?=n<:l1<75f49f94?=n995<5;|`7gd`=93<1<7>t$9;7>2c03-;;h?4;5338 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=19=64i5;f>5<5<5;h605?6=3f;;;:4?::a0`>c280=6=4?{%::0?1b82.:h6:<=19=74i5;f>5<5<5;h605?6=3f;;;:4?::a0`>d280=6=4?{%::0?1b82.:h6:<=19=o4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>f280=6=4?{%::0?1b82.:h6:<=19=l4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>>280=6=4?{%::0?1b82.:h6:<=19=m4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>?280=6=4?{%::0?1b82.:h6:<=19=j4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>0280=6=4?{%::0?1b82.:h6:<=19=k4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>1280=6=4?{%::0?1b82.:h6:<=19=h4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>2280=6=4?{%::0?1b82.:h6:<=19<>4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>3280=6=4?{%::0?1b82.:h6:<=195<5<5;h605?6=3f;;;:4?::a0`>4280=6=4?{%::0?1b82.:h6:<=19<<4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>5280=6=4?{%::0?1b82.:h6:<=19<=4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>6280=6=4?{%::0?1b82.:h6:<=19<:4i5;f>5<5<5;h605?6=3f;;;:4?::a0`1a280=6=4?{%::0?1b82.:h6:<=19<;4i5;f>5<5<5;h605?6=3f;;;:4?::a0`1b280=6=4?{%::0?1b82.:h6:<=19<84i5;f>5<5<5;h605?6=3f;;;:4?::a0`1c280=6=4?{%::0?1b82.:h6:<=19<94i5;f>5<5<5;h605?6=3f;;;:4?::a0`1d280=6=4?{%::0?1b82.:h6:<=19<64i5;f>5<5<5;h605?6=3f;;;:4?::a0`1e280=6=4?{%::0?1b82.:h6:<=19<74i5;f>5<5<5;h605?6=3f;;;:4?::a0`1f280=6=4?{%::0?1b82.:h6:<=195<5<5;h605?6=3f;;;:4?::a0`1>280=6=4?{%::0?1b82.:h6:<=195<5<5;h605?6=3f;;;:4?::a0`1?280=6=4?{%::0?1b82.:h6:<=195<5<5;h605?6=3f;;;:4?::a0`10280=6=4?{%::0?1b82.:h6:<=195<5<5;h605?6=3f;;;:4?::a0`11280=6=4?{%::0?1b82.:h6:<=195<5<5;h605?6=3f;;;:4?::a0`?4280=6=4?{%::0?1b82.:h6:<=195<5<5;h605?6=3f;;;:4?::a0`?5280=6=4?{%::0?1b82.:h6:<=19?>4i5;f>5<5<5;h605?6=3f;;;:4?::a0`?6280=6=4?{%::0?1b82.:h6:<=19??4i5;f>5<5<5;h605?6=3f;;;:4?::a0`?7280=6=4?{%::0?1b82.:h6:<=19?<4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>a280=6=4?{%::0?1b82.:h6:<=19?=4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>b280=6=4?{%::0?1b82.:h6:<=19?:4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>e280=6=4?{%::0?1b82.:h6:<=19?;4i5;f>5<5<5;h605?6=3f;;;:4?::a0`>7280=6=4?{%::0?1b82.:h6:<=19?84i5;f>5<5<5;h605?6=3f;;;:4?::a0`12280=6=4?{%::0?1b82.:h6:<=19?94i5;f>5<5<5;h605?6=3f;;;:4?::a0`13280=6=4?{%::0?1b82.:h6:<=19?64i5;f>5<5<5;h605?6=3f;;;:4?::a0a>c280=6=4?{%::0?1b82.:h6:<=19?74i5;f>5<5<5;h605?6=3f;;;:4?::a0a>d280=6=4?{%::0?1b82.:h6:<=19?o4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>f280=6=4?{%::0?1b82.:h6:<=19?l4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>>280=6=4?{%::0?1b82.:h6:<=19?m4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>?280=6=4?{%::0?1b82.:h6:<=19?j4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>0280=6=4?{%::0?1b82.:h6:<=19?k4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>1280=6=4?{%::0?1b82.:h6:<=19?h4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>2280=6=4?{%::0?1b82.:h6:<=19>>4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>3280=6=4?{%::0?1b82.:h6:<=19>?4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>4280=6=4?{%::0?1b82.:h6:<=19><4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>5280=6=4?{%::0?1b82.:h6:<=19>=4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>6280=6=4?{%::0?1b82.:h6:<=19>:4i5;f>5<5<5;h605?6=3f;;;:4?::a0a1a280=6=4?{%::0?1b82.:h6:<=19>;4i5;f>5<5<5;h605?6=3f;;;:4?::a0a1b280=6=4?{%::0?1b82.:h6:<=19>84i5;f>5<5<5;h605?6=3f;;;:4?::a0a1c280=6=4?{%::0?1b82.:h6:<=19>94i5;f>5<5<5;h605?6=3f;;;:4?::a0a1d280=6=4?{%::0?1b82.:h6:<=19>64i5;f>5<5<5;h605?6=3f;;;:4?::a0a1e280=6=4?{%::0?1b82.:h6:<=19>74i5;f>5<5<5;h605?6=3f;;;:4?::a0a1f280=6=4?{%::0?1b82.:h6:<=19>o4i5;f>5<5<5;h605?6=3f;;;:4?::a0a1>280=6=4?{%::0?1b82.:h6:<=19>l4i5;f>5<5<5;h605?6=3f;;;:4?::a0a1?280=6=4?{%::0?1b82.:h6:<=19>m4i5;f>5<5<5;h605?6=3f;;;:4?::a0a10280=6=4?{%::0?1b82.:h6:<=19>j4i5;f>5<5<5;h605?6=3f;;;:4?::a0a11280=6=4?{%::0?1b82.:h6:<=19>k4i5;f>5<5<5;h605?6=3f;;;:4?::a0a?4280=6=4?{%::0?1b82.:h6:<=19>h4i5;f>5<5<5;h605?6=3f;;;:4?::a0a?5280=6=4?{%::0?1b82.:h6:<=199>4i5;f>5<5<5;h605?6=3f;;;:4?::a0a?6280=6=4?{%::0?1b82.:h6:<=199?4i5;f>5<5<5;h605?6=3f;;;:4?::a0a?7280=6=4?{%::0?1b82.:h6:<=199<4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>a280=6=4?{%::0?1b82.:h6:<=199=4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>b280=6=4?{%::0?1b82.:h6:<=199:4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>e280=6=4?{%::0?1b82.:h6:<=199;4i5;f>5<5<5;h605?6=3f;;;:4?::a0a>7280=6=4?{%::0?1b82.:h6:<=19984i5;f>5<5<5;h605?6=3f;;;:4?::a0a12280=6=4?{%::0?1b82.:h6:<=19994i5;f>5<5<5;h605?6=3f;;;:4?::a0a13280=6=4?{%::0?1b82.:h6:<=19964i5;f>5<5<5;h605?6=3f;;;:4?::a0f36280=6=4?{%::0?1b82.:h6:<=19974i5;f>5<5<5;h605?6=3f;;;:4?::a0f2a280=6=4?{%::0?1b82.:h6:<=199o4i5;f>5<5<5;h605?6=3f;;;:4?::a0f2c280=6=4?{%::0?1b82.:h6:<=199l4i5;f>5<5<5;h605?6=3f;;;:4?::a0f2e280=6=4?{%::0?1b82.:h6:<=199m4i5;f>5<5<5;h605?6=3f;;;:4?::a0f2>280=6=4?{%::0?1b82.:h6:<=199j4i5;f>5<5<5;h605?6=3f;;;:4?::a0f20280=6=4?{%::0?1b82.:h6:<=199k4i5;f>5<5<5;h605?6=3f;;;:4?::a0f22280=6=4?{%::0?1b82.:h6:<=199h4i5;f>5<5<5;h605?6=3f;;;:4?::a0c40280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1`5i3;1:7>50z&;=1<0m>1/==j=:5gb0>"69:l1==79;%33b1<68o90(5ln:59K<<7;7;:1:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:2:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:3:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:4:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:5:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:6:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:7:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:8:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:9:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:a:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:b:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:c:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:d:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:e:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;:f:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;90:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;91:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;92:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;93:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;94:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;95:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;96:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;97:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;98:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;99:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;9a:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;9b:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;9c:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;9d:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;9e:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;9f:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;80:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;81:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;82:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;83:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;84:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;85:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;86:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;87:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;88:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;89:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;8a:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;8b:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;8c:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;8d:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;8e:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;8f:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;70:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;71:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;72:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;73:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;74:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;75:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;76:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;77:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;78:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;79:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;7a:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;7b:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;7c:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;7d:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;7e:k7=`<722c??k4?::k7k2;6fe1=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;7f:k7=`<722c??k4?::k706082d9>n4?;o002?76=5a33d94>h31<0;7c972;28j<14281ei:o50:l2426=92d?>94?;o61>92P8n84m{32b>c3=9>h1=:75f28e6?7003;l3:17d:<7;29?l771l0;66g;3883>>o3;=0;66g>0`294?=n993m6=44i51e>5<5;h608?7>5;h617?6=3`>8m7>5;h606?6=3`;;544?::k24d7=831b??k50;&eaf<4j50;&eaf<4o50;&eaf<4650;&eaf<4=50;&eaf<4oa;m0;6)hjc;d4a>hamk0:=65ff2`94?"amj0m;h5afd`957=5:9jb61=83.min4i7d9mb`d=9?10ek=9:18'b`e=n>o0bkkm:058?l`4=3:1(kkl:g5f?k`bj3;376gi3583>!`bk3l=nn:91<7*ieb8e3`=inlh1=l54ig11>5<#nli1j:k4ngga>4d<3`l8<7>5$gg`>c1b3glnn7?l;:ke6c<72-lno7h8e:leag<6l21bj?k50;&eafoa:k0;6)hjc;d4a>hamk09=65ff3c94?"amj0m;h5afd`967=:4?:%dfg?`0m2dmio4=5:9jb02=83.min4i7d9mb`d=:?10ek;<:18'b`e=n>o0bkkm:358?l`2:3:1(kkl:g5f?k`bj38376gi5083>!`bk3l=nn<:1<7*ieb8e3`=inlh1>l54ig64>5<#nli1j:k4ngga>7d<3`l8o7>5$gg`>c1b3glnn73:1(kkl:53:?k`bj3l07d=k5;29 ccd2=;27chjb;33?>o4l=0;6)hjc;62=>hamk0:=65f3e094?"amj0?=45afd`957=5:9j7fc=83.min4;189mb`d=9?10e>mk:18'b`e=<830bkkm:058?l5dk3:1(kkl:53:?k`bj3;376g!`bk3>:56`iec82=>=n;jk1<7*ieb875<=inlh1=l54i2a:>5<#nli18<74ngga>4d<3`9h;7>5$gg`>17>3glnn7?l;:k0g3<72-lno7:>9:leag<6l21b?n;50;&eaf<3901ejhl51d98m6e3290/jhm540;8jcce28l07d=l3;29 ccd2=;27chjb;03?>o4k;0;6)hjc;62=>hamk09=65f3b394?"amj0?=45afd`967=kk:18'b`e=<830bkkm:358?l5bk3:1(kkl:53:?k`bj38376g!`bk3>:56`iec81=>=n;l21<7*ieb875<=inlh1>l54i2ff>5<#nli18<74ngga>7d<3`9o?7>5$gg`>17>3glnn79:leag<5l21b?oj50;&eaf<3901ejhl52d98m6d?290/jhm540;8jcce2;l07dk80;29 ccd2l=37chjb;28?lc1n3:1(kkl:d5;?k`bj3;07dk9e;29 ccd2l=37chjb;08?lc1l3:1(kkl:d5;?k`bj3907dk9c;29 ccd2l=37chjb;68?lc1j3:1(kkl:d5;?k`bj3?07dk9a;29 ccd2l=37chjb;48?lc113:1(kkl:d5;?k`bj3=07d:n8;29 ccd2=i87chjb;28?l2f?3:1(kkl:5a0?k`bj3;07d:n6;29 ccd2=i87chjb;08?l2f=3:1(kkl:5a0?k`bj3907d:n4;29 ccd2=i87chjb;68?l2f;3:1(kkl:5a0?k`bj3?07d:n2;29 ccd2=i87chjb;48?l2f93:1(kkl:5a0?k`bj3=07d:ma;29 ccd2=i87chjb;:8?l2e03:1(kkl:5a0?k`bj3307d:m5;29 ccd2=i87chjb;c8?l2e<3:1(kkl:5a0?k`bj3h07d:m0;29 ccd2=i87chjb;a8?l2fk3:1(kkl:5a0?k`bj3n07d:nb;29 ccd2=i87chjb;g8?l2fi3:1(kkl:5a0?k`bj3l07d:n0;29 ccd2=i87chjb;33?>o31o0;6)hjc;6`7>hamk0:=65f22794?"amj099h5afd`94>=n::>1<7*ieb811`=inlh1=65f22194?"amj099h5afd`96>=n::81<7*ieb811`=inlh1?65f22394?"amj099h5afd`90>=n:::1<7*ieb811`=inlh1965f23d94?"amj099h5afd`92>=n:;o1<7*ieb811`=inlh1;65f24694?"amj099h5afd`9<>=n:==1<7*ieb811`=inlh1565f25494?"amj099h5afd`9e>=n:=>1<7*ieb811`=inlh1n65f25194?"amj099h5afd`9g>=n:=81<7*ieb811`=inlh1h65f25394?"amj099h5afd`9a>=n::=1<7*ieb811`=inlh1j65f23f94?"amj099h5afd`955=5;h15=?6=3`9=47>5;h153?6=3`9=:7>5;h151?6=3`9=87>5;h147?6=3`9<>7>5;h145?6=3`9<<7>5;h15b?6=3`9=i7>5;h15`?6=3`9=o7>5;h157?6=3`9=>7>5;n613?6=3f>9:7>5;n1:5?6=,ooh6>o=;odff?6<3f92<7>5$gg`>6g53glnn7?4;n1;b?6=,ooh6>o=;odff?4<3f93i7>5$gg`>6g53glnn7=4;n1;`?6=,ooh6>o=;odff?2<3f93o7>5$gg`>6g53glnn7;4;n1;f?6=,ooh6>o=;odff?0<3f93m7>5$gg`>6g53glnn794;n1:=?6=,ooh6>o=;odff?><3f9247>5$gg`>6g53glnn774;n1:3?6=,ooh6>o=;odff?g<3f92:7>5$gg`>6g53glnn7l4;n1:1?6=,ooh6>o=;odff?e<3f9287>5$gg`>6g53glnn7j4;n1:7?6=,ooh6>o=;odff?c<3f92>7>5$gg`>6g53glnn7h4;n1;=?6=,ooh6>o=;odff?7732e8454?:%dfg?5f:2dmio4>1:9laf1=83.min4i1`9mb`d=821din850;&eaf21din>50;&eaf=83.min4i1`9mb`d=m21dio950;&eaf!`bk3l:m6`iec827>=hmk;1<7*ieb8e5d=inlh1=954od`3>5<#nli1j43<3fojj7>5$gg`>c7f3glnn7?9;:mfe`<72-lno7h>a:leag<6?21dilj50;&eafibih0;6)hjc;d2e>hamk0:n65`e`:94?"amj0m=l5afd`95f=f:9lad2=83.min4i1`9mb`d=:910ch7j:18'b`e=n8k0bkkm:338?jc>>3:1(kkl:g3b?k`bj38976aj8g83>!`bk3l:m6`iec817>=hm1=1<7*ieb8e5d=inlh1>954od:0>5<#nli1j73<3fon57>5$gg`>c7f3glnn7<9;:mfa5<72-lno7h>a:leag<5?21diio50;&eafibjo0;6)hjc;d2e>hamk09n65`ec694?"amj0m=l5afd`96f=50;9lbd`=831djlk50;9lbdb=831djlm50;9lbdd=831djlo50;9lbd>=831djl950;9lbd0=831djl;50;9lbd2=831djl=50;9lbd4=831djl?50;9lbd6=831dj4h50;9lb=831dj5950;9l=6`=831d5>k50;9l=6b=831d5>m50;9l=6d=831d5>o50;9l=6>=831d5>950;9l=60=831d5>;50;9l=62=831d5>=50;9l=64=831d5>?50;9l=66=831d5?h50;9l=7b=831d5?m50;9l=7d=831d5?o50;9l=7?=831d5?650;9l=71=831d5?850;9l=73=831d5?:50;9l=13=831d59:50;9l=15=831d59<50;9l=17=831d59>50;9l=6?=831d5?k50;9l=75=831d5?<50;9~f06093;1=8o50;2x 474n3=386*>0e0915173g89o7>4n315>4=i;;?1<6`<2g83?k2>=3:0b:6=:19m=25=92dn;l4?;o3335<73g>987>4n50;>5=#;k<19k;4$506>`0b3-;;m?4>0g18L=?63S9i97lt21c9b0<6?k0:;44i3;d1>41?28=j6k:5f08225;7;61:k770<722c:<4m50;9j55?c2900e9=8:188m46>m3:17d:<9;29?l24<3:17d??a183>>o680l1<75f42d94?=n993j6=44i51;>5<5<5<5;h33e4<722c8>h4?:%dfg?53>2dmio4?;:k06a<72-lno7=;6:leag<632c8>n4?:%dfg?53>2dmio4=;:k06g<72-lno7=;6:leag<432c8>l4?:%dfg?53>2dmio4;;:k06<<72-lno7=;6:leag<232c8>54?:%dfg?53>2dmio49;:k062<72-lno7=;6:leag<032c8?i4?:%dfg?53>2dmio47;:k07g<72-lno7=;6:leag<>32c8?l4?:%dfg?53>2dmio4n;:k07<<72-lno7=;6:leag2dmio4l;:k072<72-lno7=;6:leag4?:%dfg?53>2dmio4j;:k075<72-lno7=;6:leag;4?:%dfg?53>2dmio4>0:9j772=83.min4<479mb`d=9810ek:i:188mc2b2900ek:k:188mc2d2900ek:m:188mc2f2900ek:6:188mc2?2900ek:9:188mc222900ek:;:188mc242900ek:=:188mc262900ek:?:188mc5a2900ek=j:188mc5c2900ek=m:188mc5f2900ek=6:188mc5?2900ek=8:188mc512900ek=::188mc532900ek=<:188mc552900ek=?:188mc4a2900ek2900ek<7:188mc402900ek;;:188mc342900ek;=:188mc362900ek;?:188mc202900ek=l:188mc562900ek<9:188mc422900e>k9:18'b`e=<830bkkm:198m6c2290/jhm540;8jcce2810e>k;:18'b`e=<830bkkm:398m6c4290/jhm540;8jcce2:10e>k=:18'b`e=<830bkkm:598m6c6290/jhm540;8jcce2<10e>k?:18'b`e=<830bkkm:798m6ba290/jhm540;8jcce2>10e>jk:18'b`e=<830bkkm:998m6bd290/jhm540;8jcce2010e>jm:18'b`e=<830bkkm:`98m6bf290/jhm540;8jcce2k10e>j6:18'b`e=<830bkkm:b98m6b?290/jhm540;8jcce2m10e>j8:18'b`e=<830bkkm:d98m6b1290/jhm540;8jcce2o10e>j::18'b`e=<830bkkm:028?l5c<3:1(kkl:53:?k`bj3;:76g!`bk3>:56`iec826>=n;m;1<7*ieb875<=inlh1=>54i2f3>5<#nli18<74ngga>42<3`9hj7>5$gg`>17>3glnn7?:;:k0g`<72-lno7:>9:leag<6>21b?nj50;&eaf<3901ejhl51698m6ed290/jhm540;8jcce28207d=lb;29 ccd2=;27chjb;3:?>o4kh0;6)hjc;62=>hamk0:m65f3b;94?"amj0?=45afd`95g=e:9j7f2=83.min4;189mb`d=9o10e>m<:18'b`e=<830bkkm:328?l5d:3:1(kkl:53:?k`bj38:76g!`bk3>:56`iec816>=n;j:1<7*ieb875<=inlh1>>54i2`e>5<#nli18<74ngga>72<3`9ii7>5$gg`>17>3glnn7<:;:k0a`<72-lno7:>9:leag<5>21b?hj50;&eaf<3901ejhl52698m6cd290/jhm540;8jcce2;207d=ja;29 ccd2=;27chjb;0:?>o4m10;6)hjc;62=>hamk09m65f3eg94?"amj0?=45afd`96g==83.min4;189mb`d=:o10eh9?:18'b`e=m>20bkkm:198m`0a290/jhm5e6:8jcce2810eh8j:18'b`e=m>20bkkm:398m`0c290/jhm5e6:8jcce2:10eh8l:18'b`e=m>20bkkm:598m`0e290/jhm5e6:8jcce2<10eh8n:18'b`e=m>20bkkm:798m`0>290/jhm5e6:8jcce2>10e9o7:18'b`e=10e9ln:18'b`e=n3:1(kkl:5a0?k`bj3;:76g=3483>!`bk38>i6`iec83?>o5;=0;6)hjc;06a>hamk0:76g=3283>!`bk38>i6`iec81?>o5;;0;6)hjc;06a>hamk0876g=3083>!`bk38>i6`iec87?>o5;90;6)hjc;06a>hamk0>76g=2g83>!`bk38>i6`iec85?>o5:l0;6)hjc;06a>hamk0<76g=5583>!`bk38>i6`iec8;?>o5<>0;6)hjc;06a>hamk0276g=4783>!`bk38>i6`iec8b?>o5<=0;6)hjc;06a>hamk0i76g=4283>!`bk38>i6`iec8`?>o5<;0;6)hjc;06a>hamk0o76g=4083>!`bk38>i6`iec8f?>o5;>0;6)hjc;06a>hamk0m76g=2e83>!`bk38>i6`iec824>=n:;31<7*ieb811`=inlh1=<54i24a>5<5<5<5<5<5<5<5<5<5<5<#nli1?l<4ngga>5=5<#nli1?l<4ngga>7=54o2:g>5<#nli1?l<4ngga>1=5<#nli1?l<4ngga>3=5<#nli1?l<4ngga>==5<#nli1?l<4ngga>d=5<#nli1?l<4ngga>f=5<#nli1?l<4ngga>`=5<#nli1?l<4ngga>46<3f9347>5$gg`>6g53glnn7?>;:mfg2<72-lno7h>a:leag<732eno;4?:%dfg?`6i2dmio4>;:mfg0<72-lno7h>a:leag<532eno94?:%dfg?`6i2dmio4<;:mfg6<72-lno7h>a:leag<332eno?4?:%dfg?`6i2dmio4:;:mfg4<72-lno7h>a:leag<132eno=4?:%dfg?`6i2dmio48;:mff`<72-lno7h>a:leaga:leaga:leaga:leaga:leag<6821dio;50;&eafibj80;6)hjc;d2e>hamk0:865`ec294?"amj0m=l5afd`950=8:9lade=83.min4i1`9mb`d=9010chom:18'b`e=n8k0bkkm:0c8?jcfi3:1(kkl:g3b?k`bj3;i76aja983>!`bk3l:m6`iec82g>=hmh=1<7*ieb8e5d=inlh1=i54odc5>5<#nli1j4c<3foj97>5$gg`>c7f3glnn7?i;:mfe1<72-lno7h>a:leag<5821di4k50;&eafib0>0;6)hjc;d2e>hamk09865`e9194?"amj0m=l5afd`960=!`bk3l:m6`iec81g>=hmh31<7*ieb8e5d=inlh1>i54od:1>5<#nli1j7c<3fo3=7>5$gg`>c7f3glnn74?::mee7<722emm<4?::mee5<722em5k4?::me=a<722em5n4?::me=g<722em5l4?::me=<<722em554?::me=2<722em5;4?::me=0<722em594?::me=7<722em5<4?::me=5<722em4k4?::me<`<722em4i4?::me4?::mef7<722emm44?::me=`<722em5>4?::me<=<722em4:4?::m:7c<722e2?h4?::m:7a<722e2?n4?::m:7g<722e2?l4?::m:7=<722e2?:4?::m:73<722e2?84?::m:71<722e2?>4?::m:77<722e2?<4?::m:75<722e2>k4?::m:6a<722e2>n4?::m:6g<722e2>l4?::m:6<<722e2>54?::m:62<722e2>;4?::m:60<722e2>94?::m:00<722e2894?::m:06<722e28?4?::m:04<722e28=4?::m:7<<722e2>h4?::m:66<722e2>?4?::a14e7280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f07d93;1:7>50z&;=1<0m>1/==j=:4244>"69:l1==79;%33b1<68o90(5ln:59K<<7;7;63:k7=`<722c??k4?::k7k2;7335=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4:959j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5:h:1=7850;2x =?32>o;7)??d3816=7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4:949j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5:0l1=7850;2x =?32>o;7)??d3816=7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4:979j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5:0=1=7850;2x =?32>o<7)??d3816=7<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8955858m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:;3n6<49:183!>><3=n;6*>0e0967>63-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;7:<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?45081/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5686=<=n<0o1<75f42d94?=n<1n1<75f114f>5<5h51;494?6|,13?6:k?;%33`7<5:1;0(<=;%:ae?2<@13:7c?=5686=d=n<0o1<75f42d94?=n<1n1<75f114f>5<4851;494?6|,13?6:k?;%33`7<5:1;0(<=;%:ae?2<@13:7c?=5686=g=n<0o1<75f42d94?=n<1n1<75f114f>5<4;51;494?6|,13?6:k?;%33`7<5:1;0(<=;%:ae?2<@13:7c?=5686=f=n<0o1<75f42d94?=n<1n1<75f114f>5<4:51;494?6|,13?6:k?;%33`7<5:1;0(<=;%:ae?2<@13:7c?=5686=a=n<0o1<75f42d94?=n<1n1<75f114f>5<4751;494?6|,13?6:k?;%33`7<5:1;0(<=;%:ae?2<@13:7c?=5686=`=n<0o1<75f42d94?=n<1n1<75f114f>5<4j51;494?6|,13?6:k?;%33`7<5:1;0(<=;%:ae?2<@13:7c?=5686=c=n<0o1<75f42d94?=n<1n1<75f114f>5<4m51;494?6|,13?6:k?;%33`7<5:1;0(<=;%:ae?2<@13:7c?=5686e5=n<0o1<75f42d94?=n<1n1<75f114f>5<?51;494?6|,13?6:k?;%33`7<598;0(<=;%:ae?2<@13:7c?=5686e4=n<0o1<75f42d94?=n<1n1<75f114f>5<>51;494?6|,13?6:k?;%33`7<598;0(<=;%:ae?2<@13:7c?=5686e7=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24591d55<8=7>5;n3332<722wi><5<7s-22879j7:&24a4=:8;:7)?>3g824<0<,8:m87??f29'?50;9l55102900qo<>2882>3<729q/44:57d58 46c:38:=<5+101e>46>>2.:ei3>0D57>;o3112<2i<1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=13295?0=83:p(57;:6g3?!77l;09=ei3>0D57>;o3112<2i?1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=13595?0=83:p(57;:6g3?!77l;09=ei3>0D57>;o3112<2i>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=13495?0=83:p(57;:6g3?!77l;09=ei3>0D57>;o3112<2i11b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=13795?0=83:p(57;:6g3?!77l;09=ei3>0D57>;o3112<2i01b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=13c95?0=83:p(57;:6g3?!77l;09=ei3>0D57>;o3112<2ih1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=13g95?0=83:p(57;:6g3?!77l;09=ei3>0D57>;o3112<2ik1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=13f95?0=83:p(57;:6g3?!77l;09=ei3>0D57>;o3112<2ij1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=14595?0=83:p(57;:6g4?!77l;09=><4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601==hn0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2075>4<1290;w)664;5f3>"68m81><==;%327c<680<0(<>i4;33b6=#0kk186F7909m57302l0;66g;3083>>i68>=1<75rb3361?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0211<62?0;6=u+88693`1<,8:o>7<>339'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0>n=5f48g94?=n<:l1<75f49f94?=n995<5;|`1505=93<1<7>t$9;7>2c03-;;h?4=1208 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=19o?4i5;f>5<5<5;h605?6=3f;;;:4?::a6435280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f772j3;1:7>50z&;=1<0m91/==j=:3306>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<68l<;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f772i3;1:7>50z&;=1<0m>1/==j=:3306>"69:l1==79;%33b1<68o90(5ln:59K<<7;7;m4:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;m5:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;m6:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;m7:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;m8:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;m9:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;ma:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;mb:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;mc:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;md:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;me:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;mf:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l0:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l1:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l2:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l3:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l4:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l5:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l6:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l7:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l8:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;l9:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;la:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;lb:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;lc:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;ld:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;le:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;lf:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k0:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k1:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k2:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k3:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k4:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k5:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k6:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k7:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k8:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;k9:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;ka:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;kb:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;kc:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;kd:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;ke:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;kf:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j0:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j1:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j2:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j3:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j4:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j5:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j6:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j7:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j8:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;j9:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;ja:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;jb:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;jc:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;jd:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;je:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;jf:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;i0:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;i1:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;i2:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;i3:k7=`<722c??k4?::k7k2;0277=#989m6<>66:&24c2=;;80(5ln:59K<<7;7;i4:k7=`<722c??k4?::k7k2;01e4=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4:f49j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5:j?1=7850;2x =?32>o<7)??d3816d7<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8955g48m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:;i?6<49:183!>><3=n;6*>0e0967g63-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:4d4?l2>m3:17d:>o3;80;66a>06594?=zj;8h?7?56;294~"?1=074f92.:=>h511;5?!77n=0:1=O00;0b<<:7;7e<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?45i81/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5686b<=n<0o1<75f42d94?=n<1n1<75f114f>5<n?51;494?6|,13?6:k8;%33`7<5:h;0(i3:&;fd<33A22=6`>24591cg5<8=7>5;n3332<722wi>?mn:085>5<7s-22879j0:&24a4=:;k:7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24591cd5<8=7>5;n3332<722wi>?m6:085>5<7s-22879j7:&24a4=:;k:7)?>3g824<0<,8:m87??f29'0`d3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=77;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'0`c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=76;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'0`b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=74;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'0`a3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=73;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3673`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=72;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3663`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=71;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3653`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=70;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3643`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=8f;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3633`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=8e;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3623`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=8d;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3613`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=8c;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3603`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=8b;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'36?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=89;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'36>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=88;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'36f3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=87;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'36e3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=86;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'36d3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=85;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'36c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=84;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'36b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=83;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'36a3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=82;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3773`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=81;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3763`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=80;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3753`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=7d;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3743`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=7c;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3733`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=7b;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3723`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=7a;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3713`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=79;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3703`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=78;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'37?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=75;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'37>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=8a;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'37f3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=9f;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'37e3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=9e;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'37d3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==4;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'37c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==3;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'37b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==1;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'37a3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==0;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3473`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>f;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3463`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>e;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3453`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>d;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3443`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>c;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3433`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>b;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3423`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>a;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3413`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>9;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3403`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>8;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'34?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>6;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'34>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>5;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'34f3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>4;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'34e3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>3;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'34d3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>2;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'34c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>1;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'34b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>0;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'34a3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=?f;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3573`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=?e;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3563`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=?d;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3553`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==a;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3543`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==9;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3533`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==8;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3523`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==7;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3513`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==6;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'3503`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==5;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'35?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?==2;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'35>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=>7;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'35f3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=?c;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'35e3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=?b;392?6=8r.35948e19'55b52;8j=6*>12d955?13-;;j94<239'35d3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?<8e;392?6=8r.35948e19'55b52;8:j6*>12d955?13-;;j94<239'35c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?<=d;392?6=8r.35948e69'55b52;8:j6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?04m2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo<=2d82>3<729q/44:57d58 46c:389=k5+101e>46>>2.:ei3>0D57>;o3112<1;o1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=23a95?0=83:p(57;:6g4?!77l;09>"?jh0?7E661:l2601=>=:0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm235b>4<1290;w)664;5f3>"68m81>??i;%327c<680<0(<>i4;33b6=#0kk186F7909m57302?>:7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb304f?7=>3:1f:&256`=993=7)??f5824c5<,1hj695G8838j442?36g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c013<<62?0;6=u+88693`1<,8:o>7<=1g9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0=8>5f48g94?=n<:l1<75f49f94?=n995<5;|`162>=93<1<7>t$9;7>2c03-;;h?4=20d8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1:9:4i5;f>5<5<5;h605?6=3f;;;:4?::a6710280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f75bl3;1:7>50z&;=1<0m91/==j=:31;a>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6;:9;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f75>k3;1:7>50z&;=1<0m>1/==j=:31;a>"69:l1==79;%33b1<68o90(5ln:59K<<7;78;7:k7=`<722c??k4?::k7k2;00<`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:49499j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5;0h1=7850;2x =?32>o<7)??d3817=c<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89565;8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e::o26<49:183!>><3=n;6*>0e0966>b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:76b?l2>m3:17d:>o3;80;66a>06594?=zj;9nm7?56;294~"?1=075?m2.:=>h511;5?!77n=0:1=O00;0b<<:7;47f>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?440l1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56850f=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245921b5<8=7>5;n3332<722wi>>k9:085>5<7s-22879j7:&24a4=::2n7)?>3g824<0<,8:m87??f29'32b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=mb;397?6=8r.:=>h5131a?!77l;09?4k4H9;2?k75=>0=8k5f90394?=n<:h1<75`b9`94?=zj;9im7?53;294~"69:l1=?=m;%33`7<5;0o0D57>;o3112<1=91b550z&256`=1>=0(<>k2;00=`=O00;0b<<:7;465>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;9i57?53;294~"69:l1=?=m;%33`7<5;0o0D57>;o3112<1=;1b550z&256`=1>=0(<>k2;00=`=O00;0b<<:7;467>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;9i47?53;294~"69:l1=?=m;%33`7<5;0o0D57>;o3112<1==1b550z&256`=1>=0(<>k2;00=`=O00;0b<<:7;461>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;9i;7?53;294~"69:l1=?=m;%33`7<5;0o0D57>;o3112<1=?1b550z&256`=1>=0(<>k2;00=`=O00;0b<<:7;463>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;9i:7?53;294~"69:l1=?=m;%33`7<5;0o0D57>;o3112<1=11b53;187>50z&256`=1>=0(<>k2;00=`=O00;0b<<:7;46=>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;9i97?53;294~"69:l1=?=m;%33`7<5;0o0D57>;o3112<1=h1b550z&256`=1>=0(<>k2;00=`=O00;0b<<:7;46f>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;9i87?53;294~"69:l1=?=m;%33`7<5;0o0D57>;o3112<1=j1b550z&256`=1>=0(<>k2;00=`=O00;0b<<:7;46`>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;9i?7?53;294~"69:l1=?=m;%33`7<5;0o0D57>;o3112<1=l1b550z&256`=1>=0(<>k2;00=`=O00;0b<<:7;46b>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;9i>7?53;294~"69:l1=?=m;%33`7<5;0o0D57>;o3112<1>91b5n3;187>50z&256`=1>=0(<>k2;00=`=O00;0b<<:7;455>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;9n=7?56;294~"?1=075>m2.:=>h511;5?!77n=0:1=O00;0b<<:7;456>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?441l1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568526=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24592325<8=7>5;n3332<722wi>>jj:085>5<7s-22879j7:&24a4=::3n7)?>3g824<0<,8:m87??f29'3023`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?=kd;392?6=8r.35948e69'55b52;92i6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?01>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo<3<729q/44:57d58 46c:3885h5+101e>46>>2.:ei3>0D57>;o3112<1>>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=3e`95?0=83:p(57;:6g4?!77l;09?4k4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=>?20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm22f3>4<1290;w)664;5f3>"68m81>>7j;%327c<680<0(<>i4;33b6=#0kk186F7909m57302?<27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb31``?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c00gc<62?0;6=u+88693`1<,8:o>7<<9d9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0=:o5f48g94?=n<:l1<75f49f94?=n995<5;|`17a4=93<1<7>t$9;7>2c03-;;h?4=38g8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1:;m4i5;f>5<5<5;h605?6=3f;;;:4?::a66b4280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f75c93;1:7>50z&;=1<0m>1/==j=:31:a>"69:l1==79;%33b1<68o90(5ln:59K<<7;789e:k7=`<722c??k4?::k7k2;00=`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:496g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5:=i1=7=50;2x 474n3;9?o5+11f1>745n2B35<5a1374>3173`3:=7>5;h60f?6=3fh3n7>5;|`161d=9391<7>t$030b?75;k1/==j=:301b>N?181e=?;8:752?l?693:17d:"68m81>?h6:<=1::<4i5ga>5<5;|`161g=9391<7>t$030b?75;k1/==j=:301b>N?181e=?;8:750?l?693:17d:"68m81>?h6:<=1:::4i5ga>5<5;|`161?=9391<7>t$030b?75;k1/==j=:301b>N?181e=?;8:756?l?693:17d:"68m81>?h6:<=1::84i5ga>5<5;|`161>=9391<7>t$030b?75;k1/==j=:301b>N?181e=?;8:754?l?693:17d:"68m81>?h6:<=1::64i5ga>5<5;|`1611=9391<7>t$030b?75;k1/==j=:301b>N?181e=?;8:75:?l?693:17d:"68m81>?h6:<=1::o4i5ga>5<5;|`1610=9391<7>t$030b?75;k1/==j=:301b>N?181e=?;8:75a?l?693:17d:"68m81>?h6:<=1::m4i5ga>5<5;|`1613=9391<7>t$030b?75;k1/==j=:301b>N?181e=?;8:75g?l?693:17d:"68m81>?h6:<=1::k4i5ga>5<5;|`1612=9391<7>t$030b?75;k1/==j=:301b>N?181e=?;8:75e?l?693:17d:"68m81>?h6:<=1:5>4i5ga>5<5;|`1615=9391<7>t$030b?75;k1/==j=:301b>N?181e=?;8:7:2?l?693:17d:"68m81>?h6:<=1:5<4i5ga>5<5;|`1624=93<1<7>t$9;7>2c03-;;h?4=23d8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1:5=4i5;f>5<5<5;h605?6=3f;;;:4?::a6716280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f74083;1:7>50z&;=1<0m>1/==j=:301b>"69:l1==79;%33b1<68o90(5ln:59K<<7;7875:k7=`<722c??k4?::k7o0:6;4?:1y'<<2=?l=0(<>k2;016c=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:49879j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5:?o1=7850;2x =?32>o<7)??d38167`<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8956958m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:;><3=n;6*>0e09674a3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:7:;?l2>m3:17d:>o3;80;66a>06594?=zj;8=o7?56;294~"?1=0745n2.:=>h511;5?!77n=0:1=O00;0b<<:7;4;=>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?45:o1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56855<8k51;494?6|,13?6:k8;%33`7<5:;l0(i3:&;fd<33A22=6`>24592=d5<8=7>5;n3332<722wi>?8?:085>5<7s-22879j7:&24a4=:;8m7)?>3g824<0<,8:m87??f29'3>d3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?<93;392?6=8r.35948e69'55b52;89j6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?0?l2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo<=6582>3<729q/44:57d58 46c:389>k5+101e>46>>2.:ei3>0D57>;o3112<10l1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=27095?0=83:p(57;:6g4?!77l;09>?h4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=>1l0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm237e>4<1290;w)664;5f3>"68m81>?i4;33b6=#0kk186F7909m57302?3;7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb51`a?7=>3:1l0;66g;3083>>i68>=1<75rb51``?7=>3:1l0;66g;3083>>i68>=1<75rb51`g?7=>3:1l0;66g;3083>>i68>=1<75rb5632?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6746<62?0;6=u+88693`1<,8:o>7:0g6955`43-2im7:4H9;2?k75=>0=585f48g94?=n<:l1<75f49f94?=n995<5;|`7054=93<1<7>t$9;7>2c03-;;h?4;3g38 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1:484i5;f>5<5<5;h605?6=3f;;;:4?::a0166280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f12783;1:7>50z&;=1<0m>1/==j=:51e5>"69:l1==79;%33b1<68o90(5ln:59K<<7;7868:k7=`<722c??k4?::k7k2;6066:&24c2=99l87)6ma;68L=?63g;99:49989j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:499`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:499c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd31=7850;2x =?32>o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:499b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:499e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:499d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:499g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a19j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a09j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a39j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a29j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd31=7850;2x =?32>o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a49j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a79j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a69j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a99j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o;7)??d3870d7<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49a89j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3o<7)??d3870d7<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8956`c8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<=h>6<49:183!>><3=n;6*>0e0901g63-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:7ca?l2>m3:17d:>o3;80;66a>06594?=zj=>i:7?56;294~"?1=012f92.:=>h511;5?!77n=0:1=O00;0b<<:7;4bg>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<?n:4>:783>5}#00>1;h94$02g6?23i81/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5685ea=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24592dc5<8=7>5;n3332<722wi89kn:085>5<7s-22879j7:&24a4=<=k:7)?>3g824<0<,8:m87??f29'?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:3<729q/44:57d28 46c:3>84k5+101e>46>>2.:?50;9l55102900qo:=b882>3<729q/44:57d58 46c:3>9n;5+101e>46>>2.:ei3>0D57>;o3112<1k91b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e395?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1k81b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e295?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1k;1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2bd95?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1k:1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2bg95?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1k=1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2bf95?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1k<1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2ba95?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1k?1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e;95?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1k>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e:95?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1k11b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e595?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1k01b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e495?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1kh1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e795?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1kk1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e695?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1kj1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e195?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1km1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2e095?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1kl1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2b`95?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1ko1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;2bc95?0=83:p(57;:6g3?!77l;0?>o84$030b?771?1/==h;:201?!>ei3>0D57>;o3112<1l91b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;3c495?5=83:p(;h;25?6=3`>8n7>5;n`;f?6=3th??o;51;194?6|,8;8j7?=3c9'55b52=92o6F7909m57302?n97d7>1;29?l24j3:17bl7b;29?xd3;hi1=7:50;2x 474n33<;6*>0e0906?d3A22=6`>24592a55<5;n`;f?6=3th??o:51;194?6|,8;8j7?=3c9'55b52=92o6F7909m57302?n?7d7>1;29?l24j3:17bl7b;29?xd3;hh1=7:50;2x 474n33<;6*>0e0906?d3A22=6`>24592a35<5;n`;f?6=3th??o=51;194?6|,8;8j7?=3c9'55b52=92o6F7909m57302?n=7d7>1;29?l24j3:17bl7b;29?xd3;h31=7:50;2x 474n33<;6*>0e0906?d3A22=6`>24592a15<5;n`;f?6=3th??n851;494?6|,13?6:k?;%33`7<3;0i0(<=;%:ae?2<@13:7c?=5685`==n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=5685`<=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=5685`d=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=5685`g=n<0o1<75f42d94?=n<1n1<75f114f>5<nn6F7909m57302?nh7d7>1;29?l24j3:17bl7b;29?xd3=9>1=7=50;2x 474n3;9?o5+11f1>12bj2B35<5a1374>3bc3`3:=7>5;h60f?6=3fh3n7>5;|`70cd=93>1<7>t$030b??0?2.:>92d:>8956eg8m1ce2900e9=m:188m46f03:17bl7b;29?xd3=991=7=50;2x 474n3;9?o5+11f1>12bj2B35<5a1374>3ba3`3:=7>5;h60f?6=3fh3n7>5;|`70cg=93>1<7>t$030b??0?2.:>92d:>8956d28m1ce2900e9=m:188m46f03:17bl7b;29?xd3=981=7=50;2x 474n3;9?o5+11f1>12bj2B35<5a1374>3c63`3:=7>5;h60f?6=3fh3n7>5;|`70c>=93>1<7>t$030b??0?2.:>92d:>8956d08m1ce2900e9=m:188m46f03:17bl7b;29?xd3=8>1=7850;2x =?32>o;7)??d3870`d<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49e29j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3=891=7850;2x =?32>o;7)??d3870`d<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49e59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3=881=7850;2x =?32>o;7)??d3870`d<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49e49j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3=8;1=7850;2x =?32>o;7)??d3870`d<,8;8j7??979'55`32:897)6ma;68L=?63g;99:49e79j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3=921=7850;2x =?32>o<7)??d3870`d<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8956d58m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<=<=6<4<:183!76;o0:>>l4$02g6?238j1C44?4n0063?0b02c2=<4?::k77g<722ei4o4?::a010228086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4f=>o>980;66g;3c83>>ie0k0;66sm451;>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;kn;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010328086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4ff>o>980;66g;3c83>>ie0k0;66sm4514>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;kl;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010428086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4f`>o>980;66g;3c83>>ie0k0;66sm4515>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;kj;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010528086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4fb>o>980;66g;3c83>>ie0k0;66sm4516>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;h?;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010628086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4e5>o>980;66g;3c83>>ie0k0;66sm4517>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;h=;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010a28086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4e7>o>980;66g;3c83>>ie0k0;66sm4562>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;h;;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010b28086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4e1>o>980;66g;3c83>>ie0k0;66sm4563>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;h9;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010c28086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4e3>o>980;66g;3c83>>ie0k0;66sm451e>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;h7;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010d28086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4e=>o>980;66g;3c83>>ie0k0;66sm451f>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;hn;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010e28086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4ef>o>980;66g;3c83>>ie0k0;66sm451g>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;hl;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010f28086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4e`>o>980;66g;3c83>>ie0k0;66sm451`>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6;hj;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010>28086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;4eb>o>980;66g;3c83>>ie0k0;66sm451a>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6:>?;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010?28086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;535>o>980;66g;3c83>>ie0k0;66sm451b>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6:>=;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010028086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;537>o>980;66g;3c83>>ie0k0;66sm451:>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6:>;;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a010728086=4?{%327c<6::h0(<>k2;674f=O00;0b<<:7;531>o>980;66g;3c83>>ie0k0;66sm4511>4<3290;w)?>3g8:32=#99n969:?c:J;=4=i9;?<6:>9;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a01??280=6=4?{%::0?1b82.:h6:<=1;=94i5;f>5<5<5;h605?6=3f;;;:4?::a01?0280=6=4?{%::0?1b82.:h6:<=1;=64i5;f>5<5<5;h605?6=3f;;;:4?::a01?1280=6=4?{%::0?1b82.:h6:<=1;=74i5;f>5<5<5;h605?6=3f;;;:4?::a01?2280=6=4?{%::0?1b82.:h6:<=1;=o4i5;f>5<5<5;h605?6=3f;;;:4?::a01?3280=6=4?{%::0?1b82.:h6:<=1;=l4i5;f>5<5<5;h605?6=3f;;;:4?::a01?4280=6=4?{%::0?1b82.:h6:<=1;=m4i5;f>5<5<5;h605?6=3f;;;:4?::a01g7280=6=4?{%::0?1b82.:h6:<=1;=j4i5;f>5<5<5;h605?6=3f;;;:4?::a01?a280=6=4?{%::0?1b82.:h6:<=1;=k4i5;f>5<5<5;h605?6=3f;;;:4?::a01?b280=6=4?{%::0?1b82.:h6:<=1;=h4i5;f>5<5<5;h605?6=3f;;;:4?::a01?c280=6=4?{%::0?1b82.:h6:<=1;<>4i5;f>5<5<5;h605?6=3f;;;:4?::a01?d280=6=4?{%::0?1b82.:h6:<=1;5<5<5;h605?6=3f;;;:4?::a01?e280=6=4?{%::0?1b82.:h6:<=1;<<4i5;f>5<5<5;h605?6=3f;;;:4?::a01?f280=6=4?{%::0?1b82.:h6:<=1;<=4i5;f>5<5<5;h605?6=3f;;;:4?::a01?>280=6=4?{%::0?1b82.:h6:<=1;<:4i5;f>5<5<5;h605?6=3f;;;:4?::a01?5280=6=4?{%::0?1b82.:h6:<=1;<;4i5;f>5<5<5;h605?6=3f;;;:4?::a01?6280=6=4?{%::0?1b82.:h6:<=1;<84i5;f>5<5<5;h605?6=3f;;;:4?::a0117280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14ak3;1?7>50z&256`=9;9i7)??d3876`2<@13:7c?=56845==n18;1<75f42`94?=hj1h1<75rb5132?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:48189j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=:m6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb5131?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:481c9j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=:o6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb5130?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:481e9j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=:i6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb5137?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:481g9j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=9<6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb5136?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:48209j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=9>6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb5135?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:48229j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=986g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb5134?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:48249j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=9:6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb50eb?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:48269j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=946g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb50ea?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:48289j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=9m6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb50ef?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:482c9j=47=831b8>l50;9lf=d=831vn9h59658 46c:3>9i95G8838j442?3=9o6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb512`?7=;3:112d9575e3-;;h?4;2d68L=?63g;99:482e9j=47=831b8>l50;9lf=d=831vn9==7;397?6=8r.:=>h5131a?!77l;0?>h:4H9;2?k75=>0<>h5f90394?=n<:h1<75`b9`94?=zj=9:47?54;294~"69:l15:94$02g6?25m=1C44?4n0063?15n2c?io4?::k77g<722c:h5131a?!77l;0?>h:4H9;2?k75=>0h5131a?!77l;0?>h:4H9;2?k75=>0h5131a?!77l;0?>h:4H9;2?k75=>07?54;294~"69:l15:94$02g6?25m=1C44?4n0063?14=2c?io4?::k77g<722c:h5131a?!77l;0?>h:4H9;2?k75=>0h5131a?!77l;0?>h:4H9;2?k75=>0h5131a?!77l;0?>h:4H9;2?k75=>0h5131a?!77l;0?>h:4H9;2?k75=>0f;397?6=8r.:=>h5131a?!77l;0?>h:4H9;2?k75=>0c;397?6=8r.:=>h5131a?!77l;0?>h:4H9;2?k75=>0<8=5f90394?=n<:h1<75`b9`94?=zj=9;;7?54;294~"69:l15:94$02g6?25m=1C44?4n0063?1392c?io4?::k77g<722c:12d955?13-;;j94>0g18 =df2=1C44?4n0063?13:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:<7782>3<729q/44:57d58 46c:3>9i95+101e>46>>2.:ei3>0D57>;o3112<0<:1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;36795?0=83:p(57;:6g4?!77l;0?>h:4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=?=>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4257>4<1290;w)664;5f3>"68m818?k;;%327c<680<0(<>i4;33b6=#0kk186F7909m57302>>>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5147?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6037<62?0;6=u+88693`1<,8:o>7:=e59'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0<8:5f48g94?=n<:l1<75f49f94?=n995<5;|`7727=93<1<7>t$9;7>2c03-;;h?4;2d68 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1;964i5;f>5<5<5;h605?6=3f;;;:4?::a0617280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f151n3;1:7>50z&;=1<0m>1/==j=:50f0>"69:l1==79;%33b1<68o90(5ln:59K<<7;79;a:k7=`<722c??k4?::k7m0:6;4?:1y'<<2=?l=0(<>k2;61a1=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:484c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3;?i1=7850;2x =?32>o<7)??d3876`2<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89575a8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<:2=6<49:183!>><3=n;6*>0e0907c33-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:66g?l2>m3:17d:>o3;80;66a>06594?=zj=93i7?56;294~"?1=014b<2.:=>h511;5?!77n=0:1=O00;0b<<:7;57a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<84i4>:783>5}#00>1;h94$02g6?25m=1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56840c=n<0o1<75f42d94?=n<1n1<75f114f>5<0(i3:&;fd<33A22=6`>24593065<8=7>5;n3332<722wi8>6m:085>5<7s-22879j7:&24a4=<;o?7)?>3g824<0<,8:m87??f29'2363`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9=7a;392?6=8r.35948e69'55b52=8n86*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?12:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:<8882>3<729q/44:57d58 46c:3>9i95+101e>46>>2.:ei3>0D57>;o3112<0=:1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;39:95?0=83:p(57;:6g4?!77l;0?>h:4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=?<>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm42:4>4<1290;w)664;5f3>"68m818?k;;%327c<680<0(<>i4;33b6=#0kk186F7909m57302>?>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb51;1?7=>3:1:6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c60<1<62?0;6=u+88693`1<,8:o>7:=e59'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0<9:5f48g94?=n<:l1<75f49f94?=n995<5;|`7714=93<1<7>t$9;7>2c73-;;h?4;2d68 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0<955f48g94?=n<:l1<75f49f94?=n995<5;|`2373=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m57302>?27d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?:=1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:;n;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;?851;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:67a?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>72:95?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>23d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2340=93>1<7>t$030b??002.:>92d:>89574f8m=g62900e5o=:188m46f03:17bl7b;29?xd6?;l1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:;j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;9?51;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:67e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>70595?2=83:p("68m81=8j7;I::5>h6:<=1;;>4i9c2>5<5;|`2366=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m57302><:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?=81=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:8=;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;:188m=g52900e<>n8;29?jd?j3:17pl>72395?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>2033`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2315=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m57302><>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?;:1=7:50;2x 474n33<46*>0e0950b?3A22=6`>24593305<5;n`;f?6=3th:;><51;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:644?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>75695?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>20?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2377=93>1<7>t$030b??002.:>92d:>89577;8m=g62900e5o=:188m46f03:17bl7b;29?xd6?:91=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:8n;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;9;51;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:64a?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>73095?2=83:p("68m81=8j7;I::5>h6:<=1;;m4i9c2>5<5;|`2362=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m57302>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?=<1=7850;2x 474n33:56*7958`0d=#99n96<;k8:J;=4=i9;?<6:8j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`2375=93>1<7>t$030b??002.:>92d:>89577d8m=g62900e5o=:188m46f03:17bl7b;29?xd6?:?1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:9?;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;9951;494?6|,8;8j77>9:&;=1N?181e=?;8:652?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6?;>1=7:50;2x 474n33<46*>0e0950b?3A22=6`>24593245<5;n`;f?6=3th:;>851;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:650?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>75:95?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>2133`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2371=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m57302>=>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?:31=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:99;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;?651;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:654?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>72c95?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>21?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`234>=93>1<7>t$030b??002.:>92d:>89576;8m=g62900e5o=:188m46f03:17bl7b;29?xd6?;31=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:9n;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;>l51;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:65a?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>70;95?2=83:p("68m81=8j7;I::5>h6:<=1;:m4i9c2>5<5;|`237g=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m57302>=o7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?:i1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:9j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;l0e5o>:188m=g52900e<>n8;29?jd?j3:17pl>73`95?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>2>73`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`236b=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m57302>2:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?8h1=7:50;2x 474n33<46*>0e0950b?3A22=6`>24593=45<5;n`;f?6=3th:;?m51;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:6:0?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>72g95?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>2>33`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`234e=93>1<7>t$030b??002.:>92d:>8957978m=g62900e5o=:188m46f03:17bl7b;29?xd6?;n1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:69;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;>h51;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:6:4?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>70f95?2=83:p("68m81=8j7;I::5>h6:<=1;564i9c2>5<5;|`237c=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m57302>227d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?=:1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:6n;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;:188m=g52900e<>n8;29?jd?j3:17pl>7d395?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0i56F7909m57302>2h7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb05f4?7=>3:10<4i5f48g94?=n<:l1<75f49f94?=n995<5;|`2<`5=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4m9:J;=4=i9;?<6:6j;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4>b:3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:488g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6jl31=7850;2x =?32>o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8957828m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9ko36<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:6;2?l2>m3:17d:>o3;80;66a>06594?=zj8hn;7?56;294~"?1=043c02.:=>h511;5?!77n=0:1=O00;0b<<:7;5:6>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5684=6=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24593<25<8=7>5;n3332<722wi=ok;:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29'2?23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?1>>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?me382>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3>0D57>;o3112<01>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>bd295?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=?020e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1cfe>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk186F7909m57302>327d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0`ga?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3a`a<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0<5o5f48g94?=n<:l1<75f49f94?=n995<5;|`2fae=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1;4m4i5;f>5<5<5;h605?6=3f;;;:4?::a5gbe280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4dci3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:59K<<7;796e:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:489g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6jm21=7850;2x =?32>o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8957`28m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9kn<6<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:6c2?l2>m3:17d:>o3;80;66a>06594?=zj8ho97?56;294~"?1=043c02.:=>h511;5?!77n=0:1=O00;0b<<:7;5b6>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5684e6=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24593d25<8=7>5;n3332<722wi=oj=:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29'2g23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?1f>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?md182>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3>0D57>;o3112<0i>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>bbd95?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=?h20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1caf>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk186F7909m57302>k27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0```?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3agd<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>05<5;|`2g1e=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1;lm4i5;f>5<5<5;h605?6=3f;;;:4?::a5f2e280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4e3i3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:59K<<7;79ne:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:48ag9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6k=21=7850;2x =?32>o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8957c28m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9j><6<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:6`2?l2>m3:17d:>o3;80;66a>06594?=zj8i?:7?56;294~"?1=043c02.:=>h511;5?!77n=0:1=O00;0b<<:7;5a6>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5684f6=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24593g25<8=7>5;n3332<722wi=n:<:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29'2d23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?1e>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?l4182>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3>0D57>;o3112<0j>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>c2d95?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=?k20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1b1f>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk186F7909m57302>h27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0a0`?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3`7f<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>05<5;|`2g6d=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1;om4i5;f>5<5<5;h605?6=3f;;;:4?::a5f5f280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4e413;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:59K<<7;79me:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:48bg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6k:<1=7850;2x =?32>o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8957b28m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9j9>6<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:6a2?l2>m3:17d:>o3;80;66a>06594?=zj8i887?56;294~"?1=043c02.:=>h511;5?!77n=0:1=O00;0b<<:7;5`6>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<4>:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5684g6=n<0o1<75f42d94?=n<1n1<75f114f>5<<51;494?6|,13?6:k8;%33`7<6=m20(i3:&;fd<33A22=6`>24593f25<8=7>5;n3332<722wi=n=>:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29'2e23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?1d>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?l2g82>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3>0D57>;o3112<0k>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>c3g95?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=?j20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1b0g>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk186F7909m57302>i27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0a1f?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3`6d<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>05<5;|`2g7?=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1;nm4i5;f>5<5<5;h605?6=3f;;;:4?::a5f4?280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4e5?3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:59K<<7;79le:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:48cg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6k;91=7850;2x =?32>o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8957e28m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9j896<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:6f2?l2>m3:17d:>o3;80;66a>06594?=zj8i9=7?56;294~"?1=043c02.:=>h511;5?!77n=0:1=O00;0b<<:7;5g6>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<=4>:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5684`6=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24593a25<8=7>5;n3332<722wi=n?k:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29'2b23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vnc;392?6=8r.35948e69'55b528?o46*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?1c>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?l1c82>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3>0D57>;o3112<0l>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>c0c95?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=?m20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1b3:>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk186F7909m57302>n27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0a23:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3`52<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>05<5;|`2g40=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1;im4i5;f>5<5<5;h605?6=3f;;;:4?::a5f72280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4e6;3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:59K<<7;79ke:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:48dg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6k8;1=7850;2x =?32>o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8957d28m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9j;;6<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:6g2?l2>m3:17d:>o3;80;66a>06594?=zj8i;j7?56;294~"?1=043c02.:=>h511;5?!77n=0:1=O00;0b<<:7;5f6>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5684a6=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24593`25<8=7>5;n3332<722wi=n>l:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29'2c23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?1b>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?l0`82>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3>0D57>;o3112<0m>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>c1:95?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=?l20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1b24>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk186F7909m57302>o27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0a32?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3`40<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>05<5;|`2g52=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1;hm4i5;f>5<5<5;h605?6=3f;;;:4?::a5f64280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4e7:3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:59K<<7;79je:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:48eg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6joo1=7850;2x =?32>o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8957g28m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9klo6<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:6d2?l2>m3:17d:>o3;80;66a>06594?=zj8hmn7?56;294~"?1=043c02.:=>h511;5?!77n=0:1=O00;0b<<:7;5e6>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5684b6=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>24593c25<8=7>5;n3332<722wi=oh7:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29'2`23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?1a>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?mf782>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3>0D57>;o3112<0n>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>bg795?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=?o20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1cd7>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk186F7909m57302>l27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0`e7?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3ab7<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>05<5;|`2fc6=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1;km4i5;f>5<5<5;h605?6=3f;;;:4?::a5gca280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4dbm3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:59K<<7;79ie:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:48fg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6jli1=7850;2x =?32>o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8958128m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9koi6<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:922?l2>m3:17d:>o3;80;66a>06594?=zj8hnm7?56;294~"?1=043c02.:=>h511;5?!77n=0:1=O00;0b<<:7;:36>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568;46=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459<525<8=7>5;n3332<722wi=om6:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29'=623`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?>7>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?l3682>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3>0D57>;o31121b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>c3a95?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=0920e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1b3e>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk186F7909m573021:27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0a20?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3`4<<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>035<5;|`2fce=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=14=m4i5;f>5<5<5;h605?6=3f;;;:4?::a5g`6280=6=4?{%::0?1b?2.:k;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4dd03;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:59K<<7;76?e:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:470g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47119j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>k1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47109j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47139j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47129j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47159j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60><1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47149j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47179j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47169j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47199j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47189j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:471`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:471c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:471b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?n1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:471e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:471d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:471g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?k1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47219j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47209j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47239j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60??1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47229j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47259j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47249j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47279j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47269j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47299j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47289j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:472`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:472c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:472b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:472e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60<21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:472d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60<=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:472g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60<<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47319j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47309j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60<>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47339j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60<91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47329j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60<81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47359j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60<;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47349j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60<:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47379j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60=l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47369j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd601:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47399j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47389j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:473`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>n1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:473c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:473b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60>;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:473e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60?>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:473d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60<31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:473g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60=o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47419j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60=31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47409j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j=>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47439j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j=91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47429j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j=81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47459j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j=;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47449j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j=:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47479j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j:l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47469j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j:o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47499j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j:<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47489j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j:?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:474`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j:>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:474c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j:91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:474b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j:81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:474e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j:;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:474d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j::1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:474g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6im>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47519j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6im91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47509j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6im81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47539j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6im;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47529j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6im:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47559j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ijl1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47549j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ij21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47579j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ij=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47569j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ij<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47599j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ij?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47589j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ij>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:475`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ij91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:475c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6il:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:475b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6iml1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:475e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6imo1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:475d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6imn1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:475g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6imi1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47619j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6imh1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47609j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ik:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47639j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ihl1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47629j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6iho1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47659j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ihn1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47649j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ihi1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47679j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ihh1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47669j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ih>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47699j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ih91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47689j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ih81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:476`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ih;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:476c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ih:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:476b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i0l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:476e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6iki1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:476d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ikh1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:476g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ikk1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47719j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ik31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47709j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ik21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47739j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ik=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47729j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i021=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47759j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i0=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47749j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i0<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47779j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i0?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47769j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i0>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47799j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i091=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47789j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i1i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:477`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i1h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:477c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i1k1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:477b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i131=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:477e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i121=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:477d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i1=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:477g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i?21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47819j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i?=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47809j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i?<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47839j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i??1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47829j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i?>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47859j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i?91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47849j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6io;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47879j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6io;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47869j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6io;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47899j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i<31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47889j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i<21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:478`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i<=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:478c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:478b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:478e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:478d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:478g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47919j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i?l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47909j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i<:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47939j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47929j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47959j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=n1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47949j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47979j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47969j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47999j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47989j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:479`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:479c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i=:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:479b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i:l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:479e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i;i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:479d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i;h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:479g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i;k1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a19j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i;31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a09j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i;21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a39j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i;=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a29j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i;:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i8l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a49j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i8o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a79j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i8n1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a69j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i8i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a99j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i8h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a89j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i:21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47a`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i:=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47ac9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i:<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47ab9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i:?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47ae9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i:>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47ad9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i:91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47ag9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i8>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b19j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i891=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b09j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i881=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b39j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i8;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b29j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i8:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i9l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b49j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i921=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b79j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i9=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b69j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i9<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b99j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i9?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b89j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i9>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47b`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i991=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47bc9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61l>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47bb9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61l91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47be9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61l81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47bd9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61l;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47bg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61l:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c19j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61ml1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c09j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61m21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c39j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61m=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c29j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61m<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61m?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c49j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61m>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c79j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61m91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c69j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61o:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c99j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61ll1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c89j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61lo1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47c`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61ln1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47cc9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61li1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47cb9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61lh1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47ce9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61j:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47cd9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61kl1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47cg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61ko1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d19j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61kn1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d09j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61ki1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d39j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61kh1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d29j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61k>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61k91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d49j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61k81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d79j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61k;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d69j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61k:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d99j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61hl1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d89j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61ji1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47d`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61jh1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47dc9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61jk1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47db9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61j31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47de9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61j21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47dd9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61j=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47dg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd610i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e19j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd610h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e09j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd610k1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e39j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61031=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e29j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61021=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd610=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e49j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd610:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e79j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd611l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e69j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd611o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e99j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd611n1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e89j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd611i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47e`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd611h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47ec9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61h21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47eb9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61h=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47ee9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61h<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47ed9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61h?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47eg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61h>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f19j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61h91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f09j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd611>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f39j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61191=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f29j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61181=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd611;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f49j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd611:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f79j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61>l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f69j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61?i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f99j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61?h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f89j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61?k1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47f`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61?31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47fc9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61?21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47fb9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61?=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47fe9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61<>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47fd9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61<91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:47fg9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61<81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46019j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61<;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46009j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61<:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46039j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61=l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46029j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61=21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46059j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61==1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46049j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61=<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46079j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61=?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46069j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61=>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46099j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61=91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46089j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61?:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:460`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:460c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:460b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:460e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:460d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:460g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61::1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46119j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46109j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46139j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;n1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46129j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46159j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46149j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46179j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46169j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46199j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46189j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61;:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:461`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd618l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:461c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61:i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:461b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61:h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:461e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61:k1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:461d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61:31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:461g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61:21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46219j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61:=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46209j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd619i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46239j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd619h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46229j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd619k1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46259j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61931=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46249j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61921=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46279j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd619=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46269j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd619:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46299j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60ol1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46289j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60oo1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:462`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60on1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:462c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60oi1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:462b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60oh1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:462e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61821=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:462d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd618=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:462g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd618<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46319j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd618?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46309j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd618>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46339j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61891=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46329j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j;21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46359j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j;=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46349j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j;<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46379j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j;?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46369j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j;>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46399j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j;91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46389j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j8i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:463`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j8h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:463c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j8k1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:463b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j831=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:463e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j821=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:463d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j8=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:463g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j9>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46419j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j991=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46409j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j981=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46439j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j9;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46429j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j9:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46459j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6iol1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46449j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6io21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46479j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6io=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46469j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6io<1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46499j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6io?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46489j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6io>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:464`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6io91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:464c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j8:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:464b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j9l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:464e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j9o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:464d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j9n1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:464g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j9i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46519j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6j9h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46509j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i1:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46539j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>l1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46529j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>o1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46559j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>n1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46549j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>i1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46579j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6i>h1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46569j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61oi1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46599j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61oh1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46589j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61ok1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:465`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61o31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:465c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61o21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:465b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61o=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:465e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ili1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:465d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ilh1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:465g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6ilk1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46619j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6il31=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46609j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6il21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46639j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6il=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46629j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46659j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46649j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o81=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46679j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o;1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46669j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60o:1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46699j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd60ll1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:46689j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61>21=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:466`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61>=1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:466c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61><1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:466b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61>?1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:466e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61>>1=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:466d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd61>91=7850;2x =?32>o;7)??d3821a><,8;8j7??979'55`32:897)6ma;68L=?63g;99:466g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd39881=7850;2x =?32>o<7)??d3874`4<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8959628m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<8;>6<49:183!>><3=n;6*>0e0905c53-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:852?l2>m3:17d:>o3;80;66a>06594?=zj=;:;7?56;294~"?1=016b:2.:=>h511;5?!77n=0:1=O00;0b<<:7;;46>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:=;4>:783>5}#00>1;h94$02g6?27m;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568:36=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459=225<8=7>5;n3332<722wi8:085>5<7s-22879j7:&24a4=<9o97)?>3g824<0<,8:m87??f29'<123`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?>4;392?6=8r.35948e69'55b52=:n>6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063??0>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:>1g82>3<729q/44:57d58 46c:3>;i?5+101e>46>>2.:ei3>0D57>;o3112<>?>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;13295?0=83:p(57;:6g4?!77l;0?"?jh0?7E661:l2601=1>20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4002>4<1290;w)664;5f3>"68m818=k=;%327c<680<0(<>i4;33b6=#0kk186F7909m573020=27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5316?7=>3:1j2:&256`=993=7)??f5824c5<,1hj695G8838j442?33>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6266<62?0;6=u+88693`1<,8:o>7:?e39'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>02;o5f48g94?=n<:l1<75f49f94?=n995<5;|`7572=93<1<7>t$9;7>2c03-;;h?4;0d08 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=15:m4i5;f>5<5<5;h605?6=3f;;;:4?::a0442280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f16b;3;187>50z&256`=1>=0(<>k2;63a7=O00;0b<<:7;;4a>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj=:m:7?53;294~"69:l1=?=m;%33`7<38l80D57>;o3112<>?o1b550z&256`=1>=0(<>k2;63a7=O00;0b<<:7;;;4>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj=:m;7?53;294~"69:l1=?=m;%33`7<38l80D57>;o3112<>081b53;187>50z&256`=1>=0(<>k2;63a7=O00;0b<<:7;;;6>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj=:m47?53;294~"69:l1=?=m;%33`7<38l80D57>;o3112<>0:1b550z&256`=1>=0(<>k2;63a7=O00;0b<<:7;;;0>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj=:m57?53;294~"69:l1=?=m;%33`7<38l80D57>;o3112<>0<1b550z&256`=1>=0(<>k2;63a7=O00;0b<<:7;;;2>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj=:mm7?53;294~"69:l1=?=m;%33`7<38l80D57>;o3112<>0>1b550z&256`=1>=0(<>k2;63a7=O00;0b<<:7;;;<>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj=:mn7?53;294~"69:l1=?=m;%33`7<38l80D57>;o3112<>001b550z&256`=1>=0(<>k2;63a7=O00;0b<<:7;;;e>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj=:mo7?53;294~"69:l1=?=m;%33`7<38l80D57>;o3112<>0k1b550z&256`=1>=0(<>k2;63a7=O00;0b<<:7;;;g>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj=:mh7?53;294~"69:l1=?=m;%33`7<38l80D57>;o3112<>0m1b550z&256`=1>=0(<>k2;63a7=O00;0b<<:7;;;a>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj=:mi7?53;294~"69:l1=?=m;%33`7<38l80D57>;o3112<>0o1b550z&256`=9;9i7)??d3874`4<@13:7c?=568:=5=n18;1<75f42`94?=hj1h1<75rb2dff?7=;3:112d9575e3-;;h?4l50;9lf=d=831vn>hja;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>025?5f90394?=n<:h1<75`b9`94?=zj:lh=7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??>;2c?io4?::k77g<722c:hj8;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02595f90394?=n<:h1<75`b9`94?=zj:lih7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??>=2c?io4?::k77g<722c:hj7;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>025;5f90394?=n<:h1<75`b9`94?=zj:lin7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??>?2c?io4?::k77g<722c:hj6;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02555f90394?=n<:h1<75`b9`94?=zj:li57?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??>12c?io4?::k77g<722c:hj5;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>025l5f90394?=n<:h1<75`b9`94?=zj:li;7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??>j2c?io4?::k77g<722c:hj4;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>025n5f90394?=n<:h1<75`b9`94?=zj:li97?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??>l2c?io4?::k77g<722c:hj3;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>025h5f90394?=n<:h1<75`b9`94?=zj:li?7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??>n2c?io4?::k77g<722c:hj2;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02m=5f90394?=n<:h1<75`b9`94?=zj:li=7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??f92c?io4?::k77g<722c:hj1;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02m?5f90394?=n<:h1<75`b9`94?=zj:ljj7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??f;2c?io4?::k77g<722c:hj0;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02m95f90394?=n<:h1<75`b9`94?=zj:ljh7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??f=2c?io4?::k77g<722c:hkf;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02m;5f90394?=n<:h1<75`b9`94?=zj:ljn7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??f?2c?io4?::k77g<722c:hkd;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02m55f90394?=n<:h1<75`b9`94?=zj:lj;7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??f12c?io4?::k77g<722c:hkc;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02ml5f90394?=n<:h1<75`b9`94?=zj:lj97?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??fj2c?io4?::k77g<722c:hkb;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02mn5f90394?=n<:h1<75`b9`94?=zj:lj?7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??fl2c?io4?::k77g<722c:hka;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02mh5f90394?=n<:h1<75`b9`94?=zj:lj=7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??fn2c?io4?::k77g<722c:hk9;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02n=5f90394?=n<:h1<75`b9`94?=zj:l2j7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??e92c?io4?::k77g<722c:hk8;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02n?5f90394?=n<:h1<75`b9`94?=zj:l2h7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??e;2c?io4?::k77g<722c:hk7;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02n95f90394?=n<:h1<75`b9`94?=zj:l2n7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??e=2c?io4?::k77g<722c:hk6;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02n;5f90394?=n<:h1<75`b9`94?=zj:l257?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??e?2c?io4?::k77g<722c:hk5;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02n55f90394?=n<:h1<75`b9`94?=zj:l2;7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??e12c?io4?::k77g<722c:hk4;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02nl5f90394?=n<:h1<75`b9`94?=zj:l297?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??ej2c?io4?::k77g<722c:hi3;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02nn5f90394?=n<:h1<75`b9`94?=zj:lhj7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??el2c?io4?::k77g<722c:hi2;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02nh5f90394?=n<:h1<75`b9`94?=zj:lhh7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??en2c?io4?::k77g<722c:hi1;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02o=5f90394?=n<:h1<75`b9`94?=zj:lhn7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??d92c?io4?::k77g<722c:hi0;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02o?5f90394?=n<:h1<75`b9`94?=zj:lh57?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??d;2c?io4?::k77g<722c:hjf;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02o95f90394?=n<:h1<75`b9`94?=zj:lh;7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??d=2c?io4?::k77g<722c:hje;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02o;5f90394?=n<:h1<75`b9`94?=zj:lh97?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??d?2c?io4?::k77g<722c:hjd;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02o55f90394?=n<:h1<75`b9`94?=zj:lh?7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??d12c?io4?::k77g<722c:hj9;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02ol5f90394?=n<:h1<75`b9`94?=zj:lij7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??dj2c?io4?::k77g<722c:hke;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02on5f90394?=n<:h1<75`b9`94?=zj:lj57?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??dl2c?io4?::k77g<722c:hk3;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>02oh5f90394?=n<:h1<75`b9`94?=zj:l287?54;294~"69:l15:94$02g6?5a=m1C44?4n0063??dn2c?io4?::k77g<722c:h80;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33o<6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d43?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8f2?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0b18L=?63g;99:46d39jh9f;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33o?6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d42?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8f7?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0b08L=?63g;99:46d49jh9e;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33o:6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d41?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8f4?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0b38L=?63g;99:46d99jh9d;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33o56g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d40?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8fb?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0b28L=?63g;99:46dc9jh9c;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33oo6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d47?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8fg?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0cd8L=?63g;99:46dd9jh9b;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33oj6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d46?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8g3?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0cg8L=?63g;99:46e09jh9a;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33n>6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d45?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8g0?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0cf8L=?63g;99:46e59jh75;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33n96g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d;g?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8g5?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0ca8L=?63g;99:46e69jh74;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33n46g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d;f?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8g:?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0c`8L=?63g;99:46e`9jh73;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33nn6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d;e?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8g`?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0cc8L=?63g;99:46ee9jh72;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33ni6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d;=?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8ge?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0c;8L=?63g;99:46f19jh71;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33m=6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d;12d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8d1?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0c:8L=?63g;99:46f29jh70;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33m86g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d;3?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8d6?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0c58L=?63g;99:46f79jh8f;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33m;6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d;2?7==3:112d9=4?<,13?6oli;%33`7<4nN?181e=?;8:8d;?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0hm<5+11f1>6`2l2.mj=4;0c48L=?63g;99:46f89jh93;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33mm6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d5=?7=;3:112d9=4?<,13?6ikn;%33`7<4n;o3112<>nk1b4l?50;9j50z&256`=1>=0(<>k2;1e1a=O00;0b<<:7;;eg>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:l=47?57;294~"69:l15<74$9;7>gdc3-;;h?4h91;390?6=8r.:=>h59658 46c:39m9i5G8838j442?33mi6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d53?7=?3:112d9=4?<,13?6olk;%33`7<4n;o3112<>no1b4l?50;9j50z&256`=1>=0(<>k2;1e1a=O00;0b<<:7;c34>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:l=:7?57;294~"69:l15<74$9;7>gdc3-;;h?4h:f;390?6=8r.:=>h59658 46c:39m9i5G8838j442?3k;>6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2d51?7=?3:112d9=4?<,13?6olk;%33`7<4n;o311250z&256`=1>=0(<>k2;1e1a=O00;0b<<:7;c30>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:l=87?57;294~"69:l15<74$9;7>gdc3-;;h?4nf;392?6=8r.35948e69'55b52:l>h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?g7>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:?ad82>3<729q/44:57d58 46c:39m9i5+101e>46>>2.:ei3>0D57>;o31121b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;0`a95?0=83:p(57;:6g4?!77l;08j8j4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=i920e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm41ca>4<1290;w)664;5f3>"68m81?k;k;%327c<680<0(<>i4;33b6=#0kk186F7909m57302h:27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb52be?7=>3:1h:d:&256`=993=7)??f5824c5<,1hj695G8838j442?3k;m6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c63e<<62?0;6=u+88693`1<,8:o>7=i5e9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0j5<5;|`74d>=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1m=m4i5;f>5<5<5;h605?6=3f;;;:4?::a05g0280=6=4?{%::0?1b?2.:k;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f16f>3;1:7>50z&;=1<0m>1/==j=:2d6`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7o?e:k7=`<722c??k4?::k7k2;1e1a=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4n0g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd38h>1=7850;2x =?32>o<7)??d380b0b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895a028m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<9k86<49:183!>><3=n;6*>0e097c3c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:`32?l2>m3:17d:>o3;80;66a>06594?=zj=:j=7?56;294~"?1=06`2l2.:=>h511;5?!77n=0:1=O00;0b<<:7;c26>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<;m=4>:783>5}#00>1;h94$02g6?5a=m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568b56=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459e425<8=7>5;n3332<722wi8=7j:085>5<7s-22879j7:&24a4=;o?o7)?>3g824<0<,8:m87??f29'd723`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9>6d;392?6=8r.35948e69'55b52:l>h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?g6>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:?9b82>3<729q/44:57d58 46c:39m9i5+101e>46>>2.:ei3>0D57>;o31121b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;08`95?0=83:p(57;:6g4?!77l;08j8j4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=i820e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm41;b>4<1290;w)664;5f3>"68m81?k;k;%327c<680<0(<>i4;33b6=#0kk186F7909m57302h;27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb52:=?7=>3:1h:d:&256`=993=7)??f5824c5<,1hj695G8838j442?3k:m6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c63==<62?0;6=u+88693`1<,8:o>7=i5e9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0j=o5f48g94?=n<:l1<75f49f94?=n995<5;|`74g3=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1m5<5<5;h605?6=3f;;;:4?::a05d3280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f16e;3;1:7>50z&;=1<0m>1/==j=:2d6`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7o>e:k7=`<722c??k4?::k7k2;1e1a=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4n1g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd38k;1=7850;2x =?32>o<7)??d380b0b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895a328m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<9h;6<49:183!>><3=n;6*>0e097c3c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:`02?l2>m3:17d:>o3;80;66a>06594?=zj=:jh7?56;294~"?1=06`2l2.:=>h511;5?!77n=0:1=O00;0b<<:7;c16>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<;m?4>:783>5}#00>1;h94$02g6?5a=m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568b66=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459e725<8=7>5;n3332<722wi8=79:085>5<7s-22879j7:&24a4=;o?o7)?>3g824<0<,8:m87??f29'd423`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?91;392?6=8r.35948e69'55b52:l>h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?g5>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:>6182>3<729q/44:57d58 46c:39m9i5+101e>46>>2.:ei3>0D57>;o31121b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;14d95?0=83:p(57;:6g4?!77l;08j8j4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=i;20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm407f>4<1290;w)664;5f3>"68m81?k;k;%327c<680<0(<>i4;33b6=#0kk186F7909m57302h827d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb536`?7=>3:1h:d:&256`=993=7)??f5824c5<,1hj695G8838j442?3k9m6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c621f<62?0;6=u+88693`1<,8:o>7=i5e9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0j>o5f48g94?=n<:l1<75f49f94?=n995<5;|`753?=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1m?m4i5;f>5<5<5;h605?6=3f;;;:4?::a040?280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f171?3;1:7>50z&;=1<0m>1/==j=:2d6`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7o=e:k7=`<722c??k4?::k7?0:6;4?:1y'<<2=?l=0(<>k2;1e1a=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4n2g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd39??1=7850;2x =?32>o<7)??d380b0b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895a228m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<8><3=n;6*>0e097c3c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:`12?l2>m3:17d:>o3;80;66a>06594?=zj=;=?7?56;294~"?1=06`2l2.:=>h511;5?!77n=0:1=O00;0b<<:7;c06>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<::?4>:783>5}#00>1;h94$02g6?5a=m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568b76=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459e625<8=7>5;n3332<722wi8<;n:085>5<7s-22879j7:&24a4=;o?o7)?>3g824<0<,8:m87??f29'd523`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9>;9;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>0j?;5f90394?=n<:h1<75`b9`94?=zj=::o7?55;294~"69:l15<74$9;7>a5c3-;;h?4;8;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>0j?55f90394?=n<:h1<75`b9`94?=zj=:;o7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063?g412c?io4?::k77g<722c:>b;391?6=8r.:=>h590;8 =?32m9o7)??d380b0b<@13:7c?=568b7d=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb520e?7=;3:112d9=4?<,13?6il6;%33`7<4n;o311250z&256`=9;9i7)??d380b0b<@13:7c?=568b7f=n18;1<75f42`94?=hj1h1<75rb523f?7=<3:112d9=21<,8:o>7=i5e9K<<7;7o=831dn5l50;9~f166i3;197>50z&256`=1830(57;:e1g?!77l;08j8j4H9;2?k75=>0j?h5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=:857?53;294~"69:l15<74$9;7>ad>3-;;h?4;6;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>0j8=5f90394?=n<:h1<75`b9`94?=zj=:;m7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063?g392c?io4?::k77g<722c:>9;391?6=8r.:=>h590;8 =?32m9o7)??d380b0b<@13:7c?=568b07=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb52012d9=4?<,13?6il6;%33`7<4n;o311250z&256`=9;9i7)??d380b0b<@13:7c?=568b01=n18;1<75f42`94?=hj1h1<75rb523=?7=<3:112d9=21<,8:o>7=i5e9K<<7;7o;5:k7ag<722c??o4?::k24d>=831dn5l50;9~f16603;197>50z&256`=1830(57;:e1g?!77l;08j8j4H9;2?k75=>0j8;5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=:8;7?53;294~"69:l15<74$9;7>ad>3-;;h?4;4;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>0j855f90394?=n<:h1<75`b9`94?=zj=:;47?54;294~"69:l15:94$02g6?5a=m1C44?4n0063?g312c?io4?::k77g<722c:>7;391?6=8r.:=>h590;8 =?32m9o7)??d380b0b<@13:7c?=568b0d=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb5276?7=;3:112d9=4?<,13?6il6;%33`7<4n;o311250z&256`=9;9i7)??d380b0b<@13:7c?=568b0f=n18;1<75f42`94?=hj1h1<75rb5221?7=<3:112d9=21<,8:o>7=i5e9K<<7;7o;d:k7ag<722c??o4?::k24d>=831dn5l50;9~f165=3;197>50z&256`=1830(57;:e1g?!77l;08j8j4H9;2?k75=>0j8h5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=:?=7?53;294~"69:l15<74$9;7>ad>3-;;h?4:1;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>0j9=5f90394?=n<:h1<75`b9`94?=zj=::87?54;294~"69:l15:94$02g6?5a=m1C44?4n0063?g292c?io4?::k77g<722c:=4;391?6=8r.:=>h590;8 =?32m9o7)??d380b0b<@13:7c?=568b17=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb5274?7=;3:112d9=4?<,13?6il6;%33`7<4n;o311250z&256`=9;9i7)??d380b0b<@13:7c?=568b11=n18;1<75f42`94?=hj1h1<75rb5227?7=<3:112d9=21<,8:o>7=i5e9K<<7;7o:5:k7ag<722c??o4?::k24d>=831dn5l50;9~f165;3;197>50z&256`=1830(57;:e1g?!77l;08j8j4H9;2?k75=>0j9;5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=:8j7?53;294~"69:l15<74$9;7>ad>3-;;h?4;f;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>0j955f90394?=n<:h1<75`b9`94?=zj=::>7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063?g212c?io4?::k77g<722c:=2;391?6=8r.:=>h590;8 =?32m9o7)??d380b0b<@13:7c?=568b1d=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb520a?7=;3:112d9=4?<,13?6il6;%33`7<4n;o311250z&256`=9;9i7)??d380b0b<@13:7c?=568b1f=n18;1<75f42`94?=hj1h1<75rb5225?7=<3:112d9=21<,8:o>7=i5e9K<<7;7o:d:k7ag<722c??o4?::k24d>=831dn5l50;9~f16593;197>50z&256`=1830(57;:e1g?!77l;08j8j4H9;2?k75=>0j9h5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=:8h7?53;294~"69:l15<74$9;7>ad>3-;;h?4;d;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>0j:=5f90394?=n<:h1<75`b9`94?=zj=::<7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063?g192c?io4?::k77g<722c:=0;391?6=8r.:=>h590;8 =?32m9o7)??d380b0b<@13:7c?=568b27=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb520g?7=;3:112d9=4?<,13?6il6;%33`7<4n;o3112:1b4l?50;9j50z&256`=9;9i7)??d380b0b<@13:7c?=568b21=n18;1<75f42`94?=hj1h1<75rb523b?7=<3:112d9=21<,8:o>7=i5e9K<<7;7o95:k7ag<722c??o4?::k24d>=831dn5l50;9~f166n3;197>50z&256`=1830(57;:e1g?!77l;08j8j4H9;2?k75=>0j:;5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=:8n7?53;294~"69:l15<74$9;7>ad>3-;;h?4;b;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>0j:55f90394?=n<:h1<75`b9`94?=zj=:;i7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063?g112c?io4?::k77g<722c:>e;391?6=8r.:=>h590;8 =?32m9o7)??d380b0b<@13:7c?=568b2d=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb5202?7=;3:112d9=4?<,13?6il6;%33`7<4n;o3112k1b4l?50;9j50z&256`=9;9i7)??d380b0b<@13:7c?=568b2f=n18;1<75f42`94?=hj1h1<75rb523`?7=<3:112d9=21<,8:o>7=i5e9K<<7;7o9d:k7ag<722c??o4?::k24d>=831dn5l50;9~f166l3;197>50z&256`=1830(57;:e1g?!77l;08j8j4H9;2?k75=>0j:h5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=:897?53;294~"69:l15<74$9;7>ad>3-;;h?4;3;397?6=8r.:=>h5131a?!77l;08j8j4H9;2?k75=>0j;=5f90394?=n<:h1<75`b9`94?=zj=:;;7?54;294~"69:l15:94$02g6?5a=m1C44?4n0063?g092c?io4?::k77g<722c:>6;391?6=8r.:=>h590;8 =?32m9h7)??d380b0b<@13:7c?=568b37=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb5212?7=;3:112d9=4?<,13?6il6;%33`7<4n;o311250z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l9;;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17a03;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l9:;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?k3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l99;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?j3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l98;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?i3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l97;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?13;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l96;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?03;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l9n;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17??3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l9m;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17><3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l9l;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17>;3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l9k;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17>:3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l9j;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17>93;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l9i;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17>83;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6?;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?n3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?m3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6=;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?l3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6<;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?>3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6;;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17?=3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6:;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17fm3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l69;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17fl3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l68;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17fk3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l67;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17fj3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l66;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17fi3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6n;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17f13;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6m;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17e>3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6l;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17e=3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6k;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17e<3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6j;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17e;3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l6i;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17e:3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l7?;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17e93;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l7>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17e83;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l7=;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17fn3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l7<;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17f03;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l7;;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17f?3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l7:;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17ak3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l79;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17aj3;1:7>50z&;=1<0m91/==j=:2d6`>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6l78;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f170;3;1:7>50z&;=1<0m>1/==j=:2d6`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7o68:k7=`<722c??k4?::k7k2;1e1a=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4n989j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd39>;1=7850;2x =?32>o<7)??d380b0b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895a8c8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<8><3=n;6*>0e097c3c3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:`;a?l2>m3:17d:>o3;80;66a>06594?=zj=;=o7?56;294~"?1=06`2l2.:=>h511;5?!77n=0:1=O00;0b<<:7;c:g>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<::l4>:783>5}#00>1;h94$02g6?5a=m1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568b=a=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459e5<8=7>5;n3332<722wi8<<6:085>5<7s-22879j7:&24a4=;o?o7)?>3g824<0<,8:m87??f29'd?a3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?lf;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg73`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?le;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?ld;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg53`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?lc;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg43`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?lb;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?la;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?k7;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg13`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?k6;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg03`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?k5;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?k4;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dg>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?k3;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dgf3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?k2;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dge3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?k1;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dgd3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?k0;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dgc3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?l9;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dgb3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?l8;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dga3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?jf;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd73`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?je;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?jd;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd53`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?jc;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd43`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?jb;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?ja;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?i7;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd13`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?i6;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd03`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?i5;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?i4;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dd>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?i3;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'ddf3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?i2;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dde3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?i1;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'ddd3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?i0;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'ddc3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?j9;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'ddb3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?j8;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dda3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;1;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'de73`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;0;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'de63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?h6*>12d955?13-;;j94<239'de53`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?h6*>12d955?13-;;j94<239'de43`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?h6*>12d955?13-;;j94<239'de33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?h6*>12d955?13-;;j94<239'de23`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;9;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'de13`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;8;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'de03`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;7;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'de?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;6;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'de>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;5;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'def3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;4;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dee3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;3;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'ded3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?;2;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'dec3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?h6*>12d955?13-;;j94<239'deb3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?h6*>12d955?13-;;j94<239'dea3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9?84;392?6=8r.35948e19'55b52:l>h6*>12d955?13-;;j94<239'db73`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9<88;392?6=8r.35948e69'55b52=8;56*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?gc92c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:=7682>3<729q/44:57d58 46c:3>9<45+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl;26495?0=83:p(57;:6g4?!77l;0?>=74$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=im90e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4356>4<1290;w)664;5f3>"68m818?>6;%327c<680<0(<>i4;33b6=#0kk186F7909m57302hn?7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5040?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6136<62?0;6=u+88693`1<,8:o>7:=089'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0jh;5f48g94?=n<:l1<75f49f94?=n995<5;|`7624=93<1<7>t$9;7>2c03-;;h?4;21;8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1mi94i5;f>5<5<5;h605?6=3f;;;:4?::a0716280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f144>3;1?7>50z&256`=9;9i7)??d38765?<@13:7c?=568b`<=n18;1<75f42`94?=hj1h1<75rb5015?7==3:112d9=4?<,13?6i=k;%33`7<3:930D57>;o311250z&256`=9;9i7)??d38765?<@13:7c?=568b`g=n18;1<75f42`94?=hj1h1<75rb502=?7=<3:112d9=21<,8:o>7:=089K<<7;7okc:k7ag<722c??o4?::k24d>=831dn5l50;9~f14583;197>50z&256`=1830(57;:e1g?!77l;0?>=74H9;2?k75=>0jhi5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=89i7?53;294~"69:l15<74$9;7>ad>3-;;h?4;21;8L=?63g;99:4ndd9jh5131a?!77l;0?>=74H9;2?k75=>0jhk5f90394?=n<:h1<75`b9`94?=zj=8:47?54;294~"69:l15:94$02g6?25801C44?4n0063?gb82c?io4?::k77g<722c:f;391?6=8r.:=>h590;8 =?32m9o7)??d38765?<@13:7c?=568ba4=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb501`?7=;3:112d9=4?<,13?6il6;%33`7<3:930D57>;o311250z&256`=9;9i7)??d38765?<@13:7c?=568ba6=n18;1<75f42`94?=hj1h1<75rb5023?7=<3:112d9=21<,8:o>7:=089K<<7;7oj4:k7ag<722c??o4?::k24d>=831dn5l50;9~f146m3;197>50z&256`=1830(57;:e1g?!77l;0?>=74H9;2?k75=>0ji85f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=89o7?53;294~"69:l15<74$9;7>ad>3-;;h?4;21;8L=?63g;99:4ne79jh5131a?!77l;0?>=74H9;2?k75=>0ji:5f90394?=n<:h1<75`b9`94?=zj=8::7?54;294~"69:l15:94$02g6?25801C44?4n0063?gb02c?io4?::k77g<722c:d;391?6=8r.:=>h590;8 =?32m9o7)??d38765?<@13:7c?=568ba<=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb501f?7=;3:112d9=4?<,13?6il6;%33`7<3:930D57>;o311250z&256`=9;9i7)??d38765?<@13:7c?=568bag=n18;1<75f42`94?=hj1h1<75rb5021?7=<3:112d9=21<,8:o>7:=089K<<7;7ojc:k7ag<722c??o4?::k24d>=831dn5l50;9~f146k3;197>50z&256`=1830(57;:e1g?!77l;0?>=74H9;2?k75=>0jii5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=89m7?53;294~"69:l15<74$9;7>ad>3-;;h?4;21;8L=?63g;99:4ned9jh5131a?!77l;0?>=74H9;2?k75=>0jik5f90394?=n<:h1<75`b9`94?=zj=8:87?54;294~"69:l15:94$02g6?25801C44?4n0063?ga82c?io4?::k77g<722c:b;391?6=8r.:=>h590;8 =?32m9o7)??d38765?<@13:7c?=568bb4=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb501=?7=;3:112d9=4?<,13?6il6;%33`7<3:930D57>;o311250z&256`=9;9i7)??d38765?<@13:7c?=568bb6=n18;1<75f42`94?=hj1h1<75rb5027?7=<3:112d9=21<,8:o>7:=089K<<7;7oi4:k7ag<722c??o4?::k24d>=831dn5l50;9~f146i3;197>50z&256`=1830(57;:e1`?!77l;0?>=74H9;2?k75=>0jj85f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=89>7?53;294~"69:l15<74$9;7>ad>3-;;h?4;21;8L=?63g;99:4nf79j12d955?13-;;j94<239'd`03`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn912d955?13-;;j94<239'd`?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn912d955?13-;;j94<239'd`>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9<72;392?6=8r.35948e69'55b52=8;56*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?gai2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:=8082>3<729q/44:57d58 46c:3>9<45+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl;29295?0=83:p(57;:6g4?!77l;0?>=74$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=ioi0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm435`>4<1290;w)664;5f3>"68m818?>6;%327c<680<0(<>i4;33b6=#0kk186F7909m57302hlo7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb504=?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c613d<62?0;6=u+88693`1<,8:o>7:=089'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0jjk5f48g94?=n<:l1<75f49f94?=n995<5;|`762d=93<1<7>t$9;7>2c03-;;h?4;21;8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1n=>4i5;f>5<5<5;h605?6=3f;;;:4?::a07?5280=6=4?{%::0?1b82.:h6:<=1n=?4i5;f>5<5<5;h605?6=3f;;;:4?::a07?6280=6=4?{%::0?1b82.:h6:<=1n=<4i5;f>5<5<5;h605?6=3f;;;:4?::a07?7280=6=4?{%::0?1b82.:h6:<=1n==4i5;f>5<5<5;h605?6=3f;;;:4?::a07>a280=6=4?{%::0?1b82.:h6:<=1n=:4i5;f>5<5<5;h605?6=3f;;;:4?::a07>b280=6=4?{%::0?1b82.:h6:<=1n=;4i5;f>5<5<5;h605?6=3f;;;:4?::a07>c280=6=4?{%::0?1b82.:h6:<=1n=84i5;f>5<5<5;h605?6=3f;;;:4?::a07>d280=6=4?{%::0?1b82.:h6:<=1n=94i5;f>5<5<5;h605?6=3f;;;:4?::a07>e280=6=4?{%::0?1b82.:h6:<=1n=64i5;f>5<5<5;h605?6=3f;;;:4?::a0733280=6=4?{%::0?1b?2.:6;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14f13;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o>n;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14f03;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o>m;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14f?3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o>l;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14f>3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o>k;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14f=3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o>j;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14f<3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o>i;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14f;3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o??;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14f:3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o?>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f141<3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o?=;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f141;3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o?<;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f141:3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o?;;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14193;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o?:;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f14183;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o?9;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f142n3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o?8;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f142m3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o?7;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f142l3;1:7>50z&;=1<0m91/==j=:503=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6o?6;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f76dj3;1:7>50z&;=1<0m>1/==j=:3220>"69:l1==79;%33b1<68o90(5ln:59K<<7;7l>a:k7=`<722c??k4?::k74?:1y'545a2888n6*>0e0965733A22=6`>2459f4d5<444j2.:>92d:>895b0a8m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i=i5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b0g8m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i=k5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b328m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i><5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b308m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i>>5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b368m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i>85f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b348m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i>:5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b3:8m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i>45f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b3c8m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i>o5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b3a8m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i>i5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b3g8m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i>k5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b228m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i?<5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b208m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i?>5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b268m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i?85f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b248m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i?:5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b2:8m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i?45f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b2c8m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i?o5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895b2a8m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09<<:4H9;2?k75=>0i?i5f4d`94?=n<:h1<75f11c;>5<70g69774<,1hj695G8838j442?3h8i6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a3<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h8j6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a0<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?<6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a1<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?=6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a6<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?>6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a7<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h??6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a4<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a5<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?96g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03ac<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?:6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a`<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03aa<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?46g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03af<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?56g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03ag<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?m6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03ad<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?n6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a<<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?o6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03a=<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?h6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03`c<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?i6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03``<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h?j6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0242<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h><6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0243<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>=6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0240<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>>6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0241<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>?6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0246<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0247<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>96g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c024c<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>:6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c024`<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c024a<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>46g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c024f<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>56g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c024g<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>m6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c024d<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>n6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c024<<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>o6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c024=<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>h6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0244<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>i6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0245<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h>j6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03f`<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=<6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03fa<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h==6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03ff<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=>6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03fg<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=?6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03fd<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03f<<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=96g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03g3<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=:6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03g0<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03g1<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=46g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03g6<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=56g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03g7<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=m6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03g4<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=n6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03g5<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=o6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03fc<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=h6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03f=<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=i6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03f2<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h=j6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03g2<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3h<<6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c03g<<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0i;<5f48g94?=n<:l1<75f49f94?=n995<5;|`2142=93<1<7>t$9;7>2c03-;;h?4>4b38 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1n:<4i5;f>5<5<5;h605?6=3f;;;:4?::a5074280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f436:3;1:7>50z&;=1<0m>1/==j=:06`5>"69:l1==79;%33b1<68o90(5ln:59K<<7;7l84:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l85:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l86:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l87:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l88:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l89:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l8a:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l8b:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l8c:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l8d:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l8e:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l8f:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l70:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l71:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l72:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l73:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l74:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l75:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l76:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l77:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l78:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l79:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l7a:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l7b:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l7c:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l7d:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l7e:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l7f:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l60:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l61:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l62:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l63:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7l64:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>895b878m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<=i6<49:183!>><3=n;6*>0e0951e63-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;`:2>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<;l4>:783>5}#00>1;h94$02g6?73k81/=<=i:02:2>"68o>1==h<;%:ae?d>3A22=6`>2459f<15<8=7>5;n3332<722wi=896:085>5<7s-22879j7:&24a4=9=i:7)?>3g824<0<,8:m87??f29'02c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?:7982>3<729q/44:57d58 46c:3;?o<5+101e>46>>2.:ei3h27E661:l2601=j030e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1454>4<1290;w)664;5f3>"68m81=9m>;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?3h2m6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c36<1<62?0;6=u+88693`1<,8:o>7?;c09'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1n4l4i5;f>5<5<5;h605?6=3f;;;:4?::a50>4280=6=4?{%::0?1b?2.:;7l6c:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>895b8f8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<2:6<49:183!>><3=n;6*>0e0951e63-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;`:a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<4=4>:783>5}#00>1;h94$02g6?73k81/=<=i:02:2>"68o>1==h<;%:ae?d>3A22=6`>2459f<`5<8=7>5;n3332<722wi=89i:085>5<7s-22879j7:&24a4=9=i:7)?>3g824<0<,8:m87??f29'?50;9l55102900qo?:7d82>3<729q/44:57d58 46c:3;?o<5+101e>46>>2.:ei3h27E661:l2601=jh;0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm145g>4<1290;w)664;5f3>"68m81=9m>;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?3hj>6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3633<62?0;6=u+88693`1<,8:o>7?;c09'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1nl=4i5;f>5<5<5;h605?6=3f;;;:4?::a5012280=6=4?{%::0?1b?2.:;7ln4:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7ln5:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7ln6:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7ln7:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7ln8:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7ln9:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lna:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lnb:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lnc:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lnd:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lne:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lnf:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lm0:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lm1:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lm2:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lm3:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=;;80(5ln:59K<<7;7lm4:k7=`<722c??k4?::k7k2;37g4=#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>895bc78m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<3h6<49:183!>><3=n<6*>0e0951e63-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895bc48m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<3i6<49:183!>><3=n<6*>0e0951e63-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895bc58m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<3j6<49:183!>><3=n<6*>0e0951e63-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895bc:8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<326<49:183!>><3=n<6*>0e0951e63-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895bc;8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<336<49:183!>><3=n<6*>0e0951e63-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895bcc8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<3<6<49:183!>><3=n<6*>0e0951e63-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895bc`8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<3=6<49:183!>><3=n<6*>0e0951e63-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895bca8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9<3>6<49:183!>><3=n<6*>0e0951e63-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895bcf8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:<>>6<49:183!>><3=n;6*>0e0961c63-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:c`f?l2>m3:17d:>o3;80;66a>06594?=zj;?=:7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:c`e?l2>m3:17d:>o3;80;66a>06594?=zj;?=;7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca3?l2>m3:17d:>o3;80;66a>06594?=zj;?=i7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca2?l2>m3:17d:>o3;80;66a>06594?=zj;?=j7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca1?l2>m3:17d:>o3;80;66a>06594?=zj;?<<7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca0?l2>m3:17d:>o3;80;66a>06594?=zj;?<=7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca7?l2>m3:17d:>o3;80;66a>06594?=zj;?<>7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca6?l2>m3:17d:>o3;80;66a>06594?=zj;?72b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca5?l2>m3:17d:>o3;80;66a>06594?=zj;?<87?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca4?l2>m3:17d:>o3;80;66a>06594?=zj;?<97?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca;?l2>m3:17d:>o3;80;66a>06594?=zj;?=47?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca:?l2>m3:17d:>o3;80;66a>06594?=zj;?=57?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:cab?l2>m3:17d:>o3;80;66a>06594?=zj;?=m7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:caa?l2>m3:17d:>o3;80;66a>06594?=zj;?=n7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:ca`?l2>m3:17d:>o3;80;66a>06594?=zj;?=o7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:cag?l2>m3:17d:>o3;80;66a>06594?=zj;?=h7?56;294~"?1=072b92.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:caf?l2>m3:17d:>o3;80;66a>06594?=zj;?;;7?54;294~"69:l15:94$02g6?43m81C44?4n0063?ddn2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ih=5f90394?=n<:h1<75`b9`94?=zj;?;i7?54;294~"69:l15:94$02g6?43m81C44?4n0063?dc92c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ih?5f90394?=n<:h1<75`b9`94?=zj;?;j7?54;294~"69:l15:94$02g6?43m81C44?4n0063?dc;2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ih95f90394?=n<:h1<75`b9`94?=zj;?:<7?54;294~"69:l15:94$02g6?43m81C44?4n0063?dc=2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ih;5f90394?=n<:h1<75`b9`94?=zj;?:=7?54;294~"69:l15:94$02g6?43m81C44?4n0063?dc?2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ih55f90394?=n<:h1<75`b9`94?=zj;?:>7?54;294~"69:l15:94$02g6?43m81C44?4n0063?dc12c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ihl5f90394?=n<:h1<75`b9`94?=zj;?:?7?54;294~"69:l15:94$02g6?43m81C44?4n0063?dcj2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ihn5f90394?=n<:h1<75`b9`94?=zj;?:87?54;294~"69:l15:94$02g6?43m81C44?4n0063?dcl2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ihh5f90394?=n<:h1<75`b9`94?=zj;?:97?54;294~"69:l15:94$02g6?43m81C44?4n0063?dcn2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ii=5f90394?=n<:h1<75`b9`94?=zj;?::7?54;294~"69:l15:94$02g6?43m81C44?4n0063?db92c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ii?5f90394?=n<:h1<75`b9`94?=zj;?;57?54;294~"69:l15:94$02g6?43m81C44?4n0063?db;2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ii95f90394?=n<:h1<75`b9`94?=zj;?;m7?54;294~"69:l15:94$02g6?43m81C44?4n0063?db=2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ii;5f90394?=n<:h1<75`b9`94?=zj;?;n7?54;294~"69:l15:94$02g6?43m81C44?4n0063?db?2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0ii55f90394?=n<:h1<75`b9`94?=zj;?;o7?54;294~"69:l15:94$02g6?43m81C44?4n0063?db12c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0iil5f90394?=n<:h1<75`b9`94?=zj;?;h7?54;294~"69:l15:94$02g6?43m81C44?4n0063?dbj2c?io4?::k77g<722c:h5131a?!77l;098h?4H9;2?k75=>0iin5f90394?=n<:h1<75`b9`94?=zj;?8n7?53;294~"69:l1=?=m;%33`7<5;o311250z&;=1<0m>1/==j=:37`4>"69:l1==79;%33b1<68o90(5ln:59K<<7;7lje:k7=`<722c??k4?::k7k2;06g5=#989m6<>66:&24c2=;;80(5ln:59K<<7;7ljf:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;06g5=#989m6<>66:&24c2=;;80(5ln:59K<<7;7li0:k7=`<722c??k4?::k7k2;06g5=#989m6<>66:&24c2=;;80(5ln:59K<<7;7li1:k7=`<722c??k4?::k7k2;06g5=#989m6<>66:&24c2=;;80(5ln:59K<<7;7li2:k7=`<722c??k4?::k75<h:4>:283>5}#989m6<<0e4?>:188m15e2900co6m:188yg42ko0:694?:1y'545a20=<7)??d3811f6<@13:7c?=568ab0=n5<h54>:283>5}#989m6<<:188m15e2900co6m:188yg42l90:694?:1y'545a20=<7)??d3811f6<@13:7c?=568ab2=n5<h44>:283>5}#989m6<<:188m15e2900co6m:188yg42lh0:6>4?:1y'545a2888n6*>0e0960e73A22=6`>2459fc?5<7<;709'545a28:2:6*>0g69774<,1hj695G8838j442?3hmm6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c07=<<62?0;6=u+88693`6<,8:o>7<;709'545a28:2:6*>0g69774<,1hj695G8838j442?3hmn6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c07=d<62?0;6=u+88693`6<,8:o>7<;709'545a28:2:6*>0g69774<,1hj695G8838j442?3hmo6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c07=g<62?0;6=u+88693`6<,8:o>7<;709'545a28:2:6*>0g69774<,1hj695G8838j442?3hmh6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c073`<62=0;6=u+101e><103-;;h?4=4638L=?63g;99:4mfd9j0`d=831b8>l50;9j55g?2900co6m:188yg43010:6>4?:1y'545a2888n6*>0e0961163A22=6`>2459fc`5<<103-;;h?4=4638L=?63g;99:4l019j0`d=831b8>l50;9j55g?2900co6m:188yg43000:6>4?:1y'545a2888n6*>0e0961163A22=6`>2459g575<<103-;;h?4=4638L=?63g;99:4l039j0`d=831b8>l50;9j55g?2900co6m:188yg430h0:6>4?:1y'545a2888n6*>0e0961163A22=6`>2459g555<444j2.:>92d:>895c168m<762900e9=m:188kg>e2900qo<;a282>3<729q/44:57d28 46c:38?:95+101e>46>>2.:?50;9l55102900qo<;a382>3<729q/44:57d28 46c:38?:95+101e>46>>2.:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo<;a082>3<729q/44:57d28 46c:38?:95+101e>46>>2.:?50;9l55102900qo<;dc82>3<729q/44:57d58 46c:38?:95+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=4e:95?0=83:p(57;:6g4?!77l;098n84$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=k930e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm25f4>4<1290;w)664;5f3>"68m81>9m9;%327c<680<0(<>i4;33b6=#0kk186F7909m57302j:j7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb36g2?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c07`0<62?0;6=u+88693`1<,8:o>7<;c79'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0h5<5;|`10ac=93<1<7>t$9;7>2c03-;;h?4=4768 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1o=j4i5;f>5<5<5;h605?6=3f;;;:4?::a60d0280=6=4?{%::0?1b82.:h6:<=1o=k4i5;f>5<5<5;h605?6=3f;;;:4?::a60d?280=6=4?{%::0?1b82.:h6:<=1o=h4i5;f>5<5<5;h605?6=3f;;;:4?::a60d>280=6=4?{%::0?1b82.:h6:<=1o<>4i5;f>5<5<5;h605?6=3f;;;:4?::a60df280=6=4?{%::0?1b82.:h6:<=1o5<5<5;h605?6=3f;;;:4?::a60de280=6=4?{%::0?1b82.:h6:<=1o<<4i5;f>5<5<5;h605?6=3f;;;:4?::a60dd280=6=4?{%::0?1b82.:h6:<=1o<=4i5;f>5<5<5;h605?6=3f;;;:4?::a60dc280=6=4?{%::0?1b82.:h6:<=1o<:4i5;f>5<5<5;h605?6=3f;;;:4?::a60db280=6=4?{%::0?1b82.:h6:<=1o<;4i5;f>5<5<5;h605?6=3f;;;:4?::a60g0280=6=4?{%::0?1b82.:h6:<=1o<84i5;f>5<5<5;h605?6=3f;;;:4?::a60g?280=6=4?{%::0?1b82.:h6:<=1o<94i5;f>5<5<5;h605?6=3f;;;:4?::a60g>280=6=4?{%::0?1b82.:h6:<=1o<64i5;f>5<5<5;h605?6=3f;;;:4?::a60gf280=6=4?{%::0?1b82.:h6:<=1o<74i5;f>5<5<5;h605?6=3f;;;:4?::a60ge280=6=4?{%::0?1b82.:h6:<=1o5<5<5;h605?6=3f;;;:4?::a60gd280=6=4?{%::0?1b82.:h6:<=1o5<5<5;h605?6=3f;;;:4?::a60gc280=6=4?{%::0?1b82.:h6:<=1o5<5<5;h605?6=3f;;;:4?::a60gb280=6=4?{%::0?1b82.:h6:<=1o5<5<5;h605?6=3f;;;:4?::a60?c280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f73?i3;1:7>50z&;=1<0m>1/==j=:3742>"69:l1==79;%33b1<68o90(5ln:59K<<7;7m>f:k7=`<722c??k4?::k7k2;0633=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4l219j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5=1i1=7850;2x =?32>o<7)??d381120<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895c338m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:<2o6<49:183!>><3=n;6*>0e0960113-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:b01?l2>m3:17d:>o3;80;66a>06594?=zj;?ij7?56;294~"?1=0730>2.:=>h511;5?!77n=0:g?<@13:7c?=568`66=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`61=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`60=n<0o1<75f42d94?=n<1n1<75f114f>5<51;494?6|,13?6:k?;%33`7<50(<=;%:ae?2<@13:7c?=568`63=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`62=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`6==n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`6<=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`6d=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`6g=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`6f=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`6a=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`6`=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`6c=n<0o1<75f42d94?=n<1n1<75f114f>5<51;494?6|,13?6:k?;%33`7<50(<=;%:ae?2<@13:7c?=568`75=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`74=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`77=n<0o1<75f42d94?=n<1n1<75f114f>5<0(<=;%:ae?2<@13:7c?=568`76=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459g625<8=7>5;n3332<722wi>9>m:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g635<8=7>5;n3332<722wi>9>n:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g605<8=7>5;n3332<722wi>9>6:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g615<8=7>5;n3332<722wi>9>7:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g6>5<8=7>5;n3332<722wi>9>8:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g6?5<8=7>5;n3332<722wi>9>9:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g6g5<8=7>5;n3332<722wi>9?<:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g6d5<8=7>5;n3332<722wi>9?=:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g6e5<8=7>5;n3332<722wi>9?>:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g6b5<8=7>5;n3332<722wi>9??:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g6c5<8=7>5;n3332<722wi>9>i:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g6`5<8=7>5;n3332<722wi>9>j:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g165<8=7>5;n3332<722wi>9>k:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g175<8=7>5;n3332<722wi>9>l:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g145<8=7>5;n3332<722wi>9>::085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g155<8=7>5;n3332<722wi>9>;:085>5<7s-22879j0:&24a4=::l;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g125<8=7>5;n3332<722wi>98<:085>5<7s-22879j7:&24a4=:=;=7)?>3g824<0<,8:m87??f29'f223`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?:92;392?6=8r.35948e69'55b52;>::6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?e3>2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo<;6082>3<729q/44:57d58 46c:38?=;5+101e>46>>2.:ei3>0D57>;o31121b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=47295?0=83:p(57;:6g4?!77l;098<84$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=k=20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm256:>4<1290;w)664;5f3>"68m81>9?9;%327c<680<0(<>i4;33b6=#0kk186F7909m57302j>27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3673:16:&256`=993=7)??f5824c5<,1hj695G8838j442?3i?m6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0702<62?0;6=u+88693`1<,8:o>7<;179'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0h8o5f48g94?=n<:l1<75f49f94?=n995<5;|`1010=93<1<7>t$9;7>2c03-;;h?4=4048 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1o9m4i5;f>5<5<5;h605?6=3f;;;:4?::a6140280o6?4l{%33`7<5<8<0(5ln:79K<<7;7m;d:&f3f<68190("?1l035=5f1071>5<5;h01`?6=3`88;7>5;h075?6=3`=;m7>5;h53f?6=3`=;o7>5;h53`?6=3f;;h=4?::m44<<722h<<54?:b83>5}#989m6<>74:J4<2=O0k:0b<<:7;054`=#nlo1=9h4$9`b>3=#99n96?:>6:k2504=831b=<;>:188m26c2900e:>l:188m26e2900e:>n:188k26>2900e97j:188m7262900e?=8:188m74c2900e?<6:188yg77k80;654?:1y'545a28:396F8869K7<;179j67?=831b>?j50;9j661=831b>9?50;9j0:188m472:3:17bl7b;29?xu69=21<7=t^30:?8170389563>0b3967?:36?16;=652258946d9388;6s|106a>5<4sW8?=638098104=:99i:6?:>;|q242g=838pR:>n;<53vP80b9>35>=?9i0q~??7e83>7}Y?9n01:>7:62g?xu31l0;6>uQ48g8926?2=3n70??c087=`=z{=9m6=4<{_3214=:?921=<;>;<33g4<69<;0q~?>5383>6}Y98?9709?8;3217=:99i:66;<53ei3<0D57>;o3112>7>5;h6:a?6=3`;:9<4?::k16<<722c9>i4?::k172<722c98<4?::k44d<722c<=83i1<7>t$030b?770=1C;594H9`3?k75=>09:<>4$ggf>4373-2im784$02g6?439?1b=<;=:188m47293:17d9?d;29?l17k3:17d9?b;29?l17i3:17b9?9;29?l2>m3:17d<;1;29?l44?3:17d<=d;29?l4513:17pl>0b394?>=83:p(h6:<=1>;?>;%dfa?7282.3nl49;%33`7<5<8<0e?<6:188m74c2900e?=8:188m7262900e97j:188m47293:17d?>5383>>ie0k0;66s|106;>5<4sW89563809816<=:99i:6?<6;|q251?=839pR?uQ2258926?2;9<70??c08172=z{8;?n7>53z\104=:?921>9?4=02`5?4392wx==9n:181[17i27<<5480`9~w460j3:1>vP80c9>35>=?9h0q~??7b83>7}Y?9i01:>7:62`?xu68>n1<7;68j;184k4}r60b?6=;rT:=8?4=62;>472927:;0810:=8<4=02`5?76=;1v:>6:181[17127<<548089~yg43:10:6i4=:by'55b52;>::6*7b`85?M>>92d:>895c5d8 `1d28:3?6*>12d9<?750;9j67b=831b>>950;9j617=831b;=o50;9j35d=831b;=m50;9j35b=831d==j?:188k26>2900n:>7:18`>5<7s-;:?k4>0968L2>03A2i<6`>245963753-lni7?:1:&;fd<13-;;h?4=4048m472:3:17d?>5083>>o08m0;66g80b83>>o08k0;66g80`83>>i0800;66g;9d83>>o5<80;66g=3683>>o5:m0;66g=2883>>{e99i:6=47:183!76;o0:<5;4H6:4?M>e82B3mk5a1374>706;2.mih4>509'2.:m3:17d?>5083>>o69<81<75`b9`94?=z{8;?47>53z\16<=:?921>?74=02`5?4512wx=<:6:180[45l27<<54=2e9>55e62;8o7p}>15c94?5|V;9<709?8;003>;68j;1>>94}r320g<72:qU>9?4=62;>72634;;o<4=409~w460i3:1>vP80`9>35>=?9k0q~??7c83>7}Y?9h01:>7:62a?xu68>i1<75<5sW=;h63809844a=z{=3n6=4<{_6:a>;0810?5h5211a2>1?b3ty??k4?:2y]543634=;47?>509>55e628;>=6s|1071>5<4sW;:9?5271:9543534;;o<4>1408yv1713:1>vP8089>35>=?930qpl=43795?b=:3ip(<>k2;0753=#0kk1:6F7909m57302j?;7)k8c;33<6=#989m657k;%::a?>>82c:=8<50;9j0:188m74>2900e?:188m26f2900e:>m:188m26d2900e:>k:188k46c83:17b9?9;29?g1703:1o7>50z&256`=992?7E977:J;f5=i9;?<6?8>4:&ea`<6=;1/4oo56:&24a4=:=;=7d?>5383>>o69<;1<75f71f94?=n?9i1<75f71`94?=n?9k1<75`71;94?=n<0o1<75f25394?=n::=1<75f23f94?=n:;31<75rb02`5?6=03:112d955>23A=3;6F7b19K;7<9149'b`c=9<80(5ln:79'55b52;>::6g=2883>>o5:m0;66g=3683>>o5<80;66g;9d83>>o69<;1<75f1071>5<?74=62;>74>34;;o<4=289~w47313:1?vP=2e9>35>=:;n01<>l1;01`>{t98>j6=4<{_003>;08109?:5211a2>7503ty:=9l50;1xZ72634=;47<;1:?24f7=:=;0q~??7`83>7}Y?9k01:>7:62b?xu68>h1<75<5sW=;o63809844f=z{8:52z\44a=:?921;=j4}r6:a?6=;rT?5h5271:90l1;3214=z{8;>>7>53z\2504<5>:367}Y?9301:>7:62:?x{e:=8?6<4k:38`!77l;098<84$9`b>3=O00;0b<<:7;a65>"b?j0:<5=4$030b?>>l2.35h47919j54352900e97j:188m47293:17d<=9;29?l45l3:17d<<7;29?l4393:17d9?a;29?l17j3:17d9?c;29?l17l3:17b??d183>>i0800;66l80983>f<729q/=<=i:02;0>N00>1C4o>4n0063?419?1/jhk51418 =df2?1/==j=:3622>o69<81<75f1072>5<:o6=44i62`>5<:i6=44i62b>5<:26=44i5;f>5<:6=44i314>5<5<5}#989m6<>75:J4<2=O0k:0D5oi;o3112<5>8=0(kkj:070?!>ei3<0(<>k2;0753=n:;31<75f23f94?=n::=1<75f25394?=n<0o1<75f1072>5<>7>5;n`;f?6=3ty:=9650;1xZ74>34=;47<=9:?24f7=:;30q~?>4883>6}Y:;n01:>7:30g?877k809>i5rs037e?6=;rT9?:5271:9661<58:h=7<<7:p542e2908wS<;1:?44=<5<816==m>:362?xu68>k1<75<5sW=;n63809844g=z{8:52z\44f=:?921;=m4}r333a<72;qU;=j4=62;>26c3ty?5h4?:2y]0:3697j;<33g4<31l1v9=i:180[76=816;=651072?877k80:=8?4}r3217<72:qU=<;=;<53:0366>{t?931<70e0961713-2im784H9;2?k75=>0h9?5+e6a955>43-;:?k479e9'<m3:17d?>5083>>o5:00;66g=2e83>>o5;>0;66g=4083>>o08h0;66g80c83>>o08j0;66g80e83>>i68m:1<75`71;94?=e?921<7m50;2x 474n3;;495G7958L=d73g;99:4=60:8 ccb28??7)6ma;48 46c:38?=;5f1071>5<=7>5;h53`?6=3`=;o7>5;h53f?6=3`=;m7>5;n53=?6=3`>2i7>5;h075?6=3`88;7>5;h01`?6=3`8957>5;|`24f7=8321<7>t$030b?770<1C;594H9`3?M>fn2d:>895273:?!`bm3;>86*7b`85?!77l;098<84i30:>5<5<:6=44i5;f>5<=7>5;h3217<722ei4o4?::p542?2908wS<=9:?44=<5:016==m>:30:?xu69=31<7=t^30g?8170389h63>0b3967b:36?=8;<33g4<5;>1v5<5sW=;m63809844d=z{8:52z\44g=:?921;=l4}r333f<72;qU;=m4=62;>26d3ty:<:j50;0xZ26c34=;479?d:p0m27:1438926?28;>=63>0b3954363ty:=8<50;1xZ472:27<<54>1408946d93;:9?5rs62:>5<5sW=;563809844<=zuk8?>?4>:e81>f}#99n96?:>6:&;fd<13A22=6`>2459g05<,l=h6<>73:&256`=00n0(57j:9;3?l76=;0;66g;9d83>>o69<;1<75f23;94?=n:;n1<75f22594?=n:=;1<75f71c94?=n?9h1<75f71a94?=n?9n1<75`11f3>5<:26=44b62;>53g824=2<@>2<7E6m0:l2601=:?;j7)hje;361>"?jh0=7)??d381040>7>5;h3214<722c<i4?::k16<<722wi==m>:18;>5<7s-;:?k4>0978L2>03A2i<6F7ag9m57302;<:n6*ied8210=#0kk1:6*>0e0961713`8957>5;h01`?6=3`88;7>5;h075?6=3`>2i7>5;h3214<722c:=8<50;9lf=d=831v5<4sW89h63809816a=:99i:6?uQ2538926?2;>:70??c08104=z{8:52z\44d=:?921;=o4}r333g<72;qU;=l4=62;>26e3ty:<:m50;0xZ26d34=;479?c:p551c2909wS9?d:?44=<08m1v97j:180[2>m27<<54;9d9>55e62=3n7p};3g83>6}Y98?:709?8;3214=:99i:6539>35>=98?970??c08250452z\44<=:?921;=74}|`1077=93n1>7mt$02g6?439?1/4oo56:J;=4=i9;?<6n;;;%g4g?770:1/=<=i:9;g?!>>m322<6g>14094?=n<0o1<75f1072>5<5<5<:j6=44i62a>5<:h6=44i62g>5<5;n53=?6=3k=;47>5c;294~"69:l1==6;;I5;3>N?j91e=?;8:342g>"aml0:9;5+8cc92>"68m81>9?9;h3217<722c:=8?50;9j35b=831b;=m50;9j35d=831b;=o50;9l35?=831b84k50;9j617=831b>>950;9j67b=831b>?750;9~f46d93:147>50z&256`=992>7E977:J;f5=O0hl0b<<:7;055a=#nlo1=884$9`b>3=#99n96?:>6:k16<<722c9>i4?::k172<722c98<4?::k7=`<722c:=8?50;9j54352900co6m:188yv76<10;6>uQ23;8926?2;8270??c0816<=z{8;?57>53z\16a=:?921>?j4=02`5?45l2wx=<:n:180[44?27<<54=369>55e62;9<7p}>15`94?5|V;>:709?8;075>;68j;1>9?4}r333d<72;qU;=o4=62;>26f3ty:<:l50;0xZ26e34=;479?b:p551d2909wS9?c:?44=<08j1v<>8d;296~X08m16;=6571f8yv2>m3:1?vP;9d9>35>=<0o01<>l1;6:a>{t<:l1<7=t^0365>;0810:=8?4=02`5?76=81v7:0366>;68j;1=<;=;|q44<<72;qU;=74=62;>26>3twi>9;6:085>5<7s-22879j0:&24a4=:=;=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g035<8=7>5;n3332<722wi>9;7:085>5<7s-22879j0:&24a4=:=;=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g005<8=7>5;n3332<722wi>9;8:085>5<7s-22879j0:&24a4=:=;=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g015<8=7>5;n3332<722wi>9;9:085>5<7s-22879j0:&24a4=:=;=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g0>5<8=7>5;n3332<722wi>9;::085>5<7s-22879j0:&24a4=:=;=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g0?5<8=7>5;n3332<722wi>9;;:085>5<7s-22879j0:&24a4=:=;=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g0g5<8=7>5;n3332<722wi>9;<:085>5<7s-22879j0:&24a4=:=;=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g0d5<8=7>5;n3332<722wi>9;=:085>5<7s-22879j0:&24a4=:=;=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g0e5<8=7>5;n3332<722wi>9=6:085>5<7s-22879j0:&24a4=:=;=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459g0b5<8=7>5;n3332<722wi>;6<:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j?n7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27:a>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528a1?M>>92d:>895c4d8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<99382>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6c39K<<7;7m90:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;6=:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j<:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27:b>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528a2?M>>92d:>895c708m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<99082>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6c09K<<7;7m93:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;6>:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j>ie0k0;66sm27::>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528a3?M>>92d:>895c778m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<99182>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6c19K<<7;7m96:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;6?:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j<<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27:;>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`e?M>>92d:>895c7:8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<98g82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6bg9K<<7;7m99:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;9i:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j>ie0k0;66sm27:4>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`f?M>>92d:>895c7`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<98d82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6bd9K<<7;7m9c:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;9j:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j>ie0k0;66sm27:5>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`g?M>>92d:>895c7g8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<98e82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6be9K<<7;7m9f:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;9k:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j=;7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27:6>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528``?M>>92d:>895c638m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<98b82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6bb9K<<7;7m82:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;l::087>5<7s-;:?k46769'55b52;?nm6F7909m57302j=87d:jb;29?l24j3:17d??a983>>ie0k0;66sm27`g>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`a?M>>92d:>895c668m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9c382>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6bc9K<<7;7m85:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;l;:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j==7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27``>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`b?M>>92d:>895c658m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9c082>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b`9K<<7;7m88:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;l<:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j=27d:jb;29?l24j3:17d??a983>>ie0k0;66sm27`a>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`:?M>>92d:>895c6c8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9c182>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b89K<<7;7m8b:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;l=:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j=h7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27`b>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`;?M>>92d:>895c6f8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9bg82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b99K<<7;7m8e:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;l>:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j=m7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27`:>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`4?M>>92d:>895c928m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9bd82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b69K<<7;7m71:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;o9:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j297d:jb;29?l24j3:17d??a983>>ie0k0;66sm27ca>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`5?M>>92d:>895c918m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9b182>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b79K<<7;7m74:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;o::087>5<7s-;:?k46769'55b52;?nm6F7909m57302j2>7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27cb>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`6?M>>92d:>895c948m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9ag82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b49K<<7;7m77:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;o;:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j237d:jb;29?l24j3:17d??a983>>ie0k0;66sm27c:>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`7?M>>92d:>895c9;8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9ad82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b59K<<7;7m7a:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;o<:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j2i7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27c;>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`0?M>>92d:>895c9a8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9ae82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b29K<<7;7m7d:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;o=:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j2n7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27c4>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`1?M>>92d:>895c9d8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9ab82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b39K<<7;7m60:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;78:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j3:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27;`>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`2?M>>92d:>895c808m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9a082>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b09K<<7;7m63:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;79:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j3?7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27;a>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528`3?M>>92d:>895c878m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<9a182>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6b19K<<7;7m66:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;7::087>5<7s-;:?k46769'55b52;?nm6F7909m57302j3<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27;b>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528ce?M>>92d:>895c8:8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<99g82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6ag9K<<7;7m69:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;7;:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j3j7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27;:>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528cf?M>>92d:>895c8`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<99d82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6ad9K<<7;7m6c:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>;7<:087>5<7s-;:?k46769'55b52;?nm6F7909m57302j3o7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27;;>4<2290;w)?>3g8:5<=#00>1noh4$02g6?42mh1/jk>528cg?M>>92d:>895c8g8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<99e82>0<729q/=<=i:83:?!>><3ij=6*>0e0960cf3-lm<7<6ae9K<<7;7m6f:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>:8<:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3ij<6g61083>>o3;k0;66am8c83>>{e:><96<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?ef92c2=<4?::k77g<722ei4o4?::a622e280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:bc1?l2bj3:17d:>{e:>>l4$02g6?42mh1C44?4n0063?ef;2c2=<4?::k77g<722ei4o4?::a623c280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:bc7?l2bj3:17d:>{e:>>l4$02g6?42mh1C44?4n0063?ef=2c2=<4?::k77g<722ei4o4?::a623e280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:bc5?l2bj3:17d:>{e:>>l4$02g6?42mh1C44?4n0063?ef?2c2=<4?::k77g<722ei4o4?::a623>280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:bc;?l2bj3:17d:>{e:>>l4$02g6?42mh1C44?4n0063?ef12c2=<4?::k77g<722ei4o4?::a6230280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:bcb?l2bj3:17d:>{e:><26<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?efj2c2=<4?::k77g<722ei4o4?::a6232280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:bc`?l2bj3:17d:>{e:><36<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?efl2c2=<4?::k77g<722ei4o4?::a6234280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:bcf?l2bj3:17d:>{e:><<6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?efn2c2=<4?::k77g<722ei4o4?::a6236280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:b`3?l2bj3:17d:>{e:><=6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?ee92c2=<4?::k77g<722ei4o4?::a622a280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:b`1?l2bj3:17d:>{e:><>6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?ee;2c2=<4?::k77g<722ei4o4?::a622c280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:b`7?l2bj3:17d:>{e:><:6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?ee=2c2=<4?::k77g<722ei4o4?::a622f280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:b`5?l2bj3:17d:>{e:>9m6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?ee?2c2=<4?::k77g<722ei4o4?::a625b28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;aa<>o>980;66g;3c83>>ie0k0;66sm2604>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nl6;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a622>28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;aae>o>980;66g;3c83>>ie0k0;66sm261:>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nlm;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a622?28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;aag>o>980;66g;3c83>>ie0k0;66sm2614>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nlk;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a622028086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;aaa>o>980;66g;3c83>>ie0k0;66sm2616>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nli;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a622128086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;a`4>o>980;66g;3c83>>ie0k0;66sm2610>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nm>;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a622228086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;a`6>o>980;66g;3c83>>ie0k0;66sm2612>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nm<;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a622328086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;a`0>o>980;66g;3c83>>ie0k0;66sm260e>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nm:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a622428086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;a`2>o>980;66g;3c83>>ie0k0;66sm260g>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nm8;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a622528086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;a`<>o>980;66g;3c83>>ie0k0;66sm260a>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nm6;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a622628086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;a`e>o>980;66g;3c83>>ie0k0;66sm260:>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nmm;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a625c28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;a`g>o>980;66g;3c83>>ie0k0;66sm2605>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6nmk;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a63cb28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;a`a>o>980;66g;3c83>>ie0k0;66sm27gg>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mlf:k:54<722c??o4?::ma;j=:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jn;7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27g`>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mk1:k:54<722c??o4?::ma;j?:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jn97d:jb;29?l24j3:17d??a983>>ie0k0;66sm27ga>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mk3:k:54<722c??o4?::ma;mj:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jn?7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27gb>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mk5:k:54<722c??o4?::ma;ml:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jn=7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27g:>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mk7:k:54<722c??o4?::ma;mn:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jn37d:jb;29?l24j3:17d??a983>>ie0k0;66sm27d;>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mk9:k:54<722c??o4?::ma;k;:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jnj7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27d4>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mkb:k:54<722c??o4?::ma;k=:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jnh7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27d5>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mkd:k:54<722c??o4?::ma;k?:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jnn7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27d6>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mkf:k:54<722c??o4?::ma;jj:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jo;7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27d7>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mj1:k:54<722c??o4?::ma;jl:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jo97d:jb;29?l24j3:17d??a983>>ie0k0;66sm27d0>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mj3:k:54<722c??o4?::ma;jn:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jo?7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27d1>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mj5:k:54<722c??o4?::ma;j7:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jo=7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27d2>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mj7:k:54<722c??o4?::ma;j9:087>5<7s-;:?k46769'55b52;?nm6F7909m57302jo37d:jb;29?l24j3:17d??a983>>ie0k0;66sm27d3>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mj9:k:54<722c??o4?::ma;j;:087>5<7s-;:?k46769'55b52;?nm6F7909m57302joj7d:jb;29?l24j3:17d??a983>>ie0k0;66sm27g;>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mjb:k:54<722c??o4?::ma;m6:087>5<7s-;:?k46769'55b52;?nm6F7909m57302joh7d:jb;29?l24j3:17d??a983>>ie0k0;66sm263a>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7mjd:k:54<722c??o4?::ma:?n:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3ini6g61083>>o3;k0;66am8c83>>{e:>:86<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>fca3`>nn7>5;h60f?6=3`;;m54?::ma:<::080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3im<6g61083>>o3;k0;66am8c83>>{e:>;>6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>f`63`>nn7>5;h60f?6=3`;;m54?::ma:<;:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3im>6g61083>>o3;k0;66am8c83>>{e:>;86<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>f`43`>nn7>5;h60f?6=3`;;m54?::ma:<<:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3im86g61083>>o3;k0;66am8c83>>{e:>;:6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>f`23`>nn7>5;h60f?6=3`;;m54?::ma:<=:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3im:6g61083>>o3;k0;66am8c83>>{e:>:m6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>f`03`>nn7>5;h60f?6=3`;;m54?::ma:<>:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3im46g61083>>o3;k0;66am8c83>>{e:>:o6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>f`>3`>nn7>5;h60f?6=3`;;m54?::ma:5<7s-;:?k4>22`8 46c:38>il5G8838j442?3imm6g61083>>o3;k0;66am8c83>>{e:>:i6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>f`e3`>nn7>5;h60f?6=3`;;m54?::ma:?i:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3imo6g61083>>o3;k0;66am8c83>>{e:>:26<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>f`c3`>nn7>5;h60f?6=3`;;m54?::ma:?j:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3imi6g61083>>o3;k0;66am8c83>>{e:>:<6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>f`a3`>nn7>5;h60f?6=3`;;m54?::ma:?k:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n;<6g61083>>o3;k0;66am8c83>>{e:>:>6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a663`>nn7>5;h60f?6=3`;;m54?::ma:?6:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n;>6g61083>>o3;k0;66am8c83>>{e:>:96<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a643`>nn7>5;h60f?6=3`;;m54?::ma;9m:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n;86g61083>>o3;k0;66am8c83>>{e:?=j6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b7=2c2=<4?::k77g<722ei4o4?::a630?280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e25?l2bj3:17d:>{e:?=26<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b7?2c2=<4?::k77g<722ei4o4?::a6300280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e2;?l2bj3:17d:>{e:?=:6<4<:183!76;o02=45+8869``?<,8:o>7<:e`9K<<7;7j?9:k;e4<722c3m?4?::mf3a<722wi>;97:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n;m6g61083>>o3;k0;66am8c83>>{e:?<=6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a6e3`>nn7>5;h60f?6=3`;;m54?::ma;9?:080>5<7s-;:?k46189'<<2=ll30(<>k2;06ad=O00;0b<<:7;f3g>o?i80;66g7a383>>ib?m0;66sm2754>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j?d:k:54<722c??o4?::ma;8::087>5<7s-;:?k46769'55b52;?nm6F7909m57302m:n7d:jb;29?l24j3:17d??a983>>ie0k0;66sm274e>4<4290;w)?>3g8:5<=#00>1hh74$02g6?42mh1C44?4n0063?b7n2c3m<4?::k;e7<722en;i4?::a631128086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f24>o>980;66g;3c83>>ie0k0;66sm2747>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i?>;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a630b28086=4?{%327c<>901/44:5dd;8 46c:38>il5G8838j442?3n:>6g7a083>>o?i;0;66aj7e83>>{e:?=>6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b6;2c2=<4?::k77g<722ei4o4?::a6304280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e37?l2bj3:17d:>{e:?7<:e`9K<<7;7j>5:k;e4<722c3m?4?::mf3a<722wi>;9;:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n::6g61083>>o3;k0;66am8c83>>{e:?<96<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a703`>nn7>5;h60f?6=3`;;m54?::ma;8l:080>5<7s-;:?k46189'<<2=ll30(<>k2;06ad=O00;0b<<:7;f2<>o?i80;66g7a383>>ib?m0;66sm2750>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j>9:k:54<722c??o4?::ma;8>:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m;j7d:jb;29?l24j3:17d??a983>>ie0k0;66sm274a>4<4290;w)?>3g8:5<=#00>1hh74$02g6?42mh1C44?4n0063?b6j2c3m<4?::k;e7<722en;i4?::a631528086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f2g>o>980;66g;3c83>>ie0k0;66sm2743>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i?k;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a630f28086=4?{%327c<>901/44:5dd;8 46c:38>il5G8838j442?3n:i6g7a083>>o?i;0;66aj7e83>>{e:1i>6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b6n2c2=<4?::k77g<722ei4o4?::a6=e328086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f14>o>980;66g;3c83>>ie0k0;66sm29c0>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i<>;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6=eb28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f16>o>980;66g;3c83>>ie0k0;66sm29c`>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i<<;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6=ec28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f10>o>980;66g;3c83>>ie0k0;66sm29ca>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i<:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6=ed28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f12>o>980;66g;3c83>>ie0k0;66sm29cb>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i<8;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6=ee28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f1<>o>980;66g;3c83>>ie0k0;66sm29c:>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i<6;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6=ef28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f1e>o>980;66g;3c83>>ie0k0;66sm29c;>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i8n7>5;h33e=<722ei4o4?::a6=e>28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f1g>o>980;66g;3c83>>ie0k0;66sm29c4>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i8n7>5;h33e=<722ei4o4?::a6=e?28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f1a>o>980;66g;3c83>>ie0k0;66sm29c5>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i8n7>5;h33e=<722ei4o4?::a6=e028086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f04>o>980;66g;3c83>>ie0k0;66sm29c6>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i=>;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6=e128086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f06>o>980;66g;3c83>>ie0k0;66sm29c7>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i=<;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6=e428086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f00>o>980;66g;3c83>>ie0k0;66sm29c2>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i=:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6=3>28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f02>o>980;66g;3c83>>ie0k0;66sm297;>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j<7:k:54<722c??o4?::ma5=8:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m937d:jb;29?l24j3:17d??a983>>ie0k0;66sm2941>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j<9:k:54<722c??o4?::ma5:?:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m9j7d:jb;29?l24j3:17d??a983>>ie0k0;66sm2942>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j5=i:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m9h7d:jb;29?l24j3:17d??a983>>ie0k0;66sm2943>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j5=j:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m9n7d:jb;29?l24j3:17d??a983>>ie0k0;66sm297e>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j5=k:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m>;7d:jb;29?l24j3:17d??a983>>ie0k0;66sm297f>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j;1:k:54<722c??o4?::ma5=l:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m>97d:jb;29?l24j3:17d??a983>>ie0k0;66sm297g>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j;3:k:54<722c??o4?::ma5=m:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m>?7d:jb;29?l24j3:17d??a983>>ie0k0;66sm297`>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j;5:k:54<722c??o4?::ma5=n:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m>=7d:jb;29?l24j3:17d??a983>>ie0k0;66sm297a>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j;7:k:54<722c??o4?::ma5=6:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m>37d:jb;29?l24j3:17d??a983>>ie0k0;66sm297b>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j;9:k:54<722c??o4?::ma5=7:087>5<7s-;:?k46769'55b52;?nm6F7909m57302m>j7d:jb;29?l24j3:17d??a983>>ie0k0;66sm2974>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j;b:k:54<722c??o4?::ma5=::087>5<7s-;:?k46769'55b52;?nm6F7909m57302m>h7d:jb;29?l24j3:17d??a983>>ie0k0;66sm29;4>4<4290;w)?>3g8266d<,8:o>7<:e`9K<<7;7j;d:k:54<722c??o4?::ma579:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n?i6g61083>>o3;k0;66am8c83>>{e:1<26<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a2a3`>nn7>5;h60f?6=3`;;m54?::ma57::080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n><6g61083>>o3;k0;66am8c83>>{e:1<36<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a363`>nn7>5;h60f?6=3`;;m54?::ma57;:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n>>6g61083>>o3;k0;66am8c83>>{e:1<<6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a343`>nn7>5;h60f?6=3`;;m54?::ma57<:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n>86g61083>>o3;k0;66am8c83>>{e:1<=6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a323`>nn7>5;h60f?6=3`;;m54?::ma57=:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n>:6g61083>>o3;k0;66am8c83>>{e:1<>6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a303`>nn7>5;h60f?6=3`;;m54?::ma5o?:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n>46g61083>>o3;k0;66am8c83>>{e:1=96<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a3>3`>nn7>5;h60f?6=3`;;m54?::ma57i:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n>m6g61083>>o3;k0;66am8c83>>{e:1=:6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a3e3`>nn7>5;h60f?6=3`;;m54?::ma57j:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n>o6g61083>>o3;k0;66am8c83>>{e:1=;6<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a3c3`>nn7>5;h60f?6=3`;;m54?::ma57k:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n>i6g61083>>o3;k0;66am8c83>>{e:173bi2B35<5a1374>a3a3`>nn7>5;h60f?6=3`;;m54?::ma57l:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n=<6g61083>>o3;k0;66am8c83>>{e:173bi2B35<5a1374>a063`>nn7>5;h60f?6=3`;;m54?::ma57m:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n=>6g61083>>o3;k0;66am8c83>>{e:173bi2B35<5a1374>a043`>nn7>5;h60f?6=3`;;m54?::ma57n:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n=86g61083>>o3;k0;66am8c83>>{e:173bi2B35<5a1374>a023`>nn7>5;h60f?6=3`;;m54?::ma576:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n=:6g61083>>o3;k0;66am8c83>>{e:173bi2B35<5a1374>a003`>nn7>5;h60f?6=3`;;m54?::ma577:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n=46g61083>>o3;k0;66am8c83>>{e:173bi2B35<5a1374>a0>3`>nn7>5;h60f?6=3`;;m54?::ma57>:080>5<7s-;:?k4>22`8 46c:38>il5G8838j442?3n=m6g61083>>o3;k0;66am8c83>>{e:1<86<4;:183!76;o02;:5+11f1>73bi2B35<5a1374>a0e3`>nn7>5;h60f?6=3`;;m54?::ma55<7s-;:?k4>22`8 46c:38>il5G8838j442?3n=o6g61083>>o3;k0;66am8c83>>{e:18j6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b1l2c2=<4?::k77g<722ei4o4?::a6=6>280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e4f?l2bj3:17d:>{e:19?6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b1n2c2=<4?::k77g<722ei4o4?::a6=75280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e53?l2bj3:17d:>{e:1986<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b092c2=<4?::k77g<722ei4o4?::a6=76280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e51?l2bj3:17d:>{e:1996<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b0;2c2=<4?::k77g<722ei4o4?::a6=77280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e57?l2bj3:17d:>{e:19:6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b0=2c2=<4?::k77g<722ei4o4?::a6=6a280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e55?l2bj3:17d:>{e:19;6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b0?2c2=<4?::k77g<722ei4o4?::a6=6b280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e5;?l2bj3:17d:>{e:18m6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b012c2=<4?::k77g<722ei4o4?::a6=6c280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e5b?l2bj3:17d:>{e:18n6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b0j2c2=<4?::k77g<722ei4o4?::a6=6d280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e5`?l2bj3:17d:>{e:18o6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b0l2c2=<4?::k77g<722ei4o4?::a6=6e280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e5f?l2bj3:17d:>{e:18h6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b0n2c2=<4?::k77g<722ei4o4?::a6=6f280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e:3?l2bj3:17d:>{e:1826<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b?92c2=<4?::k77g<722ei4o4?::a6=60280?6=4?{%327c<>?>1/==j=:37fe>N?181e=?;8:e:1?l2bj3:17d:>{e:0>>6<4<:183!76;o0:>>l4$02g6?42mh1C44?4n0063?b?;2c2=<4?::k77g<722ei4o4?::a6<2328086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f;0>o>980;66g;3c83>>ie0k0;66sm29g4>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i6:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2528086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f;2>o>980;66g;3c83>>ie0k0;66sm29g6>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i68;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2628086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f;<>o>980;66g;3c83>>ie0k0;66sm29g7>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i66;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2728086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f;e>o>980;66g;3c83>>ie0k0;66sm29g0>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i6m;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5a28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f;g>o>980;66g;3c83>>ie0k0;66sm29g1>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i6k;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5b28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f;a>o>980;66g;3c83>>ie0k0;66sm29g2>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i6i;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5c28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f:4>o>980;66g;3c83>>ie0k0;66sm29g3>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i7>;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5d28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f:6>o>980;66g;3c83>>ie0k0;66sm29fe>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i7<;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5e28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f:0>o>980;66g;3c83>>ie0k0;66sm29ff>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i7:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5f28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f:2>o>980;66g;3c83>>ie0k0;66sm29fg>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i78;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5>28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f:<>o>980;66g;3c83>>ie0k0;66sm29f`>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i76;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5028086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f:e>o>980;66g;3c83>>ie0k0;66sm29fb>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i7m;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5128086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f:g>o>980;66g;3c83>>ie0k0;66sm29f:>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i7k;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5228086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f:a>o>980;66g;3c83>>ie0k0;66sm29f;>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6i7i;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5328086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fb4>o>980;66g;3c83>>ie0k0;66sm29f4>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6io>;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5428086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fb6>o>980;66g;3c83>>ie0k0;66sm29f5>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6io<;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5528086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fb0>o>980;66g;3c83>>ie0k0;66sm29f6>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6io:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5628086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fb2>o>980;66g;3c83>>ie0k0;66sm29f7>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6io8;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5728086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fb<>o>980;66g;3c83>>ie0k0;66sm29f0>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6io6;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<4a28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fbe>o>980;66g;3c83>>ie0k0;66sm29f1>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6iom;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<4b28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fbg>o>980;66g;3c83>>ie0k0;66sm29f2>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6iok;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2d28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fba>o>980;66g;3c83>>ie0k0;66sm29gf>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6ioi;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2e28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fa4>o>980;66g;3c83>>ie0k0;66sm29gg>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6il>;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2f28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fa6>o>980;66g;3c83>>ie0k0;66sm29g`>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6il<;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2>28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fa0>o>980;66g;3c83>>ie0k0;66sm29ga>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6il:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2?28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fa2>o>980;66g;3c83>>ie0k0;66sm29gb>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6il8;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2028086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fa<>o>980;66g;3c83>>ie0k0;66sm29g:>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6il6;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2128086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fae>o>980;66g;3c83>>ie0k0;66sm29g;>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6ilm;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<2428086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;fag>o>980;66g;3c83>>ie0k0;66sm29g5>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6ilk;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<5?28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;faa>o>980;66g;3c83>>ie0k0;66sm29fa>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6ili;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6<4c28086=4?{%327c<6::h0(<>k2;06ad=O00;0b<<:7;f`4>o>980;66g;3c83>>ie0k0;66sm29ae>4<3290;w)?>3g8:32=#99n96?;ja:J;=4=i9;?<6im>;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a62c4280<6=4?{%327c<>901/44:5c678 46c:38>il5G8838j442?3nh>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:>o36<48:183!76;o02=45+8869g23<,8:o>7<:e`9K<<7;7jl3:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi>:k6:084>5<7s-;:?k46189'<<2=k>?0(<>k2;06ad=O00;0b<<:7;f`0>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm26d1>4<2290;w)?>3g8:5<=#00>1onm4$02g6?42mh1C44?4n0063?bd=2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a62c3280<6=4?{%327c<>901/44:5c678 46c:38>il5G8838j442?3nh:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:>oj6<48:183!76;o02=45+8869g23<,8:o>7<:e`9K<<7;7jl7:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi>:km:084>5<7s-;:?k46189'<<2=k>?0(<>k2;06ad=O00;0b<<:7;f`<>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm26d0>4<2290;w)?>3g8:5<=#00>1onm4$02g6?42mh1C44?4n0063?bd12c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a62c2280<6=4?{%327c<>901/44:5c678 46c:38>il5G8838j442?3nhm6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:>oh6<48:183!76;o02=45+8869g23<,8:o>7<:e`9K<<7;7jlb:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi>:kk:084>5<7s-;:?k46189'<<2=k>?0(<>k2;06ad=O00;0b<<:7;f`g>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm26d7>4<2290;w)?>3g8:5<=#00>1onm4$02g6?42mh1C44?4n0063?bdl2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a62c1280<6=4?{%327c<>901/44:5c678 46c:38>il5G8838j442?3nhi6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:>on6<48:183!76;o02=45+8869g23<,8:o>7<:e`9K<<7;7jlf:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi>:ki:084>5<7s-;:?k46189'<<2=k>?0(<>k2;06ad=O00;0b<<:7;fg4>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm26d6>4<2290;w)?>3g8:5<=#00>1onm4$02g6?42mh1C44?4n0063?bc92c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a62c0280>6=4?{%327c<>901/44:5cba8 46c:38>il5+fg2956c33A22=6`>2459`a45<5<<7>3-2287m84:&24a4=::188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo<8f082>2<729q/=<=i:83:?!>><3i<96*>0e0960cf3A22=6`>2459`a25<5<6=44i9c5>5<<7>3-2287jj5:&24a4=:o?i80;66g7a383>>o?i:0;66aj7e83>>{e:h?86<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:ef5?l2>m3:17d:>o3;80;66a>06594?=zj;k>>7?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;fg3>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568g`==n<0o1<75f42d94?=n<1n1<75f114f>5<51;494?6|,13?6:k8;%33`7<5=lk0(i3:&;fd<33A22=6`>2459`a?5<8=7>5;n3332<722wi>l:i:085>5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'abf3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?o;e;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?bcj2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=a4c95?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=lmn0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2`7:>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302mnn7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3c63:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0b12<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0oi=5f48g94?=n<:l1<75f49f94?=n995<5;|`1e00=93<1<7>t$9;7>2c03-;;h?4=5dc8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1hh?4i5;f>5<5<5;h605?6=3f;;;:4?::a6d32280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7g2<3;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7jj3:k7=`<722c??k4?::k7k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4ke59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5i=i1=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895dd78m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:j8?6<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:eg5?l2>m3:17d:>o3;80;66a>06594?=zj;i9?7?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;ff3>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<n4>:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568ga==n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459``?5<8=7>5;n3332<722wi>n5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'acf3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?m=9;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?bbj2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=c3595?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=lln0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2b05>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302mon7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3a11?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0`67<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0oj=5f48g94?=n<:l1<75f49f94?=n995<5;|`1g77=93<1<7>t$9;7>2c03-;;h?4=5dc8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1hk?4i5;f>5<5<5;h605?6=3f;;;:4?::a6f6d280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7e7j3;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7ji3:k7=`<722c??k4?::k7k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4kf59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5k891=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895dg78m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:j;96<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:ed5?l2>m3:17d:>o3;80;66a>06594?=zj;i:=7?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;fe3>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568gb==n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459`c?5<8=7>5;n3332<722wi>n>j:085>5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'a`f3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?m?d;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?baj2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=c1;95?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=lon0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2cd7>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302mln7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3`e7?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0abf<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0n<=5f48g94?=n<:l1<75f49f94?=n995<5;|`1fcd=93<1<7>t$9;7>2c03-;;h?4=5dc8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1i=?4i5;f>5<5<5;h605?6=3f;;;:4?::a6g`f280=6=4?{%::0?1b?2.:=;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7da13;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7k?3:k7=`<722c??k4?::k7k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4j059j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5jo=1=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895e178m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:kl=6<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:d25?l2>m3:17d:>o3;80;66a>06594?=zj;hm97?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;g33>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568f4==n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459a5?5<8=7>5;n3332<722wi>l69:085>5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'`6f3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?o75;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?c7j2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=a9195?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=m9n0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2`:1>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302l:n7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3c10?7=>3:1l0;66g;3083>>i68>=1<75rb3c17?7=>3:1l0;66g;3083>>i68>=1<75rb3c16?7=>3:1l0;66g;3083>>i68>=1<75rb3c2g?7=>3:16g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0b5g<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0n=>5f48g94?=n<:l1<75f49f94?=n995<5;|`1e4g=93<1<7>t$9;7>2c03-;;h?4=5dc8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1i<:4i5;f>5<5<5;h605?6=3f;;;:4?::a6d7>280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7g603;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7k>6:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l=0(<>k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4j169j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5i8<1=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895e0:8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:h;>6<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:d3:?l2>m3:17d:>o3;80;66a>06594?=zj;k:87?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;g2e>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<4>:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568f5g=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f5f=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f5a=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f5`=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f5c=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f65=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f64=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f67=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f66=n<0o1<75f42d94?=n<1n1<75f114f>5<51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=568f61=n<0o1<75f42d94?=n<1n1<75f114f>5<:51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=568f60=n<0o1<75f42d94?=n<1n1<75f114f>5<=51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=568f63=n<0o1<75f42d94?=n<1n1<75f114f>5<<51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=568f62=n<0o1<75f42d94?=n<1n1<75f114f>5<?51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=568f6==n<0o1<75f42d94?=n<1n1<75f114f>5<>51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=568f6<=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f6d=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f6g=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568f6f=n<0o1<75f42d94?=n<1n1<75f114f>5<;51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=568f6a=n<0o1<75f42d94?=n<1n1<75f114f>5<:51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=568f6`=n<0o1<75f42d94?=n<1n1<75f114f>5<=51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=568f6c=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?d>3A22=6`>2459a665<8=7>5;n3332<722wi>o<=:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a675<8=7>5;n3332<722wi>o5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a645<8=7>5;n3332<722wi>o?i:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a655<8=7>5;n3332<722wi>o?j:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a625<8=7>5;n3332<722wi>o?k:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a635<8=7>5;n3332<722wi>o?l:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a605<8=7>5;n3332<722wi>o?m:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a615<8=7>5;n3332<722wi>o?n:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a6>5<8=7>5;n3332<722wi>o?6:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a6?5<8=7>5;n3332<722wi>o?7:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a6g5<8=7>5;n3332<722wi>o?8:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a6d5<8=7>5;n3332<722wi>o?::085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a6e5<8=7>5;n3332<722wi>o?;:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a6b5<8=7>5;n3332<722wi>o?<:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a6c5<8=7>5;n3332<722wi>o?=:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a6`5<8=7>5;n3332<722wi>o?>:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a165<8=7>5;n3332<722wi>o??:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a175<8=7>5;n3332<722wi>o>i:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a145<8=7>5;n3332<722wi>o>j:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a155<8=7>5;n3332<722wi>o>k:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a125<8=7>5;n3332<722wi>o>l:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a135<8=7>5;n3332<722wi>o<6:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a105<8=7>5;n3332<722wi>o<7:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a115<8=7>5;n3332<722wi>o<8:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a1>5<8=7>5;n3332<722wi>o<9:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a1?5<8=7>5;n3332<722wi>o<::085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a1g5<8=7>5;n3332<722wi>o<;:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a1d5<8=7>5;n3332<722wi>o<>:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a1e5<8=7>5;n3332<722wi>o?9:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a1b5<8=7>5;n3332<722wi>o>m:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a1c5<8=7>5;n3332<722wi>o>n:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a1`5<8=7>5;n3332<722wi>lo>:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a065<8=7>5;n3332<722wi>lo?:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a075<8=7>5;n3332<722wi>l7i:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a045<8=7>5;n3332<722wi>l7j:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a055<8=7>5;n3332<722wi>l7k:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a025<8=7>5;n3332<722wi>l7l:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a035<8=7>5;n3332<722wi>l7m:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a005<8=7>5;n3332<722wi>lo6:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a015<8=7>5;n3332<722wi>lo7:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a0>5<8=7>5;n3332<722wi>lo8:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a0?5<8=7>5;n3332<722wi>lo9:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a0g5<8=7>5;n3332<722wi>lo::085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a0d5<8=7>5;n3332<722wi>lo;:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a0e5<8=7>5;n3332<722wi>lo<:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a0b5<8=7>5;n3332<722wi>lo=:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a0c5<8=7>5;n3332<722wi>l7n:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a0`5<8=7>5;n3332<722wi>l76:085>5<7s-22879j0:&24a4=:3g824<0<,8:m87==2:&;fd<33A22=6`>2459a365<8=7>5;n3332<722wi>l=6:085>5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'`063`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?o<8;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?c1:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=b5495?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=m?>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2c66>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302l<>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3`70?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0a06<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0n::5f48g94?=n<:l1<75f49f94?=n995<5;|`1f14=93<1<7>t$9;7>2c03-;;h?4=5dc8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1i;64i5;f>5<5<5;h605?6=3f;;;:4?::a6g26280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7d383;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7k9a:k7=`<722c??k4?::k7k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4j6c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5j>21=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895e7a8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:k=<6<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:d4g?l2>m3:17d:>o3;80;66a>06594?=zj;h<:7?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;g5a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568f2c=n<0o1<75f42d94?=n<1n1<75f114f>5<51;494?6|,13?6:k8;%33`7<5=lk0(i3:&;fd<33A22=6`>2459a265<8=7>5;n3332<722wi>o8i:085>5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'`163`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?l9e;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?c0:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=b7a95?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=m>>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2c4a>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302l=>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3`5e?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0a24<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0n;:5f48g94?=n<:l1<75f49f94?=n995<5;|`1f36=93<1<7>t$9;7>2c03-;;h?4=5dc8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1i:64i5;f>5<5<5;h605?6=3f;;;:4?::a6g3a280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7d2m3;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7k8a:k7=`<722c??k4?::k7k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4j7c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5jo<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895e6a8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:k?i6<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:d5g?l2>m3:17d:>o3;80;66a>06594?=zj;h>m7?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;g4a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568f3c=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459a=65<8=7>5;n3332<722wi>ojk:085>5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'`>63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?lkc;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?c?:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=be795?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=m1>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2cf7>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302l2>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3`g7?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0a`7<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0n4:5f48g94?=n<:l1<75f49f94?=n995<5;|`1fa7=93<1<7>t$9;7>2c03-;;h?4=5dc8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1i564i5;f>5<5<5;h605?6=3f;;;:4?::a6g36280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7d283;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7k7a:k7=`<722c??k4?::k7k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4j8c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5j=o1=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895e9a8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:k>o6<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:d:g?l2>m3:17d:>o3;80;66a>06594?=zj;hin7?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;g;a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568f5<i3:&;fd<33A22=6`>2459a<65<8=7>5;n3332<722wi>ol7:085>5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'`?63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?lm7;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?c>:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=bc295?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=m0>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2cce>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302l3>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3`ba?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0agg<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0n5:5f48g94?=n<:l1<75f49f94?=n995<5;|`1ffg=93<1<7>t$9;7>2c03-;;h?4=5dc8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1i464i5;f>5<5<5;h605?6=3f;;;:4?::a6ge>280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7dd03;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7k6a:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l=0(<>k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4j9c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5jj<1=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895e8a8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:ki>6<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:d;g?l2>m3:17d:>o3;80;66a>06594?=zj;hh87?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;g:a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568f=c=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459ad65<8=7>5;n3332<722wi>o78:085>5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'`g63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?l66;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?cf:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=b8295?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=mh>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2c:e>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302lk>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3`;a?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0a7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0nm:5f48g94?=n<:l1<75f49f94?=n995<5;|`1f=e=93<1<7>t$9;7>2c03-;;h?4=5dc8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1il64i5;f>5<5<5;h605?6=3f;;;:4?::a6g>e280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7d?i3;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7kna:k7=`<722c??k4?::k7k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4jac9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5jh21=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895e`a8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:kk<6<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:dcg?l2>m3:17d:>o3;80;66a>06594?=zj;hj:7?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;gba>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568fec=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459ag65<8=7>5;n3332<722wi>oo<:085>5<7s-22879j7:&24a4=:3g824<0<,8:m87??f29'`d63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?ln2;392?6=8r.35948e69'55b52;?nm6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?ce:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38>il5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=b9295?0=83:p(57;:6g4?!77l;099ho4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=mk>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2c5e>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m57302lh>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3`4a?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0b3d<62?0;6=u+88693`6<,8:o>7<:e`9'545a28:2:6*>0g69774<,1hj695G8838j442?3oi;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0b70<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0nn55f48g94?=n<:l1<75f49f94?=n995<5;|`1g33=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:d`:?l?693:17d:"68m81>n:=;I::5>h6:<=1ioo4i5ga>5<5;|`1g0?=9391<7>t$030b??612.3594ke89'55b52;i?>6F7909m57302lhi7d6n1;29?l>f:3:17bk8d;29?xd5k?>1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>`dd3`3:=7>5;h60f?6=3fh3n7>5;|`1g1e=93>1<7>t$030b??0?2.:>92d:>895ecf8m1ce2900e9=m:188m46f03:17bl7b;29?xd5k?o1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>`db3`3:=7>5;h60f?6=3fh3n7>5;|`1g00=93>1<7>t$030b??0?2.:>92d:>895ecd8m1ce2900e9=m:188m46f03:17bl7b;29?xd5k?n1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>`e73`3:=7>5;h60f?6=3fh3n7>5;|`1g03=93>1<7>t$030b??0?2.:>92d:>895eb38m1ce2900e9=m:188m46f03:17bl7b;29?xd5k?;1=7=50;2x 474n33:56*7958ga<=#99n96?m;2:J;=4=i9;?<6hm=;h:b5?6=3`2j>7>5;ng4`?6=3th9o;m51;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302li87d7>1;29?l24j3:17bl7b;29?xd5k<>1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459af25<5;n`;f?6=3th9o;>51;194?6|,8;8j77>9:&;=1N?181e=?;8:da6?l>f93:17d6n2;29?jc0l3:17pl=c7`95?5=83:p(8n7>5;n`;f?6=3th9o8=51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=mj=0e9km:188m15e2900e<>n8;29?jd?j3:17pl=c4d95?5=83:p("?1=0oi45+11f1>7e3:2B35<5a1374>`e?3`2j=7>5;h:b6?6=3fo5;|`1g3g=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:da:?l?693:17d:"68m81>n:=;I::5>h6:<=1ino4i5ga>5<5;|`1g0c=9391<7>t$030b??612.3594ke89'55b52;i?>6F7909m57302lii7d6n1;29?l>f:3:17bk8d;29?xd5k?31=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>`ed3`3:=7>5;h60f?6=3fh3n7>5;|`1g07=93>1<7>t$030b??0?2.:>92d:>895ebf8m1ce2900e9=m:188m46f03:17bl7b;29?xd5k7>5;ng4`?6=3th9o;651;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302lim7d7>1;29?l24j3:17bl7b;29?xd5k<:1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459aa65<5;n`;f?6=3th9o8m51;194?6|,8;8j77>9:&;=1N?181e=?;8:df2?l>f93:17d6n2;29?jc0l3:17pl=c7595?5=83:p(8n7>5;n`;f?6=3th9o9h51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=mm90e9km:188m15e2900e<>n8;29?jd?j3:17pl=c4`95?5=83:p("?1=0oi45+11f1>7e3:2B35<5a1374>`b33`2j=7>5;h:b6?6=3fo5;|`1g30=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:df6?l?693:17d:"68m81>n:=;I::5>h6:<=1ii84i5ga>5<5;|`1g0g=9391<7>t$030b??612.3594ke89'55b52;i?>6F7909m57302ln<7d6n1;29?l>f:3:17bk8d;29?xd5k?91=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>`b?3`3:=7>5;h60f?6=3fh3n7>5;|`1g1d=93>1<7>t$030b??0?2.:>92d:>895ee;8m1ce2900e9=m:188m46f03:17bl7b;29?xd5k<=1=7=50;2x 474n33:56*7958ga<=#99n96?m;2:J;=4=i9;?<6hjn;h:b5?6=3`2j>7>5;ng4`?6=3th9h6F7909m57302lni7d7>1;29?l24j3:17bl7b;29?xd5l8n1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>`bd3`3:=7>5;h60f?6=3fh3n7>5;|`1gcb=93>1<7>t$030b??0?2.:>92d:>895eef8m1ce2900e9=m:188m46f03:17bl7b;29?xd5l9n1=7=50;2x 474n33:56*7958ga<=#99n96?m;2:J;=4=i9;?<6hjj;h:b5?6=3`2j>7>5;ng4`?6=3th9h6F7909m57302lnm7d7>1;29?l24j3:17bl7b;29?xd5koi1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459a`65<5;n`;f?6=3th9h=m51;194?6|,8;8j77>9:&;=1N?181e=?;8:dg2?l>f93:17d6n2;29?jc0l3:17pl=d0`95?5=83:p(8n7>5;n`;f?6=3th9okl51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=ml90e9km:188m15e2900e<>n8;29?jd?j3:17pl=d1`95?5=83:p("?1=0oi45+11f1>7e3:2B35<5a1374>`c33`2j=7>5;h:b6?6=3fo5;|`1`4g=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:dg6?l?693:17d:"68m81>n:=;I::5>h6:<=1ih84i5ga>5<5;|`1`5g=9391<7>t$030b??612.3594ke89'55b52;i?>6F7909m57302lo<7d6n1;29?l>f:3:17bk8d;29?xd5l831=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>`c?3`3:=7>5;h60f?6=3fh3n7>5;|`1gc?=93>1<7>t$030b??0?2.:>92d:>895ed;8m1ce2900e9=m:188m46f03:17bl7b;29?xd5l931=7=50;2x 474n33:56*7958ga<=#99n96?m;2:J;=4=i9;?<6hkn;h:b5?6=3`2j>7>5;ng4`?6=3th9h<651;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302loi7d7>1;29?l24j3:17bl7b;29?xd5ko21=7:50;2x 474n33<;6*>0e096f253A22=6`>2459a`e5<5;n`;f?6=3th9h=651;194?6|,8;8j77>9:&;=1N?181e=?;8:dgg?l>f93:17d6n2;29?jc0l3:17pl=d0595?5=83:p(8n7>5;n`;f?6=3th9ok951;694?6|,8;8j7787:&24a4=:j>97E661:l2601=mll0e9km:188m15e2900e<>n8;29?jd?j3:17pl=d1595?5=83:p("?1=0oi45+11f1>7e3:2B35<5a1374>``73`2j=7>5;h:b6?6=3fo5;|`1`71=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:dd2?l?693:17d:"68m81>n:=;I::5>h6:<=1ik<4i5ga>5<5;|`1`40=9391<7>t$030b??612.3594ke89'55b52;i?>6F7909m57302ll87d6n1;29?l>f:3:17bk8d;29?xd5l;<1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>``33`3:=7>5;h60f?6=3fh3n7>5;|`1`53=93>1<7>t$030b??0?2.:>92d:>895eg78m1ce2900e9=m:188m46f03:17bl7b;29?xd5l8?1=7=50;2x 474n33:56*7958ga<=#99n96?m;2:J;=4=i9;?<6hh9;h:b5?6=3`2j>7>5;ng4`?6=3th9h?;51;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302ll<7d7>1;29?l24j3:17bl7b;29?xd5l9>1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459ac>5<5;n`;f?6=3th9h<:51;194?6|,8;8j77>9:&;=1N?181e=?;8:dd:?l>f93:17d6n2;29?jc0l3:17pl=d3695?5=83:p(8n7>5;n`;f?6=3th9h==51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=moh0e9km:188m15e2900e<>n8;29?jd?j3:17pl=d0195?5=83:p("?1=0oi45+11f1>7e3:2B35<5a1374>``d3`2j=7>5;h:b6?6=3fo5;|`1`75=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:ddg?l?693:17d:"68m81>n:=;I::5>h6:<=1ikk4i5ga>5<5;|`1`44=9391<7>t$030b??612.3594ke89'55b52;i?>6F7909m57302llm7d6n1;29?l>f:3:17bk8d;29?xd5l;81=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c673`3:=7>5;h60f?6=3fh3n7>5;|`1`57=93>1<7>t$030b??0?2.:>92d:>895f138m1ce2900e9=m:188m46f03:17bl7b;29?xd5l8;1=7=50;2x 474n33:56*7958ga<=#99n96?m;2:J;=4=i9;?<6k>=;h:b5?6=3`2j>7>5;ng4`?6=3th9h??51;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302o:87d7>1;29?l24j3:17bl7b;29?xd5l9:1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459b525<5;n`;f?6=3th9h<>51;194?6|,8;8j77>9:&;=1N?181e=?;8:g26?l>f93:17d6n2;29?jc0l3:17pl=d3295?5=83:p(9;h;25?6=3`>8n7>5;n`;f?6=3th9okh51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n9=0e9km:188m15e2900e<>n8;29?jd?j3:17pl=d0d95?5=83:p(7;h;25?6=3`>8n7>5;n`;f?6=3th9okk51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n930e9km:188m15e2900e<>n8;29?jd?j3:17pl=d1g95?3=83:p("?1=0hh55+11f1>7e3:2B35<5a1374>c6f3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`1g`c=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g2a?l?693:17d:"68m81>n:=;I::5>h6:<=1j=m4i5ga>5<5;|`1g`b=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g2g?l?693:17d:"68m81>n:=;I::5>h6:<=1j=k4i5ga>5<5;|`1g`6=93?1<7>t$030b??612.3594ld99'55b52;i?>6F7909m57302o:m7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5kli1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c773`3:=7>5;h60f?6=3fh3n7>5;|`1ga7=93>1<7>t$030b??0?2.:>92d:>895f038m1ce2900e9=m:188m46f03:17bl7b;29?xd5kml1=7;50;2x 474n33:56*7958``==#99n96?m;2:J;=4=i9;?<6k?=;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th9ohl51;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302o;87d7>1;29?l24j3:17bl7b;29?xd5km:1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459b425<5;n`;f?6=3th9oik51;794?6|,8;8j77>9:&;=1N?181e=?;8:g36?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=cdc95?5=83:p(8n7>5;n`;f?6=3th9onh51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n8=0e9km:188m15e2900e<>n8;29?jd?j3:17pl=cef95?3=83:p("?1=0hh55+11f1>7e3:2B35<5a1374>c7?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`1g`?=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g3:?l?693:17d:"68m81>n:=;I::5>h6:<=1j5<5;|`1gae=93?1<7>t$030b??612.3594ld99'55b52;i?>6F7909m57302o;i7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5ko<1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c7d3`3:=7>5;h60f?6=3fh3n7>5;|`1gad=93>1<7>t$030b??0?2.:>92d:>895f0f8m1ce2900e9=m:188m46f03:17bl7b;29?xd5kl21=7;50;2x 474n33:56*7958``==#99n96?m;2:J;=4=i9;?<6k?j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th9ok;51;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302o;m7d7>1;29?l24j3:17bl7b;29?xd5kmk1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459b765<5;n`;f?6=3th9oh951;794?6|,8;8j77>9:&;=1N?181e=?;8:g02?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=cg695?5=83:p(8n7>5;n`;f?6=3th9oi751;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n;90e9km:188m15e2900e<>n8;29?jd?j3:17pl=cd495?3=83:p("?1=0hh55+11f1>7e3:2B35<5a1374>c433`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`1gc5=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g06?l?693:17d:"68m81>n:=;I::5>h6:<=1j?84i5ga>5<5;|`1g`3=93?1<7>t$030b??612.3594ld99'55b52;i?>6F7909m57302o8<7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5ko81=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c4?3`3:=7>5;h60f?6=3fh3n7>5;|`1ga1=93>1<7>t$030b??0?2.:>92d:>895f3;8m1ce2900e9=m:188m46f03:17bl7b;29?xd5kl>1=7;50;2x 474n33:56*7958``==#99n96?m;2:J;=4=i9;?<6k7>5;h:b7?6=3`2j87>5;ng4`?6=3th9ok?51;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302o8i7d7>1;29?l24j3:17bl7b;29?xd5km<1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459b7e5<5;n`;f?6=3th9oh=51;794?6|,8;8j77>9:&;=1N?181e=?;8:g0g?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=cg295?5=83:p(8n7>5;n`;f?6=3th9oi;51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n;l0e9km:188m15e2900e<>n8;29?jd?j3:17pl=cd095?3=83:p("?1=0hh55+11f1>7e3:2B35<5a1374>c573`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`1g``=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g12?l?693:17d:"68m81>n:=;I::5>h6:<=1j><4i5ga>5<5;|`1g`7=93?1<7>t$030b??612.3594ld99'55b52;i?>6F7909m57302o987d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5l?91=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c533`3:=7>5;h60f?6=3fh3n7>5;|`1`1g=93>1<7>t$030b??0?2.:>92d:>895f278m1ce2900e9=m:188m46f03:17bl7b;29?xd5l?81=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c513`3:=7>5;h60f?6=3fh3n7>5;|`1`1?=93>1<7>t$030b??0?2.:>92d:>895f258m1ce2900e9=m:188m46f03:17bl7b;29?xd5l?;1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c5?3`3:=7>5;h60f?6=3fh3n7>5;|`1`1>=93>1<7>t$030b??0?2.:>92d:>895f2;8m1ce2900e9=m:188m46f03:17bl7b;29?xd5l?:1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c5f3`3:=7>5;h60f?6=3fh3n7>5;|`1`11=93>1<7>t$030b??0?2.:>92d:>895f2`8m1ce2900e9=m:188m46f03:17bl7b;29?xd5l7>5;h:b7?6=3`2j87>5;ng4`?6=3th9h8h51;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302o9o7d7>1;29?l24j3:17bl7b;29?xd5l=<1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459b6c5<5;n`;f?6=3th9h8:51;794?6|,8;8j77>9:&;=1N?181e=?;8:g1e?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=d4g95?5=83:p(8n7>5;n`;f?6=3th9h9;51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n=;0e9km:188m15e2900e<>n8;29?jd?j3:17pl=d4195?3=83:p("?1=0hh55+11f1>7e3:2B35<5a1374>c253`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`1`0b=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g60?l?693:17d:"68m81>n:=;I::5>h6:<=1j9:4i5ga>5<5;|`1`04=93?1<7>t$030b??612.3594ld99'55b52;i?>6F7909m57302o>>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5l?k1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c213`3:=7>5;h60f?6=3fh3n7>5;|`1`07=93>1<7>t$030b??0?2.:>92d:>895f558m1ce2900e9=m:188m46f03:17bl7b;29?xd5l7>5;h:b7?6=3`2j87>5;ng4`?6=3th9h;751;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302o>27d7>1;29?l24j3:17bl7b;29?xd5l<:1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459b1g5<5;n`;f?6=3th9h8l51;794?6|,8;8j77>9:&;=1N?181e=?;8:g6a?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=d7:95?5=83:p(8n7>5;n`;f?6=3th9h9h51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n=n0e9km:188m15e2900e<>n8;29?jd?j3:17pl=d4c95?3=83:p("?1=0hh55+11f1>7e3:2B35<5a1374>c2b3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`1`31=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g6e?l?693:17d:"68m81>n:=;I::5>h6:<=1j8>4i5ga>5<5;|`1`0?=93?1<7>t$030b??612.3594ld99'55b52;i?>6F7909m57302o?:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5l?<1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c353`3:=7>5;h60f?6=3fh3n7>5;|`1`1b=93>1<7>t$030b??0?2.:>92d:>895f418m1ce2900e9=m:188m46f03:17bl7b;29?xd5l<21=7;50;2x 474n33:56*7958``==#99n96?m;2:J;=4=i9;?<6k;;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th9h;;51;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302o?>7d7>1;29?l24j3:17bl7b;29?xd5l=i1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459b005<5;n`;f?6=3th9h8951;794?6|,8;8j77>9:&;=1N?181e=?;8:g74?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=d7695?5=83:p(8n7>5;n`;f?6=3th9h9l51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n<30e9km:188m15e2900e<>n8;29?jd?j3:17pl=d4495?3=83:p("?1=0hh55+11f1>7e3:2B35<5a1374>c3f3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`1gd2=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g7a?l?693:17d:8n7>5;n`;f?6=3th9o5751;694?6|,8;8j7787:&24a4=:j>97E661:l2601=nn8;29?jd?j3:17pl=c`095?5=83:p(8n7>5;n`;f?6=3th9o5651;694?6|,8;8j7787:&24a4=:j>97E661:l2601=nn8;29?jd?j3:17pl=c8795?2=83:p("?1=0oi55+11f1>7e3:2.mj=4=e`78L=?63g;99:4i619j50z&256`=1830(57;:eg5?!77l;09o9<4$gd3>7cfl2B35<5a1374>c063`2j=7>5;h:b6?6=3fo5;|`1gde=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g41?l?693:17d:"68m81>n:=;I::5>h6:<=1j;=4i5ga>5<5;|`1g<2=93>1<7>t$030b??612.3594ke99'55b52;i?>6*if181adb<@13:7c?=568e21=n0h;1<75f8`094?=n0h91<75`e6f94?=zj;i<57?53;294~"69:l15<74$9;7>ac13-;;h?4=c508 c`72;ojo6F7909m57302o<>7d6n1;29?l>f:3:17bk8d;29?xd5khh1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c013`3:=7>5;h60f?6=3fh3n7>5;|`1g<6=93>1<7>t$030b??0?2.:>92d:>895f758m1ce2900e9=m:188m46f03:17bl7b;29?xd5k0o1=7:50;2x 474n33:56*7958ga==#99n96?m;2:&eb5<5mhi0D57>;o311211b4l?50;9jh590;8 =?32mo=7)??d381g14<,ol;6?knb:J;=4=i9;?<6k86;h:b5?6=3`2j>7>5;ng4`?6=3th9olo51;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302o1;29?l24j3:17bl7b;29?xd5k1l1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459b3d5<5;n`;f?6=3th9o4j51;694?6|,8;8j77>9:&;=1"an909ill4H9;2?k75=>0m:n5f8`394?=n0h81<75f8`194?=hm>n1<75rb3a43?7=;3:112d9=4?<,13?6ik9;%33`7<5k=80(kh?:3gbe>N?181e=?;8:g4g?l>f93:17d6n2;29?jc0l3:17pl=c`;95?5=83:p(8n7>5;n`;f?6=3th9o5k51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n?l0e9km:188m15e2900e<>n8;29?jd?j3:17pl=c8a95?2=83:p("?1=0oi55+11f1>7e3:2.mj=4=e`c8L=?63g;99:4i719j3;1?7>50z&256`=1830(57;:eg5?!77l;09o9<4$gd3>7cf12B35<5a1374>c163`2j=7>5;h:b6?6=3fo5;|`1gd>=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g51?l?693:17d:"68m81>n:=;I::5>h6:<=1j:=4i5ga>5<5;|`1g1<7>t$030b??612.3594ke99'55b52;i?>6*if181ad?<@13:7c?=568e31=n0h;1<75f8`094?=n0h91<75`e6f94?=zj;i<97?53;294~"69:l15<74$9;7>ac13-;;h?4=c508 c`72;oj46F7909m57302o=>7d6n1;29?l>f:3:17bk8d;29?xd5kh=1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c113`3:=7>5;h60f?6=3fh3n7>5;|`1g=e=93>1<7>t$030b??0?2.:>92d:>895f658m1ce2900e9=m:188m46f03:17bl7b;29?xd5k0k1=7:50;2x 474n33:56*7958ga==#99n96?m;2:&eb5<5mh20D57>;o3112h590;8 =?32mo=7)??d381g14<,ol;6?kn7:J;=4=i9;?<6k96;h:b5?6=3`2j>7>5;ng4`?6=3th9ol851;194?6|,8;8j7?=3c9'55b52;i?>6F7909m57302o=j7d7>1;29?l24j3:17bl7b;29?xd5k1h1=7:50;2x 474n33<;6*>0e096f253A22=6`>2459b2d5<5;n`;f?6=3th9o4751;694?6|,8;8j77>9:&;=1"an909il94H9;2?k75=>0m;n5f8`394?=n0h81<75f8`194?=hm>n1<75rb3a47?7=;3:112d9=4?<,13?6ik9;%33`7<5k=80(kh?:3gb2>N?181e=?;8:g5g?l>f93:17d6n2;29?jc0l3:17pl=c`795?5=83:p(8n7>5;n`;f?6=3th9o5o51;694?6|,8;8j7787:&24a4=:j>97E661:l2601=n>l0e9km:188m15e2900e<>n8;29?jd?j3:17pl=c8:95?2=83:p("?1=0oi55+11f1>7e3:2.mj=4=e`48L=?63g;99:4i819j50z&256`=1830(57;:eg5?!77l;09o9<4$gd3>7cf<2B35<5a1374>c>63`2j=7>5;h:b6?6=3fo5;|`1gd7=9391<7>t$030b?75;k1/==j=:3a76>N?181e=?;8:g:1?l?693:17d:"68m81>n:=;I::5>h6:<=1j5=4i5ga>5<5;|`1g<1=93>1<7>t$030b??612.3594ke99'55b52;i?>6*if181ad2<@13:7c?=568e<1=n0h;1<75f8`094?=n0h91<75`e6f94?=zj;i<<7?53;294~"69:l15<74$9;7>ac13-;;h?4=c508 c`72;oj?6F7909m57302o2>7d6n1;29?l>f:3:17bk8d;29?xd5kh:1=7=50;2x 474n3;9?o5+11f1>7e3:2B35<5a1374>c>13`3:=7>5;h60f?6=3fh3n7>5;|`1g=0=93>1<7>t$030b??0?2.:>92d:>895f958m1ce2900e9=m:188m46f03:17bl7b;29?xd5k091=7:50;2x 474n33:56*7958ga==#99n96?m;2:&eb5<5mh90D57>;o3112h590;8 =?32mo=7)??d381g14<@13:7c?=568e<<=n0h;1<75f8`094?=hm>n1<75rb3a:b?7=;3:112d9575e3-;;h?4=c508L=?63g;99:4i8`9j=47=831b8>l50;9lf=d=831vn?m8a;390?6=8r.:=>h59658 46c:38h8?5G8838j442?3l3n6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb3a:6?7=;3:112d9=4?<,13?6ikn;%33`7<5k=80D57>;o311250z&;=1<0m>1/==j=:3a76>"69:l1==79;%33b1<68o90(5ln:59K<<7;7h7d:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4i8d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5moh1=7850;2x =?32>o<7)??d381g14<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895f9d8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:llj6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:g;3?l2>m3:17d:>o3;80;66a>06594?=zj;om57?56;294~"?1=07e3:2.:=>h511;5?!77n=0:1=O00;0b<<:7;d:5>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568e=7=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459b<55<8=7>5;n3332<722wi>hh9:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'c?33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?k>a;392?6=8r.35948e69'55b52;i?>6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?`>=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=e0:95?0=83:p(57;:6g4?!77l;09o9<4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=n0=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2d34>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m57302o337d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3fa6?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0gf4<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0m5l5f48g94?=n<:l1<75f49f94?=n995<5;|`1`g6=93<1<7>t$9;7>2c03-;;h?4=c508 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1j4l4i5;f>5<5<5;h605?6=3f;;;:4?::a6aga280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7c?:3;1:7>50z&;=1<0m>1/==j=:3a76>"69:l1==79;%33b1<68o90(5ln:59K<<7;7h6d:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4i9d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5m1:1=7850;2x =?32>o<7)??d381g14<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895f8d8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:l=m6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:gc3?l2>m3:17d:>o3;80;66a>06594?=zj;njm7?56;294~"?1=07e3:2.:=>h511;5?!77n=0:1=O00;0b<<:7;db5>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568ee7=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459bd55<8=7>5;n3332<722wi>io8:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'cg33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?jn6;392?6=8r.35948e69'55b52;i?>6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?`f=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=d`695?0=83:p(57;:6g4?!77l;09o9<4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=nh=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2ec0>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m57302ok37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3a7e?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0`0<<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0mml5f48g94?=n<:l1<75f49f94?=n995<5;|`1g1>=93<1<7>t$9;7>2c03-;;h?4=c508 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1jll4i5;f>5<5<5;h605?6=3f;;;:4?::a6f20280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7cf:3;1:7>50z&;=1<0m>1/==j=:3a76>"69:l1==79;%33b1<68o90(5ln:59K<<7;7hnd:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4iad9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5mh:1=7850;2x =?32>o<7)??d381g14<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895f`d8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:l3m6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:g`3?l2>m3:17d:>o3;80;66a>06594?=zj;o2i7?56;294~"?1=07e3:2.:=>h511;5?!77n=0:1=O00;0b<<:7;da5>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568ef7=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459bg55<8=7>5;n3332<722wi>h7m:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'cd33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?k;a;392?6=8r.35948e69'55b52;i?>6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?`e=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=e5:95?0=83:p(57;:6g4?!77l;09o9<4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=nk=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2d64>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m57302oh37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3g72?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0f00<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0mnl5f48g94?=n<:l1<75f49f94?=n995<5;|`1a12=93<1<7>t$9;7>2c03-;;h?4=c508 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1jol4i5;f>5<5<5;h605?6=3f;;;:4?::a6`24280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7c2i3;1:7>50z&;=1<0m>1/==j=:3a76>"69:l1==79;%33b1<68o90(5ln:59K<<7;7hmd:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4ibd9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5m<21=7850;2x =?32>o<7)??d381g14<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895fcd8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:l?<6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:ga3?l2>m3:17d:>o3;80;66a>06594?=zj;o>:7?56;294~"?1=07e3:2.:=>h511;5?!77n=0:1=O00;0b<<:7;d`5>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568eg7=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459bf55<8=7>5;n3332<722wi>h;<:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'ce33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?k62;392?6=8r.35948e69'55b52;i?>6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?`d=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=e8295?0=83:p(57;:6g4?!77l;09o9<4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=nj=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2d:e>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m57302oi37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3g;a?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0f70g6955`43-2im7:4H9;2?k75=>0mol5f48g94?=n<:l1<75f49f94?=n995<5;|`1a=e=93<1<7>t$9;7>2c03-;;h?4=c508 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1jnl4i5;f>5<5<5;h605?6=3f;;;:4?::a6`>e280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7c0i3;1:7>50z&;=1<0m>1/==j=:3a76>"69:l1==79;%33b1<68o90(5ln:59K<<7;7hld:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4icd9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5m>21=7850;2x =?32>o<7)??d381g14<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895fbd8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:l=<6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:gf3?l2>m3:17d:>o3;80;66a>06594?=zj;o<:7?56;294~"?1=07e3:2.:=>h511;5?!77n=0:1=O00;0b<<:7;dg5>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568e`7=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459ba55<8=7>5;n3332<722wi>h9<:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'cb33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?k6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?`c=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=e2:95?0=83:p(57;:6g4?!77l;09o9<4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=nm=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2d14>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m57302on37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3g02?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0f70<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0mhl5f48g94?=n<:l1<75f49f94?=n995<5;|`1a62=93<1<7>t$9;7>2c03-;;h?4=c508 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1jil4i5;f>5<5<5;h605?6=3f;;;:4?::a6`54280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7c1i3;1:7>50z&;=1<0m>1/==j=:3a76>"69:l1==79;%33b1<68o90(5ln:59K<<7;7hkd:k7=`<722c??k4?::k700:6;4?:1y'<<2=?l=0(<>k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4idd9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5m?21=7850;2x =?32>o<7)??d381g14<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895fed8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:l<<6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:gg3?l2>m3:17d:>o3;80;66a>06594?=zj;o=:7?56;294~"?1=07e3:2.:=>h511;5?!77n=0:1=O00;0b<<:7;df5>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568ea7=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459b`55<8=7>5;n3332<722wi>h8<:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'cc33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?jj2;392?6=8r.35948e69'55b52;i?>6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?`b=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=dd295?0=83:p(57;:6g4?!77l;09o9<4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=nl=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2efe>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m57302oo37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3fga?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0g`a<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0mil5f48g94?=n<:l1<75f49f94?=n995<5;|`1`ae=93<1<7>t$9;7>2c03-;;h?4=c508 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1jhl4i5;f>5<5<5;h605?6=3f;;;:4?::a6abe280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7ba:3;1:7>50z&;=1<0m>1/==j=:3a76>"69:l1==79;%33b1<68o90(5ln:59K<<7;7hjd:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4ied9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5lo:1=7850;2x =?32>o<7)??d381g14<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895fdd8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:mom6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:gd3?l2>m3:17d:>o3;80;66a>06594?=zj;nni7?56;294~"?1=07e3:2.:=>h511;5?!77n=0:1=O00;0b<<:7;de5>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568eb7=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459bc55<8=7>5;n3332<722wi>ikm:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'c`33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?k?2;392?6=8r.35948e69'55b52;i?>6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?`a=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112:188k460?3:17pl=e1295?0=83:p(57;:6g4?!77l;09o9<4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=no=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2ede>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m57302ol37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3fea?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0gba<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0mjl5f48g94?=n<:l1<75f49f94?=n995<5;|`1`ce=93<1<7>t$9;7>2c03-;;h?4=c508 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1jkl4i5;f>5<5<5;h605?6=3f;;;:4?::a6a`e280=6=4?{%::0?1b?2.:8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7c6:3;1:7>50z&;=1<0m>1/==j=:3a76>"69:l1==79;%33b1<68o90(5ln:59K<<7;7hid:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4ifd9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5m8:1=7850;2x =?32>o<7)??d381g14<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895fgd8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:l:m6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0234>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824575<8=7>5;n3332<722wi>h>k:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'467:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112<68990e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2d2a>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:;86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0f6d<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:<=;4i5;f>5<5<5;h605?6=3f;;;:4?::a6`4>280=6=4?{%::0?1b?2.:?6:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0158m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:l8<6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:023<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<;4>:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568245?5<8=7>5;n3332<722wi>h<::085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'467i2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112<689h0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2d00>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:;o6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0g`7<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:<=j4i5;f>5<5<5;h605?6=3f;;;:4?::a6ab6280=6=4?{%::0?1b?2.:?e:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>01d8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:mim6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0224>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824475<8=7>5;n3332<722wi>imk:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'466:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112<68890e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2eaa>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m573028::86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0g3d<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:<<;4i5;f>5<5<5;h605?6=3f;;;:4?::a6a1>280=6=4?{%::0?1b?2.:>6:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0058m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:m=<6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:022<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568244?5<8=7>5;n3332<722wi>i9::085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'466i2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112<688h0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2e50>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m573028::o6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0gg7<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:<5<5<5;h605?6=3f;;;:4?::a6ae6280=6=4?{%::0?1b?2.:>e:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>00d8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:mhm6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0214>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824775<8=7>5;n3332<722wi>ilk:085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'465:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112<68;90e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2e`a>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:986g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0g=d<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:5<5<5;h605?6=3f;;;:4?::a6a?>280=6=4?{%::0?1b?2.:=6:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0358m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:m3<6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:021<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568247?5<8=7>5;n3332<722wi>i7::085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'465i2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112<68;h0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2e;0>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:9o6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0g70g6955`43-2im7:4H9;2?k75=>0:5<5<5;h605?6=3f;;;:4?::a6a>>280=6=4?{%::0?1b?2.:=e:k7=`<722c??k4?::k7k2;0`07=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>03d8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:m2<6<49:183!>><3=n;6*>0e096f253-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0204>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4d<;1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824675<8=7>5;n3332<722wi>i6::085>5<7s-22879j7:&24a4=:j>97)?>3g824<0<,8:m87??f29'464:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d58 46c:38h8?5+101e>46>>2.:ei3>0D57>;o3112<68:90e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2e:0>4<1290;w)664;5f3>"68m81>n:=;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:886g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c14ff<62:0;6=u+101e>444j2.:>92d:>8951116?l?693:17d:8:6:J;=4=i9;?<6<><6:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:<>:6F7909m573028:8;6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb25ae?7=;3:112d9575e3-;;h?4<6448L=?63g;99:4>02:8m<762900e9=m:188kg>e2900qo=89682>1<729q/=<=i:854?!77l;08:884H9;2?k75=>0:<>74i5ga>5<5;|`03g?=9391<7>t$030b?75;k1/==j=:2462>N?181e=?;8:020e>o>980;66g;3c83>>ie0k0;66sm36;5>4<3290;w)?>3g8:32=#99n96>8:6:J;=4=i9;?<6<>=831dn5l50;9~f61e03;1?7>50z&256`=9;9i7)??d380200<@13:7c?=568246e5<<103-;;h?4<6448L=?63g;99:4>02f8m1ce2900e9=m:188m46f03:17bl7b;29?xd4?k=1=7=50;2x 474n3;9?o5+11f1>602>2B35<5a1374>464m2c2=<4?::k77g<722ei4o4?::a72?3280?6=4?{%327c<>?>1/==j=:2462>N?181e=?;8:020b>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:=i:7?53;294~"69:l1=?=m;%33`7<4><<0D57>;o3112<68=:0e4?>:188m15e2900co6m:188yg501:0:694?:1y'545a20=<7)??d380200<@13:7c?=56824175<5;n`;f?6=3th8;o;51;194?6|,8;8j7?=3c9'55b52:<>:6F7909m573028:?>6g61083>>o3;k0;66am8c83>>{e;>396<4;:183!76;o02;:5+11f1>602>2B35<5a1374>463;2c?io4?::k77g<722c:9l5;397?6=8r.:=>h5131a?!77l;08:884H9;2?k75=>0:<9:4i832>5<5<:583>5}#989m6498;%33`7<4><<0D57>;o3112<68=?0e9km:188m15e2900e<>n8;29?jd?j3:17pl<7b695?5=83:p(8:6:J;=4=i9;?<6<>;6:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:<>:6F7909m573028:?;6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb25`7?7=;3:112d9575e3-;;h?4<6448L=?63g;99:4>05:8m<762900e9=m:188kg>e2900qo=89g82>1<729q/=<=i:854?!77l;08:884H9;2?k75=>0:<974i5ga>5<5;|`03f4=9391<7>t$030b?75;k1/==j=:2462>N?181e=?;8:027e>o>980;66g;3c83>>ie0k0;66sm36;f>4<3290;w)?>3g8:32=#99n96>8:6:J;=4=i9;?<6<>;b:k7ag<722c??o4?::k24d>=831dn5l50;9~f61d93;1?7>50z&256`=9;9i7)??d380200<@13:7c?=568241e5<<103-;;h?4<6448L=?63g;99:4>05f8m1ce2900e9=m:188m46f03:17bl7b;29?xd4?j:1=7=50;2x 474n3;9?o5+11f1>602>2B35<5a1374>463m2c2=<4?::k77g<722ei4o4?::a72?d280?6=4?{%327c<>?>1/==j=:2462>N?181e=?;8:027b>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:=ij7?53;294~"69:l1=?=m;%33`7<4><<0D57>;o3112<68<:0e4?>:188m15e2900co6m:188yg501k0:694?:1y'545a20=<7)??d380200<@13:7c?=56824075<5;n`;f?6=3th8;ok51;194?6|,8;8j7?=3c9'55b52:<>:6F7909m573028:>>6g61083>>o3;k0;66am8c83>>{e;>3j6<4;:183!76;o02;:5+11f1>602>2B35<5a1374>462;2c?io4?::k77g<722c:9md;397?6=8r.:=>h5131a?!77l;08:884H9;2?k75=>0:<8:4i832>5<5<:583>5}#989m6498;%33`7<4><<0D57>;o3112<68n8;29?jd?j3:17pl<7c695?5=83:p(8:6:J;=4=i9;?<6<>:6:k:54<722c??o4?::ma:087>5<7s-;:?k46769'55b52:<>:6F7909m573028:>;6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb25;3?7=;3:112d9575e3-;;h?4<6448L=?63g;99:4>04:8m<762900e9=m:188kg>e2900qo=88782>6<729q/=<=i:000f>"68m81?;;9;I::5>h6:<=1==;6;h;25?6=3`>8n7>5;n`;f?6=3th8;>>51;694?6|,8;8j7787:&24a4=;??=7E661:l2601=99?j7d:jb;29?l24j3:17d??a983>>ie0k0;66sm36:6>4<4290;w)?>3g8266d<,8:o>7=9579K<<7;7??5c9j=47=831b8>l50;9lf=d=831vn>9=f;390?6=8r.:=>h59658 46c:39=9;5G8838j442?3;;9n5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895117g?l?693:17d:"68m81?;;9;I::5>h6:<=1==;j;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a72>428086=4?{%327c<6::h0(<>k2;1513=O00;0b<<:7;331c=n18;1<75f42`94?=hj1h1<75rb251`?7=<3:112d9=21<,8:o>7=9579K<<7;7??619j0`d=831b8>l50;9j55g?2900co6m:188yg500;0:6>4?:1y'545a2888n6*>0e0973313A22=6`>245955063`3:=7>5;h60f?6=3fh3n7>5;|`037e=93>1<7>t$030b??0?2.:>92d:>8951141?l2bj3:17d:>{e;>2:6<4<:183!76;o0:>>l4$02g6?51=?1C44?4n0063?77>:1b550z&256`=1>=0(<>k2;1513=O00;0b<<:7;3321=n5<:283>5}#989m6<<7d7>1;29?l24j3:17bl7b;29?xd4?;k1=7:50;2x 474n33<;6*>0e0973313A22=6`>245955013`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:39=9;5G8838j442?3;;::5f90394?=n<:h1<75`b9`94?=zj:=857?54;294~"69:l15:94$02g6?51=?1C44?4n0063?77>11b8hl50;9j06d=831b==o7:188kg>e2900qo=88g82>6<729q/=<=i:000f>"68m81?;;9;I::5>h6:<=1==86;h;25?6=3`>8n7>5;n`;f?6=3th8;>651;694?6|,8;8j7787:&24a4=;??=7E661:l2601=99>ie0k0;66sm36:f>4<4290;w)?>3g8266d<,8:o>7=9579K<<7;7??6c9j=47=831b8>l50;9lf=d=831vn>9<7;390?6=8r.:=>h59658 46c:39=9;5G8838j442?3;;:n5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>895114g?l?693:17d:"68m81?;;9;I::5>h6:<=1==8j;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a72>d28086=4?{%327c<6::h0(<>k2;1513=O00;0b<<:7;332c=n18;1<75f42`94?=hj1h1<75rb2501?7=<3:112d9=21<,8:o>7=9579K<<7;7??719j0`d=831b8>l50;9j55g?2900co6m:188yg500k0:6>4?:1y'545a2888n6*>0e0973313A22=6`>245955163`3:=7>5;h60f?6=3fh3n7>5;|`0362=93>1<7>t$030b??0?2.:>92d:>8951151?l2bj3:17d:>{e;>2j6<4<:183!76;o0:>>l4$02g6?51=?1C44?4n0063?77?:1b550z&256`=1>=0(<>k2;1513=O00;0b<<:7;3331=n5<:283>5}#989m6<<7d7>1;29?l24j3:17bl7b;29?xd4?:81=7:50;2x 474n33<;6*>0e0973313A22=6`>245955113`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:39=9;5G8838j442?3;;;:5f90394?=n<:h1<75`b9`94?=zj:=8=7?54;294~"69:l15:94$02g6?51=?1C44?4n0063?77?11b8hl50;9j06d=831b==o7:188kg>e2900qo=87g82>6<729q/=<=i:000f>"68m81?;;9;I::5>h6:<=1==96;h;25?6=3`>8n7>5;n`;f?6=3th8;?651;694?6|,8;8j7787:&24a4=;??=7E661:l2601=99=j7d:jb;29?l24j3:17d??a983>>ie0k0;66sm362f>4<4290;w)?>3g8266d<,8:o>7=9579K<<7;7??7c9j=47=831b8>l50;9lf=d=831vn>9?d;397?6=8r.:=>h5131a?!77l;08:884H9;2?k75=>0:<:m4i832>5<5<:583>5}#989m6498;%33`7<4><<0D57>;o3112<68>n0e9km:188m15e2900e<>n8;29?jd?j3:17pl<71a95?5=83:p(8:6:J;=4=i9;?<6<>8e:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:<>:6F7909m573028:>o3;k0;66g>0`:94?=hj1h1<75rb253f?7=;3:112d9575e3-;;h?4<6448L=?63g;99:4>0928m<762900e9=m:188kg>e2900qo=9e082>1<729q/=<=i:854?!77l;08:884H9;2?k75=>0:<5?4i5ga>5<5;|`035?=9391<7>t$030b?75;k1/==j=:2462>N?181e=?;8:02;6>o>980;66g;3c83>>ie0k0;66sm37ff>4<3290;w)?>3g8:32=#99n96>8:6:J;=4=i9;?<6<>73:k7ag<722c??o4?::k24d>=831dn5l50;9~f61703;1?7>50z&256`=9;9i7)??d380200<@13:7c?=56824=25<<103-;;h?4<6448L=?63g;99:4>0978m1ce2900e9=m:188m46f03:17bl7b;29?xd4?9=1=7=50;2x 474n3;9?o5+11f1>602>2B35<5a1374>46?>2c2=<4?::k77g<722ei4o4?::a73bf280?6=4?{%327c<>?>1/==j=:2462>N?181e=?;8:02;3>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:=;:7?53;294~"69:l1=?=m;%33`7<4><<0D57>;o3112<68120e4?>:188m15e2900co6m:188yg51l10:694?:1y'545a20=<7)??d380200<@13:7c?=56824=?5<5;n`;f?6=3th8;=;51;194?6|,8;8j7?=3c9'55b52:<>:6F7909m573028:3m6g61083>>o3;k0;66am8c83>>{e;?n<6<4;:183!76;o02;:5+11f1>602>2B35<5a1374>46?j2c?io4?::k77g<722c:8i5;397?6=8r.:=>h590;8 =?32mo27)??d380200<@13:7c?=56824=e5<444j2.:>92d:>89511:g?l?693:17d:"68m81?;;9;I::5>h6:<=1==6j;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a73`328086=4?{%327c<>901/44:5dd;8 46c:39=9;5G8838j442?3;;4k5f8`394?=n0h81<75`e6f94?=zj:=;?7?53;294~"69:l1=?=m;%33`7<4><<0D57>;o3112<680:0e4?>:188m15e2900co6m:188yg51l<0:694?:1y'545a20=<7)??d380200<@13:7c?=56824<75<5;n`;f?6=3th8:k=51;194?6|,8;8j77>9:&;=1N?181e=?;8:02:6>o?i80;66g7a383>>ib?m0;66sm3621>4<4290;w)?>3g8266d<,8:o>7=9579K<<7;7??929j=47=831b8>l50;9lf=d=831vn>8k4;390?6=8r.:=>h59658 46c:39=9;5G8838j442?3;;595f4d`94?=n<:h1<75f11c;>5<<7>3-2287jj9:&24a4=;??=7E661:l2601=993>7d6n1;29?l>f:3:17bk8d;29?xd4?9;1=7=50;2x 474n3;9?o5+11f1>602>2B35<5a1374>46>>2c2=<4?::k77g<722ei4o4?::a73b4280?6=4?{%327c<>?>1/==j=:2462>N?181e=?;8:02:3>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:ac>3-;;h?4<6448L=?63g;99:4>08:8m=g62900e5o=:188k`1c2900qo=80182>6<729q/=<=i:000f>"68m81?;;9;I::5>h6:<=1==76;h;25?6=3`>8n7>5;n`;f?6=3th8:i<51;694?6|,8;8j7787:&24a4=;??=7E661:l2601=993j7d:jb;29?l24j3:17d??a983>>ie0k0;66sm37d3>4<4290;w)?>3g8:5<=#00>1hh74$02g6?51=?1C44?4n0063?771k1b4l?50;9j50z&256`=9;9i7)??d380200<@13:7c?=568245<<103-;;h?4<6448L=?63g;99:4>08f8m1ce2900e9=m:188m46f03:17bl7b;29?xd4>oo1=7=50;2x 474n33:56*7958ga<=#99n96>8:6:J;=4=i9;?<6<>6e:k;e4<722c3m?4?::mf3a<722wi?:?9:080>5<7s-;:?k4>22`8 46c:39=9;5G8838j442?3;;5k5f90394?=n<:h1<75`b9`94?=zj:e2900qo=9fe82>6<729q/=<=i:83:?!>><3nn56*>0e0973313A22=6`>245955g63`2j=7>5;h:b6?6=3fo5;|`0343=9391<7>t$030b?75;k1/==j=:2462>N?181e=?;8:02b6>o>980;66g;3c83>>ie0k0;66sm37g`>4<3290;w)?>3g8:32=#99n96>8:6:J;=4=i9;?<6<>n3:k7ag<722c??o4?::k24d>=831dn5l50;9~f60ak3;1?7>50z&256`=1830(57;:eg:?!77l;08:884H9;2?k75=>0:5<5<:283>5}#989m6<<7d7>1;29?l24j3:17bl7b;29?xd4>lh1=7:50;2x 474n33<;6*>0e0973313A22=6`>245955g13`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=ll30(<>k2;1513=O00;0b<<:7;33e2=n0h;1<75f8`094?=hm>n1<75rb2527?7=;3:112d9575e3-;;h?4<6448L=?63g;99:4>0`:8m<762900e9=m:188kg>e2900qo=9e`82>1<729q/=<=i:854?!77l;08:884H9;2?k75=>0:5<5;|`02cg=9391<7>t$030b??612.3594ke89'55b52:<>:6F7909m573028:jm6g7a083>>o?i;0;66aj7e83>>{e;>;96<4<:183!76;o0:>>l4$02g6?51=?1C44?4n0063?77ik1b550z&256`=1>=0(<>k2;1513=O00;0b<<:7;33ef=n5<:283>5}#989m64?6;%::0?bb12.:>92d:>89511cg?l>f93:17d6n2;29?jc0l3:17pl<70395?5=83:p(8:6:J;=4=i9;?<6<>ne:k:54<722c??o4?::ma5<7s-;:?k46769'55b52:<>:6F7909m573028:jj6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb24e12d9=4?<,13?6ik6;%33`7<4><<0D57>;o3112<68k:0e5o>:188m=g52900ch9k:188yg50990:6>4?:1y'545a2888n6*>0e0973313A22=6`>245955d63`3:=7>5;h60f?6=3fh3n7>5;|`02`1=93>1<7>t$030b??0?2.:>92d:>89511`1?l2bj3:17d:>{e;?l<6<4<:183!76;o02=45+8869``?<,8:o>7=9579K<<7;7??b29j9?a;397?6=8r.:=>h5131a?!77l;08:884H9;2?k75=>0:5<5<:583>5}#989m6498;%33`7<4><<0D57>;o3112<68k?0e9km:188m15e2900e<>n8;29?jd?j3:17pl<6g495?5=83:p("?1=0oi45+11f1>602>2B35<5a1374>46e>2c3m<4?::k;e7<722en;i4?::a73`a28086=4?{%327c<6::h0(<>k2;1513=O00;0b<<:7;33f2=n18;1<75f42`94?=hj1h1<75rb24g5?7=<3:112d9=21<,8:o>7=9579K<<7;7??b99j0`d=831b8>l50;9j55g?2900co6m:188yg51mo0:6>4?:1y'545a20;27)664;ff=>"68m81?;;9;I::5>h6:<=1==l6;h:b5?6=3`2j>7>5;ng4`?6=3th8;>ie0k0;66sm3601>4<2290;w)?>3g8:5<=#00>1noh4$02g6?51=?1/jk>539;5?M>>92d:>89511`a?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<73595?3=83:p("?1=0hm<5+11f1>602>2.mj=4<8848L=?63g;99:4>0ca8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=81c82>1<729q/=<=i:854?!77l;08:884H9;2?k75=>0:5<5;|`0377=93?1<7>t$030b??612.3594mbg9'55b52:<>:6*if180<<3<@13:7c?=56824gc5<5<<7>3-2287mn1:&24a4=;??=7)hi0;1;=0=O00;0b<<:7;33fc=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb252e?7=<3:112d9=21<,8:o>7=9579K<<7;7??c19j0`d=831b8>l50;9j55g?2900co6m:188yg50:90:684?:1y'545a20;27)664;`ab>"68m81?;;9;%de4?5?1=1C44?4n0063?77k81b4l?50;9j50z&256`=1830(57;:bc2?!77l;08:884$gd3>6>><2B35<5a1374>46d:2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a727>280?6=4?{%327c<>?>1/==j=:2462>N?181e=?;8:02`7>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:=:j7?55;294~"69:l15<74$9;7>gda3-;;h?4<6448 c`72:22?6F7909m573028:h86g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;>8?6<4::183!76;o02=45+8869gd7<,8:o>7=9579'bc6=;1387E661:l2601=99i>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4?821=7:50;2x 474n33<;6*>0e0973313A22=6`>245955e13`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k46189'<<2=jkl0(<>k2;1513=#no:1?57=;I::5>h6:<=1==m8;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th8;?=51;794?6|,8;8j77>9:&;=1"an90844<4H9;2?k75=>0:5<5<5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824f?5<8=7>5;n3332<722wi?496:085>5<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'46di2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=67982>3<729q/44:57d58 46c:39=9;5+101e>46>>2.:ei3>0D57>;o3112<68jh0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3854>4<1290;w)664;5f3>"68m81?;;9;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:ho6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1:33<62?0;6=u+88693`1<,8:o>7=9579'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:5<5<5;h605?6=3f;;;:4?::a7<12280=6=4?{%::0?1b?2.:le:k7=`<722c??k4?::k7?=0:6;4?:1y'<<2=?l=0(<>k2;1513=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0bd8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;0=86<49:183!>><3=n;6*>0e0973313-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:02g4>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824a75<8=7>5;n3332<722wi?46>:085>5<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'46c:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=68182>3<729q/44:57d58 46c:39=9;5+101e>46>>2.:ei3>0D57>;o3112<68m90e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm385e>4<1290;w)664;5f3>"68m81?;;9;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:o86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1:3`<62?0;6=u+88693`1<,8:o>7=9579'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:5<5<5;h605?6=3f;;;:4?::a7<1c280=6=4?{%::0?1b?2.:k6:k7=`<722c??k4?::k7?j0:6;4?:1y'<<2=?l=0(<>k2;1513=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0e58m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;0=i6<49:183!>><3=n;6*>0e0973313-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:02g<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824a?5<8=7>5;n3332<722wi?49>:085>5<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'46ci2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=7c682>3<729q/44:57d58 46c:39=9;5+101e>46>>2.:ei3>0D57>;o3112<68mh0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm39a5>4<1290;w)664;5f3>"68m81?;;9;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:oo6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1;g0<62?0;6=u+88693`1<,8:o>7=9579'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:5<5<5;h605?6=3f;;;:4?::a7=e3280=6=4?{%::0?1b?2.:ke:k7=`<722c??k4?::k7k2;1513=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0ed8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;1i:6<49:183!>><3=n;6*>0e0973313-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:02f4>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824`75<8=7>5;n3332<722wi?5li:085>5<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'46b:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=7bd82>3<729q/44:57d58 46c:39=9;5+101e>46>>2.:ei3>0D57>;o3112<68l90e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm39`g>4<1290;w)664;5f3>"68m81?;;9;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:n86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1;ff<62?0;6=u+88693`1<,8:o>7=9579'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:5<5<5;h605?6=3f;;;:4?::a7=de280=6=4?{%::0?1b?2.:j6:k7=`<722c??k4?::k7k2;1513=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0d58m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;1h26<49:183!>><3=n;6*>0e0973313-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:02f<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824`?5<8=7>5;n3332<722wi?5mk:085>5<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'46bi2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=7cb82>3<729q/44:57d58 46c:39=9;5+101e>46>>2.:ei3>0D57>;o3112<68lh0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm39aa>4<1290;w)664;5f3>"68m81?;;9;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:no6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1;gd<62?0;6=u+88693`1<,8:o>7=9579'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:5<5<5;h605?6=3f;;;:4?::a7=e>280=6=4?{%::0?1b?2.:je:k7=`<722c??k4?::k7k2;1513=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0dd8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;1i86<49:183!>><3=n;6*>0e0973313-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:02e4>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824c75<8=7>5;n3332<722wi?5l8:085>5<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'46a:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=61982>3<729q/44:57d58 46c:39=9;5+101e>46>>2.:ei3>0D57>;o3112<68o90e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3834>4<1290;w)664;5f3>"68m81?;;9;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:m86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1:53<62?0;6=u+88693`1<,8:o>7=9579'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:5<5<5;h605?6=3f;;;:4?::a7<72280=6=4?{%::0?1b?2.:i6:k7=`<722c??k4?::k79=0:6;4?:1y'<<2=?l=0(<>k2;1513=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0g58m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;0;86<49:183!>><3=n;6*>0e0973313-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:02e<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56824c?5<8=7>5;n3332<722wi?4?>:085>5<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'46ai2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=62182>3<729q/44:57d58 46c:39=9;5+101e>46>>2.:ei3>0D57>;o3112<68oh0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm383e>4<1290;w)664;5f3>"68m81?;;9;%327c<680<0(<>i4;33b6=#0kk186F7909m573028:mo6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1:5`<62?0;6=u+88693`1<,8:o>7=9579'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:5<5<5;h605?6=3f;;;:4?::a7<7c280=6=4?{%::0?1b?2.:ie:k7=`<722c??k4?::k79j0:6;4?:1y'<<2=?l=0(<>k2;1513=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>0gd8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;0;i6<49:183!>><3=n;6*>0e0973313-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0334>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56825575<8=7>5;n3332<722wi?4?6:085>5<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'477:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=61182>3<729q/44:57d58 46c:39=9;5+101e>46>>2.:ei3>0D57>;o3112<69990e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm382e>4<1290;w)664;5f3>"68m81?;;9;%327c<680<0(<>i4;33b6=#0kk186F7909m573028;;86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1;`0<62?0;6=u+88693`6<,8:o>7=9579'545a28:2:6*>0g69774<,1hj695G8838j442?3;:<85f48g94?=n<:l1<75f49f94?=n995<5;|`0t$9;7>2c73-;;h?4<6448 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:==84i5;f>5<5<5;h605?6=3f;;;:4?::a7=ea280=6=4?{%::0?1b82.:h6:<=1=<>8;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f61dk3;1=8o50;2x 474n3=386*>0e0973313g89o7>4n315>5=i;;?1=6`<2g83?k2>=3:0b:6=:19m=25=82dn;l4?;o3335<73g>987>4n50;>5=#;k<19k;4$506>`0b3-;;m?4>0g18L=?63S9i97lt21c9b0<6?k0:;44i3;d1>41?28=j6k:5f08225;7?>099j063=831b==7l:188m46>l3:17d:<7;29?l771l0;66g;3883>>o3;=0;66g>0`294?=n993m6=44i51e>5<5;h608?7>5;h617?6=3`>8m7>5;h606?6=3`;;544?::k24d7=831b??k50;&eaf<4j50;&eaf<4o50;&eaf<4650;&eaf<4=50;&eaf<43:17dh<5;29?l`4<3:17dh<3;29?l`4:3:17dh<0;29?l`5n3:17dh=e;29?l`5l3:17dh=c;29?l`5j3:17dh=a;29?l`513:17dh=8;29?l`5?3:17dh:4;29?l`2;3:17dh:2;29?l`293:17dh:0;29?l`3?3:17dh3:1(kkl:53:?k`bj3l07d=k5;29 ccd2=;27chjb;33?>o4l=0;6)hjc;62=>hamk0:=65f3e094?"amj0?=45afd`957=5:9j7fc=83.min4;189mb`d=9?10e>mk:18'b`e=<830bkkm:058?l5dk3:1(kkl:53:?k`bj3;376g!`bk3>:56`iec82=>=n;jk1<7*ieb875<=inlh1=l54i2a:>5<#nli18<74ngga>4d<3`9h;7>5$gg`>17>3glnn7?l;:k0g3<72-lno7:>9:leag<6l21b?n;50;&eaf<3901ejhl51d98m6e3290/jhm540;8jcce28l07d=l3;29 ccd2=;27chjb;03?>o4k;0;6)hjc;62=>hamk09=65f3b394?"amj0?=45afd`967=kk:18'b`e=<830bkkm:358?l5bk3:1(kkl:53:?k`bj38376g!`bk3>:56`iec81=>=n;l21<7*ieb875<=inlh1>l54i2ff>5<#nli18<74ngga>7d<3`9o?7>5$gg`>17>3glnn79:leag<5l21b?oj50;&eaf<3901ejhl52d98m6d?290/jhm540;8jcce2;l07dk80;29 ccd2l=37chjb;28?lc1n3:1(kkl:d5;?k`bj3;07dk9e;29 ccd2l=37chjb;08?lc1l3:1(kkl:d5;?k`bj3907dk9c;29 ccd2l=37chjb;68?lc1j3:1(kkl:d5;?k`bj3?07dk9a;29 ccd2l=37chjb;48?lc113:1(kkl:d5;?k`bj3=07d:n8;29 ccd2=i87chjb;28?l2f?3:1(kkl:5a0?k`bj3;07d:n6;29 ccd2=i87chjb;08?l2f=3:1(kkl:5a0?k`bj3907d:n4;29 ccd2=i87chjb;68?l2f;3:1(kkl:5a0?k`bj3?07d:n2;29 ccd2=i87chjb;48?l2f93:1(kkl:5a0?k`bj3=07d:ma;29 ccd2=i87chjb;:8?l2e03:1(kkl:5a0?k`bj3307d:m5;29 ccd2=i87chjb;c8?l2e<3:1(kkl:5a0?k`bj3h07d:m0;29 ccd2=i87chjb;a8?l2fk3:1(kkl:5a0?k`bj3n07d:nb;29 ccd2=i87chjb;g8?l2fi3:1(kkl:5a0?k`bj3l07d:n0;29 ccd2=i87chjb;33?>o31o0;6)hjc;6`7>hamk0:=65f22794?"amj099h5afd`94>=n::>1<7*ieb811`=inlh1=65f22194?"amj099h5afd`96>=n::81<7*ieb811`=inlh1?65f22394?"amj099h5afd`90>=n:::1<7*ieb811`=inlh1965f23d94?"amj099h5afd`92>=n:;o1<7*ieb811`=inlh1;65f24694?"amj099h5afd`9<>=n:==1<7*ieb811`=inlh1565f25494?"amj099h5afd`9e>=n:=>1<7*ieb811`=inlh1n65f25194?"amj099h5afd`9g>=n:=81<7*ieb811`=inlh1h65f25394?"amj099h5afd`9a>=n::=1<7*ieb811`=inlh1j65f23f94?"amj099h5afd`955=5;h15=?6=3`9=47>5;h153?6=3`9=:7>5;h151?6=3`9=87>5;h147?6=3`9<>7>5;h145?6=3`9<<7>5;h15b?6=3`9=i7>5;h15`?6=3`9=o7>5;h157?6=3`9=>7>5;n613?6=3f>9:7>5;n1:5?6=3f92<7>5;n1;b?6=3f93i7>5;n1;`?6=3f93o7>5;n1;f?6=3f93m7>5;n1:=?6=3f9247>5;n1:3?6=3f92:7>5;n1:1?6=3f9287>5;n1:7?6=3f92>7>5;n1;=?6=3f9347>5;ng`3?6=3foh:7>5;ng`1?6=3foh87>5;ng`7?6=3foh>7>5;ng`5?6=3foh<7>5;ngaa?6=3foih7>5;ngag?6=3foin7>5;ngae?6=3foi57>5;nga5;nga2?6=3foi97>5;nga7?6=3foi>7>5;nga5?6=3foi<7>5;ngbb?6=3foji7>5;ngb`?6=3fojo7>5;ngbf?6=3fojm7>5;ngb5;ngb2?6=3foj97>5;ngb0?6=3fo2i7>5;ng:2?6=3fo3j7>5;ng;3?6=3fo3?7>5;ngf=?6=3fon<7>5;ngge?6=3foo=7>5;ng`=?6=3foij7>5;nga0?6=3foj57>5;ng;6?6=3fo3=7>5;nda5?6=3fli<7>5;ndbb?6=3flji7>5;ndb`?6=3fljo7>5;ndbf?6=3fljm7>5;ndb5;ndb2?6=3flj97>5;ndb0?6=3flj?7>5;ndb6?6=3flj=7>5;ndb4?6=3fl2j7>5;nd:`?6=3fl2o7>5;nd:f?6=3fl2m7>5;nd:=?6=3fl247>5;nd:3?6=3fl2:7>5;nd:1?6=3fl287>5;nd:6?6=3fl2=7>5;nd:4?6=3fl3j7>5;nd;a?6=3fl3h7>5;nd;g?6=3fl3n7>5;nd;e?6=3fl357>5;nda2?6=3fli97>5;nda0?6=3fli?7>5;nda6?6=3flj57>5;nd:a?6=3fl2?7>5;nd;5;n;0b?6=3f38i7>5;n;0`?6=3f38o7>5;n;0f?6=3f38m7>5;n;05;n;02?6=3f3897>5;n;00?6=3f38?7>5;n;06?6=3f38=7>5;n;04?6=3f39j7>5;n;1`?6=,ooh648=;odff?7732e2>n4?:%dfg??1:2dmio4>1:9l=7d=83.min46639mb`d=9;10c4!`bk33=>6`iec821>=h1;=1<7*ieb8:27=inlh1=;54o805>5<#nli15;<4ngga>41<3f3997>5$gg`><053glnn7?7;:m:61<72-lno7792:leag<6121d59;50;&eaf<>>;1ejhl51`98k<23290/jhm59708jcce28h07b7;3;29?j?3:3:17b7;1;29?j?383:17b7<9;29?j?5m3:17b7=3;29?j?5:3:17plei3>0D57>;o3112<69930e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3`2;>4<1290;w)664;5f4>"68m81?;;9;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=98:j7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2c25?7=>3:18:6:&256`=993=7)??f58067=#0kk186F7909m573028;;n6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1b55<62?0;6=u+88693`6<,8:o>7=9579'545a28:2:6*>0g69774<,1hj695G8838j442?3;:5<5;|`0e5`=93<1<7>t$9;7>2c73-;;h?4<6448 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:==j4i5;f>5<5<5;h605?6=3f;;;:4?::a7d6b280=6=4?{%::0?1b82.:h6:<=1=<>j;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6g7l3;1:7>50z&;=1<0m91/==j=:2462>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6k2;1513=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?>119j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4i9h1=7850;2x =?32>o;7)??d380200<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>1038m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;h:j6<49:183!>><3=n<6*>0e0973313-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8951031?l2>m3:17d:>o3;80;66a>06594?=zj:k;;7?56;294~"?1=0602>2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:0327>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?51=?1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;3251=n<0o1<75f42d94?=n<1n1<75f114f>5<<<0(<=;%:ae?2<@13:7c?=56825435<8=7>5;n3332<722wi?;m7:085>5<7s-22879j0:&24a4=;??=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245954713`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>8l7;392?6=8r.35948e19'55b52:<>:6*>12d955?13-;;j94<239'476?2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=9c482>3<729q/44:57d28 46c:39=9;5+101e>46>>2.::188k460?3:17pl<6b695?0=83:p(57;:6g3?!77l;08:884$030b?771?1/==h;:201?!>ei3>0D57>;o3112<69830e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm37a0>4<1290;w)664;5f4>"68m81?;;9;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=98;j7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb24`6?7=>3:18:6:&256`=993=7)??f58067=#0kk186F7909m573028;:n6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c15g4<62?0;6=u+88693`6<,8:o>7=9579'545a28:2:6*>0g69774<,1hj695G8838j442?3;:=n5f48g94?=n<:l1<75f49f94?=n995<5;|`02f6=93<1<7>t$9;7>2c73-;;h?4<6448 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:=5<5<5;h605?6=3f;;;:4?::a73da280=6=4?{%::0?1b82.:h6:<=1=8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f60em3;1:7>50z&;=1<0m91/==j=:2462>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6f:k7=`<722c??k4?::k7k2;1513=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?>219j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4>ki1=7850;2x =?32>o;7)??d380200<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>1338m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;?n;6<49:183!>><3=n<6*>0e0973313-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8951001?l2>m3:17d:>o3;80;66a>06594?=zj:602>2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:0317>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?51=?1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;3261=n<0o1<75f42d94?=n<1n1<75f114f>5<<<0(<=;%:ae?2<@13:7c?=56825735<8=7>5;n3332<722wi?;ml:085>5<7s-22879j0:&24a4=;??=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245954413`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>8lb;392?6=8r.35948e19'55b52:<>:6*>12d955?13-;;j94<239'475?2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=9c`82>3<729q/44:57d28 46c:39=9;5+101e>46>>2.::188k460?3:17pl<6b495?0=83:p(57;:6g3?!77l;08:884$030b?771?1/==h;:201?!>ei3>0D57>;o3112<69;30e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm37`a>4<1290;w)664;5f4>"68m81?;;9;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=988j7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb24ae?7=>3:18:6:&256`=993=7)??f58067=#0kk186F7909m573028;9n6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1:71<62?0;6=u+88693`1<,8:o>7=9579'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:=?m4i5;f>5<5<5;h605?6=3f;;;:4?::a7<54280=6=4?{%::0?1b?2.:;;0:6;4?:1y'<<2=?l=0(<>k2;1513=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>13g8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;09:6<49:183!>><3=n;6*>0e0973313-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:031b>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56825665<8=7>5;n3332<722wi?4=m:085>5<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'47492c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=63`82>3<729q/44:57d58 46c:39=9;5+101e>46>>2.:ei3>0D57>;o3112<69:80e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm381:>4<1290;w)664;5f3>"68m81?;;9;%327c<680<0(<>i4;33b6=#0kk186F7909m573028;8?6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1:7=<62?0;6=u+88693`1<,8:o>7=9579'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:=>:4i5;f>5<5<5;h605?6=3f;;;:4?::a7<50280=6=4?{%::0?1b?2.:;?0:6;4?:1y'<<2=?l=0(<>k2;1513=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>1248m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;09>6<49:183!>><3=n;6*>0e0973313-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0303>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?51=?1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568256>5<8=7>5;n3332<722wi?45<7s-22879j7:&24a4=;??=7)?>3g824<0<,8:m87??f29'47412c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=6b182>3<729q/44:57d28 46c:39=9;5+101e>46>>2.::188k460?3:17pl<8g695?0=83:p(57;:6g4?!77l;08:884$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=989i7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2:e7?7=>3:18:6:&256`=993=7)??f5824c5<,1hj695G8838j442?3;:?n5f48g94?=n<:l1<75f49f94?=n995<5;|`0t$9;7>2c03-;;h?4<6448 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=<=k;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6>a93;1:7>50z&;=1<0m>1/==j=:2462>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?>3d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd40o:1=7850;2x =?32>o<7)??d380200<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895101e?l2>m3:17d:>o3;80;66a>06594?=zj:2mo7?56;294~"?1=0602>2.:=>h511;5?!77n=0:1=O00;0b<<:7;3205=n<0o1<75f42d94?=n<1n1<75f114f>5<<<0(i3:&;fd<33A22=6`>245954263`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>6ia;392?6=8r.35948e69'55b52:<>:6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?76<;1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<8g;95?0=83:p(57;:6g4?!77l;08:884$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=98>87d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2:e3:18:6:&256`=993=7)??f5824c5<,1hj695G8838j442?3;:895f48g94?=n<:l1<75f49f94?=n995<5;|`0t$9;7>2c03-;;h?4<6448 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=<::;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6>a>3;1:7>50z&;=1<0m>1/==j=:2462>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?>479j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd40o?1=7850;2x =?32>o<7)??d380200<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951064?l2>m3:17d:>o3;80;66a>06594?=zj:2nj7?56;294~"?1=0602>2.:=>h511;5?!77n=0:1=O00;0b<<:7;320==n<0o1<75f42d94?=n<1n1<75f114f>5<<<0(i3:&;fd<33A22=6`>2459542>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>7l7;392?6=8r.35948e19'55b52:<>:6*>12d955?13-;;j94<239'473i2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=6c782>3<729q/44:57d28 46c:39=9;5+101e>46>>2.::188k460?3:17pl<9bd95?0=83:p(57;:6g3?!77l;08:884$030b?771?1/==h;:201?!>ei3>0D57>;o3112<69=i0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm38af>4<1290;w)664;5f4>"68m81?;;9;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=98>o7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2;``?7=>3:18:6:&256`=993=7)??f58067=#0kk186F7909m573028;?i6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1:gf<62?0;6=u+88693`6<,8:o>7=9579'545a28:2:6*>0g69774<,1hj695G8838j442?3;:8k5f48g94?=n<:l1<75f49f94?=n995<5;|`0=fd=93<1<7>t$9;7>2c73-;;h?4<6448 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:=8>4i5;f>5<5<5;h605?6=3f;;;:4?::a7h6:<=1=<;>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6?d13;1:7>50z&;=1<0m91/==j=:2462>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6k10:6;4?:1y'<<2=?l:0(<>k2;1513=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?>529j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd41j?1=7850;2x =?32>o;7)??d380200<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>1468m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;0i?6<49:183!>><3=n<6*>0e0973313-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8951076?l2>m3:17d:>o3;80;66a>06594?=zj:3om7?56;294~"?1=0602>2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:0362>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?51=?1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;3212=n<0o1<75f42d94?=n<1n1<75f114f>5<<<0(<=;%:ae?2<@13:7c?=568250>5<8=7>5;n3332<722wi?4k?:085>5<7s-22879j0:&24a4=;??=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459543>3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>7kf;392?6=8r.35948e19'55b52:<>:6*>12d955?13-;;j94<239'472i2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=6dd82>3<729q/44:57d28 46c:39=9;5+101e>46>>2.::188k460?3:17pl<9ef95?0=83:p(57;:6g3?!77l;08:884$030b?771?1/==h;:201?!>ei3>0D57>;o3112<690;66sm38f`>4<1290;w)664;5f4>"68m81?;;9;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=98?o7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2;:b?7=>3:18:6:&256`=993=7)??f5824c5<,1hj695G8838j442?3;:9h5f48g94?=n<:l1<75f49f94?=n995<5;|`0=t$9;7>2c03-;;h?4<6448 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=<;i;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6?>l3;1:7>50z&;=1<0m>1/==j=:2462>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?>619j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd410i1=7850;2x =?32>o<7)??d380200<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951042?l2>m3:17d:>o3;80;66a>06594?=zj:32n7?56;294~"?1=0602>2.:=>h511;5?!77n=0:1=O00;0b<<:7;3227=n<0o1<75f42d94?=n<1n1<75f114f>5<<<0(i3:&;fd<33A22=6`>245954043`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>769;392?6=8r.35948e69'55b52:<>:6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?76>=1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<98:95?0=83:p(57;:6g4?!77l;08:884$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=98<>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2;b3?7=>3:18:6:&256`=993=7)??f5824c5<,1hj695G8838j442?3;::;5f48g94?=n<:l1<75f49f94?=n995<5;|`0=d0=93<1<7>t$9;7>2c03-;;h?4<6448 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=<88;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6?f=3;1:7>50z&;=1<0m>1/==j=:2462>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?>699j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd41h>1=7850;2x =?32>o<7)??d380200<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895104:?l2>m3:17d:>o3;80;66a>06594?=zj:3j?7?56;294~"?1=0602>2.:=>h511;5?!77n=0:1=O00;0b<<:7;322d=n<0o1<75f42d94?=n<1n1<75f114f>5<<<0(i3:&;fd<33A22=6`>2459540e3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>7n1;392?6=8r.35948e69'55b52:<>:6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?76>j1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<9`295?0=83:p(57;:6g4?!77l;08:884$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=98l0;66g;3083>>i68>=1<75rb2;:3?7=>3:18:6:&256`=993=7)??f5824c5<,1hj695G8838j442?3;::h5f48g94?=n<:l1<75f49f94?=n995<5;|`0=a1=93<1<7>t$9;7>2c73-;;h?4<6448 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:=;h4i5;f>5<5<5;h605?6=3f;;;:4?::a7h6:<=1=<9?;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6>2=3;1:7>50z&;=1<0m91/==j=:2462>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6lk0:6;4?:1y'<<2=?l:0(<>k2;1513=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?>739j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4<881=7=50;2x 474n3;9?o5+11f1>64fk2B35<5a1374>470;2c2=<4?::k77g<722ei4o4?::a717628086=4?{%327c<6::h0(<>k2;11ef=O00;0b<<:7;3231=n18;1<75f42`94?=hj1h1<75rb21fa?7=<3:112d9=21<,8:o>7==ab9K<<7;7?>749j0`d=831b8>l50;9j55g?2900co6m:188yg53990:6>4?:1y'545a2888n6*>0e0977gd3A22=6`>245954113`3:=7>5;h60f?6=3fh3n7>5;|`07`b=93>1<7>t$030b??0?2.:>92d:>8951054?l2bj3:17d:>{e;=:m6<4<:183!76;o0:>>l4$02g6?55ij1C44?4n0063?76?11b550z&256`=1>=0(<>k2;11ef=O00;0b<<:7;323<=n5<:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd4;lh1=7:50;2x 474n33<;6*>0e0977gd3A22=6`>2459541e3`>nn7>5;h60f?6=3`;;m54?::mak:080>5<7s-;:?k4>22`8 46c:399mn5G8838j442?3;:;n5f90394?=n<:h1<75`b9`94?=zj:9nm7?54;294~"69:l15:94$02g6?55ij1C44?4n0063?76?m1b8hl50;9j06d=831b==o7:188kg>e2900qo=;0b82>6<729q/=<=i:000f>"68m81??ol;I::5>h6:<=1=<9j;h;25?6=3`>8n7>5;n`;f?6=3th8?h751;694?6|,8;8j7787:&24a4=;;kh7E661:l2601=98=m7d:jb;29?l24j3:17d??a983>>ie0k0;66sm352a>4<4290;w)?>3g8266d<,8:o>7==ab9K<<7;7?>819j=47=831b8>l50;9lf=d=831vn>=j8;390?6=8r.:=>h59658 46c:399mn5G8838j442?3;:4<5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89510:1?l?693:17d:"68m81??ol;I::5>h6:<=1=<6<;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a717f28086=4?{%327c<6::h0(<>k2;11ef=O00;0b<<:7;32<1=n18;1<75f42`94?=hj1h1<75rb21e2?7=<3:112d9=21<,8:o>7==ab9K<<7;7?>849j0`d=831b8>l50;9j55g?2900co6m:188yg53900:6>4?:1y'545a2888n6*>0e0977gd3A22=6`>245954>13`3:=7>5;h60f?6=3fh3n7>5;|`07c3=93>1<7>t$030b??0?2.:>92d:>89510:4?l2bj3:17d:>{e;=;36<4<:183!76;o0:>>l4$02g6?55ij1C44?4n0063?76011b550z&256`=1>=0(<>k2;11ef=O00;0b<<:7;32<<=n5<:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd4;o91=7:50;2x 474n33<;6*>0e0977gd3A22=6`>245954>e3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:399mn5G8838j442?3;:4n5f90394?=n<:h1<75`b9`94?=zj:9m>7?54;294~"69:l15:94$02g6?55ij1C44?4n0063?760m1b8hl50;9j06d=831b==o7:188kg>e2900qo=;1482>6<729q/=<=i:000f>"68m81??ol;I::5>h6:<=1=<6j;h;25?6=3`>8n7>5;n`;f?6=3th8?k?51;694?6|,8;8j7787:&24a4=;;kh7E661:l2601=982m7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3537>4<4290;w)?>3g8266d<,8:o>7==ab9K<<7;7?>919j=47=831b8>l50;9lf=d=831vn>=i0;390?6=8r.:=>h59658 46c:399mn5G8838j442?3;:5<5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89510;1?l?693:17d:"68m81??ol;I::5>h6:<=1=<7<;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a716f28086=4?{%327c<6::h0(<>k2;11ef=O00;0b<<:7;32=1=n18;1<75f42`94?=hj1h1<75rb21f3?7=<3:112d9=21<,8:o>7==ab9K<<7;7?>949j0`d=831b8>l50;9j55g?2900co6m:188yg54lm0:6>4?:1y'545a2888n6*>0e0977gd3A22=6`>245954?13`3:=7>5;h60f?6=3fh3n7>5;|`07ae=9391<7>t$030b?75;k1/==j=:20bg>N?181e=?;8:03:3>o>980;66g;3c83>>ie0k0;66sm32:5>4<3290;w)?>3g8:32=#99n96>=831dn5l50;9~f65cj3;1?7>50z&256`=9;9i7)??d3806de<@13:7c?=568255<<103-;;h?4<2`a8L=?63g;99:4>18c8m1ce2900e9=m:188m46f03:17bl7b;29?xd4;mk1=7=50;2x 474n3;9?o5+11f1>64fk2B35<5a1374>47>j2c2=<4?::k77g<722ei4o4?::a76>3280?6=4?{%327c<>?>1/==j=:20bg>N?181e=?;8:03:g>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:9o57?53;294~"69:l1=?=m;%33`7<4:hi0D57>;o3112<690n0e4?>:188m15e2900co6m:188yg540:0:694?:1y'545a20=<7)??d3806de<@13:7c?=568255<5;n`;f?6=3th8?i651;194?6|,8;8j7?=3c9'55b52:8jo6F7909m573028;2j6g61083>>o3;k0;66am8c83>>{e;:296<4;:183!76;o02;:5+11f1>64fk2B35<5a1374>47f82c?io4?::k77g<722c:=k7;397?6=8r.:=>h5131a?!77l;08>lm4H9;2?k75=>0:=l?4i832>5<5<:583>5}#989m6498;%33`7<4:hi0D57>;o3112<69h80e9km:188m15e2900e<>n8;29?jd?j3:17pl<3e495?5=83:p(6?:087>5<7s-;:?k46769'55b52:8jo6F7909m573028;j86g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb21f2?7=;3:112d9575e3-;;h?4<2`a8L=?63g;99:4>1`78m<762900e9=m:188kg>e2900qo=<8g82>1<729q/=<=i:854?!77l;08>lm4H9;2?k75=>0:=l84i5ga>5<5;|`07`3=9391<7>t$030b?75;k1/==j=:20bg>N?181e=?;8:03b3>o>980;66g;3c83>>ie0k0;66sm32:f>4<3290;w)?>3g8:32=#99n96>=831dn5l50;9~f65b<3;1?7>50z&256`=9;9i7)??d3806de<@13:7c?=56825d?5<<103-;;h?4<2`a8L=?63g;99:4>1`c8m1ce2900e9=m:188m46f03:17bl7b;29?xd4;l91=7=50;2x 474n3;9?o5+11f1>64fk2B35<5a1374>47fj2c2=<4?::k77g<722ei4o4?::a76>d280?6=4?{%327c<>?>1/==j=:20bg>N?181e=?;8:03bg>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:9n>7?53;294~"69:l1=?=m;%33`7<4:hi0D57>;o3112<69hn0e4?>:188m15e2900co6m:188yg540k0:694?:1y'545a20=<7)??d3806de<@13:7c?=56825dc5<5;n`;f?6=3th8?h?51;194?6|,8;8j7?=3c9'55b52:8jo6F7909m573028;jj6g61083>>o3;k0;66am8c83>>{e;:2j6<4;:183!76;o02;:5+11f1>64fk2B35<5a1374>47e82c?io4?::k77g<722c:=j0;397?6=8r.:=>h5131a?!77l;08>lm4H9;2?k75=>0:=o?4i832>5<5<:583>5}#989m6498;%33`7<4:hi0D57>;o3112<69k80e9km:188m15e2900e<>n8;29?jd?j3:17pl<3ed95?5=83:p(67:087>5<7s-;:?k46769'55b52:8jo6F7909m573028;i86g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb21ga?7=;3:112d9575e3-;;h?4<2`a8L=?63g;99:4>1c78m<762900e9=m:188kg>e2900qo=<8682>1<729q/=<=i:854?!77l;08>lm4H9;2?k75=>0:=o84i5ga>5<5;|`07a3=9391<7>t$030b?75;k1/==j=:20bg>N?181e=?;8:03a3>o>980;66g;3c83>>ie0k0;66sm325f>4<3290;w)?>3g8:32=#99n96>=831dn5l50;9~f651<3;1?7>50z&256`=9;9i7)??d3806de<@13:7c?=56825g?5<444j2.:>92d:>89510`b?l?693:17d:"68m81??ol;I::5>h6:<=1=8n7>5;h33e=<722ei4o4?::a760528086=4?{%327c<6::h0(<>k2;11ef=O00;0b<<:7;32ff=n18;1<75f42`94?=hj1h1<75rb210=?7=<3:112d9=21<,8:o>7==ab9K<<7;7?>be9j0`d=831b8>l50;9j55g?2900co6m:188yg54>80:6>4?:1y'545a2888n6*>0e0977gd3A22=6`>245954db3`3:=7>5;h60f?6=3fh3n7>5;|`0761=93>1<7>t$030b??0?2.:>92d:>89510`e?l2bj3:17d:>{e;:?m6<4<:183!76;o0:>>l4$02g6?55ij1C44?4n0063?76k91b550z&256`=1>=0(<>k2;11ef=O00;0b<<:7;32g4=n5<:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd4;:81=7:50;2x 474n33<;6*>0e0977gd3A22=6`>245954e43`>nn7>5;h60f?6=3`;;m54?::ma;k:080>5<7s-;:?k4>22`8 46c:399mn5G8838j442?3;:o95f90394?=n<:h1<75`b9`94?=zj:98<7?54;294~"69:l15:94$02g6?55ij1C44?4n0063?76k<1b8hl50;9j06d=831b==o7:188kg>e2900qo=<5b82>6<729q/=<=i:000f>"68m81??ol;I::5>h6:<=1=8n7>5;n`;f?6=3th8??k51;694?6|,8;8j7787:&24a4=;;kh7E661:l2601=98i<7d:jb;29?l24j3:17d??a983>>ie0k0;66sm327a>4<4290;w)?>3g8266d<,8:o>7==ab9K<<7;7?>c99j=47=831b8>l50;9lf=d=831vn>==d;390?6=8r.:=>h59658 46c:399mn5G8838j442?3;:o45f4d`94?=n<:h1<75f11c;>5<<7>3-2287jj9:&24a4=;;kh7E661:l2601=98ij7d6n1;29?l>f:3:17bk8d;29?xd4;64fk2B35<5a1374>47dj2c2=<4?::k77g<722ei4o4?::a764d280?6=4?{%327c<>?>1/==j=:20bg>N?181e=?;8:03`g>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj:9?m7?53;294~"69:l15<74$9;7>ac>3-;;h?4<2`a8L=?63g;99:4>1bf8m=g62900e5o=:188k`1c2900qo=<5882>6<729q/=<=i:000f>"68m81??ol;I::5>h6:<=1=8n7>5;n`;f?6=3th8??l51;694?6|,8;8j7787:&24a4=;;kh7E661:l2601=98im7d:jb;29?l24j3:17d??a983>>ie0k0;66sm326:>4<4290;w)?>3g8:5<=#00>1hh74$02g6?55ij1C44?4n0063?76l91b4l?50;9j50z&256`=9;9i7)??d3806de<@13:7c?=56825a75<<103-;;h?4<2`a8L=?63g;99:4>1e08m1ce2900e9=m:188m46f03:17bl7b;29?xd4;=21=7=50;2x 474n33:56*7958ga<=#99n96>;8:080>5<7s-;:?k4>22`8 46c:399mn5G8838j442?3;:h95f90394?=n<:h1<75`b9`94?=zj:9957?54;294~"69:l15:94$02g6?55ij1C44?4n0063?76l<1b8hl50;9j06d=831b==o7:188kg>e2900qo=<4682>6<729q/=<=i:83:?!>><3nn56*>0e0977gd3A22=6`>245954b13`2j=7>5;h:b6?6=3fo5;|`0700=9391<7>t$030b?75;k1/==j=:20bg>N?181e=?;8:03g3>o>980;66g;3c83>>ie0k0;66sm320;>4<3290;w)?>3g8:32=#99n96>=831dn5l50;9~f653>3;1?7>50z&256`=1830(57;:eg:?!77l;08>lm4H9;2?k75=>0:=i74i9c2>5<5<:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd4;=>1=7:50;2x 474n33<;6*>0e0977gd3A22=6`>245954be3`>nn7>5;h60f?6=3`;;m54?::ma;;:080>5<7s-;:?k46189'<<2=ll30(<>k2;11ef=O00;0b<<:7;32`f=n0h;1<75f8`094?=hm>n1<75rb215g?7=;3:112d9575e3-;;h?4<2`a8L=?63g;99:4>1ef8m<762900e9=m:188kg>e2900qo=<4282>1<729q/=<=i:854?!77l;08>lm4H9;2?k75=>0:=ik4i5ga>5<5;|`0705=9391<7>t$030b??612.3594ke89'55b52:8jo6F7909m573028;oj6g7a083>>o?i;0;66aj7e83>>{e;:>l4$02g6?55ij1C44?4n0063?76m91b550z&256`=1>=0(<>k2;11ef=O00;0b<<:7;32a4=n5<:283>5}#989m64?6;%::0?bb12.:>92d:>89510g1?l>f93:17d6n2;29?jc0l3:17pl<37c95?5=83:p(:>:087>5<7s-;:?k46769'55b52:8jo6F7909m573028;n86g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2165?7=;3:112d9=4?<,13?6ik6;%33`7<4:hi0D57>;o3112<69l?0e5o>:188m=g52900ch9k:188yg54>00:6>4?:1y'545a2888n6*>0e0977gd3A22=6`>245954c13`3:=7>5;h60f?6=3fh3n7>5;|`0716=93>1<7>t$030b??0?2.:>92d:>89510g4?l2bj3:17d:>{e;:?;6<4<:183!76;o02=45+8869``?<,8:o>7==ab9K<<7;7?>e99j=98;397?6=8r.:=>h5131a?!77l;08>lm4H9;2?k75=>0:=h74i832>5<5<:583>5}#989m6498;%33`7<4:hi0D57>;o3112<69lk0e9km:188m15e2900e<>n8;29?jd?j3:17pl<35d95?5=83:p("?1=0oi45+11f1>64fk2B35<5a1374>47bj2c3m<4?::k;e7<722en;i4?::a760028086=4?{%327c<6::h0(<>k2;11ef=O00;0b<<:7;32af=n18;1<75f42`94?=hj1h1<75rb210a?7=<3:112d9=21<,8:o>7==ab9K<<7;7?>ee9j0`d=831b8>l50;9j55g?2900co6m:188yg544?:1y'545a20;27)664;ff=>"68m81??ol;I::5>h6:<=1=7>5;ng4`?6=3th8?;851;194?6|,8;8j7?=3c9'55b52:8jo6F7909m573028;nj6g61083>>o3;k0;66am8c83>>{e;:9o6<4;:183!76;o02;:5+11f1>64fk2B35<5a1374>47a82c?io4?::k77g<722c:=;d;397?6=8r.:=>h590;8 =?32mo27)??d3806de<@13:7c?=56825c75<444j2.:>92d:>89510d1?l?693:17d:"68m81??ol;I::5>h6:<=1=8n7>5;h33e=<722ei4o4?::a762d28086=4?{%327c<>901/44:5dd;8 46c:399mn5G8838j442?3;:j95f8`394?=n0h81<75`e6f94?=zj:9>97?53;294~"69:l1=?=m;%33`7<4:hi0D57>;o3112<69o?0e4?>:188m15e2900co6m:188yg54:>0:694?:1y'545a20=<7)??d3806de<@13:7c?=56825c05<5;n`;f?6=3th8?9;51;194?6|,8;8j77>9:&;=1N?181e=?;8:03e3>o?i80;66g7a383>>ib?m0;66sm3251>4<3290;w)?>3g8:32=#99n96>=831dn5l50;9~f65003;197>50z&256`=1830(57;:c`e?!77l;08>lm4$gd3>62b02B35<5a1374>47a12c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a761c280>6=4?{%327c<>901/44:5c`38 46c:399mn5+fg2971c?3A22=6`>245954`f3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`0727=93>1<7>t$030b??0?2.:>92d:>89510da?l2bj3:17d:>{e;:=<6<4::183!76;o02=45+8869fg`<,8:o>7==ab9'bc6=;=o<7E661:l2601=98lh7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4;>i1=7;50;2x 474n33:56*7958`e4=#99n96>;o3112<69on0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg54?90:694?:1y'545a20=<7)??d3806de<@13:7c?=56825cc5<5;n`;f?6=3th8?:851;794?6|,8;8j77>9:&;=1"an9088h84H9;2?k75=>0:=kh4i9c2>5<5<5<:483>5}#989m64?6;%::0?ef92.:1<75`e6f94?=zj:9=j7?54;294~"69:l15:94$02g6?55ij1C44?4n0063?75881b8hl50;9j06d=831b==o7:188kg>e2900qo=<7482>0<729q/=<=i:83:?!>><3hij6*>0e0977gd3-lm<7=;e49K<<7;7?=039j=8a;391?6=8r.:=>h590;8 =?32jk:7)??d3806de<,ol;6>:j5:J;=4=i9;?<6<8j:087>5<7s-;:?k46769'55b52:8jo6F7909m5730288;86g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb2140?7==3:112d9=4?<,13?6oli;%33`7<4:hi0(kh?:26f0>N?181e=?;8:0031>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm325:>4<2290;w)?>3g8:5<=#00>1ol?4$02g6?55ij1/jk>535g7?M>>92d:>8951325?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<5bc95?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;:<7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb27`=?7=>3:15<5;|`01f>=93<1<7>t$9;7>2c03-;;h?4<2`a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=?>6;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f63d?3;1:7>50z&;=1<0m>1/==j=:20bg>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?=0`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=j<1=7850;2x =?32>o<7)??d3806de<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895132a?l2>m3:17d:>o3;80;66a>06594?=zj:?h97?56;294~"?1=064fk2.:=>h511;5?!77n=0:1=O00;0b<<:7;314f=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459576c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;l3;392?6=8r.35948e69'55b52:8jo6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?758l1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<5e095?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;:m7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb27g5?7=>3:15<5;|`01a6=93<1<7>t$9;7>2c03-;;h?4<2`a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=??>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f63dn3;1:7>50z&;=1<0m>1/==j=:20bg>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?=139j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=jo1=7850;2x =?32>o<7)??d3806de<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951330?l2>m3:17d:>o3;80;66a>06594?=zj:?hh7?56;294~"?1=064fk2.:=>h511;5?!77n=0:1=O00;0b<<:7;3151=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245957723`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;lb;392?6=8r.35948e69'55b52:8jo6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?759?1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<5b095?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;;<7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb27`5?7=>3:15<5;|`014?=93<1<7>t$9;7>2c03-;;h?4<2`a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=??6;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f63603;1:7>50z&;=1<0m>1/==j=:20bg>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?=1`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=8=1=7850;2x =?32>o<7)??d3806de<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895133a?l2>m3:17d:>o3;80;66a>06594?=zj:?::7?56;294~"?1=064fk2.:=>h511;5?!77n=0:1=O00;0b<<:7;315f=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459577c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;>3;392?6=8r.35948e69'55b52:8jo6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?759l1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<50095?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;;m7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2725?7=>3:1=5f48g94?=n<:l1<75f49f94?=n995<5;|`0146=93<1<7>t$9;7>2c03-;;h?4<2`a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=?<>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f637n3;1:7>50z&;=1<0m>1/==j=:20bg>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?=239j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=9o1=7850;2x =?32>o<7)??d3806de<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951300?l2>m3:17d:>o3;80;66a>06594?=zj:?;h7?56;294~"?1=064fk2.:=>h511;5?!77n=0:1=O00;0b<<:7;3161=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245957423`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;?b;392?6=8r.35948e69'55b52:8jo6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?75:?1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<53295?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;8<7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb272b?7=>3:155f48g94?=n<:l1<75f49f94?=n995<5;|`014c=93<1<7>t$9;7>2c03-;;h?4<2`a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=?<6;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f636l3;1:7>50z&;=1<0m>1/==j=:20bg>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?=2`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=8i1=7850;2x =?32>o<7)??d3806de<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895130a?l2>m3:17d:>o3;80;66a>06594?=zj:?:n7?56;294~"?1=064fk2.:=>h511;5?!77n=0:1=O00;0b<<:7;316f=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459574c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;>5;392?6=8r.35948e69'55b52:8jo6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?75:l1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<51c95?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;8m7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb273=?7=>3:15<5;|`013>=93<1<7>t$9;7>2c03-;;h?4<2`a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=?=>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f631?3;1:7>50z&;=1<0m>1/==j=:20bg>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?=339j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=?<1=7850;2x =?32>o<7)??d3806de<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951310?l2>m3:17d:>o3;80;66a>06594?=zj:?=97?56;294~"?1=064fk2.:=>h511;5?!77n=0:1=O00;0b<<:7;3171=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245957523`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;93;392?6=8r.35948e69'55b52:8jo6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?75;?1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<57095?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;9<7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2755?7=>3:15<5;|`0126=93<1<7>t$9;7>2c03-;;h?4<2`a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=?=6;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f631n3;1:7>50z&;=1<0m>1/==j=:20bg>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?=3`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=?o1=7850;2x =?32>o<7)??d3806de<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895131a?l2>m3:17d:>o3;80;66a>06594?=zj:?=h7?56;294~"?1=064fk2.:=>h511;5?!77n=0:1=O00;0b<<:7;317f=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459575c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;9b;392?6=8r.35948e69'55b52:8jo6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?75;l1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<57c95?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;9m7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb275=?7=>3:15<5;|`0136=93<1<7>t$9;7>2c03-;;h?4<2`a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=?:>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f632n3;1:7>50z&;=1<0m>1/==j=:20bg>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?=439j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=;<1=7850;2x =?32>o;7)??d3806de<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>2518m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;<8j6<49:183!>><3=n<6*>0e0977gd3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8951367?l2>m3:17d:>o3;80;66a>06594?=zj:?9=7?56;294~"?1=064fk2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:0071>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:07b>5<7s-;:?k48859'55b52:8jo6`=2b83?k44>3:0b><::09m77`=82d?584?;o5;6?6h68>:1<6`;2583?k2503:0(>l9:4d6?!25=3o=i6*>0`0955`43A22=6Tc7=9?:1qc?=56826106=44i02:g?6=3`;;5i4?::k772<722c:<4k50;9j06?=831b8>:50;9j55g72900e<>6f;29?l24n3:17d??9`83>>o3;10;66g;3283>>o3::0;66g;3`83>>o3;;0;66g>08;94?=n99k:6=44i20f>5<#nli1?984ngga>5=5<#nli1?984ngga>7=54i20b>5<#nli1?984ngga>1=5<#nli1?984ngga>3=5<#nli1?984ngga>==5<#nli1?984ngga>d=5<#nli1?984ngga>f=5<#nli1?984ngga>`=5<#nli1?984ngga>46<3`9987>5$gg`>6213glnn7?>;:ke0c<722cm8h4?::ke0a<722cm8n4?::ke0g<722cm8l4?::ke0<<722cm854?::ke03<722cm884?::ke01<722cm8>4?::ke07<722cm8<4?::ke05<722cm?k4?::ke7`<722cm?i4?::ke7g<722cm?l4?::ke7<<722cm?54?::ke72<722cm?;4?::ke70<722cm?94?::ke76<722cm??4?::ke75<722cm>k4?::ke6`<722cm>i4?::ke6f<722cm>o4?::ke6d<722cm>44?::ke6=<722cm>:4?::ke11<722cm9>4?::ke17<722cm9<4?::ke15<722cm8:4?::ke7f<722cm?<4?::ke63<722cm>84?::k0a3<72-lno7:>9:leag<732c8i84?:%dfg?2612dmio4>;:k0a1<72-lno7:>9:leag<532c8i>4?:%dfg?2612dmio4<;:k0a7<72-lno7:>9:leag<332c8i<4?:%dfg?2612dmio4:;:k0a5<72-lno7:>9:leag<132c8hk4?:%dfg?2612dmio48;:k0`a<72-lno7:>9:leag9:leag9:leag9:leag9:leag<6821b?i:50;&eaf<3901ejhl51098m6b5290/jhm540;8jcce28807d=k1;29 ccd2=;27chjb;30?>o4l90;6)hjc;62=>hamk0:865f3bd94?"amj0?=45afd`950=8:9j7fd=83.min4;189mb`d=9010e>mn:18'b`e=<830bkkm:0c8?l5d13:1(kkl:53:?k`bj3;i76g!`bk3>:56`iec82g>=n;j<1<7*ieb875<=inlh1=i54i2a6>5<#nli18<74ngga>4c<3`9h87>5$gg`>17>3glnn7?i;:k0g6<72-lno7:>9:leag<5821b?n<50;&eaf<3901ejhl52098m6e6290/jhm540;8jcce2;807d=l0;29 ccd2=;27chjb;00?>o4jo0;6)hjc;62=>hamk09865f3cg94?"amj0?=45afd`960=k7:18'b`e=<830bkkm:3c8?l5cm3:1(kkl:53:?k`bj38i76g!`bk3>:56`iec81g>=n;j21<7*ieb875<=inlh1>i54i2`g>5<#nli18<74ngga>7c<3`9i47>5$gg`>17>3glnn7;:kf2`<72-lno7k88:leag<532cn:i4?:%dfg?c002dmio4<;:kf2f<72-lno7k88:leag<332cn:o4?:%dfg?c002dmio4:;:kf2d<72-lno7k88:leag<132cn:44?:%dfg?c002dmio48;:k7e=<72-lno7:l3:leag<732c?m:4?:%dfg?2d;2dmio4>;:k7e3<72-lno7:l3:leag<532c?m84?:%dfg?2d;2dmio4<;:k7e1<72-lno7:l3:leag<332c?m>4?:%dfg?2d;2dmio4:;:k7e7<72-lno7:l3:leag<132c?m<4?:%dfg?2d;2dmio48;:k7fd<72-lno7:l3:leago4>k0;66g<6`83>>o4>00;66g<6983>>o4>>0;66g<6783>>o4><0;66g<6583>>o4?:0;66g<7383>>o4?80;66g<7183>>o4>o0;66g<6d83>>o4>m0;66g<6b83>>o4>:0;66g<6383>>i3:>0;66a;2783>>i4180;66a<9183>>i40o0;66a<8d83>>i40m0;66a<8b83>>i40k0;66a<8`83>>i4100;66a<9983>>i41>0;66a<9783>>i41<0;66a<9583>>i41:0;66a<9383>>i4000;66a<8983>>ibk>0;66ajc783>>ibk<0;66ajc583>>ibk:0;66ajc383>>ibk80;66ajc183>>ibjl0;66ajbe83>>ibjj0;66ajbc83>>ibjh0;66ajb883>>ibj10;66ajb683>>ibj?0;66ajb483>>ibj:0;66ajb383>>ibj80;66ajb183>>ibio0;66ajad83>>ibim0;66ajab83>>ibik0;66aja`83>>ibi10;66aja683>>ibi?0;66aja483>>ibi=0;66aj9d83>>ib1?0;66aj8g83>>ib0>0;66aj8283>>ibm00;66aje183>>iblh0;66ajd083>>ibk00;66ajbg83>>ibj=0;66aja883>>ib0;0;66aj8083>>iaj80;66aib183>>iaio0;66aiad83>>iaim0;66aiab83>>iaik0;66aia`83>>iai10;66aia683>>iai?0;66aia483>>iai=0;66aia283>>iai;0;66aia083>>iai90;66ai9g83>>ia1m0;66ai9b83>>ia1k0;66ai9`83>>ia100;66ai9983>>ia1>0;66ai9783>>ia1<0;66ai9583>>ia1;0;66ai9083>>ia190;66ai8g83>>ia0l0;66ai8e83>>ia0j0;66ai8c83>>ia0h0;66ai8883>>iaj?0;66aib483>>iaj=0;66aib283>>iaj;0;66aia883>>ia1l0;66ai9283>>ia010;66ai8683>>i>;o0;66a63d83>>i>;m0;66a63b83>>i>;k0;66a63`83>>i>;10;66a63683>>i>;?0;66a63483>>i>;=0;66a63283>>i>;;0;66a63083>>i>;90;66a62g83>>i>:m0;6)hjc;;56>hamk0:<65`93a94?"amj02:?5afd`954=44?:%dfg??1:2dmio4>4:9l=7>=83.min46639mb`d=9<10c4<8:18'b`e=1?80bkkm:048?j?5>3:1(kkl:841?k`bj3;<76a62483>!`bk33=>6`iec82<>=h1;>1<7*ieb8:27=inlh1=454o866>5<#nli15;<4ngga>4g<3f3?87>5$gg`><053glnn7?m;:m:06<722e28?4?::m:04<722e28=4?::m:7<<722e2>h4?::m:66<722e2>?4?::a732c280=6=4?{%::0?1b82.:h6:<=1=?:8;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f603k3;1:7>50z&;=1<0m91/==j=:20bg>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<<;8:k7=`<722c??k4?::k7k2;11ef=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?=489j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4><>1=7850;2x =?32>o;7)??d3806de<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>25c8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;??86<49:183!>><3=n<6*>0e0977gd3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895136a?l2>m3:17d:>o3;80;66a>06594?=zj:<>>7?56;294~"?1=064fk2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:007g>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?55ij1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;310a=n<0o1<75f42d94?=n<1n1<75f114f>5<51;494?6|,13?6:k?;%33`7<4:hi0(<=;%:ae?2<@13:7c?=568261c5<8=7>5;n3332<722wi?;:i:085>5<7s-22879j0:&24a4=;;kh7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459572a3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>8;e;392?6=8r.35948e19'55b52:8jo6*>12d955?13-;;j94<239'44282c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=94c82>3<729q/44:57d28 46c:399mn5+101e>46>>2.::188k460?3:17pl<65c95?0=83:p(57;:6g3?!77l;08>lm4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6:<80e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm323e>4<1290;w)664;5f4>"68m81??ol;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9;?87d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb212a?7=>3:186g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c105a<62?0;6=u+88693`6<,8:o>7==ab9'545a28:2:6*>0g69774<,1hj695G8838j442?3;9985f48g94?=n<:l1<75f49f94?=n995<5;|`074d=93<1<7>t$9;7>2c73-;;h?4<2`a8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:>884i5;f>5<5<5;h605?6=3f;;;:4?::a767f280=6=4?{%::0?1b82.:h6:<=1=?;8;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f65613;1:7>50z&;=1<0m91/==j=:20bg>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<<:8:k7=`<722c??k4?::k7k2;11ef=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?=589j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4;8=1=7850;2x =?32>o;7)??d3806de<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>24c8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;:;=6<49:183!>><3=n<6*>0e0977gd3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895137a?l2>m3:17d:>o3;80;66a>06594?=zj:9:97?56;294~"?1=064fk2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:006g>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?55ij1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;311a=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568260c5<8=7>5;n3332<722wi?>?=:085>5<7s-22879j0:&24a4=;;kh7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459573a3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>==6;392?6=8r.35948e19'55b52:8jo6*>12d955?13-;;j94<239'44182c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=<2482>3<729q/44:57d28 46c:399mn5+101e>46>>2.:81b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<33695?0=83:p(57;:6g3?!77l;08>lm4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6:?80e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3200>4<1290;w)664;5f4>"68m81??ol;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9;<87d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2116?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1064<62?0;6=u+88693`6<,8:o>7==ab9'545a28:2:6*>0g69774<,1hj695G8838j442?3;9:85f48g94?=n<:l1<75f49f94?=n995<5;|`0776=93<1<7>t$9;7>2c73-;;h?4<2`a8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:>;84i5;f>5<5<5;h605?6=3f;;;:4?::a767d280=6=4?{%::0?1b82.:h6:<=1=?88;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f65693;1:7>50z&;=1<0m91/==j=:20bg>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<<98:k7=`<722c??k4?::k7k2;11ef=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?=689j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=1>1=7850;2x =?32>o<7)??d3806de<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895134b?l2>m3:17d:>o3;80;66a>06594?=zj:?3?7?56;294~"?1=064fk2.:=>h511;5?!77n=0:1=O00;0b<<:7;312g=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459570d3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;71;392?6=8r.35948e69'55b52:8jo6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?75>m1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<59a95?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;l0;66g;3083>>i68>=1<75rb27;f?7=>3:15<5;|`01=g=93<1<7>t$9;7>2c03-;;h?4<2`a8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=?9?;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f63?13;1:7>50z&;=1<0m>1/==j=:20bg>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?=709j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4=121=7850;2x =?32>o<7)??d3806de<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951351?l2>m3:17d:>o3;80;66a>06594?=zj:?3;7?56;294~"?1=064fk2.:=>h511;5?!77n=0:1=O00;0b<<:7;3136=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245957133`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;75;392?6=8r.35948e69'55b52:8jo6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?75?<1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<59295?0=83:p(57;:6g4?!77l;08>lm4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9;==7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb274b?7=>3:15<5;|`0256=93<1<7>t$9;7>2c73-;;h?4<2`a8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:>:64i5;f>5<5<5;h605?6=3f;;;:4?::a7023280=6=4?{%::0?1b?2.:k2;11ef=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>26c8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;<>96<49:183!>><3=n;6*>0e0977gd3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:004f>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<8<4>:783>5}#00>1;h94$02g6?55ij1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568262e5<8=7>5;n3332<722wi?8:?:085>5<7s-22879j7:&24a4=;;kh7)?>3g824<0<,8:m87??f29'440l2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=:4b82>3<729q/44:57d58 46c:399mn5+101e>46>>2.:ei3>0D57>;o3112<6:>o0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm346a>4<1290;w)664;5f3>"68m81??ol;%327c<680<0(<>i4;33b6=#0kk186F7909m5730288>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c160d<62?0;6=u+88693`1<,8:o>7==ab9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:>5>4i5;f>5<5<5;h605?6=3f;;;:4?::a702>280=6=4?{%::0?1b?2.:k2;11ef=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>2908m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;<><6<49:183!>><3=n;6*>0e0977gd3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:00;7>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<8;4>:783>5}#00>1;h94$02g6?55ij1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56826=25<8=7>5;n3332<722wi?8:::085>5<7s-22879j7:&24a4=;;kh7)?>3g824<0<,8:m87??f29'44?=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=:3g82>3<729q/44:57d58 46c:399mn5+101e>46>>2.:ei3>0D57>;o3112<6:1<0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm341f>4<1290;w)664;5f3>"68m81??ol;%327c<680<0(<>i4;33b6=#0kk186F7909m57302883;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1552<62?0;6=u+88693`6<,8:o>7==ab9'545a28:2:6*>0g69774<,1hj695G8838j442?3;9455f48g94?=n<:l1<75f49f94?=n995<5;|`0240=93<1<7>t$9;7>2c73-;;h?4<2`a8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:>574i5;f>5<5<5;h605?6=3f;;;:4?::a737a280=6=4?{%::0?1b82.:h6:<=1=?6n;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f606m3;1:7>50z&;=1<0m91/==j=:20bg>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<<7b:k7=`<722c??k4?::k7k2;11ef=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?=8b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4>8i1=7850;2x =?32>o;7)??d3806de<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>29f8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;?;i6<49:183!>><3=n<6*>0e0977gd3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>89513:f?l2>m3:17d:>o3;80;66a>06594?=zj:<:m7?56;294~"?1=064fk2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:00;b>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?55ij1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;31=5=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56826<75<8=7>5;n3332<722wi?;?::085>5<7s-22879j0:&24a4=;;kh7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245957?53`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>8>4;392?6=8r.35948e19'55b52:8jo6*>12d955?13-;;j94<239'44>;2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=:eg82>3<729q/44:57d58 46c:399mn5+101e>46>>2.:ei3>0D57>;o3112<6:0>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm34gf>4<1290;w)664;5f3>"68m81??ol;%327c<680<0(<>i4;33b6=#0kk186F7909m5730288296g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c16aa<62?0;6=u+88693`1<,8:o>7==ab9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:>484i5;f>5<5<5;h605?6=3f;;;:4?::a70cd280=6=4?{%::0?1b?2.:k2;11ef=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>28:8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;><3=n;6*>0e0977gd3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:00:=>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<i44>:783>5}#00>1;h94$02g6?55ij1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568265<8=7>5;n3332<722wi?8k7:085>5<7s-22879j7:&24a4=;;kh7)?>3g824<0<,8:m87??f29'44>j2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=:f682>3<729q/44:57d58 46c:399mn5+101e>46>>2.:ei3>0D57>;o3112<6:0i0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm34d5>4<1290;w)664;5f3>"68m81??ol;%327c<680<0(<>i4;33b6=#0kk186F7909m57302882h6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c16b0<62?0;6=u+88693`1<,8:o>7==ab9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:>4k4i5;f>5<5<5;h605?6=3f;;;:4?::a70`3280=6=4?{%::0?1b?2.:k2;11ef=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>2`28m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;><3=n;6*>0e0977gd3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:00b5>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<j<4>:783>5}#00>1;h94$02g6?55ij1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56826d45<8=7>5;n3332<722wi?8h?:085>5<7s-22879j7:&24a4=;;kh7)?>3g824<0<,8:m87??f29'44f;2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=:e682>3<729q/44:57d58 46c:399mn5+101e>46>>2.:ei3>0D57>;o3112<6:h>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3700>4<1290;w)664;5f4>"68m81??ol;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9;k>7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2410?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c17e2<62?0;6=u+88693`6<,8:o>7==ab9'545a28:2:6*>0g69774<,1hj695G8838j442?3;9m:5f48g94?=n<:l1<75f49f94?=n995<5;|`0273=93<1<7>t$9;7>2c73-;;h?4<2`a8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:>l64i5;f>5<5<5;h605?6=3f;;;:4?::a750328086=4?{%327c<6::h0(<>k2;0fb`=O00;0b<<:7;31e<=n18;1<75f42`94?=hj1h1<75rb2257?7=;3:112d9575e3-;;h?4=egg8L=?63g;99:4>2`c8m<762900e9=m:188kg>e2900qo=?4182>1<729q/=<=i:854?!77l;09ikk4H9;2?k75=>0:>ll4i5ga>5<5;|`0434=9391<7>t$030b?75;k1/==j=:3gea>N?181e=?;8:00bg>o>980;66g;3c83>>ie0k0;66sm311e>4<3290;w)?>3g8:32=#99n96?kie:J;=4=i9;?<6<=831dn5l50;9~f66193;1?7>50z&256`=9;9i7)??d381acc<@13:7c?=56826dc5<<103-;;h?4=egg8L=?63g;99:4>2`d8m1ce2900e9=m:188m46f03:17bl7b;29?xd48?:1=7=50;2x 474n3;9?o5+11f1>7cam2B35<5a1374>44e82c2=<4?::k77g<722ei4o4?::a755c280?6=4?{%327c<>?>1/==j=:3gea>N?181e=?;8:00a5>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj::>j7?53;294~"69:l1=?=m;%33`7<5moo0D57>;o3112<6:k80e4?>:188m15e2900co6m:188yg57;j0:694?:1y'545a20=<7)??d381acc<@13:7c?=56826g55<5;n`;f?6=3th8<8k51;194?6|,8;8j7?=3c9'55b52;omi6F7909m5730288i86g61083>>o3;k0;66am8c83>>{e;99i6<4;:183!76;o02;:5+11f1>7cam2B35<5a1374>44e=2c?io4?::k77g<722c:>:d;397?6=8r.:=>h5131a?!77l;09ikk4H9;2?k75=>0:>o84i832>5<5<:583>5}#989m6498;%33`7<5moo0D57>;o3112<6:k=0e9km:188m15e2900e<>n8;29?jd?j3:17pl<07f95?5=83:p(5<7s-;:?k46769'55b52;omi6F7909m5730288i56g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb225g?7=;3:112d9575e3-;;h?4=egg8L=?63g;99:4>2cc8m<762900e9=m:188kg>e2900qo=?4982>1<729q/=<=i:854?!77l;09ikk4H9;2?k75=>0:>ol4i5ga>5<5;|`043d=9391<7>t$030b?75;k1/==j=:3gea>N?181e=?;8:00ag>o>980;66g;3c83>>ie0k0;66sm3164>4<3290;w)?>3g8:32=#99n96?kie:J;=4=i9;?<6<=831dn5l50;9~f661i3;1?7>50z&256`=9;9i7)??d381acc<@13:7c?=56826gc5<<103-;;h?4=egg8L=?63g;99:4>2cd8m1ce2900e9=m:188m46f03:17bl7b;29?xd48?31=7=50;2x 474n3;9?o5+11f1>7cam2B35<5a1374>44d82c2=<4?::k77g<722ei4o4?::a7522280?6=4?{%327c<>?>1/==j=:3gea>N?181e=?;8:00`5>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj::=47?53;294~"69:l1=?=m;%33`7<5moo0D57>;o3112<6:j80e4?>:188m15e2900co6m:188yg57<=0:694?:1y'545a20=<7)??d381acc<@13:7c?=56826f55<5;n`;f?6=3th8<;951;194?6|,8;8j7?=3c9'55b52;omi6F7909m5730288h86g61083>>o3;k0;66am8c83>>{e;9>86<4;:183!76;o02;:5+11f1>7cam2B35<5a1374>44d=2c?io4?::k77g<722c:>96;397?6=8r.:=>h5131a?!77l;09ikk4H9;2?k75=>0:>n84i832>5<5<:583>5}#989m6498;%33`7<5moo0D57>;o3112<6:j=0e9km:188m15e2900e<>n8;29?jd?j3:17pl<07795?5=83:p(:087>5<7s-;:?k46769'55b52;omi6F7909m5730288h56g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb226g?7=;3:112d9575e3-;;h?4=egg8L=?63g;99:4>2bc8m<762900e9=m:188kg>e2900qo=?3882>1<729q/=<=i:854?!77l;09ikk4H9;2?k75=>0:>nl4i5ga>5<5;|`047`=9391<7>t$030b?75;k1/==j=:3gea>N?181e=?;8:00`g>o>980;66g;3c83>>ie0k0;66sm310f>4<4290;w)?>3g8266d<,8:o>7;7?=ce9j=47=831b8>l50;9lf=d=831vn?hk8;390?6=8r.:=>h59658 46c:38njh5G8838j442?3;9oh5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89513ae?l?693:17d:"68m81>hhj;I::5>h6:<=1=?j?;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a754d28086=4?{%327c<6::h0(<>k2;0fb`=O00;0b<<:7;31`4=n18;1<75f42`94?=hj1h1<75rb3dg2?7=<3:112d9=21<,8:o>7;7?=d39j0`d=831b8>l50;9j55g?2900co6m:188yg57:k0:6>4?:1y'545a2888n6*>0e096``b3A22=6`>245957b43`3:=7>5;h60f?6=3fh3n7>5;|`1ba3=93>1<7>t$030b??0?2.:>92d:>89513f7?l2bj3:17d:>{e;98j6<4<:183!76;o0:>>l4$02g6?4bnl1C44?4n0063?75l<1b550z&256`=1>=0(<>k2;0fb`=O00;0b<<:7;31`3=n5<44>:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd5nm91=7:50;2x 474n33<;6*>0e096``b3A22=6`>245957b?3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:38njh5G8838j442?3;9h45f90394?=n<:h1<75`b9`94?=zj;lo>7?54;294~"69:l15:94$02g6?4bnl1C44?4n0063?75lh1b8hl50;9j06d=831b==o7:188kg>e2900qo=?3982>6<729q/=<=i:000f>"68m81>hhj;I::5>h6:<=1=?jm;h;25?6=3`>8n7>5;n`;f?6=3th9jh?51;694?6|,8;8j7787:&24a4=:lln7E661:l2601=9;nh7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3114>4<4290;w)?>3g8266d<,8:o>7;7?=de9j=47=831b8>l50;9lf=d=831vn?hj0;390?6=8r.:=>h59658 46c:38njh5G8838j442?3;9hh5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89513fe?l?693:17d:"68m81>hhj;I::5>h6:<=1=?k?;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a755228086=4?{%327c<6::h0(<>k2;0fb`=O00;0b<<:7;31a4=n18;1<75f42`94?=hj1h1<75rb3dga?7=<3:112d9=21<,8:o>7;7?=e39j0`d=831b8>l50;9j55g?2900co6m:188yg57;=0:6>4?:1y'545a2888n6*>0e096``b3A22=6`>245957c43`3:=7>5;h60f?6=3fh3n7>5;|`1bab=93>1<7>t$030b??0?2.:>92d:>89513g7?l2bj3:17d:>{e;9986<4<:183!76;o0:>>l4$02g6?4bnl1C44?4n0063?75m<1b550z&256`=1>=0(<>k2;0fb`=O00;0b<<:7;31a3=n5<:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd5nmh1=7:50;2x 474n33<;6*>0e096``b3A22=6`>245957c?3`>nn7>5;h60f?6=3`;;m54?::ma:080>5<7s-;:?k4>22`8 46c:38njh5G8838j442?3;9i45f90394?=n<:h1<75`b9`94?=zj;lom7?54;294~"69:l15:94$02g6?4bnl1C44?4n0063?75mh1b8hl50;9j06d=831b==o7:188kg>e2900qo=?3182>6<729q/=<=i:000f>"68m81>hhj;I::5>h6:<=1=?km;h;25?6=3`>8n7>5;n`;f?6=3th9ji751;694?6|,8;8j7787:&24a4=:lln7E661:l2601=9;oh7d:jb;29?l24j3:17d??a983>>ie0k0;66sm3104>4<4290;w)?>3g8266d<,8:o>7;7?=ee9j=47=831b8>l50;9lf=d=831vn?hk0;390?6=8r.:=>h59658 46c:38njh5G8838j442?3;9ih5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89513ge?l?693:17d:k6k:087>5<7s-;:?k46769'55b52;omi6F7909m5730288m=6g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb3da0?7=;3:112d9575e3-;;h?4=egg8L=?63g;99:4>2g08m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09ikk4H9;2?k75=>0:>k=4i5ga>5<5;|`1bg5=9391<7>t$030b?75;k1/==j=:3gea>N?181e=?;8:00e0>o>980;66g;3c83>>ie0k0;66sm2g::>4<3290;w)?>3g8:32=#99n96?kie:J;=4=i9;?<6<=831dn5l50;9~f7`e93;1?7>50z&256`=9;9i7)??d381acc<@13:7c?=56826c05<<103-;;h?4=egg8L=?63g;99:4>2g58m1ce2900e9=m:188m46f03:17bl7b;29?xd5nk:1=7=50;2x 474n3;9?o5+11f1>7cam2B35<5a1374>44a02c2=<4?::k77g<722ei4o4?::a6c>3280?6=4?{%327c<>?>1/==j=:3gea>N?181e=?;8:00e=>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;ljj7?53;294~"69:l1=?=m;%33`7<5moo0D57>;o3112<6:ok0e4?>:188m15e2900co6m:188yg4a0;0:694?:1y'545a20=<7)??d381acc<@13:7c?=56826cd5<5;n`;f?6=3th9jlk51;194?6|,8;8j7?=3c9'55b52;omi6F7909m5730288mo6g61083>>o3;k0;66am8c83>>{e:o2;6<4;:183!76;o02;:5+11f1>7cam2B35<5a1374>44al2c?io4?::k77g<722c:h5131a?!77l;09ikk4H9;2?k75=>0:>kk4i832>5<5<:583>5}#989m6498;%33`7<5moo0D57>;o3112<6:ol0e9km:188m15e2900e<>n8;29?jd?j3:17pl=f8f95?5=83:p("?1=0oi45+11f1>7cam2B35<5a1374>45782c3m<4?::k;e7<722en;i4?::a6cgd28086=4?{%327c<6::h0(<>k2;0fb`=O00;0b<<:7;3044=n18;1<75f42`94?=hj1h1<75rb3d4a?7=<3:112d9=21<,8:o>7;7?<039j0`d=831b8>l50;9j55g?2900co6m:188yg4a1j0:6>4?:1y'545a20;27)664;ff=>"68m81>hhj;I::5>h6:<=1=>><;h:b5?6=3`2j>7>5;ng4`?6=3th9jll51;194?6|,8;8j7?=3c9'55b52;omi6F7909m5730289;86g61083>>o3;k0;66am8c83>>{e:o=o6<4;:183!76;o02;:5+11f1>7cam2B35<5a1374>457=2c?io4?::k77g<722c:h590;8 =?32mo27)??d381acc<@13:7c?=56827505<444j2.:>92d:>8951224?l?693:17d:"68m81>hhj;I::5>h6:<=1=>>7;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a6c?f28086=4?{%327c<>901/44:5dd;8 46c:38njh5G8838j442?3;8<45f8`394?=n0h81<75`e6f94?=zj;lj57?53;294~"69:l1=?=m;%33`7<5moo0D57>;o3112<6;9k0e4?>:188m15e2900co6m:188yg4a?k0:694?:1y'545a20=<7)??d381acc<@13:7c?=568275d5<5;n`;f?6=3th9j4751;194?6|,8;8j77>9:&;=1N?181e=?;8:013g>o?i80;66g7a383>>ib?m0;66sm2gc;>4<4290;w)?>3g8266d<,8:o>7;7?<0e9j=47=831b8>l50;9lf=d=831vn?h8a;390?6=8r.:=>h59658 46c:38njh5G8838j442?3;85<<7>3-2287jj9:&24a4=:lln7E661:l2601=9::m7d6n1;29?l>f:3:17bk8d;29?xd5nkl1=7=50;2x 474n3;9?o5+11f1>7cam2B35<5a1374>45682c2=<4?::k77g<722ei4o4?::a6c?1280?6=4?{%327c<>?>1/==j=:3gea>N?181e=?;8:0125>o3mk0;66g;3c83>>o68h21<75`b9`94?=zj;lj:7?53;294~"69:l15<74$9;7>ac>3-;;h?4=egg8L=?63g;99:4>3008m=g62900e5o=:188k`1c2900qo6<729q/=<=i:000f>"68m81>hhj;I::5>h6:<=1=>?<;h;25?6=3`>8n7>5;n`;f?6=3th9j4;51;694?6|,8;8j7787:&24a4=:lln7E661:l2601=9:;?7d:jb;29?l24j3:17d??a983>>ie0k0;66sm2gc6>4<4290;w)?>3g8:5<=#00>1hh74$02g6?4bnl1C44?4n0063?749<1b4l?50;9j50z&256`=9;9i7)??d381acc<@13:7c?=56827405<<103-;;h?4=egg8L=?63g;99:4>3058m1ce2900e9=m:188m46f03:17bl7b;29?xd5nh>1=7=50;2x 474n33:56*7958ga<=#99n96?kie:J;=4=i9;?<6<=>8:k;e4<722c3m?4?::mf3a<722wi>kll:080>5<7s-;:?k4>22`8 46c:38njh5G8838j442?3;8=45f90394?=n<:h1<75`b9`94?=zj;l2?7?54;294~"69:l15:94$02g6?4bnl1C44?4n0063?749h1b8hl50;9j06d=831b==o7:188kg>e2900qo6<729q/=<=i:83:?!>><3nn56*>0e096``b3A22=6`>2459567e3`2j=7>5;h:b6?6=3fo5;|`1bgd=9391<7>t$030b?75;k1/==j=:3gea>N?181e=?;8:012g>o>980;66g;3c83>>ie0k0;66sm2g;1>4<3290;w)?>3g8:32=#99n96?kie:J;=4=i9;?<6<=>d:k7ag<722c??o4?::k24d>=831dn5l50;9~f7`f:3;1?7>50z&256`=1830(57;:eg:?!77l;09ikk4H9;2?k75=>0:?5<5<:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd5n0;1=7:50;2x 474n33<;6*>0e096``b3A22=6`>245956473`>nn7>5;h60f?6=3`;;m54?::mako>:080>5<7s-;:?k46189'<<2=ll30(<>k2;0fb`=O00;0b<<:7;3064=n0h;1<75f8`094?=hm>n1<75rb3da=?7=;3:112d9575e3-;;h?4=egg8L=?63g;99:4>3308m<762900e9=m:188kg>e2900qo1<729q/=<=i:854?!77l;09ikk4H9;2?k75=>0:??=4i5ga>5<5;|`1bd6=9391<7>t$030b??612.3594ke89'55b52;omi6F7909m5730289986g7a083>>o?i;0;66aj7e83>>{e:oh36<4<:183!76;o0:>>l4$02g6?4bnl1C44?4n0063?74:<1b550z&256`=1>=0(<>k2;0fb`=O00;0b<<:7;3063=n5<:283>5}#989m64?6;%::0?bb12.:>92d:>8951204?l>f93:17d6n2;29?jc0l3:17pl=fc095?5=83:p(k67:087>5<7s-;:?k46769'55b52;omi6F7909m5730289956g;ec83>>o3;k0;66g>0`:94?=hj1h1<75rb3d:a?7=;3:112d9=4?<,13?6ik6;%33`7<5moo0D57>;o3112<6;;k0e5o>:188m=g52900ch9k:188yg4ai>0:6>4?:1y'545a2888n6*>0e096``b3A22=6`>2459564e3`3:=7>5;h60f?6=3fh3n7>5;|`1b2?=93>1<7>t$030b??0?2.:>92d:>895120`?l2bj3:17d:>{e:o3<6<4<:183!76;o02=45+8869``?<,8:o>7;7?<2e9jh59658 46c:38njh5G8838j442?3;8>h5f4d`94?=n<:h1<75f11c;>5<<7>3-2287lmf:&24a4=:lln7)hi0;127`=O00;0b<<:7;306c=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb3d`b?7==3:112d9=4?<,13?6no>;%33`7<5moo0(kh?:230a>N?181e=?;8:0104>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm2ga0>4<3290;w)?>3g8:32=#99n96?kie:J;=4=i9;?<6<=<1:k7ag<722c??o4?::k24d>=831dn5l50;9~f7`d13;197>50z&256`=1830(57;:c`e?!77l;09ikk4$gd3>674l2B35<5a1374>454:2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a6ceb280>6=4?{%327c<>901/44:5c`38 46c:38njh5+fg29745c3A22=6`>245956543`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`1bf4=93>1<7>t$030b??0?2.:>92d:>8951217?l2bj3:17d:>{e:oi36<4::183!76;o02=45+8869fg`<,8:o>77d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5njn1=7;50;2x 474n33:56*7958`e4=#99n96?kie:&eb5<49:i0D57>;o3112<6;:<0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg4ak80:694?:1y'545a20=<7)??d381acc<@13:7c?=56827615<5;n`;f?6=3th9jn951;794?6|,8;8j77>9:&;=1"an908=>l4H9;2?k75=>0:?>64i9c2>5<5<5<:483>5}#989m64?6;%::0?ef92.:1<75`e6f94?=zj;lh<7?54;294~"69:l15:94$02g6?4bnl1C44?4n0063?74;h1b8hl50;9j06d=831b==o7:188kg>e2900qo0<729q/=<=i:83:?!>><3hij6*>0e096``b3-lm<7=>3`9K<<7;7?<3c9jh590;8 =?32jk:7)??d381acc<,ol;6>?5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'454l2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo==1g82>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;:o0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm333f>4<1290;w)664;5f3>"68m81>hhj;%327c<680<0(<>i4;33b6=#0kk186F7909m57302898j6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c115a<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:?9>4i5;f>5<5<5;h605?6=3f;;;:4?::a777d280=6=4?{%::0?1b?2.:k2;0fb`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>3508m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;;;j6<49:183!>><3=n;6*>0e096``b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0177>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4bnl1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56827125<8=7>5;n3332<722wi??<7:085>5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'453=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo==2682>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;=<0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3305>4<1290;w)664;5f3>"68m81>hhj;%327c<680<0(<>i4;33b6=#0kk186F7909m5730289?;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1160<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:?964i5;f>5<5<5;h605?6=3f;;;:4?::a7743280=6=4?{%::0?1b?2.:k2;0fb`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>35c8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;;896<49:183!>><3=n;6*>0e096``b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:017f>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<<4>:783>5}#00>1;h94$02g6?4bnl1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568271e5<8=7>5;n3332<722wi???7:085>5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'453l2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo==1682>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;=o0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm304e>4<1290;w)664;5f3>"68m81>hhj;%327c<680<0(<>i4;33b6=#0kk186F7909m5730289?j6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c122`<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:?8>4i5;f>5<5<5;h605?6=3f;;;:4?::a740c280=6=4?{%::0?1b?2.:j0:6;4?:1y'<<2=?l=0(<>k2;0fb`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>3408m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;8><3=n;6*>0e096``b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0167>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4bnl1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56827025<8=7>5;n3332<722wi?<87:085>5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'452=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=>6682>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;<<0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3045>4<1290;w)664;5f3>"68m81>hhj;%327c<680<0(<>i4;33b6=#0kk186F7909m5730289>;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1220<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:?864i5;f>5<5<5;h605?6=3f;;;:4?::a7403280=6=4?{%::0?1b?2.::0:6;4?:1y'<<2=?l=0(<>k2;0fb`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>34c8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;8<96<49:183!>><3=n;6*>0e096``b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:016f>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4bnl1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568270e5<8=7>5;n3332<722wi?<99:085>5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'452l2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=>7482>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;0;66sm3057>4<1290;w)664;5f3>"68m81>hhj;%327c<680<0(<>i4;33b6=#0kk186F7909m5730289>j6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1236<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:?;>4i5;f>5<5<5;h605?6=3f;;;:4?::a7415280=6=4?{%::0?1b?2.:k2;0fb`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>3708m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;8=;6<49:183!>><3=n;6*>0e096``b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0157>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4bnl1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56827325<8=7>5;n3332<722wi?<8?:085>5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'451=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=>5g82>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;?<0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm30`f>4<1290;w)664;5f3>"68m81>hhj;%327c<680<0(<>i4;33b6=#0kk186F7909m5730289=;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c12fa<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:?;64i5;f>5<5<5;h605?6=3f;;;:4?::a74dd280=6=4?{%::0?1b?2.:k2;0fb`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>37c8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;8hj6<49:183!>><3=n;6*>0e096``b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:015f>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4bnl1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568273e5<8=7>5;n3332<722wi?5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'451l2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=>b682>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;?o0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm30a5>4<1290;w)664;5f3>"68m81>hhj;%327c<680<0(<>i4;33b6=#0kk186F7909m5730289=j6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c12g0<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:?:>4i5;f>5<5<5;h605?6=3f;;;:4?::a74e3280=6=4?{%::0?1b?2.:k2;0fb`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>3608m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;8i96<49:183!>><3=n;6*>0e096``b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0147>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4bnl1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56827225<8=7>5;n3332<722wi?5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'450=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=>bg82>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;><0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm30`5>4<1290;w)664;5f3>"68m81>hhj;%327c<680<0(<>i4;33b6=#0kk186F7909m5730289<;6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c12f0<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:?:64i5;f>5<5<5;h605?6=3f;;;:4?::a741d280=6=4?{%::0?1b82.:h6:<=1=>96;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f67?83;1:7>50z&;=1<0m91/==j=:3gea>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<=8a:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;0fb`=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?<7c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd48>>1=7?:a;294~"69:l1;5:4$02g6?4bnl1e>?m50:l173<73g9997?4n20e>5=i<0?1<6`88383?k?0;3:0bh9n:19m5517291e8?:50:l76=<73-9i:7;i5:&760l1/==o=:02e7>N?181Q?o;5bz03e?`228=i6<96:g19b7<6?10:;l4i4;d2>4072td:>895125`?l24=3:17d??9b83>>o680n1<75f42594?=n993n6=44i51:>5<=831b8>=50;9j075=831b8>o50;9j064=831b==76:188m46f93:17d==e;29 ccd2:>=7chjb;28?l55l3:1(kkl:265?k`bj3;07d==c;29 ccd2:>=7chjb;08?l55j3:1(kkl:265?k`bj3907d==a;29 ccd2:>=7chjb;68?l5513:1(kkl:265?k`bj3?07d==8;29 ccd2:>=7chjb;48?l55?3:1(kkl:265?k`bj3=07d==7chjb;:8?l54j3:1(kkl:265?k`bj3307d==7chjb;c8?l5413:1(kkl:265?k`bj3h07d=<8;29 ccd2:>=7chjb;a8?l54?3:1(kkl:265?k`bj3n07d=<3;29 ccd2:>=7chjb;g8?l5483:1(kkl:265?k`bj3l07d==6;29 ccd2:>=7chjb;33?>o4:=0;6)hjc;172>hamk0:=65ff5d94?=nn=o1<75ff5f94?=nn=i1<75ff5`94?=nn=k1<75ff5;94?=nn=21<75ff5494?=nn=?1<75ff5694?=nn=91<75ff5094?=nn=;1<75ff5294?=nn:l1<75ff2g94?=nn:n1<75ff2`94?=nn:k1<75ff2;94?=nn:21<75ff2594?=nn:<1<75ff2794?=nn:>1<75ff2194?=nn:81<75ff2294?=nn;l1<75ff3g94?=nn;n1<75ff3a94?=nn;h1<75ff3c94?=nn;31<75ff3:94?=nn;=1<75ff4694?=nn<91<75ff4094?=nn<;1<75ff4294?=nn==1<75ff2a94?=nn:;1<75ff3494?=nn;?1<75f3d494?"amj0?=45afd`94>=n;l?1<7*ieb875<=inlh1=65f3d694?"amj0?=45afd`96>=n;l91<7*ieb875<=inlh1?65f3d094?"amj0?=45afd`90>=n;l;1<7*ieb875<=inlh1965f3d294?"amj0?=45afd`92>=n;ml1<7*ieb875<=inlh1;65f3ef94?"amj0?=45afd`9<>=n;mi1<7*ieb875<=inlh1565f3e`94?"amj0?=45afd`9e>=n;mk1<7*ieb875<=inlh1n65f3e;94?"amj0?=45afd`9g>=n;m21<7*ieb875<=inlh1h65f3e594?"amj0?=45afd`9a>=n;m<1<7*ieb875<=inlh1j65f3e794?"amj0?=45afd`955=3:9j7a6=83.min4;189mb`d=9=10e>mi:18'b`e=<830bkkm:078?l5dm3:1(kkl:53:?k`bj3;=76g!`bk3>:56`iec823>=n;ji1<7*ieb875<=inlh1=554i2aa>5<#nli18<74ngga>4?<3`9hm7>5$gg`>17>3glnn7?n;:k0g<<72-lno7:>9:leag<6j21b?n950;&eaf<3901ejhl51b98m6e1290/jhm540;8jcce28n07d=l5;29 ccd2=;27chjb;3f?>o4k=0;6)hjc;62=>hamk0:j65f3b194?"amj0?=45afd`965=lj:18'b`e=<830bkkm:378?l5bm3:1(kkl:53:?k`bj38=76g!`bk3>:56`iec813>=n;li1<7*ieb875<=inlh1>554i2gb>5<#nli18<74ngga>7?<3`9n47>5$gg`>17>3glnn79:leag<5j21b?i=50;&eaf<3901ejhl52b98m6e?290/jhm540;8jcce2;n07d=md;29 ccd2=;27chjb;0f?>o4j10;6)hjc;62=>hamk09j65fe6294?"amj0n;55afd`94>=nm?l1<7*ieb8f3==inlh1=65fe7g94?"amj0n;55afd`96>=nm?n1<7*ieb8f3==inlh1?65fe7a94?"amj0n;55afd`90>=nm?h1<7*ieb8f3==inlh1965fe7c94?"amj0n;55afd`92>=nm?31<7*ieb8f3==inlh1;65f4`:94?"amj0?o>5afd`94>=n5afd`96>=n5afd`90>=n5afd`92>=n5afd`9<>=n5afd`9e>=n1<7*ieb87g6=inlh1n65f4c294?"amj0?o>5afd`9g>=n5afd`9a>=n5afd`955=5$gg`>73b3glnn7?4;h007?6=,ooh6?;j;odff?4<3`88>7>5$gg`>73b3glnn7=4;h005?6=,ooh6?;j;odff?2<3`88<7>5$gg`>73b3glnn7;4;h01b?6=,ooh6?;j;odff?0<3`89i7>5$gg`>73b3glnn794;h060?6=,ooh6?;j;odff?><3`8?;7>5$gg`>73b3glnn774;h072?6=,ooh6?;j;odff?g<3`8?87>5$gg`>73b3glnn7l4;h077?6=,ooh6?;j;odff?e<3`8?>7>5$gg`>73b3glnn7j4;h075?6=,ooh6?;j;odff?c<3`88;7>5$gg`>73b3glnn7h4;h01`?6=,ooh6?;j;odff?7732c9>44?:%dfg?42m2dmio4>1:9j73d=831b?;o50;9j73?=831b?;650;9j731=831b?;850;9j733=831b?;:50;9j725=831b?:<50;9j727=831b?:>50;9j73`=831b?;k50;9j73b=831b?;m50;9j735=831b?;<50;9l071=831d8?850;9l7<7=831d?4>50;9l7=`=831d?5k50;9l7=b=831d?5m50;9l7=d=831d?5o50;9l750;9lagc=831dioj50;9lage=831diol50;9lagg=831dio750;9lag>=831dio950;9lag0=831dio;50;9lag5=831dio<50;9lag7=831dio>50;9lad`=831dilk50;9ladb=831dilm50;9ladd=831dilo50;9lad>=831dil950;9lad0=831dil;50;9lad2=831di4k50;9la<0=831di5h50;9la=1=831di5=50;9la`?=831dih>50;9laag=831dii?50;9laf?=831dioh50;9lag2=831dil750;9la=4=831di5?50;9lbg7=831djo>50;9lbd`=831djlk50;9lbdb=831djlm50;9lbdd=831djlo50;9lbd>=831djl950;9lbd0=831djl;50;9lbd2=831djl=50;9lbd4=831djl?50;9lbd6=831dj4h50;9lb=831dj5950;9l=6`=831d5>k50;9l=6b=831d5>m50;9l=6d=831d5>o50;9l=6>=831d5>950;9l=60=831d5>;50;9l=62=831d5>=50;9l=64=831d5>?50;9l=66=831d5?h50;9l=7b=83.min46639mb`d=9910c4!`bk33=>6`iec827>=h1;31<7*ieb8:27=inlh1=954o80;>5<#nli15;<4ngga>43<3f39;7>5$gg`><053glnn7?9;:m:63<72-lno7792:leag<6?21d5?;50;&eaf<>>;1ejhl51998k<43290/jhm59708jcce28307b7;5;29 ccd20<97chjb;3b?>i><=0;6)hjc;;56>hamk0:n65`95194?=h1=81<75`95394?=h1=:1<75`92;94?=h1;o1<75`93194?=h1;81<75rb20b7?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c11e7<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3;8;h5f48g94?=n<:l1<75f49f94?=n995<5;|`06dd=93<1<7>t$9;7>2c73-;;h?4=egg8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:?:h4i5;f>5<5<5;h605?6=3f;;;:4?::a77gf280=6=4?{%::0?1b82.:h6:<=1=>6?;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f64f13;1:7>50z&;=1<0m91/==j=:3gea>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<=71:k7=`<722c??k4?::k7k2;0fb`=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?<839j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4:h=1=7850;2x =?32>o;7)??d381acc<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>3918m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;;k=6<49:183!>><3=n<6*>0e096``b3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>89512:7?l2>m3:17d:>o3;80;66a>06594?=zj:8j97?56;294~"?1=07cam2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:01;1>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?4bnl1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;30<3=n<0o1<75f42d94?=n<1n1<75f114f>5<l?51;494?6|,13?6:k?;%33`7<5moo0(<=;%:ae?2<@13:7c?=56827=15<8=7>5;n3332<722wi??o?:085>5<7s-22879j0:&24a4=:lln7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245956>?3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?h81;392?6=8r.35948e19'55b52;omi6*>12d955?13-;;j94<239'45?12c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d28 46c:38njh5+101e>46>>2.::188k460?3:17pl=f7d95?0=83:p(57;:6g3?!77l;09ikk4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6;1h0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2g4g>4<1290;w)664;5f4>"68m81>hhj;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9:2h7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3d5g?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0e2g<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3;84h5f48g94?=n<:l1<75f49f94?=n995<5;|`1b3g=93<1<7>t$9;7>2c73-;;h?4=egg8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:?5h4i5;f>5<5<5;h605?6=3f;;;:4?::a6c0>280=6=4?{%::0?1b82.:h6:<=1=>7?;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7`103;1:7>50z&;=1<0m91/==j=:3gea>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<=61:k7=`<722c??k4?::k7>0:6;4?:1y'<<2=?l:0(<>k2;0fb`=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?<939j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5n?<1=7850;2x =?32>o;7)??d381acc<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>3818m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:o<>6<49:183!>><3=n<6*>0e096``b3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>89512;7?l2>m3:17d:>o3;80;66a>06594?=zj;l=87?56;294~"?1=07cam2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:01:1>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?4bnl1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;30=3=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56827<15<8=7>5;n3332<722wi>k99:085>5<7s-22879j0:&24a4=:lln7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245956??3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?h85;392?6=8r.35948e19'55b52;omi6*>12d955?13-;;j94<239'45>12c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d28 46c:38njh5+101e>46>>2.::188k460?3:17pl=f6195?0=83:p(57;:6g3?!77l;09ikk4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6;0h0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm2g51>4<1290;w)664;5f4>"68m81>hhj;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9:3h7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb3d5a?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0e26<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3;85h5f48g94?=n<:l1<75f49f94?=n995<5;|`1b34=93<1<7>t$9;7>2c73-;;h?4=egg8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:?4h4i5;f>5<5<5;h605?6=3f;;;:4?::a74bf280=6=4?{%::0?1b?2.:k2;0fb`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>3`38m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;8n36<49:183!>><3=n;6*>0e096``b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:01b6>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4bnl1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56827d55<8=7>5;n3332<722wi?5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'45f<2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=>e082>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;h?0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm30g3>4<1290;w)664;5f3>"68m81>hhj;%327c<680<0(<>i4;33b6=#0kk186F7909m5730289j:6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c12`c<62?0;6=u+88693`1<,8:o>70g6955`43-2im7:4H9;2?k75=>0:?l94i5;f>5<5<5;h605?6=3f;;;:4?::a74bb280=6=4?{%::0?1b?2.:k2;0fb`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>3`;8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;8nh6<49:183!>><3=n;6*>0e096``b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:01be>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?4bnl1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56827dd5<8=7>5;n3332<722wi?5<7s-22879j7:&24a4=:lln7)?>3g824<0<,8:m87??f29'45fk2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=>d482>3<729q/44:57d58 46c:38njh5+101e>46>>2.:ei3>0D57>;o3112<6;hn0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3375>4<1290;w)664;5f4>"68m81>hhj;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9:kn7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb23:e?7=>3:15<5;|`05t$9;7>2c03-;;h?4=egg8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=>l?;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f67>03;1:7>50z&;=1<0m>1/==j=:3gea>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?h50;9j0=b=831b==8j:188m1562900c<>87;29?xd490=1=7850;2x =?32>o<7)??d381acc<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89512`1?l2>m3:17d:>o3;80;66a>06594?=zj:;2:7?56;294~"?1=07cam2.:=>h511;5?!77n=0:1=O00;0b<<:7;30f6=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245956d33`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>?n1;392?6=8r.35948e69'55b52;omi6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?74j<1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<1`295?0=83:p(57;:6g4?!77l;09ikk4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9:h=7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb23:b?7=>3:15<5;|`05t$9;7>2c03-;;h?4=egg8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=>l7;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f67>l3;1:7>50z&;=1<0m>1/==j=:3gea>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?h50;9j0=b=831b==8j:188m1562900c<>87;29?xd490i1=7850;2x =?32>o<7)??d381acc<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89512`b?l2>m3:17d:>o3;80;66a>06594?=zj:;2n7?56;294~"?1=07cam2.:=>h511;5?!77n=0:1=O00;0b<<:7;30fg=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245956dd3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>?64;392?6=8r.35948e69'55b52;omi6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?74jm1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<27f95?0=83:p(57;:6g3?!77l;09ikk4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6;ko0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm334`>4<1290;w)664;5f4>"68m81>hhj;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9:hm7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2041?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1131<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?3;8o<5f48g94?=n<:l1<75f49f94?=n995<5;|`0625=93<1<7>t$9;7>2c73-;;h?4=egg8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:?n<4i5;f>5<5<5;h605?6=3f;;;:4?::a7715280=6=4?{%::0?1b82.:h6:<=1=>m<;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f64093;1:7>50z&;=1<0m91/==j=:3gea>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<=l4:k7=`<722c??k4?::k7k2;0fb`=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4:?l1=7850;2x =?32>o;7)??d381acc<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>3b48m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e;;><3=n<6*>0e096``b3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>89512a4?l2>m3:17d:>o3;80;66a>06594?=zj:8=n7?56;294~"?1=07cam2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:01`<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?4bnl1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;30g<=n<0o1<75f42d94?=n<1n1<75f114f>5<9;51;494?6|,13?6:k8;%33`7<5moo0(i3:&;fd<33A22=6`>245956ef3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn><;4;392?6=8r.35948e69'55b52;omi6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?74kk1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<25195?0=83:p(57;:6g4?!77l;09ikk4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9:ih7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2076?7=>3:15<5;|`0617=93<1<7>t$9;7>2c03-;;h?4=egg8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=>mj;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f64383;1:7>50z&;=1<0m>1/==j=:3gea>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4::l1=7850;2x =?32>o<7)??d381acc<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89512f3?l2>m3:17d:>o3;80;66a>06594?=zj:88i7?56;294~"?1=07cam2.:=>h511;5?!77n=0:1=O00;0b<<:7;30`4=n<0o1<75f42d94?=n<1n1<75f114f>5<9j51;494?6|,13?6:k8;%33`7<5moo0(i3:&;fd<33A22=6`>245956b53`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn><;c;392?6=8r.35948e69'55b52;omi6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?74l:1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl<25`95?0=83:p(57;:6g4?!77l;09ikk4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=9:n?7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb207e?7=>3:15<5;|`061?=93<1<7>t$9;7>2c03-;;h?4=egg8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=>j9;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f64303;1:7>50z&;=1<0m>1/==j=:3gea>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4:==1=7850;2x =?32>o<7)??d381acc<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89512f;?l2>m3:17d:>o3;80;66a>06594?=zj:8?:7?56;294~"?1=07cam2.:=>h511;5?!77n=0:1=O00;0b<<:7;30`<=n<0o1<75f42d94?=n<1n1<75f114f>5<>j51;494?6|,13?6:k8;%33`7<5moo0(i3:&;fd<33A22=6`>245956bf3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn><89;392?6=8r.35948e19'55b52;omi6*>12d955?13-;;j94<239'45cj2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo==7`82>3<729q/44:57d28 46c:38njh5+101e>46>>2.::188k460?3:17pl<0gf95?0=83:p(57;:6g3?!77l;09ikk4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6;mn0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm335a>4<1290;w)664;5f4>"68m81>hhj;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9:nn7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0ag4?7=;3:112d9575e3-;;h?4>c8d8L=?63g;99:4>3ed8m<762900e9=m:188kg>e2900qo?lcg82>6<729q/=<=i:000f>"68m81=n7i;I::5>h6:<=1=>k?;h;25?6=3`>8n7>5;n`;f?6=3th:ol751;694?6|,8;8j7787:&24a4=9j3m7E661:l2601=9:o:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm1baf>4<4290;w)?>3g8266d<,8:o>7?l9g9K<<7;7?l50;9lf=d=831vnh59658 46c:3;h5k5G8838j442?3;8i>5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89512g7?l?693:17d:c`595?2=83:p("68m81=n7i;I::5>h6:<=1=>k:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a5fed28086=4?{%327c<6::h0(<>k2;3`=c=O00;0b<<:7;30a3=n18;1<75f42`94?=hj1h1<75rb0ab2?7=<3:112d9=21<,8:o>7?l9g9K<<7;7?l50;9j55g?2900co6m:188yg7dkk0:6>4?:1y'545a2888n6*>0e095f?a3A22=6`>245956c?3`3:=7>5;h60f?6=3fh3n7>5;|`2gd3=93>1<7>t$030b??0?2.:>92d:>89512g:?l2bj3:17d:>{e9jij6<4<:183!76;o0:>>l4$02g6?7d1o1C44?4n0063?74mh1b550z&256`=1>=0(<>k2;3`=c=O00;0b<<:7;30ag=n5<:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd6kh91=7:50;2x 474n33<;6*>0e095f?a3A22=6`>245956cc3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3;h5k5G8838j442?3;8ih5f90394?=n<:h1<75`b9`94?=zj8ij>7?54;294~"69:l15:94$02g6?7d1o1C44?4n0063?74mo1b8hl50;9j06d=831b==o7:188kg>e2900qo?lc682>6<729q/=<=i:000f>"68m81=n7i;I::5>h6:<=1=>h?;h;25?6=3`>8n7>5;n`;f?6=3th:ol?51;694?6|,8;8j7787:&24a4=9j3m7E661:l2601=9:l:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm1bfb>4<4290;w)?>3g8266d<,8:o>7?l9g9K<<7;7?l50;9lf=d=831vnh59658 46c:3;h5k5G8838j442?3;8j>5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>89512d7?l?693:17d:cc095?2=83:p("68m81=n7i;I::5>h6:<=1=>h:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a5fb?28086=4?{%327c<6::h0(<>k2;3`=c=O00;0b<<:7;30b3=n18;1<75f42`94?=hj1h1<75rb0aa5?7=<3:112d9=21<,8:o>7?l9g9K<<7;7?l50;9j55g?2900co6m:188yg7dl>0:6>4?:1y'545a2888n6*>0e095f?a3A22=6`>245956`?3`3:=7>5;h60f?6=3fh3n7>5;|`2gg6=93>1<7>t$030b??0?2.:>92d:>89512d:?l2bj3:17d:>{e9jn=6<4<:183!76;o0:>>l4$02g6?7d1o1C44?4n0063?74nh1b550z&256`=1>=0(<>k2;3`=c=O00;0b<<:7;30bg=n5<:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd6kho1=7:50;2x 474n33<;6*>0e095f?a3A22=6`>245956`c3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3;h5k5G8838j442?3;8jh5f90394?=n<:h1<75`b9`94?=zj8ijh7?54;294~"69:l15:94$02g6?7d1o1C44?4n0063?74no1b8hl50;9j06d=831b==o7:188kg>e2900qo?ld282>6<729q/=<=i:000f>"68m81=n7i;I::5>h6:<=1=9>?;h;25?6=3`>8n7>5;n`;f?6=3th:olm51;694?6|,8;8j7787:&24a4=9j3m7E661:l2601=9=::7d:jb;29?l24j3:17d??a983>>ie0k0;66sm1bf1>4<4290;w)?>3g8266d<,8:o>7?l9g9K<<7;7?;039j=47=831b8>l50;9lf=d=831vnh59658 46c:3;h5k5G8838j442?3;?<>5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951527?l?693:17d:c`295?2=83:p("68m81=n7i;I::5>h6:<=1=9>:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a5a53280=6=4?{%::0?1b?2.:k2;3`=c=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>4158m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9m996<49:183!>><3=n;6*>0e095f?a3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:063<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?7d1o1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568205?5<8=7>5;n3332<722wi=i=?:085>5<7s-22879j7:&24a4=9j3m7)?>3g824<0<,8:m87??f29'427i2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?k2g82>3<729q/44:57d58 46c:3;h5k5+101e>46>>2.:ei3>0D57>;o3112<6<9h0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1e0f>4<1290;w)664;5f3>"68m81=n7i;%327c<680<0(<>i4;33b6=#0kk186F7909m573028>;o6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3g6a<62?0;6=u+88693`1<,8:o>7?l9g9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:8=j4i5;f>5<5<5;h605?6=3f;;;:4?::a5a4d280=6=4?{%::0?1b?2.:k2;3`=c=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>41d8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9m9h6<49:183!>><3=n;6*>0e095f?a3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0624>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?7d1o1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56820475<8=7>5;n3332<722wi=i=n:085>5<7s-22879j7:&24a4=9j3m7)?>3g824<0<,8:m87??f29'426:2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?k3882>3<729q/44:57d58 46c:3;h5k5+101e>46>>2.:ei3>0D57>;o3112<6<890e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1e1;>4<1290;w)664;5f3>"68m81=n7i;%327c<680<0(<>i4;33b6=#0kk186F7909m573028>:86g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3g72<62?0;6=u+88693`1<,8:o>7?l9g9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:8<;4i5;f>5<5<5;h605?6=3f;;;:4?::a5a51280=6=4?{%::0?1b?2.:6:k7=`<722c??k4?::k7k2;3`=c=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>4058m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9m8j6<49:183!>><3=n;6*>0e095f?a3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:062<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<44>:783>5}#00>1;h94$02g6?7d1o1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568204?5<8=7>5;n3332<722wi=i>l:085>5<7s-22879j0:&24a4=9j3m7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459517f3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94<239'426j2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?k0`82>3<729q/44:57d28 46c:3;h5k5+101e>46>>2.::188k460?3:17pl>d1;95?0=83:p(57;:6g3?!77l;0:o4h4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6<8n0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1e2;>4<1290;w)664;5f4>"68m81=n7i;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9=;n7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0f33?7=>3:1:j6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3g43<62?0;6=u+88693`6<,8:o>7?l9g9'545a28:2:6*>0g69774<,1hj695G8838j442?3;?>=5f48g94?=n<:l1<75f49f94?=n995<5;|`2`53=93<1<7>t$9;7>2c73-;;h?4>c8d8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:8??4i5;f>5<5<5;h605?6=3f;;;:4?::a5a63280=6=4?{%::0?1b82.:h6:<=1=9<=;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4b7;3;1:7>50z&;=1<0m91/==j=:0a:b>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<:=3:k7=`<722c??k4?::k7k2;3`=c=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?;259j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6l891=7850;2x =?32>o;7)??d382g<`<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>4378m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9m;96<49:183!>><3=n<6*>0e095f?a3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8951505?l2>m3:17d:>o3;80;66a>06594?=zj8n:=7?56;294~"?1=04e>n2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:0613>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?7d1o1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;376==n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568207?5<8=7>5;n3332<722wi=i>j:085>5<7s-22879j0:&24a4=9j3m7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459514f3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94<239'425j2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?k0382>3<729q/44:57d28 46c:3;h5k5+101e>46>>2.::188k460?3:17pl>de195?5=83:p(5<7s-;:?k4>22`8 46c:3;o4h5G8838j442?3;?>h5f90394?=n<:h1<75`b9`94?=zj8njn7?54;294~"69:l15:94$02g6?7c0l1C44?4n0063?73:o1b8hl50;9j06d=831b==o7:188kg>e2900qo?kd082>6<729q/=<=i:000f>"68m81=i6j;I::5>h6:<=1=9=?;h;25?6=3`>8n7>5;n`;f?6=3th:hlo51;694?6|,8;8j7787:&24a4=9m2n7E661:l2601=9=9:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm1ef3>4<4290;w)?>3g8266d<,8:o>7?k8d9K<<7;7?;339j=47=831b8>l50;9lf=d=831vnh59658 46c:3;o4h5G8838j442?3;??>5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951517?l?693:17d:d`:95?2=83:p("68m81=i6j;I::5>h6:<=1=9=:;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a5aeb28086=4?{%327c<6::h0(<>k2;3g<`=O00;0b<<:7;3773=n18;1<75f42`94?=hj1h1<75rb0fb3?7=<3:112d9=21<,8:o>7?k8d9K<<7;7?;369j0`d=831b8>l50;9j55g?2900co6m:188yg7ckm0:6>4?:1y'545a2888n6*>0e095a>b3A22=6`>2459515?3`3:=7>5;h60f?6=3fh3n7>5;|`2`d0=93>1<7>t$030b??0?2.:>92d:>895151:?l2bj3:17d:>{e9mih6<4<:183!76;o0:>>l4$02g6?7c0l1C44?4n0063?73;h1b550z&256`=1>=0(<>k2;3g<`=O00;0b<<:7;377g=n5<:283>5}#989m6<<1;29?l24j3:17bl7b;29?xd6lh>1=7:50;2x 474n33<;6*>0e095a>b3A22=6`>2459515c3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3;o4h5G8838j442?3;??h5f90394?=n<:h1<75`b9`94?=zj8nj?7?54;294~"69:l15:94$02g6?7c0l1C44?4n0063?73;o1b8hl50;9j06d=831b==o7:188kg>e2900qo?kdb82>6<729q/=<=i:000f>"68m81=i6j;I::5>h6:<=1=9:?;h;25?6=3`>8n7>5;n`;f?6=3th:ho:51;694?6|,8;8j7787:&24a4=9m2n7E661:l2601=9=>:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm1efa>4<4290;w)?>3g8266d<,8:o>7?k8d9K<<7;7?;439j=47=831b8>l50;9lf=d=831vnh59658 46c:3;o4h5G8838j442?3;?8>5f4d`94?=n<:h1<75f11c;>5<444j2.:>92d:>8951567?l?693:17d:dc095?2=83:p("68m81=i6j;I::5>h6:<=1=9::;h6ff?6=3`>8n7>5;h33e=<722ei4o4?::a5ab>28086=4?{%327c<6::h0(<>k2;3g<`=O00;0b<<:7;3703=n18;1<75f42`94?=hj1h1<75rb0fa5?7=<3:112d9=21<,8:o>7?k8d9K<<7;7?;469j0`d=831b8>l50;9j55g?2900co6m:188yg7cl10:6>4?:1y'545a2888n6*>0e095a>b3A22=6`>2459512?3`3:=7>5;h60f?6=3fh3n7>5;|`2`g6=93>1<7>t$030b??0?2.:>92d:>895156:?l2bj3:17d:>{e9mn<6<4<:183!76;o0:>>l4$02g6?7c0l1C44?4n0063?7350z&256`=1>=0(<>k2;3g<`=O00;0b<<:7;370g=n5<:283>5}#989m6<<h7d7>1;29?l24j3:17bl7b;29?xd6lho1=7:50;2x 474n33<;6*>0e095a>b3A22=6`>2459512c3`>nn7>5;h60f?6=3`;;m54?::ma5<7s-;:?k4>22`8 46c:3;o4h5G8838j442?3;?8h5f90394?=n<:h1<75`b9`94?=zj8njh7?54;294~"69:l15:94$02g6?7c0l1C44?4n0063?73e2900qo?kd582>6<729q/=<=i:000f>"68m81=i6j;I::5>h6:<=1=9;?;h;25?6=3`>8n7>5;n`;f?6=3th:hlm51;694?6|,8;8j7787:&24a4=9m2n7E661:l2601=9=?:7d:jb;29?l24j3:17d??a983>>ie0k0;66sm1ea:>4<4290;w)?>3g8266d<,8:o>7?k8d9K<<7;7?;539j=47=831b8>l50;9lf=d=831vnh59658 46c:3;o4h5G8838j442?3;?9>5f4d`94?=n<:h1<75f11c;>5<7?k8d9'545a28:2:6*>0g69774<,1hj695G8838j442?3;?995f48g94?=n<:l1<75f49f94?=n995<5;|`2a74=93<1<7>t$9;7>2c73-;;h?4>d9g8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:88;4i5;f>5<5<5;h605?6=3f;;;:4?::a5`46280=6=4?{%::0?1b82.:h6:<=1=9;9;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4c583;1:7>50z&;=1<0m91/==j=:0f;a>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<::7:k7=`<722c??k4?::k7k2;3g<`=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?;599j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6m8o1=7850;2x =?32>o;7)??d382`=c<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>44;8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9l;o6<49:183!>><3=n<6*>0e095a>b3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895157b?l2>m3:17d:>o3;80;66a>06594?=zj8o:o7?56;294~"?1=04b?m2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:066f>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?7c0l1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;371f=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568200b5<8=7>5;n3332<722wi=h5<7s-22879j0:&24a4=9m2n7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459513b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94<239'422n2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?j2882>3<729q/44:57d28 46c:3;o4h5+101e>46>>2.:91b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>e3:95?0=83:p(57;:6g3?!77l;0:h5k4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<60;66sm1d04>4<1290;w)664;5f4>"68m81=i6j;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9=<97d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0g12?7=>3:1=?6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3f60<62?0;6=u+88693`6<,8:o>7?k8d9'545a28:2:6*>0g69774<,1hj695G8838j442?3;?:95f48g94?=n<:l1<75f49f94?=n995<5;|`2a72=93<1<7>t$9;7>2c73-;;h?4>d9g8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:8;;4i5;f>5<5<5;h605?6=3f;;;:4?::a5`7>280=6=4?{%::0?1b82.:h6:<=1=989;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4c603;1:7>50z&;=1<0m91/==j=:0f;a>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<:97:k7=`<722c??k4?::k7k2;3g<`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>47:8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9mlj6<49:183!>><3=n<6*>0e095a>b3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895154:?l2>m3:17d:>o3;80;66a>06594?=zj8nm=7?56;294~"?1=04b?m2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:065e>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?7c0l1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;372g=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=568203e5<8=7>5;n3332<722wi=ihk:085>5<7s-22879j0:&24a4=9m2n7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>2459510c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94<239'421m2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?kfb82>3<729q/44:57d28 46c:3;o4h5+101e>46>>2.:o1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>dgd95?0=83:p(57;:6g3?!77l;0:h5k4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6<>:0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1d23>4<1290;w)664;5f4>"68m81=i6j;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9==:7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0g36?7=>3:1<>6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3gb6<62?0;6=u+88693`6<,8:o>7?k8d9'545a28:2:6*>0g69774<,1hj695G8838j442?3;?;>5f48g94?=n<:l1<75f49f94?=n995<5;|`2a57=93<1<7>t$9;7>2c73-;;h?4>d9g8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0:8::4i5;f>5<5<5;h605?6=3f;;;:4?::a5a`2280=6=4?{%::0?1b82.:h6:<=1=99:;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4ba>3;1:7>50z&;=1<0m91/==j=:0f;a>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6<:86:k7=`<722c??k4?::k7k2;3g<`=#989m6<>66:&24c2=;;80(5ln:59K<<7;7?;769j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6lo21=7850;2x =?32>o;7)??d382`=c<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4>46:8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9ml26<49:183!>><3=n<6*>0e095a>b3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895155:?l2>m3:17d:>o3;80;66a>06594?=zj8nm;7?56;294~"?1=04b?m2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:064e>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:283>5}#989m64?6;%::0?bb>2.:>92d:>895155a?l>f93:17d6n2;29?jc0l3:17pl>49:95?3=83:p("?1=0hh45+11f1>42??2B35<5a1374>420k2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a51g5280?6=4?{%327c<>901/44:5d258 46c:3;?4:5+fg2951033A22=6`>2459511c3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th:8l?51;694?6|,8;8j77>9:&;=11/==j=:06;3>"an90:88;4H9;2?k75=>0:8:k4i9c2>5<5<<7>3-2287j<7:&24a4=9=2<7)hi0;3710=O00;0b<<:7;373c=n0h;1<75f8`094?=n0h91<75`e6f94?=zj8>2i7?54;294~"69:l15<74$9;7>a503-;;h?4>4958 c`728>>86F7909m573028>3<6g7a083>>o?i;0;66g7a283>>ib?m0;66sm15;g>4<3290;w)?>3g8:5<=#00>1h>94$02g6?730>1/jk>51577?M>>92d:>89515:2?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd6<0i1=7:50;2x 474n33:56*7958g72=#99n96<:77:&eb5<6<<90D57>;o3112<6<180e5o>:188m=g52900e5o<:188k`1c2900qo?;9c82>1<729q/=<=i:83:?!>><3n8;6*>0e0951>03-lm<7?;529K<<7;7?;829ji3;187>50z&256`=1830(57;:e14?!77l;0:8594$gd3>422:2B35<5a1374>42?<2c3m<4?::k;e7<722c3m>4?::mf3a<722wi=976:087>5<7s-;:?k46189'<<2=l:=0(<>k2;37<2=#no:1=9;=;I::5>h6:<=1=96:;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`20<>=93>1<7>t$030b??612.3594k369'55b528>3;6*if182007<@13:7c?=56820=05<5<:583>5}#989m64?6;%::0?b4?2.:n1<75rb06:2?7=<3:112d9=4?<,13?6i=8;%33`7<6<1=0(kh?:0664>N?181e=?;8:06;<>o?i80;66g7a383>>o?i:0;66aj7e83>>{e9=3?6<4;:183!76;o02=45+8869`61<,8:o>7?;869'bc6=9=?;7E661:l2601=9=227d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl>48195?2=83:p("?1=0o?:5+11f1>42??2.mj=4>45d8L=?63g;99:4>49c8m=g62900e5o=:188m=g42900ch9k:188yg731;0:694?:1y'545a20;27)664;f03>"68m81=968;%de4?73h590;8 =?32m9<7)??d3820=1<,ol;6<:;e:J;=4=i9;?<6<:7c:k;e4<722c3m?4?::k;e6<722en;i4?::a51?7280?6=4?{%327c<>901/44:5d258 46c:3;?4:5+fg29512b3A22=6`>245951>c3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th:85h51;694?6|,8;8j77>9:&;=11/==j=:06;3>"an90:89j4H9;2?k75=>0:85k4i9c2>5<5<<7>3-2287j<7:&24a4=9=2<7)hi0;370a=O00;0b<<:7;373h7?54;294~"69:l15<74$9;7>a503-;;h?4>4958 c`728>?o6F7909m573028>2<6g7a083>>o?i;0;66g7a283>>ib?m0;66sm15:`>4<3290;w)?>3g8:5<=#00>1h>94$02g6?730>1/jk>5156`?M>>92d:>89515;2?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd6<1h1=7:50;2x 474n33:56*7958g72=#99n96<:77:&eb5<6<=h0D57>;o3112<6<080e5o>:188m=g52900e5o<:188k`1c2900qo?;8882>1<729q/=<=i:83:?!>><3n8;6*>0e0951>03-lm<7?;4c9K<<7;7?;929j50z&256`=1830(57;:e14?!77l;0:8594$gd3>421;2B35<5a1374>42><2c3m<4?::k;e7<722c3m>4?::mf3a<722wi=9o8:087>5<7s-;:?k46189'<<2=l:=0(<>k2;37<2=#no:1=98<;I::5>h6:<=1=97:;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`20d0=93>1<7>t$030b??612.3594k369'55b528>3;6*if182034<@13:7c?=56820<05<5<:583>5}#989m64?6;%::0?b4?2.:n1<75rb06b0?7=<3:112d9=4?<,13?6i=8;%33`7<6<1=0(kh?:0655>N?181e=?;8:06:<>o?i80;66g7a383>>o?i:0;66aj7e83>>{e9=k86<4;:183!76;o02=45+8869`61<,8:o>7?;869'bc6=9=<:7E661:l2601=9=327d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl>4`295?2=83:p("?1=0o?:5+11f1>42??2.mj=4>4728L=?63g;99:4>48c8m=g62900e5o=:188m=g42900ch9k:188yg731<0:694?:1y'545a20;27)664;f03>"68m81=968;%de4?73>91C44?4n0063?731k1b4l?50;9jh590;8 =?32m9<7)??d3820=1<,ol;6<:94:J;=4=i9;?<6<:6c:k;e4<722c3m?4?::k;e6<722en;i4?::a7gc>280?6=4?{%327c<>901/44:5d258 46c:39i?i5+fg2951253A22=6`>245951?c3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th8nho51;694?6|,8;8j77>9:&;=11/==j=:2`0`>"an90:89<4H9;2?k75=>0:84k4i9c2>5<5<<7>3-2287j<7:&24a4=;k9o7)hi0;3704=O00;0b<<:7;37=c=n0h;1<75f8`094?=n0h91<75`e6f94?=zj:hno7?54;294~"69:l15<74$9;7>a503-;;h?4?=6F7909m573028>j<6g7a083>>o?i;0;66g7a283>>ib?m0;66sm3cgg>4<3290;w)?>3g8:5<=#00>1h>94$02g6?5e;m1/jk>51563?M>>92d:>89515c2?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd4jlo1=7:50;2x 474n33:56*7958g72=#99n96>l;o3112<6:188m=g52900e5o<:188k`1c2900qo=meg82>1<729q/=<=i:83:?!>><3n8;6*>0e097g5c3-lm<7?;3g9K<<7;7?;a29j50z&256`=1830(57;:e14?!77l;08n>j4$gd3>424n2B35<5a1374>42f<2c3m<4?::k;e7<722c3m>4?::mf3a<722wi?oh>:087>5<7s-;:?k46189'<<2=l:=0(<>k2;1a7a=#no:1=9=j;I::5>h6:<=1=9o:;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`0f`4=93>1<7>t$030b??612.3594k369'55b52:h8h6*if18206c<@13:7c?=56820d05<5<4>:583>5}#989m64?6;%::0?b4?2.:n1<75rb2`f0?7=<3:112d9=4?<,13?6i=8;%33`7<4j:n0(kh?:060`>N?181e=?;8:06b<>o?i80;66g7a383>>o?i:0;66aj7e83>>{e;ko>6<4;:183!76;o02=45+8869`61<,8:o>7=m3e9'bc6=9=9h7E661:l2601=9=k27d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl"?1=0o?:5+11f1>6d4l2.mj=4>42a8L=?63g;99:4>4`c8m=g62900e5o=:188m=g42900ch9k:188yg5em>0:694?:1y'545a20;27)664;f03>"68m81?o=k;%de4?73;k1C44?4n0063?73ik1b4l?50;9jlj8;390?6=8r.:=>h590;8 =?32m9<7)??d380f6b<,ol;6<:901/44:5dc;8 46c:39i?i5+fg2951673A22=6`>245951gc3`2j=7>5;h:b6?6=3fo5;|`663e=93>1<7>t$030b??612.3594j4`9'55b52<8;n6*if1826c0<@13:7c?=56820dc5<5<:583>5}#989m64?6;%::0?be<2.:5G8838j442?3;?mk5f8`394?=n0h81<75f8`194?=hm>n1<75rb2a0b?7=?3:112d9=4?<,13?6ik<;%33`7<4j:n0D57>;o3112<6:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5en;0:694?:1y'545a20;27)664;fb6>"68m81?o=k;%de4?74nh1C44?4n0063?73j81b4l?50;9jli3;390?6=8r.:=>h590;8 =?32mk97)??d380f6b<,ol;6<=ia:J;=4=i9;?<6<:m2:k;e4<722c3m?4?::k;e6<722en;i4?::a5cd528086=4?{%327c<>901/44:5e728 46c:3;m>45+fg29516f3A22=6`>245951d43`2j=7>5;h:b6?6=3fo5;|`0a2d=93=1<7>t$030b??612.3594me09'55b52:h8h6F7909m573028>i86g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;j;i6<49:183!76;o02=45+8869fgg<,8:o>7=m3e9K<<7;7?;b49j50z&256`=1830(57;:e`7?!77l;08n>j4$gd3>45d>2B35<5a1374>42e>2c3m<4?::k;e7<722c3m>4?::mf3a<722wi?n=8:087>5<7s-;:?k46189'<<2=lk>0(<>k2;1a7a=#no:1=>m>;I::5>h6:<=1=9l8;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`0g63=93>1<7>t$030b??612.3594kb59'55b52:h8h6*if1827f7<@13:7c?=56820g>5<5<:583>5}#989m64?6;%::0?be<2.:n1<75rb2a07?7=<3:112d9=4?<,13?6il;;%33`7<4j:n0(kh?:01`4>N?181e=?;8:06ae>o?i80;66g7a383>>o?i:0;66aj7e83>>{e;j996<4;:183!76;o02=45+8869`g2<,8:o>7=m3e9'bc6=9:hm7E661:l2601=9=hi7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl"?1=0on95+11f1>6d4l2.mj=4>3cd8L=?63g;99:4>4ca8m=g62900e5o=:188m=g42900ch9k:188yg5d;90:694?:1y'545a20;27)664;fa0>"68m81?o=k;%de4?74jl1C44?4n0063?73jm1b4l?50;9jm=f;390?6=8r.:=>h590;8 =?32mh?7)??d380f6b<,ol;6<=me:J;=4=i9;?<6<:me:k;e4<722c3m?4?::k;e6<722en;i4?::a7f4b280?6=4?{%327c<>901/44:5dc68 46c:39i?i5+fg2956dc3A22=6`>245951da3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th8o?j51;694?6|,8;8j77>9:&;=1"an90:?oj4H9;2?k75=>0:8n>4i9c2>5<5<<7>3-2287jm4:&24a4=;k9o7)hi0;30ff=O00;0b<<:7;37g4=n0h;1<75f8`094?=n0h91<75`e6f94?=zj:i9m7?54;294~"69:l15<74$9;7>ad33-;;h?4h>6g7a083>>o?i;0;66g7a283>>ib?m0;66sm3b0:>4<3290;w)?>3g8:5<=#00>1ho:4$02g6?5e;m1/jk>512`a?M>>92d:>89515a0?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd4k;21=7:50;2x 474n33:56*7958gf1=#99n96>l;o3112<60e5o>:188m=g52900e5o<:188k`1c2900qo=l2682>1<729q/=<=i:83:?!>><3ni86*>0e097g5c3-lm<7?;7?;c49j3;187>50z&256`=1830(57;:e`7?!77l;08n>j4$gd3>45ei2B35<5a1374>42d>2c3m<4?::k;e7<722c3m>4?::mf3a<722wi?n<::087>5<7s-;:?k46189'<<2=lk>0(<>k2;1a7a=#no:1=>l6;I::5>h6:<=1=9m8;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`0g72=93>1<7>t$030b??612.3594kb59'55b52:h8h6*if1827g?<@13:7c?=56820f>5<5<>4>:583>5}#989m64?6;%::0?be<2.:n1<75rb2a16?7=<3:112d9=4?<,13?6il;;%33`7<4j:n0(kh?:01a<>N?181e=?;8:06`e>o?i80;66g7a383>>o?i:0;66aj7e83>>{e;j8:6<4;:183!76;o02=45+8869`g2<,8:o>7=m3e9'bc6=9:h<7E661:l2601=9=ii7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl"?1=0on95+11f1>6d4l2.mj=4>3c58L=?63g;99:4>4ba8m=g62900e5o=:188m=g42900ch9k:188yg5d;l0:694?:1y'545a20;27)664;fa0>"68m81?o=k;%de4?74k?1C44?4n0063?73km1b4l?50;9jmh590;8 =?32mh?7)??d380f6b<,ol;6<=l5:J;=4=i9;?<6<:le:k;e4<722c3m?4?::k;e6<722en;i4?::a7f5d280?6=4?{%327c<>901/44:5dc68 46c:39i?i5+fg2956e23A22=6`>245951ea3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th8o>l51;694?6|,8;8j77>9:&;=1"an90:?n:4H9;2?k75=>0:8i>4i9c2>5<5<<7>3-2287jm4:&24a4=;k9o7)hi0;30g1=O00;0b<<:7;37`4=n0h;1<75f8`094?=n0h91<75`e6f94?=zj:i857?54;294~"69:l15<74$9;7>ad33-;;h?4o>6g7a083>>o?i;0;66g7a283>>ib?m0;66sm3b15>4<3290;w)?>3g8:5<=#00>1ho:4$02g6?5e;m1/jk>512a0?M>>92d:>89515f0?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd4k;:1=7:50;2x 474n33:56*7958gf1=#99n96>l;o3112<60e5o>:188m=g52900e5o<:188k`1c2900qo=l2c82>1<729q/=<=i:83:?!>><3ni86*>0e097g5c3-lm<7?;7?;d49j50z&256`=1830(57;:cg7?!77l;08n>j4H9;2?k75=>0:8i84i9c2>5<5<5<4>:683>5}#989m64?6;%::0?db=2.:>92d:>89515f4?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl"?1=0ii85+11f1>6d4l2B35<5a1374>42c02c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7f65280<6=4?{%327c<>901/44:5bd78 46c:39i?i5G8838j442?3;?h45f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:hm97?57;294~"69:l15<74$9;7>gc23-;;h?44ec8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=mf582>2<729q/=<=i:83:?!>><3hn96*>0e097g5c3A22=6`>245951be3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0g5?=93>1<7>t$030b??612.3594k159'55b52:h8h6*if182053<@13:7c?=56820ae5<5<:583>5}#989m64?6;%::0?b292.:n1<75rb2g:2?7=?3:112d9=4?<,13?6njk;%33`7<4j:n0D57>;o3112<6:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5b0o0:694?:1y'545a20;27)664;fa0>"68m81?o=k;%de4?74111C44?4n0063?73lo1b4l?50;9jmk7;397?6=8r.:=>h590;8 =?32mo=7)??d380f6b<,ol;6<:?1:J;=4=i9;?<6<:j0:k;e4<722c3m?4?::mf3a<722wi?n?8:084>5<7s-;:?k46189'<<2=l980(<>k2;1a7a=O00;0b<<:7;37a4=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2a20?7=?3:112d9=4?<,13?6i>=;%33`7<4j:n0D57>;o3112<6:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5d<90:694?:1y'545a20;27)664;g6a>"68m81?o=k;%de4?74no1C44?4n0063?73m:1b4l?50;9jh590;8 =?32l9?7)??d38665d<,ol;6<5<7s-;:?k46189'<<2=lh:0(<>k2;1a7a=#no:1=>7>;I::5>h6:<=1=9k:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th8olm51;794?6|,8;8j77>9:&;=1"an90:?4?4H9;2?k75=>0:8h84i9c2>5<5<5<:783>5}#989m64?6;%::0?bfl2.:1<75f8`794?=hm>n1<75rb2c`5?7=>3:112d9=4?<,13?6il=;%33`7<6m:h0(kh?:0646>N?181e=?;8:06f<>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e;hi<6<49:183!76;o02=45+8869`d?<,8:o>7?j3c9'bc6=9==?7E661:l2601=9=o27d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl"?1=0om45+11f1>4c4j2.mj=4>4618L=?63g;99:4>4dc8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg7blj0:6:4?:1y'545a20;27)664;f:7>"68m81=hj;;I::5>h6:<=1=9km;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8moh51;494?6|,8;8j77>9:&;=11/==j=:0g0f>"an90:8::4H9;2?k75=>0:8hm4i9c2>5<5<5<<7>3-2287k;5:&24a4=9l9i7)hi0;3730=O00;0b<<:7;37aa=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj:kh?7?56;294~"69:l15<74$9;7>agc3-;;h?4>e2`8 c`728>ni6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm3b6g>4<0290;w)?>3g8:5<=#00>1hl64$02g6?5e;m1C44?4n0063?73mo1b4l?50;9j50z&256`=1830(57;:e4e?!77l;08n>j4$gd3>44>n2B35<5a1374>42a82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi9?89:085>5<7s-;:?k46189'<<2=lh30(<>k2;714g=#no:1=99=;I::5>h6:<=1=9h>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`0g21=93<1<7>t$030b??612.3594j129'55b52:h8h6*if1826<1<@13:7c?=56820c45<5<6=44od5g>5<:783>5}#989m64?6;%::0?bdl2.:>92d:>89515d0?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4mki1=7950;2x 474n33:56*7958gf0=#99n96>l5<7s-;:?k46189'<<2=l1l0(<>k2;1a7a=O00;0b<<:7;37b0=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb402e?7=?3:112d9=4?<,13?6n6j;%33`7<2:9h0D57>;o3112<6:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg359l0:6:4?:1y'545a20;27)664;a;a>"68m819?>m;I::5>h6:<=1=9h8;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th>>?<51;594?6|,8;8j77>9:&;=1N?181e=?;8:06e<>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm5300>4<0290;w)?>3g8:5<=#00>1o5k4$02g6?358k1C44?4n0063?73n01b4l?50;9j50z&256`=1830(57;:b:f?!77l;0>>=l4H9;2?k75=>0:8ko4i9c2>5<5<5<5<84>:683>5}#989m64?6;%::0?e?m2.:>92d:>89515da?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl:23595?1=83:p("?1=0h4h5+11f1>047j2B35<5a1374>42ak2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a174>280<6=4?{%327c<>901/44:5c9g8 46c:3?91<75f8`794?=n0h<1<75`e6f94?=zj<89:7?57;294~"69:l15<74$9;7>f>b3-;;h?4:21`8L=?63g;99:4>4gg8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo;=2c82>2<729q/=<=i:83:?!>><3i3i6*>0e09176e3A22=6`>245951`a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`667b=93=1<7>t$030b??612.3594l8d9'55b52<8;n6F7909m573028?;<6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e=;9:6<48:183!76;o02=45+8869g=c<,8:o>7;=0c9K<<7;7?:009jh590;8 =?32j2n7)??d38665d<@13:7c?=56821545<5<6=44i9c5>5<0;6=u+101e><7>3-2287m7e:&24a4==;:i7E661:l2601=9<:87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4m>31=7850;2x 474n33:56*7958gea=#99n96>l280<6=4?{%327c<>901/44:5cd:8 46c:39i?i5G8838j442?3;><85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:i=?7?56;294~"69:l15<74$9;7>`703-;;h?4>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm3b66>4<1290;w)?>3g8:5<=#00>1i984$02g6?5e;m1/jk>513;e?M>>92d:>8951424?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4k>31=7850;2x 474n33:56*7958gea=#99n96>l;o3112<6=920e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo=j9582>3<729q/=<=i:83:?!>><3n>96*>0e097g5c3-lm<7?<859K<<7;7?:089j50z&256`=1830(57;:d42?!77l;0:8lo4$gd3>42092B35<5a1374>437i2c3m<4?::k;e7<722en;i4?::a17g3280>6=4?{%327c<>901/44:5d738 46c:3?94i5G8838j442?3;>1<75`e6f94?=zj<8n=7?57;294~"69:l15<74$9;7>ag?3-;;h?4:29f8L=?63g;99:4>51a8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo;=9e82>3<729q/=<=i:83:?!>><3nj56*>0e0917>c3-lm<7?;779K<<7;7?:0e9j13;1:7>50z&256`=1830(57;:ecg?!77l;0>>5j4H9;2?k75=>0:9=k4i9c2>5<5<5<0;6=u+101e><7>3-2287j?a:&24a4==;2o7E661:l2601=9<:m7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd2:081=7=50;2x 474n33:56*7958f0a=#99n968<7d:J;=4=i9;?<6<;>0:k;e4<722c3m?4?::mf3a<722wi9?jk:080>5<7s-;:?k46189'<<2=lk30(<>k2;71<;I::5>h6:<=1=8?>;h:b5?6=3`2j>7>5;ng4`?6=3th>>4h51;494?6|,8;8j77>9:&;=1"an90:8:84H9;2?k75=>0:9<<4i9c2>5<5<5<0;6=u+101e><7>3-2287jn7:&24a4==;2o7E661:l2601=9<;87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3jk31=7850;2x 474n33:56*7958g4f=#99n969okb:J;=4=i9;?<6<;>4:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a0gde280=6=4?{%327c<>901/44:5d1a8 46c:3>jho5G8838j442?3;>=85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb5`a`?7=>3:112d9=4?<,13?6i>l;%33`7<3imh0D57>;o3112<6=8<0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:mbg82>3<729q/=<=i:83:?!>><3n;o6*>0e090dbe3A22=6`>245950703`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?nn?51;494?6|,8;8j77>9:&;=1N?181e=?;8:072<>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e7:ndc9K<<7;7?:189j50z&256`=1830(57;:e2`?!77l;0?mil4$gd3>45??2B35<5a1374>436i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi8om8:087>5<7s-;:?k46189'<<2=lmn0(<>k2;6b`g=#no:1=>68;I::5>h6:<=1=8?m;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`736?=93=1<7>t$030b??612.3594l6e9'55b52=?9=6F7909m573028?:o6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7::209K<<7;7?:1e9jh590;8 =?32kh37)??d387177<@13:7c?=568214c5<5<joi4>:583>5}#989m64?6;%::0?b6k2.:>92d:>895143e?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3j981=7850;2x 474n33:56*7958`ec=#99n969okb:J;=4=i9;?<6<;=0:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a022b280=6=4?{%327c<>901/44:5c4g8 46c:3>>><5G8838j442?3;>><5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb5`34?7=>3:112d9=4?<,13?6noi;%33`7<3imh0D57>;o3112<6=;80e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:m0082>3<729q/=<=i:83:?!>><3ijj6*>0e090dbe3A22=6`>245950443`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?n==51;494?6|,8;8j77>9:&;=1N?181e=?;8:0710>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e7:ndc9K<<7;7?:249j50z&256`=1830(57;:b;g?!77l;0?mil4H9;2?k75=>0:9?84i9c2>5<5<5<<7>3-2287m6d:&24a4=f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;b1595?0=83:p("?1=0h5i5+11f1>1gcj2B35<5a1374>43502c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi8o>7:085>5<7s-;:?k46189'<<2=k0n0(<>k2;6b`g=O00;0b<<:7;366<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=h;57?56;294~"69:l15<74$9;7>fga3-;;h?4;ae`8L=?63g;99:4>53c8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2e8h0:6;4?:1y'545a20;27)664;abb>"68m818ljm;I::5>h6:<=1=87>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`7333=93<1<7>t$030b??612.3594lc79'55b52=?9=6F7909m573028?9o6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm4644>4<0290;w)?>3g8:5<=#00>1ohj4$02g6?22:81C44?4n0063?72:m1b4l?50;9j50z&256`=1830(57;:b74?!77l;0?9??4H9;2?k75=>0:9?k4i9c2>5<5<5<5<jn44>:583>5}#989m64?6;%::0?bb=2.:>k5f8`394?=n0h81<75f8`194?=hm>n1<75rb550e?7=?3:112d9=4?<,13?6n8k;%33`7<3=;;0D57>;o3112<6=::0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2fl<0:6:4?:1y'545a20;27)664;f6e>"68m8188<>;I::5>h6:<=1=8=>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?;>m51;594?6|,8;8j77>9:&;=1m1/==j=:5715>N?181e=?;8:0706>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4614>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?22:81C44?4n0063?72;:1b4l?50;9j50z&256`=1830(57;:b4g?!77l;0?9??4H9;2?k75=>0:9>:4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?e1l2.:>92d:>8951416?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;80;95?1=83:p("?1=0n8=5+11f1>13592B35<5a1374>434>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0251280<6=4?{%327c<>901/44:5c7f8 46c:3>>><5G8838j442?3;>?:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=2297?57;294~"69:l15<74$9;7>f643-;;h?4;5338L=?63g;99:4>52:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:79682>2<729q/=<=i:83:?!>><3o856*>0e0900463A22=6`>2459505>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7f45=93=1<7>t$030b??612.3594j2g9'55b52=kon6F7909m573028?8m6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7::209K<<7;7?:3c9j3;1?7>50z&256`=1830(57;:eg4?!77l;0?9??4$gd3>426n2B35<5a1374>434k2c3m<4?::k;e7<722en;i4?::a0=2d280=6=4?{%327c<>901/44:5de`8 46c:3>>><5G8838j442?3;>?i5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb557e?7=;3:112d9=4?<,13?6ik9;%33`7<3=;;0(kh?:065a>N?181e=?;8:070a>o?i80;66g7a383>>ib?m0;66sm4665>4<0290;w)?>3g8:5<=#00>1oi<4$02g6?22:81C44?4n0063?72;o1b4l?50;9j50z&256`=1830(57;:d3b?!77l;0?9??4$gd3>45>i2B35<5a1374>43382c3m<4?::k;e7<722c3m>4?::mf3a<722wi8567:084>5<7s-;:?k46189'<<2=kl<0(<>k2;6664=O00;0b<<:7;3604=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5:;e?7=?3:112d9=4?<,13?6h=m;%33`7<3=;;0D57>;o3112<6==80e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2?0k0:684?:1y'545a20;27)664;`a7>"68m8188<>;%de4?73;11C44?4n0063?72<:1b4l?50;9j??3;1;7>50z&256`=1830(57;:ba:?!77l;0?9??4H9;2?k75=>0:99:4i9c2>5<5<5<5<34n4>:783>5}#989m64?6;%::0?dfl2.:>92d:>8951466?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd301n1=7950;2x 474n33:56*7958`a3=#99n969;=1:J;=4=i9;?<6<;;6:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi856::085>5<7s-;:?k46189'<<2=jhn0(<>k2;6664=O00;0b<<:7;3602=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=<3i7?57;294~"69:l15<74$9;7>`3e3-;;h?4;5338L=?63g;99:4>55:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:99182>3<729q/=<=i:83:?!>><3o>o6*>0e0900463A22=6`>2459502>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?;k=51;594?6|,8;8j77>9:&;=1N?181e=?;8:077e>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm49:2>4<0290;w)?>3g8:5<=#00>1oh94$02g6?22:81C44?4n0063?7250z&256`=1830(57;:e;5?!77l;0?9??4H9;2?k75=>0:99m4i9c2>5<5<5<<7>3-2287j>6:&24a4=<<8:7E661:l2601=9<>o7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;8`495?0=83:p("?1=0omi5+11f1>13592.mj=4>2c`8L=?63g;99:4>55g8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2?>l0:6:4?:1y'545a20;27)664;fb3>"68m8188<>;I::5>h6:<=1=8:i;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?;nj51;694?6|,8;8j77>9:&;=11/==j=:5715>"an90:8=k4H9;2?k75=>0:98>4i9c2>5<5<<7>3-2287lm6:&24a4=<<8:7)hi0;30=c=O00;0b<<:7;3614=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=28?7?54;294~"69:l15<74$9;7>ad33-;;h?4;5338 c`72892j6F7909m573028?>>6g7a083>>o?i;0;66g7a283>>ib?m0;66sm46:a>4<0290;w)?>3g8:5<=#00>1hio4$02g6?22:81C44?4n0063?72=:1b4l?50;9j50z&256`=1830(57;:ec;?!77l;0?9??4H9;2?k75=>0:98:4i9c2>5<5<5<5<3o94>:583>5}#989m64?6;%::0?c3i2.:985f8`394?=n0h81<75f8`194?=hm>n1<75rb5;06?7=?3:112d9=4?<,13?6io8;%33`7<3=;;0D57>;o3112<6=<<0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2>ih0:694?:1y'545a20;27)664;g2e>"68m8188<>;%de4?741;1C44?4n0063?72=>1b4l?50;9jh590;8 =?32mh?7)??d387177<,ol;6<901/44:5e0c8 46c:3>>><5G8838j442?3;>945f8`394?=n0h81<75f8`194?=hm>n1<75rb5;03?7=<3:112d9=4?<,13?6h?n;%33`7<3=;;0(kh?:017<>N?181e=?;8:076e>o?i80;66g7a383>>o?i:0;66aj7e83>>{e<09>6<4;:183!76;o02=45+8869a1g<,8:o>7::209'bc6=9:2j7E661:l2601=9f:3:17d6n3;29?jc0l3:17pl;9`a95?2=83:p("?1=0n8l5+11f1>13592.mj=4>3818L=?63g;99:4>54a8m=g62900e5o=:188m=g42900ch9k:188yg2>l:0:694?:1y'545a20;27)664;g2e>"68m8188<>;%de4?74<11C44?4n0063?72=m1b4l?50;9jh590;8 =?32m927)??d387177<@13:7c?=568210c5<5<:583>5}#989m64?6;%::0?b4?2.:9k5f8`394?=n0h81<75f8`194?=hm>n1<75rb55`b?7=<3:112d9=4?<,13?6i=8;%33`7<3=;;0(kh?:0624>N?181e=?;8:0754>o?i80;66g7a383>>o?i:0;66aj7e83>>{e<>n?6<4;:183!76;o02=45+8869`61<,8:o>7::209'bc6=9=:o7E661:l2601=9<<:7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;87a95?0=83:p("?1=0o8=5+11f1>13592B35<5a1374>431:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi8:j>:087>5<7s-;:?k46189'<<2=l:=0(<>k2;6664=O00;0b<<:7;3626=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=2=;7?56;294~"69:l15<74$9;7>f`>3-;;h?4;5338L=?63g;99:4>5768m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg20k;0:694?:1y'545a20;27)664;f03>"68m8188<>;%de4?74<1b4l?50;9jh590;8 =?32m9<7)??d387177<,ol;6<=60:J;=4=i9;?<6<;96:k;e4<722c3m?4?::k;e6<722en;i4?::a0901/44:5dc68 46c:3>>><5+fg29562>3A22=6`>245950003`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?58851;694?6|,8;8j77>9:&;=11/==j=:5715>"an90:8?<4H9;2?k75=>0:9;64i9c2>5<5<<7>3-2287j<7:&24a4=<<8:7)hi0;371<=O00;0b<<:7;362<=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=3j57?54;294~"69:l15<74$9;7>a?e3-;;h?4;5338 c`7289396F7909m573028?=m6g7a083>>o?i;0;66g7a283>>ib?m0;66sm4840>4<3290;w)?>3g8:5<=#00>1h>94$02g6?22:81/jk>5121`?M>>92d:>895144a?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3?m31=7:50;2x 474n33:56*7958g72=#99n969;=1:&eb5<6;=;0D57>;o3112<6=?i0e5o>:188m=g52900e5o<:188k`1c2900qo:8cb82>1<729q/=<=i:83:?!>><3n8;6*>0e0900463-lm<7?;7?:6e9j50z&256`=1830(57;:e14?!77l;0?9??4H9;2?k75=>0:9;k4i9c2>5<5<<7>3-2287mi9:&24a4=<<8:7E661:l2601=9<f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;7b195?2=83:p("?1=0o?:5+11f1>13592.mj=4>3578L=?63g;99:4>5628m=g62900e5o=:188m=g42900ch9k:188yg2>il0:694?:1y'545a20;27)664;g2e>"68m8188<>;%de4?74<01C44?4n0063?72?81b4l?50;9jh590;8 =?32m9<7)??d387177<,ol;6<=;0:J;=4=i9;?<6<;82:k;e4<722c3m?4?::k;e6<722en;i4?::a02b4280?6=4?{%327c<>901/44:5d258 46c:3>>><5+fg29516c3A22=6`>245950143`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?4;751;494?6|,8;8j77>9:&;=1N?181e=?;8:0740>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<>i?6<4;:183!76;o02=45+8869`61<,8:o>7::209'bc6=9:>?7E661:l2601=9<=>7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;94295?2=83:p("?1=0o?:5+11f1>13592.mj=4>44;8L=?63g;99:4>5648m=g62900e5o=:188m=g42900ch9k:188yg2>;90:694?:1y'545a20;27)664;aa0>"68m8188<>;%de4?74kj1C44?4n0063?72?>1b4l?50;9jh590;8 =?32m9<7)??d387177<,ol;6<:?e:J;=4=i9;?<6<;88:k;e4<722c3m?4?::k;e6<722en;i4?::a02e>280?6=4?{%327c<>901/44:5d258 46c:3>>><5+fg2956b53A22=6`>2459501>3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?;i951;694?6|,8;8j77>9:&;=1N?181e=?;8:074e>o?i80;66g7a383>>o?i:0;66aj7e83>>{e<1<=6<49:183!76;o02=45+8869gc?<,8:o>7::209K<<7;7?:7c9j50z&256`=1830(57;:e14?!77l;0?9??4$gd3>42682B35<5a1374>430k2c3m<4?::k;e7<722c3m>4?::mf3a<722wi84:=:087>5<7s-;:?k46189'<<2=m=k0(<>k2;6664=#no:1=>oj;I::5>h6:<=1=89k;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7<3g=93<1<7>t$030b??612.3594lf89'55b52=?9=6F7909m573028?>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm46fa>4<3290;w)?>3g8:5<=#00>1h>94$02g6?22:81/jk>5121e?M>>92d:>895145e?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd31:k1=7:50;2x 474n33:56*7958g72=#99n969;=1:&eb5<6<8l0D57>;o3112<6=1:0e5o>:188m=g52900e5o<:188k`1c2900qo:8c482>1<729q/=<=i:83:?!>><3n8;6*>0e0900463-lm<7?;7?:809j50z&256`=1830(57;:e14?!77l;0?9??4$gd3>45c<2B35<5a1374>43?:2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8:m>:087>5<7s-;:?k46189'<<2=l:=0(<>k2;6664=#no:1=9>l;I::5>h6:<=1=86<;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`73a0=93>1<7>t$030b??612.3594k389'55b52=?9=6F7909m573028?386g7a083>>o?i;0;66g7a283>>ib?m0;66sm46fg>4<3290;w)?>3g8:5<=#00>1h>94$02g6?22:81/jk>51260?M>>92d:>89514:6?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd30<<1=7950;2x 474n33:56*7958`bd=#99n969;=1:J;=4=i9;?<6<;76:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8:m9:087>5<7s-;:?k46189'<<2=l:=0(<>k2;6664=#no:1=>j:;I::5>h6:<=1=868;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`73g`=93>1<7>t$030b??612.3594k369'55b52=?9=6*if18205e<@13:7c?=56821=>5<5<:583>5}#989m64?6;%::0?b4?2.:445f8`394?=n0h81<75f8`194?=hm>n1<75rb55gg?7=<3:112d9=4?<,13?6i=8;%33`7<3=;;0(kh?:063b>N?181e=?;8:07;e>o?i80;66g7a383>>o?i:0;66aj7e83>>{e<>ii6<4;:183!76;o02=45+8869`6?<,8:o>7::209K<<7;7?:8c9j50z&256`=1830(57;:e14?!77l;0?9??4$gd3>453:2B35<5a1374>43?k2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8:mn:087>5<7s-;:?k46189'<<2=l:=0(<>k2;6664=#no:1=>j>;I::5>h6:<=1=86k;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`71<7>t$030b??612.3594kb59'55b52=?9=6*if182765<@13:7c?=56821=c5<5<3h:4>:583>5}#989m64?6;%::0?de=2.:4k5f8`394?=n0h81<75f8`194?=hm>n1<75rb5:412d9=4?<,13?6oon;%33`7<3=;;0D57>;o3112<6=0:0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2??m0:6:4?:1y'545a20;27)664;`b=>"68m8188<>;I::5>h6:<=1=87>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?4:h51;594?6|,8;8j77>9:&;=1N?181e=?;8:07:6>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm495f>4<0290;w)?>3g8:5<=#00>1no>4$02g6?22:81C44?4n0063?721:1b4l?50;9j1;3;1:7>50z&256`=1830(57;:bd:?!77l;0?9??4H9;2?k75=>0:94:4i9c2>5<5<5<<7>3-2287j<7:&24a4=<<8:7)hi0;30`6=O00;0b<<:7;36=0=n0h;1<75f8`094?=n0h91<75`e6f94?=zj==n:7?57;294~"69:l15<74$9;7>gc?3-;;h?4;5338L=?63g;99:4>5848m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:mac82>3<729q/=<=i:83:?!>><3o:<6*>0e090dbe3A22=6`>245950?03`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?nlo51;694?6|,8;8j77>9:&;=1"an90:8;64H9;2?k75=>0:9464i9c2>5<5<<7>3-2287k;b:&24a4=n1<75rb5`3a?7=?3:112d9=4?<,13?6i7<;%33`7<3imh0D57>;o3112<6=0k0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2e8j0:6:4?:1y'545a20;27)664;fe=>"68m818ljm;I::5>h6:<=1=87m;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?;>=51;494?6|,8;8j77>9:&;=1N?181e=?;8:07:g>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e7:ndc9K<<7;7?:9e9jh590;8 =?32m280=6=4?{%327c<>901/44:5d7g8 46c:3>jho5+fg2957c>3A22=6`>245950?a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?ho851;694?6|,8;8j77>9:&;=1N?181e=?;8:07b4>o?i80;66g7a383>>o?i:0;66aj7e83>>{e7:ndc9'bc6=9;oh7E661:l2601=9f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;ad:95?1=83:p("?1=0h855+11f1>1gcj2B35<5a1374>43f:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0dc1280>6=4?{%327c<>901/44:5c608 46c:3>jho5+fg2957cd3A22=6`>245950g43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`7e2c=93>1<7>t$030b??612.3594j1g9'55b52=?9=6*if1826gd<@13:7c?=56821d25<5<jj:4>:583>5}#989m64?6;%::0?bf;2.:m85f8`394?=n0h81<75f8`194?=hm>n1<75rb5cef?7=<3:112d9=4?<,13?6io<;%33`7<3imh0(kh?:01e0>N?181e=?;8:07b2>o?i80;66g7a383>>o?i:0;66aj7e83>>{e<,8:o>7:ndc9'bc6=9:3?7E661:l2601=9f:3:17d6n3;29?l>f<3:17bk8d;29?xd3io21=7;50;2x 474n33:56*7958g34=#99n969okb:&eb5<6:l30D57>;o3112<6=h20e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg2fnj0:684?:1y'545a20;27)664;f45>"68m818ljm;%de4?75mm1C44?4n0063?72i01b4l?50;9j50z&256`=1830(57;:ca1?!77l;0?mil4$gd3>45a:2B35<5a1374>43fi2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8lh9:080>5<7s-;:?k46189'<<2=m=n0(<>k2;6b`g=#no:1=>h>;I::5>h6:<=1=8om;h:b5?6=3`2j>7>5;ng4`?6=3th?mko51;194?6|,8;8j77>9:&;=1"an90:?k:4H9;2?k75=>0:9lm4i9c2>5<5<ji94>:283>5}#989m64?6;%::0?c182.:mi5f8`394?=n0h81<75`e6f94?=zj=oj57?53;294~"69:l15<74$9;7>`2b3-;;h?4;e`68 c`7289jj6F7909m573028?ji6g7a083>>o?i;0;66aj7e83>>{e7:ja59'bc6=9:km7E661:l2601=9f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl:19f95?1=83:p("?1=0h4>5+11f1>06082B35<5a1374>43e82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a141>280<6=4?{%327c<>901/44:5c918 46c:3?;;=5G8838j442?3;>n<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj<;287?57;294~"69:l15<74$9;7>f>43-;;h?4:0628L=?63g;99:4>5c08m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo;>8682>2<729q/=<=i:83:?!>><3i3?6*>0e0915173A22=6`>245950d43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`65=e=93=1<7>t$030b??612.3594l949'55b52<:<<6F7909m573028?i86g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e=8=36<48:183!76;o02=45+8869g<3<,8:o>7;?719K<<7;7?:b49jh590;8 =?32j3>7)??d386426<@13:7c?=56821g05<5<6=44i9c5>5<0;6=u+101e><7>3-2287m65:&24a4==9=;7E661:l2601=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd291h1=7950;2x 474n33:56*7958`80:J;=4=i9;?<6<;m8:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi9<98:084>5<7s-;:?k46189'<<2=k1<0(<>k2;7335=O00;0b<<:7;36f<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb43:6?7=?3:112d9=4?<,13?6n69;%33`7<28>:0D57>;o3112<6=kk0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg360=0:6:4?:1y'545a20;27)664;a;2>"68m819=9?;I::5>h6:<=1=8lm;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th>=:851;594?6|,8;8j77>9:&;=1N?181e=?;8:07ag>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm50;2>4<0290;w)?>3g8:5<=#00>1o474$02g6?37?91C44?4n0063?72jm1b4l?50;9j50z&256`=1830(57;:b;:?!77l;0><:>4H9;2?k75=>0:9ok4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?eam2.:>92d:>89514`e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl:18295?1=83:p("?1=0hjh5+11f1>06082B35<5a1374>43d82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a141c280<6=4?{%327c<>901/44:5cgg8 46c:3?;;=5G8838j442?3;>o<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj<;297?57;294~"69:l15<74$9;7>a6e3-;;h?4:0628L=?63g;99:4>5b08m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo;>8g82>2<729q/=<=i:83:?!>><3n;n6*>0e0915173A22=6`>245950e43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`652e=93=1<7>t$030b??612.3594k0c9'55b52<:<<6F7909m573028?h86g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e=8226<48:183!76;o02=45+8869g=d<,8:o>7;?719K<<7;7?:c49jh590;8 =?32jl37)??d386426<@13:7c?=56821f05<5<6=44i9c5>5<0;6=u+101e><7>3-2287mi8:&24a4==9=;7E661:l2601=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd29>l1=7950;2x 474n33:56*7958`b==#99n968>80:J;=4=i9;?<6<;l8:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi9<9>:084>5<7s-;:?k46189'<<2=l9<0(<>k2;7335=O00;0b<<:7;36g<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb43;4?7=?3:112d9=4?<,13?6i>9;%33`7<28>:0D57>;o3112<6=jk0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg36?l0:6:4?:1y'545a20;27)664;f32>"68m819=9?;I::5>h6:<=1=8mm;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:o4851;194?6|,8;8j77>9:&;=1"an90:88h4H9;2?k75=>0:9nm4i9c2>5<5<:283>5}#989m64?6;%::0?bb>2.:oi5f8`394?=n0h81<75`e6f94?=zj8i247?53;294~"69:l15<74$9;7>ac13-;;h?4>c6d8 c`728>>i6F7909m573028?hi6g7a083>>o?i;0;66aj7e83>>{e9j326<4<:183!76;o02=45+8869``0<,8:o>7?l7g9'bc6=9=?n7E661:l2601=9f:3:17bk8d;29?xd6k1;1=7:50;2x 474n33:56*7958g72=#99n96;o3112<6=m:0e5o>:188m=g52900e5o<:188k`1c2900qo?l8e82>1<729q/=<=i:83:?!>><3n8;6*>0e095f1a3-lm<7?;5e9K<<7;7?:d09j50z&256`=1830(57;:e14?!77l;0:o:h4$gd3>422l2B35<5a1374>43c:2c3m<4?::k;e7<722c3m>4?::mf3a<722wi=n6i:087>5<7s-;:?k46189'<<2=l:=0(<>k2;3`3c=#no:1=9;l;I::5>h6:<=1=8j<;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`2g<6=93>1<7>t$030b??612.3594k369'55b528i5<5<:583>5}#989m64?6;%::0?b4?2.:h85f8`394?=n0h81<75f8`194?=hm>n1<75rb0a:6?7=<3:112d9=4?<,13?6i=8;%33`7<6k>l0(kh?:066f>N?181e=?;8:07g2>o?i80;66g7a383>>o?i:0;66aj7e83>>{e9j386<4;:183!76;o02=45+8869`61<,8:o>7?l7g9'bc6=9=?j7E661:l2601=9f:3:17d6n3;29?jc0l3:17pl>c8695?2=83:p("?1=0o?:5+11f1>4e0n2.mj=4>44c8L=?63g;99:4>5e:8m=g62900e5o=:188m=g42900ch9k:188yg7d090:694?:1y'545a20;27)664;f03>"68m81=n9i;%de4?73<01C44?4n0063?72l01b4l?50;9jh590;8 =?32m9<7)??d382g2`<,ol;6<:;9:J;=4=i9;?<6<;ka:k;e4<722c3m?4?::k;e6<722en;i4?::a5f>4280?6=4?{%327c<>901/44:5d258 46c:3;h;k5+fg29512?3A22=6`>245950be3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th:o5:51;694?6|,8;8j77>9:&;=11/==j=:0a4b>"an90:8964H9;2?k75=>0:9im4i9c2>5<5<<7>3-2287j<7:&24a4=9j=m7E661:l2601=9f:3:17d6n3;29?jc0l3:17pl>c9495?2=83:p("?1=0o?:5+11f1>4e0n2.mj=4>45c8L=?63g;99:4>5eg8m=g62900e5o=:188m=g42900ch9k:188yg7d0>0:694?:1y'545a20;27)664;f03>"68m81=n9i;%de4?73<>1C44?4n0063?72lo1b4l?50;9jh590;8 =?32m9<7)??d382g2`<,ol;6<:;7:J;=4=i9;?<6<;j0:k;e4<722c3m?4?::k;e6<722en;i4?::a5f>>280?6=4?{%327c<>901/44:5d258 46c:3;h;k5+fg2951213A22=6`>245950c63`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th:o5o51;694?6|,8;8j77>9:&;=11/==j=:0a4b>"an90:8984H9;2?k75=>0:9h<4i9c2>5<5<<7>3-2287j<7:&24a4=9j=m7)hi0;3700=O00;0b<<:7;36a6=n0h;1<75f8`094?=n0h91<75`e6f94?=zj8i3o7?54;294~"69:l15<74$9;7>a503-;;h?4>c6d8 c`728>?96F7909m573028?n86g7a083>>o?i;0;66g7a283>>ib?m0;66sm1b;a>4<4290;w)?>3g8:5<=#00>1i9j4$02g6?7d?o1/jk>51500?M>>92d:>89514g6?l>f93:17d6n2;29?jc0l3:17pl=29695?0=83:p("?1=0n9>5+11f1>74?92B35<5a1374>43b>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi>?6n:087>5<7s-;:?k46189'<<2=m8k0(<>k2;01<4=#no:1=>=j;I::5>h6:<=1=8k8;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`16=5=93=1<7>t$030b??612.3594j029'55b52;83=6F7909m573028?n46g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:;2h6<4::183!76;o02=45+8869a44<,8:o>7<=809'bc6=9:9:7E661:l2601=9f:3:17d6n3;29?l>f<3:17bk8d;29?xd5:1<1=7:50;2x 474n33:56*7958g72=#99n96?<71:J;=4=i9;?<6<;ja:k;e4<722c3m?4?::k;e6<722en;i4?::a6473280=6=4?{%327c<>901/44:5e418 46c:38:=<5G8838j442?3;>io5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb332f?7=<3:112d9=4?<,13?6h?n;%33`7<598;0(kh?:010`>N?181e=?;8:07fg>o?i80;66g7a383>>o?i:0;66aj7e83>>{e:8;86<48:183!76;o02=45+8869a55<,8:o>7<>109K<<7;7?:ee9jd;391?6=8r.:=>h590;8 =?32l;97)??d381547<,ol;6<=<1:J;=4=i9;?<6<;je:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>5<7s-;:?k46189'<<2=l:=0(<>k2;0254=#no:1=989;I::5>h6:<=1=8ki;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`1541=93>1<7>t$030b??612.3594k369'55b52;;:=6*if182030<@13:7c?=56821c65<5<:783>5}#989m64?6;%::0?c6k2.:>92d:>89514d2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd59:k1=7;50;2x 474n33:56*7958f02=#99n96??<2:&eb5<6;180D57>;o3112<6=o80e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg46;00:6;4?:1y'545a20;27)664;af0>"68m81><==;I::5>h6:<=1=8h<;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`156b=93=1<7>t$030b??612.3594l7d9'55b52;;8>6F7909m573028?m86g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:8>j6<48:183!76;o02=45+8869g=`<,8:o>7<>339K<<7;7?:f49jh590;8 =?32ki27)??d381564<@13:7c?=56821c05<5<6=44i9c5>5<<7>3-2287j86:&24a4=:8997)hi0;374==O00;0b<<:7;36b2=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj;;>o7?57;294~"69:l15<74$9;7>f5a3-;;h?4=1208L=?63g;99:4>5g:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo<>5g82>2<729q/=<=i:83:?!>><3i8j6*>0e0964553A22=6`>245950`>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`150c=93=1<7>t$030b??612.3594l3g9'55b52;;8>6F7909m573028?mm6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:8<;6<48:183!76;o02=45+8869g6`<,8:o>7<>339K<<7;7?:fc9jh590;8 =?32j9m7)??d381564<@13:7c?=56821ce5<5<6=44i9c5>5<0;6=u+101e><7>3-2287mf:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd59?91=7950;2x 474n33:56*7958`7c=#99n96??<2:J;=4=i9;?<6<;ie:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi><8;:084>5<7s-;:?k46189'<<2=k:l0(<>k2;0277=O00;0b<<:7;36bc=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb3351?7=?3:112d9=4?<,13?6n=i;%33`7<59:80D57>;o3112<6>9:0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg46>?0:6:4?:1y'545a20;27)664;a0b>"68m81><==;I::5>h6:<=1=;>>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th9=;951;594?6|,8;8j77>9:&;=1N?181e=?;8:0436>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm204;>4<0290;w)?>3g8:5<=#00>1o>h4$02g6?46;;1C44?4n0063?718:1b4l?50;9j50z&256`=1830(57;:b1e?!77l;09=><4H9;2?k75=>0::=:4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?e4n2.:>92d:>8951726?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=17`95?1=83:p("?1=0h?k5+11f1>774:2B35<5a1374>407>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a640d280<6=4?{%327c<>901/44:5c2d8 46c:38:??5G8838j442?3;=<:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;;=h7?57;294~"69:l15<74$9;7>f5a3-;;h?4=1208L=?63g;99:4>61:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo<>6d82>2<729q/=<=i:83:?!>><3i8j6*>0e0964553A22=6`>2459536>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`153`=93=1<7>t$030b??612.3594l3g9'55b52;;8>6F7909m573028<;m6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:8=;6<48:183!76;o02=45+8869g6`<,8:o>7<>339K<<7;7?90c9jh590;8 =?32j9m7)??d381564<@13:7c?=568225e5<5<6=44i9c5>5<0;6=u+101e><7>3-2287mf:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd59>91=7950;2x 474n33:56*7958`7c=#99n96??<2:J;=4=i9;?<6<8?e:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi><9::084>5<7s-;:?k46189'<<2=k:l0(<>k2;0277=O00;0b<<:7;354c=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb3340?7=?3:112d9=4?<,13?6n=i;%33`7<59:80D57>;o3112<6>8:0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg46??0:6:4?:1y'545a20;27)664;a0b>"68m81><==;I::5>h6:<=1=;?>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th9=:951;594?6|,8;8j77>9:&;=1N?181e=?;8:0426>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm205;>4<0290;w)?>3g8:5<=#00>1o>h4$02g6?46;;1C44?4n0063?719:1b4l?50;9j50z&256`=1830(57;:b1e?!77l;09=><4H9;2?k75=>0::<:4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?e4n2.:>92d:>8951736?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=16`95?1=83:p("?1=0h?k5+11f1>774:2B35<5a1374>406>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a6450280<6=4?{%327c<>901/44:5c6c8 46c:38:??5G8838j442?3;==:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;;?;7?53;294~"69:l15<74$9;7>`2c3-;;h?4=1208L=?63g;99:4>60:8m=g62900e5o=:188k`1c2900qo<>4082>2<729q/=<=i:83:?!>><3i=h6*>0e0964553A22=6`>2459537>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`156`=93>1<7>t$030b??612.3594j219'55b52;;8>6*if1827c?<@13:7c?=568224g5<5<:483>5}#989m64?6;%::0?c712.:1<75`e6f94?=zj;;8i7?53;294~"69:l15<74$9;7>`2b3-;;h?4=1208 c`7289m56F7909m573028<:o6g7a083>>o?i;0;66aj7e83>>{e:8?26<4<:183!76;o02=45+8869a1b<,8:o>7<>339'bc6=9=:37E661:l2601=9?;o7d6n1;29?l>f:3:17bk8d;29?xd5:kk1=7850;2x 474n33:56*7958f5f=#99n96?e:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a67g>280>6=4?{%327c<>901/44:5e558 46c:389m<5+fg2956>63A22=6`>2459537a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`16d>=93<1<7>t$030b??612.3594le59'55b52;8j=6F7909m573028<9<6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm23c`>4<0290;w)?>3g8:5<=#00>1o:k4$02g6?45i81C44?4n0063?71:81b4l?50;9j50z&256`=1830(57;:b:e?!77l;09>l?4H9;2?k75=>0::?<4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?dd12.:>92d:>8951700?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=2ba95?0=83:p("?1=0o;;5+11f1>74f92.mj=4>4158L=?63g;99:4>6368m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg45kk0:6:4?:1y'545a20;27)664;a0b>"68m81>?o>;I::5>h6:<=1=;<:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th9>nk51;594?6|,8;8j77>9:&;=1N?181e=?;8:0412>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm23ag>4<0290;w)?>3g8:5<=#00>1o>h4$02g6?45i81C44?4n0063?71:>1b4l?50;9j50z&256`=1830(57;:b1e?!77l;09>l?4H9;2?k75=>0::?64i9c2>5<5<5<5<:683>5}#989m64?6;%::0?e4n2.:>92d:>895170:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=2e395?1=83:p("?1=0h?k5+11f1>74f92B35<5a1374>405i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a67b5280<6=4?{%327c<>901/44:5c2d8 46c:389m<5G8838j442?3;=>o5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;8o?7?57;294~"69:l15<74$9;7>f5a3-;;h?4=2`38L=?63g;99:4>63a8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo<=d582>2<729q/=<=i:83:?!>><3i8j6*>0e0967g63A22=6`>2459534c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`16a3=93=1<7>t$030b??612.3594l3g9'55b52;8j=6F7909m573028<9i6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:;n=6<48:183!76;o02=45+8869g6`<,8:o>7<=a09K<<7;7?92g9jh590;8 =?32j9m7)??d3816d7<@13:7c?=56822665<5<6=44i9c5>5<0;6=u+101e><7>3-2287mf:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5:m21=7950;2x 474n33:56*7958`7c=#99n96??jn:084>5<7s-;:?k46189'<<2=k:l0(<>k2;01e4=O00;0b<<:7;3576=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb30gf?7=?3:112d9=4?<,13?6n=i;%33`7<5:h;0D57>;o3112<6>:>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg45lj0:6:4?:1y'545a20;27)664;a0b>"68m81>?o>;I::5>h6:<=1=;=:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th9>ij51;594?6|,8;8j77>9:&;=1N?181e=?;8:0402>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm23ff>4<0290;w)?>3g8:5<=#00>1o>h4$02g6?45i81C44?4n0063?71;>1b4l?50;9j50z&256`=1830(57;:b1e?!77l;09>l?4H9;2?k75=>0::>64i9c2>5<5<5<5<:683>5}#989m64?6;%::0?e4n2.:>92d:>895171:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=2d395?1=83:p("?1=0h?k5+11f1>74f92B35<5a1374>404i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a67c5280<6=4?{%327c<>901/44:5c2d8 46c:389m<5G8838j442?3;=?o5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;8n87?57;294~"69:l15<74$9;7>f5a3-;;h?4=2`38L=?63g;99:4>62a8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo<=e282>2<729q/=<=i:83:?!>><3i8j6*>0e0967g63A22=6`>2459535c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`16`3=93=1<7>t$030b??612.3594l3g9'55b52;8j=6F7909m573028<8i6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:;o=6<48:183!76;o02=45+8869g6`<,8:o>7<=a09K<<7;7?93g9jh590;8 =?32j9m7)??d3816d7<@13:7c?=56822165<5<6=44i9c5>5<0;6=u+101e><7>3-2287m:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5:l31=7950;2x 474n33:56*7958`7c=#99n96??kn:084>5<7s-;:?k46189'<<2=k:l0(<>k2;01e4=O00;0b<<:7;3506=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb30b2?7=?3:112d9=4?<,13?6n9n;%33`7<5:h;0D57>;o3112<6>=>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg45j?0:6>4?:1y'545a20;27)664;g7`>"68m81>?o>;I::5>h6:<=1=;::;h:b5?6=3`2j>7>5;ng4`?6=3th9>o>51;594?6|,8;8j77>9:&;=1m1/==j=:30b5>N?181e=?;8:0472>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm23cf>4<3290;w)?>3g8:5<=#00>1i?>4$02g6?45i81/jk>512d;?M>>92d:>8951764?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd5:hl1=7;50;2x 474n33:56*7958f4<=#99n96?;o3112<6>=20e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg45im0:6>4?:1y'545a20;27)664;g7a>"68m81>?o>;%de4?74n11C44?4n0063?71<01b4l?50;9j50z&256`=1830(57;:d6g?!77l;09>l?4$gd3>427?2B35<5a1374>403i2c3m<4?::k;e7<722en;i4?::a6742280?6=4?{%327c<>901/44:5e0c8 46c:389=k5+fg2956c13A22=6`>2459532e3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th9>?l51;694?6|,8;8j77>9:&;=1"an90:?h84H9;2?k75=>0::9m4i9c2>5<5<<7>3-2287k>2:&24a4=:;;m7)hi0;31ag=O00;0b<<:7;350a=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb3017?7==3:112d9=4?<,13?6i78;%33`7<5:8l0(kh?:00ff>N?181e=?;8:047a>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm22;7>4<3290;w)?>3g8:5<=#00>1i512g6?M>>92d:>895176e?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd5;0k1=7:50;2x 474n33:56*7958f1`=#99n96?=7e:&eb5<6;l?0D57>;o3112<6><:0e5o>:188m=g52900e5o<:188k`1c2900qo<<9182>0<729q/=<=i:83:?!>><3o:>6*>0e0966>b3-lm<7?=e`9K<<7;7?9509jh590;8 =?32m3<7)??d3817=c<,ol;6<5<7s-;:?k46189'<<2=m=?0(<>k2;67e4=#no:1=?h>;I::5>h6:<=1=;;<;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`70gc=93=1<7>t$030b??612.3594l8c9'55b52=>j=6F7909m573028<>86g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<=k=6<49:183!76;o02=45+8869`5b<,8:o>7:;a09K<<7;7?9549j50z&256`=1830(57;:d3a?!77l;0?8l?4$gd3>44b=2B35<5a1374>402>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi8>7::086>5<7s-;:?k46189'<<2=k>90(<>k2;609i;I::5>h6:<=1=;;8;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th?8l751;694?6|,8;8j77>9:&;=1N?181e=?;8:046<>o?i80;66g7a383>>o?i:0;66aj7e83>>{e<=:36<4::183!76;o02=45+8869`56<,8:o>7:<8g9'bc6=9=<<7E661:l2601=9??27d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd3;o3112<6>:188m=g52900e5o<:188m=g32900ch9k:188yg24n>0:684?:1y'545a20;27)664;g0<>"68m818>h>;%de4?75m>1C44?4n0063?71=k1b4l?50;9j50z&256`=1830(57;:b:;?!77l;0?8l?4$gd3>44b=2B35<5a1374>402k2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a06`?280=6=4?{%327c<>901/44:5e358 46c:3>8j<5+fg2957c03A22=6`>2459533c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th??k751;594?6|,8;8j77>9:&;=1N?181e=?;8:046a>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm42da>4<2290;w)?>3g8:5<=#00>1hi>4$02g6?24n81/jk>512g;?M>>92d:>895177e?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;38695?2=83:p("?1=0io>5+11f1>15?n2.mj=4>36d8L=?63g;99:4>6728m=g62900e5o=:188m=g42900ch9k:188yg23ik0:6:4?:1y'545a20;27)664;ff7>"68m8189o>;I::5>h6:<=1=;8>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th??k851;694?6|,8;8j77>9:&;=1"an90:?h64H9;2?k75=>0::;<4i9c2>5<5<<7>3-2287k91:&24a4=<:2m7)hi0;3722=O00;0b<<:7;3526=n0h;1<75f8`094?=hm>n1<75rb51b0?7==3:112d9=4?<,13?6nl7;%33`7<3;0i0(kh?:0155>N?181e=?;8:0450>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm42c4>4<2290;w)?>3g8:5<=#00>1oo64$02g6?241j1/jk>51242?M>>92d:>8951746?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;3`:95?3=83:p("?1=0hn55+11f1>15>k2.mj=4>3728L=?63g;99:4>6748m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:0<729q/=<=i:83:?!>><3ii46*>0e0906?d3-lm<7?<619K<<7;7?9669jh590;8 =?32mo=7)??d38775<<7>3-2287k;7:&24a4=<:3h7)hi0;31fa=O00;0b<<:7;352<=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb56e6?7==3:112d9=4?<,13?6h:8;%33`7<3N?181e=?;8:045e>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm4243>4<1290;w)?>3g8:5<=#00>1hlk4$02g6?25m=1C44?4n0063?71>k1b4l?50;9jh590;8 =?32moj7)??d3876`2<,ol;6<=i6:J;=4=i9;?<6<89c:k;e4<722c3m?4?::mf3a<722wi8>:m:080>5<7s-;:?k46189'<<2=llk0(<>k2;61a1=O00;0b<<:7;352a=n0h;1<75f8`094?=hm>n1<75rb517=?7=;3:112d9=4?<,13?6ikn;%33`7<3:l>0D57>;o3112<6>?o0e5o>:188m=g52900ch9k:188yg24=;0:6>4?:1y'545a20;27)664;ffe>"68m818?k;;I::5>h6:<=1=;8i;h:b5?6=3`2j>7>5;ng4`?6=3th??8k51;594?6|,8;8j77>9:&;=1N?181e=?;8:0444>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm166b>4<0290;w)?>3g8:5<=#00>1i9:4$02g6?72l11C44?4n0063?71?81b4l?50;9j50z&256`=1830(57;:d3:?!77l;0:9i64H9;2?k75=>0:::<4i9c2>5<5<5<5<:483>5}#989m64?6;%::0?c2<2.:5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj8=>;7?55;294~"69:l15<74$9;7>`303-;;h?4>5e:8 c`7288i>6F7909m573028<<86g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e9>?;6<48:183!76;o02=45+8869a4?<,8:o>7?:d99K<<7;7?9749jh590;8 =?32l9m7)??d3821a><@13:7c?=56822205<5<6=44i9c5>5<0;6=u+101e><7>3-2287k;4:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?5<7s-;:?k46189'<<2=m830(<>k2;36`==O00;0b<<:7;353<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb056a?7=?3:112d9=4?<,13?6h=i;%33`7<6=m20D57>;o3112<6>>k0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg70>90:6:4?:1y'545a20;27)664;g2=>"68m81=8j7;I::5>h6:<=1=;9m;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;;:51;594?6|,8;8j77>9:&;=1N?181e=?;8:044g>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm1641>4<0290;w)?>3g8:5<=#00>1i>h4$02g6?72l11C44?4n0063?71?m1b4l?50;9j3;1;7>50z&256`=1830(57;:ec;?!77l;0:9i64H9;2?k75=>0:::k4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?c612.:>92d:>895175e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>77a95?1=83:p("?1=0n?k5+11f1>43c02B35<5a1374>40?82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a520f280<6=4?{%327c<>901/44:5e2d8 46c:3;>h55G8838j442?3;=4<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8==i7?57;294~"69:l15<74$9;7>ag?3-;;h?4>5e:8L=?63g;99:4>6908m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?87182>2<729q/=<=i:83:?!>><3o:56*>0e0950b?3A22=6`>245953>43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2322=93=1<7>t$030b??612.3594j3g9'55b528?o46F7909m573028<386g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9>=96<48:183!76;o02=45+8869a6`<,8:o>7?:d99K<<7;7?9849jh590;8 =?32mk37)??d3821a><@13:7c?=56822=05<5<6=44i9c5>5<0;6=u+101e><7>3-2287k>9:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?>i1=7950;2x 474n33:56*7958f7c=#99n96<;k8:J;=4=i9;?<6<878:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi=:9n:084>5<7s-;:?k46189'<<2=m:l0(<>k2;36`==O00;0b<<:7;35<<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb054a?7=?3:112d9=4?<,13?6io7;%33`7<6=m20D57>;o3112<6>1k0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg70090:6:4?:1y'545a20;27)664;g0b>"68m81=8j7;I::5>h6:<=1=;6m;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;5:51;594?6|,8;8j77>9:&;=1N?181e=?;8:04;g>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm16:1>4<0290;w)?>3g8:5<=#00>1hl64$02g6?72l11C44?4n0063?710m1b4l?50;9j3;1;7>50z&256`=1830(57;:ec4?!77l;0:9i64H9;2?k75=>0::5k4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?c4n2.:>92d:>89517:e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>79a95?1=83:p("?1=0om55+11f1>43c02B35<5a1374>40>82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a52>f280<6=4?{%327c<>901/44:5d`:8 46c:3;>h55G8838j442?3;=5<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8=3i7?57;294~"69:l15<74$9;7>ag03-;;h?4>5e:8L=?63g;99:4>6808m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?8b182>2<729q/=<=i:83:?!>><3o?86*>0e0950b?3A22=6`>245953?43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`23g7=93?1<7>t$030b??612.3594j559'55b528?o46F7909m573028<286g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e9>h?6<48:183!76;o02=45+8869a4?<,8:o>7?:d99K<<7;7?9949jh590;8 =?32l;27)??d3821a><@13:7c?=56822<05<5<6=44i9c5>5<0;6=u+101e><7>3-2287kf:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?081=7950;2x 474n33:56*7958f7c=#99n96<;k8:J;=4=i9;?<6<868:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi=:79:084>5<7s-;:?k46189'<<2=lh20(<>k2;36`==O00;0b<<:7;35=<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb05:12d9=4?<,13?6h?6;%33`7<6=m20D57>;o3112<6>0k0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg701j0:6:4?:1y'545a20;27)664;g0b>"68m81=8j7;I::5>h6:<=1=;7m;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;4o51;594?6|,8;8j77>9:&;=1N?181e=?;8:04:g>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm16;f>4<0290;w)?>3g8:5<=#00>1hl64$02g6?72l11C44?4n0063?711m1b4l?50;9j50z&256`=1830(57;:d1e?!77l;0:9i64H9;2?k75=>0::4k4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?bf02.:>92d:>89517;e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>7`095?1=83:p("?1=0om55+11f1>43c02B35<5a1374>40f82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a52g1280<6=4?{%327c<>901/44:5d`58 46c:3;>h55G8838j442?3;=m<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8=j47?57;294~"69:l15<74$9;7>`5a3-;;h?4>5e:8L=?63g;99:4>6`08m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?8ab82>2<729q/=<=i:83:?!>><3nj46*>0e0950b?3A22=6`>245953g43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`23dg=93=1<7>t$030b??612.3594ka99'55b528?o46F7909m573028>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9>kn6<48:183!76;o02=45+8869`d1<,8:o>7?:d99K<<7;7?9a49jh590;8 =?32l;<7)??d3821a><,ol;6<:87:J;=4=i9;?<6<8n6:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a5=2d280=6=4?{%327c<>901/44:5dd68 46c:3;>h55G8838j442?3;=m:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb0a12?7=>3:112d9=4?<,13?6h?8;%33`7<6=m20(kh?:064=>N?181e=?;8:04b<>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e91>i6<49:183!76;o02=45+8869a10<,8:o>7?:d99'bc6=9==j7E661:l2601=9?k27d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>bb595?0=83:p("?1=0n885+11f1>43c02.mj=4>46;8L=?63g;99:4>6`c8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg7ekj0:6;4?:1y'545a20;27)664;fb`>"68m81=8j7;%de4?73?11C44?4n0063?71ik1b4l?50;9jh590;8 =?32l>>7)??d3821a><,ol;6<:8a:J;=4=i9;?<6<8nc:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a5f66280=6=4?{%327c<>901/44:5e058 46c:3;>h55+fg29511?3A22=6`>245953gc3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th:4o;51;494?6|,8;8j77>9:&;=1"an90:8:94H9;2?k75=>0::lk4i9c2>5<5<5<0;6=u+101e><7>3-2287jid:&24a4=;o887E661:l2601=9?km7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4n<21=7950;2x 474n33:56*7958gba=#99n96>h=3:J;=4=i9;?<6<8m0:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi?k;6:084>5<7s-;:?k46189'<<2=lon0(<>k2;1e66=O00;0b<<:7;35f4=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2d6e?7=?3:112d9=4?<,13?6ihk;%33`7<4n;90D57>;o3112<6>k80e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5a=k0:6:4?:1y'545a20;27)664;fe`>"68m81?k<<;I::5>h6:<=1=;l<;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8j?651;794?6|,8;8j77>9:&;=1"an90:?>o4H9;2?k75=>0::o:4i9c2>5<5<5<44>:483>5}#989m64?6;%::0?c6:2.:1<75`e6f94?=zj:l9i7?55;294~"69:l15<74$9;7>`753-;;h?4>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;o9;6<4::183!76;o02=45+8869a44<,8:o>7=i229'bc6=9:937E661:l2601=9?h<7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4n:91=7;50;2x 474n33:56*7958f57=#99n96>h=3:&eb5<6;:20D57>;o3112<6>k20e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg5a;=0:684?:1y'545a20;27)664;g26>"68m81?k<<;%de4?74;>1C44?4n0063?71j01b4l?50;9j50z&256`=1830(57;:d31?!77l;08j?=4$gd3>454?2B35<5a1374>40ei2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a7c51280>6=4?{%327c<>901/44:5e008 46c:39m>>5+fg2956513A22=6`>245953de3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`0b61=93?1<7>t$030b??612.3594j139'55b52:l9?6*if182760<@13:7c?=56822ge5<5<<7>3-2287k>2:&24a4=;o887)hi0;307d=O00;0b<<:7;35fa=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb2d0f?7==3:112d9=4?<,13?6h?=;%33`7<4n;90(kh?:0101>N?181e=?;8:04aa>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm3g1g>4<2290;w)?>3g8:5<=#00>1i<<4$02g6?5a::1/jk>51216?M>>92d:>89517`e?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0n=?5+11f1>6`5;2.mj=4>3268L=?63g;99:4>6b28m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=i4282>0<729q/=<=i:83:?!>><3o:>6*>0e097c443-lm<7?<359K<<7;7?9c09jh:3;393?6=8r.:=>h590;8 =?32mlo7)??d380b75<@13:7c?=56822f45<5<6=44i9c5>5<<7>3-2287jma:&24a4=;o887E661:l2601=9?i87d6n1;29?l>f:3:17bk8d;29?xd4n;<1=7=50;2x 474n33:56*7958f0a=#99n96>h=3:J;=4=i9;?<6<8l4:k;e4<722c3m?4?::mf3a<722wi?khi:084>5<7s-;:?k46189'<<2=m:o0(<>k2;1e1a=O00;0b<<:7;35g0=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2de3?7=>3:112d9=4?<,13?6h?l;%33`7<4n;o3112<6>j<0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:?cc82>2<729q/=<=i:83:?!>><3o:56*>0e097c3c3A22=6`>245953e03`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`74a5=93?1<7>t$030b??612.3594j359'55b52:l>h6*if1826d`<@13:7c?=56822f>5<5<<7>3-2287k>2:&24a4=;o?o7)hi0;31ec=O00;0b<<:7;35g<=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb5037?7==3:112d9=4?<,13?6ijl;%33`7<4nN?181e=?;8:04`e>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm4326>4<2290;w)?>3g8:5<=#00>1i>;4$02g6?5a=m1/jk>513c`?M>>92d:>89517aa?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;04195?1=83:p("?1=0h=85+11f1>6`2l2B35<5a1374>40dk2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a05b6280?6=4?{%327c<>901/44:5e5a8 46c:39m9i5G8838j442?3;=oi5f8`394?=n0h81<75f8`194?=hm>n1<75rb50b4?7=?3:112d9=4?<,13?6h;?;%33`7<3:930D57>;o3112<6>jo0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg251j0:6:4?:1y'545a20;27)664;ae4>"68m818?>6;I::5>h6:<=1=;mi;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?>:>51;594?6|,8;8j77>9:&;=1N?181e=?;8:04g4>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm43`2>4<2290;w)?>3g8:5<=#00>1i>:4$02g6?25801/jk>513ca?M>>92d:>89517f2?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;22g95?5=83:p("?1=0on45+11f1>14712B35<5a1374>40c:2c3m<4?::k;e7<722en;i4?::a072a28086=4?{%327c<>901/44:5dc;8 46c:3>9<45G8838j442?3;=h>5f8`394?=n0h81<75`e6f94?=zj=8?n7?55;294~"69:l15<74$9;7>`753-;;h?4;21;8 c`7288i=6F7909m573028>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<;>26<4::183!76;o02=45+8869a62<,8:o>7:=089'bc6=9;h;7E661:l2601=9?n>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd3::i1=7;50;2x 474n33:56*7958f70=#99n969;o3112<6>m<0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg25;>0:6;4?:1y'545a20;27)664;fb=>"68m818?>6;%de4?75j81C44?4n0063?71l>1b4l?50;9j62;391?6=8r.:=>h590;8 =?32l;97)??d381442<,ol;6<=9n:084>5<7s-;:?k46189'<<2=j080(<>k2;0351=O00;0b<<:7;35`<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb325g?7==3:112d9=4?<,13?6h;k;%33`7<588>0(kh?:00b=>N?181e=?;8:04ge>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm142:>4<1290;w)?>3g8:5<=#00>1hh:4$02g6?73k81C44?4n0063?71lk1b4l?50;9jh590;8 =?32m?97)??d3820f7<,ol;6<=67:J;=4=i9;?<6<8kc:k;e4<722c3m?4?::k;e6<722en;i4?::a5067280<6=4?{%327c<>901/44:5e108 46c:3;?o<5G8838j442?3;=hi5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8?;=7?57;294~"69:l15<74$9;7>`3>3-;;h?4>4b38L=?63g;99:4>6eg8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?:0d82>3<729q/=<=i:83:?!>><3io:6*>0e0951e63-lm<7?=bg9K<<7;7?9dg9j50z&256`=1830(57;:b`6?!77l;0:8n?4$gd3>45?>2B35<5a1374>40b82c3m<4?::k;e7<722c3m>4?::mf3a<722wi=9m9:086>5<7s-;:?k46189'<<2=l:;0(<>k2;37g4=#no:1=>69;I::5>h6:<=1=;k>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th:9nk51;794?6|,8;8j77>9:&;=1"an90:>oh4H9;2?k75=>0::h<4i9c2>5<5<5<:683>5}#989m64?6;%::0?e1l2.:>92d:>89517g0?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>4gf95?1=83:p("?1=0h:i5+11f1>42d92B35<5a1374>40b<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a51`d280<6=4?{%327c<>901/44:5c7f8 46c:3;?o<5G8838j442?3;=i85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8>mn7?57;294~"69:l15<74$9;7>f0c3-;;h?4>4b38L=?63g;99:4>6d48m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?;f`82>2<729q/=<=i:83:?!>><3i=h6*>0e0951e63A22=6`>245953c03`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`20c?=93=1<7>t$030b??612.3594l6e9'55b528>h=6F7909m573028>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9=l36<48:183!76;o02=45+8869g3b<,8:o>7?;c09K<<7;7?9e89jh590;8 =?32j5<5<6=44i9c5>5<0;6=u+101e><7>3-2287m9d:&24a4=9=i:7E661:l2601=9?oi7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd65<7s-;:?k46189'<<2=k?n0(<>k2;37g4=O00;0b<<:7;35aa=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb06e7?7=?3:112d9=4?<,13?6n8k;%33`7<6;o3112<6>lo0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg73n;0:6:4?:1y'545a20;27)664;a5`>"68m81=9m>;I::5>h6:<=1=;ki;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:8k?51;594?6|,8;8j77>9:&;=1m1/==j=:06`5>N?181e=?;8:04e4>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm15ge>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?73k81C44?4n0063?71n81b4l?50;9j50z&256`=1830(57;:b4g?!77l;0:8n?4H9;2?k75=>0::k<4i9c2>5<5<5<5<:583>5}#989m64?6;%::0?b4?2.:l5G8838j442?3;=j>5f8`394?=n0h81<75f8`194?=hm>n1<75rb06g=?7=<3:112d9=4?<,13?6i=8;%33`7<6N?181e=?;8:04e0>o?i80;66g7a383>>o?i:0;66aj7e83>>{e9=nj6<4;:183!76;o02=45+8869`61<,8:o>7?;c09'bc6=9=827E661:l2601=9?l>7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl>4e`95?2=83:p("?1=0o?:5+11f1>42d92.mj=4>43:8L=?63g;99:4>6g48m=g62900e5o=:188m=g42900ch9k:188yg73lj0:694?:1y'545a20;27)664;f03>"68m81=9m>;%de4?73:11C44?4n0063?71n>1b4l?50;9jh590;8 =?32m9<7)??d3820f7<,ol;6<:=7:J;=4=i9;?<6<8i8:k;e4<722c3m?4?::k;e6<722en;i4?::a51bb280?6=4?{%327c<>901/44:5d258 46c:3;?o<5+fg2951403A22=6`>245953`>3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th:8ih51;194?6|,8;8j77>9:&;=1"an90:8?o4H9;2?k75=>0::ko4i9c2>5<5<:283>5}#989m64?6;%::0?bbi2.:`203-;;h?4=5b28 c`7288m?6F7909m573028>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e:==<6<4::183!76;o02=45+8869a11<,8:o>7<;709'bc6=9;hn7E661:l2601=9?lo7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5<1n1=7=50;2x 474n33:56*7958ga3=#99n96?:81:J;=4=i9;?<6<8ie:k;e4<722c3m?4?::mf3a<722wi>997:086>5<7s-;:?k46189'<<2=kk20(<>k2;0734=#no:1=>8<;I::5>h6:<=1=;hi;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th98:j51;794?6|,8;8j77>9:&;=1"an90:?;=4H9;2?k75=>0:;=>4i9c2>5<5<5<:483>5}#989m64?6;%::0?ee02.:1<75`e6f94?=zj;><57?55;294~"69:l15<74$9;7>fd?3-;;h?4=4638 c`7289=>6F7909m573028=;>6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e:<3?6<49:183!76;o02=45+8869a13<,8:o>7<:779'bc6=9;l?7E661:l2601=9>:87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl=58195?1=83:p("?1=0h4o5+11f1>730>2B35<5a1374>417<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a601e280=6=4?{%327c<>901/44:5d1f8 46c:38>;;5G8838j442?3;<<85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb374g?7=>3:112d9=4?<,13?6h?m;%33`7<5=><0(kh?:00f2>N?181e=?;8:0532>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e:=7<;659'bc6=9:2;7E661:l2601=9>:<7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5=>o1=7:50;2x 474n33:56*7958`e==#99n96?;86:J;=4=i9;?<6<9?8:k;e4<722c3m?4?::k;e6<722en;i4?::a61bc280>6=4?{%327c<>901/44:5d128 46c:38?:95+fg29510>3A22=6`>2459526>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`112b=93?1<7>t$030b??612.3594k359'55b52;?<:6*if1826c2<@13:7c?=568235g5<5<<7>3-2287k<8:&24a4=:=i=7)hi0;31a==O00;0b<<:7;344g=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb374b?7==3:112d9=4?<,13?6n67;%33`7<5=><0(kh?:00f2>N?181e=?;8:053g>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm25ag>4<1290;w)?>3g8:5<=#00>1i?94$02g6?43k?1/jk>513g;?M>>92d:>895162g?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd59j?:086>5<7s-;:?k46189'<<2=lm:0(<>k2;07g3=#no:1=>k6;I::5>h6:<=1=:>i;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th98;751;694?6|,8;8j77>9:&;=1"an90:?5>4H9;2?k75=>0:;<>4i9c2>5<5<0;6=u+101e><7>3-2287jj3:&24a4=:<==7E661:l2601=9>;:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5;o3112<6?880e5o>:188m=g52900e5o<:188k`1c2900qo<;6982>6<729q/=<=i:83:?!>><3o==6*>0e0961033-lm<7?;689K<<7;7?8129jh590;8 =?32l>o7)??d381040<,ol;6<:?2:J;=4=i9;?<6<9>4:k;e4<722c3m?4?::mf3a<722wi>9=l:086>5<7s-;:?k46189'<<2=jkl0(<>k2;0753=#no:1=?m?;I::5>h6:<=1=:?:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th98>l51;494?6|,8;8j77>9:&;=1;1/==j=:3622>N?181e=?;8:0522>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e:=>:6<49:183!76;o02=45+8869a41<,8:o>7<;179K<<7;7?8169j50z&256`=1830(57;:bc;?!77l;098<84$gd3>450m2B35<5a1374>41602c3m<4?::k;e7<722c3m>4?::mf3a<722wi>9?n:087>5<7s-;:?k46189'<<2=kh20(<>k2;0753=#no:1=>9k;I::5>h6:<=1=:?6;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`104`=93?1<7>t$030b??612.3594l889'55b52;>::6*if18272c<@13:7c?=568234g5<5<<7>3-2287m79:&24a4=:=;=7)hi0;303a=O00;0b<<:7;345g=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb362`?7=;3:112d9=4?<,13?6ik6;%33`7<5<8<0D57>;o3112<6?8i0e5o>:188m=g52900ch9k:188yg43900:6>4?:1y'545a20;27)664;ff=>"68m81>9?9;I::5>h6:<=1=:?k;h:b5?6=3`2j>7>5;ng4`?6=3th94=851;494?6|,8;8j77>9:&;=1"an90:>4m4H9;2?k75=>0:;5<5<5<<7>3-2287j93:&24a4=:f7f3-;;h?4=5dc8 c`72882n6F7909m573028=9<6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm2927>4<1290;w)?>3g8:5<=#00>1h;m4$02g6?42mh1/jk>513;a?M>>92d:>8951602?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd5?ok1=7850;2x 474n33:56*7958`75=#99n96?;ja:&eb5<6:0k0D57>;o3112<6?;80e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo<8f882>3<729q/=<=i:83:?!>><3i;:6*>0e0960cf3-lm<7?=9`9K<<7;7?8229j50z&256`=1830(57;:cfe?!77l;099ho4$gd3>44>12B35<5a1374>415<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi>5>?:085>5<7s-;:?k46189'<<2=km?0(<>k2;06ad=#no:1=?76;I::5>h6:<=1=:<:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`1=c0=93=1<7>t$030b??612.3594j189'55b52;?nm6F7909m573028=9:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:0l?6<48:183!76;o02=45+8869g5b<,8:o>7<:e`9K<<7;7?8269jh590;8 =?32m387)??d3811`g<@13:7c?=568237>5<5<6=44i9c5>5<0;6=u+101e><7>3-2287jn7:&24a4=:827d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5k:i1=7:50;2x 474n33:56*7958f1c=#99n96?;ja:&eb5<6<;;0D57>;o3112<6?;k0e5o>:188m=g52900e5o<:188k`1c2900qo<6eg82>3<729q/=<=i:83:?!>><3o:;6*>0e0960cf3-lm<7?=8g9K<<7;7?82c9j50z&256`=1830(57;:ecg?!77l;099ho4$gd3>44?n2B35<5a1374>415k2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi>4j6:085>5<7s-;:?k46189'<<2=m=?0(<>k2;06ad=#no:1=?7>;I::5>h6:<=1=:7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`1=ab=93<1<7>t$030b??612.3594j169'55b52;?nm6*if1826=c<@13:7c?=568237c5<5<6=44od5g>5<:783>5}#989m64?6;%::0?c6?2.:k5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb3;f`?7=>3:112d9=4?<,13?6iok;%33`7<5=lk0(kh?:06;1>N?181e=?;8:0504>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e:0ni6<49:183!76;o02=45+8869a13<,8:o>7<:e`9'bc6=9;2n7E661:l2601=9>9:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl=9d`95?0=83:p("?1=0n=:5+11f1>73bi2.mj=4>4978L=?63g;99:4>7208m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg4>m>0:6;4?:1y'545a20;27)664;g71>"68m81>8kn;%de4?730=1C44?4n0063?70;:1b4l?50;9jh590;8 =?32l>=7)??d3811`g<,ol;6<<60:J;=4=i9;?<6<9<4:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a6901/44:5e578 46c:38>il5+fg2957?73A22=6`>245952523`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th95h;51;494?6|,8;8j77>9:&;=1"an90:85:4H9;2?k75=>0:;>84i9c2>5<5<5<<7>3-2287k>7:&24a4=:`703-;;h?4=5dc8 c`728>3?6F7909m573028=846g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm26:a>4<4290;w)?>3g8:5<=#00>1hoo4$02g6?42mh1C44?4n0063?70;01b4l?50;9j50z&256`=1830(57;:e`b?!77l;099ho4H9;2?k75=>0:;>o4i9c2>5<5<:283>5}#989m64?6;%::0?bei2.:>92d:>895161a?l>f93:17d6n2;29?jc0l3:17pl=79:95?5=83:p("?1=0onl5+11f1>73bi2B35<5a1374>414k2c3m<4?::k;e7<722en;i4?::a62>028086=4?{%327c<>901/44:5dcc8 46c:38>il5G8838j442?3;adf3-;;h?4=5dc8L=?63g;99:4>72g8m=g62900e5o=:188k`1c2900qo<88582>6<729q/=<=i:83:?!>><3nim6*>0e0960cf3A22=6`>2459525a3`2j=7>5;h:b6?6=3fo5;|`13<7=9391<7>t$030b??612.3594kb`9'55b52;?nm6F7909m573028=?<6g7a083>>o?i;0;66aj7e83>>{e:>3;6<4<:183!76;o02=45+8869`gg<,8:o>7<:e`9K<<7;7?8409jh590;8 =?32mhj7)??d3811`g<@13:7c?=56823145<<7>3-2287jma:&24a4=:>87d6n1;29?l>f:3:17bk8d;29?xd5?1n1=7=50;2x 474n33:56*7958gfd=#99n96?;ja:J;=4=i9;?<6<9;4:k;e4<722c3m?4?::mf3a<722wi>:6l:080>5<7s-;:?k46189'<<2=lkk0(<>k2;06ad=O00;0b<<:7;3400=n0h;1<75f8`094?=hm>n1<75rb35;1?7=;3:112d9=4?<,13?6iln;%33`7<5=lk0D57>;o3112<6?=<0e5o>:188m=g52900ch9k:188yg40880:6;4?:1y'545a20;27)664;a;0>"68m81>8kn;%de4?75ml1C44?4n0063?70<>1b4l?50;9jh590;8 =?32j227)??d3811`g<,ol;6<;hm:085>5<7s-;:?k46189'<<2=k==0(<>k2;06ad=O00;0b<<:7;340<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj;=i?7?55;294~"69:l15<74$9;7>`523-;;h?4=5dc8 c`72892h6F7909m573028=?m6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e:0n<6<4::183!76;o02=45+8869a63<,8:o>7<:e`9'bc6=9:3h7E661:l2601=9>>i7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5i;;1=7:50;2x 474n33:56*7958f0d=#99n96?;ja:J;=4=i9;?<6<9;c:k;e4<722c3m?4?::k;e6<722en;i4?::a63`d280<6=4?{%327c<>901/44:5c578 46c:38>il5G8838j442?3;<8i5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;i8m7?57;294~"69:l15<74$9;7>f123-;;h?4=5dc8L=?63g;99:4>75g8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo2<729q/=<=i:83:?!>><3i<96*>0e0960cf3A22=6`>2459522a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`1g6>=93=1<7>t$030b??612.3594l749'55b52;?nm6F7909m573028=><6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:j9<6<48:183!76;o02=45+8869g23<,8:o>7<:e`9K<<7;7?8509jh590;8 =?32j=>7)??d3811`g<@13:7c?=56823045<5<6=44i9c5>5<<7>3-2287j>b:&24a4=:fg?3-;;h?4=5dc8 c`7289m96F7909m573028=>86g7a083>>o?i;0;66g7a283>>ib?m0;66sm27a4>4<4290;w)?>3g8:5<=#00>1hho4$02g6?42mh1/jk>5152:?M>>92d:>8951676?l>f93:17d6n2;29?jc0l3:17pl=7e595?5=83:p("?1=0oi45+11f1>73bi2.mj=4>4328L=?63g;99:4>7448m=g62900e5o=:188k`1c2900qo<9fd82>6<729q/=<=i:83:?!>><3nn56*>0e0960cf3-lm<7?;7?8569jh590;8 =?32mh27)??d3811`g<@13:7c?=568230>5<<7>3-2287jm9:&24a4=:n1<75rb3;g1?7=<3:112d9=4?<,13?6h?n;%33`7<5=lk0(kh?:01:g>N?181e=?;8:056e>o?i80;66g7a383>>o?i:0;66aj7e83>>{e:0im6<4<:183!76;o02=45+8869`g?<,8:o>7<:e`9'bc6=9=8=7E661:l2601=9>?i7d6n1;29?l>f:3:17bk8d;29?xd5mm81=7:50;2x 474n33:56*7958gf1=#99n96?m;2:&eb5<6;030D57>;o3112<6?:188m=g52900e5o<:188k`1c2900qo3<729q/=<=i:83:?!>><3njh6*>0e096f253-lm<7?<2d9K<<7;7?85e9j3;187>50z&256`=1830(57;:d3b?!77l;09o9<4$gd3>45>=2B35<5a1374>412m2c3m<4?::k;e7<722c3m>4?::mf3a<722wi>hj7:087>5<7s-;:?k46189'<<2=l0h0(<>k2;0`07=#no:1=>7:;I::5>h6:<=1=:;i;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`1aa2=93>1<7>t$030b??612.3594j1`9'55b52;i?>6*if18275<5<:583>5}#989m64?6;%::0?c6i2.:h5G8838j442?3;<:<5f8`394?=n0h81<75f8`194?=hm>n1<75rb3g`g?7=>3:112d9=4?<,13?6io6;%33`7<5k=80(kh?:06;4>N?181e=?;8:0556>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e:li?6<49:183!76;o02=45+8869`db<,8:o>7<87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl=ec395?0=83:p("?1=0n=:5+11f1>7e3:2.mj=4>4908L=?63g;99:4>7768m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg4bjh0:6;4?:1y'545a20;27)664;fb`>"68m81>n:=;%de4?73?m1C44?4n0063?70><1b4l?50;9jh590;8 =?32mk27)??d381g14<,ol;6<:71:J;=4=i9;?<6<996:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a6`d1280=6=4?{%327c<>901/44:5d`f8 46c:38h8?5+fg29511b3A22=6`>245952003`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th9io651;494?6|,8;8j77>9:&;=11/==j=:3a76>"an90:85?4H9;2?k75=>0:;;64i9c2>5<5<5<<7>3-2287k>7:&24a4=:j>97)hi0;373`=O00;0b<<:7;342<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj;oio7?56;294~"69:l15<74$9;7>agc3-;;h?4=c508 c`728>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm2dfg>4<1290;w)?>3g8:5<=#00>1i9;4$02g6?4d<;1/jk>515:3?M>>92d:>895164a?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd5mj81=7850;2x 474n33:56*7958gea=#99n96?m;2:&eb5<6<>i0D57>;o3112<6??i0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo3<729q/=<=i:83:?!>><3nj56*>0e096f253-lm<7?;7g9K<<7;7?86e9j50z&256`=1830(57;:e;7?!77l;09o9<4$gd3>420n2B35<5a1374>411m2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi>hmn:085>5<7s-;:?k46189'<<2=lh30(<>k2;0`07=#no:1=99m;I::5>h6:<=1=:8i;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`1af6=93<1<7>t$030b??612.3594j169'55b52;i?>6*if18202e<@13:7c?=56823265<5<6=44od5g>5<:783>5}#989m64?6;%::0?bfl2.:1<75f8`794?=hm>n1<75rb3aa12d9=4?<,13?6il6;%33`7<5k=80D57>;o3112<6?>80e5o>:188m=g52900ch9k:188yg4djj0:6>4?:1y'545a20;27)664;fa=>"68m81>n:=;I::5>h6:<=1=:9<;h:b5?6=3`2j>7>5;ng4`?6=3th9ool51;194?6|,8;8j77>9:&;=1N?181e=?;8:0540>o?i80;66g7a383>>ib?m0;66sm2b`b>4<4290;w)?>3g8:5<=#00>1ho74$02g6?4d<;1C44?4n0063?70?<1b4l?50;9j50z&256`=1830(57;:e`:?!77l;09o9<4H9;2?k75=>0:;:84i9c2>5<5<:283>5}#989m64?6;%::0?be12.:>92d:>8951654?l>f93:17d6n2;29?jc0l3:17pl=cb695?5=83:p("?1=0on45+11f1>7e3:2B35<5a1374>41002c3m<4?::k;e7<722en;i4?::a6fe428086=4?{%327c<>901/44:5dc;8 46c:38h8?5G8838j442?3;<;45f8`394?=n0h81<75`e6f94?=zj;ih>7?53;294~"69:l15<74$9;7>ad>3-;;h?4=c508L=?63g;99:4>76c8m=g62900e5o=:188k`1c2900qo6<729q/=<=i:83:?!>><3ni56*>0e096f253A22=6`>2459521e3`2j=7>5;h:b6?6=3fo5;|`1gf6=9391<7>t$030b??612.3594kb89'55b52;i?>6F7909m573028=>o?i;0;66aj7e83>>{e:jhm6<4<:183!76;o02=45+8869`g?<,8:o>7;7?87e9jh590;8 =?32mh27)??d381g14<@13:7c?=568232c5<<7>3-2287jm9:&24a4=:j>97E661:l2601=9>=m7d6n1;29?l>f:3:17bk8d;29?xd5kk:1=7=50;2x 474n33:56*7958gf<=#99n96?m;2:J;=4=i9;?<6<970:k;e4<722c3m?4?::mf3a<722wi>noi:080>5<7s-;:?k46189'<<2=lk30(<>k2;0`07=O00;0b<<:7;34<4=n0h;1<75f8`094?=hm>n1<75rb3aba?7=;3:112d9=4?<,13?6il6;%33`7<5k=80D57>;o3112<6?180e5o>:188m=g52900ch9k:188yg4dim0:6>4?:1y'545a20;27)664;fa=>"68m81>n:=;I::5>h6:<=1=:6<;h:b5?6=3`2j>7>5;ng4`?6=3th9oo951;194?6|,8;8j77>9:&;=1N?181e=?;8:05;0>o?i80;66g7a383>>ib?m0;66sm2b`5>4<4290;w)?>3g8:5<=#00>1ho74$02g6?4d<;1C44?4n0063?700<1b4l?50;9j50z&256`=1830(57;:e`:?!77l;09o9<4H9;2?k75=>0:;584i9c2>5<5<:283>5}#989m64?6;%::0?be12.:>92d:>89516:4?l>f93:17d6n2;29?jc0l3:17pl=cc195?5=83:p("?1=0on45+11f1>7e3:2B35<5a1374>41?02c3m<4?::k;e7<722en;i4?::a6fd528086=4?{%327c<>901/44:5dc;8 46c:38h8?5G8838j442?3;<445f8`394?=n0h81<75`e6f94?=zj;ii=7?53;294~"69:l15<74$9;7>ad>3-;;h?4=c508L=?63g;99:4>79c8m=g62900e5o=:188k`1c2900qo6<729q/=<=i:83:?!>><3ni56*>0e096f253A22=6`>245952>e3`2j=7>5;h:b6?6=3fo5;|`1gfd=9391<7>t$030b??612.3594kb89'55b52;i?>6F7909m573028=3o6g7a083>>o?i;0;66aj7e83>>{e:ji26<4<:183!76;o02=45+8869`g?<,8:o>7;7?88e9jh590;8 =?32mh27)??d381g14<@13:7c?=56823=c5<<7>3-2287ln0:&24a4=;??=7)hi0;301c=O00;0b<<:7;34n1<75rb2:74?7==3:112d9=4?<,13?6oo?;%33`7<4><<0(kh?:016b>N?181e=?;8:05:4>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm3961>4<2290;w)?>3g8:5<=#00>1nl>4$02g6?51=?1/jk>51273?M>>92d:>89516;2?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<85695?3=83:p("?1=0im=5+11f1>602>2.mj=4>3428L=?63g;99:4>7808m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=74782>0<729q/=<=i:83:?!>><3hj<6*>0e0973313-lm<7?<5d9K<<7;7?8929j6;8;391?6=8r.:=>h590;8 =?32kk;7)??d380200<,ol;6<=:e:J;=4=i9;?<6<964:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi?5:n:086>5<7s-;:?k46189'<<2=jh:0(<>k2;1513=#no:1=>;k;I::5>h6:<=1=:7:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th849m51;794?6|,8;8j77>9:&;=1"an90:?8j4H9;2?k75=>0:;484i9c2>5<5<5<:483>5}#989m64?6;%::0?df82.:1<75`e6f94?=zj:2><7?55;294~"69:l15<74$9;7>gg73-;;h?4<6448 c`7289>o6F7909m573028=246g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;1?96<4::183!76;o02=45+8869fd6<,8:o>7=9579'bc6=9:?i7E661:l2601=9>327d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd40<>1=7;50;2x 474n33:56*7958ae5=#99n96>8:6:&eb5<6;;o3112<6?0k0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg5?8l0:694?:1y'545a20;27)664;f:f>"68m81?;;9;I::5>h6:<=1=:7m;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`0<5`=93?1<7>t$030b??612.3594k969'55b52:<>:6*if1826f?<@13:7c?=568235<5<<7>3-2287j6b:&24a4=;??=7)hi0;30af=O00;0b<<:7;34=a=n0h;1<75f8`094?=n0h91<75`e6f94?=zj:=o57?57;294~"69:l15<74$9;7>f`33-;;h?4<6448L=?63g;99:4>78g8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=73782>1<729q/=<=i:83:?!>><3n2n6*>0e0973313-lm<7?;7?89g9j50z&256`=1830(57;:bd7?!77l;08:884H9;2?k75=>0:;l>4i9c2>5<5<5<5<44>:483>5}#989m64?6;%::0?ed?2.:1<75`e6f94?=zj:=om7?57;294~"69:l15<74$9;7>f`33-;;h?4<6448L=?63g;99:4>7`08m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=73b82>0<729q/=<=i:83:?!>><3o:86*>0e0973313-lm<7?=d99K<<7;7?8a29j9kb;393?6=8r.:=>h590;8 =?32jl?7)??d380200<@13:7c?=56823d25<5<6=44i9c5>5<<7>3-2287j71:&24a4=;??=7)hi0;31`2=O00;0b<<:7;34e0=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb2:01?7==3:112d9=4?<,13?6n6k;%33`7<4><<0(kh?:00g3>N?181e=?;8:05b2>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm391b>4<2290;w)?>3g8:5<=#00>1hl>4$02g6?51=?1/jk>513f5?M>>92d:>89516c4?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<83595?3=83:p("?1=0ihl5+11f1>602>2.mj=4>2b18L=?63g;99:4>7`:8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=73982>0<729q/=<=i:83:?!>><3i346*>0e0973313-lm<7?=d79K<<7;7?8a89j6<3;391?6=8r.:=>h590;8 =?32j8;7)??d380200<,ol;6<5<7s-;:?k46189'<<2=k;80(<>k2;1513=#no:1=?j:;I::5>h6:<=1=:om;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th84>?51;794?6|,8;8j77>9:&;=1"an90:>i:4H9;2?k75=>0:;lm4i9c2>5<5<5<i4>:483>5}#989m64?6;%::0?dcm2.:1<75`e6f94?=zj:<fd13-;;h?4<6448L=?63g;99:4>7`g8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=70282>2<729q/=<=i:83:?!>><3nm86*>0e0973313A22=6`>245952ga3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0221=93=1<7>t$030b??612.3594me79'55b52:<>:6F7909m573028=i<6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;?=>6<4::183!76;o02=45+8869fg5<,8:o>7=9579K<<7;7?8b09j9ia;393?6=8r.:=>h590;8 =?32ml?7)??d380200<@13:7c?=56823g45<5<6=44i9c5>5<0;6=u+101e><7>3-2287ji4:&24a4=;??=7E661:l2601=9>h87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4?o<1=7950;2x 474n33:56*7958gb1=#99n96>8:6:J;=4=i9;?<6<9m4:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi?:h6:084>5<7s-;:?k46189'<<2=lo>0(<>k2;1513=O00;0b<<:7;34f0=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb25eb?7=?3:112d9=4?<,13?6ih;;%33`7<4><<0D57>;o3112<6?k<0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg51?80:6:4?:1y'545a20;27)664;`:<>"68m81?;;9;I::5>h6:<=1=:l8;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th84=>51;594?6|,8;8j77>9:&;=1N?181e=?;8:05a<>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm36df>4<0290;w)?>3g8:5<=#00>1hk:4$02g6?51=?1C44?4n0063?70j01b4l?50;9j50z&256`=1830(57;:ed7?!77l;08:884H9;2?k75=>0:;oo4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?ba<2.:>92d:>89516`a?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<72g95?1=83:p("?1=0o8;5+11f1>602>2B35<5a1374>41ek2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a72`d280?6=4?{%327c<>901/44:5d258 46c:39=9;5G8838j442?3;n1<75rb250f?7=?3:112d9=4?<,13?6i:9;%33`7<4><<0D57>;o3112<6?ko0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg50<<0:6:4?:1y'545a20;27)664;f72>"68m81?;;9;I::5>h6:<=1=:li;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th84=<51;594?6|,8;8j77>9:&;=1N?181e=?;8:05`4>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm36d7>4<0290;w)?>3g8:5<=#00>1hk:4$02g6?51=?1C44?4n0063?70k81b4l?50;9j50z&256`=1830(57;:ed7?!77l;08:884H9;2?k75=>0:;n<4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?ba<2.:>92d:>89516a0?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<69c95?2=83:p("?1=0ohi5+11f1>602>2.mj=4>3dd8L=?63g;99:4>7b68m=g62900e5o=:188m=g42900ch9k:188yg510k0:6:4?:1y'545a20;27)664;fg0>"68m81?;;9;I::5>h6:<=1=:m:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8;8:51;594?6|,8;8j77>9:&;=1N?181e=?;8:05`2>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm367;>4<0290;w)?>3g8:5<=#00>1h984$02g6?51=?1C44?4n0063?70k>1b4l?50;9j50z&256`=1830(57;:e65?!77l;08:884H9;2?k75=>0:;n64i9c2>5<5<5<5<:683>5}#989m64?6;%::0?b3>2.:>92d:>89516a:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<74295?1=83:p("?1=0o8;5+11f1>602>2B35<5a1374>41di2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7231280<6=4?{%327c<>901/44:5d548 46c:39=9;5G8838j442?3;1<75f8`794?=n0h<1<75`e6f94?=zj:=>m7?57;294~"69:l15<74$9;7>a213-;;h?4<6448L=?63g;99:4>7ba8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=85b82>2<729q/=<=i:83:?!>><3n?:6*>0e0973313A22=6`>245952ec3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0316=93=1<7>t$030b??612.3594k479'55b52:<>:6F7909m573028=hi6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;>>96<48:183!76;o02=45+8869`10<,8:o>7=9579K<<7;7?8cg9j9;4;393?6=8r.:=>h590;8 =?32m>=7)??d380200<@13:7c?=56823a65<5<6=44i9c5>5<<7>3-2287mn8:&24a4=;??=7)hi0;300d=O00;0b<<:7;34`4=n0h;1<75f8`094?=n0h91<75`e6f94?=zj:=h57?56;294~"69:l15<74$9;7>f7e3-;;h?4<6448 c`7289?m6F7909m573028=o>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm392`>4<2290;w)?>3g8:5<=#00>1o??4$02g6?51=?1/jk>5126g?M>>92d:>89516f0?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<80;95?3=83:p("?1=0o>l5+11f1>602>2.mj=4>2d68L=?63g;99:4>7e68m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=70982>0<729q/=<=i:83:?!>><3n3?6*>0e0973313-lm<7?=c89K<<7;7?8d49j6?b;391?6=8r.:=>h590;8 =?32m?m7)??d380200<,ol;6<5<7s-;:?k46189'<<2=jmn0(<>k2;1513=#no:1=?k;;I::5>h6:<=1=:j8;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th849:&;=1"an90:>h=4H9;2?k75=>0:;i64i9c2>5<5<5<:483>5}#989m64?6;%::0?efk2.:5G8838j442?3;1<75`e6f94?=zj:2:;7?55;294~"69:l15<74$9;7>f443-;;h?4<6448 c`7288n>6F7909m573028=om6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;1;86<4::183!76;o02=45+8869ffe<,8:o>7=9579'bc6=9;o97E661:l2601=9>ni7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd408h1=7;50;2x 474n33:56*7958`eg=#99n96>8:6:&eb5<6:l;0D57>;o3112<6?mi0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg5?8h0:684?:1y'545a20;27)664;a1b>"68m81?;;9;%de4?75k11C44?4n0063?70lm1b4l?50;9j593;197>50z&256`=1830(57;:e54?!77l;08:884$gd3>44b92B35<5a1374>41cm2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a7=76280?6=4?{%327c<>901/44:5d8`8 46c:39=9;5+fg29562c3A22=6`>245952ba3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th884>51;794?6|,8;8j77>9:&;=1"an90:?8o4H9;2?k75=>0:;h>4i9c2>5<5<5<:483>5}#989m64?6;%::0?df82.:1<75`e6f94?=zj:>287?55;294~"69:l15<74$9;7>gg73-;;h?4<2`a8 c`7289?j6F7909m573028=n>6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;=3=6<4::183!76;o02=45+8869fd6<,8:o>7==ab9'bc6=9:>m7E661:l2601=9>o87d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4<021=7;50;2x 474n33:56*7958ae5=#99n96>;o3112<6?l>0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg531h0:684?:1y'545a20;27)664;`b4>"68m81??ol;%de4?74=01C44?4n0063?70m<1b4l?50;9jk3;197>50z&256`=1830(57;:cc3?!77l;08>lm4$gd3>45202B35<5a1374>41b>2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a71?b280>6=4?{%327c<>901/44:5b`28 46c:399mn5+fg29563?3A22=6`>245952c03`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`00d6=93?1<7>t$030b??612.3594ma19'55b52:8jo6*if182701<@13:7c?=56823`>5<5<<7>3-2287ln0:&24a4=;;kh7)hi0;3012=O00;0b<<:7;34a<=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb26b0?7==3:112d9=4?<,13?6oo?;%33`7<4:hi0(kh?:0162>N?181e=?;8:05fe>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm35c5>4<2290;w)?>3g8:5<=#00>1nl>4$02g6?55ij1/jk>51275?M>>92d:>89516ga?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<47295?2=83:p("?1=0o5o5+11f1>64fk2B35<5a1374>41bk2c3m<4?::k;e7<722c3m>4?::mf3a<722wi?98>:086>5<7s-;:?k46189'<<2=l0=0(<>k2;11ef=#no:1=?m8;I::5>h6:<=1=:kk;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th88:m51;694?6|,8;8j77>9:&;=1"an90:?hl4H9;2?k75=>0:;hk4i9c2>5<5<0;6=u+101e><7>3-2287mi4:&24a4=;;kh7E661:l2601=9>om7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4<121=7:50;2x 474n33:56*7958g=g=#99n96>;o3112<6?o:0e5o>:188m=g52900e5o<:188k`1c2900qo=;2d82>2<729q/=<=i:83:?!>><3im86*>0e0977gd3A22=6`>245952`63`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`002d=93?1<7>t$030b??612.3594lc69'55b52:8jo6*if1826a5<@13:7c?=56823c45<5<0;6=u+101e><7>3-2287mi4:&24a4=;;kh7E661:l2601=9>l87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4<1o1=7;50;2x 474n33:56*7958f51=#99n96>;o3112<6?o>0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg53:m0:6:4?:1y'545a20;27)664;ae0>"68m81??ol;I::5>h6:<=1=:h:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th88:951;794?6|,8;8j77>9:&;=1"an90:>i<4H9;2?k75=>0:;k84i9c2>5<5<5<:483>5}#989m64?6;%::0?e?l2.:1<75`e6f94?=zj:>3o7?55;294~"69:l15<74$9;7>ag73-;;h?4<2`a8 c`7288o=6F7909m573028=m46g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;==26<4::183!76;o02=45+8869fag<,8:o>7==ab9'bc6=9;i97E661:l2601=9>l27d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4<1k1=7;50;2x 474n33:56*7958`<==#99n96>;o3112<6?ok0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg530<0:684?:1y'545a20;27)664;a14>"68m81??ol;%de4?75l91C44?4n0063?70nk1b4l?50;9j50z&256`=1830(57;:b01?!77l;08>lm4$gd3>44c82B35<5a1374>41ak2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a71>4280>6=4?{%327c<>901/44:5c`08 46c:399mn5+fg2957ea3A22=6`>245952`c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`002`=93?1<7>t$030b??612.3594mdd9'55b52:8jo6*if1826f`<@13:7c?=56823cc5<5<<7>3-2287mm6:&24a4=;;kh7E661:l2601=9>lm7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4<5<7s-;:?k46189'<<2=jl<0(<>k2;11ef=O00;0b<<:7;3;44=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb20`f?7==3:112d9=4?<,13?6ol<;%33`7<4:hi0D57>;o3112<60980e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg53"68m81??ol;I::5>h6:<=1=5><;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th888851;594?6|,8;8j77>9:&;=1N?181e=?;8:0:30>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm356;>4<0290;w)?>3g8:5<=#00>1hk:4$02g6?55ij1C44?4n0063?7?8<1b4l?50;9j50z&256`=1830(57;:ed7?!77l;08>lm4H9;2?k75=>0:4=84i9c2>5<5<5<5<:683>5}#989m64?6;%::0?ba<2.:>92d:>8951924?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<2b595?1=83:p("?1=0i555+11f1>64fk2B35<5a1374>4>702c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7135280<6=4?{%327c<>901/44:5dg68 46c:399mn5G8838j442?3;3<45f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:>><7?57;294~"69:l15<74$9;7>a`33-;;h?4<2`a8L=?63g;99:4>81c8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=;4`82>2<729q/=<=i:83:?!>><3nm86*>0e0977gd3A22=6`>24595=6e3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`001`=93=1<7>t$030b??612.3594kf59'55b52:8jo6F7909m5730282;o6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;:3?6<48:183!76;o02=45+8869`10<,8:o>7==ab9K<<7;7?70e9j:;e;390?6=8r.:=>h590;8 =?32m9<7)??d3806de<@13:7c?=5682<5c5<5<:683>5}#989m64?6;%::0?b3>2.:>92d:>895192e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<38`95?1=83:p("?1=0o8;5+11f1>64fk2B35<5a1374>4>682c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7133280<6=4?{%327c<>901/44:5dg68 46c:399mn5G8838j442?3;3=<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:>?:7?57;294~"69:l15<74$9;7>a`33-;;h?4<2`a8L=?63g;99:4>8008m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=;4882>2<729q/=<=i:83:?!>><3nm86*>0e0977gd3A22=6`>24595=743`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0011=93=1<7>t$030b??612.3594kf59'55b52:8jo6F7909m5730282:86g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;;o;6<4;:183!76;o02=45+8869`ab<,8:o>7==ab9'bc6=9:on7E661:l2601=91;>7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl<2d395?1=83:p("?1=0oh95+11f1>64fk2B35<5a1374>4>6>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a76gf280<6=4?{%327c<>901/44:5d548 46c:399mn5G8838j442?3;3=:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:9ji7?57;294~"69:l15<74$9;7>a213-;;h?4<2`a8L=?63g;99:4>80:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=2<729q/=<=i:83:?!>><3n?:6*>0e0977gd3A22=6`>24595=7>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`07d2=93=1<7>t$030b??612.3594k479'55b52:8jo6F7909m5730282:m6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;:k=6<48:183!76;o02=45+8869`10<,8:o>7==ab9K<<7;7?71c9j=nc;393?6=8r.:=>h590;8 =?32m>=7)??d3806de<@13:7c?=5682<4e5<5<6=44i9c5>5<0;6=u+101e><7>3-2287j;6:&24a4=;;kh7E661:l2601=91;o7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4;k81=7950;2x 474n33:56*7958g03=#99n96>e:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi?>79:084>5<7s-;:?k46189'<<2=l=<0(<>k2;11ef=O00;0b<<:7;3;5c=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb21:12d9=4?<,13?6i:9;%33`7<4:hi0D57>;o3112<60;:0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg541h0:6:4?:1y'545a20;27)664;f72>"68m81??ol;I::5>h6:<=1=5<>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th888k51;794?6|,8;8j77>9:&;=1"an90:?9m4H9;2?k75=>0:4?<4i9c2>5<5<5<:483>5}#989m64?6;%::0?b5i2.:>5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj:>>m7?55;294~"69:l15<74$9;7>a>43-;;h?4<2`a8 c`7288h;6F7909m5730282986g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;=?o6<4::183!76;o02=45+8869`0`<,8:o>7==ab9'bc6=9;i=7E661:l2601=918>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4;o3112<60;<0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg53>o0:684?:1y'545a20;27)664;ag7>"68m81??ol;%de4?75lo1C44?4n0063?7?:>1b4l?50;9j50z&256`=1830(57;:bc`?!77l;08>lm4$gd3>44cn2B35<5a1374>4>502c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a710>280>6=4?{%327c<>901/44:5c318 46c:399mn5+fg2957bb3A22=6`>24595=4>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`0033=93?1<7>t$030b??612.3594mcb9'55b52:8jo6*if1826ac<@13:7c?=5682<7g5<5<<7>3-2287mnb:&24a4=;;kh7)hi0;31`a=O00;0b<<:7;3;6g=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb266g?7==3:112d9=4?<,13?6nN?181e=?;8:0:1g>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm3550>4<2290;w)?>3g8:5<=#00>1h:94$02g6?55ij1/jk>513fg?M>>92d:>895190g?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<47195?2=83:p("?1=0o5o5+11f1>64fk2.mj=4>35a8L=?63g;99:4>83g8m=g62900e5o=:188m=g42900ch9k:188yg57m?0:684?:1y'545a20;27)664;`b4>"68m81>hhj;%de4?74=<1C44?4n0063?7?:o1b4l?50;9j50z&256`=1830(57;:cc3?!77l;09ikk4$gd3>452=2B35<5a1374>4>482c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a75cf280>6=4?{%327c<>901/44:5b`28 46c:38njh5+fg29562b3A22=6`>24595=563`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`04`e=93?1<7>t$030b??612.3594ma19'55b52;omi6*if18271c<@13:7c?=5682<645<5<<7>3-2287ln0:&24a4=:lln7)hi0;3011=O00;0b<<:7;3;76=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb22e4?7==3:112d9=4?<,13?6oo?;%33`7<5moo0(kh?:0160>N?181e=?;8:0:00>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm31d1>4<2290;w)?>3g8:5<=#00>1nl>4$02g6?4bnl1/jk>51270?M>>92d:>8951916?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<0g695?3=83:p("?1=0im=5+11f1>7cam2.mj=4>3418L=?63g;99:4>8248m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=?f782>0<729q/=<=i:83:?!>><3hj<6*>0e096``b3-lm<7?<539K<<7;7?7369j>i8;391?6=8r.:=>h590;8 =?32kk;7)??d381acc<,ol;6<=:2:J;=4=i9;?<6<6<8:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi?=hn:086>5<7s-;:?k46189'<<2=jh:0(<>k2;0fb`=#no:1=>;>;I::5>h6:<=1=5=6;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th89:&;=1"an90:?8?4H9;2?k75=>0:4>o4i9c2>5<5<5<:583>5}#989m64?6;%::0?b>j2.:>92d:>895191a?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd48k=1=7;50;2x 474n33:56*7958g=2=#99n96?kie:&eb5<6:j?0D57>;o3112<60:i0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg57l;0:694?:1y'545a20;27)664;f:f>"68m81>hhj;%de4?74mh1C44?4n0063?7?;m1b4l?50;9j>71;393?6=8r.:=>h590;8 =?32jl?7)??d381acc<@13:7c?=5682<6c5<5<6=44i9c5>5<<7>3-2287j6b:&24a4=:lln7)hi0;30ad=O00;0b<<:7;3;7c=n0h;1<75f8`094?=n0h91<75`e6f94?=zj::387?57;294~"69:l15<74$9;7>f`33-;;h?4=egg8L=?63g;99:4>8528m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=?d082>0<729q/=<=i:83:?!>><3ih;6*>0e096``b3-lm<7?=cd9K<<7;7?7409j>72;393?6=8r.:=>h590;8 =?32jl?7)??d381acc<@13:7c?=5682<145<5<6=44i9c5>5<<7>3-2287k>4:&24a4=:lln7)hi0;31g`=O00;0b<<:7;3;06=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb22;7?7=?3:112d9=4?<,13?6nh;;%33`7<5moo0D57>;o3112<60=>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg57km0:684?:1y'545a20;27)664;f;5>"68m81>hhj;%de4?75km1C44?4n0063?7?<<1b4l?50;9j50z&256`=1830(57;:b:g?!77l;09ikk4$gd3>44dl2B35<5a1374>4>3>2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a75c5280>6=4?{%327c<>901/44:5d`28 46c:38njh5+fg2957ed3A22=6`>24595=203`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`04f`=93?1<7>t$030b??612.3594md`9'55b52;omi6*if1826f7<@13:7c?=5682<1>5<5<<7>3-2287m78:&24a4=:lln7)hi0;31gf=O00;0b<<:7;3;0<=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb22gf?7==3:112d9=4?<,13?6nN?181e=?;8:0:7e>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm31f4>4<2290;w)?>3g8:5<=#00>1o?<4$02g6?4bnl1/jk>513aa?M>>92d:>895196a?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<0e;95?3=83:p("?1=0hm?5+11f1>7cam2.mj=4>2bc8L=?63g;99:4>85a8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=?d482>0<729q/=<=i:83:?!>><3hoi6*>0e096``b3-lm<7?=c`9K<<7;7?74e9jb;391?6=8r.:=>h590;8 =?32jh=7)??d381acc<@13:7c?=5682<1c5<5<0;6=u+101e><7>3-2287ji4:&24a4=:lln7E661:l2601=91>m7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5n8l1=7950;2x 474n33:56*7958aa3=#99n96?kie:J;=4=i9;?<6<6:0:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi>k?k:086>5<7s-;:?k46189'<<2=jk90(<>k2;0fb`=O00;0b<<:7;3;14=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb22b6?7=?3:112d9=4?<,13?6ih;;%33`7<5moo0D57>;o3112<60<80e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg57ij0:6:4?:1y'545a20;27)664;fe0>"68m81>hhj;I::5>h6:<=1=5;<;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8<4k51;594?6|,8;8j77>9:&;=1N?181e=?;8:0:60>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm31c2>4<0290;w)?>3g8:5<=#00>1hk:4$02g6?4bnl1C44?4n0063?7?=<1b4l?50;9j50z&256`=1830(57;:ed7?!77l;09ikk4H9;2?k75=>0:4884i9c2>5<5<5<5<:683>5}#989m64?6;%::0?d>02.:>92d:>8951974?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<0`:95?1=83:p("?1=0oj95+11f1>7cam2B35<5a1374>4>202c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a75g1280<6=4?{%327c<>901/44:5dg68 46c:38njh5G8838j442?3;3945f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj::j<7?57;294~"69:l15<74$9;7>a`33-;;h?4=egg8L=?63g;99:4>84c8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=?a482>2<729q/=<=i:83:?!>><3nm86*>0e096``b3A22=6`>24595=3e3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`1b`0=93=1<7>t$030b??612.3594k479'55b52;omi6F7909m5730282>o6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;9k?6<4;:183!76;o02=45+8869`61<,8:o>7;7?75e9j50z&256`=1830(57;:e65?!77l;09ikk4H9;2?k75=>0:48k4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?b3>2.:>92d:>895197e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<0`c95?1=83:p("?1=0oj95+11f1>7cam2B35<5a1374>4>182c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a75?d280<6=4?{%327c<>901/44:5dg68 46c:38njh5G8838j442?3;3:<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj::2j7?57;294~"69:l15<74$9;7>a`33-;;h?4=egg8L=?63g;99:4>8708m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=?9e82>2<729q/=<=i:83:?!>><3nm86*>0e096``b3A22=6`>24595=043`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`1b64=93>1<7>t$030b??612.3594kde9'55b52;omi6*if1827`b<@13:7c?=5682<325<5<4>:683>5}#989m64?6;%::0?bc<2.:>92d:>8951946?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=fga95?1=83:p("?1=0o8;5+11f1>7cam2B35<5a1374>4>1>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7567280<6=4?{%327c<>901/44:5d548 46c:38njh5G8838j442?3;3::5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;lmm7?57;294~"69:l15<74$9;7>a213-;;h?4=egg8L=?63g;99:4>87:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo2<729q/=<=i:83:?!>><3n?:6*>0e096``b3A22=6`>24595=0>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`1bc>=93=1<7>t$030b??612.3594k479'55b52;omi6F7909m5730282=m6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:oln6<48:183!76;o02=45+8869`10<,8:o>7;7?76c9j>?2;393?6=8r.:=>h590;8 =?32m>=7)??d381acc<@13:7c?=5682<3e5<5<6=44i9c5>5<0;6=u+101e><7>3-2287j;6:&24a4=:lln7E661:l2601=91f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5nl21=7950;2x 474n33:56*7958g03=#99n96?kie:J;=4=i9;?<6<69e:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi>kkn:084>5<7s-;:?k46189'<<2=l=<0(<>k2;0fb`=O00;0b<<:7;3;2c=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb3dfg?7=?3:112d9=4?<,13?6i:9;%33`7<5moo0D57>;o3112<60>:0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg57>o0:694?:1y'545a20;27)664;ab<>"68m81>hhj;I::5>h6:<=1=59>;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`0427=93<1<7>t$030b??612.3594l1c9'55b52;omi6F7909m5730282<>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm31`7>4<2290;w)?>3g8:5<=#00>1o??4$02g6?4bnl1/jk>5126a?M>>92d:>8951950?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<0b395?3=83:p("?1=0o>l5+11f1>7cam2.mj=4>2ea8L=?63g;99:4>8668m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=?b182>0<729q/=<=i:83:?!>><3n3?6*>0e096``b3-lm<7?=c49K<<7;7?7749j>m3;391?6=8r.:=>h590;8 =?32m?m7)??d381acc<,ol;6<5<7s-;:?k46189'<<2=jmn0(<>k2;0fb`=#no:1=?jl;I::5>h6:<=1=598;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th89:&;=1"an90:>il4H9;2?k75=>0:4:64i9c2>5<5<5<:483>5}#989m64?6;%::0?efk2.:1<75`e6f94?=zj::ij7?55;294~"69:l15<74$9;7>f443-;;h?4=egg8 c`7288om6F7909m5730282>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;9hi6<4::183!76;o02=45+8869ffe<,8:o>7f:3:17d6n3;29?l>f<3:17bk8d;29?xd48j91=7;50;2x 474n33:56*7958`eg=#99n96?kie:&eb5<6:m30D57>;o3112<60>i0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg57j;0:684?:1y'545a20;27)664;a1b>"68m81>hhj;%de4?75k=1C44?4n0063?7??m1b4l?50;9j50z&256`=1830(57;:e54?!77l;09ikk4$gd3>44c12B35<5a1374>4>0m2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a75d>280?6=4?{%327c<>901/44:5d8`8 46c:38njh5+fg29562e3A22=6`>24595=1a3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th:h9>51;194?6|,8;8j77>9:&;=1"an90:8=84H9;2?k75=>0:45>4i9c2>5<5<:683>5}#989m64?6;%::0?c3<2.:>92d:>89519:2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>f``95?1=83:p("?1=0n895+11f1>4`512B35<5a1374>4>?:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a5cgd280<6=4?{%327c<>901/44:5e568 46c:3;m>45G8838j442?3;34>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8ljh7?57;294~"69:l15<74$9;7>`233-;;h?4>f3;8L=?63g;99:4>8968m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?iad82>2<729q/=<=i:83:?!>><3o?86*>0e095c4>3A22=6`>24595=>23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2bd`=9391<7>t$030b??612.3594j4d9'55b528l956F7909m57302823:6g7a083>>o?i;0;66aj7e83>>{e9oh;6<48:183!76;o02=45+8869`<5<,8:o>7?i289K<<7;7?7869jkn9;393?6=8r.:=>h590;8 =?32mn?7)??d380f6b<@13:7c?=5682<=>5<5<6=44i9c5>5<0;6=u+101e><7>3-2287k:9:&24a4=;k9o7E661:l2601=91227d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4k?;1=7950;2x 474n33:56*7958abf=#99n96>l5<7s-;:?k46189'<<2=ljh0(<>k2;1a7a=O00;0b<<:7;3;n1<75rb2afe?7=?3:112d9=4?<,13?6oki;%33`7<4j:n0D57>;o3112<601i0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5dmk0:6:4?:1y'545a20;27)664;`fb>"68m81?o=k;I::5>h6:<=1=56k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8ohm51;594?6|,8;8j77>9:&;=1N?181e=?;8:0:;a>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3bgg>4<0290;w)?>3g8:5<=#00>1noj4$02g6?5e;m1C44?4n0063?7?0o1b4l?50;9j50z&256`=1830(57;:e;4?!77l;08n>j4H9;2?k75=>0:44>4i9c2>5<5<5<:683>5}#989m64?6;%::0?c3<2.:>92d:>89519;2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl"?1=0n895+11f1>6d4l2B35<5a1374>4>>:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7`d2280<6=4?{%327c<>901/44:5de68 46c:39i?i5G8838j442?3;35>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:oi:7?57;294~"69:l15<74$9;7>ab33-;;h?48868m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=jb682>6<729q/=<=i:83:?!>><3nn:6*>0e097g5c3-lm<7?;7?7949jl;0;393?6=8r.:=>h590;8 =?32m387)??d380f6b<@13:7c?=5682<<05<5<6=44i9c5>5<0;6=u+101e><7>3-2287k;4:&24a4=;k9o7E661:l2601=913<7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4j=81=7950;2x 474n33:56*7958f01=#99n96>l5<7s-;:?k46189'<<2=m=>0(<>k2;1a7a=O00;0b<<:7;3;=<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2`70?7=?3:112d9=4?<,13?6h:;;%33`7<4j:n0D57>;o3112<600k0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5e<<0:6>4?:1y'545a20;27)664;g7a>"68m81?o=k;I::5>h6:<=1=57m;h:b5?6=3`2j>7>5;ng4`?6=3th8n9851;594?6|,8;8j77>9:&;=1N?181e=?;8:0::g>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3d:a>4<0290;w)?>3g8:5<=#00>1h<;4$02g6?5e;m1C44?4n0063?7?1m1b4l?50;9j50z&256`=1830(57;:cc`?!77l;08n>j4H9;2?k75=>0:44k4i9c2>5<5<5<5<:483>5}#989m64?6;%::0?ec82.:>92d:>89519;e?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0ohn5+11f1>6d4l2B35<5a1374>4>f82c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a7f24280<6=4?{%327c<>901/44:5e3d8 46c:39i?i5G8838j442?3;3m<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj<8:>7?57;294~"69:l15<74$9;7>a?a3-;;h?4:21`8L=?63g;99:4>8`08m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo;=1282>0<729q/=<=i:83:?!>><3no46*>0e09176e3A22=6`>24595=g43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`6643=93>1<7>t$030b??612.3594k299'55b52<8;n6*if1827g3<@13:7c?=56825<5<:683>5}#989m64?6;%::0?b2l2.:>92d:>89519c6?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=c5395?5=83:p("?1=0n:=5+11f1>7e4l2.mj=4>4338L=?63g;99:4>8`48m=g62900e5o=:188k`1c2900qo2<729q/=<=i:83:?!>><3nj;6*>0e096f5c3A22=6`>24595=g03`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0g1d=93=1<7>t$030b??612.3594j109'55b52:h8h6F7909m5730282j46g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e=;8:6<48:183!76;o02=45+8869g3b<,8:o>7;=0c9K<<7;7?7a89jc;393?6=8r.:=>h590;8 =?32j?97)??d38665d<@13:7c?=56825<5<6=44i9c5>5<0;6=u+101e><7>3-2287m9f:&24a4==;:i7E661:l2601=91ki7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd2:;l1=7950;2x 474n33:56*7958`17=#99n9685<7s-;:?k46189'<<2=k?l0(<>k2;714g=O00;0b<<:7;3;ea=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb4003?7=?3:112d9=4?<,13?6n8k;%33`7<2:9h0D57>;o3112<60ho0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg35;k0:6:4?:1y'545a20;27)664;a5`>"68m819?>m;I::5>h6:<=1=5oi;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th>>>h51;594?6|,8;8j77>9:&;=1N?181e=?;8:0:a4>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm531f>4<0290;w)?>3g8:5<=#00>1o;h4$02g6?358k1C44?4n0063?7?j81b4l?50;9j50z&256`=1830(57;:b71?!77l;0>>=l4H9;2?k75=>0:4o<4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?e1n2.:>92d:>89519`0?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl:22f95?1=83:p("?1=0h9?5+11f1>047j2B35<5a1374>4>e<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a175d280<6=4?{%327c<>901/44:5c7d8 46c:3?91<75f8`794?=n0h<1<75`e6f94?=zj:i;<7?57;294~"69:l15<74$9;7>fbf3-;;h?48c48m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=j8882>2<729q/=<=i:83:?!>><3n=<6*>0e097g5c3A22=6`>24595=d03`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0a=g=93?1<7>t$030b??612.3594j359'55b52:h8h6*if182053<@13:7c?=56825<5<0;6=u+101e><7>3-2287j=e:&24a4=;k9o7E661:l2601=91h27d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4m1o1=7950;2x 474n33:56*7958ab==#99n96>l5<7s-;:?k46189'<<2=lm90(<>k2;1a7a=O00;0b<<:7;3;fg=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj:o3=7?57;294~"69:l15<74$9;7>a003-;;h?48ca8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=j8382>3<729q/=<=i:83:?!>><3hn;6*>0e097g5c3A22=6`>24595=dc3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8i5=51;594?6|,8;8j77>9:&;=1N?181e=?;8:0:aa>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3d:7>4<4290;w)?>3g8:5<=#00>1i9k4$02g6?5e;m1/jk>51527?M>>92d:>89519`e?l>f93:17d6n2;29?jc0l3:17pl"?1=0n<95+11f1>6d4l2B35<5a1374>4>d82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7`>1280>6=4?{%327c<>901/44:5d2c8 46c:39i?i5+fg29515f3A22=6`>24595=e63`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`0a26=93=1<7>t$030b??612.3594j0e9'55b52:h8h6F7909m5730282h>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;l=86<48:183!76;o02=45+8869ggb<,8:o>7=m3e9K<<7;7?7c29jh590;8 =?32mh27)??d38665d<,ol;6<:<6:J;=4=i9;?<6<6l4:k;e4<722c3m?4?::mf3a<722wi9?5<7s-;:?k46189'<<2=l=80(<>k2;714g=O00;0b<<:7;3;g0=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb4004?7=?3:112d9=4?<,13?6i:l;%33`7<2:9h0D57>;o3112<60j<0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg354?:1y'545a20;27)664;fa=>"68m819?>m;%de4?73;?1C44?4n0063?7?k>1b4l?50;9j50z&256`=1830(57;:e26?!77l;0>>=l4H9;2?k75=>0:4n64i9c2>5<5<5<5<:683>5}#989m64?6;%::0?b4;2.:>92d:>89519a:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl:25d95?5=83:p("?1=0on45+11f1>047j2.mj=4>4278L=?63g;99:4>8bc8m=g62900e5o=:188k`1c2900qo;=5182>2<729q/=<=i:83:?!>><3n;96*>0e09176e3A22=6`>24595=ee3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`6607=93=1<7>t$030b??612.3594k329'55b52<8;n6F7909m5730282ho6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e=;?86<4<:183!76;o02=45+8869`g?<,8:o>7;=0c9'bc6=9=9>7E661:l2601=91io7d6n1;29?l>f:3:17bk8d;29?xd2:<>1=7950;2x 474n33:56*7958g40=#99n9685<7s-;:?k46189'<<2=l:90(<>k2;714g=O00;0b<<:7;3;gc=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb4063?7=;3:112d9=4?<,13?6il6;%33`7<2:9h0(kh?:0600>N?181e=?;8:0:g4>o?i80;66g7a383>>ib?m0;66sm537;>4<0290;w)?>3g8:5<=#00>1h=;4$02g6?358k1C44?4n0063?7?l81b4l?50;9j50z&256`=1830(57;:e10?!77l;0>>=l4H9;2?k75=>0:4i<4i9c2>5<5<5<5<:283>5}#989m64?6;%::0?be12.:5f8`394?=n0h81<75`e6f94?=zj<8>o7?57;294~"69:l15<74$9;7>a623-;;h?4:21`8L=?63g;99:4>8e68m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo;=5e82>2<729q/=<=i:83:?!>><3n8?6*>0e09176e3A22=6`>24595=b23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`660`=93>1<7>t$030b??612.3594k369'55b52<8;n6*if18207e<@13:7c?=56825<5<:683>5}#989m64?6;%::0?b7<2.:>92d:>89519f4?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl:27395?1=83:p("?1=0o?>5+11f1>047j2B35<5a1374>4>c02c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a1704280?6=4?{%327c<>901/44:5d258 46c:3?924595=b>3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th>>;:51;594?6|,8;8j77>9:&;=1N?181e=?;8:0:ge>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm5346>4<0290;w)?>3g8:5<=#00>1h>=4$02g6?358k1C44?4n0063?7?lk1b4l?50;9j50z&256`=1830(57;:e`:?!77l;0>>=l4$gd3>425j2B35<5a1374>4>ck2c3m<4?::k;e7<722en;i4?::a1722280<6=4?{%327c<>901/44:5d178 46c:3?91<75f8`794?=n0h<1<75`e6f94?=zj<8?:7?57;294~"69:l15<74$9;7>a543-;;h?4:21`8L=?63g;99:4>8eg8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo;=4982>6<729q/=<=i:83:?!>><3ni56*>0e09176e3-lm<7?;2c9K<<7;7?7dg9jh590;8 =?32m>97)??d38665d<@13:7c?=5682<`65<5<6=44i9c5>5<0;6=u+101e><7>3-2287j;c:&24a4==;:i7E661:l2601=91o:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4m><1=7:50;2x 474n33:56*7958gf2=#99n96>l0D57>;o3112<60l80e5o>:188m=g52900e5o<:188k`1c2900qo=j7682>2<729q/=<=i:83:?!>><3i8=6*>0e097g5c3A22=6`>24595=c43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0a2e=93?1<7>t$030b??612.3594kf19'55b52:h8h6F7909m5730282n86g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;l=o6<49:183!76;o02=45+8869f<0<,8:o>7=m3e9'bc6=9=:;7E661:l2601=91o>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl"?1=0o8h5+11f1>6d4l2B35<5a1374>4>b>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7`0e280=6=4?{%327c<>901/44:5ce58 46c:39i?i5+fg2956203A22=6`>24595=c03`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8i;m51;694?6|,8;8j77>9:&;=1"an90:?994H9;2?k75=>0:4h64i9c2>5<5<0;6=u+101e><7>3-2287j;5:&24a4=;k9o7E661:l2601=91o27d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4mk;1=7950;2x 474n33:56*7958g67=#99n96>l5<7s-;:?k46189'<<2=lj=0(<>k2;1a7a=O00;0b<<:7;3;ag=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2ga7?7=?3:112d9=4?<,13?6oo=;%33`7<4j:n0D57>;o3112<60li0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5bj10:6:4?:1y'545a20;27)664;a;5>"68m81?o=k;I::5>h6:<=1=5kk;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8ioo51;494?6|,8;8j77>9:&;=1N?181e=?;8:0:fa>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e;j<<6<48:183!76;o02=45+8869gc`<,8:o>7=m3e9K<<7;7?7eg9jm96;390?6=8r.:=>h590;8 =?32m?87)??d380f6b<@13:7c?=56825<5<:683>5}#989m64?6;%::0?e2i2.:>92d:>89519d2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl"?1=0ono5+11f1>6d4l2B35<5a1374>4>a:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7f0d280<6=4?{%327c<>901/44:5d5f8 46c:39i?i5G8838j442?3;3j>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:i?:7?57;294~"69:l15<74$9;7>fe53-;;h?48g68m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=ja482>2<729q/=<=i:83:?!>><3ij86*>0e097g5c3A22=6`>24595=`23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0ad0=93=1<7>t$030b??612.3594k5d9'55b52:h8h6F7909m5730282m:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;lk<6<4<:183!76;o02=45+8869a1c<,8:o>7=m3e9'bc6=9=9j7E661:l2601=91l<7d6n1;29?l>f:3:17bk8d;29?xd4mh21=7950;2x 474n33:56*7958g3==#99n96>l5<7s-;:?k46189'<<2=kmi0(<>k2;1a7a=O00;0b<<:7;3;b<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2g:7?7=?3:112d9=4?<,13?6n89;%33`7<4j:n0D57>;o3112<60ok0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5b1<0:6:4?:1y'545a20;27)664;fa7>"68m81?o=k;I::5>h6:<=1=5hm;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8i4951;594?6|,8;8j77>9:&;=111/==j=:2`0`>N?181e=?;8:0:eg>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3d;b>4<0290;w)?>3g8:5<=#00>1h9h4$02g6?5e;m1C44?4n0063?7?nm1b4l?50;9jk3;1;7>50z&256`=1830(57;:bg2?!77l;08n>j4H9;2?k75=>0:4kk4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?c602.:>92d:>89519de?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl"?1=0h;k5+11f1>6d4l2B35<5a1374>4?782c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7g`a280<6=4?{%327c<>901/44:5bc38 46c:39i?i5G8838j442?3;2<<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:hmi7?56;294~"69:l15<74$9;7>fbe3-;;h?49108m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg5enj0:6:4?:1y'545a20;27)664;a2<>"68m81?o=k;I::5>h6:<=1=4><;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8nk851;494?6|,8;8j77>9:&;=1N?181e=?;8:0;30>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<1:=6<48:183!76;o02=45+8869`4><,8:o>7::209K<<7;7?6049jh590;8 =?32j5<5<6=44od5g>5<3<44>:783>5}#989m64?6;%::0?efm2.:>92d:>8951824?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd3?=l1=7950;2x 474n33:56*7958`gg=#99n969;=1:J;=4=i9;?<6<7?8:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8::l:084>5<7s-;:?k46189'<<2=k=30(<>k2;6664=O00;0b<<:7;3:4<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5565?7=?3:112d9=4?<,13?6nmm;%33`7<3=;;0D57>;o3112<619k0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg20=90:6:4?:1y'545a20;27)664;a7=>"68m8188<>;I::5>h6:<=1=4>m;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?mlo51;694?6|,8;8j77>9:&;=1"an90:>om4H9;2?k75=>0:5=m4i9c2>5<5<0;6=u+101e><7>3-2287mf:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd30031=7950;2x 474n33:56*7958`b0=#99n969;=1:J;=4=i9;?<6<7?e:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi857n:084>5<7s-;:?k46189'<<2=loi0(<>k2;6664=O00;0b<<:7;3:4c=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5::f?7=>3:112d9=4?<,13?6im<;%33`7<3=;;0D57>;o3112<618:0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:79b82>2<729q/=<=i:83:?!>><3i:>6*>0e0900463A22=6`>24595<763`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7<t$030b??612.3594kf69'55b52=?9=6F7909m5730283:>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<13n6<4::183!76;o02=45+8869gf5<,8:o>7::209K<<7;7?6129jh590;8 =?32mli7)??d387177<@13:7c?=5682=425<5<6=44od5g>5<3<94>:683>5}#989m64?6;%::0?bf=2.:>92d:>8951836?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;83595?1=83:p("?1=0o;=5+11f1>13592B35<5a1374>4?6>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0=6c280<6=4?{%327c<>901/44:5e228 46c:3>>><5G8838j442?3;2=:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=2;i7?57;294~"69:l15<74$9;7>g`53-;;h?4;5338L=?63g;99:4>90:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:70g82>2<729q/=<=i:83:?!>><3n2h6*>0e0900463A22=6`>24595<7>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7t$030b??612.3594l0c9'55b52=?9=6F7909m5730283:m6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<1;j6<48:183!76;o02=45+8869fc3<,8:o>7::209K<<7;7?61c9j7;392?6=8r.:=>h590;8 =?32l:n7)??d387177<@13:7c?=5682=4e5<5<6=44od5g>5<3>?4>:283>5}#989m64?6;%::0?c3m2.:>92d:>895183g?l>f93:17d6n2;29?jc0l3:17pl;83195?1=83:p("?1=0oj>5+11f1>13592B35<5a1374>4?6m2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0=43280=6=4?{%327c<>901/44:5d158 46c:3>>><5G8838j442?3;2=k5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb5:11?7=?3:112d9=4?<,13?6h:i;%33`7<3=;;0D57>;o3112<61;:0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2?9=0:6:4?:1y'545a20;27)664;a;6>"68m8188<>;I::5>h6:<=1=4<>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?4<;51;594?6|,8;8j77>9:&;=1N?181e=?;8:0;16>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4935>4<1290;w)?>3g8:5<=#00>1hl84$02g6?22:81C44?4n0063?7>::1b4l?50;9jh590;8 =?32mh97)??d387177<@13:7c?=5682=725<5<6=44od5g>5<jnn4>:683>5}#989m64?6;%::0?dd<2.:>92d:>8951806?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;acf95?1=83:p("?1=0o4=5+11f1>13592B35<5a1374>4?5>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0ddb280=6=4?{%327c<>901/44:5c3:8 46c:3>>><5G8838j442?3;2>:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb5:24?7=?3:112d9=4?<,13?6om?;%33`7<3=;;0D57>;o3112<61;20e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2?980:6:4?:1y'545a20;27)664;a51>"68m8188<>;I::5>h6:<=1=4<6;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?4<<51;594?6|,8;8j77>9:&;=1N?181e=?;8:0;1e>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4c`7>4<0290;w)?>3g8:5<=#00>1nhh4$02g6?2flk1C44?4n0063?7>:k1b4l?50;9j50z&256`=1830(57;:cge?!77l;0?mil4H9;2?k75=>0:5?m4i9c2>5<5<5<5<in;4>:683>5}#989m64?6;%::0?dbn2.:>92d:>895180g?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;bc595?2=83:p("?1=0o5o5+11f1>1gcj2B35<5a1374>4?5m2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8o?>:087>5<7s-;:?k46189'<<2=mk2;6b`g=#no:1=987;I::5>h6:<=1=47>5;h:b7?6=3fo5;|`7f46=93=1<7>t$030b??612.3594l2`9'55b52=kon6F7909m57302838<6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7:ndc9K<<7;7?6309jh590;8 =?32mn:7)??d387177<,ol;6<5<7s-;:?k46189'<<2=l;<0(<>k2;6664=O00;0b<<:7;3:76=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5c`12d9=4?<,13?6okl;%33`7<3=;;0D57>;o3112<61:>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2fk00:684?:1y'545a20;27)664;`:b>"68m8188<>;I::5>h6:<=1=4=:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th?h4l51;594?6|,8;8j77>9:&;=1N?181e=?;8:0;02>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4e;`>4<0290;w)?>3g8:5<=#00>1ok94$02g6?22:81C44?4n0063?7>;>1b4l?50;9jl3;1;7>50z&256`=1830(57;:b17?!77l;0?9??4H9;2?k75=>0:5>64i9c2>5<5<5<5<:483>5}#989m64?6;%::0?c702.:>92d:>895181:?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;89295?1=83:p("?1=0im;5+11f1>13592B35<5a1374>4?4i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a02c3280<6=4?{%327c<>901/44:5cd78 46c:3>>><5G8838j442?3;2?o5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj==oj7?57;294~"69:l15<74$9;7>gee3-;;h?4;5338L=?63g;99:4>92a8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:8e082>2<729q/=<=i:83:?!>><3i;56*>0e0900463A22=6`>24595<5c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`73cd=93?1<7>t$030b??612.3594l9d9'55b52=?9=6F7909m57302838i6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<>l96<48:183!76;o02=45+8869a12<,8:o>7::209K<<7;7?63g9jh590;8 =?32kio7)??d387177<,ol;6<=i0:J;=4=i9;?<6<7;0:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8:h::084>5<7s-;:?k46189'<<2=jm30(<>k2;6664=O00;0b<<:7;3:04=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5:;7?7==3:112d9=4?<,13?6nl9;%33`7<3=;;0(kh?:00fb>N?181e=?;8:0;76>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm49:1>4<0290;w)?>3g8:5<=#00>1nl84$02g6?22:81C44?4n0063?7><:1b4l?50;9j?n3;1?7>50z&256`=1830(57;:e`:?!77l;0?9??4$gd3>42402B35<5a1374>4?3<2c3m<4?::k;e7<722en;i4?::a0=>b280<6=4?{%327c<>901/44:5cd48 46c:3>>><5G8838j442?3;2885f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=22=7?54;294~"69:l15<74$9;7>`3a3-;;h?4;5338 c`72893?6F7909m5730283?:6g7a083>>o?i;0;66g7a283>>ib?m0;66sm49;3>4<0290;w)?>3g8:5<=#00>1oh94$02g6?22:81C44?4n0063?7><>1b4l?50;9j>;3;197>50z&256`=1830(57;:d7g?!77l;0?9??4$gd3>45?;2B35<5a1374>4?302c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a0=?5280<6=4?{%327c<>901/44:5cd58 46c:3>>><5G8838j442?3;2845f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj==n57?54;294~"69:l15<74$9;7>fd03-;;h?4;5338 c`7289m<6F7909m5730283?m6g7a083>>o?i;0;66g7a283>>ib?m0;66sm4e4g>4<0290;w)?>3g8:5<=#00>1i9:4$02g6?22:81C44?4n0063?7>50z&256`=1830(57;:d67?!77l;0?9??4H9;2?k75=>0:59m4i9c2>5<5<5<5<o:k4>:683>5}#989m64?6;%::0?c3<2.:>92d:>895186g?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;d6295?1=83:p("?1=0n895+11f1>13592B35<5a1374>4?3m2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0a16280<6=4?{%327c<>901/44:5e568 46c:3>>><5G8838j442?3;28k5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=n<>7?53;294~"69:l15<74$9;7>`2b3-;;h?4;5338L=?63g;99:4>9428m=g62900e5o=:188k`1c2900qo:k7282>2<729q/=<=i:83:?!>><3n2?6*>0e0900463A22=6`>24595<363`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7<62=93<1<7>t$030b??612.3594l9b9'55b52=?9=6F7909m5730283>>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm490b>4<0290;w)?>3g8:5<=#00>1ni64$02g6?22:81C44?4n0063?7>=:1b4l?50;9j5k3;187>50z&256`=1830(57;:d6b?!77l;0?9??4H9;2?k75=>0:58:4i9c2>5<5<0;6=u+101e><7>3-2287j=d:&24a4=<<8:7E661:l2601=90?>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd30:<1=7850;2x 474n33:56*7958`=f=#99n969;=1:J;=4=i9;?<6<7:6:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a0=52280<6=4?{%327c<>901/44:5be:8 46c:3>>><5G8838j442?3;29:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=29j7?53;294~"69:l15<74$9;7>`073-;;h?4;5338 c`728>:>6F7909m5730283>46g7a083>>o?i;0;66aj7e83>>{e<18n6<48:183!76;o02=45+8869g16<,8:o>7::209K<<7;7?6589jh590;8 =?32j3o7)??d387177<@13:7c?=5682=0g5<5<6=44od5g>5<3?:4>:683>5}#989m64?6;%::0?da82.:>92d:>895187a?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;82c95?0=83:p("?1=0h5i5+11f1>13592B35<5a1374>4?2k2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi85=6:084>5<7s-;:?k46189'<<2=jo:0(<>k2;6664=O00;0b<<:7;3:1a=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5:0g?7=>3:112d9=4?<,13?6n7k;%33`7<3=;;0D57>;o3112<61:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:73c82>2<729q/=<=i:83:?!>><3hm<6*>0e0900463A22=6`>24595<3a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7<14=93<1<7>t$030b??612.3594l9e9'55b52=?9=6F7909m5730283=<6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm491g>4<0290;w)?>3g8:5<=#00>1nk>4$02g6?22:81C44?4n0063?7>>81b4l?50;9j4n3;1:7>50z&256`=1830(57;:b;g?!77l;0?9??4H9;2?k75=>0:5;<4i9c2>5<5<5<0;6=u+101e><7>3-2287li0:&24a4=<<8:7E661:l2601=90<87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd30=;1=7850;2x 474n33:56*7958`=a=#99n969;=1:J;=4=i9;?<6<794:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a0=27280<6=4?{%327c<>901/44:5bg28 46c:3>>><5G8838j442?3;2:85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=2?87?56;294~"69:l15<74$9;7>f?c3-;;h?4;5338L=?63g;99:4>9748m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2?<:0:6:4?:1y'545a20;27)664;`e4>"68m8188<>;I::5>h6:<=1=488;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?49851;494?6|,8;8j77>9:&;=1N?181e=?;8:0;5<>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<1>>6<48:183!76;o02=45+8869fc6<,8:o>7::209K<<7;7?6689jh590;8 =?32j3o7)??d387177<@13:7c?=5682=3g5<5<6=44od5g>5<38:4>:683>5}#989m64?6;%::0?da82.:>92d:>895184a?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;d`g95?1=83:p("?1=0o5?5+11f1>13592B35<5a1374>4?1k2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0ad6280<6=4?{%327c<>901/44:5d6a8 46c:3>>><5G8838j442?3;2:i5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=ni>7?56;294~"69:l15<74$9;7>`703-;;h?4;5338L=?63g;99:4>97g8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2cj:0:6:4?:1y'545a20;27)664;ag`>"68m8188<>;I::5>h6:<=1=48i;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?ho:51;594?6|,8;8j77>9:&;=1N?181e=?;8:0;44>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm49`e>4<1290;w)?>3g8:5<=#00>1o?81b4l?50;9jh590;8 =?32koi7)??d387177<@13:7c?=5682=245<5<6=44i9c5>5<0;6=u+101e><7>3-2287lkb:&24a4=<<8:7E661:l2601=90=87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd30hk1=7850;2x 474n33:56*7958f66=#99n969;=1:J;=4=i9;?<6<784:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a0=ge280<6=4?{%327c<>901/44:5d4`8 46c:3>>><5G8838j442?3;2;85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=2i;7?55;294~"69:l15<74$9;7>a>53-;;h?4;5338L=?63g;99:4>9648m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:7b882>3<729q/=<=i:83:?!>><3ioi6*>0e0900463A22=6`>24595<103`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?5?851;494?6|,8;8j77>9:&;=11/==j=:5715>N?181e=?;8:0;4<>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<0986<4::183!76;o02=45+8869ga?<,8:o>7::209K<<7;7?6789jh590;8 =?32m>n7)??d387177<@13:7c?=5682=2g5<5<6=44i9c5>5<<7>3-2287m=7:&24a4=<<8:7E661:l2601=90=i7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;8e695?0=83:p("?1=0hm:5+11f1>13592.mj=4>2c;8L=?63g;99:4>96a8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2?lj0:6:4?:1y'545a20;27)664;f71>"68m8188<>;I::5>h6:<=1=49k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?4ij51;194?6|,8;8j77>9:&;=1"an90:?kj4H9;2?k75=>0:5:k4i9c2>5<5<3hh4>:683>5}#989m64?6;%::0?dal2.:>92d:>895185e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;8d295?1=83:p("?1=0ih85+11f1>13592B35<5a1374>4??82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0=b2280<6=4?{%327c<>901/44:5b9a8 46c:3>>><5G8838j442?3;24<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=2o:7?57;294~"69:l15<74$9;7>g`f3-;;h?4;5338L=?63g;99:4>9908m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:6e`82>1<729q/=<=i:83:?!>><3n8;6*>0e0900463-lm<7?;129K<<7;7?6829j50z&256`=1830(57;:c;a?!77l;0?9??4H9;2?k75=>0:55:4i9c2>5<5<5<5<2jh4>:583>5}#989m64?6;%::0?b4?2.:>92d:>89518:6?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd31ol1=7950;2x 474n33:56*7958g2d=#99n969;=1:J;=4=i9;?<6<776:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8l>?:084>5<7s-;:?k46189'<<2=lk2;6664=O00;0b<<:7;3:<2=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5;g1?7=?3:112d9=4?<,13?6ilj;%33`7<3=;;0D57>;o3112<61120e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2>l?0:6:4?:1y'545a20;27)664;f;=>"68m8188<>;I::5>h6:<=1=466;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?5h=51;594?6|,8;8j77>9:&;=1N?181e=?;8:0;;e>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm48g7>4<1290;w)?>3g8:5<=#00>1o?74$02g6?22:81C44?4n0063?7>0k1b4l?50;9jh590;8 =?32j5<5<6=44od5g>5<2994>:783>5}#989m64?6;%::0?e692.:>92d:>89518:g?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd31<31=7950;2x 474n33:56*7958aag=#99n969;=1:J;=4=i9;?<6<77e:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi84;l:084>5<7s-;:?k46189'<<2=jmh0(<>k2;6664=O00;0b<<:7;3:n1<75rb5;6`?7=>3:112d9=4?<,13?6h<<;%33`7<3=;;0D57>;o3112<610:0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:65d82>2<729q/=<=i:83:?!>><3n>n6*>0e0900463A22=6`>245955;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7=0`=93?1<7>t$030b??612.3594k839'55b52=?9=6F7909m57302832>6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<0?<6<49:183!76;o02=45+8869gac<,8:o>7::209K<<7;7?6929j50z&256`=1830(57;:b32?!77l;0?9??4H9;2?k75=>0:54:4i9c2>5<5<5<0;6=u+101e><7>3-2287ljb:&24a4=<<8:7E661:l2601=903>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd31?31=7950;2x 474n33:56*7958a`g=#99n969;=1:J;=4=i9;?<6<766:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi848n:085>5<7s-;:?k46189'<<2=m;90(<>k2;6664=O00;0b<<:7;3:=2=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=3=n7?57;294~"69:l15<74$9;7>a3e3-;;h?4;5338L=?63g;99:4>98:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:66b82>0<729q/=<=i:83:?!>><3n3>6*>0e0900463A22=6`>245953`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`7=32=93<1<7>t$030b??612.3594ldd9'55b52=?9=6F7909m57302832m6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm484f>4<1290;w)?>3g8:5<=#00>1o1k1b4l?50;9jh590;8 =?32koi7)??d387177<@13:7c?=5682=5<5<6=44i9c5>5<0;6=u+101e><7>3-2287lkb:&24a4=<<8:7E661:l2601=903o7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd31>=1=7850;2x 474n33:56*7958f66=#99n969;=1:J;=4=i9;?<6<76e:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a0<1?280<6=4?{%327c<>901/44:5d4`8 46c:3>>><5G8838j442?3;25k5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=3<57?55;294~"69:l15<74$9;7>a>53-;;h?4;5338L=?63g;99:4>9`28m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:67082>3<729q/=<=i:83:?!>><3ioi6*>0e0900463A22=6`>245955;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?mlh51;494?6|,8;8j77>9:&;=1N?181e=?;8:0;b6>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e7::209K<<7;7?6a29jh590;8 =?32mhh7)??d387177<@13:7c?=5682=d25<5<6=44i9c5>5<<7>3-2287k;a:&24a4=<<8:7)hi0;30e`=O00;0b<<:7;3:e0=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=k;>7?57;294~"69:l15<74$9;7>f0>3-;;h?4;5338L=?63g;99:4>9`48m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:n0282>2<729q/=<=i:83:?!>><3n?46*>0e0900463A22=6`>245955;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7e51=93<1<7>t$030b??612.3594lb89'55b52=?9=6F7909m5730283j46g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm49d`>4<1290;w)?>3g8:5<=#00>1h4>4$02g6?22:81C44?4n0063?7>i01b4l?50;9jh590;8 =?32l:j7)??d387177<@13:7c?=5682=dg5<5<6=44od5g>5<2:283>5}#989m64?6;%::0?c3l2.:`6e3-;;h?4;5338L=?63g;99:4>9`a8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:7f682>2<729q/=<=i:83:?!>><3i8n6*>0e0900463A22=6`>245955;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7=c7=93>1<7>t$030b??612.3594k369'55b52=?9=6*if182074<@13:7c?=5682=dc5<5<2j54>:683>5}#989m64?6;%::0?d>j2.:>92d:>89518ce?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;9gf95?0=83:p("?1=0h4n5+11f1>13592B35<5a1374>4?e82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi84h=:085>5<7s-;:?k46189'<<2=k0k0(<>k2;6664=O00;0b<<:7;3:f4=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=3m?7?57;294~"69:l15<74$9;7>f7d3-;;h?4;5338L=?63g;99:4>9c08m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:6f482>2<729q/=<=i:83:?!>><3n3:6*>0e0900463A22=6`>245955;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7=c0=93=1<7>t$030b??612.3594mf`9'55b52=?9=6F7909m5730283i86g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<1hn6<49:183!76;o02=45+8869`<6<,8:o>7::209K<<7;7?6b49jfm3;1:7>50z&256`=1830(57;:d2b?!77l;0?9??4$gd3>45al2B35<5a1374>4?e>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi85oi:084>5<7s-;:?k46189'<<2=k:30(<>k2;6664=O00;0b<<:7;3:f2=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5:a7?7=>3:112d9=4?<,13?6n;o3112<61k20e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:7b582>2<729q/=<=i:83:?!>><3o;n6*>0e0900463A22=6`>245953`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7<`b=93<1<7>t$030b??612.3594k919'55b52=?9=6F7909m5730283im6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm49ge>4<1290;w)?>3g8:5<=#00>1i=o4$02g6?22:81/jk>512df?M>>92d:>89518`a?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd30o:1=7950;2x 474n33:56*7958`7<=#99n969;=1:J;=4=i9;?<6<7mc:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi85k::085>5<7s-;:?k46189'<<2=k;n0(<>k2;6664=O00;0b<<:7;3:fa=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=2n:7?57;294~"69:l15<74$9;7>`6e3-;;h?4;5338L=?63g;99:4>9cg8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:60d82>3<729q/=<=i:83:?!>><3n2<6*>0e0900463A22=6`>245955;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?5<>51;494?6|,8;8j77>9:&;=1N?181e=?;8:0;`4>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<0;:6<48:183!76;o02=45+8869g6?<,8:o>7::209K<<7;7?6c09jh590;8 =?32j8o7)??d387177<@13:7c?=5682=f45<5<6=44od5g>5<2<:4>:683>5}#989m64?6;%::0?c7j2.:>92d:>89518a0?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;96`95?0=83:p("?1=0im:5+11f1>13592B35<5a1374>4?d<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi846::080>5<7s-;:?k46189'<<2=m=o0(<>k2;6664=#no:1=>ok;I::5>h6:<=1=4m:;h:b5?6=3`2j>7>5;ng4`?6=3th?5:k51;594?6|,8;8j77>9:&;=101/==j=:5715>N?181e=?;8:0;`2>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm485e>4<0290;w)?>3g8:5<=#00>1h964$02g6?22:81C44?4n0063?7>k>1b4l?50;9j50z&256`=1830(57;:e``?!77l;0?9??4H9;2?k75=>0:5n64i9c2>5<5<5<5<j=;4>:783>5}#989m64?6;%::0?b>82.:>92d:>89518a:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd3i8:1=7950;2x 474n33:56*7958`2<=#99n969;=1:J;=4=i9;?<6<7la:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8l?>:084>5<7s-;:?k46189'<<2=l=20(<>k2;6664=O00;0b<<:7;3:gg=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5c26?7=?3:112d9=4?<,13?6o6i;%33`7<3=;;0D57>;o3112<61ji0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2f9:0:6;4?:1y'545a20;27)664;a5g>"68m8188<>;I::5>h6:<=1=4mk;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`7t$030b??612.3594m9c9'55b52=?9=6F7909m5730283hi6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<1ij6<49:183!76;o02=45+8869`<6<,8:o>7::209K<<7;7?6cg9jdj3;1:7>50z&256`=1830(57;:d11?!77l;0?9??4H9;2?k75=>0:5i>4i9c2>5<5<5<0;6=u+101e><7>3-2287jmc:&24a4=<<8:7E661:l2601=90n:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd30jl1=7950;2x 474n33:56*7958g2a=#99n969;=1:J;=4=i9;?<6<7k2:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi85m8:084>5<7s-;:?k46189'<<2=j0k0(<>k2;6664=O00;0b<<:7;3:`6=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5:`12d9=4?<,13?6ohm;%33`7<3=;;0D57>;o3112<61m>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2><>0:6;4?:1y'545a20;27)664;`b3>"68m8188<>;I::5>h6:<=1=4j:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`7=1c=93<1<7>t$030b??612.3594l8`9'55b52=?9=6*if1827db<@13:7c?=5682=a05<5<6=44od5g>5<28l4>:683>5}#989m64?6;%::0?c7?2.:>92d:>89518f4?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;95`95?1=83:p("?1=0h<85+11f1>13592B35<5a1374>4?c02c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0<2d280<6=4?{%327c<>901/44:5d6;8 46c:3>>><5G8838j442?3;2h45f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=3?h7?57;294~"69:l15<74$9;7>f413-;;h?4;5338L=?63g;99:4>9ec8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:6a582>2<729q/=<=i:83:?!>><3h2n6*>0e0900463A22=6`>245955;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7=g4=93>1<7>t$030b??612.3594j1`9'55b52=?9=6*if1827fe<@13:7c?=5682=ae5<5<2n>4>:683>5}#989m64?6;%::0?b5j2.:>92d:>89518fg?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;9c495?1=83:p("?1=0h955+11f1>13592B35<5a1374>4?cm2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0901/44:5d5:8 46c:3>>><5G8838j442?3;2hk5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=3j:7?57;294~"69:l15<74$9;7>`5f3-;;h?4;5338L=?63g;99:4>9d28m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:6a982>2<729q/=<=i:83:?!>><3hmn6*>0e0900463A22=6`>245955;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7e7g=93=1<7>t$030b??612.3594m9c9'55b52=?9=6F7909m5730283n>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7::209K<<7;7?6e29j50z&256`=1830(57;:d11?!77l;0?9??4H9;2?k75=>0:5h:4i9c2>5<5<5<0;6=u+101e><7>3-2287jmc:&24a4=<<8:7E661:l2601=90o>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3i:>1=7950;2x 474n33:56*7958g2a=#99n969;=1:J;=4=i9;?<6<7j6:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8l5<7s-;:?k46189'<<2=m;30(<>k2;6664=O00;0b<<:7;3:a2=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5c1g?7=?3:112d9=4?<,13?6n;o3112<61l20e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2f;?0:6:4?:1y'545a20;27)664;`:f>"68m8188<>;I::5>h6:<=1=4k6;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?m>o51;494?6|,8;8j77>9:&;=1N?181e=?;8:0;fe>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e7::209K<<7;7?6ec9j50z&256`=1830(57;:e``?!77l;0?9??4H9;2?k75=>0:5hm4i9c2>5<5<5<5<j8=4>:683>5}#989m64?6;%::0?b1l2.:>92d:>89518gg?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;a2595?1=83:p("?1=0i5l5+11f1>13592B35<5a1374>4?bm2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0d5?280<6=4?{%327c<>901/44:5bg`8 46c:3>>><5G8838j442?3;2ik5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=k?>7?57;294~"69:l15<74$9;7>g?e3-;;h?4;5338L=?63g;99:4>9g28m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:n4782>3<729q/=<=i:83:?!>><3n2<6*>0e0900463A22=6`>24595<`63`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?m9951;494?6|,8;8j77>9:&;=1N?181e=?;8:0;e6>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{ei6<48:183!76;o02=45+8869`ge<,8:o>7::209K<<7;7?6f29jh590;8 =?32m5<5<6=44i9c5>5<0;6=u+101e><7>3-2287l6a:&24a4=<<8:7E661:l2601=90l>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3i=>1=7950;2x 474n33:56*7958abg=#99n969;=1:J;=4=i9;?<6<7i6:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8l:j:084>5<7s-;:?k46189'<<2=j0h0(<>k2;6664=O00;0b<<:7;3:b2=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5c66?7=>3:112d9=4?<,13?6i7?;%33`7<3=;;0D57>;o3112<61o20e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:n5282>3<729q/=<=i:83:?!>><3o8>6*>0e0900463A22=6`>24595<`>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?m8951;594?6|,8;8j77>9:&;=1N?181e=?;8:0;ee>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4`7;>4<0290;w)?>3g8:5<=#00>1h;j4$02g6?22:81C44?4n0063?7>nk1b4l?50;9j50z&256`=1830(57;:c;b?!77l;0?9??4H9;2?k75=>0:5km4i9c2>5<5<5<5<j9=4>:683>5}#989m64?6;%::0?daj2.:>92d:>89518dg?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;a4c95?1=83:p("?1=0i5o5+11f1>13592B35<5a1374>4?am2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0d3b280=6=4?{%327c<>901/44:5d828 46c:3>>><5G8838j442?3;2jk5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb5c6b?7=>3:112d9=4?<,13?6h==;%33`7<3=;;0D57>;o3112<6i9:0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:n6282>2<729q/=<=i:83:?!>><3nio6*>0e0900463A22=6`>24595d663`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7e32=93=1<7>t$030b??612.3594k6e9'55b52=?9=6F7909m573028k;>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7::209K<<7;7?n029jh590;8 =?32kli7)??d387177<@13:7c?=5682e525<5<6=44i9c5>5<0;6=u+101e><7>3-2287l6b:&24a4=<<8:7E661:l2601=9h:>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3i?k1=7850;2x 474n33:56*7958g=5=#99n969;=1:J;=4=i9;?<6901/44:5e208 46c:3>>><5G8838j442?3;j<:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb5c5b?7=?3:112d9=4?<,13?6ill;%33`7<3=;;0D57>;o3112<6i920e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2f?90:6:4?:1y'545a20;27)664;f5`>"68m8188<>;I::5>h6:<=1=l>6;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?m;951;594?6|,8;8j77>9:&;=1N?181e=?;8:0c3e>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4`4;>4<0290;w)?>3g8:5<=#00>1nkl4$02g6?22:81C44?4n0063?7f8k1b4l?50;9j50z&256`=1830(57;:c;a?!77l;0?9??4H9;2?k75=>0:m=m4i9c2>5<5<5<5<j;;4>:783>5}#989m64?6;%::0?b>82.:>92d:>8951`2g?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd3i>=1=7850;2x 474n33:56*7958f77=#99n969;=1:&eb5<6;080D57>;o3112<6i9o0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:n7c82>2<729q/=<=i:83:?!>><3nio6*>0e0900463A22=6`>24595d6a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7e2e=93=1<7>t$030b??612.3594k6e9'55b52=?9=6F7909m573028k:<6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7::209K<<7;7?n109jh590;8 =?32kli7)??d387177<@13:7c?=5682e445<5<6=44i9c5>5<<7>3-2287j60:&24a4=<<8:7E661:l2601=9h;87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;93295?0=83:p("?1=0n13592.mj=4>3978L=?63g;99:4>a068m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2>:80:6:4?:1y'545a20;27)664;a0=>"68m8188<>;I::5>h6:<=1=l?:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?5<851;494?6|,8;8j77>9:&;=1N?181e=?;8:0c22>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<0;<6<48:183!76;o02=45+8869a5d<,8:o>7::209K<<7;7?n169jh590;8 =?32kk<7)??d387177<@13:7c?=5682e4>5<5<6=44od5g>5<25?4>:783>5}#989m64?6;%::0?b>82.:>92d:>8951`3:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd311n1=7950;2x 474n33:56*7958`2<=#99n969;=1:J;=4=i9;?<6a:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi846j:084>5<7s-;:?k46189'<<2=l=20(<>k2;6664=O00;0b<<:7;3b5g=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5;;b?7=?3:112d9=4?<,13?6o7>;%33`7<3=;;0D57>;o3112<6i8i0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2f:80:6:4?:1y'545a20;27)664;fag>"68m8188<>;I::5>h6:<=1=l?k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?m?=51;494?6|,8;8j77>9:&;=1N?181e=?;8:0c2a>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e7::209K<<7;7?n1g9je;393?6=8r.:=>h590;8 =?32j<<7)??d387177<@13:7c?=5682e765<5<6=44i9c5>5<0;6=u+101e><7>3-2287l7e:&24a4=<<8:7E661:l2601=9h8:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3i;:1=7850;2x 474n33:56*7958`2f=#99n969;=1:J;=4=i9;?<6901/44:5dca8 46c:3>>><5G8838j442?3;j>>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=3n57?56;294~"69:l15<74$9;7>a?73-;;h?4;5338L=?63g;99:4>a368m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2>l>0:6;4?:1y'545a20;27)664;fea>"68m8188<>;I::5>h6:<=1=l<:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`7=a>=93<1<7>t$030b??612.3594k739'55b52=?9=6*if1827`5<@13:7c?=5682e705<5<6=44od5g>5<2h44>:283>5}#989m64?6;%::0?c3l2.:5G8838j442?3;j>:5f8`394?=n0h81<75`e6f94?=zj=3om7?57;294~"69:l15<74$9;7>a>03-;;h?4;5338L=?63g;99:4>a3:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:6dc82>2<729q/=<=i:83:?!>><3i=56*>0e0900463A22=6`>24595d4>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7=ae=93=1<7>t$030b??612.3594l669'55b52=?9=6F7909m573028k9m6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<0no6<48:183!76;o02=45+8869f=c<,8:o>7::209K<<7;7?n2c9jh590;8 =?32j5<5<6=44od5g>5<25;4>:783>5}#989m64?6;%::0?df?2.:>92d:>8951`0g?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd310l1=7850;2x 474n33:56*7958g=5=#99n969;=1:J;=4=i9;?<6280<6=4?{%327c<>901/44:5c7;8 46c:3>>><5G8838j442?3;j>k5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=32m7?57;294~"69:l15<74$9;7>a2?3-;;h?4;5338L=?63g;99:4>a228m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:69c82>2<729q/=<=i:83:?!>><3h2=6*>0e0900463A22=6`>24595d563`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7=ge=93=1<7>t$030b??612.3594kbb9'55b52=?9=6F7909m573028k8>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<0hn6<49:183!76;o02=45+8869`<6<,8:o>7::209K<<7;7?n329j50z&256`=1830(57;:b4:?!77l;0?9??4H9;2?k75=>0:m>:4i9c2>5<5<5<5<2n44>:683>5}#989m64?6;%::0?b302.:>92d:>8951`16?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;9cc95?1=83:p("?1=0i4k5+11f1>13592B35<5a1374>4g4>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0901/44:5c7a8 46c:3>>><5G8838j442?3;j?:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb5:67?7=?3:112d9=4?<,13?6n?;;%33`7<3=;;0D57>;o3112<6i:20e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2>k00:6:4?:1y'545a20;27)664;fag>"68m8188<>;I::5>h6:<=1=l=6;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?5nl51;494?6|,8;8j77>9:&;=1N?181e=?;8:0c0e>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<0i>6<48:183!76;o02=45+8869g3?<,8:o>7::209K<<7;7?n3c9jh590;8 =?32m>37)??d387177<@13:7c?=5682e6e5<5<6=44i9c5>5<0;6=u+101e><7>3-2287l7f:&24a4=<<8:7E661:l2601=9h9o7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd31j21=7850;2x 474n33:56*7958`2f=#99n969;=1:J;=4=i9;?<6901/44:5d6d8 46c:3>>><5G8838j442?3;j?k5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=nj:7?56;294~"69:l15<74$9;7>`223-;;h?4;5338 c`7288286F7909m573028k?<6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm4ec4>4<0290;w)?>3g8:5<=#00>1i>?4$02g6?22:81C44?4n0063?7f<81b4l?50;9j50z&256`=1830(57;:ecg?!77l;0?9??4H9;2?k75=>0:m9<4i9c2>5<5<5<0;6=u+101e><7>3-2287jme:&24a4=<<8:7E661:l2601=9h>87d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd30=o1=7950;2x 474n33:56*7958`35=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=k8:0(<>k2;6664=O00;0b<<:7;3b00=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5:7b?7=>3:112d9=4?<,13?6nm>;%33`7<3=;;0(kh?:00:7>N?181e=?;8:0c72>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<1>26<48:183!76;o02=45+8869gf6<,8:o>7::209K<<7;7?n469jh590;8 =?32j?:7)??d387177<@13:7c?=5682e1>5<5<6=44od5g>5<3;44>:683>5}#989m64?6;%::0?b682.:>92d:>8951`6:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;d8495?3=83:p("?1=0ii=5+11f1>13592.mj=4>2818L=?63g;99:4>a5c8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:k9482>2<729q/=<=i:83:?!>><3hi;6*>0e0900463A22=6`>24595d2e3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7f1g=9391<7>t$030b??612.3594ke79'55b52=kon6F7909m573028k?o6g7a083>>o?i;0;66aj7e83>>{e26<48:183!76;o02=45+8869a12<,8:o>7:ndc9K<<7;7?n4e9jh590;8 =?32m8>7)??d387177<@13:7c?=5682e1c5<5<6=44i9c5>5<0;6=u+101e><7>3-2287ljd:&24a4=<<8:7E661:l2601=9h>m7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3?5<7s-;:?k46189'<<2=jln0(<>k2;6664=O00;0b<<:7;3b14=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5563?7=?3:112d9=4?<,13?6i<:;%33`7<3=;;0D57>;o3112<6i<80e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg20=?0:6:4?:1y'545a20;27)664;`f`>"68m8188<>;I::5>h6:<=1=l;<;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?;8751;594?6|,8;8j77>9:&;=1N?181e=?;8:0c60>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm467;>4<0290;w)?>3g8:5<=#00>1nhj4$02g6?22:81C44?4n0063?7f=<1b4l?50;9j50z&256`=1830(57;:e06?!77l;0?9??4H9;2?k75=>0:m884i9c2>5<5<5<5<<9l4>:683>5}#989m64?6;%::0?dbl2.:>92d:>8951`74?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;74f95?1=83:p("?1=0o>85+11f1>13592B35<5a1374>4g202c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a023d280<6=4?{%327c<>901/44:5bdf8 46c:3>>><5G8838j442?3;j945f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj==>j7?57;294~"69:l15<74$9;7>a423-;;h?4;5338L=?63g;99:4>a4c8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:85d82>2<729q/=<=i:83:?!>><3hnh6*>0e0900463A22=6`>24595d3e3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7337=93=1<7>t$030b??612.3594k249'55b52=?9=6F7909m573028k>o6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<><;6<48:183!76;o02=45+8869f`b<,8:o>7::209K<<7;7?n5e9jh590;8 =?32m8>7)??d387177<@13:7c?=5682e0c5<5<6=44i9c5>5<0;6=u+101e><7>3-2287ljd:&24a4=<<8:7E661:l2601=9h?m7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3?>h1=7950;2x 474n33:56*7958g60=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=jln0(<>k2;6664=O00;0b<<:7;3b24=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb554`?7=?3:112d9=4?<,13?6i<:;%33`7<3=;;0D57>;o3112<6i?80e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg20?j0:6:4?:1y'545a20;27)664;`f`>"68m8188<>;I::5>h6:<=1=l8<;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?;:h51;594?6|,8;8j77>9:&;=1N?181e=?;8:0c50>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm465f>4<0290;w)?>3g8:5<=#00>1nhj4$02g6?22:81C44?4n0063?7f><1b4l?50;9j50z&256`=1830(57;:e06?!77l;0?9??4H9;2?k75=>0:m;84i9c2>5<5<5<5<<4=4>:683>5}#989m64?6;%::0?dbl2.:>92d:>8951`44?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;79195?1=83:p("?1=0o>85+11f1>13592B35<5a1374>4g102c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a02>5280<6=4?{%327c<>901/44:5bdf8 46c:3>>><5G8838j442?3;j:45f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj==397?57;294~"69:l15<74$9;7>a423-;;h?4;5338L=?63g;99:4>a7c8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:88582>2<729q/=<=i:83:?!>><3hnh6*>0e0900463A22=6`>24595d0e3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`73=1=93=1<7>t$030b??612.3594k249'55b52=?9=6F7909m573028k=o6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<>2=6<48:183!76;o02=45+8869f`b<,8:o>7::209K<<7;7?n6e9jh590;8 =?32m::7)??d387177<@13:7c?=5682e3c5<5<6=44od5g>5<<:o4>:783>5}#989m64?6;%::0?bbn2.:>92d:>8951`4e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd3??i1=7950;2x 474n33:56*7958`5<=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=l9;0(<>k2;6664=O00;0b<<:7;3b34=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj===j7?56;294~"69:l15<74$9;7>aca3-;;h?4;5338L=?63g;99:4>a608m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg20?90:6:4?:1y'545a20;27)664;a2=>"68m8188<>;I::5>h6:<=1=l9<;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?;:<51;494?6|,8;8j77>9:&;=1N?181e=?;8:0c40>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<>=86<49:183!76;o02=45+8869```<,8:o>7::209K<<7;7?n749j50z&256`=1830(57;:b3:?!77l;0?9??4H9;2?k75=>0:m:84i9c2>5<5<5<5<<;;4>:783>5}#989m64?6;%::0?b792.:>92d:>8951`54?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd3?>=1=7850;2x 474n33:56*7958gac=#99n969;=1:J;=4=i9;?<6901/44:5c0;8 46c:3>>><5G8838j442?3;j;45f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj===87?56;294~"69:l15<74$9;7>f`43-;;h?4;5338L=?63g;99:4>a6c8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg20>?0:6;4?:1y'545a20;27)664;ffa>"68m8188<>;I::5>h6:<=1=l9m;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`733>=93=1<7>t$030b??612.3594l189'55b52=?9=6F7909m573028k>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7:ndc9'bc6=9:l87E661:l2601=9h=o7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;ad`95?1=83:p("?1=0h8?5+11f1>1gcj2B35<5a1374>4g0m2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a024b280>6=4?{%327c<>901/44:5de:8 46c:3>>><5+fg2957d03A22=6`>24595d1a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`737b=93=1<7>t$030b??612.3594kf79'55b52=?9=6F7909m573028k3<6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7:ndc9'bc6=9:l87E661:l2601=9h2:7d6n1;29?l>f:3:17bk8d;29?xd3il31=7950;2x 474n33:56*7958`07=#99n969okb:J;=4=i9;?<65<7s-;:?k46189'<<2=jjl0(<>k2;6fe1=O00;0b<<:7;3b<6=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb31aa?7=?3:112d9=4?<,13?6i?i;%33`7<5;0o0D57>;o3112<6i1>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg44jo0:6;4?:1y'545a20;27)664;feb>"68m81>>7j;I::5>h6:<=1=l6:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`17f6=93<1<7>t$030b??612.3594k129'55b52;92i6F7909m573028k3:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm22a2>4<0290;w)?>3g8:5<=#00>1h:l4$02g6?441l1C44?4n0063?7f0>1b4l?50;9j50z&256`=1830(57;:ea;?!77l;09?4k4H9;2?k75=>0:m564i9c2>5<5<5<5<:683>5}#989m64?6;%::0?b6n2.:>92d:>8951`::?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=24295?0=83:p("?1=0ojk5+11f1>745n2B35<5a1374>4g?i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi>?;>:085>5<7s-;:?k46189'<<2=l890(<>k2;016c=O00;0b<<:7;3b>7?57;294~"69:l15<74$9;7>a1e3-;;h?4=23d8L=?63g;99:4>a9a8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo<=5282>2<729q/=<=i:83:?!>><3nh46*>0e09674a3A22=6`>24595d>c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`705d=93>1<7>t$030b??612.3594kde9'55b52=93j6F7909m573028k3i6g7a083>>o?i;0;66g7a283>>ib?m0;66sm452b>4<0290;w)?>3g8:5<=#00>1o:?4$02g6?240o1C44?4n0063?7f0o1b4l?50;9j50z&256`=1830(57;:d67?!77l;0?8=m4H9;2?k75=>0:m4>4i9c2>5<5<5<5<?>=4>:683>5}#989m64?6;%::0?c3<2.:>92d:>8951`;2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;43395?1=83:p("?1=0n?k5+11f1>127k2B35<5a1374>4g>:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0637280<6=4?{%327c<>901/44:5e568 46c:3>9i95G8838j442?3;j5>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8i=<7?57;294~"69:l15<74$9;7>f0c3-;;h?4>5e:8L=?63g;99:4>a868m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?l6`82>2<729q/=<=i:83:?!>><3i=h6*>0e0950b?3A22=6`>24595d?23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2g3d=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m573028k2:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9j7?:d99K<<7;7?n969jh590;8 =?32j<@13:7c?=5682e<>5<5<6=44i9c5>5<0;6=u+101e><7>3-2287m9d:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6k?l1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<65<7s-;:?k46189'<<2=k?n0(<>k2;36`==O00;0b<<:7;3b=g=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb0a45?7=?3:112d9=4?<,13?6n8k;%33`7<6=m20D57>;o3112<6i0i0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg7d>80:6:4?:1y'545a20;27)664;a5`>"68m81=8j7;I::5>h6:<=1=l7k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:o;<51;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:0c:a>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm1b40>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?72l11C44?4n0063?7f1o1b4l?50;9j50z&256`=1830(57;:ed6?!77l;0:9i64H9;2?k75=>0:ml>4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?ba=2.:>92d:>8951`c2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>c5g95?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>4gf:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a5f30280<6=4?{%327c<>901/44:5c7f8 46c:3;>h55G8838j442?3;jm>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8i>47?57;294~"69:l15<74$9;7>f0c3-;;h?4>5e:8L=?63g;99:4>a`68m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?l5882>2<729q/=<=i:83:?!>><3i=h6*>0e0950b?3A22=6`>24595dg23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2g0g=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m573028kj:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9j?i6<48:183!76;o02=45+8869g3b<,8:o>7?:d99K<<7;7?na69jh590;8 =?32j<@13:7c?=5682ed>5<5<6=44i9c5>5<0;6=u+101e><7>3-2287m9d:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6k5<7s-;:?k46189'<<2=k?n0(<>k2;36`==O00;0b<<:7;3beg=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb0a64?7=?3:112d9=4?<,13?6n8k;%33`7<6=m20D57>;o3112<6ihi0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg7d=80:6:4?:1y'545a20;27)664;a5`>"68m81=8j7;I::5>h6:<=1=lok;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:o8<51;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:0cba>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm1b70>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?72l11C44?4n0063?7fio1b4l?50;9j50z&256`=1830(57;:e14?!77l;0:9i64H9;2?k75=>0:mo>4i9c2>5<5<0;6=u+101e><7>3-2287ji5:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6k<<1=7950;2x 474n33:56*7958gb0=#99n96<;k8:J;=4=i9;?<65<7s-;:?k46189'<<2=k?n0(<>k2;36`==O00;0b<<:7;3bf6=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb0520?7=?3:112d9=4?<,13?6nh=;%33`7<6=m20D57>;o3112<6ik>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg709:0:6:4?:1y'545a20;27)664;a5`>"68m81=8j7;I::5>h6:<=1=ll:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;<<51;594?6|,8;8j77>9:&;=1N?181e=?;8:0ca2>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm1632>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?72l11C44?4n0063?7fj>1b4l?50;9j50z&256`=1830(57;:bd1?!77l;0:9i64H9;2?k75=>0:mo64i9c2>5<5<5<5<:683>5}#989m64?6;%::0?e1l2.:>92d:>8951``:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>71g95?1=83:p("?1=0hj?5+11f1>43c02B35<5a1374>4gei2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a526c280<6=4?{%327c<>901/44:5c7f8 46c:3;>h55G8838j442?3;jno5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8=;o7?57;294~"69:l15<74$9;7>f`53-;;h?4>5e:8L=?63g;99:4>aca8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?80c82>2<729q/=<=i:83:?!>><3i=h6*>0e0950b?3A22=6`>24595ddc3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`235g=93=1<7>t$030b??612.3594lf39'55b528?o46F7909m573028kii6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9>:26<48:183!76;o02=45+8869g3b<,8:o>7?:d99K<<7;7?nbg9jh590;8 =?32jl97)??d3821a><@13:7c?=5682ef65<5<6=44i9c5>5<0;6=u+101e><7>3-2287m9d:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6?9<1=7950;2x 474n33:56*7958`b7=#99n96<;k8:J;=4=i9;?<6;:084>5<7s-;:?k46189'<<2=k?n0(<>k2;36`==O00;0b<<:7;3bg6=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb0537?7=?3:112d9=4?<,13?6nh=;%33`7<6=m20D57>;o3112<6ij>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg708;0:6:4?:1y'545a20;27)664;a5`>"68m81=8j7;I::5>h6:<=1=lm:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:;=?51;594?6|,8;8j77>9:&;=1N?181e=?;8:0c`2>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm1623>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?72l11C44?4n0063?7fk>1b4l?50;9j50z&256`=1830(57;:bd1?!77l;0:9i64H9;2?k75=>0:mn64i9c2>5<5<5<5<:683>5}#989m64?6;%::0?e1l2.:>92d:>8951`a:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>6gf95?1=83:p("?1=0hj?5+11f1>43c02B35<5a1374>4gdi2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a53`d280<6=4?{%327c<>901/44:5c7f8 46c:3;>h55G8838j442?3;joo5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8f`53-;;h?4>5e:8L=?63g;99:4>aba8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?80482>2<729q/=<=i:83:?!>><3i=h6*>0e0950b?3A22=6`>24595dec3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`22cg=93=1<7>t$030b??612.3594lf39'55b528?o46F7909m573028khi6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9?l?6<48:183!76;o02=45+8869g3b<,8:o>7?:d99K<<7;7?ncg9jh590;8 =?32m9m7)??d3821a><@13:7c?=5682ea65<5<6=44od5g>5<:683>5}#989m64?6;%::0?e1l2.:>92d:>8951`f2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>6dd95?0=83:p("?1=0h:=5+11f1>43c02B35<5a1374>4gc:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=;h?:086>5<7s-;:?k46189'<<2=m:>0(<>k2;36`==O00;0b<<:7;3b`6=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb04e5?7=>3:112d9=4?<,13?6ojl;%33`7<6=m20D57>;o3112<6im>0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo?9f382>3<729q/=<=i:83:?!>><3n8j6*>0e0950b?3A22=6`>24595db23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th::h651;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:0cg2>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm17g:>4<1290;w)?>3g8:5<=#00>1o;>4$02g6?72l11C44?4n0063?7fl>1b4l?50;9jh590;8 =?32l9?7)??d3821a><@13:7c?=5682ea>5<5<<7>3-2287lkc:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>6da95?0=83:p("?1=0o?k5+11f1>43c02B35<5a1374>4gci2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=;k=:084>5<7s-;:?k46189'<<2=k?n0(<>k2;36`==O00;0b<<:7;3b`g=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb04f7?7=>3:112d9=4?<,13?6n8?;%33`7<6=m20D57>;o3112<6imi0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo?9e582>0<729q/=<=i:83:?!>><3o886*>0e0950b?3A22=6`>24595dbc3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`22`3=93<1<7>t$030b??612.3594mdb9'55b528?o46F7909m573028koi6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm17g5>4<1290;w)?>3g8:5<=#00>1h>h4$02g6?72l11C44?4n0063?7flo1b4l?50;9jh590;8 =?32j<@13:7c?=5682e`65<5<6=44i9c5>5<<7>3-2287m90:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>6eg95?3=83:p("?1=0n?95+11f1>43c02B35<5a1374>4gb:2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a53ba280=6=4?{%327c<>901/44:5bea8 46c:3;>h55G8838j442?3;ji>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb04f4?7=>3:112d9=4?<,13?6i=i;%33`7<6=m20D57>;o3112<6il>0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo?9d782>2<729q/=<=i:83:?!>><3i=h6*>0e0950b?3A22=6`>24595dc23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`22a1=93<1<7>t$030b??612.3594l619'55b528?o46F7909m573028kn:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm17f;>4<2290;w)?>3g8:5<=#00>1i>:4$02g6?72l11C44?4n0063?7fm>1b4l?50;9j50z&256`=1830(57;:cf`?!77l;0:9i64H9;2?k75=>0:mh64i9c2>5<5<5<<7>3-2287jf:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>6e295?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>4gbi2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a53b6280=6=4?{%327c<>901/44:5c728 46c:3;>h55G8838j442?3;jio5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb04g6?7==3:112d9=4?<,13?6h=;;%33`7<6=m20D57>;o3112<6ili0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg71l:0:6;4?:1y'545a20;27)664;`gg>"68m81=8j7;I::5>h6:<=1=lkk;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`22a2=93<1<7>t$030b??612.3594k3g9'55b528?o46F7909m573028kni6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm17ab>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?72l11C44?4n0063?7fmo1b4l?50;9j50z&256`=1830(57;:b43?!77l;0:9i64H9;2?k75=>0:mk>4i9c2>5<5<5<<7>3-2287k<4:&24a4=9f:3:17d6n3;29?l>f<3:17bk8d;29?xd6>jn1=7850;2x 474n33:56*7958a`f=#99n96<;k8:J;=4=i9;?<6901/44:5d2d8 46c:3;>h55G8838j442?3;jj>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb04`0?7=?3:112d9=4?<,13?6n8k;%33`7<6=m20D57>;o3112<6io>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg71k<0:6;4?:1y'545a20;27)664;a54>"68m81=8j7;I::5>h6:<=1=lh:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`22f0=93?1<7>t$030b??612.3594j359'55b528?o46F7909m573028km:6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e9?i<6<49:183!76;o02=45+8869fae<,8:o>7?:d99K<<7;7?nf69j50z&256`=1830(57;:e1e?!77l;0:9i64H9;2?k75=>0:mk64i9c2>5<5<5<0;6=u+101e><7>3-2287m9d:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6>kl1=7850;2x 474n33:56*7958`25=#99n96<;k8:J;=4=i9;?<66=4?{%327c<>901/44:5e268 46c:3;>h55G8838j442?3;jjo5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj8gbd3-;;h?4>5e:8L=?63g;99:4>aga8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg71k;0:6;4?:1y'545a20;27)664;f0b>"68m81=8j7;I::5>h6:<=1=lhk;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`22g>=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m573028kmi6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9?h26<49:183!76;o02=45+8869g36<,8:o>7?:d99K<<7;7?nfg9j50z&256`=1830(57;:d17?!77l;0:9i64H9;2?k75=>0:n=>4i9c2>5<5<5<:783>5}#989m64?6;%::0?dck2.:>92d:>8951c22?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6>ki1=7850;2x 474n33:56*7958g7c=#99n96<;k8:J;=4=i9;?<6901/44:5c7f8 46c:3;>h55G8838j442?3;i<>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8f073-;;h?4>5e:8L=?63g;99:4>b168m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg71j=0:684?:1y'545a20;27)664;g00>"68m81=8j7;I::5>h6:<=1=o>:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th::o;51;494?6|,8;8j77>9:&;=1N?181e=?;8:0`32>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e9?h=6<49:183!76;o02=45+8869`6`<,8:o>7?:d99K<<7;7?m069j50z&256`=1830(57;:b4g?!77l;0:9i64H9;2?k75=>0:n=64i9c2>5<5<5<5<:783>5}#989m64?6;%::0?e182.:>92d:>8951c2:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6>ho1=7;50;2x 474n33:56*7958f71=#99n96<;k8:J;=4=i9;?<65<7s-;:?k46189'<<2=jmi0(<>k2;36`==O00;0b<<:7;3a4g=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj8a5a3-;;h?4>5e:8L=?63g;99:4>b1a8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg71i?0:6:4?:1y'545a20;27)664;a5`>"68m81=8j7;I::5>h6:<=1=o>k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th::l951;494?6|,8;8j77>9:&;=191/==j=:07g<>N?181e=?;8:0`3a>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e9?k36<4::183!76;o02=45+8869a62<,8:o>7?:d99K<<7;7?m0g9jh590;8 =?32knh7)??d3821a><@13:7c?=5682f465<5<6=44od5g>5<:783>5}#989m64?6;%::0?b4n2.:>92d:>8951c32?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6>h:1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<62:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi=;o>:085>5<7s-;:?k46189'<<2=k?:0(<>k2;36`==O00;0b<<:7;3a56=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj87?55;294~"69:l15<74$9;7>`533-;;h?4>5e:8L=?63g;99:4>b068m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo?9a282>3<729q/=<=i:83:?!>><3hoo6*>0e0950b?3A22=6`>24595g723`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th::l:51;494?6|,8;8j77>9:&;=1N?181e=?;8:0`22>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e9?3j6<48:183!76;o02=45+8869g3b<,8:o>7?:d99K<<7;7?m169jh590;8 =?32j<;7)??d3821a><@13:7c?=5682f4>5<5<6=44od5g>5<:483>5}#989m64?6;%::0?c4<2.:>92d:>8951c3:?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl>68f95?0=83:p("?1=0ihn5+11f1>43c02B35<5a1374>4d6i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=;7j:085>5<7s-;:?k46189'<<2=l:l0(<>k2;36`==O00;0b<<:7;3a5g=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj8<287?57;294~"69:l15<74$9;7>f0c3-;;h?4>5e:8L=?63g;99:4>b0a8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?99482>3<729q/=<=i:83:?!>><3i=<6*>0e0950b?3A22=6`>24595g7c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th::4851;794?6|,8;8j77>9:&;=1N?181e=?;8:0`2a>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm17;4>4<1290;w)?>3g8:5<=#00>1nim4$02g6?72l11C44?4n0063?7e9o1b4l?50;9jh590;8 =?32m9m7)??d3821a><@13:7c?=5682f765<5<6=44od5g>5<:683>5}#989m64?6;%::0?e1l2.:>92d:>8951c02?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>69d95?0=83:p("?1=0h:=5+11f1>43c02B35<5a1374>4d5:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=;7?:086>5<7s-;:?k46189'<<2=m:>0(<>k2;36`==O00;0b<<:7;3a66=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb04:5?7=>3:112d9=4?<,13?6ojl;%33`7<6=m20D57>;o3112<6j;>0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo?99382>3<729q/=<=i:83:?!>><3n8j6*>0e0950b?3A22=6`>24595g423`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th::5651;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:0`12>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm17::>4<1290;w)?>3g8:5<=#00>1o;>4$02g6?72l11C44?4n0063?7e:>1b4l?50;9jh590;8 =?32l9?7)??d3821a><@13:7c?=5682f7>5<5<<7>3-2287lkc:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>69a95?0=83:p("?1=0o?k5+11f1>43c02B35<5a1374>4d5i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=;6=:084>5<7s-;:?k46189'<<2=k?n0(<>k2;36`==O00;0b<<:7;3a6g=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb04;7?7=>3:112d9=4?<,13?6n8?;%33`7<6=m20D57>;o3112<6j;i0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo?98582>0<729q/=<=i:83:?!>><3o886*>0e0950b?3A22=6`>24595g4c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`22=3=93<1<7>t$030b??612.3594mdb9'55b528?o46F7909m573028h9i6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm17:5>4<1290;w)?>3g8:5<=#00>1h>h4$02g6?72l11C44?4n0063?7e:o1b4l?50;9jh590;8 =?32j<@13:7c?=5682f665<5<6=44i9c5>5<<7>3-2287m90:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>66g95?3=83:p("?1=0n?95+11f1>43c02B35<5a1374>4d4:2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a531a280=6=4?{%327c<>901/44:5bea8 46c:3;>h55G8838j442?3;i?>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb04;4?7=>3:112d9=4?<,13?6i=i;%33`7<6=m20D57>;o3112<6j:>0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo?97782>2<729q/=<=i:83:?!>><3i=h6*>0e0950b?3A22=6`>24595g523`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2221=93<1<7>t$030b??612.3594l619'55b528?o46F7909m573028h8:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm175;>4<2290;w)?>3g8:5<=#00>1i>:4$02g6?72l11C44?4n0063?7e;>1b4l?50;9j50z&256`=1830(57;:cf`?!77l;0:9i64H9;2?k75=>0:n>64i9c2>5<5<5<<7>3-2287jf:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>66295?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>4d4i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a5316280=6=4?{%327c<>901/44:5c728 46c:3;>h55G8838j442?3;i?o5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb0446?7==3:112d9=4?<,13?6h=;;%33`7<6=m20D57>;o3112<6j:i0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg71?:0:6;4?:1y'545a20;27)664;`gg>"68m81=8j7;I::5>h6:<=1=o=k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`2222=93<1<7>t$030b??612.3594k3g9'55b528?o46F7909m573028h8i6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm174b>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?72l11C44?4n0063?7e;o1b4l?50;9j50z&256`=1830(57;:b43?!77l;0:9i64H9;2?k75=>0:n9>4i9c2>5<5<5<<7>3-2287k<4:&24a4=9:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd6>?n1=7850;2x 474n33:56*7958a`f=#99n96<;k8:J;=4=i9;?<6901/44:5d2d8 46c:3;>h55G8838j442?3;i8>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb0450?7=?3:112d9=4?<,13?6n8k;%33`7<6=m20D57>;o3112<6j=>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg71><0:6;4?:1y'545a20;27)664;a54>"68m81=8j7;I::5>h6:<=1=o::;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`2230=93?1<7>t$030b??612.3594j359'55b528?o46F7909m573028h?:6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e9?<<6<49:183!76;o02=45+8869fae<,8:o>7?:d99K<<7;7?m469j50z&256`=1830(57;:e1e?!77l;0:9i64H9;2?k75=>0:n964i9c2>5<5<5<0;6=u+101e><7>3-2287m9d:&24a4=927d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6>6=4?{%327c<>901/44:5e268 46c:3;>h55G8838j442?3;i8o5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj8<==7?56;294~"69:l15<74$9;7>gbd3-;;h?4>5e:8L=?63g;99:4>b5a8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg71>;0:6;4?:1y'545a20;27)664;f0b>"68m81=8j7;I::5>h6:<=1=o:k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`220>=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m573028h?i6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9??26<49:183!76;o02=45+8869g36<,8:o>7?:d99K<<7;7?m4g9j50z&256`=1830(57;:d17?!77l;0:9i64H9;2?k75=>0:n8>4i9c2>5<5<5<:783>5}#989m64?6;%::0?dck2.:>92d:>8951c72?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6>901/44:5c7f8 46c:3;>h55G8838j442?3;i9>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8<>?7?56;294~"69:l15<74$9;7>f073-;;h?4>5e:8L=?63g;99:4>b468m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg71==0:684?:1y'545a20;27)664;g00>"68m81=8j7;I::5>h6:<=1=o;:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th::8;51;494?6|,8;8j77>9:&;=1N?181e=?;8:0`62>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e9??=6<49:183!76;o02=45+8869`6`<,8:o>7?:d99K<<7;7?m569j50z&256`=1830(57;:b4g?!77l;0:9i64H9;2?k75=>0:n864i9c2>5<5<5<5<:783>5}#989m64?6;%::0?e182.:>92d:>8951c7:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6>=o1=7;50;2x 474n33:56*7958f71=#99n96<;k8:J;=4=i9;?<65<7s-;:?k46189'<<2=jmi0(<>k2;36`==O00;0b<<:7;3a1g=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj8<><7?56;294~"69:l15<74$9;7>a5a3-;;h?4>5e:8L=?63g;99:4>b4a8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg71"68m81=8j7;I::5>h6:<=1=o;k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th::9951;494?6|,8;8j77>9:&;=191/==j=:07g<>N?181e=?;8:0`6a>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e9?>36<4::183!76;o02=45+8869a62<,8:o>7?:d99K<<7;7?m5g9jh590;8 =?32knh7)??d3821a><@13:7c?=5682f365<5<6=44od5g>5<:783>5}#989m64?6;%::0?b4n2.:>92d:>8951c42?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6>=:1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:085>5<7s-;:?k46189'<<2=k?:0(<>k2;36`==O00;0b<<:7;3a26=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj87?55;294~"69:l15<74$9;7>`533-;;h?4>5e:8L=?63g;99:4>b768m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo?94282>3<729q/=<=i:83:?!>><3hoo6*>0e0950b?3A22=6`>24595g023`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th::9:51;494?6|,8;8j77>9:&;=1N?181e=?;8:0`52>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e9?9j6<48:183!76;o02=45+8869g3b<,8:o>7?:d99K<<7;7?m669jh590;8 =?32j<;7)??d3821a><@13:7c?=5682f3>5<5<6=44od5g>5<:483>5}#989m64?6;%::0?c4<2.:>92d:>8951c4:?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl>62f95?0=83:p("?1=0ihn5+11f1>43c02B35<5a1374>4d1i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=;=j:085>5<7s-;:?k46189'<<2=l:l0(<>k2;36`==O00;0b<<:7;3a2g=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj8<887?57;294~"69:l15<74$9;7>f0c3-;;h?4>5e:8L=?63g;99:4>b7a8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?93482>3<729q/=<=i:83:?!>><3i=<6*>0e0950b?3A22=6`>24595g0c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th::>851;794?6|,8;8j77>9:&;=1N?181e=?;8:0`5a>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm1714>4<1290;w)?>3g8:5<=#00>1nim4$02g6?72l11C44?4n0063?7e>o1b4l?50;9jh590;8 =?32m9m7)??d3821a><@13:7c?=5682f265<5<6=44od5g>5<h4>:683>5}#989m64?6;%::0?e1l2.:>92d:>8951c52?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>63d95?0=83:p("?1=0h:=5+11f1>43c02B35<5a1374>4d0:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=;=?:086>5<7s-;:?k46189'<<2=m:>0(<>k2;36`==O00;0b<<:7;3a36=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb0405?7=>3:112d9=4?<,13?6ojl;%33`7<6=m20D57>;o3112<6j>>0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo?93382>3<729q/=<=i:83:?!>><3n8j6*>0e0950b?3A22=6`>24595g123`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th::?651;594?6|,8;8j77>9:&;=1m1/==j=:07g<>N?181e=?;8:0`42>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm170:>4<1290;w)?>3g8:5<=#00>1o;>4$02g6?72l11C44?4n0063?7e?>1b4l?50;9jh590;8 =?32l9?7)??d3821a><@13:7c?=5682f2>5<5<<7>3-2287lkc:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>63a95?0=83:p("?1=0o?k5+11f1>43c02B35<5a1374>4d0i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=;<=:084>5<7s-;:?k46189'<<2=k?n0(<>k2;36`==O00;0b<<:7;3a3g=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb0417?7=>3:112d9=4?<,13?6n8?;%33`7<6=m20D57>;o3112<6j>i0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo?92582>0<729q/=<=i:83:?!>><3o886*>0e0950b?3A22=6`>24595g1c3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`2273=93<1<7>t$030b??612.3594mdb9'55b528?o46F7909m573028h>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm1705>4<1290;w)?>3g8:5<=#00>1h>h4$02g6?72l11C44?4n0063?7e?o1b4l?50;9jc;393?6=8r.:=>h590;8 =?32j<@13:7c?=5682f=65<5<6=44i9c5>5<<7>3-2287m90:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>60g95?3=83:p("?1=0n?95+11f1>43c02B35<5a1374>4d?:2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a537a280=6=4?{%327c<>901/44:5bea8 46c:3;>h55G8838j442?3;i4>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb0414?7=>3:112d9=4?<,13?6i=i;%33`7<6=m20D57>;o3112<6j1>0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo?91782>2<729q/=<=i:83:?!>><3i=h6*>0e0950b?3A22=6`>24595g>23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2241=93<1<7>t$030b??612.3594l619'55b528?o46F7909m573028h3:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm173;>4<2290;w)?>3g8:5<=#00>1i>:4$02g6?72l11C44?4n0063?7e0>1b4l?50;9j50z&256`=1830(57;:cf`?!77l;0:9i64H9;2?k75=>0:n564i9c2>5<5<5<<7>3-2287jf:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl>60295?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>4d?i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a5376280=6=4?{%327c<>901/44:5c728 46c:3;>h55G8838j442?3;i4o5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb0426?7==3:112d9=4?<,13?6h=;;%33`7<6=m20D57>;o3112<6j1i0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg719:0:6;4?:1y'545a20;27)664;`gg>"68m81=8j7;I::5>h6:<=1=o6k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`2242=93<1<7>t$030b??612.3594k3g9'55b528?o46F7909m573028h3i6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm172b>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?72l11C44?4n0063?7e0o1b4l?50;9j50z&256`=1830(57;:b43?!77l;0:9i64H9;2?k75=>0:n4>4i9c2>5<5<5<<7>3-2287k<4:&24a4=9f:3:17d6n3;29?l>f<3:17bk8d;29?xd6>9n1=7850;2x 474n33:56*7958a`f=#99n96<;k8:J;=4=i9;?<6901/44:5d2d8 46c:3;>h55G8838j442?3;i5>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb0430?7=?3:112d9=4?<,13?6n8k;%33`7<6=m20D57>;o3112<6j0>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg718<0:6;4?:1y'545a20;27)664;a54>"68m81=8j7;I::5>h6:<=1=o7:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`2250=93?1<7>t$030b??612.3594j359'55b528?o46F7909m573028h2:6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e9?:<6<49:183!76;o02=45+8869fae<,8:o>7?:d99K<<7;7?m969j50z&256`=1830(57;:e1e?!77l;0:9i64H9;2?k75=>0:n464i9c2>5<5<5<0;6=u+101e><7>3-2287m9d:&24a4=9f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6=ol1=7850;2x 474n33:56*7958`25=#99n96<;k8:J;=4=i9;?<66=4?{%327c<>901/44:5e268 46c:3;>h55G8838j442?3;i5o5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj8<;=7?56;294~"69:l15<74$9;7>gbd3-;;h?4>5e:8L=?63g;99:4>b8a8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg718;0:6;4?:1y'545a20;27)664;f0b>"68m81=8j7;I::5>h6:<=1=o7k;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`21c>=93=1<7>t$030b??612.3594l6e9'55b528?o46F7909m573028h2i6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e97?:d99K<<7;7?m9g9j50z&256`=1830(57;:d17?!77l;0:9i64H9;2?k75=>0:nl>4i9c2>5<5<5<jo4>:783>5}#989m64?6;%::0?dck2.:>92d:>8951cc2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6=oi1=7850;2x 474n33:56*7958g7c=#99n96<;k8:J;=4=i9;?<6901/44:5c7f8 46c:3;>h55G8838j442?3;im>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8?m?7?56;294~"69:l15<74$9;7>f073-;;h?4>5e:8L=?63g;99:4>b`68m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg72n=0:684?:1y'545a20;27)664;g00>"68m81=8j7;I::5>h6:<=1=oo:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th:9k;51;494?6|,8;8j77>9:&;=1N?181e=?;8:0`b2>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e97?:d99K<<7;7?ma69j50z&256`=1830(57;:b4g?!77l;0:9i64H9;2?k75=>0:nl64i9c2>5<5<5<5<ii4>:783>5}#989m64?6;%::0?e182.:>92d:>8951cc:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6=lo1=7;50;2x 474n33:56*7958f71=#99n96<;k8:J;=4=i9;?<65<7s-;:?k46189'<<2=jmi0(<>k2;36`==O00;0b<<:7;3aeg=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj8?m<7?56;294~"69:l15<74$9;7>a5a3-;;h?4>5e:8L=?63g;99:4>b`a8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg72m?0:6:4?:1y'545a20;27)664;a5`>"68m81=8j7;I::5>h6:<=1=ook;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:9h951;494?6|,8;8j77>9:&;=191/==j=:07g<>N?181e=?;8:0`ba>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e97?:d99K<<7;7?mag9jh590;8 =?32knh7)??d3821a><@13:7c?=5682fg65<5<6=44od5g>5<il4>:783>5}#989m64?6;%::0?b4n2.:>92d:>8951c`2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6=l:1=7950;2x 474n33:56*7958`2a=#99n96<;k8:J;=4=i9;?<6:085>5<7s-;:?k46189'<<2=k?:0(<>k2;36`==O00;0b<<:7;3af6=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj8?n>7?55;294~"69:l15<74$9;7>`533-;;h?4>5e:8L=?63g;99:4>bc68m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo?:e282>3<729q/=<=i:83:?!>><3hoo6*>0e0950b?3A22=6`>24595gd23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th:9h:51;494?6|,8;8j77>9:&;=1N?181e=?;8:0`a2>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e97?:d99K<<7;7?mb69jh590;8 =?32j<;7)??d3821a><@13:7c?=5682fg>5<5<6=44od5g>5<hn4>:483>5}#989m64?6;%::0?c4<2.:>92d:>8951c`:?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl>5ef95?0=83:p("?1=0ihn5+11f1>43c02B35<5a1374>4dei2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=8jj:085>5<7s-;:?k46189'<<2=l:l0(<>k2;36`==O00;0b<<:7;3afg=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj:l8m7?57;294~"69:l15<74$9;7>g`03-;;h?4bca8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=i3b82>3<729q/=<=i:83:?!>><3n8j6*>0e097c443A22=6`>24595gdc3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8j?l51;594?6|,8;8j77>9:&;=1m1/==j=:2d17>N?181e=?;8:0`aa>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3g0`>4<1290;w)?>3g8:5<=#00>1o9l4$02g6?5a::1C44?4n0063?7ejo1b4l?50;9jh=d;390?6=8r.:=>h590;8 =?32m9<7)??d380b75<,ol;6<:>1:J;=4=i9;?<6901/44:5c7f8 46c:39m>>5G8838j442?3;io<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:l?<7?56;294~"69:l15<74$9;7>f2e3-;;h?4bb08m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg5a<80:694?:1y'545a20;27)664;f03>"68m81?k<<;%de4?73981C44?4n0063?7ek:1b4l?50;9jh;5;393?6=8r.:=>h590;8 =?32j5<5<6=44i9c5>5<<7>3-2287m;b:&24a4=;o887E661:l2601=9ki>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl"?1=0h:i5+11f1>6`5;2B35<5a1374>4dd>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7c2>280=6=4?{%327c<>901/44:5c5`8 46c:39m>>5G8838j442?3;io:5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb2d7f?7=?3:112d9=4?<,13?6n8k;%33`7<4n;90D57>;o3112<6jj20e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5a"68m81?k<<;I::5>h6:<=1=om6;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`0b1c=93=1<7>t$030b??612.3594l6e9'55b52:l9?6F7909m573028hhm6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;o>m6<49:183!76;o02=45+8869g1d<,8:o>7=i229K<<7;7?mcc9j50z&256`=1830(57;:b4g?!77l;08j?=4H9;2?k75=>0:nnm4i9c2>5<5<5<5<:783>5}#989m64?6;%::0?e3j2.:>92d:>8951cag?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4nh=3:J;=4=i9;?<65<7s-;:?k46189'<<2=l:l0(<>k2;1e66=O00;0b<<:7;3agc=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=;;>7?57;294~"69:l15<74$9;7>g`63-;;h?4;0d08L=?63g;99:4>be28m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:>0282>3<729q/=<=i:83:?!>><3nmj6*>0e0905c53A22=6`>24595gb63`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?==:51;494?6|,8;8j77>9:&;=1N?181e=?;8:0`g6>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<8:>6<48:183!76;o02=45+8869`2d<,8:o>7:?e39K<<7;7?md29jh590;8 =?32j9j7)??d3874`4<@13:7c?=5682fa25<5<6=44i9c5>5<0;6=u+101e><7>3-2287j=c:&24a4=;o?o7E661:l2601=9kn>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd38mo1=7950;2x 474n33:56*7958g6f=#99n96>h:d:J;=4=i9;?<65<7s-;:?k46189'<<2=kk>0(<>k2;614<=#no:1=9=6;I::5>h6:<=1=oj8;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`76<`=93<1<7>t$030b??612.3594lb`9'55b52=8;56F7909m573028ho46g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm434f>4<3290;w)?>3g8:5<=#00>1oo:4$02g6?25801/jk>5151:?M>>92d:>8951cf:?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3:?l1=7850;2x 474n33:56*7958`fd=#99n969901/44:5bcf8 46c:3>9<45G8838j442?3;iho5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=8?=7?56;294~"69:l15<74$9;7>a053-;;h?4;21;8L=?63g;99:4>bea8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg25;h0:6>4?:1y'545a20;27)664;ff2>"68m818?>6;I::5>h6:<=1=ojk;h:b5?6=3`2j>7>5;ng4`?6=3th9<:k51;694?6|,8;8j77>9:&;=11/==j=:3220>"an90:?:l4H9;2?k75=>0:nik4i9c2>5<5<<7>3-2287j<7:&24a4=:9;?7)hi0;303f=O00;0b<<:7;3a`c=n0h;1<75f8`094?=n0h91<75`e6f94?=zj;:<57?54;294~"69:l15<74$9;7>a503-;;h?4=0068 c`7289>o?i;0;66g7a283>>ib?m0;66sm2154>4<3290;w)?>3g8:5<=#00>1h>94$02g6?479=1/jk>5125:?M>>92d:>8951cg2?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd58>?1=7:50;2x 474n33:56*7958g72=#99n96?>>4:&eb5<6;>20D57>;o3112<6jl80e5o>:188m=g52900e5o<:188k`1c2900qo1<729q/=<=i:83:?!>><3n8;6*>0e0965733-lm<7?<769K<<7;7?me29j50z&256`=1830(57;:e14?!77l;09<<:4$gd3>450j2B35<5a1374>4db<2c3m<4?::k;e7<722c3m>4?::mf3a<722wi>=8n:087>5<7s-;:?k46189'<<2=l:=0(<>k2;0351=#no:1=>9l;I::5>h6:<=1=ok:;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`143>=93>1<7>t$030b??612.3594k369'55b52;::86*if182724<@13:7c?=5682f`05<5<:583>5}#989m64?6;%::0?b4?2.:>92d:>8951cg4?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd58?>1=7:50;2x 474n33:56*7958g72=#99n96?>>4:&eb5<6;>k0D57>;o3112<6jl20e5o>:188m=g52900e5o<:188k`1c2900qo1<729q/=<=i:83:?!>><3n8;6*>0e0965733-lm<7?<789K<<7;7?me89j50z&256`=1830(57;:e14?!77l;09<<:4$gd3>45002B35<5a1374>4dbi2c3m<4?::k;e7<722c3m>4?::mf3a<722wi>=;j:087>5<7s-;:?k46189'<<2=l:=0(<>k2;0351=#no:1=>98;I::5>h6:<=1=okm;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`143`=93>1<7>t$030b??612.3594k369'55b52;::86*if182724<@13:7c?=5682f`e5<5<:683>5}#989m64?6;%::0?c3<2.:>92d:>8951cgg?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=4g795?1=83:p("?1=0n895+11f1>72b92B35<5a1374>4dbm2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a61`1280<6=4?{%327c<>901/44:5e2d8 46c:38?i<5G8838j442?3;iik5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;>n<7?54;294~"69:l15<74$9;7>abc3-;;h?4=4768L=?63g;99:4>bg28m=g62900e5o=:188m=g42900ch9k:188yg43lo0:6:4?:1y'545a20;27)664;a45>"68m81>98;;I::5>h6:<=1=oh>;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th98>h51;794?6|,8;8j77>9:&;=1"an90:>n>4H9;2?k75=>0:nk<4i9c2>5<5<5<:683>5}#989m64?6;%::0?e>?2.:>92d:>8951cd0?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=a6595?1=83:p("?1=0h<=5+11f1>73bi2B35<5a1374>4da<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a6d1?280<6=4?{%327c<>901/44:5c928 46c:38>il5G8838j442?3;ij85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;k<57?55;294~"69:l15<74$9;7>fd?3-;;h?4=5dc8 c`7289n;6F7909m573028hm:6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e:0i?6<4;:183!76;o02=45+8869a1g<,8:o>7<:e`9'bc6=9:o<7E661:l2601=9kl<7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl=9b795?1=83:p("?1=0h<95+11f1>73bi2B35<5a1374>4da02c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a6901/44:5dc;8 46c:38>il5G8838j442?3;ij45f8`394?=n0h81<75`e6f94?=zj;=jo7?57;294~"69:l15<74$9;7>f1c3-;;h?4=5dc8L=?63g;99:4>bgc8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo<8ae82>1<729q/=<=i:83:?!>><3n8;6*>0e0960cf3-lm<7?;249K<<7;7?mfc9j50z&256`=1830(57;:e:6?!77l;099ho4H9;2?k75=>0:nkm4i9c2>5<5<5<0;6=u+101e><7>3-2287m8d:&24a4=:f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5?k;1=7:50;2x 474n33:56*7958g72=#99n96?;ja:&eb5<6<;?0D57>;o3112<6joo0e5o>:188m=g52900e5o<:188k`1c2900qo<8b382>3<729q/=<=i:83:?!>><3n396*>0e0960cf3A22=6`>24595g`a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th9;4h51;594?6|,8;8j77>9:&;=1N?181e=?;8:0a34>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm26c3>4<0290;w)?>3g8:5<=#00>1ooh4$02g6?42mh1C44?4n0063?7d881b4l?50;9j50z&256`=1830(57;:b`e?!77l;099ho4H9;2?k75=>0:o=<4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?b112.:>92d:>8951b20?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=7`695?1=83:p("?1=0h;i5+11f1>73bi2B35<5a1374>4e7<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a62g2280?6=4?{%327c<>901/44:5d258 46c:38>il5+fg2951433A22=6`>24595f623`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th9;l851;494?6|,8;8j77>9:&;=1N?181e=?;8:0a32>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e:>k36<48:183!76;o02=45+8869g2b<,8:o>7<:e`9K<<7;7?l069jh590;8 =?32m9<7)??d3811`g<,ol;6<:=4:J;=4=i9;?<6901/44:5d978 46c:38>il5+fg29516>3A22=6`>24595f6>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th9nh?51;594?6|,8;8j77>9:&;=1N?181e=?;8:0a3e>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm2c0`>4<0290;w)?>3g8:5<=#00>1i9:4$02g6?42mh1C44?4n0063?7d8k1b4l?50;9j50z&256`=1830(57;:d67?!77l;099ho4H9;2?k75=>0:o=m4i9c2>5<5<5<5<h4>:683>5}#989m64?6;%::0?c3;2.:>92d:>8951b2g?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=b2495?1=83:p("?1=0n895+11f1>73bi2B35<5a1374>4e7m2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a6g50280<6=4?{%327c<>901/44:5e568 46c:38>il5G8838j442?3;h1<75f8`794?=n0h<1<75`e6f94?=zj;h8?7?57;294~"69:l15<74$9;7>`233-;;h?4=5dc8L=?63g;99:4>c028m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo2<729q/=<=i:83:?!>><3o?86*>0e0960cf3A22=6`>24595f763`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`1f66=93=1<7>t$030b??612.3594j459'55b52;?nm6F7909m573028i:>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:k9:6<48:183!76;o02=45+8869a12<,8:o>7<:e`9K<<7;7?l129jh590;8 =?32l>?7)??d3811`g<@13:7c?=5682g425<5<6=44i9c5>5<0;6=u+101e><7>3-2287k;4:&24a4=:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5>6:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi>;;m:084>5<7s-;:?k46189'<<2=m=>0(<>k2;06ad=O00;0b<<:7;3`52=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb346g?7=?3:112d9=4?<,13?6h:;;%33`7<5=lk0D57>;o3112<6k820e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg41=m0:6>4?:1y'545a20;27)664;g7a>"68m81>8kn;I::5>h6:<=1=n?6;h:b5?6=3`2j>7>5;ng4`?6=3th9:8k51;594?6|,8;8j77>9:&;=1N?181e=?;8:0a2e>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm26`7>4<0290;w)?>3g8:5<=#00>1ook4$02g6?42mh1C44?4n0063?7d9k1b4l?50;9j50z&256`=1830(57;:e2f?!77l;099ho4H9;2?k75=>0:o5<5<5<5<:783>5}#989m64?6;%::0?e3i2.:>92d:>8951b3g?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd5?ml1=7;50;2x 474n33:56*7958`f3=#99n96?;ja:J;=4=i9;?<6e:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi>:j6:084>5<7s-;:?k46189'<<2=l9o0(<>k2;06ad=O00;0b<<:7;3`5c=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb35ge?7=>3:112d9=4?<,13?6n:n;%33`7<5=lk0D57>;o3112<6k;:0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo<8dc82>0<729q/=<=i:83:?!>><3ii:6*>0e0960cf3-lm<7?;719K<<7;7?l209jh590;8 =?32l>?7)??d3811`g<@13:7c?=5682g745<5<6=44i9c5>5<0;6=u+101e><7>3-2287k;4:&24a4=:f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd51<:1=7:50;2x 474n33:56*7958f0d=#99n96?;ja:J;=4=i9;?<6901/44:5cca8 46c:38>il5G8838j442?3;h>85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb3ggb?7=>3:112d9=4?<,13?6i<8;%33`7<5k=80D57>;o3112<6k;<0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo0<729q/=<=i:83:?!>><3o886*>0e096f253-lm<7?=b79K<<7;7?l269jh590;8 =?32m8<7)??d381g14<@13:7c?=5682g7>5<5<6=44od5g>5<:483>5}#989m64?6;%::0?c4<2.:45f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj;on?7?56;294~"69:l15<74$9;7>a403-;;h?4=c508L=?63g;99:4>c3c8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg4bm;0:684?:1y'545a20;27)664;g00>"68m81>n:=;%de4?75j<1C44?4n0063?7d:k1b4l?50;9j50z&256`=1830(57;:e04?!77l;09o9<4H9;2?k75=>0:o?m4i9c2>5<5<5<<7>3-2287k<4:&24a4=:j>97)hi0;31f0=O00;0b<<:7;3`6a=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb3gf3?7=>3:112d9=4?<,13?6i<8;%33`7<5k=80D57>;o3112<6k;o0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo0<729q/=<=i:83:?!>><3o886*>0e096f253-lm<7?=b59K<<7;7?l2g9jh590;8 =?32m8<7)??d381g14<@13:7c?=5682g665<5<6=44od5g>5<:483>5}#989m64?6;%::0?c4<2.:1<75`e6f94?=zj;onn7?56;294~"69:l15<74$9;7>a403-;;h?4=c508L=?63g;99:4>c208m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg4bmh0:684?:1y'545a20;27)664;g00>"68m81>n:=;%de4?75j:1C44?4n0063?7d;:1b4l?50;9j50z&256`=1830(57;:e04?!77l;09o9<4H9;2?k75=>0:o>:4i9c2>5<5<5<<7>3-2287k<4:&24a4=:j>97)hi0;31f6=O00;0b<<:7;3`70=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb3ggf?7=;3:112d9=4?<,13?6h8?;%33`7<5k=80(kh?:0644>N?181e=?;8:0a02>o?i80;66g7a383>>ib?m0;66sm2dfb>4<0290;w)?>3g8:5<=#00>1hl64$02g6?4d<;1C44?4n0063?7d;>1b4l?50;9j50z&256`=1830(57;:ec;?!77l;09o9<4H9;2?k75=>0:o>64i9c2>5<5<5<5<:683>5}#989m64?6;%::0?c3<2.:>92d:>8951b1:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<67395?1=83:p("?1=0n895+11f1>602>2B35<5a1374>4e4i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7305280<6=4?{%327c<>901/44:5e568 46c:39=9;5G8838j442?3;h?o5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:<=?7?54;294~"69:l15<74$9;7>a?e3-;;h?4<6448 c`72893j6F7909m573028i8o6g7a083>>o?i;0;66g7a283>>ib?m0;66sm377g>4<0290;w)?>3g8:5<=#00>1oho4$02g6?51=?1C44?4n0063?7d;m1b4l?50;9j50z&256`=1830(57;:d67?!77l;08:884H9;2?k75=>0:o>k4i9c2>5<5<5<5<:783>5}#989m64?6;%::0?b6?2.:>92d:>8951b1e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4>>21=7850;2x 474n33:56*7958gfc=#99n96>8:6:J;=4=i9;?<6901/44:5d8:8 46c:39=9;5G8838j442?3;h8<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:22n7?57;294~"69:l15<74$9;7>`7>3-;;h?4<6448L=?63g;99:4>c508m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=79b82>3<729q/=<=i:83:?!>><3i3o6*>0e0973313A22=6`>24595f243`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8::l51;594?6|,8;8j77>9:&;=1N?181e=?;8:0a70>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm375b>4<1290;w)?>3g8:5<=#00>1hn>4$02g6?51=?1C44?4n0063?7d<<1b4l?50;9j871;393?6=8r.:=>h590;8 =?32j>o7)??d380200<@13:7c?=5682g105<5<6=44i9c5>5<<7>3-2287jl0:&24a4=;??=7E661:l2601=9j><7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<67795?1=83:p("?1=0h8i5+11f1>602>2B35<5a1374>4e302c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7303280=6=4?{%327c<>901/44:5db28 46c:39=9;5G8838j442?3;h845f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb245f?7=?3:112d9=4?<,13?6n:k;%33`7<4><<0D57>;o3112<6k=k0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg51>h0:6;4?:1y'545a20;27)664;f`4>"68m81?;;9;I::5>h6:<=1=n:m;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`023`=93?1<7>t$030b??612.3594lb79'55b52:<>:6F7909m573028i?o6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;?7=9579K<<7;7?l4e9j50z&256`=1830(57;:b6g?!77l;08:884H9;2?k75=>0:o9k4i9c2>5<5<5<5<:783>5}#989m64?6;%::0?bd82.:>92d:>8951b6e?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd41hi1=7850;2x 474n33:56*7958a=a=#99n96>8:6:J;=4=i9;?<6280<6=4?{%327c<>901/44:5b8:8 46c:39=9;5G8838j442?3;h9<5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:<=47?56;294~"69:l15<74$9;7>ada3-;;h?4<6448L=?63g;99:4>c408m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg51>>0:6:4?:1y'545a20;27)664;`:<>"68m81?;;9;I::5>h6:<=1=n;<;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8:;851;494?6|,8;8j77>9:&;=1N?181e=?;8:0a60>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e;?=o6<48:183!76;o02=45+8869f<><,8:o>7=9579K<<7;7?l549j88c;392?6=8r.:=>h590;8 =?32mhm7)??d380200<@13:7c?=5682g005<5<6=44od5g>5<4>:683>5}#989m64?6;%::0?d>02.:>92d:>8951b74?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<69095?0=83:p("?1=0onk5+11f1>602>2B35<5a1374>4e202c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi?;6::084>5<7s-;:?k46189'<<2=j020(<>k2;1513=O00;0b<<:7;3`1<=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb24;0?7=>3:112d9=4?<,13?6ili;%33`7<4><<0D57>;o3112<6k:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo=97g82>2<729q/=<=i:83:?!>><3h246*>0e0973313A22=6`>24595f3e3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`022c=93<1<7>t$030b??612.3594kbg9'55b52:<>:6F7909m573028i>o6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm37:;>4<3290;w)?>3g8:5<=#00>1no:4$02g6?51=?1/jk>512ge?M>>92d:>8951b7g?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd4>1=1=7950;2x 474n33:56*7958`ad=#99n96>8:6:J;=4=i9;?<65<7s-;:?k46189'<<2=lm?0(<>k2;1513=O00;0b<<:7;3`1c=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj:3jh7?57;294~"69:l15<74$9;7>ab33-;;h?4<6448L=?63g;99:4>c728m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=6a882>2<729q/=<=i:83:?!>><3i>?6*>0e0973313A22=6`>24595f063`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0=dg=93<1<7>t$030b??612.3594kbe9'55b52:<>:6F7909m573028i=>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm38ca>4<2290;w)?>3g8:5<=#00>1hno4$02g6?51=?1C44?4n0063?7d>:1b4l?50;9j50z&256`=1830(57;:ef7?!77l;08:884H9;2?k75=>0:o;:4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?c3<2.:>92d:>8951b46?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<96295?3=83:p("?1=0ino5+11f1>602>2B35<5a1374>4e1>2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a7<0a280=6=4?{%327c<>901/44:5dg08 46c:39=9;5G8838j442?3;h::5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb2:gg?7=>3:112d9=4?<,13?6n8n;%33`7<4><<0D57>;o3112<6k?20e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo=7de82>2<729q/=<=i:83:?!>><3i;o6*>0e0973313A22=6`>24595f0>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0=3>=93=1<7>t$030b??612.3594k929'55b52:<>:6F7909m573028i=m6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;0<26<48:183!76;o02=45+8869a6`<,8:o>7=9579K<<7;7?l6c9j79a;390?6=8r.:=>h590;8 =?32l;j7)??d380200<@13:7c?=5682g3e5<5<:283>5}#989m64?6;%::0?be12.:>92d:>8951b4g?l>f93:17d6n2;29?jc0l3:17pl<7bc95?1=83:p("?1=0io;5+11f1>602>2B35<5a1374>4e1m2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a77d1280<6=4?{%327c<>901/44:5e568 46c:399mn5G8838j442?3;h:k5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:8i;7?57;294~"69:l15<74$9;7>`233-;;h?4<2`a8L=?63g;99:4>c628m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo==b982>2<729q/=<=i:83:?!>><3o?86*>0e0977gd3A22=6`>24595f163`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`06g?=93>1<7>t$030b??612.3594k9c9'55b52:8jo6*if1827=c<@13:7c?=5682g245<5<4>:683>5}#989m64?6;%::0?ebi2.:>92d:>8951b50?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<2c095?1=83:p("?1=0n895+11f1>64fk2B35<5a1374>4e0<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a77ea280=6=4?{%327c<>901/44:5d058 46c:399mn5G8838j442?3;h;85f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb20`a?7=>3:112d9=4?<,13?6ili;%33`7<4:hi0D57>;o3112<6k><0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo=;eb82>2<729q/=<=i:83:?!>><3n246*>0e0977gd3A22=6`>24595f103`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`00`b=93=1<7>t$030b??612.3594j189'55b52:8jo6F7909m573028i<46g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;=on6<49:183!76;o02=45+8869g=e<,8:o>7==ab9K<<7;7?l789j50z&256`=1830(57;:b6g?!77l;08>lm4H9;2?k75=>0:o:o4i9c2>5<5<5<5<:783>5}#989m64?6;%::0?bd82.:>92d:>8951b5a?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4:m=1=7950;2x 474n33:56*7958`0a=#99n96>5<7s-;:?k46189'<<2=lj:0(<>k2;11ef=O00;0b<<:7;3`3a=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj:8in7?57;294~"69:l15<74$9;7>f2c3-;;h?4<2`a8L=?63g;99:4>c6g8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo==b`82>3<729q/=<=i:83:?!>><3nh<6*>0e0977gd3A22=6`>24595f1a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8>n?51;594?6|,8;8j77>9:&;=1N?181e=?;8:0a;4>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm33a3>4<1290;w)?>3g8:5<=#00>1hn>4$02g6?55ij1C44?4n0063?7d081b4l?50;9jh590;8 =?32jh=7)??d3806de<@13:7c?=5682g=45<5<<7>3-2287jl0:&24a4=;;kh7E661:l2601=9j287d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<2b195?1=83:p("?1=0h8i5+11f1>64fk2B35<5a1374>4e?<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a77e5280=6=4?{%327c<>901/44:5db28 46c:399mn5G8838j442?3;h485f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb27eg?7=>3:112d9=4?<,13?6o7k;%33`7<4:hi0D57>;o3112<6k1<0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo==bg82>2<729q/=<=i:83:?!>><3h246*>0e0977gd3A22=6`>24595f>03`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`06gc=93<1<7>t$030b??612.3594kbg9'55b52:8jo6F7909m573028i346g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm33`g>4<0290;w)?>3g8:5<=#00>1n464$02g6?55ij1C44?4n0063?7d001b4l?50;9j50z&256`=1830(57;:e`e?!77l;08>lm4H9;2?k75=>0:o5o4i9c2>5<5<5<0;6=u+101e><7>3-2287l68:&24a4=;;kh7E661:l2601=9j2i7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4:m81=7850;2x 474n33:56*7958gfc=#99n96>280<6=4?{%327c<>901/44:5b8:8 46c:399mn5G8838j442?3;h4i5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:8o47?56;294~"69:l15<74$9;7>ada3-;;h?4<2`a8L=?63g;99:4>c9g8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg55lk0:6:4?:1y'545a20;27)664;`:<>"68m81??ol;I::5>h6:<=1=n6i;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8>io51;494?6|,8;8j77>9:&;=1N?181e=?;8:0a:4>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e;;n>6<48:183!76;o02=45+8869f<><,8:o>7==ab9K<<7;7?l909jh590;8 =?32mhm7)??d3806de<@13:7c?=5682g<45<5<6=44od5g>5<:583>5}#989m64?6;%::0?de<2.:5f8`394?=n0h81<75f8`194?=hm>n1<75rb20g`?7=?3:112d9=4?<,13?6nkn;%33`7<4:hi0D57>;o3112<6k0>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg52nl0:6;4?:1y'545a20;27)664;fg1>"68m81??ol;I::5>h6:<=1=n7:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`01cb=93=1<7>t$030b??612.3594kd59'55b52:8jo6F7909m573028i2:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;7==ab9K<<7;7?l969j;ia;392?6=8r.:=>h590;8 =?32mho7)??d3806de<@13:7c?=5682g<>5<5<6=44od5g>5<jo4>:483>5}#989m64?6;%::0?bdi2.:>92d:>8951b;:?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<5cf95?1=83:p("?1=0oh95+11f1>64fk2B35<5a1374>4e>i2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a70dd280<6=4?{%327c<>901/44:5e568 46c:399mn5G8838j442?3;h5o5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:?9?7?56;294~"69:l15<74$9;7>feb3-;;h?4<2`a8 c`72882i6F7909m573028i2o6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm34a3>4<2290;w)?>3g8:5<=#00>1nol4$02g6?55ij1C44?4n0063?7d1m1b4l?50;9j50z&256`=1830(57;:ed1?!77l;08>lm4H9;2?k75=>0:o4k4i9c2>5<5<5<<7>3-2287m9a:&24a4=;;kh7)hi0;31=`=O00;0b<<:7;3`=c=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj:?9h7?57;294~"69:l15<74$9;7>f6d3-;;h?4<2`a8L=?63g;99:4>c`28m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=:b982>2<729q/=<=i:83:?!>><3n2?6*>0e0977gd3A22=6`>24595fg63`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`01g?=93=1<7>t$030b??612.3594j3g9'55b52:8jo6F7909m573028ij>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;7==ab9'bc6=9:2h7E661:l2601=9jk87d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl<53:95?1=83:p("?1=0h8<5+11f1>64fk2B35<5a1374>4ef<2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a717c28086=4?{%327c<>901/44:5dc;8 46c:399mn5G8838j442?3;hm85f8`394?=n0h81<75`e6f94?=zj:>:o7?57;294~"69:l15<74$9;7>ge13-;;h?4<2`a8L=?63g;99:4>c`48m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo2<729q/=<=i:83:?!>><3o?86*>0e096``b3A22=6`>24595fg03`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`1b5?=93=1<7>t$030b??612.3594j459'55b52;omi6F7909m573028ij46g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:o:j6<48:183!76;o02=45+8869a12<,8:o>7;7?la89jh590;8 =?32m3i7)??d381acc<,ol;6<=7d:J;=4=i9;?<6901/44:5cdc8 46c:38njh5G8838j442?3;hmo5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;l;87?57;294~"69:l15<74$9;7>`233-;;h?4=egg8L=?63g;99:4>c`a8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo3<729q/=<=i:83:?!>><3n:;6*>0e096``b3A22=6`>24595fgc3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th9j?>51;494?6|,8;8j77>9:&;=1N?181e=?;8:0aba>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e;8>96<48:183!76;o02=45+8869`<><,8:o>7;7?lag9j?;3;393?6=8r.:=>h590;8 =?32l;27)??d381acc<@13:7c?=5682gg65<5<6=44i9c5>5<<7>3-2287m7c:&24a4=:lln7E661:l2601=9jh:7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl=f3195?1=83:p("?1=0h8i5+11f1>7cam2B35<5a1374>4ee:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a6c45280=6=4?{%327c<>901/44:5db28 46c:38njh5G8838j442?3;hn>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb3d1=?7=?3:112d9=4?<,13?6n:k;%33`7<5moo0D57>;o3112<6kk>0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg4a:10:6;4?:1y'545a20;27)664;f`4>"68m81>hhj;I::5>h6:<=1=nl:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`1b5b=93=1<7>t$030b??612.3594l4e9'55b52;omi6F7909m573028ii:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:o:h6<49:183!76;o02=45+8869`f6<,8:o>7;7?lb69j50z&256`=1830(57;:b6g?!77l;09ikk4H9;2?k75=>0:oo64i9c2>5<5<5<5<:783>5}#989m64?6;%::0?bd82.:>92d:>8951b`:?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd5n8=1=7;50;2x 474n33:56*7958`f3=#99n96?kie:J;=4=i9;?<6k?9:085>5<7s-;:?k46189'<<2=lj:0(<>k2;0fb`=O00;0b<<:7;3`fg=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj;l:97?57;294~"69:l15<74$9;7>f2c3-;;h?4=egg8L=?63g;99:4>cca8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo3<729q/=<=i:83:?!>><3nh<6*>0e096``b3A22=6`>24595fdc3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8>8<51;494?6|,8;8j77>9:&;=1N?181e=?;8:0aaa>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e:o;:6<48:183!76;o02=45+8869f<><,8:o>7;7?lbg9j0;392?6=8r.:=>h590;8 =?32mhm7)??d381acc<@13:7c?=5682gf65<5<6=44od5g>5<:683>5}#989m64?6;%::0?d>02.:>92d:>8951ba2?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=f1g95?0=83:p("?1=0onk5+11f1>7cam2B35<5a1374>4ed:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi>k<::084>5<7s-;:?k46189'<<2=j020(<>k2;0fb`=O00;0b<<:7;3`g6=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb3d10?7=>3:112d9=4?<,13?6ili;%33`7<5moo0D57>;o3112<6kj>0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo2<729q/=<=i:83:?!>><3h246*>0e096``b3A22=6`>24595fe23`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`1b7g=93<1<7>t$030b??612.3594kbg9'55b52;omi6F7909m573028ih:6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm2g0g>4<0290;w)?>3g8:5<=#00>1n464$02g6?4bnl1C44?4n0063?7dk>1b4l?50;9j50z&256`=1830(57;:e`e?!77l;09ikk4H9;2?k75=>0:on64i9c2>5<5<5<0;6=u+101e><7>3-2287l68:&24a4=:lln7E661:l2601=9ji27d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5n;<1=7850;2x 474n33:56*7958gfc=#99n96?kie:J;=4=i9;?<6901/44:5bc68 46c:38njh5+fg2956cc3A22=6`>24595fee3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th9j?h51;594?6|,8;8j77>9:&;=1N?181e=?;8:0a`g>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3377>4<1290;w)?>3g8:5<=#00>1hi;4$02g6?4bnl1C44?4n0063?7dkm1b4l?50;9j<:3;393?6=8r.:=>h590;8 =?32mn?7)??d381acc<@13:7c?=5682gfc5<5<6=44i9c5>5<0;6=u+101e><7>3-2287m:3:&24a4=:lln7E661:l2601=9jim7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4:<:1=7850;2x 474n33:56*7958gfa=#99n96?kie:J;=4=i9;?<66=4?{%327c<>901/44:5dbc8 46c:38njh5G8838j442?3;hh<5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj:8:?7?57;294~"69:l15<74$9;7>ab33-;;h?4=egg8L=?63g;99:4>ce08m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo==1382>2<729q/=<=i:83:?!>><3o?86*>0e096``b3A22=6`>24595fb43`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`052?=93<1<7>t$030b??612.3594lcd9'55b52;omi6*if18265<5<6=44od5g>5<:483>5}#989m64?6;%::0?dej2.:>92d:>8951bf6?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<20795?0=83:p("?1=0oj?5+11f1>7cam2B35<5a1374>4ec>2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi?<6=:085>5<7s-;:?k46189'<<2=k?k0(<>k2;0fb`=#no:1=?7k;I::5>h6:<=1=nj8;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`05=5=93=1<7>t$030b??612.3594l0b9'55b52;omi6F7909m573028io46g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;;:n6<48:183!76;o02=45+8869`<5<,8:o>7;7?ld89jh590;8 =?32l9m7)??d381acc<@13:7c?=5682gag5<5<6=44i9c5>5<<7>3-2287k>a:&24a4=:lln7)hi0;30f263-;;h?4=egg8L=?63g;99:4>cea8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=?7282>6<729q/=<=i:83:?!>><3ni56*>0e096``b3A22=6`>24595fbc3`2j=7>5;h:b6?6=3fo5;|`0424=93=1<7>t$030b??612.3594mc79'55b52;omi6F7909m573028ioi6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e99ih6<4=:183!76;o03m:5+11f1>4c4j2.2;7?ldg9j7>50z&256`=0h=0(<>k2;3f7g=#19i14ll4H9;2?k75=>0:oh>4i9c3>5<12d97?j3c9'=5e=0hh0D57>;o3112<6kl;0e5o?:188kg>e2900qo990;397?6=8r.:=>h511d;?!77l;0:i>l4n02ab?373-3;o7k8d:J;=4=i9;?<6=hj1h1<75rb67`>4<5290;w)?>3g8;e2=#99n9650;9lf=d=831vn:;k:080>5<7s-;:?k4>0g:8 46c:3;n?o5a11`e>06<,0:h6h9k;I::5>h6:<=1=nk;;h:b4?6=3`=3m7>5H821?>ie0k0;66sm74;95?4=83:p("68m81=h=m;%;3g?>fj2B35<5a1374>4eb=2c3m=4?::ma0cd915=#19i1i:j4H9;2?k75=>0:oh84i9c3>5<2j6=4G9108?jd?j3:17pl85782>7<729q/=<=i:9c4?!77l;0:i>l4$82`>=ge3A22=6`>24595fc03`2j<7>5;n`;f?6=3th<9:4>:283>5}#989m6<>i8:&24a4=9l9i7c??bg864>">8j0n;i5G8838j442?3;hi55f8`294?=n?1k1<7F60398kg>e2900qo9:3;396?6=8r.:=>h58`58 46c:3;n?o5+91a95<87?53;294~"69:l1==h7;%33`7<6m:h0b<>mf;73?!?7k3o>o00h0;6E7?2:9lf=d=831vn:;?:081>5<7s-;:?k47a69'55b528o8n6*60b8;eg=O00;0b<<:7;3`ag=n0h:1<75`b9`94?=zj>?:6<4<:183!76;o0:=;:maN?181e=?;8:0af`>o?i90;66am8c83>>{e?>h1=7=50;2x 474n3;;j55+11f1>4c4j2d:n0D57>;o3112<6klo0e5o?:188m2>f290C5=<4;n`;f?6=3th<;:4>:383>5}#989m65o8;%33`7<6m:h0(4>l:9ca?M>>92d:>8951bge?l>f83:17bl7b;29?xd0?10:6>4?:1y'545a28:m46*>0e095`5e3g;;nk4:0:&:4f50;9j3=g=83B25<cg38m=g72900co6m:188yg10=3;1?7>50z&256`=99l37)??d382a6d4ea:2c3m=4?::k465`b9`94?=zj>=:6<4=:183!76;o03m:5+11f1>4c4j2.2;7?lf29jk2;3f7g=i99hm68>4$82`>`1c3A22=6`>24595f`33`2j<7>5;h5;e?6=@0:976am8c83>>{e??o1=7<50;2x 474n32j;6*>0e095`5e3-3;o76nb:J;=4=i9;?<6t$030b?77n11/==j=:0g0f>h68kl19=5+91a9a2b<@13:7c?=5682gc05k0:6?4?:1y'545a21k<7)??d382a6d<,0:h65om;I::5>h6:<=1=nh8;h:b4?6=3fh3n7>5;|`42f<62:0;6=u+101e>46a02.:<6*60b8f3a=O00;0b<<:7;3`b==n0h:1<75f79c94?N>8;10co6m:188yg1103;1>7>50z&256`=0h=0(<>k2;3f7g=#19i14ll4H9;2?k75=>0:ok74i9c3>5<12d955`?3-;;h?4>e2`8j46en3?;7)7?c;g4`>N?181e=?;8:0aee>o?i90;66g88`83>M?7:21dn5l50;9~f20228096=4?{%327c1/==j=:0g0f>">8j03mo5G8838j442?3;hjo5f8`294?=hj1h1<75rb645>4<4290;w)?>3g824c><,8:o>7?j3c9m55da2<:0(4>l:d5g?M>>92d:>8951bd`?l>f83:17d97a;29L<6532ei4o4?::a334=9381<7>t$030b?>f?2.:>ie0k0;66sm77195?5=83:p(cgg8m=g72900e:6n:18K=54<3fh3n7>5;|`40a<62;0;6=u+101e>=g03-;;h?4>e2`8 <6d21ki7E661:l2601=9jlm7d6n0;29?jd?j3:17pl84d82>6<729q/=<=i:02e<>"68m81=h=m;o33fc<282.2;7?k019j12d9a35<,8:o>7?j3c9'=5e=m>n0D57>;o3112<6l9;0e5o?:188kg>e2900qo9>e;396?6=8r.:=>h5e718 46c:3;n?o5+91a9a2b<@13:7c?=5682`545<d118m=g72900co6m:188yg1503;1>7>50z&256`=m?90(<>k2;3f7g=#19i1i:j4H9;2?k75=>0:h=:4i9c3>5<12d9a35<,8:o>7?j3c9'=5e=m>n0D57>;o3112<6l9?0e5o?:188kg>e2900qo9=6;396?6=8r.:=>h5e718 46c:3;n?o5+91a9a2b<@13:7c?=5682`505<d158m=g72900co6m:188yg15<3;1>7>50z&256`=m?90(<>k2;3f7g=#19i1i:j4H9;2?k75=>0:h=64i9c3>5<12d9a35<,8:o>7?j3c9'=5e=m>n0D57>;o3112<6l930e5o?:188kg>e2900qo9=2;396?6=8r.:=>h5e718 46c:3;n?o5+91a9a2b<@13:7c?=5682`5g5<d1`8m=g72900co6m:188yg1583;1>7>50z&256`=m?90(<>k2;3f7g=#19i1i:j4H9;2?k75=>0:h=m4i9c3>5<12d9a35<,8:o>7?j3c9'=5e=m>n0D57>;o3112<6l9n0e5o?:188kg>e2900qo9=d;396?6=8r.:=>h5e718 46c:3;n?o5+91a9a2b<@13:7c?=5682`5c5<d1d8m=g72900co6m:188yg13j3;1>7>50z&256`=m?90(<>k2;3f7g=#19i1i:j4H9;2?k75=>0:h<>4i9c3>5<12d9a35<,8:o>7?j3c9'=5e=m>n0D57>;o3112<6l8;0e5o?:188kg>e2900qo9=f;396?6=8r.:=>h5e718 46c:3;n?o5+91a9a2b<@13:7c?=5682`445<d018m=g72900co6m:188yg14;3;1>7>50z&256`=m?90(<>k2;3f7g=#19i1i:j4H9;2?k75=>0:h<:4i9c3>5<12d9a35<,8:o>7?j3c9'=5e=m>n0D57>;o3112<6l8?0e5o?:188kg>e2900qo98d;396?6=8r.:=>h5e718 46c:3;n?o5+91a9a2b<@13:7c?=5682`405<d058m=g72900co6m:188yg7b:l0:6;4?:1y'<<2=?l=0(<>k2;3f6a=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>d0:8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9on<6<49:183!>><3=n<6*>0e095c4>3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8951e3:?l2>m3:17d:>o3;80;66a>06594?=zj8loo7?56;294~"?1=04`512.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:0f2e>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?7a:01/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;3g5g=n<0o1<75f42d94?=n<1n1<75f114f>5<51;494?6|,13?6:k?;%33`7<6n;30(<=;%:ae?2<@13:7c?=5682`4e5<8=7>5;n3332<722wi=kk::085>5<7s-22879j0:&24a4=9o827)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24595a7c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94<239':188k460?3:17plj4$030b?771?1/==h;:201?!>ei3h27E661:l2601=9m;m7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb40f6?7=>3:1=5f48g94?=n<:l1<75f49f94?=n995<5;|`66`2=9391<7>t$030b??612.3594ke79'55b52<83h6F7909m573028n9=6g7a083>>o?i;0;66aj7e83>>{e=;h<6<49:183!>><3=n;6*>0e0917>c3-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;3g67=n<0o1<75f42d94?=n<1n1<75f114f>5<l0(i3:&;fd<33A22=6`>24595a443`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn??mc;392?6=8r.35948e69'55b52;;8>6*>12d955?13-;;j94>0g18 =df2k30D57>;o3112<6l;>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm220a>4<1290;w)664;5f3>"68m81>?o>;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?3;o>85f48g94?=n<:l1<75f49f94?=n995<5;|`70f0=93<1<7>t$9;7>2c03-;;h?4;4`38 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=i<9;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f12d<3;1:7>50z&;=1<0m>1/==j=:56b5>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:4>d358m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<=:?6<49:183!>><3=n;6*>0e0906`63-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0f1<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<8hk4>:783>5}#00>1;h94$02g6?240o1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5682`7?5<8=7>5;n3332<722wi>87m:085>5<7s-22879j7:&24a4=:<==7)?>3g824<0<,8:m87??f29'4b5i2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo<:9882>3<729q/44:57d58 46c:38>;;5+101e>46>>2.:ei3h27E661:l2601=9m8i7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb36g=?7=>3:1n5f48g94?=n<:l1<75f49f94?=n995<5;|`10g2=93<1<7>t$9;7>2c03-;;h?4=4768 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1=i8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f7g0<3;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?k2d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5i>81=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951e0e?l2>m3:17d:>o3;80;66a>06594?=zj;k=j7?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;3g75=n<0o1<75f42d94?=n<1n1<75f114f>5<9:&;=1N?181e=?;8:0f05>o?i80;66g7a383>>ib?m0;66sm2`4g>4<1290;w)664;5f3>"68m81>8kn;%327c<680<0(<>i4;33b6=#0kk186F7909m573028n8>6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c0b2g<62?0;6=u+88693`1<,8:o>7<:e`9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>0:h>=4i5;f>5<5<5;h605?6=3f;;;:4?::a6<0?280=6=4?{%::0?1b?2.:>00:6>4?:1y'545a20;27)664;ff2>"68m81>8kn;%de4?73>m1C44?4n0063?7c;<1b4l?50;9j3;1:7>50z&;=1<0m>1/==j=:37fe>"69:l1==79;%33b1<68o90(5ln:59K<<7;7?k379j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd51?=1=7=50;2x 474n33:56*7958ga3=#99n96?;ja:&eb5<6;o3112<6l:=0e5o>:188m=g52900ch9k:188yg4>>=0:6;4?:1y'<<2=?l=0(<>k2;06ad=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4>d2:8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e:0<>6<4<:183!76;o02=45+8869``0<,8:o>7<:e`9'bc6=9=f:3:17bk8d;29?xd51?81=7850;2x =?32>o<7)??d3811`g<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8951e1b?l2>m3:17d:>o3;80;66a>06594?=zj;3=?7?53;294~"69:l15<74$9;7>ac13-;;h?4=5dc8 c`728>=o6F7909m573028n8n6g7a083>>o?i;0;66aj7e83>>{e:0<;6<49:183!>><3=n;6*>0e0960cf3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:0f0g>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:283>5}#989m64?6;%::0?bb>2.:i7?56;294~"?1=073bi2.:=>h511;5?!77n=0:1=O00;0b<<:7;3g7`=n<0o1<75f42d94?=n<1n1<75f114f>5<9:&;=1"an90:8;l4H9;2?k75=>0:h>h4i9c2>5<5<:783>5}#00>1;h94$02g6?42mh1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=5682`165<8=7>5;n3332<722wi>4;k:080>5<7s-;:?k46189'<<2=ll<0(<>k2;06ad=#no:1=98n;I::5>h6:<=1=i:>;h:b5?6=3`2j>7>5;ng4`?6=3th958o51;494?6|,13?6:k8;%33`7<5=lk0(i3:&;fd<33A22=6`>24595a253`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?7:b;397?6=8r.:=>h590;8 =?32mo=7)??d3811`g<,ol;6<:9a:J;=4=i9;?<65<7s-22879j0:&24a4=;??=7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>24595a233`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>;n0;392?6=8r.35948e19'55b52:8jo6*>12d955?13-;;j94<239'4b3=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=>f782>3<729q/44:57d28 46c:38njh5+101e>46>>2.::188k460?3:17pl>d5695?0=83:p(57;:6g3?!77l;0:o4h4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<6l==0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1e62>4<1290;w)664;5f4>"68m81=n7i;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=9m>37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0f46?7=;3:112d9=4?<,13?6ik9;%33`7<6k0l0D57>;o3112<6l=30e5o>:188m=g52900ch9k:188yg7c>o0:6>4?:1y'545a20;27)664;ff2>"68m81=n7i;I::5>h6:<=1=i:n;h:b5?6=3`2j>7>5;ng4`?6=3th:h;m51;194?6|,8;8j77>9:&;=1N?181e=?;8:0f7f>o?i80;66g7a383>>ib?m0;66sm1e4:>4<4290;w)?>3g8:5<=#00>1hh84$02g6?7d1o1C44?4n0063?7c3;1?7>50z&256`=1830(57;:eg5?!77l;0:o4h4H9;2?k75=>0:h9j4i9c2>5<5<4>:283>5}#989m64?6;%::0?bb>2.:>92d:>8951e6f?l>f93:17d6n2;29?jc0l3:17pl>d7295?5=83:p("?1=0oi;5+11f1>4e>n2B35<5a1374>4b3n2c3m<4?::k;e7<722en;i4?::a5a3c28086=4?{%327c<>901/44:5dd48 46c:3;h5k5G8838j442?3;o9=5f8`394?=n0h81<75`e6f94?=zj8n3h7?53;294~"69:l15<74$9;7>ac13-;;h?4>c8d8L=?63g;99:4>d438m=g62900e5o=:188k`1c2900qo?k8`82>6<729q/=<=i:83:?!>><3nn:6*>0e095f?a3A22=6`>24595a353`2j=7>5;h:b6?6=3fo5;|`2`=1=9391<7>t$030b??612.3594ke79'55b528i2j6F7909m573028n>?6g7a083>>o?i;0;66aj7e83>>{e9m2?6<4<:183!76;o02=45+8869``0<,8:o>7?l9g9K<<7;7?k559jh590;8 =?32mo=7)??d382g<`<@13:7c?=5682`035<<7>3-2287jj6:&24a4=9j3m7E661:l2601=9m?=7d6n1;29?l>f:3:17bk8d;29?xd6l>h1=7=50;2x 474n33:56*7958ga3=#99n965<7s-;:?k46189'<<2=ll<0(<>k2;3`=c=O00;0b<<:7;3g1==n0h;1<75f8`094?=hm>n1<75rb0f41?7=;3:112d9=4?<,13?6ik9;%33`7<6k0l0D57>;o3112<6l<30e5o>:188m=g52900ch9k:188yg7c=h0:6>4?:1y'545a20;27)664;ff2>"68m81=n7i;I::5>h6:<=1=i;n;h:b5?6=3`2j>7>5;ng4`?6=3th?8n951;794?6|,8;8j77>9:&;=1N?181e=?;8:0f6f>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm24;`>4<2290;w)?>3g8:5<=#00>1no=4$02g6?42??1C44?4n0063?7c=j1b4l?50;9j50z&256`=1830(57;:e1;?!77l;0??5h4H9;2?k75=>0:h8j4i9c2>5<5<5<<7>3-2287j<8:&24a4=:=f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;95;95?0=83:p("?1=0o9:5+11f1>13592B35<5a1374>4b2n2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi84:7:084>5<7s-;:?k46189'<<2=l1h0(<>k2;6664=O00;0b<<:7;3g25=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5`bb?7=?3:112d9=4?<,13?6im;;%33`7<3imh0D57>;o3112<6l?;0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg35;h0:684?:1y'545a20;27)664;ab`>"68m819?>m;%de4?738k1C44?4n0063?7c>;1b4l?50;9j50z&256`=1830(57;:b6b?!77l;0>>=l4H9;2?k75=>0:h;=4i9c2>5<5<5<<7>3-2287j60:&24a4=9l9i7E661:l2601=9mf:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl"?1=0o5=5+11f1>4c4j2B35<5a1374>4b1=2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi9?>i:085>5<7s-;:?k46189'<<2=l0;0(<>k2;714g=O00;0b<<:7;3g23=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=2?n7?57;294~"69:l15<74$9;7>f2a3-;;h?4;5338L=?63g;99:4>d758m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?lb`82>2<729q/=<=i:83:?!>><3hj=6*>0e095f?a3A22=6`>24595a0?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`2gg?=93=1<7>t$030b??612.3594ma09'55b528i2j6F7909m573028n=56g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9jh36<48:183!76;o02=45+8869fd7<,8:o>7?l9g9K<<7;7?k6`9jh590;8 =?32kk:7)??d382g<`<@13:7c?=5682`3d5<5<6=44i9c5>5<0;6=u+101e><7>3-2287ln1:&24a4=9j3m7E661:l2601=9mf:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6kk?1=7950;2x 474n33:56*7958ae4=#99n965<7s-;:?k46189'<<2=k?20(<>k2;3`=c=O00;0b<<:7;3g2`=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj8ih87?56;294~"69:l15<74$9;7>f0?3-;;h?4>c8d8L=?63g;99:4>d7d8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg7dk:0:6;4?:1y'545a20;27)664;a5<>"68m81=n7i;I::5>h6:<=1=i9?;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`2gf4=93<1<7>t$030b??612.3594l699'55b528i2j6F7909m573028n<=6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm1ba2>4<1290;w)?>3g8:5<=#00>1o;64$02g6?7d1o1C44?4n0063?7c?;1b4l?50;9jh590;8 =?32j<37)??d382g<`<@13:7c?=5682`255<5<6=44od5g>5<:783>5}#989m64?6;%::0?e102.:>92d:>8951e57?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6kko1=7850;2x 474n33:56*7958`2==#99n96901/44:5c968 46c:3;h5k5G8838j442?3;o;;5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb2cb3?7==3:112d9=4?<,13?6h?=;%33`7<6m:h0(kh?:01a1>N?181e=?;8:0f43>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm3`c6>4<2290;w)?>3g8:5<=#00>1i<<4$02g6?7b;k1/jk>5120a?M>>92d:>8951e5;?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0n=?5+11f1>4c4j2.mj=4>33`8L=?63g;99:4>d6;8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=na182>0<729q/=<=i:83:?!>><3o:>6*>0e095`5e3-lm<7?<2`9K<<7;7?k7`9jo6f;391?6=8r.:=>h590;8 =?32l;97)??d382a6d<,ol;6<==a:J;=4=i9;?<65<7s-;:?k46189'<<2=k130(<>k2;3`=c=O00;0b<<:7;3g3f=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb544a?7=;3:112d9=4?<,13?6ikn;%33`7<3=;;0D57>;o3112<6l>n0e5o>:188m=g52900ch9k:188yg35m:0:6:4?:1y'545a20;27)664;fb5>"68m819?6k;I::5>h6:<=1=i9j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th9m:>51;594?6|,8;8j77>9:&;=1N?181e=?;8:0f4b>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3d1g>4<1290;w)?>3g8:5<=#00>1h=64$02g6?5e;m1C44?4n0063?7c091b4l?50;9jh590;8 =?32l?n7)??d3811`g<,ol;6<:9f:J;=4=i9;?<6901/44:5c368 46c:38>il5G8838j442?3;o4?5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8n?97?53;294~"69:l15<74$9;7>acf3-;;h?4>c8d8 c`728>;:6F7909m573028n3?6g7a083>>o?i;0;66aj7e83>>{e;hkm6<4;:183!76;o02=45+8869a0c<,8:o>7?j3c9'bc6=9:io7E661:l2601=9m2?7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl"?1=0h?>5+11f1>4c4j2B35<5a1374>4b?=2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7dgc280?6=4?{%327c<>901/44:5e4g8 46c:3;n?o5+fg2956ec3A22=6`>24595a>13`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th8mlm51;594?6|,8;8j77>9:&;=1N?181e=?;8:0f;3>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3`ca>4<0290;w)?>3g8:5<=#00>1h==4$02g6?7b;k1C44?4n0063?7c011b4l?50;9j50z&256`=1830(57;:e20?!77l;0:i>l4H9;2?k75=>0:h574i9c2>5<5<5<5<:683>5}#989m64?6;%::0?b7;2.:>92d:>8951e:b?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl"?1=0o<>5+11f1>4c4j2B35<5a1374>4b?j2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7d>c280<6=4?{%327c<>901/44:5d118 46c:3;n?o5G8838j442?3;o4n5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:k3=7?57;294~"69:l15<74$9;7>a013-;;h?4>e2`8L=?63g;99:4>d9f8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=n7g82>2<729q/=<=i:83:?!>><3n=:6*>0e095`5e3A22=6`>24595a>b3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0e=5=93<1<7>t$030b??612.3594k739'55b528o8n6F7909m573028n3j6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm3`:1>4<1290;w)?>3g8:5<=#00>1h:<4$02g6?7b;k1C44?4n0063?7c191b4l?50;9jo70;392?6=8r.:=>h590;8 =?32m=97)??d382a6d<@13:7c?=5682`<75<5<6=44od5g>5<:783>5}#989m64?6;%::0?b0:2.:>92d:>8951e;1?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4i<:1=7850;2x 474n33:56*7958g37=#99n96901/44:5d608 46c:3;n?o5G8838j442?3;o595f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb2cb2?7=?3:112d9=4?<,13?6i89;%33`7<6m:h0D57>;o3112<6l0?0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5fi=0:6:4?:1y'545a20;27)664;f52>"68m81=h=m;I::5>h6:<=1=i79;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8ml<51;594?6|,8;8j77>9:&;=1?1/==j=:0g0f>N?181e=?;8:0f:3>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3`;a>4<0290;w)?>3g8:5<=#00>1h;84$02g6?7b;k1C44?4n0063?7c111b4l?50;9j50z&256`=1830(57;:e45?!77l;0:i>l4H9;2?k75=>0:h474i9c2>5<5<5<5<:583>5}#989m64?6;%::0?ef02.:>92d:>8951e;b?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd4i1i1=7950;2x 474n33:56*7958a`4=#99n965<7s-;:?k46189'<<2=l990(<>k2;3f7g=O00;0b<<:7;3g=f=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2c:`?7=?3:112d9=4?<,13?6i><;%33`7<6m:h0D57>;o3112<6l0n0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5f1h0:6:4?:1y'545a20;27)664;f37>"68m81=h=m;I::5>h6:<=1=i7j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8m4751;594?6|,8;8j77>9:&;=1N?181e=?;8:0f:b>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3`;4>4<0290;w)?>3g8:5<=#00>1h==4$02g6?7b;k1C44?4n0063?7ci91b4l?50;9j>3;1;7>50z&256`=1830(57;:e20?!77l;0:i>l4H9;2?k75=>0:hl?4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?b7;2.:>92d:>8951ec1?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl"?1=0o<>5+11f1>4c4j2B35<5a1374>4bf;2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7d?4280<6=4?{%327c<>901/44:5d118 46c:3;n?o5G8838j442?3;om95f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:k2>7?57;294~"69:l15<74$9;7>a643-;;h?4>e2`8L=?63g;99:4>d`78m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=n9082>2<729q/=<=i:83:?!>><3n;?6*>0e095`5e3A22=6`>24595ag13`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0e<6=93=1<7>t$030b??612.3594k029'55b528o8n6F7909m573028nj;6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;hh:6<48:183!76;o02=45+8869`55<,8:o>7?j3c9K<<7;7?ka99jom0;393?6=8r.:=>h590;8 =?32m:87)??d382a6d<@13:7c?=5682`d?5<5<6=44i9c5>5<<7>3-2287k>2:&24a4=9l9i7E661:l2601=9mkj7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4i1?1=7;50;2x 474n33:56*7958f57=#99n965<7s-;:?k46189'<<2=m880(<>k2;3f7g=O00;0b<<:7;3gef=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb2c5`?7==3:112d9=4?<,13?6h?=;%33`7<6m:h0D57>;o3112<6lhn0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg5f>10:684?:1y'545a20;27)664;g26>"68m81=h=m;I::5>h6:<=1=ioj;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th8m;;51;794?6|,8;8j77>9:&;=1N?181e=?;8:0fbb>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm3`40>4<2290;w)?>3g8:5<=#00>1i<<4$02g6?7b;k1C44?4n0063?7cj91b4l?50;9j50z&256`=1830(57;:d31?!77l;0:i>l4H9;2?k75=>0:ho?4i9c2>5<5<5<:483>5}#989m64?6;%::0?c6:2.:>92d:>8951e`1?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0n=?5+11f1>4c4j2B35<5a1374>4be;2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a7d3e280>6=4?{%327c<>901/44:5e008 46c:3;n?o5G8838j442?3;on95f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj:k>57?55;294~"69:l15<74$9;7>`753-;;h?4>e2`8L=?63g;99:4>dc78m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=n5682>0<729q/=<=i:83:?!>><3o:>6*>0e095`5e3A22=6`>24595ad13`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`0e03=93?1<7>t$030b??612.3594j139'55b528o8n6F7909m573028ni;6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;h2i6<4::183!76;o02=45+8869a44<,8:o>7?j3c9K<<7;7?kb99jo79;391?6=8r.:=>h590;8 =?32l;97)??d382a6d<@13:7c?=5682`g?5<5<0;6=u+101e><7>3-2287m:b:&24a4=<<8:7E661:l2601=9mhj7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd310:1=7950;2x 474n33:56*7958`1g=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=k;i0(<>k2;6664=O00;0b<<:7;3gff=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5;1g?7=?3:112d9=4?<,13?6non;%33`7<3=;;0D57>;o3112<6lkn0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2>:k0:6:4?:1y'545a20;27)664;f0a>"68m8188<>;I::5>h6:<=1=ilj;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?4l:51;494?6|,8;8j77>9:&;=1N?181e=?;8:0fab>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<0h>6<4<:183!76;o02=45+8869`g?<,8:o>7::209'bc6=9=<>7E661:l2601=9mi;7d6n1;29?l>f:3:17bk8d;29?xd31k>1=7950;2x 474n33:56*7958a=1=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=mk2;6664=#no:1=>j9;I::5>h6:<=1=im=;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7t$030b??612.3594l6g9'55b52=?9=6F7909m573028nh?6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7::209'bc6=9:n;7E661:l2601=9mi?7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;a2395?1=83:p("?1=0h:k5+11f1>13592B35<5a1374>4bd=2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0d5b280?6=4?{%327c<>901/44:5e4g8 46c:3>>><5+fg2956b23A22=6`>24595ae13`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?m>j51;594?6|,8;8j77>9:&;=1o1/==j=:5715>N?181e=?;8:0f`3>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4`6b>4<3290;w)?>3g8:5<=#00>1i8k4$02g6?22:81/jk>512f7?M>>92d:>8951ea;?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3i=31=7950;2x 474n33:56*7958`2c=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=mk2;6664=#no:1=>j<;I::5>h6:<=1=imn;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7e03=93=1<7>t$030b??612.3594l6g9'55b52=?9=6F7909m573028nhn6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7::209'bc6=9:n97E661:l2601=9mih7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;a7395?1=83:p("?1=0h:k5+11f1>13592B35<5a1374>4bdl2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0d0b280?6=4?{%327c<>901/44:5e4g8 46c:3>>><5+fg2956b63A22=6`>24595aeb3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?m;j51;594?6|,8;8j77>9:&;=1o1/==j=:5715>N?181e=?;8:0f`b>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4`5b>4<3290;w)?>3g8:5<=#00>1i8k4$02g6?22:81/jk>512ae?M>>92d:>8951ef3?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3i>31=7950;2x 474n33:56*7958`2c=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=lhl0(<>k2;6664=O00;0b<<:7;3g`7=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb55;`?7=?3:112d9=4?<,13?6n>7;%33`7<3=;;0D57>;o3112<6lm90e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg509m0:6;4?:1y'545a20;27)664;aae>"68m81?;;9;I::5>h6:<=1=ij;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`0725=93<1<7>t$030b??612.3594lb`9'55b52:8jo6F7909m573028no96g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm2ga6>4<1290;w)?>3g8:5<=#00>1ooo4$02g6?4bnl1C44?4n0063?7cl?1b4l?50;9jh590;8 =?32l937)??d382`=c<@13:7c?=5682`a15<5<<7>3-2287k<8:&24a4=9m2n7E661:l2601=9mn37d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd58:=1=7;50;2x 474n33:56*7958f7==#99n96?>>4:J;=4=i9;?<6:086>5<7s-;:?k46189'<<2=m:20(<>k2;3g<`=O00;0b<<:7;3g`d=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb3275?7==3:112d9=4?<,13?6h=7;%33`7<588>0D57>;o3112<6lmh0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg7ck;0:684?:1y'545a20;27)664;g0<>"68m81=i6j;I::5>h6:<=1=ijl;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th9<9<51;794?6|,8;8j77>9:&;=1N?181e=?;8:0fg`>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm1ea0>4<2290;w)?>3g8:5<=#00>1i>64$02g6?7c0l1C44?4n0063?7cll1b4l?50;9j50z&256`=1830(57;:d1;?!77l;09<<:4H9;2?k75=>0:hih4i9c2>5<5<5<:483>5}#989m64?6;%::0?c402.:>92d:>8951eg3?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=05695?3=83:p("?1=0n?55+11f1>766<2B35<5a1374>4bb92c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a5ae2280>6=4?{%327c<>901/44:5e2:8 46c:3;o4h5G8838j442?3;oi?5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj;:?97?55;294~"69:l15<74$9;7>`5?3-;;h?4=0068L=?63g;99:4>dd18m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo?kc782>0<729q/=<=i:83:?!>><3o846*>0e095a>b3A22=6`>24595ac33`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`1410=93?1<7>t$030b??612.3594j399'55b52;::86F7909m573028nn96g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e9mi<6<4::183!76;o02=45+8869a6><,8:o>7?k8d9K<<7;7?ke79j;7;391?6=8r.:=>h590;8 =?32l937)??d381442<@13:7c?=5682``15<5<<7>3-2287k<8:&24a4=9m2n7E661:l2601=9mo37d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd58=21=7;50;2x 474n33:56*7958f7==#99n96?>>4:J;=4=i9;?<65<7s-;:?k46189'<<2=m:20(<>k2;3g<`=O00;0b<<:7;3gad=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb327=?7==3:112d9=4?<,13?6h=7;%33`7<588>0D57>;o3112<6llh0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg7cj>0:684?:1y'545a20;27)664;g0<>"68m81=i6j;I::5>h6:<=1=ikl;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th9<>651;794?6|,8;8j77>9:&;=1N?181e=?;8:0ff`>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm1e`;>4<2290;w)?>3g8:5<=#00>1i>64$02g6?7c0l1C44?4n0063?7cml1b4l?50;9j50z&256`=1830(57;:d1;?!77l;09<<:4H9;2?k75=>0:hhh4i9c2>5<5<5<:483>5}#989m64?6;%::0?c402.:>92d:>8951ed3?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=02c95?3=83:p("?1=0n?55+11f1>766<2B35<5a1374>4ba92c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a5adf280>6=4?{%327c<>901/44:5e2:8 46c:3;o4h5G8838j442?3;oj?5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj;:8n7?55;294~"69:l15<74$9;7>`5?3-;;h?4=0068L=?63g;99:4>dg18m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo?kbc82>0<729q/=<=i:83:?!>><3o846*>0e095a>b3A22=6`>24595a`33`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`146e=93?1<7>t$030b??612.3594j399'55b52;::86F7909m573028nm96g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e9mhh6<4::183!76;o02=45+8869a6><,8:o>7?k8d9K<<7;7?kf79jh590;8 =?32l937)??d381442<@13:7c?=5682`c15<5<<7>3-2287k<8:&24a4=9m2n7E661:l2601=9ml37d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd58:o1=7;50;2x 474n33:56*7958f7==#99n96?>>4:J;=4=i9;?<65<7s-;:?k46189'<<2=m:20(<>k2;3g<`=O00;0b<<:7;3gbd=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb0fab?7=;3:112d9=4?<,13?6ik9;%33`7<6l1o0D57>;o3112<6loh0e5o>:188m=g52900ch9k:188yg2?l90:6:4?:1y'545a20;27)664;a3a>"68m8188<>;I::5>h6:<=1=ihl;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?58o51;594?6|,8;8j77>9:&;=1N?181e=?;8:0fe`>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4844>4<0290;w)?>3g8:5<=#00>1o=h4$02g6?22:81C44?4n0063?7cnl1b4l?50;9j50z&256`=1830(57;:b2e?!77l;0?9??4H9;2?k75=>0:hkh4i9c2>5<5<5<5<2?o4>:683>5}#989m64?6;%::0?ea92.:>92d:>8951d23?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;8g195?1=83:p("?1=0hm=5+11f1>13592B35<5a1374>4c792c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0=d6280<6=4?{%327c<>901/44:5c`28 46c:3>>><5G8838j442?3;n1<75f8`794?=n0h<1<75`e6f94?=zj=2n?7?57;294~"69:l15<74$9;7>fg73-;;h?4;5338L=?63g;99:4>e118m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:60582>2<729q/=<=i:83:?!>><3ij<6*>0e0900463A22=6`>24595`633`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7=42=93=1<7>t$030b??612.3594la19'55b52=?9=6F7909m573028o;96g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<0826<48:183!76;o02=45+8869a72<,8:o>7::209K<<7;7?j079jh590;8 =?32jl:7)??d387177<@13:7c?=5682a515<5<6=44i9c5>5<<7>3-2287jj5:&24a4=;k9o7E661:l2601=9l:37d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl"?1=0oi85+11f1>6d4l2B35<5a1374>4c712c3m<4?::k;e7<722c3m>4?::mf3a<722wi?omm:087>5<7s-;:?k46189'<<2=ll?0(<>k2;1a7a=O00;0b<<:7;3f4d=n0h;1<75f8`094?=n0h91<75`e6f94?=zj:hho7?54;294~"69:l15<74$9;7>ac23-;;h?4e1`8m=g62900e5o=:188m=g42900ch9k:188yg5ekm0:694?:1y'545a20;27)664;ff1>"68m81?o=k;I::5>h6:<=1=h>l;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`0ffc=93>1<7>t$030b??612.3594ke49'55b52:h8h6F7909m573028o;h6g7a083>>o?i;0;66g7a283>>ib?m0;66sm3cae>4<3290;w)?>3g8:5<=#00>1hh;4$02g6?5e;m1C44?4n0063?7b8l1b4l?50;9jlk0;390?6=8r.:=>h590;8 =?32mo>7)??d380f6b<@13:7c?=5682a5`5<5<:583>5}#989m64?6;%::0?bb=2.:>92d:>8951d33?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd4jm81=7:50;2x 474n33:56*7958ga0=#99n96>l1:k;e4<722c3m?4?::k;e6<722en;i4?::a7ge2280?6=4?{%327c<>901/44:5dd78 46c:39i?i5G8838j442?3;n=?5f8`394?=n0h81<75f8`194?=hm>n1<75rb2``2?7=<3:112d9=4?<,13?6ik:;%33`7<4j:n0D57>;o3112<6m890e5o>:188m=g52900e5o<:188k`1c2900qo=mc682>1<729q/=<=i:83:?!>><3nn96*>0e097g5c3A22=6`>24595`733`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th8nn651;694?6|,8;8j77>9:&;=1N?181e=?;8:0g21>o?i80;66g7a383>>o?i:0;66aj7e83>>{e;ki26<4;:183!76;o02=45+8869a1g<,8:o>7=m3e9K<<7;7?j179j50z&256`=1830(57;:c;`?!77l;0?9??4H9;2?k75=>0:i<94i9c2>5<5<5<>5?4>:483>5}#989m64?6;%::0?df82.:>92d:>8951d3;?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;58f95?3=83:p("?1=0im=5+11f1>13592B35<5a1374>4c612c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a00g7280>6=4?{%327c<>901/44:5b`28 46c:3>>><5G8838j442?3;n=l5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=?j=7?55;294~"69:l15<74$9;7>gg73-;;h?4;5338L=?63g;99:4>e0`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo::a382>0<729q/=<=i:83:?!>><3hj<6*>0e0900463A22=6`>24595`7d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`71d5=93?1<7>t$030b??612.3594ma19'55b52=?9=6F7909m573028o:h6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<7::209K<<7;7?j1d9jh590;8 =?32kk;7)??d387177<@13:7c?=5682a4`5<5<<7>3-2287ln0:&24a4=<<8:7E661:l2601=9l8;7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd3=121=7;50;2x 474n33:56*7958ae5=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=jh:0(<>k2;6664=O00;0b<<:7;3f67=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb57;e?7==3:112d9=4?<,13?6oo?;%33`7<3=;;0D57>;o3112<6m;90e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg220k0:684?:1y'545a20;27)664;`b4>"68m8188<>;I::5>h6:<=1=h<;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th?95m51;794?6|,8;8j77>9:&;=1N?181e=?;8:0g11>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm44:g>4<2290;w)?>3g8:5<=#00>1nl>4$02g6?22:81C44?4n0063?7b:?1b4l?50;9j50z&256`=1830(57;:cc3?!77l;0?9??4H9;2?k75=>0:i?94i9c2>5<5<5<>4k4>:483>5}#989m64?6;%::0?df82.:>92d:>8951d0;?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;58295?3=83:p("?1=0im=5+11f1>13592B35<5a1374>4c512c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a00?6280>6=4?{%327c<>901/44:5b`28 46c:3>>><5G8838j442?3;n>l5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=?2?7?55;294~"69:l15<74$9;7>gg73-;;h?4;5338L=?63g;99:4>e3`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo::9582>0<729q/=<=i:83:?!>><3hj<6*>0e0900463A22=6`>24595`4d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`71<3=93?1<7>t$030b??612.3594ma19'55b52=?9=6F7909m573028o9h6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<<3=6<4::183!76;o02=45+8869fd6<,8:o>7::209K<<7;7?j2d9jh590;8 =?32kk;7)??d387177<@13:7c?=5682a7`5<5<<7>3-2287ln0:&24a4=<<8:7E661:l2601=9l9;7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd3=031=7;50;2x 474n33:56*7958ae5=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=jh:0(<>k2;6664=O00;0b<<:7;3f77=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb57:f?7==3:112d9=4?<,13?6oo?;%33`7<3=;;0D57>;o3112<6m:90e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg221j0:684?:1y'545a20;27)664;`b4>"68m8188<>;I::5>h6:<=1=h=;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th?94k51;794?6|,8;8j77>9:&;=1N?181e=?;8:0g01>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm44;e>4<2290;w)?>3g8:5<=#00>1nl>4$02g6?22:81C44?4n0063?7b;?1b4l?50;9j50z&256`=1830(57;:bg3?!77l;0?9??4H9;2?k75=>0:i>94i9c2>5<5<5<0;6=u+101e><7>3-2287jl2:&24a4=;??=7E661:l2601=9l937d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4=1o1=7950;2x 474n33:56*7958gg7=#99n96>5<7s-;:?k46189'<<2=lj80(<>k2;0fb`=O00;0b<<:7;3f7d=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb55fg?7=>3:112d9=4?<,13?6nk?;%33`7<3=;;0D57>;o3112<6m:h0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:nc182>0<729q/=<=i:83:?!>><3o>h6*>0e0900463-lm<7?=979K<<7;7?j3b9jh590;8 =?32j337)??d387177<@13:7c?=5682a6b5<5<6=44i9c5>5<<7>3-2287jkd:&24a4=<;o?7E661:l2601=9l9n7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;34`95?1=83:p("?1=0h14b<2B35<5a1374>4c4n2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7f20280=6=4?{%327c<>901/44:5e438 46c:39i?i5+fg2956?13A22=6`>24595`273`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?4il51;494?6|,8;8j77>9:&;=1"an90:?>l4H9;2?k75=>0:i9?4i9c2>5<5<5<<7>3-2287m92:&24a4=<<8:7E661:l2601=9l>97d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd291k1=7950;2x 474n33:56*7958`ba=#99n968>80:J;=4=i9;?<6:084>5<7s-;:?k46189'<<2=ll80(<>k2;6664=O00;0b<<:7;3f01=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb3274?7=;3:112d9=4?<,13?6ik9;%33`7<588>0D57>;o3112<6m=?0e5o>:188m=g52900ch9k:188yg2?8;0:6:4?:1y'545a20;27)664;a27>"68m8188<>;I::5>h6:<=1=h:9;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?;ho51;494?6|,8;8j77>9:&;=1N?181e=?;8:0g73>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e;o8?6<48:183!76;o02=45+8869`g6<,8:o>7=i229K<<7;7?j499jh=7;393?6=8r.:=>h590;8 =?32m327)??d380b75<@13:7c?=5682a1?5<5<6=44i9c5>5<0;6=u+101e><7>3-2287jn7:&24a4=<<8:7E661:l2601=9l>j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3879:084>5<7s-;:?k46189'<<2=m:l0(<>k2;0633=O00;0b<<:7;3f0f=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb56`7?7=?3:112d9=4?<,13?6h?6;%33`7<3;o3112<6m=n0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg42110:6:4?:1y'545a20;27)664;g2=>"68m81>899;I::5>h6:<=1=h:j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th>=:l51;494?6|,8;8j77>9:&;=1N?181e=?;8:0g7b>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e=8=?6<48:183!76;o02=45+8869fc2<,8:o>7;?719K<<7;7?j519jh590;8 =?32j::7)??d386426<@13:7c?=5682a075<5<6=44i9c5>5<<7>3-2287k=b:&24a4=<<8:7)hi0;3076=O00;0b<<:7;3f17=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=2jh7?55;294~"69:l15<74$9;7>a`63-;;h?4;5338 c`7288286F7909m573028o>?6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<:><6<48:183!76;o02=45+8869fgb<,8:o>7:=e59K<<7;7?j559jm>d;393?6=8r.:=>h590;8 =?32l?j7)??d380f6b<@13:7c?=5682a035<5<6=44i9c5>5<0;6=u+101e><7>3-2287kf:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5:k=1=7950;2x 474n33:56*7958f7a=#99n96?o9:085>5<7s-;:?k46189'<<2=lml0(<>k2;60=f=#no:1=?lk;I::5>h6:<=1=h;7;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`102d=93<1<7>t$030b??612.3594kdg9'55b52;><=6*if1826gc<@13:7c?=5682a0?5<5<6=44od5g>5<85o4>:783>5}#989m64?6;%::0?bfl2.:>92d:>8951d7b?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd3;0=1=7950;2x 474n33:56*7958geg=#99n969=7f:J;=4=i9;?<676:085>5<7s-;:?k46189'<<2=m8=0(<>k2;60<<7?56;294~"69:l15<74$9;7>agc3-;;h?4=4768L=?63g;99:4>e4f8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg43>j0:6:4?:1y'545a20;27)664;fbf>"68m81>98;;I::5>h6:<=1=h;j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th98;k51;494?6|,8;8j77>9:&;=11/==j=:3650>N?181e=?;8:0g6b>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e;1::6<48:183!76;o02=45+8869a16<,8:o>7=9579K<<7;7?j619j::3;393?6=8r.:=>h590;8 =?32l>;7)??d3806de<@13:7c?=5682a375<5<6=44i9c5>5<0;6=u+101e><7>3-2287k;0:&24a4=:lln7E661:l2601=9l<97d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd41<81=7950;2x 474n33:56*7958f7f=#99n96>8:6:J;=4=i9;?<65<7s-;:?k46189'<<2=m:i0(<>k2;11ef=O00;0b<<:7;3f21=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb23e12d9=4?<,13?6h=l;%33`7<5moo0D57>;o3112<6m??0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2ek00:6:4?:1y'545a20;27)664;a0a>"68m818ljm;I::5>h6:<=1=h89;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?mi>51;594?6|,8;8j77>9:&;=1N?181e=?;8:0g53>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4`c1>4<0290;w)?>3g8:5<=#00>1ok84$02g6?22:81C44?4n0063?7b>11b4l?50;9j3;197>50z&256`=1830(57;:ea`?!77l;0?il:4H9;2?k75=>0:i;74i9c2>5<5<5<3=h4>:783>5}#989m64?6;%::0?b0=2.:>92d:>8951d4b?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd3jho1=7850;2x 474n33:56*7958f17=#99n969okb:&eb5<6:0<0D57>;o3112<6m?h0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo=l5g82>2<729q/=<=i:83:?!>><3o8:6*>0e097g5c3A22=6`>24595`0d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7`d4=93?1<7>t$030b??612.3594j349'55b52=?9=6*if1826ge<@13:7c?=5682a3b5<5<0;6=u+101e><7>3-2287k>9:&24a4=<;:27E661:l2601=9lf:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3?lh1=7;50;2x 474n33:56*7958``4=#99n969;=1:&eb5<6:o80D57>;o3112<6m?l0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg46<:0:684?:1y'545a20;27)664;g00>"68m81><==;I::5>h6:<=1=h9?;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th9>o<51;794?6|,8;8j77>9:&;=1N?181e=?;8:0g45>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm4ec;>4<1290;w)?>3g8:5<=#00>1h?74$02g6?22:81C44?4n0063?7b?;1b4l?50;9jh590;8 =?32l;97)??d382aa2<@13:7c?=5682a255<5<0;6=u+101e><7>3-2287lje:&24a4=9=i:7E661:l2601=9l=?7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd65<7s-;:?k46189'<<2=jlo0(<>k2;37g4=O00;0b<<:7;3f33=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb06`g?7=?3:112d9=4?<,13?6okj;%33`7<6;o3112<6m>=0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg73kk0:6:4?:1y'545a20;27)664;`fa>"68m81=9m>;I::5>h6:<=1=h97;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th:8no51;594?6|,8;8j77>9:&;=1N?181e=?;8:0g4=>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm15a;>4<0290;w)?>3g8:5<=#00>1nhk4$02g6?73k81C44?4n0063?7b?h1b4l?50;9j50z&256`=1830(57;:cgf?!77l;0:8n?4H9;2?k75=>0:i:l4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?dbm2.:>92d:>8951d5`?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>4e695?1=83:p("?1=0iih5+11f1>42d92B35<5a1374>4c0l2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a51b4280<6=4?{%327c<>901/44:5bdg8 46c:3;?o<5G8838j442?3;n;h5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj8>o>7?57;294~"69:l15<74$9;7>gcb3-;;h?4>4b38L=?63g;99:4>e6d8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo?;d082>2<729q/=<=i:83:?!>><3hni6*>0e0951e63A22=6`>24595`>73`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`20a6=93=1<7>t$030b??612.3594med9'55b528>h=6F7909m573028o3=6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e9=i26<48:183!76;o02=45+8869f`c<,8:o>7?;c09K<<7;7?j839j7m7;391?6=8r.:=>h590;8 =?32mn27)??d380200<,ol;6<8:086>5<7s-;:?k46189'<<2=lm30(<>k2;11ef=#no:1=?o;;I::5>h6:<=1=h6;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th8>8j51;794?6|,8;8j77>9:&;=1"an90:>l=4H9;2?k75=>0:i5;4i9c2>5<5<5<:683>5}#989m64?6;%::0?b6m2.:>92d:>8951d:5?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<5gd95?1=83:p("?1=0o=h5+11f1>64fk2B35<5a1374>4c??2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7732280<6=4?{%327c<>901/44:5d0g8 46c:38njh5G8838j442?3;n455f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:n<<7?57;294~"69:l15<74$9;7>a?43-;;h?4e9;8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo;=9482>2<729q/=<=i:83:?!>><3i846*>0e0917>c3A22=6`>24595`>f3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`66<2=93=1<7>t$030b??612.3594l399'55b52<83h6F7909m573028o3n6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7::209K<<7;7?j8b9jh590;8 =?32mk=7)??d387177<@13:7c?=5682a=b5<5<6=44od5g>5<28k4>:783>5}#989m64?6;%::0?bf>2.:>92d:>8951d:f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd30mk1=7850;2x 474n33:56*7958ge3=#99n969;=1:J;=4=i9;?<6280=6=4?{%327c<>901/44:5d`48 46c:3>>><5G8838j442?3;n5=5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb5;bb?7=>3:112d9=4?<,13?6io9;%33`7<3=;;0D57>;o3112<6m0;0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:;f282>3<729q/=<=i:83:?!>><3o?96*>0e0901ce3-lm<7?=f19K<<7;7?j939j50z&256`=1830(57;:d66?!77l;099n>4$gd3>44a;2B35<5a1374>4c>;2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi9<9?:085>5<7s-;:?k46189'<<2=kk80(<>k2;7335=O00;0b<<:7;3f=1=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj<;3>7?56;294~"69:l15<74$9;7>`6a3-;;h?4:0628L=?63g;99:4>e878m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg36?<0:6:4?:1y'545a20;27)664;`e7>"68m819=9?;I::5>h6:<=1=h79;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?n9:&;=1"an90:??j4H9;2?k75=>0:i494i9c2>5<5<5<jjk4>:483>5}#989m64?6;%::0?b?i2.:i5G8838j442?3;n555f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj:iggd3-;;h?4e8;8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:98b82>3<729q/=<=i:83:?!>><3nj56*>0e0900463-lm<7?<9`9K<<7;7?j9`9jfk3;197>50z&256`=1830(57;:e;4?!77l;0?9??4$gd3>45?12B35<5a1374>4c>j2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a0=cb280>6=4?{%327c<>901/44:5d858 46c:3>>><5+fg2956>>3A22=6`>24595`?d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`7=5`=93?1<7>t$030b??612.3594k969'55b52=?9=6*if1827=><@13:7c?=5682a5<5<<7>3-2287j67:&24a4=<<8:7)hi0;30<==O00;0b<<:7;3f=`=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb5;0`?7=?3:112d9=4?<,13?6io8;%33`7<3=;;0D57>;o3112<6m0l0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg20180:684?:1y'545a20;27)664;a`0>"68m8188<>;%de4?75il1C44?4n0063?7bi91b4l?50;9j:3;197>50z&256`=1830(57;:bag?!77l;0?9??4$gd3>44fm2B35<5a1374>4cf92c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a7f7>280=6=4?{%327c<>901/44:5d318 46c:39i?i5+fg2957??3A22=6`>24595`g53`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th84?651;794?6|,8;8j77>9:&;=11/==j=:2462>"an90:>n=4H9;2?k75=>0:il=4i9c2>5<5<5<:483>5}#989m64?6;%::0?b>?2.:1<75`e6f94?=zj::o<7?55;294~"69:l15<74$9;7>a?03-;;h?4=egg8 c`7288h=6F7909m573028oj96g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<>k36<48:183!76;o02=45+8869fa2<,8:o>7::209K<<7;7?ja79jh590;8 =?32kn?7)??d387177<@13:7c?=5682ad15<5<6=44i9c5>5<0;6=u+101e><7>3-2287lk4:&24a4=<<8:7E661:l2601=9lk37d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3?0>1=7950;2x 474n33:56*7958a`1=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=l1i0(<>k2;71n1<75rb40:7?7==3:112d9=4?<,13?6i7n;%33`7<2:1n0(kh?:0637>N?181e=?;8:0gbf>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm52:f>4<2290;w)?>3g8:5<=#00>1o;=4$02g6?340m1C44?4n0063?7bij1b4l?50;9j50z&256`=1830(57;:e`;?!77l;099ho4H9;2?k75=>0:ilj4i9c2>5<5<<7>3-2287jm8:&24a4=:ad?3-;;h?4=5dc8 c`7289n>6F7909m573028ojj6g7a083>>o?i;0;66g7a283>>ib?m0;66sm425;>4<2290;w)?>3g8:5<=#00>1ho84$02g6?25m=1C44?4n0063?7bj91b4l?50;9j2=3;1;7>50z&256`=1830(57;:cc5?!77l;0?9??4H9;2?k75=>0:io?4i9c2>5<5<5<5<:483>5}#989m64?6;%::0?b?:2.:>92d:>8951d`1?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;7`d95?3=83:p("?1=0o4?5+11f1>13592B35<5a1374>4ce;2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a02d7280>6=4?{%327c<>901/44:5d908 46c:3>>><5G8838j442?3;nn95f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=oj;7?54;294~"69:l15<74$9;7>ad33-;;h?4;e`68 c`7289i=6F7909m573028oi96g7a083>>o?i;0;66g7a283>>ib?m0;66sm481e>4<0290;w)?>3g8:5<=#00>1i9>4$02g6?22:81C44?4n0063?7bj?1b4l?50;9j50z&256`=1830(57;:ba6?!77l;0?9??4$gd3>44a:2B35<5a1374>4ce?2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=i6i:087>5<7s-;:?k46189'<<2=lk>0(<>k2;3g<`=O00;0b<<:7;3ff==n0h;1<75f8`094?=n0h91<75`e6f94?=zj8n257?54;294~"69:l15<74$9;7>ad33-;;h?4>d9g8L=?63g;99:4>ec;8m=g62900e5o=:188m=g42900ch9k:188yg479<0:694?:1y'545a20;27)664;fa0>"68m81>=?;;I::5>h6:<=1=hln;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`2`1<7>t$030b??612.3594kb59'55b528n3i6F7909m573028oin6g7a083>>o?i;0;66g7a283>>ib?m0;66sm213g>4<3290;w)?>3g8:5<=#00>1ho:4$02g6?479=1C44?4n0063?7bjj1b4l?50;9jh590;8 =?32mh?7)??d382`=c<@13:7c?=5682agb5<5<:583>5}#989m64?6;%::0?be<2.:>92d:>8951d`f?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd6l0i1=7:50;2x 474n33:56*7958gf1=#99n966=4?{%327c<>901/44:5cc:8 46c:3>jho5G8838j442?3;no=5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj;::j7?54;294~"69:l15<74$9;7>ad33-;;h?4=0068L=?63g;99:4>eb38m=g62900e5o=:188m=g42900ch9k:188yg7c1m0:694?:1y'545a20;27)664;fa0>"68m81=i6j;I::5>h6:<=1=hm=;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`1476=93>1<7>t$030b??612.3594kb59'55b52;::86F7909m573028oh?6g7a083>>o?i;0;66g7a283>>ib?m0;66sm1e;f>4<3290;w)?>3g8:5<=#00>1ho:4$02g6?7c0l1C44?4n0063?7bk=1b4l?50;9j=1;390?6=8r.:=>h590;8 =?32mh?7)??d381442<@13:7c?=5682af35<5<:583>5}#989m64?6;%::0?be<2.:>92d:>8951da5?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd58;81=7:50;2x 474n33:56*7958gf1=#99n96?>>4:J;=4=i9;?<6901/44:5dc68 46c:3;o4h5G8838j442?3;no55f8`394?=n0h81<75f8`194?=hm>n1<75rb3217?7=<3:112d9=4?<,13?6il;;%33`7<588>0D57>;o3112<6mj30e5o>:188m=g52900e5o<:188k`1c2900qo?ka082>1<729q/=<=i:83:?!>><3ni86*>0e095a>b3A22=6`>24595`ef3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th8o;<51;794?6|,8;8j77>9:&;=1"an90:8=?4H9;2?k75=>0:inl4i9c2>5<5<5<94>:583>5}#989m64?6;%::0?be<2.:>92d:>8951da`?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd6l0:1=7:50;2x 474n33:56*7958gf1=#99n96901/44:5dc68 46c:38;=95G8838j442?3;noh5f8`394?=n0h81<75f8`194?=hm>n1<75rb0f:5?7=<3:112d9=4?<,13?6il;;%33`7<6l1o0D57>;o3112<6mjl0e5o>:188m=g52900e5o<:188k`1c2900qo1<729q/=<=i:83:?!>><3ni86*>0e0965733A22=6`>24595`b73`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th:h4<51;694?6|,8;8j77>9:&;=1N?181e=?;8:0gg5>o?i80;66g7a383>>o?i:0;66aj7e83>>{e:9;<6<4;:183!76;o02=45+8869`g2<,8:o>7;7?jd39j;3;187>50z&256`=1830(57;:e`7?!77l;0:h5k4H9;2?k75=>0:ii=4i9c2>5<5<<7>3-2287jm4:&24a4=:9;?7E661:l2601=9ln?7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl>d8695?2=83:p("?1=0on95+11f1>4b?m2B35<5a1374>4cc=2c3m<4?::k;e7<722c3m>4?::mf3a<722wi>=?6:087>5<7s-;:?k46189'<<2=lk>0(<>k2;0351=O00;0b<<:7;3f`3=n0h;1<75f8`094?=n0h91<75`e6f94?=zj8n297?54;294~"69:l15<74$9;7>ad33-;;h?4>d9g8L=?63g;99:4>ee58m=g62900e5o=:188m=g42900ch9k:188yg479h0:694?:1y'545a20;27)664;fa0>"68m81>=?;;I::5>h6:<=1=hj7;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`2`<0=93>1<7>t$030b??612.3594kb59'55b528n3i6F7909m573028oo56g7a083>>o?i;0;66g7a283>>ib?m0;66sm213a>4<3290;w)?>3g8:5<=#00>1ho:4$02g6?479=1C44?4n0063?7blh1b4l?50;9jh590;8 =?32mh?7)??d382`=c<@13:7c?=5682aad5<5<:583>5}#989m64?6;%::0?be<2.:>92d:>8951df`?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd6l021=7:50;2x 474n33:56*7958gf1=#99n96901/44:5e5c8 46c:3?;;=5+fg2957df3A22=6`>24595`bb3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th>=om51;694?6|,8;8j77>9:&;=1"an90:>oo4H9;2?k75=>0:iih4i9c2>5<5<<7>3-2287jkd:&24a4=<=oi7)hi0;30f5=O00;0b<<:7;3fa5=n0h;1<75f8`094?=n0h91<75`e6f94?=zj;?oo7?54;294~"69:l15<74$9;7>abc3-;;h?4=5b28 c`7289i>6F7909m573028on=6g7a083>>o?i;0;66g7a283>>ib?m0;66sm4177>4<3290;w)?>3g8:5<=#00>1hij4$02g6?5a=m1/jk>51211?M>>92d:>8951dg1?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd38h:d:&eb5<6;k<0D57>;o3112<6ml90e5o>:188m=g52900e5o<:188k`1c2900qo?;d482>3<729q/=<=i:83:?!>><3i2=6*>0e0951e63A22=6`>24595`c33`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th:8h951;694?6|,8;8j77>9:&;=1"an90:?l94H9;2?k75=>0:ih;4i9c2>5<5<<7>3-2287jm4:&24a4=9=i:7)hi0;30e3=O00;0b<<:7;3fa3=n0h;1<75f8`094?=n0h91<75`e6f94?=zj8>n57?54;294~"69:l15<74$9;7>ad33-;;h?4>4b38 c`7289j96F7909m573028on;6g7a083>>o?i;0;66g7a283>>ib?m0;66sm15gb>4<3290;w)?>3g8:5<=#00>1hij4$02g6?73k81/jk>512c6?M>>92d:>8951dg;?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd60D57>;o3112<6ml30e5o>:188m=g52900e5o<:188k`1c2900qo?;eb82>1<729q/=<=i:83:?!>><3noh6*>0e0951e63-lm<7?;7?je`9j50z&256`=1830(57;:e`7?!77l;0:8n?4$gd3>45f?2B35<5a1374>4cbj2c3m<4?::k;e7<722c3m>4?::mf3a<722wi=9kj:087>5<7s-;:?k46189'<<2=lk>0(<>k2;37g4=#no:1=>o9;I::5>h6:<=1=hkl;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`20`6=93>1<7>t$030b??612.3594kb59'55b528>h=6*if1827d5<@13:7c?=5682a`b5<5<:583>5}#989m64?6;%::0?be<2.:5G8838j442?3;nih5f8`394?=n0h81<75f8`194?=hm>n1<75rb06f6?7=<3:112d9=4?<,13?6il;;%33`7<6N?181e=?;8:0gfb>o?i80;66g7a383>>o?i:0;66aj7e83>>{e9=o86<4;:183!76;o02=45+8869`g2<,8:o>7?;c09'bc6=9:k97E661:l2601=9ll;7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl>4d695?2=83:p("?1=0on95+11f1>42d92.mj=4>3`38L=?63g;99:4>eg38m=g62900e5o=:188m=g42900ch9k:188yg73m<0:694?:1y'545a20;27)664;fa0>"68m81=9m>;%de4?74i81C44?4n0063?7bn;1b4l?50;9jh590;8 =?32mh?7)??d3820f7<,ol;6<=<2:J;=4=i9;?<6901/44:5c6;8 46c:3>>><5G8838j442?3;nj95f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj==i97?57;294~"69:l15<74$9;7>f`e3-;;h?4;5338L=?63g;99:4>eg78m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:8b782>2<729q/=<=i:83:?!>><3imn6*>0e0900463A22=6`>24595``13`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`73g1=93=1<7>t$030b??612.3594lfc9'55b52=?9=6F7909m573028om;6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<>h?6<48:183!76;o02=45+8869gcd<,8:o>7::209K<<7;7?jf99jh590;8 =?32jli7)??d387177<@13:7c?=5682ac?5<5<6=44i9c5>5<0;6=u+101e><7>3-2287mib:&24a4=<<8:7E661:l2601=9llj7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3?k81=7950;2x 474n33:56*7958`bg=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=koh0(<>k2;6664=O00;0b<<:7;3fbf=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5;f`?7=>3:112d9=4?<,13?6i9k;%33`7<3=;;0D57>;o3112<6mon0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:6f682>3<729q/=<=i:83:?!>><3n0e0900463A22=6`>24595``b3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?;h=51;594?6|,8;8j77>9:&;=1N?181e=?;8:0geb>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm49:7>4<1290;w)?>3g8:5<=#00>1ol=4$02g6?22:81/jk>513ge?M>>92d:>8951g23?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd38mk1=7:50;2x 474n33:56*7958gf1=#99n96>h:d:&eb5<6<9h0D57>;o3112<6n9;0e5o>:188m=g52900e5o<:188k`1c2900qo:?d882>2<729q/=<=i:83:?!>><3o9>6*>0e097c3c3A22=6`>24595c653`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7710=93=1<7>t$030b??612.3594me09'55b52=8n86F7909m573028l;?6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<0?:6<48:183!76;o02=45+8869`1c<,8:o>7::209K<<7;7?i059jh590;8 =?32m>n7)??d387177<@13:7c?=5682b535<5<6=44i9c5>5<0;6=u+101e><7>3-2287j;e:&24a4=<<8:7E661:l2601=9o:=7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3?l=1=7850;2x 474n33:56*7958`a5=#99n969;=1:J;=4=i9;?<6901/44:5ced8 46c:3>>><5G8838j442?3;m<55f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb324`?7=?3:112d9=4?<,13?6ol>;%33`7<588>0D57>;o3112<6n930e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg47?k0:6:4?:1y'545a20;27)664;`a5>"68m81>=?;;I::5>h6:<=1=k>n;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th9<:651;594?6|,8;8j77>9:&;=1N?181e=?;8:0d3f>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm2155>4<0290;w)?>3g8:5<=#00>1no?4$02g6?479=1C44?4n0063?7a8j1b4l?50;9j50z&256`=1830(57;:c`2?!77l;09<<:4H9;2?k75=>0:j=j4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?de92.:>92d:>8951g2f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=06295?1=83:p("?1=0in<5+11f1>766<2B35<5a1374>4`7n2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a650>280<6=4?{%327c<>901/44:5bc38 46c:38;=95G8838j442?3;m==5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;:=;7?57;294~"69:l15<74$9;7>gd63-;;h?4=0068L=?63g;99:4>f038m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo2<729q/=<=i:83:?!>><3hi=6*>0e0965733A22=6`>24595c753`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`1435=93=1<7>t$030b??612.3594mb09'55b52;::86F7909m573028l:?6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:9<:6<48:183!76;o02=45+8869fg7<,8:o>7;7?i159j:f;393?6=8r.:=>h590;8 =?32kh:7)??d381442<@13:7c?=5682b435<5<6=44i9c5>5<0;6=u+101e><7>3-2287lm1:&24a4=:9;?7E661:l2601=9o;=7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd58>4:J;=4=i9;?<67:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi89ll:084>5<7s-;:?k46189'<<2=lh20(<>k2;67e4=O00;0b<<:7;3e5==n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb37:5?7=?3:112d9=4?<,13?6io7;%33`7<5=><0D57>;o3112<6n830e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2>nj0:694?:1y'545a20;27)664;f03>"68m8188<>;%de4?739:1C44?4n0063?7a9h1b4l?50;9jh590;8 =?32m8m7)??d387177<@13:7c?=5682b4d5<5<6=44i9c5>5<0;6=u+101e><7>3-2287k<3:&24a4=<;o?7E661:l2601=9o;h7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd30o>1=7950;2x 474n33:56*7958`42=#99n969;=1:J;=4=i9;?<6d:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi85l=:084>5<7s-;:?k46189'<<2=k9=0(<>k2;6664=O00;0b<<:7;3e5`=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5:f0?7=?3:112d9=4?<,13?6n>8;%33`7<3=;;0D57>;o3112<6n8l0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2>8<0:6:4?:1y'545a20;27)664;a33>"68m8188<>;I::5>h6:<=1=k7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?5<;51;594?6|,8;8j77>9:&;=11/==j=:5715>N?181e=?;8:0d15>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm1b;6>4<1290;w)?>3g8:5<=#00>1h<=4$02g6?7d?o1/jk>513;6?M>>92d:>8951g01?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4m1i1=7;50;2x 474n33:56*7958f57=#99n96>l5<7s-;:?k46189'<<2=lm80(<>k2;714g=O00;0b<<:7;3e61=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2g:=?7==3:112d9=4?<,13?6om>;%33`7<4j:n0(kh?:01e3>N?181e=?;8:0d11>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm3b32>4<1290;w)?>3g8:5<=#00>1hlj4$02g6?5e;m1C44?4n0063?7a:?1b4l?50;9jh590;8 =?32l;h7)??d38765?<@13:7c?=5682b715<5<6=44od5g>5<:483>5}#989m64?6;%::0?b?:2.:>92d:>8951g0;?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl"?1=0ohi5+11f1>6d4l2.mj=4>3848L=?63g;99:4>f3;8m=g62900e5o=:188m=g42900ch9k:188yg20190:684?:1y'545a20;27)664;f0f>"68m8188<>;%de4?75im1C44?4n0063?7a:h1b4l?50;9ji3;197>50z&256`=1830(57;:e75?!77l;0:o:h4$gd3>425;2B35<5a1374>4`5j2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a65?1280?6=4?{%327c<>901/44:5def8 46c:38;=95+fg2956d33A22=6`>24595c4d3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th85o;51;494?6|,8;8j77>9:&;=1"an90:>l;4H9;2?k75=>0:j?j4i9c2>5<5<5<<7>3-2287j=0:&24a4=;;kh7)hi0;31e1=O00;0b<<:7;3e6`=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj:8>n7?56;294~"69:l15<74$9;7>a473-;;h?4=egg8 c`7288j?6F7909m573028l9j6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm4``1>4<0290;w)?>3g8:5<=#00>1ho?4$02g6?22:81C44?4n0063?7a;91b4l?50;9j50z&256`=1830(57;:e`;?!77l;099ho4$gd3>45f12B35<5a1374>4`492c3m<4?::k;e7<722c3m>4?::mf3a<722wi>:9;:087>5<7s-;:?k46189'<<2=lk20(<>k2;06ad=#no:1=>jk;I::5>h6:<=1=k==;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`13<>=93>1<7>t$030b??612.3594kb99'55b52;?nm6*if1827`4<@13:7c?=5682b655<5<<5:4>:683>5}#989m64?6;%::0?e>>2.:>92d:>8951g17?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;78:95?1=83:p("?1=0h5;5+11f1>13592B35<5a1374>4`4=2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a02?>280<6=4?{%327c<>901/44:5c848 46c:3>>><5G8838j442?3;m?;5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj==297?57;294~"69:l15<74$9;7>f?13-;;h?4;5338L=?63g;99:4>f258m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:89`82>2<729q/=<=i:83:?!>><3i2:6*>0e0900463A22=6`>24595c5?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`73gg=93=1<7>t$030b??612.3594l979'55b52=?9=6F7909m573028l856g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<>h26<48:183!76;o02=45+8869g<0<,8:o>7::209K<<7;7?i3`9jh590;8 =?32j3=7)??d387177<@13:7c?=5682b6d5<5<6=44i9c5>5<<7>3-2287j6c:&24a4=<<8:7E661:l2601=9o9h7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;8b;95?0=83:p("?1=0o5n5+11f1>13592B35<5a1374>4`4l2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi8l5<7s-;:?k46189'<<2=l0i0(<>k2;6664=O00;0b<<:7;3e7`=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=k857?56;294~"69:l15<74$9;7>a?d3-;;h?4;5338L=?63g;99:4>f2d8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2f<<0:6;4?:1y'545a20;27)664;f:g>"68m8188<>;I::5>h6:<=1=k:?;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`7e07=93<1<7>t$030b??612.3594k9b9'55b52=?9=6F7909m573028l?=6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm4`7g>4<1290;w)?>3g8:5<=#00>1h4m4$02g6?22:81C44?4n0063?7a<;1b4l?50;9jh590;8 =?32m3h7)??d387177<@13:7c?=5682b155<5<6=44od5g>5<j;84>:783>5}#989m64?6;%::0?b>k2.:>92d:>8951g67?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4k8o1=7950;2x 474n33:56*7958`<0=#99n96>l5<7s-;:?k46189'<<2=k0o0(<>k2;6664=O00;0b<<:7;3e03=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb52``?7=?3:112d9=4?<,13?6h=i;%33`7<4n;o3112<6n==0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5bik0:6:4?:1y'545a20;27)664;f6=>"68m81?o=k;I::5>h6:<=1=k:7;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?>9;51;594?6|,8;8j77>9:&;=1N?181e=?;8:0d7=>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm46d5>4<2290;w)?>3g8:5<=#00>1o4k4$02g6?22:81C44?4n0063?7a50z&256`=1830(57;:e;a?!77l;08j8j4$gd3>45d?2B35<5a1374>4`3j2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8=m7:084>5<7s-;:?k46189'<<2=l930(<>k2;1e1a=O00;0b<<:7;3e0f=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb52f4?7=<3:112d9=4?<,13?6i7m;%33`7<4nN?181e=?;8:0d7`>o?i80;66g7a383>>o?i:0;66aj7e83>>{e<9nm6<48:183!76;o02=45+8869`5?<,8:o>7=i5e9K<<7;7?i4d9jh590;8 =?32min7)??d387177<@13:7c?=5682b1`5<5<6=44od5g>5<>94>:683>5}#989m64?6;%::0?c5i2.:>92d:>8951g73?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<16c95?1=83:p("?1=0n>l5+11f1>7cam2B35<5a1374>4`292c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a07d4280>6=4?{%327c<>901/44:5dea8 46c:3>9<45+fg2957ge3A22=6`>24595c353`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`0ad2=93=1<7>t$030b??612.3594mb89'55b52:h8h6F7909m573028l>?6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<>236<4;:183!76;o02=45+8869a1e<,8:o>7::209'bc6=9:8m7E661:l2601=9o??7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;a9295?3=83:p("?1=0oh55+11f1>13592.mj=4>2g78L=?63g;99:4>f478m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:=5082>2<729q/=<=i:83:?!>><3o;:6*>0e09076>3A22=6`>24595c313`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`73`6=93?1<7>t$030b??612.3594k0g9'55b52=?9=6*if1826c3<@13:7c?=5682b015<5<0;6=u+101e><7>3-2287k<7:&24a4=:;;m7E661:l2601=9o?37d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5;021=7950;2x 474n33:56*7958f72=#99n96?=7e:J;=4=i9;?<6:085>5<7s-;:?k46189'<<2=k0:0(<>k2;6664=#no:1=>6n;I::5>h6:<=1=k;n;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`7efd=93>1<7>t$030b??612.3594ka39'55b52=?9=6F7909m573028l>n6g7a083>>o?i;0;66g7a283>>ib?m0;66sm4`ab>4<0290;w)?>3g8:5<=#00>1o6l3;1;7>50z&256`=1830(57;:b42?!77l;0?9??4H9;2?k75=>0:j8j4i9c2>5<5<5<5<3j84>:683>5}#989m64?6;%::0?bdj2.:>92d:>8951g7f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;9g695?0=83:p("?1=0imo5+11f1>13592.mj=4>3818L=?63g;99:4>f4d8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2?:90:6;4?:1y'545a20;27)664;f23>"68m8188<>;I::5>h6:<=1=k8?;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`0g44=93=1<7>t$030b??612.3594ma59'55b52:h8h6F7909m573028l==6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;j:>6<48:183!76;o02=45+8869fd2<,8:o>7=m3e9K<<7;7?i639jm?4;393?6=8r.:=>h590;8 =?32kk?7)??d380f6b<@13:7c?=5682b355<5<6=44i9c5>5<0;6=u+101e><7>3-2287j=4:&24a4=;k9o7E661:l2601=9of:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5?j<1=7;50;2x 474n33:56*7958f70=#99n96?;ja:&eb5<6;;90D57>;o3112<6n??0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg40k<0:684?:1y'545a20;27)664;g01>"68m81>8kn;%de4?74::1C44?4n0063?7a>?1b4l?50;9j50z&256`=1830(57;:d16?!77l;099ho4$gd3>455:2B35<5a1374>4`1?2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a62e5280>6=4?{%327c<>901/44:5e278 46c:38>il5+fg2956453A22=6`>24595c0?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`13f6=93?1<7>t$030b??612.3594j349'55b52;?nm6*if18274b<@13:7c?=5682b3?5<5<<7>3-2287k<5:&24a4=:n1<75rb35aa?7==3:112d9=4?<,13?6h=:;%33`7<5=lk0(kh?:0115>N?181e=?;8:0d5f>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm26`g>4<2290;w)?>3g8:5<=#00>1i>;4$02g6?42mh1/jk>51203?M>>92d:>8951g4`?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl>75a95?1=83:p("?1=0n985+11f1>43c02B35<5a1374>4`1l2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a62dd280>6=4?{%327c<>901/44:5e278 46c:38>il5+fg2956473A22=6`>24595c0b3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`13gd=93?1<7>t$030b??612.3594j349'55b52;?nm6*if18274`<@13:7c?=5682b3`5<5<<7>3-2287k<5:&24a4=:n1<75rb35a=?7==3:112d9=4?<,13?6h=:;%33`7<5=lk0(kh?:012a>N?181e=?;8:0d45>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm26`4>4<2290;w)?>3g8:5<=#00>1i>;4$02g6?42mh1/jk>5123f?M>>92d:>8951g51?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=7c795?3=83:p("?1=0n?85+11f1>73bi2.mj=4>30`8L=?63g;99:4>f618m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo<8d582>0<729q/=<=i:83:?!>><3o896*>0e0960cf3-lm<7?<299K<<7;7?i759jh590;8 =?32l9>7)??d3811`g<,ol;6<==8:J;=4=i9;?<6:j=:086>5<7s-;:?k46189'<<2=m:?0(<>k2;06ad=#no:1=><8;I::5>h6:<=1=k99;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th9;n751;794?6|,8;8j77>9:&;=1"an90:?0:j:94i9c2>5<5<5<:483>5}#989m64?6;%::0?bc02.:1<75`e6f94?=zj;=h87?55;294~"69:l15<74$9;7>ab?3-;;h?4=5dc8 c`7289:o6F7909m573028l<56g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e:>h36<4::183!76;o02=45+8869`a><,8:o>7<:e`9'bc6=9:;i7E661:l2601=9o=j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd5?k<1=7;50;2x 474n33:56*7958g`==#99n96?;ja:&eb5<6;;=0D57>;o3112<6n>h0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg2?j10:6:4?:1y'545a20;27)664;`b<>"68m8188<>;I::5>h6:<=1=k9l;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?58;51;594?6|,8;8j77>9:&;=1N?181e=?;8:0d4`>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4841>4<0290;w)?>3g8:5<=#00>1hik4$02g6?22:81C44?4n0063?7a?l1b4l?50;9j50z&256`=1830(57;:eff?!77l;0?9??4H9;2?k75=>0:j:h4i9c2>5<5<5<5<:783>5}#989m64?6;%::0?c6?2.:1<75f8`794?=hm>n1<75rb332=?7=>3:112d9=4?<,13?6h?8;%33`7<598;0(kh?:010`>N?181e=?;8:0d;5>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<;h36<48:183!76;o02=45+8869`d1<,8:o>7:=b79K<<7;7?i839jh590;8 =?32mk<7)??d3817c6<@13:7c?=5682b=55<5<6=44i9c5>5<0;6=u+101e><7>3-2287j;6:&24a4=;??=7E661:l2601=9o2?7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4;0i1=7950;2x 474n33:56*7958g03=#99n96>kkj:084>5<7s-;:?k46189'<<2=l=<0(<>k2;0fb`=O00;0b<<:7;3e<3=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb35g5?7==3:112d9=4?<,13?6h=:;%33`7<5=lk0(kh?:0112>N?181e=?;8:0d;3>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm49d:>4<1290;w)?>3g8:5<=#00>1h8>4$02g6?22:81C44?4n0063?7a011b4l?50;9jh590;8 =?32jh:7)??d387177<@13:7c?=5682b=?5<5<6=44i9c5>5<<7>3-2287j:0:&24a4=<<8:7E661:l2601=9o2j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;8c`95?1=83:p("?1=0hn<5+11f1>13592B35<5a1374>4`?j2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0=cf280=6=4?{%327c<>901/44:5d428 46c:3>>><5G8838j442?3;m4n5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=hm>n1<75rb5:f=?7=?3:112d9=4?<,13?6nl>;%33`7<3=;;0D57>;o3112<6n1n0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg2>8k0:6;4?:1y'545a20;27)664;f64>"68m8188<>;I::5>h6:<=1=k6j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`7=5g=93=1<7>t$030b??612.3594lb09'55b52=?9=6F7909m573028l3j6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<0;i6<49:183!76;o02=45+8869`06<,8:o>7::209K<<7;7?i919j50z&256`=1830(57;:b`2?!77l;0?9??4H9;2?k75=>0:j4?4i9c2>5<5<5<5<:483>5}#989m64?6;%::0?c4=2.:;5G8838j442?3;m5?5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj;=hj7?55;294~"69:l15<74$9;7>`523-;;h?4=5dc8 c`7289996F7909m573028l2?6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<>9?6<4::183!76;o02=45+8869a43<,8:o>7::209K<<7;7?i959jh590;8 =?32l9>7)??d3811`g<,ol;6<==5:J;=4=i9;?<6:m>:086>5<7s-;:?k46189'<<2=m:?0(<>k2;06ad=#no:1=><;;I::5>h6:<=1=k79;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th8i:<51;594?6|,8;8j77>9:&;=1N?181e=?;8:0d:3>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm46gg>4<0290;w)?>3g8:5<=#00>1h994$02g6?22:81C44?4n0063?7a111b4l?50;9jd93;1;7>50z&256`=1830(57;:d02?!77l;0?9??4H9;2?k75=>0:j474i9c2>5<5<5<5<2954>:683>5}#989m64?6;%::0?c592.:>92d:>8951g;b?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;97795?1=83:p("?1=0n><5+11f1>13592B35<5a1374>4`>j2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0<15280<6=4?{%327c<>901/44:5e338 46c:3>>><5G8838j442?3;m5n5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=2>i7?55;294~"69:l15<74$9;7>f?b3-;;h?4;5338L=?63g;99:4>f8f8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:76082>0<729q/=<=i:83:?!>><3i2i6*>0e0900463A22=6`>24595c?b3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`7<32=93?1<7>t$030b??612.3594l9d9'55b52=?9=6F7909m573028l2j6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<1<>6<4::183!76;o02=45+8869g7::209'bc6=9=;97E661:l2601=9ok;7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd30?81=7;50;2x 474n33:56*7958`=`=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=k0o0(<>k2;6664=O00;0b<<:7;3ee7=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb5:6`?7==3:112d9=4?<,13?6n7j;%33`7<3=;;0D57>;o3112<6nh90e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg2?=j0:684?:1y'545a20;27)664;a:a>"68m8188<>;I::5>h6:<=1=ko;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th?4:<51;794?6|,8;8j77>9:&;=1N?181e=?;8:0db1>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm4950>4<2290;w)?>3g8:5<=#00>1o4k4$02g6?22:81C44?4n0063?7ai?1b4l?50;9j0<3;197>50z&256`=1830(57;:b;f?!77l;0?9??4H9;2?k75=>0:jl94i9c2>5<5<5<3;<4>:483>5}#989m64?6;%::0?e>m2.:>92d:>8951gc;?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;86795?3=83:p("?1=0h5h5+11f1>13592B35<5a1374>4`f12c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a0=17280>6=4?{%327c<>901/44:5c8g8 46c:3>>><5G8838j442?3;mml5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=2=j7?55;294~"69:l15<74$9;7>f?b3-;;h?4;5338L=?63g;99:4>f``8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:76182>0<729q/=<=i:83:?!>><3i2i6*>0e0900463A22=6`>24595cgd3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`766?=93=1<7>t$030b??612.3594k949'55b52=8;56F7909m573028ljh6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<1?<6<4::183!76;o02=45+8869g7::209K<<7;7?iad9jh590;8 =?32j3n7)??d387177<@13:7c?=5682bd`5<5<<7>3-2287m6e:&24a4=<<8:7E661:l2601=9oh;7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd30<>1=7;50;2x 474n33:56*7958`=`=#99n969;=1:J;=4=i9;?<65<7s-;:?k46189'<<2=k0o0(<>k2;6664=O00;0b<<:7;3ef7=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb5:43?7==3:112d9=4?<,13?6n7j;%33`7<3=;;0D57>;o3112<6nk90e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg2???0:684?:1y'545a20;27)664;a:a>"68m8188<>;I::5>h6:<=1=kl;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th?48?51;794?6|,8;8j77>9:&;=1N?181e=?;8:0da1>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm5337>4<1290;w)?>3g8:5<=#00>1hoj4$02g6?358k1C44?4n0063?7aj?1b4l?50;9jm?d;393?6=8r.:=>h590;8 =?32j;n7)??d380f6b<@13:7c?=5682bg15<5<6=44i9c5>5<0;6=u+101e><7>3-2287jlf:&24a4=;o?o7E661:l2601=9oh37d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd38jl1=7950;2x 474n33:56*7958gg==#99n96>h:d:J;=4=i9;?<65<7s-;:?k46189'<<2=ljl0(<>k2;614<=O00;0b<<:7;3efd=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb325a?7=?3:112d9=4?<,13?6io8;%33`7<588>0D57>;o3112<6nkh0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg72810:6;4?:1y'545a20;27)664;f`2>"68m81=9m>;%de4?741>1C44?4n0063?7ajj1b4l?50;9j64;393?6=8r.:=>h590;8 =?32mk37)??d381442<@13:7c?=5682bgb5<5<6=44i9c5>5<<7>3-2287jn6:&24a4=<<8:7)hi0;30=5=O00;0b<<:7;3ef`=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=2no7?56;294~"69:l15<74$9;7>ag13-;;h?4;5338 c`72898o6F7909m573028lij6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm482g>4<1290;w)?>3g8:5<=#00>1hl84$02g6?22:81C44?4n0063?7ak91b4l?50;9jd;392?6=8r.:=>h590;8 =?32mk=7)??d387177<@13:7c?=5682bf75<5<6=44od5g>5<:783>5}#989m64?6;%::0?e6?2.:>92d:>8951ga1?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd5;ll1=7850;2x 474n33:56*7958`52=#99n96?=7e:J;=4=i9;?<66=4?{%327c<>901/44:5e278 46c:38>il5+fg2956433A22=6`>24595ce33`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`13fb=93?1<7>t$030b??612.3594j349'55b52;?nm6*if18274g<@13:7c?=5682bf35<5<<7>3-2287jm8:&24a4=:f:3:17d6n3;29?jc0l3:17pl=76795?2=83:p("?1=0on55+11f1>73bi2.mj=4>3ea8L=?63g;99:4>fb58m=g62900e5o=:188m=g42900ch9k:188yg40100:694?:1y'545a20;27)664;fa<>"68m81>8kn;%de4?74m81C44?4n0063?7ak11b4l?50;9jh590;8 =?32l9>7)??d3811`g<,ol;6<=>a:J;=4=i9;?<65<7s-;:?k46189'<<2=l<20(<>k2;1a7a=O00;0b<<:7;3egd=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb35;4?7=<3:112d9=4?<,13?6il7;%33`7<5=lk0(kh?:01b=>N?181e=?;8:0d`f>o?i80;66g7a383>>o?i:0;66aj7e83>>{e:>==6<4;:183!76;o02=45+8869`g><,8:o>7<:e`9'bc6=9:nh7E661:l2601=9oih7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl=78c95?2=83:p("?1=0on55+11f1>73bi2.mj=4>3d38L=?63g;99:4>fbf8m=g62900e5o=:188m=g42900ch9k:188yg40kh0:684?:1y'545a20;27)664;g01>"68m81>8kn;%de4?74901C44?4n0063?7akl1b4l?50;9j50z&256`=1830(57;:e`;?!77l;099ho4$gd3>45cj2B35<5a1374>4`dn2c3m<4?::k;e7<722c3m>4?::mf3a<722wi>:78:087>5<7s-;:?k46189'<<2=lk20(<>k2;06ad=#no:1=>k?;I::5>h6:<=1=kj?;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`132b=93>1<7>t$030b??612.3594kb99'55b52;?nm6*if18275<5<:583>5}#989m64?6;%::0?be02.:n1<75rb3546?7=<3:112d9=4?<,13?6il7;%33`7<5=lk0(kh?:01gf>N?181e=?;8:0dg7>o?i80;66g7a383>>o?i:0;66aj7e83>>{e:>3>6<4;:183!76;o02=45+8869`g><,8:o>7<:e`9'bc6=9:nj7E661:l2601=9on?7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl=78495?2=83:p("?1=0on55+11f1>73bi2.mj=4>3d28L=?63g;99:4>fe78m=g62900e5o=:188m=g42900ch9k:188yg40?j0:694?:1y'545a20;27)664;fa<>"68m81>8kn;%de4?741l1C44?4n0063?7al?1b4l?50;9jh590;8 =?32mh37)??d3811`g<,ol;6<=n8:J;=4=i9;?<6901/44:5dc:8 46c:38>il5+fg2956b03A22=6`>24595cb?3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th9;;k51;694?6|,8;8j77>9:&;=1"an90:?i74H9;2?k75=>0:ji74i9c2>5<5<<7>3-2287jm8:&24a4=:7?54;294~"69:l15<74$9;7>ad?3-;;h?4=5dc8 c`7289oj6F7909m573028lon6g7a083>>o?i;0;66g7a283>>ib?m0;66sm26:0>4<3290;w)?>3g8:5<=#00>1ho64$02g6?42mh1C44?4n0063?7alj1b4l?50;9jh590;8 =?32mh37)??d3811`g<,ol;6<=n8:J;=4=i9;?<6280?6=4?{%327c<>901/44:5dc:8 46c:38>il5+fg2956b>3A22=6`>24595cbb3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th9;:651;694?6|,8;8j77>9:&;=1"an90:?i64H9;2?k75=>0:jih4i9c2>5<5<<7>3-2287jm8:&24a4=:ad?3-;;h?4=5dc8 c`7289oi6F7909m573028ln=6g7a083>>o?i;0;66g7a283>>ib?m0;66sm26:2>4<3290;w)?>3g8:5<=#00>1ho64$02g6?42mh1C44?4n0063?7am;1b4l?50;9jh590;8 =?32mh37)??d3811`g<,ol;6<=k8:J;=4=i9;?<6901/44:5dc:8 46c:38>il5+fg2956bb3A22=6`>24595cc33`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?49:&;=1N?181e=?;8:0df1>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<1:;6<49:183!76;o02=45+8869g61<,8:o>7::209'bc6=9:3i7E661:l2601=9oo=7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl"?1=0o:95+11f1>6d4l2B35<5a1374>4`b?2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7f66280<6=4?{%327c<>901/44:5c0f8 46c:39i?i5G8838j442?3;mi55f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;>9<7?55;294~"69:l15<74$9;7>`523-;;h?4=4048 c`728>;>6F7909m573028ln56g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e:>i36<4::183!76;o02=45+8869a63<,8:o>7<:e`9'bc6=9:;27E661:l2601=9ooj7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd6=9>1=7;50;2x 474n33:56*7958f0<=#99n96<:l1:&eb5<6:hk0D57>;o3112<6nlh0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg728?0:684?:1y'545a20;27)664;g01>"68m81=9m>;%de4?75ih1C44?4n0063?7amj1b4l?50;9j50z&256`=1830(57;:d6b?!77l;09o9<4$gd3>45f82B35<5a1374>4`bl2c3m<4?::k;e7<722c3m>4?::mf3a<722wi>?6j:084>5<7s-;:?k46189'<<2=lh20(<>k2;01<4=O00;0b<<:7;3ea`=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb332b?7=?3:112d9=4?<,13?6io7;%33`7<598;0D57>;o3112<6nll0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5?l=0:694?:1y'545a20;27)664;fa0>"68m81?;;9;%de4?74ij1C44?4n0063?7an91b4l?50;9j6ke;390?6=8r.:=>h590;8 =?32mh?7)??d380200<,ol;6<=nc:J;=4=i9;?<6280?6=4?{%327c<>901/44:5dc68 46c:399mn5+fg2956ge3A22=6`>24595c`53`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th8=:h51;694?6|,8;8j77>9:&;=1"an90:?lo4H9;2?k75=>0:jk=4i9c2>5<5<<7>3-2287jm4:&24a4=;;kh7)hi0;30eg=O00;0b<<:7;3eb1=n0h;1<75f8`094?=n0h91<75`e6f94?=zj:;387?54;294~"69:l15<74$9;7>ad33-;;h?4=egg8 c`7289jm6F7909m573028lm96g7a083>>o?i;0;66g7a283>>ib?m0;66sm4`2`>4<2290;w)?>3g8:5<=#00>1h5<4$02g6?22:81/jk>51265?M>>92d:>8951gd5?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;a1`95?1=83:p("?1=0h:i5+11f1>13592B35<5a1374>4`a?2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0d7>280>6=4?{%327c<>901/44:5d908 46c:3>>><5+fg2956223A22=6`>24595c`?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`7e4>=93=1<7>t$030b??612.3594l6e9'55b52=?9=6F7909m573028lm56g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e6<4::183!76;o02=45+8869`=4<,8:o>7::209'bc6=9:>?7E661:l2601=9olj7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd31j;1=7;50;2x 474n33:56*7958g<7=#99n969;=1:&eb5<6;=90D57>;o3112<6noh0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg2>k90:6:4?:1y'545a20;27)664;a5`>"68m8188<>;I::5>h6:<=1=khl;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?5nk51;794?6|,8;8j77>9:&;=1"an90:?9<4H9;2?k75=>0:jkj4i9c2>5<5<5<2oi4>:683>5}#989m64?6;%::0?e1l2.:>92d:>8951gdf?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;99595?3=83:p("?1=0o4?5+11f1>13592.mj=4>3538L=?63g;99:4>fgd8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:68782>2<729q/=<=i:83:?!>><3i=h6*>0e0900463A22=6`>245965673`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7=<2=93?1<7>t$030b??612.3594k839'55b52=?9=6*if182716<@13:7c?=56814575<5<0;6=u+101e><7>3-2287m9d:&24a4=<<8:7E661:l2601=:9:97d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd31h81=7;50;2x 474n33:56*7958g<7=#99n969;=1:&eb5<6;:l0D57>;o3112<58990e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg2>i80:6:4?:1y'545a20;27)664;a5`>"68m8188<>;I::5>h6:<=1>=>;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th85k=51;794?6|,8;8j77>9:&;=1"an90:?:84H9;2?k75=>09<=;4i9c2>5<5<5<:783>5}#989m64?6;%::0?ecj2.:>92d:>8952125?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd41o;1=7;50;2x 474n33:56*7958af7=#99n96>8:6:&eb5<6;>;0D57>;o3112<589=0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg5>n90:6;4?:1y'545a20;27)664;agf>"68m81?;;9;I::5>h6:<=1>=>7;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`0e53=93?1<7>t$030b??612.3594mb39'55b52:<>:6*if182720<@13:7c?=568145?5<5<<7>3-2287mkb:&24a4=;??=7E661:l2601=:9:j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl"?1=0in?5+11f1>602>2.mj=4>3678L=?63g;99:4=01`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=n0382>3<729q/=<=i:83:?!>><3ion6*>0e0973313A22=6`>2459656d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8m=?51;794?6|,8;8j77>9:&;=1"an90:?:;4H9;2?k75=>09<=j4i9c2>5<5<5<:783>5}#989m64?6;%::0?ecj2.:>92d:>895212f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd41ol1=7;50;2x 474n33:56*7958af7=#99n96>8:6:&eb5<6;>>0D57>;o3112<589l0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg5>nl0:6;4?:1y'545a20;27)664;agf>"68m81?;;9;I::5>h6:<=1>=??;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`0=cb=93?1<7>t$030b??612.3594mb39'55b52:<>:6*if182722<@13:7c?=56814475<5<<7>3-2287mkb:&24a4=;??=7E661:l2601=:9;97d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<9g`95?3=83:p("?1=0in?5+11f1>602>2.mj=4>3618L=?63g;99:4=0018m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=6f`82>3<729q/=<=i:83:?!>><3ion6*>0e0973313A22=6`>245965733`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th85k751;794?6|,8;8j77>9:&;=1"an90:?:>4H9;2?k75=>09<<;4i9c2>5<5<5<:783>5}#989m64?6;%::0?ecj2.:>92d:>8952135?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd41o=1=7;50;2x 474n33:56*7958af7=#99n96>8:6:&eb5<6;>90D57>;o3112<588=0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg5>n?0:6;4?:1y'545a20;27)664;agf>"68m81?;;9;I::5>h6:<=1>=?7;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`0=c3=93?1<7>t$030b??612.3594mb39'55b52:<>:6*if182727<@13:7c?=568144?5<5<<7>3-2287mkb:&24a4=;??=7E661:l2601=:9;j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<9dd95?3=83:p("?1=0in?5+11f1>602>2.mj=4>3628L=?63g;99:4=00`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=6ed82>3<729q/=<=i:83:?!>><3ion6*>0e0973313A22=6`>2459657d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8:>951;794?6|,8;8j77>9:&;=1"an90:?;h4H9;2?k75=>09<5<5<5<:783>5}#989m64?6;%::0?ecj2.:>92d:>895213f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4>:?1=7;50;2x 474n33:56*7958af7=#99n96>;o3112<588l0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg51;=0:6;4?:1y'545a20;27)664;agf>"68m81??ol;I::5>h6:<=1>=7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`021?=93?1<7>t$030b??612.3594mb39'55b52:8jo6*if18273`<@13:7c?=56814775<5<<7>3-2287mkb:&24a4=;;kh7E661:l2601=:9897d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<65595?3=83:p("?1=0in?5+11f1>64fk2.mj=4>37g8L=?63g;99:4=0318m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=94782>3<729q/=<=i:83:?!>><3ion6*>0e0977gd3A22=6`>245965433`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8:9;51;794?6|,8;8j77>9:&;=1"an90:?;k4H9;2?k75=>095<5<5<:783>5}#989m64?6;%::0?ecj2.:>92d:>8952105?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4>=91=7;50;2x 474n33:56*7958af7=#99n96>;o3112<58;=0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg51<;0:6;4?:1y'545a20;27)664;agf>"68m81??ol;I::5>h6:<=1>=<7;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`0217=93?1<7>t$030b??612.3594mb39'55b52:8jo6*if18273b<@13:7c?=568147?5<5<<7>3-2287mkb:&24a4=;;kh7E661:l2601=:98j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<62d95?3=83:p("?1=0in?5+11f1>64fk2.mj=4>37a8L=?63g;99:4=03`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=93d82>3<729q/=<=i:83:?!>><3ion6*>0e0977gd3A22=6`>2459654d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8:>j51;794?6|,8;8j77>9:&;=1"an90:?;l4H9;2?k75=>095<5<5<:783>5}#989m64?6;%::0?ecj2.:>92d:>895210f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4>:h1=7;50;2x 474n33:56*7958af7=#99n96>;o3112<58;l0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg51;h0:6;4?:1y'545a20;27)664;agf>"68m81??ol;I::5>h6:<=1>==?;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`026?=93?1<7>t$030b??612.3594mb39'55b52:8jo6*if182733<@13:7c?=56814675<5<<7>3-2287mkb:&24a4=;;kh7E661:l2601=:9997d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<62195?3=83:p("?1=0in?5+11f1>64fk2.mj=4>37`8L=?63g;99:4=0218m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=93382>3<729q/=<=i:83:?!>><3ion6*>0e0977gd3A22=6`>245965533`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8>5j51;794?6|,8;8j77>9:&;=1"an90:?;o4H9;2?k75=>09<>;4i9c2>5<5<5<:783>5}#989m64?6;%::0?ecj2.:>92d:>8952115?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4:1h1=7;50;2x 474n33:56*7958af7=#99n96?kie:&eb5<6;?>0D57>;o3112<58:=0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg550h0:6;4?:1y'545a20;27)664;agf>"68m81>hhj;I::5>h6:<=1>==7;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`06<`=93?1<7>t$030b??612.3594mb39'55b52;omi6*if18273g<@13:7c?=568146?5<5<<7>3-2287mkb:&24a4=:lln7E661:l2601=:99j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<28f95?3=83:p("?1=0in?5+11f1>7cam2.mj=4>37;8L=?63g;99:4=02`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo==9b82>3<729q/=<=i:83:?!>><3ion6*>0e096``b3A22=6`>2459655d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8>4l51;794?6|,8;8j77>9:&;=1"an90:?;74H9;2?k75=>09<>j4i9c2>5<5<5<:783>5}#989m64?6;%::0?ecj2.:>92d:>895211f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4:031=7;50;2x 474n33:56*7958af7=#99n96?kie:&eb5<6;?20D57>;o3112<58:l0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg55110:6;4?:1y'545a20;27)664;agf>"68m81>hhj;I::5>h6:<=1>=:?;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`06<1=93?1<7>t$030b??612.3594mb39'55b52;omi6*if18273><@13:7c?=56814175<5<<7>3-2287mkb:&24a4=:lln7E661:l2601=:9>97d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<28795?3=83:p("?1=0in?5+11f1>7cam2.mj=4>3758L=?63g;99:4=0518m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo==9582>3<729q/=<=i:83:?!>><3ion6*>0e096``b3A22=6`>245965233`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th8>4=51;794?6|,8;8j77>9:&;=1"an90:?;84H9;2?k75=>09<9;4i9c2>5<5<5<:783>5}#989m64?6;%::0?ecj2.:>92d:>8952165?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4:0;1=7;50;2x 474n33:56*7958af7=#99n96?kie:&eb5<6;?=0D57>;o3112<58==0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg55190:6;4?:1y'545a20;27)664;agf>"68m81>hhj;I::5>h6:<=1>=:7;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`06=`=93?1<7>t$030b??612.3594mb39'55b52;omi6*if182732<@13:7c?=568141?5<5<<7>3-2287mkb:&24a4=:lln7E661:l2601=:9>j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl<29;95?3=83:p("?1=0in?5+11f1>7cam2.mj=4>3748L=?63g;99:4=05`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo==8982>3<729q/=<=i:83:?!>><3ion6*>0e096``b3A22=6`>2459652d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?5<751;494?6|,8;8j77>9:&;=11/==j=:5715>N?181e=?;8:327`>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<0;36<48:183!76;o02=45+8869g60<,8:o>7::209K<<7;7h590;8 =?32mn<7)??d387177<@13:7c?=568141`5<5<6=44od5g>5<2<54>:683>5}#989m64?6;%::0?e4>2.:>92d:>8952173?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;8d:95?0=83:p("?1=0oh:5+11f1>13592.mj=4>2c:8L=?63g;99:4=0438m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2?m>0:6:4?:1y'545a20;27)664;a02>"68m8188<>;I::5>h6:<=1>=;=;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?m9:&;=1N?181e=?;8:3267>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm4`3b>4<2290;w)?>3g8:5<=#00>1h5:4$02g6?22:81C44?4n0063?47==1b4l?50;9j50z&256`=1830(57;:e31?!77l;0?9??4H9;2?k75=>09<8;4i9c2>5<5<5<2h=4>:483>5}#989m64?6;%::0?b6:2.:>92d:>8952175?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl;9bd95?3=83:p("?1=0o495+11f1>13592B35<5a1374>762?2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a06=4?{%327c<>901/44:5d008 46c:3>>><5G8838j442?38;955f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj=3h>7?55;294~"69:l15<74$9;7>a>33-;;h?4;5338L=?63g;99:4=04;8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:69982>0<729q/=<=i:83:?!>><3n:>6*>0e0900463A22=6`>2459653f3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`7=<1=93?1<7>t$030b??612.3594k859'55b52=?9=6F7909m57302;:>n6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<02i6<4::183!76;o02=45+8869`44<,8:o>7::209'bc6=9=<>7E661:l2601=:9?h7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd311k1=7;50;2x 474n33:56*7958g<1=#99n969;=1:J;=4=i9;?<6?>:d:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi849l:086>5<7s-;:?k46189'<<2=l880(<>k2;6664=O00;0b<<:7;031`=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb5077?7=?3:112d9=4?<,13?6oll;%33`7<3:930D57>;o3112<58:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg25<;0:6:4?:1y'545a20;27)664;`f6>"68m818?>6;I::5>h6:<=1>=8?;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8m;751;694?6|,8;8j7788:&24a4=9l9i7E661:l2601=:9<:7d6n1;29?l>f:3:17d??a983>>ie0k0;66sm3`4b>4<0290;w)?>3g8:5<=#00>1o;j4$02g6?7b;k1C44?4n0063?47>;1b4l?50;9j50z&256`=1830(57;:b6a?!77l;0:i>l4H9;2?k75=>09<;=4i9c2>5<5<5<<1?3-;;h?4>e2`8L=?63g;99:4=0768m=g62900e5o=:188m46f03:17bl7b;29?xd4i<81=7950;2x 474n33:56*7958`2a=#99n9695:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi?l;<:085>5<7s-;:?k46189'<<2=k=h0(<>k2;3f7g=O00;0b<<:7;0323=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj==mh7?54;294~"69:l15:64$02g6?22:81C44?4n0063?47>>1b4l?50;9je2900qo:8fd82>2<729q/=<=i:83:?!>><3o8n6*>0e0900463A22=6`>2459650?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`73c`=93=1<7>t$030b??612.3594l519'55b52=?9=6F7909m57302;:=56g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e=;:h6<4;:183!76;o02;55+11f1>047j2B35<5a1374>761i2c3m<4?::k;e7<722c:h590;8 =?32j5<5<6=44i9c5>5<<7>3-2287m;b:&24a4==;:i7E661:l2601=:9f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl=7d295?2=83:p("68m81>8kn;I::5>h6:<=1>=8k;h:b5?6=3`2j>7>5;h33e=<722ei4o4?::a62c6280<6=4?{%327c<>901/44:5e158 46c:38>il5G8838j442?38;:h5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj;=n>7?57;294~"69:l15<74$9;7>a6b3-;;h?4=5dc8L=?63g;99:4=07d8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=l6e82>1<729q/=<=i:85;?!77l;08n>j4H9;2?k75=>09<:>4i9c2>5<5;|`0g3c=93=1<7>t$030b??612.3594k4c9'55b52:h8h6F7909m57302;:<=6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;j7=m3e9K<<7;750z&256`=1>20(<>k2;36`==O00;0b<<:7;0336=n0h;1<75f8`094?=n99k36=44oc:a>5<:683>5}#989m64?6;%::0?e1l2.:>92d:>8952157?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl>c7595?1=83:p("?1=0h:i5+11f1>43c02B35<5a1374>760=2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7c4a280?6=4?{%327c<>?11/==j=:2d17>N?181e=?;8:3242>o?i80;66g7a383>>o68h21<75`b9`94?=zj:l8=7?57;294~"69:l15<74$9;7>f0c3-;;h?43<729q/=<=i:83:?!>><3i?n6*>0e097c443A22=6`>2459651?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th9nh<51;694?6|,8;8j7788:&24a4=:f:3:17d??a983>>ie0k0;66sm2cg0>4<0290;w)?>3g8:5<=#00>1h9=4$02g6?42mh1C44?4n0063?47?h1b4l?50;9j50z&256`=1830(57;:ea6?!77l;099ho4H9;2?k75=>09<:l4i9c2>5<5<5<5<:583>5}#989m6497;%33`7<6=m20D57>;o3112<58>i0e5o>:188m=g52900e<>n8;29?jd?j3:17pl>6g595?0=83:p("?1=0o?k5+11f1>43c02B35<5a1374>760l2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi=;h7:084>5<7s-;:?k46189'<<2=lo20(<>k2;36`==O00;0b<<:7;033`=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5167?7=<3:112d9=2><,8:o>7:=e59K<<7;7"68m818?k;;I::5>h6:<=1>=6?;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th??8;51;594?6|,8;8j77>9:&;=1<1/==j=:50f0>N?181e=?;8:32;5>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm4274>4<3290;w)?>3g8:3==#99n96972:k;e4<722c3m?4?::k24d>=831dn5l50;9~f6>c?3;187>50z&256`=1>20(<>k2;1513=O00;0b<<:7;03<6=n0h;1<75f8`094?=n99k36=44oc:a>5<:683>5}#989m64?6;%::0?c5>2.:>92d:>89521:7?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<8e;95?1=83:p("?1=0n>55+11f1>602>2B35<5a1374>76?=2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a7=b6280?6=4?{%327c<>?11/==j=:2462>N?181e=?;8:32;2>o?i80;66g7a383>>o68h21<75`b9`94?=zj:2o>7?57;294~"69:l15<74$9;7>a2>3-;;h?4<6448L=?63g;99:4=0958m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=7d282>3<729q/=<=i:83:?!>><3n8>6*>0e0973313A22=6`>245965>?3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?;k651;694?6|,8;8j7788:&24a4=<<8:7E661:l2601=:9227d6n1;29?l>f:3:17d??a983>>ie0k0;66sm46d:>4<0290;w)?>3g8:5<=#00>1oi:4$02g6?22:81C44?4n0063?470h1b4l?50;9j50z&256`=1830(57;:b;1?!77l;0?9??4H9;2?k75=>09<5l4i9c2>5<5<5<5<:783>5}#989m64?6;%::0?e>j2.:1<75f8`794?=hm>n1<75rb34;0?7=?3:112d9=4?<,13?6ok6;%33`7<5=lk0D57>;o3112<581n0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg24=h0:6:4?:1y'545a20;27)664;a:0>"68m818?k;;I::5>h6:<=1>=6j;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?9:&;=1N?181e=?;8:32;b>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm41fg>4<0290;w)?>3g8:5<=#00>1h5k4$02g6?5a=m1C44?4n0063?47191b4l?50;9j50z&256`=1830(57;:d25?!77l;09=><4H9;2?k75=>09<4?4i9c2>5<5<5<5<n4>:683>5}#989m64?6;%::0?c7>2.:>92d:>89521;1?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl=a7g95?1=83:p("?1=0io:5+11f1>73bi2B35<5a1374>76>;2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a6d0d280>6=4?{%327c<>901/44:5c708 46c:38>il5+fg2951413A22=6`>245965?33`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`2a7`=93=1<7>t$030b??612.3594k109'55b528o9h6F7909m57302;:296g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<=:>6<48:183!76;o02=45+8869a7b<,8:o>7:;7h590;8 =?32l8o7)??d3810f0<@13:7c?=56814<15<5<6=44i9c5>5<<7>3-2287mj3:&24a4=<<8:7E661:l2601=:9337d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl;98395?1=83:p("?1=0im>5+11f1>13592B35<5a1374>76>12c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0<>3280<6=4?{%327c<>901/44:5b`18 46c:3>>><5G8838j442?38;5l5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=38=7?57;294~"69:l15<74$9;7>f313-;;h?4;5338L=?63g;99:4=08`8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:7b782>2<729q/=<=i:83:?!>><3ini6*>0e0900463A22=6`>245965?d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7t$030b??612.3594mfd9'55b52=?9=6F7909m57302;:2h6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:h?n6<4;:183!76;o02=45+8869a4g<,8:o>7<:e`9'bc6=9=f:3:17d6n3;29?jc0l3:17pl=a4f95?1=83:p("?1=0ih;5+11f1>73bi2B35<5a1374>76>n2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0623280<6=4?{%327c<>901/44:5bd38 46c:3>9i95G8838j442?38;m=5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=;j97?57;294~"69:l15<74$9;7>`373-;;h?42<729q/=<=i:83:?!>><3hji6*>0e0900463A22=6`>245965g53`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7=0d=93=1<7>t$030b??612.3594mad9'55b52=?9=6F7909m57302;:j?6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<0<36<48:183!76;o02=45+8869fd><,8:o>7::209K<<7;7h590;8 =?32kk37)??d387177<@13:7c?=56814d35<5<6=44i9c5>5<0;6=u+101e><7>3-2287jj3:&24a4=<<8:7E661:l2601=:9k=7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd2:?o1=7;50;2x 474n33:56*7958f71=#99n968;o3112<58h=0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg35>10:684?:1y'545a20;27)664;g7=>"68m819?>m;%de4?751;1C44?4n0063?47i11b4l?50;9j?3;187>50z&256`=1830(57;:e`7?!77l;0>>5j4$gd3>455k2B35<5a1374>76f12c3m<4?::k;e7<722c3m>4?::mf3a<722wi9<67:085>5<7s-;:?k46189'<<2=m;o0(<>k2;7335=O00;0b<<:7;03ed=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj:o?47?57;294~"69:l15<74$9;7>a?43-;;h?40<729q/=<=i:83:?!>><3n8m6*>0e0900463-lm<7?=ae9K<<7;77;f;392?6=8r.:=>h590;8 =?32l8h7)??d380200<@13:7c?=56814db5<5<6=44od5g>5<5k4>:783>5}#989m64?6;%::0?c5k2.:>92d:>89521cf?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd49o?1=7850;2x 474n33:56*7958f6f=#99n96?kie:J;=4=i9;?<6?>nf:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a014>280=6=4?{%327c<>901/44:5d1a8 46c:3>?1<75f8`794?=hm>n1<75rb5604?7=>3:112d9=4?<,13?6i>l;%33`7<3<9i0D57>;o3112<58k;0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:;3082>3<729q/=<=i:83:?!>><3n;o6*>0e09016d3A22=6`>245965d53`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?8?<51;494?6|,8;8j77>9:&;=1N?181e=?;8:32a7>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e:=l<6<49:183!76;o02=45+8869`5e<,8:o>7<;e09K<<7;73;1:7>50z&256`=1830(57;:e2`?!77l;098h?4H9;2?k75=>095<5<5<<7>3-2287j?c:&24a4=:=o:7E661:l2601=:9h=7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl=4g:95?0=83:p("?1=0o72b92B35<5a1374>76e?2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi89<<:085>5<7s-;:?k46189'<<2=l9i0(<>k2;674f=O00;0b<<:7;03f==n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj;>m57?56;294~"69:l15<74$9;7>a6d3-;;h?4=4d38L=?63g;99:4=0c;8m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg23:=0:6;4?:1y'545a20;27)664;f3g>"68m8189>l;I::5>h6:<=1>=ln;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`10cg=93<1<7>t$030b??612.3594k0b9'55b52;>n=6F7909m57302;:in6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm4506>4<1290;w)?>3g8:5<=#00>1h=m4$02g6?238j1C44?4n0063?47jj1b4l?50;9jh590;8 =?32m:h7)??d3810`7<@13:7c?=56814gb5<5<6=44od5g>5<?j84>:483>5}#989m64?6;%::0?bc02.:1<75`e6f94?=zj;?hm7?55;294~"69:l15<74$9;7>ab?3-;;h?4=5b28 c`7289:46F7909m57302;:ij6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<=8=6<49:183!76;o02=45+8869`5e<,8:o>7:;0b9K<<7;750z&256`=1830(57;:e2`?!77l;098h?4H9;2?k75=>095<5<5<<7>3-2287j?c:&24a4=<=:h7E661:l2601=:9i97d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?jc0l3:17pl=4gf95?0=83:p("?1=0o72b92B35<5a1374>76d;2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi89<7:085>5<7s-;:?k46189'<<2=l9i0(<>k2;674f=O00;0b<<:7;03g1=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj;>mi7?56;294~"69:l15<74$9;7>a6d3-;;h?4=4d38L=?63g;99:4=0b78m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg23:h0:6;4?:1y'545a20;27)664;f3g>"68m8189>l;I::5>h6:<=1>=m9;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`10c`=93<1<7>t$030b??612.3594k0b9'55b52;>n=6F7909m57302;:h;6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm450a>4<1290;w)?>3g8:5<=#00>1h=m4$02g6?238j1C44?4n0063?47k11b4l?50;9jh590;8 =?32m:h7)??d3810`7<@13:7c?=56814f?5<5<6=44od5g>5<?>n4>:783>5}#989m64?6;%::0?b7k2.:>92d:>89521ab?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd5=9;1=7850;2x 474n33:56*7958g4f=#99n96?:j1:J;=4=i9;?<6?>lb:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a014c280=6=4?{%327c<>901/44:5d1a8 46c:3>?1<75f8`794?=hm>n1<75rb3736?7=>3:112d9=4?<,13?6i>l;%33`7<5;o3112<58jn0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:;2g82>3<729q/=<=i:83:?!>><3n;o6*>0e09016d3A22=6`>245965eb3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th99=:51;494?6|,8;8j77>9:&;=1N?181e=?;8:32`b>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e<=8n6<49:183!76;o02=45+8869`5e<,8:o>7:;0b9K<<7;750z&256`=1830(57;:e2`?!77l;098h?4H9;2?k75=>095<5<5<<7>3-2287jk8:&24a4=<=oi7)hi0;3053=O00;0b<<:7;03`7=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb37`=?7==3:112d9=4?<,13?6ij7;%33`7<5=j:0(kh?:012<>N?181e=?;8:32g7>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm45d4>4<2290;w)?>3g8:5<=#00>1hi64$02g6?23mk1/jk>51236?M>>92d:>89521f7?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl=5ba95?3=83:p("?1=0oh55+11f1>73d82.mj=4>3058L=?63g;99:4=0e78m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:;f782>0<729q/=<=i:83:?!>><3no46*>0e0901ce3-lm<7?<149K<<7;7h590;8 =?32mn37)??d3811f6<,ol;6<=>7:J;=4=i9;?<6?>k7:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi8ol?:085>5<7s-;:?k46189'<<2=lj30(<>k2;6b`g=#no:1=>=?;I::5>h6:<=1>=j7;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`2ba>=93<1<7>t$030b??612.3594md69'55b528l956F7909m57302;:o56g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm1gfg>4<1290;w)?>3g8:5<=#00>1ni94$02g6?7a:01C44?4n0063?47lh1b4l?50;9jh590;8 =?32kn<7)??d382b7?<@13:7c?=56814ad5<5<6=44od5g>5<:783>5}#989m64?6;%::0?dc?2.:>92d:>89521f`?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd6nl<1=7850;2x 474n33:56*7958a`2=#99n96kd:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a17d?280=6=4?{%327c<>901/44:5bb78 46c:3?94i5+fg29564d3A22=6`>245965bb3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?8n;51;594?6|,8;8j77>9:&;=1N?181e=?;8:32gb>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm24;b>4<0290;w)?>3g8:5<=#00>1o:84$02g6?42??1C44?4n0063?47m91b4l?50;9j50z&256`=1830(57;:edb?!77l;0:j?74$gd3>427i2B35<5a1374>76b92c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi>l9::085>5<7s-;:?k46189'<<2=jm=0(<>k2;06ad=O00;0b<<:7;03a7=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj;kgb03-;;h?4=5dc8L=?63g;99:4=0d18m=g62900e5o=:188m=g42900e5o;:188m=g22900ch9k:188yg2>1m0:6:4?:1y'545a20;27)664;`b7>"68m8188<>;I::5>h6:<=1>=k;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th858=51;794?6|,8;8j77>9:&;=1"an90:?5h4H9;2?k75=>095<5<5<m>4>:483>5}#989m64?6;%::0?c202.:1<75`e6f94?=zj:;m57?55;294~"69:l15<74$9;7>`3?3-;;h?4=egg8 c`72893h6F7909m57302;:n;6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e:;8<6<49:183!76;o02=45+8869`a3<,8:o>7<=1g9K<<7;7>3;1:7>50z&256`=1830(57;:ef6?!77l;09?5k4H9;2?k75=>095<5<5<<7>3-2287jl1:&24a4=;??=7)hi0;31e==O00;0b<<:7;03ad=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj:>nm7?56;294~"69:l15<74$9;7>ae63-;;h?4<2`a8 c`7288j;6F7909m57302;:nn6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm3063>4<1290;w)?>3g8:5<=#00>1hn?4$02g6?4bnl1/jk>513c5?M>>92d:>89521g`?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4?=21=7950;2x 474n33:56*7958g73=#99n96>8:6:J;=4=i9;?<6?>jd:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi?::n:084>5<7s-;:?k46189'<<2=l:<0(<>k2;1513=O00;0b<<:7;03a`=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb257g?7=?3:112d9=4?<,13?6i=9;%33`7<4><<0D57>;o3112<58ll0e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg50ll0:6;4?:1y'545a20;27)664;f`5>"68m81?;;9;%de4?75i;1C44?4n0063?47n91b4l?50;9j=6e;393?6=8r.:=>h590;8 =?32m9=7)??d3806de<@13:7c?=56814c75<5<6=44i9c5>5<0;6=u+101e><7>3-2287j<6:&24a4=;;kh7E661:l2601=:9l97d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4;h81=7950;2x 474n33:56*7958g73=#99n96>i3:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi?9=?:085>5<7s-;:?k46189'<<2=lj;0(<>k2;11ef=#no:1=?o>;I::5>h6:<=1>=h;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`1bc6=93=1<7>t$030b??612.3594k379'55b52;omi6F7909m57302;:m96g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:ol96<48:183!76;o02=45+8869`60<,8:o>7;7h590;8 =?32m9=7)??d381acc<@13:7c?=56814c15<5<6=44i9c5>5<<7>3-2287jl1:&24a4=:lln7)hi0;31e5=O00;0b<<:7;03b==n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=n;h7?57;294~"69:l15<74$9;7>geb3-;;h?4;5338L=?63g;99:4=0g;8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=8eb82>0<729q/=<=i:83:?!>><3o896*>0e0973313-lm<7?<099K<<7;79jb;391?6=8r.:=>h590;8 =?32l9>7)??d380200<,ol;6<=?8:J;=4=i9;?<6?>ib:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi?:kn:086>5<7s-;:?k46189'<<2=m:?0(<>k2;1513=#no:1=>>8;I::5>h6:<=1>=hl;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th8;h651;794?6|,8;8j77>9:&;=1"an90:?=94H9;2?k75=>095<5<5<:483>5}#989m64?6;%::0?c4=2.:>92d:>89521df?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<7d495?3=83:p("?1=0n?85+11f1>602>2.mj=4>3148L=?63g;99:4=0gd8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=8e482>0<729q/=<=i:83:?!>><3o896*>0e0973313-lm<7?<079K<<7;7<>019j9j4;391?6=8r.:=>h590;8 =?32l9>7)??d380200<,ol;6<=?5:J;=4=i9;?<6???1:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi?:k<:086>5<7s-;:?k46189'<<2=m:?0(<>k2;1513=#no:1=>>:;I::5>h6:<=1><>=;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th8;h<51;794?6|,8;8j77>9:&;=1"an90:?=:4H9;2?k75=>09===4i9c2>5<5<5<:483>5}#989m64?6;%::0?c4=2.:1<75`e6f94?=zj:=n<7?55;294~"69:l15<74$9;7>`523-;;h?4<6448 c`7289;?6F7909m57302;;;96g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;>nm6<4::183!76;o02=45+8869a63<,8:o>7=9579'bc6=9::87E661:l2601=:8:=7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4?mn1=7;50;2x 474n33:56*7958f70=#99n96>8:6:&eb5<6:h80D57>;o3112<599=0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg50n:0:684?:1y'545a20;27)664;g01>"68m81?;;9;%de4?749=1C44?4n0063?46811b4l?50;9j50z&256`=1830(57;:d16?!77l;08:884$gd3>456<2B35<5a1374>77712c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a72`6280>6=4?{%327c<>901/44:5e278 46c:39=9;5+fg2956743A22=6`>2459646f3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`03c6=93?1<7>t$030b??612.3594j349'55b52:<>:6*if182745<@13:7c?=568155d5<5<<7>3-2287k<5:&24a4=;??=7)hi0;3057=O00;0b<<:7;024f=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb25fa?7==3:112d9=4?<,13?6h=:;%33`7<4><<0(kh?:0126>N?181e=?;8:333`>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm36gg>4<2290;w)?>3g8:5<=#00>1i>;4$02g6?51=?1/jk>51232?M>>92d:>895202f?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<7d;95?3=83:p("?1=0n?85+11f1>602>2.mj=4>3038L=?63g;99:4=11d8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=;3d82>0<729q/=<=i:83:?!>><3o896*>0e0977gd3-lm<7?<039K<<7;7<>119j:h590;8 =?32l9>7)??d3806de<,ol;6<=?2:J;=4=i9;?<6??>1:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi?9=l:086>5<7s-;:?k46189'<<2=m:?0(<>k2;11ef=#no:1=>>>;I::5>h6:<=1>7>5;h:b7?6=3`2j87>5;ng4`?6=3th88>o51;794?6|,8;8j77>9:&;=1"an90:?=?4H9;2?k75=>09=<=4i9c2>5<5<5<:483>5}#989m64?6;%::0?c4=2.:>92d:>8952037?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<42:95?3=83:p("?1=0n?85+11f1>64fk2.mj=4>3128L=?63g;99:4=1078m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=;3682>0<729q/=<=i:83:?!>><3o896*>0e0977gd3-lm<7?<019K<<7;7<>179j:<6;391?6=8r.:=>h590;8 =?32l9>7)??d3806de<,ol;6<7:k;e4<722c3m?4?::k;e6<722c3m94?::mf3a<722wi?9=::086>5<7s-;:?k46189'<<2=m:?0(<>k2;11ef=#no:1=?hi;I::5>h6:<=1>7>5;h:b7?6=3`2j87>5;ng4`?6=3th88>:51;794?6|,8;8j77>9:&;=1"an90:>kk4H9;2?k75=>09=<74i9c2>5<5<5<4>:483>5}#989m64?6;%::0?c4=2.:1<75`e6f94?=zj:>8>7?55;294~"69:l15<74$9;7>`523-;;h?4<2`a8 c`7288mh6F7909m57302;;:n6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;=9:6<4::183!76;o02=45+8869a63<,8:o>7==ab9'bc6=9;lo7E661:l2601=:8;h7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd4<;l1=7;50;2x 474n33:56*7958f70=#99n96>;o3112<598n0e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg53<<0:684?:1y'545a20;27)664;g01>"68m81??ol;%de4?74991C44?4n0063?469l1b4l?50;9j50z&256`=1830(57;:d16?!77l;08>lm4$gd3>45682B35<5a1374>776n2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a7124280>6=4?{%327c<>901/44:5e278 46c:399mn5+fg29566a3A22=6`>245964473`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`0014=93?1<7>t$030b??612.3594j349'55b52:8jo6*if18275`<@13:7c?=56815775<5<<7>3-2287k<5:&24a4=;;kh7)hi0;304`=O00;0b<<:7;0267=n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb2674?7==3:112d9=4?<,13?6h=:;%33`7<4:hi0(kh?:013a>N?181e=?;8:3317>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm351e>4<2290;w)?>3g8:5<=#00>1i>;4$02g6?55ij1/jk>5122g?M>>92d:>8952007?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<42`95?3=83:p("?1=0n?85+11f1>64fk2.mj=4>31f8L=?63g;99:4=1378m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=?9582>0<729q/=<=i:83:?!>><3o896*>0e096``b3-lm<7?=fb9K<<7;7<>279j>63;391?6=8r.:=>h590;8 =?32l9>7)??d381acc<,ol;6<5<7s-;:?k46189'<<2=m:?0(<>k2;0fb`=#no:1=?hm;I::5>h6:<=1><<7;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;ng4`?6=3th8<4>51;794?6|,8;8j77>9:&;=1"an90:>kl4H9;2?k75=>09=?74i9c2>5<5<5<:483>5}#989m64?6;%::0?c4=2.:>92d:>895200b?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<09g95?3=83:p("?1=0n?85+11f1>7cam2.mj=4>2gc8L=?63g;99:4=13`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=?8e82>0<729q/=<=i:83:?!>><3o896*>0e096``b3-lm<7?=f`9K<<7;7<>2b9j>7c;391?6=8r.:=>h590;8 =?32l9>7)??d381acc<,ol;6<5<7s-;:?k46189'<<2=m:?0(<>k2;0fb`=#no:1=?h6;I::5>h6:<=1><7>5;h:b7?6=3`2j87>5;ng4`?6=3th8<5o51;794?6|,8;8j77>9:&;=1"an90:>k64H9;2?k75=>09=?h4i9c2>5<5<5<:483>5}#989m64?6;%::0?c4=2.:1<75`e6f94?=zj::347?55;294~"69:l15<74$9;7>`523-;;h?4=egg8 c`7288m;6F7909m57302;;8=6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e;92<6<4::183!76;o02=45+8869a63<,8:o>7f:3:17d6n3;29?l>f<3:17bk8d;29?xd481?1=7;50;2x 474n33:56*7958f70=#99n96?kie:&eb5<6:h:0D57>;o3112<59:90e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg571k0:684?:1y'545a20;27)664;g01>"68m81>hhj;%de4?748j1C44?4n0063?46;=1b4l?50;9ji3;197>50z&256`=1830(57;:d16?!77l;09ikk4$gd3>457k2B35<5a1374>774=2c3m<4?::k;e7<722c3m>4?::k;e1<722en;i4?::a75?>280>6=4?{%327c<>901/44:5e278 46c:38njh5+fg29566e3A22=6`>245964513`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3fo5;|`04<>=93?1<7>t$030b??612.3594j349'55b52;omi6*if18275d<@13:7c?=56815615<5<<7>3-2287k<5:&24a4=:lln7)hi0;304d=O00;0b<<:7;027==n0h;1<75f8`094?=n0h91<75f8`694?=hm>n1<75rb22:2?7==3:112d9=4?<,13?6h=:;%33`7<5moo0(kh?:013e>N?181e=?;8:330=>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm31;6>4<2290;w)?>3g8:5<=#00>1i>;4$02g6?4bnl1/jk>5122:?M>>92d:>895201b?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<08395?3=83:p("?1=0n?85+11f1>7cam2.mj=4>31;8L=?63g;99:4=12`8m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo:=4682>2<729q/=<=i:83:?!>><3nh46*>0e09076>3A22=6`>2459645d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7314=93=1<7>t$030b??612.3594j3g9'55b52=?9=6F7909m57302;;8h6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;0h86<48:183!76;o02=45+8869`a4<,8:o>7=9579K<<7;7<>3d9j8?3;393?6=8r.:=>h590;8 =?32mn97)??d3806de<@13:7c?=568156`5<5<6=44i9c5>5<0;6=u+101e><7>3-2287jk2:&24a4=:lln7E661:l2601=:8>;7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4=;?1=7850;2x 474n33:56*7958`gd=#99n96>;o3112<59=;0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo=>7c82>3<729q/=<=i:83:?!>><3ihm6*>0e096``b3-lm<7?<8c9K<<7;7<>439j50z&256`=1830(57;:eg0?!77l;0?9??4H9;2?k75=>09=9=4i9c2>5<5<5<5<jn;4>:683>5}#989m64?6;%::0?e4:2.:>92d:>8952067?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;34:95?1=83:p("?1=0n=;5+11f1>14b<2B35<5a1374>773=2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a04`a280<6=4?{%327c<>901/44:5e4;8 46c:39m9i5G8838j442?38:8;5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=;mi7?57;294~"69:l15<74$9;7>`5a3-;;h?46<729q/=<=i:83:?!>><3o?i6*>0e0917>c3-lm<7?;7<>499jh590;8 =?32m=?7)??d3811`g<@13:7c?=568151?5<5<6=44i9c5>5<0;6=u+101e><7>3-2287j84:&24a4=:j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd5?m<1=7950;2x 474n33:56*7958`60=#99n96?;ja:J;=4=i9;?<6??;b:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi>:j::084>5<7s-;:?k46189'<<2=k;?0(<>k2;06ad=O00;0b<<:7;020f=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb551b?7=>3:112d9=4?<,13?6i<>;%33`7<3=;;0D57>;o3112<59=n0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo:7f`82>2<729q/=<=i:83:?!>><3n?86*>0e0900463A22=6`>2459642b3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`7<4e=9391<7>t$030b??612.3594ke69'55b52=?9=6F7909m57302;;?j6g7a083>>o?i;0;66aj7e83>>{e<1;i6<48:183!76;o02=45+8869f<6<,8:o>7::209K<<7;7<>519jh590;8 =?32mkj7)??d387177<@13:7c?=56815075<5<6=44i9c5>5<0;6=u+101e><7>3-2287j;a:&24a4=f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3;o3112<59<90e5o>:188m=g52900e5o<:188m=g32900ch9k:188yg420o0:684?:1y'545a20;27)664;g00>"68m81>899;%de4?74j;1C44?4n0063?46==1b4l?50;9j50z&256`=1830(57;:bga?!77l;0?9??4H9;2?k75=>09=8;4i9c2>5<5<5<5<:683>5}#989m64?6;%::0?ebj2.:>92d:>8952075?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;7`c95?1=83:p("?1=0hio5+11f1>13592B35<5a1374>772?2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a02gd280<6=4?{%327c<>901/44:5cd`8 46c:3>>><5G8838j442?38:955f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj==j:7?57;294~"69:l15<74$9;7>fce3-;;h?4;5338L=?63g;99:4=14;8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:8a382>2<729q/=<=i:83:?!>><3inn6*>0e0900463A22=6`>2459643f3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`73t$030b??612.3594lec9'55b52=?9=6F7909m57302;;>n6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<>3h6<48:183!76;o02=45+8869g`d<,8:o>7::209K<<7;7<>5b9jh590;8 =?32m>:7)??d387177<@13:7c?=568150b5<5<6=44od5g>5<2894>:683>5}#989m64?6;%::0?d>?2.:>92d:>895207f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;4`195?2=83:p("68m8189o>;I::5>h6:<=1><;i;h:b5?6=3`2j>7>5;h33e=<722ei4o4?::a01g3280<6=4?{%327c<>901/44:5c7f8 46c:3>?m<5G8838j442?38::=5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj=>j97?57;294~"69:l15<74$9;7>f0c3-;;h?4;4`38L=?63g;99:4=1738m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo<:7982>1<729q/=<=i:85;?!77l;099:84H9;2?k75=>09=;<4i9c2>5<5;|`112?=93=1<7>t$030b??612.3594l6e9'55b52;?<:6F7909m57302;;=?6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:<=j6<48:183!76;o02=45+8869g3b<,8:o>7<:779K<<7;7<>659jh596:8 46c:3>>><5G8838j442?38::85f8`394?=n0h81<75f11c;>5<0;6=u+101e><7>3-2287j78:&24a4=<<8:7E661:l2601=:8<=7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3i9<1=7850;2x 474n33:56*7958g=5=#99n969;=1:J;=4=i9;?<6??97:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722en;i4?::a0<>7280?6=4?{%327c<>?11/==j=:5715>N?181e=?;8:335<>o?i80;66g7a383>>o68h21<75`b9`94?=zj=33=7?57;294~"69:l15<74$9;7>a>?3-;;h?4;5338L=?63g;99:4=17;8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=n7b82>1<729q/=<=i:85;?!77l;0:i>l4H9;2?k75=>09=;o4i9c2>5<5;|`0e2b=93<1<7>t$030b??612.3594l4c9'55b528o8n6F7909m57302;;=n6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>ib?m0;66sm3`5f>4<0290;w)?>3g8:5<=#00>1oh74$02g6?7b;k1C44?4n0063?46>j1b4l?50;9j50z&256`=1>20(<>k2;3f7g=O00;0b<<:7;022a=n0h;1<75f8`094?=n99k36=44oc:a>5<:783>5}#989m64?6;%::0?e3j2.:>92d:>895204f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd4i>h1=7950;2x 474n33:56*7958`a<=#99n965<7s-;:?k46799'55b528o8n6F7909m57302;;<<6g7a083>>o?i;0;66g>0`:94?=hj1h1<75rb2c43?7=>3:112d9=4?<,13?6n:m;%33`7<6m:h0D57>;o3112<59>;0e5o>:188m=g52900e5o<:188m=g32900e5o::188k`1c2900qo=n7982>2<729q/=<=i:83:?!>><3in56*>0e095`5e3A22=6`>245964153`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0e25=93>1<7>t$030b??002.:>92d:>8952050?l>f93:17d6n2;29?l77i10;66am8c83>>{e;h=?6<49:183!76;o02=45+8869g1d<,8:o>7?j3c9K<<7;7<>759j50z&256`=1830(57;:bg:?!77l;0:i>l4H9;2?k75=>09=:;4i9c2>5<5<5<5<:583>5}#989m6497;%33`7<6m:h0D57>;o3112<59><0e5o>:188m=g52900e<>n8;29?jd?j3:17pl"?1=0h8o5+11f1>4c4j2B35<5a1374>770?2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi?l9=:084>5<7s-;:?k46189'<<2=kl30(<>k2;3f7g=O00;0b<<:7;023==n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5c12?7=<3:112d9=2><,8:o>7::209K<<7;7<>789j0:6:4?:1y'545a20;27)664;f4a>"68m8188<>;I::5>h6:<=1><9n;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?m?651;594?6|,8;8j77>9:&;=1N?181e=?;8:334f>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm533g>4<3290;w)?>3g8:3==#99n968=831dn5l50;9~f046n3;1;7>50z&256`=1830(57;:b6`?!77l;0>>=l4H9;2?k75=>09=:j4i9c2>5<5<5<5<=4>:683>5}#989m64?6;%::0?e3k2.:>92d:>895205f?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl:22695?2=83:p("68m819?>m;I::5>h6:<=1><9i;h:b5?6=3`2j>7>5;h33e=<722ei4o4?::a1752280<6=4?{%327c<>901/44:5d118 46c:3?91<75f8`794?=n0h<1<75`e6f94?=zj<88:7?57;294~"69:l15<74$9;7>f2d3-;;h?4:21`8L=?63g;99:4=1938m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo<9b782>1<729q/=<=i:85;?!77l;099ho4H9;2?k75=>09=5<4i9c2>5<5;|`12g1=93=1<7>t$030b??612.3594l549'55b52;?nm6F7909m57302;;3?6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e:?h36<48:183!76;o02=45+8869gf><,8:o>7<:e`9K<<7;7<>859jh596:8 46c:3>>><5G8838j442?38:485f8`394?=n0h81<75f11c;>5<0;6=u+101e><7>3-2287ln5:&24a4=<<8:7E661:l2601=:82=7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd319;1=7950;2x 474n33:56*7958a=0=#99n969;=1:J;=4=i9;?<6??77:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8>:7:085>5<7s-;:?k46189'<<2=jl90(<>k2;61a1=#no:1=>h9;I::5>h6:<=1><67;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`0g5e=93?1<7>t$030b??612.3594j1e9'55b52:h8h6*if182052<@13:7c?=56815=?5<5<0;6=u+101e><7>3-2287mj2:&24a4=;k9o7E661:l2601=:82j7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4jo21=7:50;2x 474n33:56*7958ga4=#99n96>l;o3112<591h0e5o>:188m=g52900e5o<:188k`1c2900qo=mf682>2<729q/=<=i:83:?!>><3i2?6*>0e097g5c3A22=6`>245964>d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`770?=93=1<7>t$030b??612.3594mbd9'55b52=8n86F7909m57302;;3h6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;>k96<48:183!76;o02=45+8869fa5<,8:o>7=9579K<<7;7<>8d9j=i8;393?6=8r.:=>h590;8 =?32kn87)??d3806de<@13:7c?=56815=`5<5<6=44i9c5>5<0;6=u+101e><7>3-2287lk3:&24a4=:lln7E661:l2601=:83;7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4?hh1=7950;2x 474n33:56*7958agd=#99n96>8:6:J;=4=i9;?<6??61:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi?9>>:084>5<7s-;:?k46189'<<2=jjk0(<>k2;11ef=O00;0b<<:7;02=7=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2267?7=?3:112d9=4?<,13?6omn;%33`7<5moo0D57>;o3112<59090e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg50ij0:6:4?:1y'545a20;27)664;``e>"68m81?;;9;I::5>h6:<=1><7;;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th88=<51;594?6|,8;8j77>9:&;=1N?181e=?;8:33:1>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm3177>4<0290;w)?>3g8:5<=#00>1nno4$02g6?4bnl1C44?4n0063?461?1b4l?50;9j50z&256`=1830(57;:cab?!77l;08:884H9;2?k75=>09=494i9c2>5<5<5<5<4>:683>5}#989m64?6;%::0?ddi2.:>92d:>89520;;?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<04795?1=83:p("?1=0iol5+11f1>7cam2B35<5a1374>77>12c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a72gb280<6=4?{%327c<>901/44:5bbc8 46c:39=9;5G8838j442?38:5l5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:>;87?57;294~"69:l15<74$9;7>gef3-;;h?4<2`a8L=?63g;99:4=18`8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=?5782>2<729q/=<=i:83:?!>><3hhm6*>0e096``b3A22=6`>245964?d3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`03d`=93=1<7>t$030b??612.3594mc`9'55b52:<>:6F7909m57302;;2h6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;=:>6<48:183!76;o02=45+8869ffg<,8:o>7==ab9K<<7;7<>9d9j>:7;393?6=8r.:=>h590;8 =?32kij7)??d381acc<@13:7c?=56815<`5<5<6=44i9c5>5<0;6=u+101e><7>3-2287lla:&24a4=;??=7E661:l2601=:8k;7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4<9<1=7950;2x 474n33:56*7958agd=#99n96>5<7s-;:?k46189'<<2=jjk0(<>k2;0fb`=O00;0b<<:7;02e7=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb25a5?7=?3:112d9=4?<,13?6omn;%33`7<4><<0D57>;o3112<59h90e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg538>0:6:4?:1y'545a20;27)664;``e>"68m81??ol;I::5>h6:<=1>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8<8751;594?6|,8;8j77>9:&;=1N?181e=?;8:33b1>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm36`1>4<0290;w)?>3g8:5<=#00>1nno4$02g6?51=?1C44?4n0063?46i?1b4l?50;9j50z&256`=1830(57;:cab?!77l;08>lm4H9;2?k75=>09=l94i9c2>5<5<5<5<:683>5}#989m64?6;%::0?ddi2.:>92d:>89520c;?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<7c195?1=83:p("?1=0iol5+11f1>602>2B35<5a1374>77f12c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a716>280<6=4?{%327c<>901/44:5bbc8 46c:399mn5G8838j442?38:ml5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj::>n7?57;294~"69:l15<74$9;7>gef3-;;h?4=egg8L=?63g;99:4=1``8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=8a282>2<729q/=<=i:83:?!>><3hhm6*>0e0973313A22=6`>245964gd3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`07c?=93=1<7>t$030b??612.3594mc`9'55b52:8jo6F7909m57302;;jh6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;9>i6<48:183!76;o02=45+8869ffg<,8:o>7;7<>ad9j9n4;393?6=8r.:=>h590;8 =?32kij7)??d380200<@13:7c?=56815d`5<5<6=44i9c5>5<0;6=u+101e><7>3-2287lla:&24a4=;;kh7E661:l2601=:8h;7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd48=i1=7950;2x 474n33:56*7958agd=#99n96?kie:J;=4=i9;?<6??m1:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi?:o::084>5<7s-;:?k46189'<<2=jjk0(<>k2;1513=O00;0b<<:7;02f7=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb21ef?7=?3:112d9=4?<,13?6omn;%33`7<4:hi0D57>;o3112<59k90e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg57"68m81>hhj;I::5>h6:<=1>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th8;l851;594?6|,8;8j77>9:&;=1N?181e=?;8:33a1>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm32d`>4<0290;w)?>3g8:5<=#00>1nno4$02g6?55ij1C44?4n0063?46j?1b4l?50;9j50z&256`=1830(57;:cab?!77l;09ikk4H9;2?k75=>09=o94i9c2>5<5<5<5<:683>5}#989m64?6;%::0?ddi2.:>92d:>89520`;?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl<3gf95?1=83:p("?1=0iol5+11f1>64fk2B35<5a1374>77e12c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a752a280<6=4?{%327c<>901/44:5bbc8 46c:38njh5G8838j442?38:nl5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj:=j47?57;294~"69:l15<74$9;7>gef3-;;h?4<6448L=?63g;99:4=1c`8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo=2<729q/=<=i:83:?!>><3hhm6*>0e0977gd3A22=6`>245964dd3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`0406=93=1<7>t$030b??612.3594mc`9'55b52;omi6F7909m57302;;ih6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e;>k26<48:183!76;o02=45+8869ffg<,8:o>7=9579K<<7;7<>bd9j=if;393?6=8r.:=>h590;8 =?32kij7)??d3806de<@13:7c?=56815g`5<5<6=44i9c5>5<0;6=u+101e><7>3-2287lla:&24a4=:lln7E661:l2601=:8i;7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4?hk1=7950;2x 474n33:56*7958agd=#99n96>8:6:J;=4=i9;?<6??l1:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi?9>?:084>5<7s-;:?k46189'<<2=jjk0(<>k2;11ef=O00;0b<<:7;02g7=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb2266?7=?3:112d9=4?<,13?6omn;%33`7<5moo0D57>;o3112<59j90e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg201o0:6:4?:1y'545a20;27)664;f04>"68m8188<>;I::5>h6:<=1>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?;l=51;594?6|,8;8j77>9:&;=1N?181e=?;8:33`1>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm46c:>4<0290;w)?>3g8:5<=#00>1h>>4$02g6?22:81C44?4n0063?46k?1b4l?50;9j50z&256`=1830(57;:e13?!77l;0?9??4H9;2?k75=>09=n94i9c2>5<5<5<5<:683>5}#989m64?6;%::0?b482.:>92d:>89520a;?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;7`395?1=83:p("?1=0o?=5+11f1>13592B35<5a1374>77d12c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a02?c280<6=4?{%327c<>901/44:5d228 46c:3>>><5G8838j442?38:ol5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj==2n7?57;294~"69:l15<74$9;7>a573-;;h?4;5338L=?63g;99:4=1b`8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:68382>2<729q/=<=i:83:?!>><3njo6*>0e0900463A22=6`>245964ed3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`71<7>t$030b??002.:>92d:>89520ag?l>f93:17d6n2;29?l77i10;66am8c83>>{e<1k96<48:183!76;o02=45+8869g2e<,8:o>7::209K<<7;7<>cd9jh590;8 =?32j?27)??d387177<@13:7c?=56815f`5<5<6=44i9c5>5<7?jd59'545a28:2:6*>0g69774<,1hj695G8838j442?38:h=5f48g94?=n<:l1<75f49f94?=n995<5;|`2aa0=93<1<7>t$9;7>2c73-;;h?4>ee68 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>09=i?4i5;f>5<5<5;h605?6=3f;;;:4?::a0gg1280=6=4?{%::0?1b82.:h6:<=1>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f07d;3;1:7>50z&;=1<0m91/==j=:4244>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6??k3:k7=`<722c??k4?::k70:6;4?:1y'<<2=?l:0(<>k2;6066:&24c2=;;80(5ln:59K<<7;7<>d59j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3:mk1=7850;2x =?32>o;7)??d3876g0<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=1e78m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<:h26<49:183!>><3=n<6*>0e0906?d3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>89520f5?l2>m3:17d:>o3;80;66a>06594?=zj=;9:7?56;294~"?1=06`2l2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:33g3>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<99?4>:783>5}#00>1;h>4$02g6?25801/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;02`==n<0o1<75f42d94?=n<1n1<75f114f>5<;0(<=;%:ae?2<@13:7c?=56815a?5<8=7>5;n3332<722wi>97l:085>5<7s-22879j0:&24a4=:=3g824<0<,8:m87==2:&;fd<33A22=6`>245964bf3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?:>4;392?6=8r.35948e19'55b52;9m<6*>12d955?13-;;j94<239'77cj2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo3<729q/44:57d28 46c:38>il5+101e>46>>2.::188k460?3:17pl=a7695?0=83:p(57;:6g3?!77l;099ho4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<59mn0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm39;g>4<1290;w)664;5f4>"68m81?;;9;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:8nn7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2;:1?7=>3:18:6:&256`=993=7)??f58067=#0kk186F7909m57302;;oj6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c17ac<62?0;6=u+88693`6<,8:o>7==ab9'545a28:2:6*>0g69774<,1hj695G8838j442?38:i=5f48g94?=n<:l1<75f49f94?=n995<5;|`01`3=93<1<7>t$9;7>2c73-;;h?4<2`a8 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>09=h?4i5;f>5<5<5;h605?6=3f;;;:4?::a7422280=6=4?{%::0?1b82.:h6:<=1>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f644j3;1:7>50z&;=1<0m91/==j=:3gea>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6??j3:k7=`<722c??k4?::k74?:1y'545a20;27)664;g7`>"68m81>8kn;I::5>h6:<=1>7>5;ng4`?6=3th9m8m51;494?6|,13?6:k?;%33`7<5=lk0(<=;%:ae?2<@13:7c?=56815`35<8=7>5;n3332<722wi>l8<:080>5<7s-;:?k46189'<<2=m=n0(<>k2;06ad=O00;0b<<:7;02a3=n0h;1<75f8`094?=hm>n1<75rb3c54?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6242<62?0;6=u+88693`1<,8:o>7:?e39'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17703;1:7>50z&;=1<0m>1/==j=:52f6>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<>e89j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd39931=7850;2x =?32>o<7)??d3874`4<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89520gb?l2>m3:17d:>o3;80;66a>06594?=zj=;;m7?56;294~"?1=016b:2.:=>h511;5?!77n=0:1=O00;0b<<:7;02ag=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245964cd3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9??c;392?6=8r.35948e69'55b52=:n>6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?46mm1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;11f95?0=83:p(57;:6g4?!77l;0?"?jh0?7E661:l2601=:8on7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb533a?7=>3:1j2:&256`=993=7)??f5824c5<,1hj695G8838j442?38:ik5f48g94?=n<:l1<75f49f94?=n995<5;|`755`=93<1<7>t$9;7>2c03-;;h?4;0d08 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f17683;1:7>50z&;=1<0m>1/==j=:52f6>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<>f09j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3lh>1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=1g08m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<>ho6<49:183!>><3=n<6*>0e0900463-;:?k4>0848 46a<399>6*7b`87?M>>92d:>89520d0?l2>m3:17d:>o3;80;66a>06594?=zj:k:>7?56;294~"?1=07e3:2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:33e0>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h>4$02g6?4d<;1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;02b0=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56815c05<8=7>5;n3332<722wi?l?6:085>5<7s-22879j0:&24a4=:j>97)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245964`03`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>o>a;392?6=8r.35948e19'55b52;i?>6*>12d955?13-;;j94<239'77a02c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=n1c82>3<729q/44:57d28 46c:38h8?5+101e>46>>2.::188k460?3:17plei3>0D57>;o3112<59ok0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm3`3g>4<1290;w)664;5f4>"68m81>n:=;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:8li7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2c2a?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c1b5c<62?0;6=u+88693`6<,8:o>70g69774<,1hj695G8838j442?38:ji5f48g94?=n<:l1<75f49f94?=n995<5;|`0e45=93<1<7>t$9;7>2c73-;;h?4=c508 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>09=kk4i5;f>5<5<5;h605?6=3f;;;:4?::a7d73280=6=4?{%::0?1b82.:h6:<=1>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6g6=3;1:7>50z&;=1<0m91/==j=:3a76>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6?k2;0`07=#989m6<>66:&24c2=;;80(5ln:59K<<7;7<=009j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3ij;1=7850;2x 474n33:56*7958f13=#99n969;=1:J;=4=i9;?<6?901/44:5c958 46c:3>>><5G8838j442?389<>5f8`394?=n0h81<75f8`194?=n0h>1<75f8`794?=n0h<1<75`e6f94?=zj==3o7?54;294~"69:l15<74$9;7>ac73-;;h?4;5338L=?63g;99:4=2168m=g62900e5o=:188m=g42900ch9k:188yg200l0:6:4?:1y'545a20;27)664;a43>"68m8188<>;I::5>h6:<=1>?>:;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th?:hm51;694?6|,8;8j7787:&24a4=<<8:7E661:l2601=:;:=7d:jb;29?l24j3:17d??a983>>ie0k0;66sm47gg>4<3290;w)?>3g8:32=#99n969;=1:J;=4=i9;?<6?=831dn5l50;9~f10e13;187>50z&256`=1>=0(<>k2;6664=O00;0b<<:7;014==n5<=nl4>:583>5}#989m6498;%33`7<3=;;0D57>;o3112<5:930e9km:188m15e2900e<>n8;29?jd?j3:17pl=3b195?0=83:p(57;:6g4?!77l;09?4k4$030b?771?1/==h;:02e7>"?jh0i56F7909m57302;8;m6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c00g1<62?0;6=u+88693`1<,8:o>7<<9d9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>09>=l4i5;f>5<5<5;h605?6=3f;;;:4?::a66e2280=6=4?{%::0?1b?2.:k2;00=`=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4=21f8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e::i<6<49:183!>><3=n;6*>0e0966?b3-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:303a>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?441l1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568165`5<8=7>5;n3332<722wi>>m6:085>5<7s-22879j7:&24a4=::3n7)?>3g824<0<,8:m87??f29'74682c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo<3<729q/44:57d58 46c:3885h5+101e>46>>2.:ei3>0D57>;o3112<5:8;0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm22aa>4<1290;w)664;5f3>"68m81>>7j;%327c<680<0(<>i4;33b6=#0kk186F7909m57302;8:>6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c00gf<62?0;6=u+88693`1<,8:o>7<<9d9'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>09><=4i5;f>5<5<5;h605?6=3f;;;:4?::a6733280=6=4?{%::0?1b?2.:;7<=159j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5:o<7)??d38167`<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8952336?l2>m3:17d:>o3;80;66a>06594?=zj;8>:7?56;294~"?1=0745n2.:=>h511;5?!77n=0:1=O00;0b<<:7;0153=n<0o1<75f42d94?=n<1n1<75f114f>5<8951;494?6|,13?6:k8;%33`7<5:;l0(i3:&;fd<33A22=6`>245967703`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn?<:8;392?6=8r.35948e69'55b52;89j6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?45911b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl=24;95?0=83:p(57;:6g4?!77l;09>?h4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:;;27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb306e?7=>3:15<5;|`160d=93<1<7>t$9;7>2c03-;;h?4=23d8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1>??m;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f742k3;1:7>50z&;=1<0m>1/==j=:301b>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=1b9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd5:o<7)??d38167`<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895233g?l2>m3:17d:>o3;80;66a>06594?=zj=99h7?56;294~"?1=014b<2.:=>h511;5?!77n=0:g?<@13:7c?=568164c5<8=7>5;n3332<722wi8>=>:085>5<7s-22879j7:&24a4=<;o?7)?>3g824<0<,8:m87??f29'746n2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:<3282>3<729q/44:57d58 46c:3>9i95+101e>46>>2.:ei3>0D57>;o3112<5:;:0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4216>4<1290;w)664;5f3>"68m818?k;;%327c<680<0(<>i4;33b6=#0kk186F7909m57302;89=6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6072<62?0;6=u+88693`1<,8:o>7:=e59'545a28:2:6*>0g6955`43-2im7:4H9;2?k75=>09>?<4i5;f>5<5<5;h605?6=3f;;;:4?::a065>280=6=4?{%::0?1b?2.:k2;61a1=#989m6<>66:&24c2=99l87)6ma;68L=?63g;99:4=2368m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e<:9o6<49:183!>><3=n;6*>0e0907c33-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:3011>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<8?k4>:783>5}#00>1;h94$02g6?25m=1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56816705<8=7>5;n3332<722wi8>:>:085>5<7s-22879j7:&24a4=<;o?7)?>3g824<0<,8:m87??f29'745?2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:<2g82>3<729q/44:57d58 46c:3>9i95+101e>46>>2.:ei3>0D57>;o3112<5:;20e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4175>4<1290;w)664;5f3>"68m81?k;k;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?389>45f48g94?=n<:l1<75f49f94?=n995<5;|`7437=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1>?8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f161k3;1:7>50z&;=1<0m>1/==j=:2d6`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=2c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd38?l1=7850;2x =?32>o<7)??d380b0b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895230`?l2>m3:17d:>o3;80;66a>06594?=zj=:<<7?56;294~"?1=06`2l2.:=>h511;5?!77n=0:1=O00;0b<<:7;016a=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459674b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9>82;392?6=8r.35948e69'55b52:l>h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?45:o1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;06195?0=83:p(57;:6g4?!77l;08j8j4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:;9;7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5240?7=>3:1h:d:&256`=993=7)??f5824c5<,1hj695G8838j442?389?<5f48g94?=n<:l1<75f49f94?=n995<5;|`7423=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1>?==;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f162?3;1:7>50z&;=1<0m>1/==j=:2d6`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=329j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd38<21=7850;2x =?32>o<7)??d380b0b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8952317?l2>m3:17d:>o3;80;66a>06594?=zj=:>57?56;294~"?1=06`2l2.:=>h511;5?!77n=0:1=O00;0b<<:7;0170=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245967513`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9>:b;392?6=8r.35948e69'55b52:l>h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?45;>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;04a95?0=83:p(57;:6g4?!77l;08j8j4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:;937d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb526`?7=>3:1h:d:&256`=993=7)??f5824c5<,1hj695G8838j442?389?45f48g94?=n<:l1<75f49f94?=n995<5;|`740c=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1>?=n;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f162n3;1:7>50z&;=1<0m>1/==j=:2d6`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=3c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd38?:1=7850;2x =?32>o<7)??d380b0b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895231`?l2>m3:17d:>o3;80;66a>06594?=zj=:=>7?56;294~"?1=06`2l2.:=>h511;5?!77n=0:1=O00;0b<<:7;017a=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459675b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9>94;392?6=8r.35948e69'55b52:l>h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?45;o1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;07795?0=83:p(57;:6g4?!77l;08j8j4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:;>;7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5252?7=>3:1h:d:&256`=993=7)??f5824c5<,1hj695G8838j442?3898<5f48g94?=n<:l1<75f49f94?=n995<5;|`7431=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1>?:=;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f16103;1:7>50z&;=1<0m>1/==j=:2d6`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=429j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd38?31=7850;2x =?32>o<7)??d380b0b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8952367?l2>m3:17d:>o3;80;66a>06594?=zj=:=m7?56;294~"?1=06`2l2.:=>h511;5?!77n=0:1=O00;0b<<:7;0100=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245967213`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9>9d;392?6=8r.35948e69'55b52:l>h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?45<>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;07g95?0=83:p(57;:6g4?!77l;08j8j4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:;>37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5g1b?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6f75<62?0;6=u+88693`6<,8:o>7::209'545a28:2:6*>0g69774<,1hj695G8838j442?3898l5f48g94?=n<:l1<75f49f94?=n995<5;|`7a67=93<1<7>t$9;7>2c73-;;h?4;5338 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>09>9l4i5;f>5<5<5;h605?6=3f;;;:4?::a0`55280=6=4?{%::0?1b82.:h6:<=1>?:l;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1c4;3;1:7>50z&;=1<0m91/==j=:5715>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6?<;d:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7<=4d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3l=;1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=25d8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e86<49:183!>><3=n<6*>0e0900463-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8952373?l2>m3:17d:>o3;80;66a>06594?=zj=n?97?56;294~"?1=013592.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:3065>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<o8:4>:783>5}#00>1;h>4$02g6?22:81/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;0117=n<0o1<75f42d94?=n<1n1<75f114f>5<;51;494?6|,13?6:k?;%33`7<3=;;0(<=;%:ae?2<@13:7c?=56816055<8=7>5;n3332<722wi8i=8:085>5<7s-22879j0:&24a4=<<8:7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245967333`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9j<9;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'742=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:k3c82>3<729q/44:57d28 46c:3>>><5+101e>46>>2.::188k460?3:17pl;d2f95?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<5:<=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4e30>4<1290;w)664;5f4>"68m8188<>;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:;?37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb5f21?7=>3:156g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c6g52<62?0;6=u+88693`6<,8:o>7::209'545a28:2:6*>0g69774<,1hj695G8838j442?3899l5f48g94?=n<:l1<75f49f94?=n995<5;|`7`4?=93<1<7>t$9;7>2c73-;;h?4;5338 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>09>8l4i5;f>5<5<5;h605?6=3f;;;:4?::a0a7e280=6=4?{%::0?1b82.:h6:<=1>?;l;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f1b513;1:7>50z&;=1<0m91/==j=:5715>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6?<:d:k7=`<722c??k4?::k7k2;6664=#989m6<>66:&24c2=;;80(5ln:59K<<7;7<=5d9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd3l;n1=7850;2x =?32>o;7)??d387177<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=24d8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e><3=n<6*>0e0900463-;:?k4>0848 46a<399>6*7b`87?M>>92d:>8952343?l2>m3:17d:>o3;80;66a>06594?=zj=n8=7?56;294~"?1=013592.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:3055>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<o?>4>:783>5}#00>1;h>4$02g6?22:81/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;0127=n<0o1<75f42d94?=n<1n1<75f114f>5<<=;%:ae?2<@13:7c?=56816355<8=7>5;n3332<722wi8i?i:085>5<7s-22879j0:&24a4=<<8:7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245967033`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9j=1;392?6=8r.35948e19'55b52=?9=6*>12d955?13-;;j94<239'741=2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo:k2282>3<729q/44:57d28 46c:3>>><5+101e>46>>2.:?1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl;d3795?0=83:p(57;:6g3?!77l;0?9??4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<5:?=0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm4e04>4<1290;w)664;5f4>"68m8188<>;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:;<37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0f44?7=>3:15<5;|`2`27=93<1<7>t$9;7>2c03-;;h?4>c8d8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1>?8n;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4b1l3;1:7>50z&;=1<0m>1/==j=:0a:b>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=6c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6l?o1=7850;2x =?32>o<7)??d382g<`<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895234`?l2>m3:17d:>o3;80;66a>06594?=zj8n=m7?56;294~"?1=04e>n2.:=>h511;5?!77n=0:1=O00;0b<<:7;012a=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459670b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?45>o1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>d7:95?0=83:p(57;:6g4?!77l;0:o4h4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:;=;7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0f50?7=>3:15<5;|`2`33=93<1<7>t$9;7>2c03-;;h?4>c8d8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1>?9=;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4b193;1:7>50z&;=1<0m>1/==j=:0a:b>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=729j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6l?81=7850;2x =?32>o<7)??d382g<`<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8952357?l2>m3:17d:>o3;80;66a>06594?=zj8n>i7?56;294~"?1=04e>n2.:=>h511;5?!77n=0:1=O00;0b<<:7;0130=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245967113`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?45?>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>d4a95?0=83:p(57;:6g4?!77l;0:o4h4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:;=37d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0f;f?7=>3:15<5;|`2`=e=93<1<7>t$9;7>2c03-;;h?4>c8d8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1>?9n;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4b?03;1:7>50z&;=1<0m>1/==j=:0a:b>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=7c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6l131=7850;2x =?32>o<7)??d382g<`<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895235`?l2>m3:17d:>o3;80;66a>06594?=zj8n397?56;294~"?1=04e>n2.:=>h511;5?!77n=0:1=O00;0b<<:7;013a=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459671b3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?45?o1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>d9195?0=83:p(57;:6g4?!77l;0:o4h4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:;2;7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0f4b?7=>3:15<5;|`2`=6=93<1<7>t$9;7>2c03-;;h?4>c8d8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1>?6=;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4b0k3;1:7>50z&;=1<0m>1/==j=:0a:b>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=829j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6l>n1=7850;2x =?32>o<7)??d382g<`<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89523:7?l2>m3:17d:>o3;80;66a>06594?=zj8n<57?56;294~"?1=04e>n2.:=>h511;5?!77n=0:1=O00;0b<<:7;01<0=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245967>13`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn12d955?13-;;j94>0g18 =df2=1C44?4n0063?450>1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>d6595?0=83:p(57;:6g4?!77l;0:o4h4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:;237d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0f47?7=>3:15<5;|`2`22=93<1<7>t$9;7>2c03-;;h?4>c8d8 474n3;;5;5+11d7>46a;2.3nl4;;I::5>h6:<=1>?6n;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4b203;1:7>50z&;=1<0m>1/==j=:0a:b>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<=8c9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6l<31=7850;2x =?32>o<7)??d382g<`<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>89523:`?l2>m3:17d:>o3;80;66a>06594?=zj:n?:7?56;294~"?1=06d4l2.:=>h511;5?!77n=0:1=O00;0b<<:7;015<<=;%:ae?2<@13:7c?=56816=c5<8=7>5;n3332<722wi?i:7:085>5<7s-22879j0:&24a4=;k9o7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245967>a3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>j;9;392?6=8r.35948e19'55b52:h8h6*>12d955?13-;;j94<239'74>82c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo=k4`82>3<729q/44:57d28 46c:39i?i5+101e>46>>2.::188k460?3:17plj4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<5:080e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm48g2>4<4290;w)?>3g8:5<=#00>1ho74$02g6?22:81C44?4n0063?451:1b4l?50;9j50z&256`=1>=0(<>k2;6664=O00;0b<<:7;01=1=n5<2i?4>:783>5}#989m64?6;%::0?e0j2.:>92d:>89523;6?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17bk8d;29?xd31l:1=7:50;2x 474n33<;6*>0e0900463A22=6`>245967?13`>nn7>5;h60f?6=3`;;m54?::ma97k:085>5<7s-;:?k46189'<<2=m=<0(<>k2;0721=O00;0b<<:7;01=2=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj8oo47?57;294~"69:l15<74$9;7>ag?3-;;h?4>ee68L=?63g;99:4=28:8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo:3<729q/=<=i:83:?!>><3o?:6*>0e0906>a3A22=6`>245967?>3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th9o;<51;594?6|,8;8j77>9:&;=1N?181e=?;8:30:e>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm1b``>4<0290;w)?>3g8:5<=#00>1nl?4$02g6?7d1o1C44?4n0063?451k1b4l?50;9j50z&256`=1830(57;:cc2?!77l;0:o4h4H9;2?k75=>09>4m4i9c2>5<5<5<5<im:4>:483>5}#989m64?6;%::0?c4<2.:5G8838j442?3895i5f8`394?=n0h81<75f8`194?=n0h>1<75`e6f94?=zj<;h87?55;294~"69:l15<74$9;7>`533-;;h?4:0628 c`7289i=6F7909m57302;82i6g7a083>>o?i;0;66g7a283>>o?i=0;66aj7e83>>{e<;ni6<4::183!76;o02=45+8869a63<,8:o>7:=b79'bc6=9:h=7E661:l2601=:;3m7d6n1;29?l>f:3:17d6n3;29?l>f<3:17bk8d;29?xd3;kk1=7950;2x 474n33:56*7958f5<=#99n969=6c:J;=4=i9;?<6?96i:084>5<7s-;:?k46189'<<2=m830(<>k2;0734=O00;0b<<:7;01e4=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb3621?7==3:112d9=4?<,13?6h=:;%33`7<5;o:0(kh?:01a0>N?181e=?;8:30b6>o?i80;66g7a383>>o?i:0;66g7a583>>ib?m0;66sm39;f>4<2290;w)?>3g8:5<=#00>1i>;4$02g6?51=?1/jk>513c;?M>>92d:>89523c0?l>f93:17d6n2;29?l>f;3:17d6n4;29?jc0l3:17pl<4g295?3=83:p("?1=0n?85+11f1>64fk2.mj=4>2`58L=?63g;99:4=2`68m=g62900e5o=:188m=g42900e5o;:188k`1c2900qo=>4782>0<729q/=<=i:83:?!>><3o896*>0e096``b3-lm<7?=a79K<<7;7<=a49jh590;8 =?32l::7)??d38765?<@13:7c?=56816d05<5<6=44i9c5>5<0;6=u+101e><7>3-2287k?5:&24a4=;o?o7E661:l2601=:;k<7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6mm=1=7;50;2x 474n33:56*7958f70=#99n96n79:087>5<7s-;:?k46189'<<2=kjl0(<>k2;0`07=O00;0b<<:7;01e<=n0h;1<75f8`094?=n0h91<75`e6f94?=zj;i>47?57;294~"69:l15<74$9;7>gcf3-;;h?4=c508L=?63g;99:4=2`c8m=g62900e5o=:188m=g42900e5o;:188m=g22900e5o9:188k`1c2900qo::3`82>2<729q/=<=i:83:?!>><3i8o6*>0e0900463A22=6`>245967ge3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;h:b2?6=3fo5;|`71`0=93=1<7>t$030b??612.3594m929'55b52=?9=6F7909m57302;8jo6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e7::209K<<7;7<=ae9jh590;8 =?32j?h7)??d387177<@13:7c?=56816dc5<5<6=44i9c5>5<0;6=u+101e><7>3-2287m<5:&24a4=<<8:7E661:l2601=:;km7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3>ki1=7950;2x 474n33:56*7958g5a=#99n969;=1:J;=4=i9;?<6?5<7s-;:?k46189'<<2=jm:0(<>k2;6664=O00;0b<<:7;01f4=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75f8`494?=hm>n1<75rb5:4g?7=?3:112d9=4?<,13?6oj=;%33`7<3=;;0D57>;o3112<5:k80e5o>:188m=g52900e5o<:188m=g32900e5o::188m=g12900ch9k:188yg5>1?0:6:4?:1y'545a20;27)664;g2a>"68m81?;;9;I::5>h6:<=1>?l<;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3`2j:7>5;ng4`?6=3th89h851;594?6|,8;8j77>9:&;=1N?181e=?;8:30a0>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66g7a783>>ib?m0;66sm331`>4<0290;w)?>3g8:5<=#00>1i3l3;1:7>50z&256`=1830(57;:ef5?!77l;0?9??4H9;2?k75=>09>o84i9c2>5<5<5<0;6=u+101e><7>3-2287mf:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3ik>1=7850;2x 474n33:56*7958a=<=#99n969;=1:J;=4=i9;?<6?901/44:5cc18 46c:3>>><5G8838j442?389n45f8`394?=n0h81<75f8`194?=hm>n1<75rb5a`2?7=<3:112d9=4?<,13?6nl<;%33`7<3=;;0D57>;o3112<5:kk0e5o>:188m=g52900e5o<:188k`1c2900qo:lcd82>1<729q/=<=i:83:?!>><3ij:6*>0e0900463A22=6`>245967de3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?oi>51;694?6|,8;8j77>9:&;=1N?181e=?;8:30ag>o?i80;66g7a383>>o?i:0;66aj7e83>>{e7::209K<<7;7<=be9j50z&256`=1830(57;:bc5?!77l;0?9??4H9;2?k75=>09>ok4i9c2>5<5<<7>3-2287mn6:&24a4=<<8:7E661:l2601=:;hm7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;ce:95?2=83:p("?1=0hm;5+11f1>13592B35<5a1374>74d82c3m<4?::k;e7<722c3m>4?::mf3a<722wi8njn:087>5<7s-;:?k46189'<<2=kh<0(<>k2;6664=O00;0b<<:7;01g4=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=ioo7?54;294~"69:l15<74$9;7>fg13-;;h?4;5338L=?63g;99:4=2b08m=g62900e5o=:188m=g42900ch9k:188yg2dj:0:694?:1y'545a20;27)664;ab2>"68m8188<>;I::5>h6:<=1>?m<;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7gg3=93>1<7>t$030b??612.3594la79'55b52=?9=6F7909m57302;8h86g7a083>>o?i;0;66g7a283>>ib?m0;66sm4b`4>4<3290;w)?>3g8:5<=#00>1ol84$02g6?22:81C44?4n0063?45k<1b4l?50;9jh590;8 =?32jk=7)??d387177<@13:7c?=56816f05<5<hno4>:583>5}#989m64?6;%::0?ef>2.:>92d:>89523a4?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3kkn1=7:50;2x 474n33:56*7958`e3=#99n969;=1:J;=4=i9;?<6?901/44:5c`48 46c:3>>><5G8838j442?389o45f8`394?=n0h81<75f8`194?=hm>n1<75rb5a`5?7=<3:112d9=4?<,13?6no9;%33`7<3=;;0D57>;o3112<5:jk0e5o>:188m=g52900e5o<:188k`1c2900qo:lc282>1<729q/=<=i:83:?!>><3ij:6*>0e0900463A22=6`>245967ee3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?on;51;694?6|,8;8j77>9:&;=1N?181e=?;8:30`g>o?i80;66g7a383>>o?i:0;66aj7e83>>{e7::209K<<7;7<=ce9j50z&256`=1830(57;:bc5?!77l;0?9??4H9;2?k75=>09>nk4i9c2>5<5<<7>3-2287mn6:&24a4=<<8:7E661:l2601=:;im7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;ac195?0=83:p("?1=0n8<5+11f1>13592B35<5a1374>74c82c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::mf3a<722wi8n8m:087>5<7s-;:?k46189'<<2=kh<0(<>k2;6664=O00;0b<<:7;01`4=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=i3=7?54;294~"69:l15<74$9;7>fg13-;;h?4;5338 c`728>:i6F7909m57302;8o>6g7a083>>o?i;0;66g7a283>>ib?m0;66sm4b::>4<3290;w)?>3g8:5<=#00>1ol84$02g6?22:81/jk>51536?M>>92d:>89523f0?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3k1h1=7:50;2x 474n33:56*7958`e3=#99n969;=1:&eb5<6<8o0D57>;o3112<5:m>0e5o>:188m=g52900e5o<:188k`1c2900qo:l8e82>1<729q/=<=i:83:?!>><3ij:6*>0e0900463-lm<7?;1e9K<<7;7<=d49j50z&256`=1830(57;:bc5?!77l;0?9??4$gd3>426l2B35<5a1374>74c>2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8n7>:087>5<7s-;:?k46189'<<2=kh<0(<>k2;6664=#no:1=9?l;I::5>h6:<=1>?j8;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7g<5=93>1<7>t$030b??612.3594la79'55b52=?9=6*if18204e<@13:7c?=56816a>5<5<h584>:583>5}#989m64?6;%::0?ef>2.:n1<75rb5a:3?7=<3:112d9=4?<,13?6no9;%33`7<3=;;0(kh?:062f>N?181e=?;8:30ge>o?i80;66g7a383>>o?i:0;66aj7e83>>{e7::209'bc6=9=;j7E661:l2601=:;ni7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;c6295?2=83:p("?1=0hm;5+11f1>13592.mj=4>40c8L=?63g;99:4=2ea8m=g62900e5o=:188m=g42900ch9k:188yg2d?;0:694?:1y'545a20;27)664;ab2>"68m8188<>;%de4?73901C44?4n0063?45lm1b4l?50;9jh590;8 =?32jk=7)??d387177<,ol;6<:>9:J;=4=i9;?<6?901/44:5c`48 46c:3>>><5+fg29517?3A22=6`>245967ba3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?o:651;694?6|,8;8j77>9:&;=1"an90:8<64H9;2?k75=>09>h>4i9c2>5<5<<7>3-2287mn6:&24a4=<<8:7)hi0;3752=O00;0b<<:7;01a4=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=ifg13-;;h?4;5338 c`728>:;6F7909m57302;8n>6g7a083>>o?i;0;66g7a283>>ib?m0;66sm4b5f>4<3290;w)?>3g8:5<=#00>1ol84$02g6?22:81/jk>51535?M>>92d:>89523g0?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3k1:1=7:50;2x 474n33:56*7958`e3=#99n969;=1:&eb5<6<8<0D57>;o3112<5:l>0e5o>:188m=g52900e5o<:188k`1c2900qo:l8582>1<729q/=<=i:83:?!>><3ij:6*>0e0900463-lm<7?;149K<<7;7<=e49j3;187>50z&256`=1830(57;:bc5?!77l;0?9??4$gd3>426<2B35<5a1374>74b>2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8n67:087>5<7s-;:?k46189'<<2=kh<0(<>k2;6664=#no:1=9?;;I::5>h6:<=1>?k8;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7ed>=93=1<7>t$030b??612.3594l3e9'55b52=?9=6F7909m57302;8n46g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e><3=n;6*>0e0900463-;:?k4>0848 46a<3;;j>5+8cc90>N?181e=?;8:30f=>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<o5h4>:783>5}#00>1;h94$02g6?22:81/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56816`g5<8=7>5;n3332<722wi8lom:087>5<7s-;:?k46189'<<2=m=k0(<>k2;6664=#no:1=><6;I::5>h6:<=1>?km;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7g55=93>1<7>t$030b??612.3594k369'55b52=?9=6*if182061<@13:7c?=56816`e5<5<h:583>5}#989m64?6;%::0?b4?2.:n1<75rb5af7?7=<3:112d9=4?<,13?6i=8;%33`7<3=;;0(kh?:0670>N?181e=?;8:30fa>o?i80;66g7a383>>o?i:0;66aj7e83>>{e6<4;:183!76;o02=45+8869`61<,8:o>7::209'bc6=9:in7E661:l2601=:;om7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;cd595?2=83:p("?1=0o?:5+11f1>13592.mj=4>4568L=?63g;99:4=2g28m=g62900e5o=:188m=g42900ch9k:188yg2dm00:694?:1y'545a20;27)664;f03>"68m8188<>;%de4?73<:1C44?4n0063?45n81b4l?50;9jh590;8 =?32m9<7)??d387177<,ol;6<:;3:J;=4=i9;?<6?901/44:5d258 46c:3>>><5+fg2951543A22=6`>245967`43`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?ok?51;694?6|,8;8j77>9:&;=11/==j=:5715>"an90:8>=4H9;2?k75=>09>k:4i9c2>5<5<<7>3-2287j<7:&24a4=<<8:7)hi0;3774=O00;0b<<:7;01b0=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=n?>7?54;294~"69:l15<74$9;7>a503-;;h?4;5338 c`7289mo6F7909m57302;8m:6g7a083>>o?i;0;66g7a283>>ib?m0;66sm4e67>4<3290;w)?>3g8:5<=#00>1h>94$02g6?22:81/jk>51512?M>>92d:>89523d4?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3l=<1=7:50;2x 474n33:56*7958g72=#99n969;=1:&eb5<6<::0D57>;o3112<5:o20e5o>:188m=g52900e5o<:188k`1c2900qo:k4982>1<729q/=<=i:83:?!>><3n8;6*>0e0900463-lm<7?;319K<<7;7<=f89j3;187>50z&256`=1830(57;:e14?!77l;0?9??4$gd3>45ak2B35<5a1374>74ai2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8i=7:087>5<7s-;:?k46189'<<2=l:=0(<>k2;6664=#no:1=>mm;I::5>h6:<=1>?hm;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7`6g=93>1<7>t$030b??612.3594k369'55b52=?9=6*if1827fg<@13:7c?=56816ce5<5<o?n4>:583>5}#989m64?6;%::0?b4?2.:k5G8838j442?389ji5f8`394?=n0h81<75f8`194?=hm>n1<75rb5f0a?7=<3:112d9=4?<,13?6i=8;%33`7<3=;;0(kh?:061b>N?181e=?;8:30ea>o?i80;66g7a383>>o?i:0;66aj7e83>>{e7::209'bc6=9:ii7E661:l2601=:;lm7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;d0:95?2=83:p("?1=0o?:5+11f1>13592B35<5a1374>75782c3m<4?::k;e7<722c3m>4?::mf3a<722wi8i?n:087>5<7s-;:?k46189'<<2=l:=0(<>k2;6664=#no:1=9==;I::5>h6:<=1>>>>;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7`4e=93>1<7>t$030b??612.3594k369'55b52=?9=6*if182064<@13:7c?=56817545<5<o>l4>:583>5}#989m64?6;%::0?b4?2.:5f8`394?=n0h81<75f8`194?=hm>n1<75rb5f1g?7=<3:112d9=4?<,13?6i=8;%33`7<3=;;0(kh?:01`=>N?181e=?;8:3130>o?i80;66g7a383>>o?i:0;66aj7e83>>{e7::209'bc6=9=8n7E661:l2601=:::>7d6n1;29?l>f:3:17d6n3;29?jc0l3:17pl;d2295?2=83:p("?1=0o?:5+11f1>13592.mj=4>3bc8L=?63g;99:4=3148m=g62900e5o=:188m=g42900ch9k:188yg2c;;0:694?:1y'545a20;27)664;f03>"68m8188<>;%de4?74k11C44?4n0063?448>1b4l?50;9jh590;8 =?32m9<7)??d387177<,ol;6<:=e:J;=4=i9;?<6?=?8:k;e4<722c3m?4?::k;e6<722en;i4?::a0a7b280?6=4?{%327c<>901/44:5d258 46c:3>>><5+fg2956`e3A22=6`>2459666>3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?h?>51;694?6|,8;8j77>9:&;=11/==j=:5715>"an90:?n74H9;2?k75=>09?=o4i9c2>5<5<<7>3-2287j<7:&24a4=<<8:7)hi0;376a=O00;0b<<:7;004g=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=n987?54;294~"69:l15<74$9;7>a503-;;h?4;5338 c`7289hi6F7909m57302;9;o6g7a083>>o?i;0;66g7a283>>ib?m0;66sm4e05>4<3290;w)?>3g8:5<=#00>1h>94$02g6?22:81/jk>5150g?M>>92d:>895222g?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3l;21=7:50;2x 474n33:56*7958g72=#99n969;=1:&eb5<6;j20D57>;o3112<5;9o0e5o>:188m=g52900e5o<:188k`1c2900qo:ma282>3<729q/=<=i:83:?!>><3nnh6*>0e090dbe3A22=6`>2459666a3`2j=7>5;h:b6?6=3`2j?7>5;h:b0?6=3`2j97>5;ng4`?6=3th?n4:51;694?6|,8;8j77>9:&;=1N?181e=?;8:3124>o?i80;66g7a383>>o?i:0;66aj7e83>>{e7:ndc9K<<7;7<<109j03;187>50z&256`=1830(57;:bc5?!77l;0?mil4H9;2?k75=>09?<<4i9c2>5<5<<7>3-2287mn6:&24a4=f:3:17d6n3;29?jc0l3:17pl;b8a95?2=83:p("?1=0hn>5+11f1>1gcj2B35<5a1374>756<2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8o7j:087>5<7s-;:?k46189'<<2=kk90(<>k2;6b`g=O00;0b<<:7;0050=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=hj<7?54;294~"69:l15<74$9;7>fd43-;;h?4;ae`8L=?63g;99:4=3048m=g62900e5o=:188m=g42900ch9k:188yg2ei;0:694?:1y'545a20;27)664;aa7>"68m818ljm;I::5>h6:<=1>>?8;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7f2g=93>1<7>t$030b??612.3594lb29'55b52=kon6F7909m57302;9:46g7a083>>o?i;0;66g7a283>>ib?m0;66sm4c5`>4<3290;w)?>3g8:5<=#00>1oo=4$02g6?2flk1C44?4n0063?44901b4l?50;9jh590;8 =?32jh87)??d387ead<@13:7c?=568174g5<5<i4=4>:583>5}#989m64?6;%::0?ef>2.:>92d:>895223a?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3j181=7:50;2x 474n33:56*7958`e3=#99n969okb:J;=4=i9;?<6?=>c:k;e4<722c3m?4?::k;e6<722en;i4?::a0g>3280?6=4?{%327c<>901/44:5c`48 46c:3>jho5G8838j442?388=i5f8`394?=n0h81<75f8`194?=hm>n1<75rb5`;2?7=<3:112d9=4?<,13?6no9;%33`7<3imh0D57>;o3112<5;8o0e5o>:188m=g52900e5o<:188k`1c2900qo:m8982>1<729q/=<=i:83:?!>><3ij:6*>0e090dbe3A22=6`>2459667a3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?n5o51;694?6|,8;8j77>9:&;=1N?181e=?;8:3114>o?i80;66g7a383>>o?i:0;66aj7e83>>{e7:ndc9K<<7;7<<209j50z&256`=1830(57;:bc5?!77l;0?mil4H9;2?k75=>09??<4i9c2>5<5<<7>3-2287mn6:&24a4=f:3:17d6n3;29?jc0l3:17pl;b8195?2=83:p("?1=0hm;5+11f1>1gcj2B35<5a1374>755<2c3m<4?::k;e7<722c3m>4?::mf3a<722wi9=<=:087>5<7s-;:?k46189'<<2=kh<0(<>k2;6664=#no:1=98j;I::5>h6:<=1>><:;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`6472=93>1<7>t$030b??612.3594la79'55b52=?9=6F7909m57302;99:6g7a083>>o?i;0;66g7a283>>ib?m0;66sm5105>4<3290;w)?>3g8:5<=#00>1ol84$02g6?22:81C44?4n0063?44:>1b4l?50;9j=8;390?6=8r.:=>h590;8 =?32jk=7)??d387177<@13:7c?=568177>5<5<l4>:583>5}#989m64?6;%::0?ef>2.:>92d:>895220:?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd28;i1=7:50;2x 474n33:56*7958`e3=#99n969;=1:J;=4=i9;?<6?==a:k;e4<722c3m?4?::k;e6<722en;i4?::a154b280?6=4?{%327c<>901/44:5c`48 46c:3>>><5G8838j442?388>o5f8`394?=n0h81<75f8`194?=hm>n1<75rb4204?7=<3:112d9=4?<,13?6no9;%33`7<3=;;0D57>;o3112<5;;i0e5o>:188m=g52900e5o<:188k`1c2900qo;?1b82>1<729q/=<=i:83:?!>><3ij:6*>0e0900463A22=6`>2459664c3`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th><9:&;=1N?181e=?;8:311a>o?i80;66g7a383>>o?i:0;66aj7e83>>{e=98;6<4;:183!76;o02=45+8869gd0<,8:o>7::209K<<7;7<<2g9j50z&256`=1830(57;:bd5?!77l;0?9??4H9;2?k75=>09?>>4i9c2>5<5<5<5<jm44>:683>5}#989m64?6;%::0?e4l2.:>92d:>8952212?l>f93:17d6n2;29?l>f;3:17d6n4;29?l>f=3:17d6n6;29?jc0l3:17pl;a`f95?1=83:p("?1=0h9i5+11f1>13592B35<5a1374>754:2c3m<4?::k;e7<722c3m>4?::k;e1<722c3m84?::k;e3<722en;i4?::a0deb280?6=4?{%327c<>901/44:5d0a8 46c:3>>><5+fg2956573A22=6`>245966543`2j=7>5;h:b6?6=3`2j?7>5;ng4`?6=3th?ho;51;494?6|,13?6:k8;%33`7<3=;;0(i3:&;fd<33A22=6`>245966533`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn9m?7;392?6=8r.:=>h590;8 =?32m:h7)??d387177<@13:7c?=56817635<5<6=44od5g>5<o5:4>:783>5}#00>1;h94$02g6?22:81/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=56817605<8=7>5;n3332<722wi8lol:085>5<7s-;:?k46189'<<2=m=80(<>k2;6664=#no:1=>h6:<=1>>=8;h:b5?6=3`2j>7>5;h:b7?6=3`2j87>5;h:b1?6=3fo5;|`7g10=93>1<7>t$030b??612.3594k369'55b52=?9=6F7909m57302;9846g7a083>>o?i;0;66g7a283>>ib?m0;66sm4b6;>4<3290;w)?>3g8:5<=#00>1h>94$02g6?22:81/jk>5157;?M>>92d:>895221:?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3k=k1=7:50;2x 474n33:56*7958g72=#99n969;=1:&eb5<6<<20D57>;o3112<5;:k0e5o>:188m=g52900e5o<:188k`1c2900qo:l4b82>1<729q/=<=i:83:?!>><3n8;6*>0e0900463-lm<7?;569K<<7;7<<3c9j50z&256`=1830(57;:e14?!77l;0?9??4$gd3>422?2B35<5a1374>754k2c3m<4?::k;e7<722c3m>4?::mf3a<722wi8n;?:087>5<7s-;:?k46189'<<2=l:=0(<>k2;6664=#no:1=9;9;I::5>h6:<=1>>=k;h:b5?6=3`2j>7>5;h:b7?6=3fo5;|`7g04=93>1<7>t$030b??612.3594k369'55b52=?9=6*if182000<@13:7c?=568176c5<5<<8<4>:583>5}#989m64?6;%::0?de02.:>92d:>895221e?l>f93:17d6n2;29?l>f;3:17bk8d;29?xd3l0l1=7850;2x =?32>o<7)??d387177<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8952263?l2>m3:17d:>o3;80;66a>06594?=zj=n247?56;294~"?1=013592.:=>h511;5?!77n=0:1=O00;0b<<:7;0004=n<0o1<75f42d94?=n<1n1<75f114f>5<9:&;=1N?181e=?;8:3176>o?i80;66g7a383>>o?i:0;66g7a583>>o?i<0;66aj7e83>>{e7::209K<<7;7<<429j50z&256`=1830(57;:e2`?!77l;0?9??4H9;2?k75=>09?9:4i9c2>5<5<5<0;6=u+101e><7>3-2287m9d:&24a4=<<8:7E661:l2601=::>>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd3?:h1=7950;2x 474n33:56*7958`2a=#99n969;=1:J;=4=i9;?<6?=;6:k;e4<722c3m?4?::k;e6<722c3m94?::k;e0<722c3m;4?::mf3a<722wi8il8:087>5<7s-;:?k46189'<<2=lh80(<>k2;6664=O00;0b<<:7;0002=n0h;1<75f8`094?=n0h91<75`e6f94?=zj=oo;7?56;294~"?1=01cf<2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:317<>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?7d?o1/=<=i:02:2>"68o>1==h<;%:ae?2<@13:7c?=568171?5<8=7>5;n3332<722wi8n>k:085>5<7s-;:?k46189'<<2=l9i0(<>k2;6664=O00;0b<<:7;000d=n0h;1<75f8`094?=n0h91<75f8`694?=n0h?1<75`e6f94?=zj=o?h7?56;294~"?1=013592.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:317f>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:383>5}#989m64?6;%::0?c1:2.:753k2c3m<4?::mf3a<722wi8;;=:081>5<7s-;:?k46189'<<2=m?80(<>k2;6664=O1990D57>;o3112<5;=n0e5o>:188k`1c2900qo<7<729q/=<=i:83:?!>><3o=>6*>0e0966?b3A3;?6F7909m57302;9?i6g7a083>>ib?m0;66sm2361>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?45:o1C5==4H9;2?k75=>09?9h4i9c2>5<<7>3-2287k92:&24a4=<:3h7E7?3:J;=4=i9;?<6?=:0:k;e4<722en;i4?::a06d728096=4?{%327c<>901/44:5e708 46c:3>85n5G9118L=?63g;99:4=3438m=g62900ch9k:188yg24il0:6?4?:1y'545a20;27)664;g56>"68m818>7l;I;37>N?181e=?;8:3166>o?i80;66aj7e83>>{e<<::6<4=:183!76;o02=45+8869a34<,8:o>7:;ec9K=55<@13:7c?=56817055<?jk4>:383>5}#989m64?6;%::0?c1:2.:752<2c3m<4?::mf3a<722wi89hk:081>5<7s-;:?k46189'<<2=m?80(<>k2;67ag=O1990D57>;o3112<5;:188k`1c2900qo:;4e82>7<729q/=<=i:83:?!>><3o=>6*>0e09016d3A3;?6F7909m57302;9>:6g7a083>>ib?m0;66sm456a>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?238j1C5==4H9;2?k75=>09?894i9c2>5<<7>3-2287k92:&24a4=<=:h7E7?3:J;=4=i9;?<6?=:8:k;e4<722en;i4?::a012028096=4?{%327c<>901/44:5e708 46c:3>?"68m8189>l;I;37>N?181e=?;8:316e>o?i80;66aj7e83>>{e<=>86<4=:183!76;o02=45+8869a34<,8:o>7:;0b9K=55<@13:7c?=568170d5<?9k4>:383>5}#989m64?6;%::0?c1:2.:752k2c3m<4?::mf3a<722wi89;k:081>5<7s-;:?k46189'<<2=m?80(<>k2;674f=O1990D57>;o3112<5;:188k`1c2900qo:;5c82>7<729q/=<=i:83:?!>><3o=>6*>0e09016d3A3;?6F7909m57302;9>i6g7a083>>ib?m0;66sm457:>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?238j1C5==4H9;2?k75=>09?8h4i9c2>5<<7>3-2287k92:&24a4=<=:h7E7?3:J;=4=i9;?<6?=90:k;e4<722en;i4?::a013228096=4?{%327c<>901/44:5e708 46c:3>?"68m8189>l;I;37>N?181e=?;8:3156>o?i80;66aj7e83>>{e<=?:6<4=:183!76;o02=45+8869a34<,8:o>7:;0b9K=55<@13:7c?=56817355<?8k4>:383>5}#989m64?6;%::0?c1:2.:751<2c3m<4?::mf3a<722wi8?hn:081>5<7s-;:?k46189'<<2=m?80(<>k2;61a1=O1990D57>;o3112<5;??0e5o>:188k`1c2900qo:<1c82>7<729q/=<=i:83:?!>><3o=>6*>0e0907c33A3;?6F7909m57302;9=:6g7a083>>ib?m0;66sm41d6>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?27m;1C5==4H9;2?k75=>09?;94i9c2>5<<7>3-2287k92:&24a4=;o?o7E7?3:J;=4=i9;?<6?=98:k;e4<722en;i4?::a604328096=4?{%327c<>901/44:5e708 46c:38?i<5G9118L=?63g;99:4=37;8m=g62900ch9k:188yg42:?0:6?4?:1y'545a20;27)664;g56>"68m81>9k>;I;37>N?181e=?;8:315e>o?i80;66aj7e83>>{e:<836<4=:183!76;o02=45+8869a34<,8:o>7<;e09K=55<@13:7c?=568173d5<>l4>:383>5}#989m64?6;%::0?c1:2.:751k2c3m<4?::mf3a<722wi>85<7s-;:?k46189'<<2=m?80(<>k2;07a4=O1990D57>;o3112<5;?n0e5o>:188k`1c2900qo<:2d82>7<729q/=<=i:83:?!>><3o=>6*>0e0961c63A3;?6F7909m57302;9=i6g7a083>>ib?m0;66sm2413>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?43m81C5==4H9;2?k75=>09?;h4i9c2>5<<7>3-2287k92:&24a4=:=o:7E7?3:J;=4=i9;?<6?=80:k;e4<722en;i4?::a605328096=4?{%327c<>901/44:5e708 46c:38?i<5G9118L=?63g;99:4=3638m=g62900ch9k:188yg42910:6?4?:1y'545a20;27)664;g56>"68m81>9k>;I;37>N?181e=?;8:3146>o?i80;66aj7e83>>{e:<;j6<4=:183!76;o02=45+8869a34<,8:o>7<;e09K=55<@13:7c?=56817255<=n4>:383>5}#989m64?6;%::0?c1:2.:750<2c3m<4?::mf3a<722wi>8?j:081>5<7s-;:?k46189'<<2=m?80(<>k2;07a4=O1990D57>;o3112<5;>?0e5o>:188k`1c2900qo<:2182>7<729q/=<=i:83:?!>><3o=>6*>0e0961c63A3;?6F7909m57302;9<:6g7a083>>ib?m0;66sm2401>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?43m81C5==4H9;2?k75=>09?:94i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>o=5G9118L=?63g;99:4=36;8m=g62900ch9k:188yg42l?0:6?4?:1y'545a20;27)664;g56>"68m81>8m?;I;37>N?181e=?;8:314e>o?i80;66aj7e83>>{e:=286<4=:183!76;o02=45+8869a34<,8:o>7<;709K=55<@13:7c?=568172d5<:383>5}#989m64?6;%::0?c1:2.:750k2c3m<4?::mf3a<722wi>968:081>5<7s-;:?k46189'<<2=m?80(<>k2;0734=O1990D57>;o3112<5;>n0e5o>:188k`1c2900qo<86182>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;9>ib?m0;66sm261`>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?:h4i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>il5G9118L=?63g;99:4=3938m=g62900ch9k:188yg4?j90:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31;6>o?i80;66aj7e83>>{e:1kn6<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=56817=55<:383>5}#989m64?6;%::0?c1:2.:75?<2c3m<4?::mf3a<722wi>5m?:081>5<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;1?0e5o>:188k`1c2900qo<7bd82>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;93:6g7a083>>ib?m0;66sm29``>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?594i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>il5G9118L=?63g;99:4=39;8m=g62900ch9k:188yg4?j?0:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31;e>o?i80;66aj7e83>>{e:1h?6<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=56817=d5<:383>5}#989m64?6;%::0?c1:2.:75?k2c3m<4?::mf3a<722wi>5:;:081>5<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;1n0e5o>:188k`1c2900qo<74382>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;93i6g7a083>>ib?m0;66sm2975>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?5h4i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>il5G9118L=?63g;99:4=3838m=g62900ch9k:188yg4?=90:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31:6>o?i80;66aj7e83>>{e:1>n6<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=56817<55<:383>5}#989m64?6;%::0?c1:2.:75><2c3m<4?::mf3a<722wi>5:n:081>5<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;0?0e5o>:188k`1c2900qo<74982>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;92:6g7a083>>ib?m0;66sm2965>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?494i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>il5G9118L=?63g;99:4=38;8m=g62900ch9k:188yg4??h0:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31:e>o?i80;66aj7e83>>{e:1=36<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=568175<:383>5}#989m64?6;%::0?c1:2.:75>k2c3m<4?::mf3a<722wi>59;:081>5<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;0n0e5o>:188k`1c2900qo<79182>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;92i6g7a083>>ib?m0;66sm29:f>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?4h4i9c2>5<<7>3-2287k92:&24a4=:f28096=4?{%327c<>901/44:5e708 46c:38>il5G9118L=?63g;99:4=3`38m=g62900ch9k:188yg4?010:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31b6>o?i80;66aj7e83>>{e:12=6<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=56817d55<:383>5}#989m64?6;%::0?c1:2.:75f<2c3m<4?::mf3a<722wi>56=:081>5<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;h?0e5o>:188k`1c2900qo<78182>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;9j:6g7a083>>ib?m0;66sm2935>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?l94i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>il5G9118L=?63g;99:4=3`;8m=g62900ch9k:188yg4?:?0:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31be>o?i80;66aj7e83>>{e:18?6<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=56817dd5<?4>:383>5}#989m64?6;%::0?c1:2.:75fk2c3m<4?::mf3a<722wi>55<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;hn0e5o>:188k`1c2900qo<71d82>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;9ji6g7a083>>ib?m0;66sm293`>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?lh4i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>il5G9118L=?63g;99:4=3c38m=g62900ch9k:188yg4>9l0:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31a6>o?i80;66aj7e83>>{e:0;h6<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=56817g55<:383>5}#989m64?6;%::0?c1:2.:75e<2c3m<4?::mf3a<722wi>4?9:081>5<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;k?0e5o>:188k`1c2900qo<61582>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;9i:6g7a083>>ib?m0;66sm2831>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?o94i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>il5G9118L=?63g;99:4=3c;8m=g62900ch9k:188yg4>8j0:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31ae>o?i80;66aj7e83>>{e:0:j6<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=56817gd5<:383>5}#989m64?6;%::0?c1:2.:75ek2c3m<4?::mf3a<722wi>4>9:081>5<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;kn0e5o>:188k`1c2900qo<60382>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;9ii6g7a083>>ib?m0;66sm2823>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?oh4i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>il5G9118L=?63g;99:4=3b38m=g62900ch9k:188yg4?nh0:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31`6>o?i80;66aj7e83>>{e:1l36<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=56817f55<:383>5}#989m64?6;%::0?c1:2.:75d<2c3m<4?::mf3a<722wi>5h;:081>5<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;j?0e5o>:188k`1c2900qo<7f382>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;9h:6g7a083>>ib?m0;66sm29d3>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?n94i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:38>il5G9118L=?63g;99:4=3b;8m=g62900ch9k:188yg4>:10:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:31`e>o?i80;66aj7e83>>{e:08=6<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=56817fd5<94>:383>5}#989m64?6;%::0?c1:2.:75dk2c3m<4?::mf3a<722wi>4<=:081>5<7s-;:?k46189'<<2=m?80(<>k2;06ad=O1990D57>;o3112<5;jn0e5o>:188k`1c2900qo<62182>7<729q/=<=i:83:?!>><3o=>6*>0e0960cf3A3;?6F7909m57302;9hi6g7a083>>ib?m0;66sm283b>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?42mh1C5==4H9;2?k75=>09?nh4i9c2>5<<7>3-2287k92:&24a4=:901/44:5e708 46c:39=9;5G9118L=?63g;99:4=3e38m=g62900ch9k:188yg50>h0:6?4?:1y'545a20;27)664;g56>"68m81?;;9;I;37>N?181e=?;8:31g6>o?i80;66aj7e83>>{e;><36<4=:183!76;o02=45+8869a34<,8:o>7=9579K=55<@13:7c?=56817a55<:383>5}#989m64?6;%::0?c1:2.:75c<2c3m<4?::mf3a<722wi?:8;:081>5<7s-;:?k46189'<<2=m?80(<>k2;1513=O1990D57>;o3112<5;m?0e5o>:188k`1c2900qo=86382>7<729q/=<=i:83:?!>><3o=>6*>0e0973313A3;?6F7909m57302;9o:6g7a083>>ib?m0;66sm3643>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?51=?1C5==4H9;2?k75=>09?i94i9c2>5<<7>3-2287k92:&24a4=;??=7E7?3:J;=4=i9;?<6?=k8:k;e4<722en;i4?::a721b28096=4?{%327c<>901/44:5e708 46c:39=9;5G9118L=?63g;99:4=3e;8m=g62900ch9k:188yg50?j0:6?4?:1y'545a20;27)664;g56>"68m81?;;9;I;37>N?181e=?;8:31ge>o?i80;66aj7e83>>{e;>=j6<4=:183!76;o02=45+8869a34<,8:o>7=9579K=55<@13:7c?=56817ad5<:383>5}#989m64?6;%::0?c1:2.:75ck2c3m<4?::mf3a<722wi?:99:081>5<7s-;:?k46189'<<2=m?80(<>k2;1513=O1990D57>;o3112<5;mn0e5o>:188k`1c2900qo=87582>7<729q/=<=i:83:?!>><3o=>6*>0e0973313A3;?6F7909m57302;9oi6g7a083>>ib?m0;66sm3651>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?51=?1C5==4H9;2?k75=>09?ih4i9c2>5<<7>3-2287k92:&24a4=;??=7E7?3:J;=4=i9;?<6?=j0:k;e4<722en;i4?::a720b28096=4?{%327c<>901/44:5e708 46c:39=9;5G9118L=?63g;99:4=3d38m=g62900ch9k:188yg54k;0:6?4?:1y'545a20;27)664;g56>"68m81??ol;I;37>N?181e=?;8:31f6>o?i80;66aj7e83>>{e;:i;6<4=:183!76;o02=45+8869a34<,8:o>7==ab9K=55<@13:7c?=56817`55<:383>5}#989m64?6;%::0?c1:2.:75b<2c3m<4?::mf3a<722wi?>ll:081>5<7s-;:?k46189'<<2=m?80(<>k2;11ef=O1990D57>;o3112<5;l?0e5o>:188k`1c2900qo=7<729q/=<=i:83:?!>><3o=>6*>0e0977gd3A3;?6F7909m57302;9n:6g7a083>>ib?m0;66sm32`;>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?55ij1C5==4H9;2?k75=>09?h94i9c2>5<<7>3-2287k92:&24a4=;;kh7E7?3:J;=4=i9;?<6?=j8:k;e4<722en;i4?::a76d328096=4?{%327c<>901/44:5e708 46c:399mn5G9118L=?63g;99:4=3d;8m=g62900ch9k:188yg54l=0:6?4?:1y'545a20;27)664;g56>"68m81??ol;I;37>N?181e=?;8:31fe>o?i80;66aj7e83>>{e;:n96<4=:183!76;o02=45+8869a34<,8:o>7==ab9K=55<@13:7c?=56817`d5<:383>5}#989m64?6;%::0?c1:2.:75bk2c3m<4?::mf3a<722wi?>mj:081>5<7s-;:?k46189'<<2=m?80(<>k2;11ef=O1990D57>;o3112<5;ln0e5o>:188k`1c2900qo=7<729q/=<=i:83:?!>><3o=>6*>0e0977gd3A3;?6F7909m57302;9ni6g7a083>>ib?m0;66sm32ab>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?55ij1C5==4H9;2?k75=>09?hh4i9c2>5<<7>3-2287k92:&24a4=;;kh7E7?3:J;=4=i9;?<6?=i0:k;e4<722en;i4?::a76e128096=4?{%327c<>901/44:5e708 46c:399mn5G9118L=?63g;99:4=3g38m=g62900ch9k:188yg54k=0:6?4?:1y'545a20;27)664;g56>"68m81??ol;I;37>N?181e=?;8:31e6>o?i80;66aj7e83>>{e;9;?6<4=:183!76;o02=45+8869a34<,8:o>75<:383>5}#989m64?6;%::0?c1:2.:75a<2c3m<4?::mf3a<722wi?=??:081>5<7s-;:?k46189'<<2=m?80(<>k2;0fb`=O1990D57>;o3112<5;o?0e5o>:188k`1c2900qo=?0d82>7<729q/=<=i:83:?!>><3o=>6*>0e096``b3A3;?6F7909m57302;9m:6g7a083>>ib?m0;66sm312`>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?4bnl1C5==4H9;2?k75=>09?k94i9c2>5<<7>3-2287k92:&24a4=:lln7E7?3:J;=4=i9;?<6?=i8:k;e4<722en;i4?::a756?28096=4?{%327c<>901/44:5e708 46c:38njh5G9118L=?63g;99:4=3g;8m=g62900ch9k:188yg578?0:6?4?:1y'545a20;27)664;g56>"68m81>hhj;I;37>N?181e=?;8:31ee>o?i80;66aj7e83>>{e;98=6<4=:183!76;o02=45+8869a34<,8:o>75<94>:383>5}#989m64?6;%::0?c1:2.:75ak2c3m<4?::mf3a<722wi?=<=:081>5<7s-;:?k46189'<<2=m?80(<>k2;0fb`=O1990D57>;o3112<5;on0e5o>:188k`1c2900qo=?2182>7<729q/=<=i:83:?!>><3o=>6*>0e096``b3A3;?6F7909m57302;9mi6g7a083>>ib?m0;66sm313f>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?4bnl1C5==4H9;2?k75=>09?kh4i9c2>5<<7>3-2287k92:&24a4=:lln7E7?3:J;=4=i9;?<6?:?0:k;e4<722en;i4?::a757f28096=4?{%327c<>901/44:5e708 46c:38njh5G9118L=?63g;99:4=4138m=g62900ch9k:188yg57910:6?4?:1y'545a20;27)664;g56>"68m81>hhj;I;37>N?181e=?;8:3636>o?i80;66aj7e83>>{e;9;=6<4=:183!76;o02=45+8869a34<,8:o>75<:383>5}#989m64?6;%::0?c1:2.:727<2c3m<4?::mf3a<722wi>?9k:081>5<7s-;:?k46189'<<2=m?80(<>k2;015c=O1990D57>;o3112<5<9?0e5o>:188k`1c2900qo<7<729q/=<=i:83:?!>><3o=>6*>0e0966>b3A3;?6F7909m57302;>;:6g7a083>>ib?m0;66sm253`>4<5290;w)?>3g8:5<=#00>1i;<4$02g6?439?1C5==4H9;2?k75=>098=94i9c2>5<<7>3-2287k92:&24a4=:=;=7E7?3:J;=4=i9;?<6?:?8:k;e4<722en;i4?::a63e128096=4?{%327c<>901/44:5e708 46c:38>il5G9118L=?63g;99:4=41;8m=g62900ch9k:188yg41nm0:6?4?:1y'545a20;27)664;g56>"68m81>8kn;I;37>N?181e=?;8:363e>o?i80;66aj7e83>>{e:h9<6<4=:183!76;o02=45+8869a34<,8:o>7<:e`9K=55<@13:7c?=568105d5<:383>5}#989m64?6;%::0?c1:2.:727k2c3m<4?::mf3a<722wi=i:<:081>5<7s-;:?k46189'<<2=m?80(<>k2;3`=c=O1990D57>;o3112<5<9n0e5o>:188k`1c2900qo:nd682>1<729q/=<=i:85;?!77l;0?9??4H9;2?k75=>098=k4i9c2>5<5;|`7ea>=93=1<7>t$030b??612.3594j419'55b52=?9=6F7909m57302;>;j6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e<1:>6<4;:183!76;o02;55+11f1>13592B35<5a1374>72682c3m<4?::k;e7<722c:h590;8 =?32moi7)??d387177<@13:7c?=56810475<5<6=44i9c5>5<0;6=u+101e><7>3-2287m;4:&24a4=<<8:7E661:l2601=:=;97d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd4l9n1=7850;2x =?32>o<7)??d380f6b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8952530?l2>m3:17d:>o3;80;66a>06594?=zj:n;n7?56;294~"?1=06d4l2.:=>h511;5?!77n=0:1=O00;0b<<:7;0751=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>245961723`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>j>c;392?6=8r.35948e69'55b52:h8h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?439?1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17plj4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:=;<7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2f23:1l5<5;|`0`40=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1>9?6;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6b6<3;1:7>50z&;=1<0m>1/==j=:2`0`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<;1`9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4l881=7850;2x =?32>o<7)??d380f6b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>895253a?l2>m3:17d:>o3;80;66a>06594?=zj:n:<7?56;294~"?1=06d4l2.:=>h511;5?!77n=0:1=O00;0b<<:7;075f=n<0o1<75f42d94?=n<1n1<75f114f>5<i3:&;fd<33A22=6`>2459617c3`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn>j?8;392?6=8r.35948e69'55b52:h8h6*>12d955?13-;;j94>0g18 =df2=1C44?4n0063?439l1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17plj4$030b?771?1/==h;:02e7>"?jh0?7E661:l2601=:=;m7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb2f16?7=>3:1l=5f48g94?=n<:l1<75f49f94?=n995<5;|`0adc=93<1<7>t$9;7>2c03-;;h?446a;2.3nl4;;I::5>h6:<=1>9<>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f6cf83;1:7>50z&;=1<0m>1/==j=:2`0`>"69:l1==79;%33b1<68o90(5ln:59K<<7;7<;239j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd4mkh1=7850;2x =?32>o<7)??d380f6b<,8;8j7??979'55`328:m?6*7b`87?M>>92d:>8952500?l2>m3:17d:>o3;80;66a>06594?=zj8lmn7?53;294~"69:l15<74$9;7>ac13-;;h?4>f3;8L=?63g;99:4=4368m=g62900e5o=:188k`1c2900qo?ifb82>6<729q/=<=i:83:?!>><3nn:6*>0e095c4>3A22=6`>245961423`2j=7>5;h:b6?6=3fo5;|`25<1=9381<7>t$030b?>f?2.:9:6g7a183>>ie0k0;66sm10;;>4<4290;w)?>3g824c><,8:o>7?j3c9'=5e=m>n0D57>;o3112<5<;=0e5o?:188m2>f290C5=<4;n`;f?6=3th:4om51;494?6|,13?6:k8;%33`7<6=m20(i3:&;fd72502c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?8bb82>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3h27E661:l2601=:=827d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb03:0?7=:3:112d97?j3c9'=5e=0hh0D57>;o3112<5<;k0e5o?:188kg>e2900qo?>9482>6<729q/=<=i:02e<>"68m81=h=m;%;3g?c0l2B35<5a1374>725j2c3m=4?::k465`b9`94?=zj82in7?56;294~"?1=043c02.:=>h511;5?!77n=0:g?<@13:7c?=568107e5<8=7>5;n3332<722wi=:lm:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29':188k460?3:17pl>18395?4=83:p("68m81=h=m;%;3g?>fj2B35<5a1374>725m2c3m=4?::ma5<7s-;:?k4>0g:8 46c:3;n?o5+91a9a2b<@13:7c?=568107`5o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`8a=>N?181e=?;8:3604>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?d>3A22=6`>245961563`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vnh58`58 46c:3;n?o5+91a95<:283>5}#989m6<>i8:&24a4=9l9i7)7?c;g4`>N?181e=?;8:3607>o?i90;66g88`83>M?7:21dn5l50;9~f4>e13;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:4=4268m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9>h26<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;0770=n<0o1<75f42d94?=n<1n1<75f114f>5<N?181e=?;8:3602>o?i90;66am8c83>>{e982h6<4<:183!76;o0:5;|`2=93<1<7>t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4m9:J;=4=i9;?<6?:<8:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>895251:?l2>m3:17d:>o3;80;66a>06594?=zj8;347?52;294~"69:l14l94$02g6?7b;k1/5=m58``8L=?63g;99:4=42c8m=g72900co6m:188yg76000:6>4?:1y'545a28:m46*>0e095`5e3-3;o7k8d:J;=4=i9;?<6?:=hj1h1<75rb0:a3?7=>3:1098>m4i5;f>5<5<5;h605?6=3f;;;:4?::a52d0280=6=4?{%::0?1b?2.:;7<;3e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd69k81=7<50;2x 474n32j;6*>0e095`5e3-3;o76nb:J;=4=i9;?<6?:k2;3f7g=#19i1i:j4H9;2?k75=>098>h4i9c3>5<2j6=4G9108?jd?j3:17pl>8d:95?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0i56F7909m57302;>?<6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c34a3<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1>9:>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f47fn3;1>7>50z&256`=0h=0(<>k2;3f7g=#19i14ll4H9;2?k75=>0989<4i9c3>5<46a02.:??6g7a183>>o00h0;6E7?2:9lf=d=831vn<6j7;392?6=8r.35948e69'55b528?o46*>12d955?13-;;j94>0g18 =df2k30D57>;o3112<5<=>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm16g6>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?38?885f48g94?=n<:l1<75f49f94?=n995<5;|`25de=9381<7>t$030b?>f?2.:?:6g7a183>>ie0k0;66sm10cg>4<4290;w)?>3g824c><,8:o>7?j3c9'=5e=m>n0D57>;o3112<5<==0e5o?:188m2>f290C5=<4;n`;f?6=3th:4h851;494?6|,13?6:k8;%33`7<6=m20(i3:&;fd72302c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?8e582>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3h27E661:l2601=:=>27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb03b=?7=:3:112d97?j3c9'=5e=0hh0D57>;o3112<5<=k0e5o?:188kg>e2900qo?>a`82>6<729q/=<=i:02e<>"68m81=h=m;%;3g?c0l2B35<5a1374>723j2c3m=4?::k465`b9`94?=zj82n97?56;294~"?1=043c02.:=>h511;5?!77n=0:g?<@13:7c?=568101e5<8=7>5;n3332<722wi=:k<:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29':188k460?3:17pl>1`495?4=83:p("68m81=h=m;%;3g?>fj2B35<5a1374>723m2c3m=4?::ma5<7s-;:?k4>0g:8 46c:3;n?o5+91a9a2b<@13:7c?=568101`51=7850;2x =?32>o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`8a=>N?181e=?;8:3664>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?d>3A22=6`>245961363`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vnh58`58 46c:3;n?o5+91a95<:283>5}#989m6<>i8:&24a4=9l9i7)7?c;g4`>N?181e=?;8:3667>o?i90;66g88`83>M?7:21dn5l50;9~f4>c13;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:4=4468m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9>n<6<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;0710=n<0o1<75f42d94?=n<1n1<75f114f>5<51;094?6|,8;8j76n7:&24a4=9l9i7)7?c;:bf>N?181e=?;8:3662>o?i90;66am8c83>>{e98k:6<4<:183!76;o0:5;|`2t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4m9:J;=4=i9;?<6?::8:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>895257:?l2>m3:17d:>o3;80;66a>06594?=zj8;2h7?52;294~"69:l14l94$02g6?7b;k1/5=m58``8L=?63g;99:4=44c8m=g72900co6m:188yg761l0:6>4?:1y'545a28:m46*>0e095`5e3-3;o7k8d:J;=4=i9;?<6?::b:k;e5<722c<4l4?:I;36>=hj1h1<75rb0:`5?7=>3:10988m4i5;f>5<5<5;h605?6=3f;;;:4?::a52e6280=6=4?{%::0?1b?2.:;7<;5e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd690k1=7<50;2x 474n32j;6*>0e095`5e3-3;o76nb:J;=4=i9;?<6?::e:k;e5<722ei4o4?::a54?e28086=4?{%327c<68o20(<>k2;3f7g=#19i1i:j4H9;2?k75=>0988h4i9c3>5<2j6=4G9108?jd?j3:17pl>8c495?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0i56F7909m57302;>=<6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c34f3<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1>98>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f47?=3;1>7>50z&256`=0h=0(<>k2;3f7g=#19i14ll4H9;2?k75=>098;<4i9c3>5<46a02.:=?6g7a183>>o00h0;6E7?2:9lf=d=831vn<6m3;392?6=8r.35948e69'55b528?o46*>12d955?13-;;j94>0g18 =df2k30D57>;o3112<50e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm16`6>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?38?:85f48g94?=n<:l1<75f49f94?=n995<5;|`25a>=9381<7>t$030b?>f?2.:=:6g7a183>>ie0k0;66sm10f:>4<4290;w)?>3g824c><,8:o>7?j3c9'=5e=m>n0D57>;o3112<5f290C5=<4;n`;f?6=3th:4nk51;494?6|,13?6:k8;%33`7<6=m20(i3:&;fd72102c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?8cd82>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3h27E661:l2601=:=<27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb03g1?7=:3:112d97?j3c9'=5e=0hh0D57>;o3112<5e2900qo?>d782>6<729q/=<=i:02e<>"68m81=h=m;%;3g?c0l2B35<5a1374>721j2c3m=4?::k465`b9`94?=zj82hh7?56;294~"?1=043c02.:=>h511;5?!77n=0:g?<@13:7c?=568103e5<8=7>5;n3332<722wi=:mk:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29'm1b84k50;9j06`=831b85j50;9j550b2900e9=>:188k460?3:17pl>1e095?4=83:p("68m81=h=m;%;3g?>fj2B35<5a1374>721m2c3m=4?::ma5<7s-;:?k4>0g:8 46c:3;n?o5+91a9a2b<@13:7c?=568103`5o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`8a=>N?181e=?;8:3644>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?d>3A22=6`>245961163`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vnh58`58 46c:3;n?o5+91a95<:283>5}#989m6<>i8:&24a4=9l9i7)7?c;g4`>N?181e=?;8:3647>o?i90;66g88`83>M?7:21dn5l50;9~f4>di3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:4=4668m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9>ij6<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;0730=n<0o1<75f42d94?=n<1n1<75f114f>5<N?181e=?;8:3642>o?i90;66am8c83>>{e98io6<4<:183!76;o0:5;|`2t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4m9:J;=4=i9;?<6?:88:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>895255:?l2>m3:17d:>o3;80;66a>06594?=zj8;h57?52;294~"69:l14l94$02g6?7b;k1/5=m58``8L=?63g;99:4=46c8m=g72900co6m:188yg76kh0:6>4?:1y'545a28:m46*>0e095`5e3-3;o7k8d:J;=4=i9;?<6?:8b:k;e5<722c<4l4?:I;36>=hj1h1<75rb0:`3:1098:m4i5;f>5<5<5;h605?6=3f;;;:4?::a52e?280=6=4?{%::0?1b?2.:;7<;7e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd69o91=7<50;2x 474n32j;6*>0e095`5e3-3;o76nb:J;=4=i9;?<6?:8e:k;e5<722ei4o4?::a54`328086=4?{%327c<68o20(<>k2;3f7g=#19i1i:j4H9;2?k75=>098:h4i9c3>5<2j6=4G9108?jd?j3:17pl>8b595?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0i56F7909m57302;>3<6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c34g2<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1>96>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f47a83;1>7>50z&256`=0h=0(<>k2;3f7g=#19i14ll4H9;2?k75=>0985<4i9c3>5<46a02.:3?6g7a183>>o00h0;6E7?2:9lf=d=831vn<6l6;392?6=8r.35948e69'55b528?o46*>12d955?13-;;j94>0g18 =df2k30D57>;o3112<5<1>0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm16a5>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?38?485f48g94?=n<:l1<75f49f94?=n995<5;|`25`b=9381<7>t$030b?>f?2.:3:6g7a183>>ie0k0;66sm10gf>4<4290;w)?>3g824c><,8:o>7?j3c9'=5e=m>n0D57>;o3112<5<1=0e5o?:188m2>f290C5=<4;n`;f?6=3th:4n;51;494?6|,13?6:k8;%33`7<6=m20(i3:&;fd72?02c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?8c482>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3h27E661:l2601=:=227d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb03fe?7=:3:112d97?j3c9'=5e=0hh0D57>;o3112<5<1k0e5o?:188kg>e2900qo?>ec82>6<729q/=<=i:02e<>"68m81=h=m;%;3g?c0l2B35<5a1374>72?j2c3m=4?::k465`b9`94?=zj82h87?56;294~"?1=043c02.:=>h511;5?!77n=0:g?<@13:7c?=56810=e5<8=7>5;n3332<722wi=:m;:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29':188k460?3:17pl>1d595?4=83:p("68m81=h=m;%;3g?>fj2B35<5a1374>72?m2c3m=4?::ma5<7s-;:?k4>0g:8 46c:3;n?o5+91a9a2b<@13:7c?=56810=`5o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`8a=>N?181e=?;8:36:4>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<4>:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?d>3A22=6`>245961?63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vnh58`58 46c:3;n?o5+91a95<:283>5}#989m6<>i8:&24a4=9l9i7)7?c;g4`>N?181e=?;8:36:7>o?i90;66g88`83>M?7:21dn5l50;9~f4>d:3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:4=4868m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9>i96<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;07=0=n<0o1<75f42d94?=n<1n1<75f114f>5<N?181e=?;8:36:2>o?i90;66am8c83>>{e98o96<4<:183!76;o0:5;|`2t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4m9:J;=4=i9;?<6?:68:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>89525;:?l2>m3:17d:>o3;80;66a>06594?=zj8;oi7?52;294~"69:l14l94$02g6?7b;k1/5=m58``8L=?63g;99:4=48c8m=g72900co6m:188yg76lo0:6>4?:1y'545a28:m46*>0e095`5e3-3;o7k8d:J;=4=i9;?<6?:6b:k;e5<722c<4l4?:I;36>=hj1h1<75rb0:ab?7=>3:10984m4i5;f>5<5<5;h605?6=3f;;;:4?::a52da280=6=4?{%::0?1b?2.:;7<;9e9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd69mh1=7<50;2x 474n32j;6*>0e095`5e3-3;o76nb:J;=4=i9;?<6?:6e:k;e5<722ei4o4?::a54bd28086=4?{%327c<68o20(<>k2;3f7g=#19i1i:j4H9;2?k75=>0984h4i9c3>5<2j6=4G9108?jd?j3:17pl>8cg95?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0i56F7909m57302;>j<6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c34f`<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1>9o>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f47d>3;1>7>50z&256`=0h=0(<>k2;3f7g=#19i14ll4H9;2?k75=>098l<4i9c3>5<46a02.:j?6g7a183>>o00h0;6E7?2:9lf=d=831vn<6md;392?6=8r.35948e69'55b528?o46*>12d955?13-;;j94>0g18 =df2k30D57>;o3112<50e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm16`g>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?38?m85f48g94?=n<:l1<75f49f94?=n995<5;|`264?=9381<7>t$030b?>f?2.:j:6g7a183>>ie0k0;66sm133b>4<4290;w)?>3g824c><,8:o>7?j3c9'=5e=m>n0D57>;o3112<5f290C5=<4;n`;f?6=3th:4h?51;494?6|,13?6:k8;%33`7<6=m20(i3:&;fd72f02c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?8dg82>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3h27E661:l2601=:=k27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0022?7=:3:112d97?j3c9'=5e=0hh0D57>;o3112<5e2900qo?=1682>6<729q/=<=i:02e<>"68m81=h=m;%;3g?c0l2B35<5a1374>72fj2c3m=4?::k465`b9`94?=zj82n<7?56;294~"?1=043c02.:=>h511;5?!77n=0:g?<@13:7c?=56810de5<8=7>5;n3332<722wi=:jj:085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29':188k460?3:17pl>20195?4=83:p("68m81=h=m;%;3g?>fj2B35<5a1374>72fm2c3m=4?::ma5<7s-;:?k4>0g:8 46c:3;n?o5+91a9a2b<@13:7c?=56810d`5o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`8a=>N?181e=?;8:36a4>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?d>3A22=6`>245961d63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn<<>0;396?6=8r.:=>h58`58 46c:3;n?o5+91a95<:283>5}#989m6<>i8:&24a4=9l9i7)7?c;g4`>N?181e=?;8:36a7>o?i90;66g88`83>M?7:21dn5l50;9~f4>cm3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:4=4c68m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9>nh6<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;07f0=n<0o1<75f42d94?=n<1n1<75f114f>5<=j51;094?6|,8;8j76n7:&24a4=9l9i7)7?c;:bf>N?181e=?;8:36a2>o?i90;66am8c83>>{e9;:n6<4<:183!76;o0:5;|`2t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4m9:J;=4=i9;?<6?:m8:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>89525`:?l2>m3:17d:>o3;80;66a>06594?=zj88;m7?52;294~"69:l14l94$02g6?7b;k1/5=m58``8L=?63g;99:4=4cc8m=g72900co6m:188yg758k0:6>4?:1y'545a28:m46*>0e095`5e3-3;o7k8d:J;=4=i9;?<6?:mb:k;e5<722c<4l4?:I;36>=hj1h1<75rb0:gg?7=>3:1098om4i5;f>5<5<5;h605?6=3f;;;:4?::a52bf280=6=4?{%::0?1b?2.:;7<;be9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6::>1=7<50;2x 474n32j;6*>0e095`5e3-3;o76nb:J;=4=i9;?<6?:me:k;e5<722ei4o4?::a575228086=4?{%327c<68o20(<>k2;3f7g=#19i1i:j4H9;2?k75=>098oh4i9c3>5<2j6=4G9108?jd?j3:17pl>8e`95?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0i56F7909m57302;>h<6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c34`<<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1>9m>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f44493;1>7>50z&256`=0h=0(<>k2;3f7g=#19i14ll4H9;2?k75=>098n<4i9c3>5<46a02.:h?6g7a183>>o00h0;6E7?2:9lf=d=831vn<6ka;392?6=8r.35948e69'55b528?o46*>12d955?13-;;j94>0g18 =df2k30D57>;o3112<50e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm16f;>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?38?o85f48g94?=n<:l1<75f49f94?=n995<5;|`267c=9381<7>t$030b?>f?2.:h:6g7a183>>ie0k0;66sm130e>4<4290;w)?>3g824c><,8:o>7?j3c9'=5e=m>n0D57>;o3112<5f290C5=<4;n`;f?6=3th:4i651;494?6|,13?6:k8;%33`7<6=m20(i3:&;fd72d02c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?8d782>3<729q/44:57d58 46c:3;>h55+101e>46>>2.:ei3h27E661:l2601=:=i27d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb001f?7=:3:112d97?j3c9'=5e=0hh0D57>;o3112<5e2900qo?=2b82>6<729q/=<=i:02e<>"68m81=h=m;%;3g?c0l2B35<5a1374>72dj2c3m=4?::k465`b9`94?=zj82o;7?56;294~"?1=043c02.:=>h511;5?!77n=0:g?<@13:7c?=56810fe5<8=7>5;n3332<722wi=:j::085>5<7s-22879j7:&24a4=93g824<0<,8:m87??f29':188k460?3:17pl>23:95?4=83:p("68m81=h=m;%;3g?>fj2B35<5a1374>72dm2c3m=4?::ma5<7s-;:?k4>0g:8 46c:3;n?o5+91a9a2b<@13:7c?=56810f`5o<7)??d3821a><,8;8j7??979'55`328:m?6*7b`8a=>N?181e=?;8:36g4>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:783>5}#00>1;h94$02g6?72l11/=<=i:02:2>"68o>1==h<;%:ae?d>3A22=6`>245961b63`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn<<=5;396?6=8r.:=>h58`58 46c:3;n?o5+91a95<;4>:283>5}#989m6<>i8:&24a4=9l9i7)7?c;g4`>N?181e=?;8:36g7>o?i90;66g88`83>M?7:21dn5l50;9~f4>c=3;1:7>50z&;=1<0m>1/==j=:07g<>"69:l1==79;%33b1<68o90(5ln:c;8L=?63g;99:4=4e68m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9>n86<49:183!>><3=n;6*>0e0950b?3-;:?k4>0848 46a<3;;j>5+8cc9f<=O00;0b<<:7;07`0=n<0o1<75f42d94?=n<1n1<75f114f>5<?<51;094?6|,8;8j76n7:&24a4=9l9i7)7?c;:bf>N?181e=?;8:36g2>o?i90;66am8c83>>{e9;886<4<:183!76;o0:5;|`2t$9;7>2c03-;;h?4>5e:8 474n3;;5;5+11d7>46a;2.3nl4m9:J;=4=i9;?<6?:k8:k7=`<722c??k4?::k7k2;36`==#989m6<>66:&24c2=99l87)6ma;`:?M>>92d:>89525f:?l2>m3:17d:>o3;80;66a>06594?=zj88:j7?52;294~"69:l14l94$02g6?7b;k1/5=m58``8L=?63g;99:4=4ec8m=g72900co6m:188yg75:90:6>4?:1y'545a28:m46*>0e095`5e3-3;o7k8d:J;=4=i9;?<6?:kb:k;e5<722c<4l4?:I;36>=hj1h1<75rb0:g7?7=>3:1098im4i5;f>5<5<5;h605?6=3f;;;:4?::a52b6280=6=4?{%::0?1b?2.:;7<;de9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6:8i1=7<50;2x 474n32j;6*>0e095`5e3-3;o76nb:J;=4=i9;?<6?:ke:k;e5<722ei4o4?::a577c28086=4?{%327c<68o20(<>k2;3f7g=#19i1i:j4H9;2?k75=>098ih4i9c3>5<2j6=4G9108?jd?j3:17pl>8e095?0=83:p(57;:6g4?!77l;0:9i64$030b?771?1/==h;:02e7>"?jh0i56F7909m57302;>n<6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c34`5<62?0;6=u+88693`1<,8:o>7?:d99'545a28:2:6*>0g6955`43-2im7l6;I::5>h6:<=1>9k>;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f447?3;1>7>50z&256`=0h=0(<>k2;3f7g=#19i14ll4H9;2?k75=>098h<4i9c3>5<46a02.:n?6g7a183>>o00h0;6E7?2:9lf=d=831vn<6lf;392?6=8r.35948e69'55b528?o46*>12d955?13-;;j94>0g18 =df2k30D57>;o3112<50e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm16ae>4<1290;w)664;5f3>"68m81=8j7;%327c<680<0(<>i4;33b6=#0kk1n45G8838j442?38?i85f48g94?=n<:l1<75f49f94?=n995<5;|`2aac=93hh6=4?{%327c<681<0(<>k2;3f`a=i1;1<6`9e783?!1fj3;:?n5+7`a9545d3-;;m94;309'55g22=9:7)??a3824c5<,888;7?=379'575?2888:6*7b38;1>"?j:0=56*7b58bg>"?j<0:n55+8c49e6=#0k=1==84$9`;>2d43-2i578l;%:af?773-2io77m;%:a`?743-2ii7?;;%:ab?c<,1i;6?>4$9a2>1`<,1i96?84$9a0>4><,1i?6?94$9a6>c=#0j<19=5+8b59g>"?k10o7)6l9;31?!>di3;h7)6lb;`f?!>dk3;3;6*7ce8200=#0jo1mh5+8bd93ge<,1n;6o<4$9f2>4`03-2o>7?jc:&;`6<6kj1/4i:5c09'c?3;hh6*7d98f1>"?l00:9k5+8ec95fc<,1ni6:mm;%:gg?54<2.3hi48c99'b83>ji6*7e08206=#0l81?oo4$9g0>4`43-2n87:nd:&;a0<5::1/4h8519`8 =c02>3;7)6j8;015>"?m00:>95+8dc95c2<,1oi6o:4$9g`>2d53-2nh7?lf:&;a`<61;1/4hh51dd8 =`728k37)6i1;`0?!>a:3;h56*7f28203=#0o>1=k84$9d6>4?63-2m:79i9:&;b23-2mo774$02g2??<,8:o;774$02a1?5582.:al3h356F7909Y7g3=krni6im516a95=6=9>n1=5?516g950g=9<31=8l516d950>=ug;99:4=4d48m46?l3:17d:;6;29?l1f?3:17d??9`83>>o309g94?=n99326=44i6c6>5<5<5<#nli19ij4ngga>6=;7>5$gg`>472j2dmio4?;:k2500=83.min4>14`8jcce2810en6`iec81?>o69<>1<7*ieb8250d54i3c0>5<#nli1>o>4ngga>5=5<#nli1>o>4ngga>7=54i3ca>5<#nli1>o>4ngga>1=5<#nli1>o>4ngga>3=5<#nli1>o>4ngga>==5<5<5<#nli1>ho4ngga>5=5<#nli1>ho4ngga>7=54i3g6>5<#nli1>ho4ngga>1=5<#nli1>ho4ngga>3=5<#nli1>ho4ngga>==5<5<5<5<5<6=44i4:7>5<5<5<5<5<5<#nli19lo4ngga>46<3`?<47>5$gg`>0gf3glnn7?>;:k632<72-lno7;na:leag<6:21b9:850;&eaf<2ih1ejhl51298m012290/jhm55`c8jcce28>07d;84;29 ccd2o2180;6)hjc;7be>hamk0::65f58294?"amj0>ml5afd`952=32c>4i4?:%dfg?3fi2dmio4>a:9j1=e=83.min4:a`9mb`d=9k10e866:18'b`e==hk0bkkm:0a8?l30m3:1(kkl:4cb?k`bj3;o76g:7283>!`bk3?jm6`iec82a>=n=>81<7*ieb86ed=inlh1=k54i4g:>5<5<#nli19hj4ngga>7=54i0353?6=,ooh6hamk0976g>17694?"amj0:=;l4ngga>6=5<5<5<5<5<5<5<5<6=4+fda91104;h720?6=,ooh68:9;odff?7632c>=>4?:%dfg?33>2dmio4>2:9j144=83.min4:479mb`d=9:10e8?>:18'b`e===<0bkkm:068?l3683:1(kkl:465?k`bj3;>76g:2e83>!`bk3??:6`iec822>=n=;i1<7*ieb8603=inlh1=:54i40a>5<#nli19984ngga>4><3`?9m7>5$gg`>0213glnn7?6;:k66<<72-lno7;;6:leag<6i21b9?650;&eaf<2a;29 ccd2<>=7chjb;3g?>o28o0;6)hjc;772>hamk0:i65f51g94?"amj0>8;5afd`95c=5<5<5<5<5<5<5<5<6=4+fda92004;n410?6=,ooh6;;9;odff?7632e=>>4?:%dfg?02>2dmio4>2:9l274=83.min49579mb`d=9:10c;<>:18'b`e=><<0bkkm:068?j0583:1(kkl:775?k`bj3;>76a93e83>!`bk3<>:6`iec822>=h>:i1<7*ieb8513=inlh1=:54o71a>5<#nli1:884ngga>4><3f<8m7>5$gg`>3313glnn7?6;:m57<<72-lno78:6:leag<6i21d:>650;&eaf<1=?1ejhl51c98k352290/jhm56448jcce28i07b8=a;29 ccd2??=7chjb;3g?>i19o0;6)hjc;462>hamk0:i65`60g94?"amj0=9;5afd`95c=5<5<#nli1:k>4ngga>6=5<5<#nli1;=>4ngga>6=5<5<5<6=44o7;7>5<5<5<5<5<4;n4;=?6=,ooh6;lm;odff?7632e=454?:%dfg?0ej2dmio4>2:9l2=1=83.min49bc9mb`d=9:10c;69:18'b`e=>kh0bkkm:068?j0?=3:1(kkl:7`a?k`bj3;>76a9a383>!`bk3=h>h;1<7*ieb85fg=inlh1=:54o7c3>5<#nli1:ol4ngga>4><3f<2j7>5$gg`>3de3glnn7?6;:m5=`<72-lno78mb:leag<6i21d:4j50;&eaf<1jk1ejhl51c98k3?f290/jhm56c`8jcce28i07b87f;29 ccd2?hi7chjb;3g?>i10=0;6)hjc;4af>hamk0:i65`69194?"amj0=no5afd`95c=:ca94?6|,8;8j7??879'55b528ooh6`;d`826>h3lk0:>6`98083?k0b>3:0(:om:030g>"0ij0:=>m4$02b0?2492.:5+1314>444>2.:>>651315?!>e:3k0(5l<:`9'"?j00j7)6mb;35?!>ek3;:=6*7be82`>"?jl0:i6*7bg823>"?k909>6*7c087=>"?k;0946*7c282e>"?k=08=6*7c4821>"?k?0<56*7c68a?!>d03;:7)6l9;3a?!>di3;27)6lb;324>"?kj0:=85+8bf9b==#0jo1;i5+8bd9`==#0m:1=;?4$9f2>46d3-2o>77;;%:g7?bf3-2o876i;%:g1?77<2.3h;4>1e9'cl3h:7)6ke;ce?!>cn3;:o6*7e18:7>"?m80:=o5+8d09=<=#0l91n55+8d69=7=#0l?1hi5+8d49544<,1o<6kl4$9g;>ce<,1o26kk4$9gb>cb<,1oi6<>i;%:fg?0f3-2nh7??b:&;a`<6:<1/4hh512a8 =`72l90(5h>:022?!>a:3h=7)6i3;db?!>a<3l27)6i5;de?!>a>3;;>6*7f68a3>"?n10?i6*7f881f>"?nh0:j6*7fc8:?!>ak330(<>k6;;8 46c?330(<>m5;114>"68k<1==m6;%:e`?d?12B35<5U3c79g~be2mi1=:m5192952b=91;1=:k514c950?=9098h94i02;`?6=3`>?:7>5;h5b3?6=3`;;5l4?::k70f<722c:<5k50;9j55?>2900e:o::188m0b>2900e8j7:188m0b0290/jhm55ef8jcce2;10e8j9:18'b`e==mn0bkkm:298m472?3:1(kkl:036f>hamk0;76g>14494?"amj0:=8l4ngga>4=97>5$gg`>472j2dmio4=;:k2502=83.min4>14`8jcce2:10e?o<:18'b`e=:k:0bkkm:198m7g5290/jhm52c28jcce2810e?o>:18'b`e=:k:0bkkm:398m7g7290/jhm52c28jcce2:10e?om:18'b`e=:k:0bkkm:598m7gf290/jhm52c28jcce2<10e?o6:18'b`e=:k:0bkkm:798m7g?290/jhm52c28jcce2>10e?o8:18'b`e=:k:0bkkm:998m7g1290/jhm52c28jcce2010e?o::188m7g32900e?7i:188m7?b2900e?jk:18'b`e=:lk0bkkm:198m7bd290/jhm52dc8jcce2810e?jm:18'b`e=:lk0bkkm:398m7bf290/jhm52dc8jcce2:10e?k::18'b`e=:lk0bkkm:598m7c3290/jhm52dc8jcce2<10e?k<:18'b`e=:lk0bkkm:798m7c5290/jhm52dc8jcce2>10e?k>:18'b`e=:lk0bkkm:998m7c7290/jhm52dc8jcce2010e?ji:188m7bb2900e?j6:188m7b?2900e86m:188m0>f2900e867:188m0>02900e869:188m0>22900e86;:188m0>42900e86=:188m0>62900e86?:188m01a2900e89k:188m01d2900e89m:188m01f2900e896:18'b`e==hk0bkkm:028?l3003:1(kkl:4cb?k`bj3;:76g:7683>!`bk3?jm6`iec826>=n=><1<7*ieb86ed=inlh1=>54i456>5<#nli19lo4ngga>42<3`?<87>5$gg`>0gf3glnn7?:;:k6=4<72-lno7;na:leag<6>21b94>50;&eaf<2ih1ejhl51698m0>a290/jhm55`c8jcce28207d;7e;29 ccd2o20m0;6)hjc;7be>hamk0:m65f59a94?"amj0>ml5afd`95g=;>4?:%dfg?3fi2dmio4>e:9j124=83.min4:a`9mb`d=9o10e8k6:188m0c?2900e8k8:18'b`e==ln0bkkm:398m0c1290/jhm55df8jcce2:10eo69?<1<7*ieb8253d:188m0472900e8?i:188m07b2900e8?k:188m07d2900e8?m:188m07>2900e8?7:188m0702900e8?9:188m072290/jhm55548jcce28:07d;>4;29 ccd2<>=7chjb;32?>o29:0;6)hjc;772>hamk0:>65f50094?"amj0>8;5afd`956=>i4?:%dfg?33>2dmio4>6:9j17e=83.min4:479mb`d=9>10e8!`bk3??:6`iec82e>=n=;21<7*ieb8603=inlh1=o54i406>5<#nli19984ngga>4e<3`?:m7>5$gg`>0213glnn7?k;:k64c<72-lno7;;6:leag<6m21b9=k50;&eaf<2:188k3572900c;2900c;<7:188k3402900c;<9:188k3422900c;<;:188k344290/jhm56448jcce28807b8=2;29 ccd2??=7chjb;30?>i1:80;6)hjc;462>hamk0:865`63294?"amj0=9;5afd`950=2dmio4>8:9l26g=83.min49579mb`d=9010c;=6:18'b`e=><<0bkkm:0c8?j0403:1(kkl:775?k`bj3;i76a93483>!`bk3<>:6`iec82g>=h>;k1<7*ieb8513=inlh1=i54o73e>5<#nli1:884ngga>4c<3f<:i7>5$gg`>3313glnn7?i;:m5af<722e=io4?::m5ad<722e=i44?::m5bf<722e=jo4?::m5bd<722e=j44?::m5=f<722e=5o4?::m5=<<722e=554?::m5=2<722e=5;4?::m5=0<722e=594?::m5=6<722e=5?4?::m5=4<722e=5=4?::m5<`<722e=4i4?::m51290/jhm56c`8jcce28>07b875;29 ccd2?hi7chjb;36?>i1i;0;6)hjc;4af>hamk0::65`6`394?"amj0=no5afd`952=32e=5h4?:%dfg?0ej2dmio4>a:9l2kh0bkkm:0a8?j0?n3:1(kkl:7`a?k`bj3;o76a98583>!`bk3=h>191<7*ieb85fg=inlh1=k54}c70=<<62ki1<7>t$030b?770?1/==j=:41;b>h3lh027c:kb;;8j3>6291e:h850:&4eg<69:i0(:ol:030g>"68h>18>?4$02b1?2492.:0:>>84$000d=#0k<1m6*7b68b?!>e03k0(5l6:`9'"?k90j7)6l1;c8 =e52h1/4n=5a:&;g1d=#0j=1m6*7c98b?!>d13k0(5mn:`9'"?l90j7)6k1;c8 =b52h1/4i=5a:&;`1d=#0m=1m6*7d98b?!>c13k0(5jn:`9'"?m90j7)6j1;c8 =c52h1/4h=5a:&;a1d=#0l=1m6*7e98b?!>b13k0(5kn:`9'<`d=i2.3in4n;%:f`?g<,1on6l5+8dd9e>"?n90j7)6i1;c8 =`52h1/4k=5a:&;b1d=#0o=1m6*7f98b?!>a13k0(5hn:`9'3-;;h:46;%33f0"?nm0i445G8838^6d22jqon7jl:05`>4>728=o6<6>:05f>43f28?26<;m:05e>43?2td:>89525g;?l770m0;66g;4783>>o0i>0;66g>08c94?=n<=i1<75f11:f>5<5;h5b1?6=3`?o57>5;h7g5;h7g2?6=,ooh68jk;odff?5<3`;:9:4?:%dfg?76=k1ejhl50:9j5431290/jhm5107a?k`bj3;07d?>5483>!`bk3;:9o5afd`96>=n98??6=4+fda9543e3glnn7=4;h0b7?6=,ooh6?l?;odff?6<3`8j>7>5$gg`>7d73glnn7?4;h0b5?6=,ooh6?l?;odff?4<3`8j<7>5$gg`>7d73glnn7=4;h0bf?6=,ooh6?l?;odff?2<3`8jm7>5$gg`>7d73glnn7;4;h0b=?6=,ooh6?l?;odff?0<3`8j47>5$gg`>7d73glnn794;h0b3?6=,ooh6?l?;odff?><3`8j:7>5$gg`>7d73glnn774;h0b1?6=,ooh6?l?;odff?g<3`8j87>5;h0:b?6=3`82i7>5;h0g`?6=,ooh6?kn;odff?6<3`8oo7>5$gg`>7cf3glnn7?4;h0gf?6=,ooh6?kn;odff?4<3`8om7>5$gg`>7cf3glnn7=4;h0f1?6=,ooh6?kn;odff?2<3`8n87>5$gg`>7cf3glnn7;4;h0f7?6=,ooh6?kn;odff?0<3`8n>7>5$gg`>7cf3glnn794;h0f5?6=,ooh6?kn;odff?><3`8n<7>5$gg`>7cf3glnn774;h0gb?6=,ooh6?kn;odff?g<3`8oi7>5;h0g=?6=3`8o47>5;h7;f?6=3`?3m7>5;h7;5;h7;2?6=3`?397>5;h7;0?6=3`?3?7>5;h7;6?6=3`?3=7>5;h7;4?6=3`?5;h74`?6=3`?5;h74f?6=3`?5;h74=?6=3`?<47>5;h743?6=3`?<:7>5;h741?6=3`?<87>5;h7:5?6=3`?2<7>5;h7;b?6=,ooh68on;odff?7?32c>4h4?:%dfg?3fi2dmio4>9:9j1=b=83.min4:a`9mb`d=9h10e86l:18'b`e==hk0bkkm:0`8?l3?13:1(kkl:4cb?k`bj3;h76g:7d83>!`bk3?jm6`iec82`>=n=>91<7*ieb86ed=inlh1=h54i451>5<#nli19lo4ngga>4`<3`?n57>5;h7f5;h7f2?6=,ooh68kk;odff?5<3`;:::4?:%dfg?76>k1ejhl50:9j5401290/jhm5104a?k`bj3;07d?>6483>!`bk3;::o5afd`96>=n985;h710?6=3`?9?7>5;h716?6=3`?9=7>5;h714?6=3`?:j7>5;h72a?6=3`?:h7>5;h72g?6=3`?:n7>5;h72=?6=3`?:47>5;h723?6=3`?::7>5;h721?6=3`?:87>5;h727?6=3`?:>7>5;h725?6=3`?:<7>5;h71`?6=3`?9o7>5;h71f?6=,ooh68:9;odff?7?32c>>l4?:%dfg?33>2dmio4>9:9j17?=83.min4:479mb`d=9h10e8<7:18'b`e===<0bkkm:0`8?l35=3:1(kkl:465?k`bj3;h76g:1`83>!`bk3??:6`iec82`>=n=9l1<7*ieb8603=inlh1=h54i42f>5<#nli19984ngga>4`<3f<8;7>5;n402?6=3f<887>5;n407?6=3f<8>7>5;n405?6=3f<8<7>5;n41b?6=3f<9i7>5;n41`?6=3f<9o7>5;n41f?6=3f<957>5;n415;n412?6=3f<997>5;n410?6=3f<9?7>5;n416?6=3f<9=7>5;n414?6=3f<8h7>5;n40g?6=3f<8n7>5$gg`>3313glnn7?7;:m57d<72-lno78:6:leag<6121d:>750;&eaf<1=?1ejhl51`98k35?290/jhm56448jcce28h07b8<5;29 ccd2??=7chjb;3`?>i1:h0;6)hjc;462>hamk0:h65`60d94?"amj0=9;5afd`95`=5;n4fe?6=3f5;n4eg?6=3f5;n4ee?6=3f5;n4:g?6=3f<2n7>5;n4:=?6=3f<247>5;n4:3?6=3f<2:7>5;n4:1?6=3f<287>5;n4:7?6=3f<2>7>5;n4:5?6=3f<2<7>5;n4;a?6=3f<3h7>5;n4;g?6=3f<3n7>5;n4;e?6=3f<357>5;n4;5;n4;2?6=3f<397>5;n4b6?6=3f5;n4b4?6=,ooh6;lm;odff?7?32e=5k4?:%dfg?0ej2dmio4>9:9l2kh0bkkm:0`8?j0>i3:1(kkl:7`a?k`bj3;h76a98g83>!`bk3=h>1>1<7*ieb85fg=inlh1=h54o7:0>5<#nli1:ol4ngga>4`<3th>?4851;``>5<7s-;:?k4>0948 46c:3?84k5a4ec9=>h3lk027c871;28j3c1291/;ll5101`?!1fk3;:?n5+11c7>1563-;;m84;309'55g528:m?6*>225957513-;9?54>2248 =d52h1/4o=5a:&;f1d=#0k=1m6*7b98b?!>e13k0(5lm:`9'"?k80j7)6l2;c8 =e42h1/4n:5a:&;g0d=#0j21m6*7c88b?!>di3k0(5mm:`9'"?l80j7)6k2;c8 =b42h1/4i:5a:&;`0d=#0m21m6*7d88b?!>ci3k0(5jm:`9'"?m80j7)6j2;c8 =c42h1/4h:5a:&;a0d=#0l21m6*7e88b?!>bi3k0(5km:`9'<`e=i2.3ii4n;%:fa?g<,1om6l5+8g29e>"?n80j7)6i2;c8 =`42h1/4k:5a:&;b0d=#0o21m6*7f88b?!>ai3k0(5hm:89'3;;o45+8gf9f=?<@13:7W=m5;ax`gn56g>09f94?=n<=<1<75f7`594?=n993j6=44i56`>5<5;h33=<<722ch54?::k6`2<722c>h;4?:%dfg?3cl2dmio4<;:k2501=83.min4>14`8jcce2910en6`iec82?>o694?:%dfg?4e82dmio4?;:k1e7<72-lno732c9m84?:%dfg?4e82dmio4n;:k1e1<722c95k4?::k1=`<722c9hi4?:%dfg?4bi2dmio4?;:k1`f<72-lno74?:%dfg?4bi2dmio49;:k1a7<72-lno732c9hk4?:%dfg?4bi2dmio4n;:k1``<722c9h44?::k1`=<722c>4o4?::k6454?::k6<2<722c>4;4?::k6<0<722c>494?::k6<6<722c>4?4?::k6<4<722c>4=4?::k63c<722c>;i4?::k63f<722c>;o4?::k63d<722c>;44?::k63=<722c>;:4?::k633<722c>;84?::k631<722c>5<4?::k6=5<722c>4k4?:%dfg?3fi2dmio4>8:9j1=c=83.min4:a`9mb`d=9010e86k:18'b`e==hk0bkkm:0c8?l3?k3:1(kkl:4cb?k`bj3;i76g:8883>!`bk3?jm6`iec82g>=n=>o1<7*ieb86ed=inlh1=i54i450>5<#nli19lo4ngga>4c<3`?<>7>5$gg`>0gf3glnn7?i;:k6a<<722c>i54?::k6a2<722c>i;4?:%dfg?3bl2dmio4<;:k2531=83.min4>17`8jcce2910eo69??1<7*ieb8253d>:4?::k663<722c>>94?::k666<722c>>?4?::k664<722c>>=4?::k65c<722c>=h4?::k65a<722c>=n4?::k65g<722c>=44?::k65=<722c>=:4?::k653<722c>=84?::k651<722c>=>4?::k657<722c>=<4?::k655<722c>>i4?::k66f<722c>>o4?:%dfg?33>2dmio4>8:9j17g=83.min4:479mb`d=9010e8<6:18'b`e===<0bkkm:0c8?l3503:1(kkl:465?k`bj3;i76g:2483>!`bk3??:6`iec82g>=n=8k1<7*ieb8603=inlh1=i54i42e>5<#nli19984ngga>4c<3`?;i7>5$gg`>0213glnn7?i;:m572<722e=?;4?::m571<722e=?>4?::m577<722e=?<4?::m575<722e=>k4?::m56`<722e=>i4?::m56f<722e=>o4?::m56<<722e=>54?::m562<722e=>;4?::m560<722e=>94?::m566<722e=>?4?::m564<722e=>=4?::m57a<722e=?n4?::m57g<72-lno78:6:leag<6021d:>o50;&eaf<1=?1ejhl51898k35>290/jhm56448jcce28k07b8<8;29 ccd2??=7chjb;3a?>i1;<0;6)hjc;462>hamk0:o65`63c94?"amj0=9;5afd`95a=4?::m5=7<722e=5<4?::m5=5<722e=4h4?::m58:9l2<`=83.min49bc9mb`d=9010c;7j:18'b`e=>kh0bkkm:0c8?j0>l3:1(kkl:7`a?k`bj3;i76a99`83>!`bk3=h>1l1<7*ieb85fg=inlh1=i54o7:7>5<#nli1:ol4ngga>4c<3f<3?7>5$gg`>3de3glnn7?i;:a16?4280io7>50z&256`=992=7)??d3867=`h1080;7c8j6;28 2ge28;8o6*8ab8256e<,8:j87:<1:&24d3=<:;0(<>n2;33b6=#9;9<6<<<6:&266>=9;9=7)6m2;c8 =d42h1/4o:5a:&;f0d=#0k21m6*7b88b?!>ej3k0(5ll:`9'"?k;0j7)6l3;c8 =e32h1/4n;5a:&;g3d=#0j31m6*7c`8b?!>dj3k0(5ml:`9'"?l;0j7)6k3;c8 =b32h1/4i;5a:&;`3d=#0m31m6*7d`8b?!>cj3k0(5jl:`9'"?m;0j7)6j3;c8 =c32h1/4h;5a:&;a3d=#0l31m6*7e`8b?!>bj3k0(5kl:`9'<`b=i2.3ih4n;%:fb?g<,1l;6l5+8g39e>"?n;0j7)6i3;c8 =`32h1/4k;5a:&;b3d=#0o31m6*7f`8b?!>aj330(5hl:89'55b1201/==j8:89'55d22k227)??b7824f?<,1lo6o66;I::5>\4j<0hwil5db823f<6090:;i4>80823`<6=h0:944>5c823c<6=10vb<<:7;07ad=n992o6=44i565>5<k<6=44i02:e?6=3`>?o7>5;h33<`<722c:<4750;9j3d3=831b9i750;9j1a>=831b9i950;9j1a0=83.min4:de9mb`d=;21b=<;8:18'b`e=98?i7chjb;28?l76=?0;6)hjc;321g=inlh1=65f1076>5<#nli1=<;m;odff?4<3`;:994?:%dfg?76=k1ejhl53:9j6d5=83.min4=b19mb`d=821b>l<50;&eaf<5j91ejhl51:9j6d7=83.min4=b19mb`d=:21b>l>50;&eaf<5j91ejhl53:9j6dd=83.min4=b19mb`d=<21b>lo50;&eaf<5j91ejhl55:9j6d?=83.min4=b19mb`d=>21b>l650;&eaf<5j91ejhl57:9j6d1=83.min4=b19mb`d=021b>l850;&eaf<5j91ejhl59:9j6d3=83.min4=b19mb`d=i21b>l:50;9j6<`=831b>4k50;9j6ab=83.min4=e`9mb`d=821b>im50;&eaf<5mh1ejhl51:9j6ad=83.min4=e`9mb`d=:21b>io50;&eaf<5mh1ejhl53:9j6`3=83.min4=e`9mb`d=<21b>h:50;&eaf<5mh1ejhl55:9j6`5=83.min4=e`9mb`d=>21b>h<50;&eaf<5mh1ejhl57:9j6`7=83.min4=e`9mb`d=021b>h>50;&eaf<5mh1ejhl59:9j6a`=83.min4=e`9mb`d=i21b>ik50;9j6a?=831b>i650;9j1=d=831b95o50;9j1=>=831b95950;9j1=0=831b95;50;9j1=2=831b95=50;9j1=4=831b95?50;9j1=6=831b9:h50;9j12b=831b9:m50;9j12d=831b9:o50;9j12?=831b9:650;9j121=831b9:850;9j123=831b9::50;9j1<7=831b94>50;9j1=`=83.min4:a`9mb`d=9110e86j:18'b`e==hk0bkkm:0;8?l3?l3:1(kkl:4cb?k`bj3;j76g:8b83>!`bk3?jm6`iec82f>=n=131<7*ieb86ed=inlh1=n54i45f>5<#nli19lo4ngga>4b<3`?5$gg`>0gf3glnn7?j;:k637<72-lno7;na:leag<6n21b9h750;9j1`>=831b9h950;9j1`0=83.min4:ee9mb`d=;21b=<88:18'b`e=98?0;6)hjc;322g=inlh1=65f1046>5<#nli1=<8m;odff?4<3`;::94?:%dfg?76>k1ejhl53:9j171=831b9?850;9j172=831b9?=50;9j174=831b9??50;9j176=831b950;9j17b=831b9?m50;9j17d=83.min4:479mb`d=9110e8!`bk3??:6`iec82f>=n=;?1<7*ieb8603=inlh1=n54i43b>5<#nli19984ngga>4b<3`?;j7>5$gg`>0213glnn7?j;:k64`<72-lno7;;6:leag<6n21d:>950;9l260=831d:>:50;9l265=831d:><50;9l267=831d:>>50;9l27`=831d:?k50;9l27b=831d:?m50;9l27d=831d:?750;9l27>=831d:?950;9l270=831d:?;50;9l272=831d:?=50;9l274=831d:??50;9l276=831d:>j50;9l26e=831d:>l50;&eaf<1=?1ejhl51998k35f290/jhm56448jcce28307b8<9;29 ccd2??=7chjb;3b?>i1;10;6)hjc;462>hamk0:n65`62794?"amj0=9;5afd`95f=2dmio4>f:9l2`e=831d:hl50;9l2`g=831d:h750;9l2ce=831d:kl50;9l2cg=831d:k750;9l250;9l2=c=831d:5j50;9l2=e=831d:5l50;9l2=g=831d:5750;9l2=>=831d:5950;9l2=0=831d:5;50;9l2d4=831d:l?50;9l2d6=83.min49bc9mb`d=9110c;7i:18'b`e=>kh0bkkm:0;8?j0>m3:1(kkl:7`a?k`bj3;j76a99e83>!`bk3=h>0k1<7*ieb85fg=inlh1=n54o7:e>5<#nli1:ol4ngga>4b<3f<387>5$gg`>3de3glnn7?j;:m5<6<72-lno78mb:leag<6n21vn8=60;39ff<729q/=<=i:02;2>"68m819>6i;o6ge??h1m?0;7)9nb;327f=#?hi1=<=l;%33e1<3;81/==o::512?!77i;0:"?j;0j7)6m3;c8 =d32h1/4o;5a:&;f3d=#0k31m6*7bc8b?!>ek3k0(5lk:`9'"?k:0j7)6l4;c8 =e22h1/4n85a:&;g2d=#0jk1m6*7cc8b?!>dk3k0(5mk:`9'"?l:0j7)6k4;c8 =b22h1/4i85a:&;`2d=#0mk1m6*7dc8b?!>ck3k0(5jk:`9'"?m:0j7)6j4;c8 =c22h1/4h85a:&;a2d=#0lk1m6*7ec8b?!>bk3k0(5kk:`9'<`c=i2.3ik4n;%:e4?g<,1l:6l5+8g09e>"?n:0j7)6i4;c8 =`22h1/4k85a:&;b2d=#0ok1m6*7fc8:?!>ak330(<>k6;;8 46c?330(<>m5;`;=>"68k<1==m6;%:e`?d?12B35<5U3c79g~be2mi1=:m5192952b=91;1=:k514c950?=9098hl4i02;`?6=3`>?:7>5;h5b3?6=3`;;5l4?::k70f<722c:<5k50;9j55?>2900e:o::188m0b>2900e8j7:188m0b02900e8j9:18'b`e==mn0bkkm:298m472?3:1(kkl:036f>hamk0;76g>14494?"amj0:=8l4ngga>4=97>5$gg`>472j2dmio4=;:k2502=83.min4>14`8jcce2:10e?o<:18'b`e=:k:0bkkm:198m7g5290/jhm52c28jcce2810e?o>:18'b`e=:k:0bkkm:398m7g7290/jhm52c28jcce2:10e?om:18'b`e=:k:0bkkm:598m7gf290/jhm52c28jcce2<10e?o6:18'b`e=:k:0bkkm:798m7g?290/jhm52c28jcce2>10e?o8:18'b`e=:k:0bkkm:998m7g1290/jhm52c28jcce2010e?o::18'b`e=:k:0bkkm:`98m7g32900e?7i:188m7?b2900e?jk:18'b`e=:lk0bkkm:198m7bd290/jhm52dc8jcce2810e?jm:18'b`e=:lk0bkkm:398m7bf290/jhm52dc8jcce2:10e?k::18'b`e=:lk0bkkm:598m7c3290/jhm52dc8jcce2<10e?k<:18'b`e=:lk0bkkm:798m7c5290/jhm52dc8jcce2>10e?k>:18'b`e=:lk0bkkm:998m7c7290/jhm52dc8jcce2010e?ji:18'b`e=:lk0bkkm:`98m7bb2900e?j6:188m7b?2900e86m:188m0>f2900e867:188m0>02900e869:188m0>22900e86;:188m0>42900e86=:188m0>62900e86?:188m01a2900e89k:188m01d2900e89m:188m01f2900e896:188m01?2900e898:188m0112900e89::188m0132900e87>:188m0?72900e86i:18'b`e==hk0bkkm:0:8?l3?m3:1(kkl:4cb?k`bj3;276g:8e83>!`bk3?jm6`iec82e>=n=1i1<7*ieb86ed=inlh1=o54i4::>5<#nli19lo4ngga>4e<3`?5$gg`>0gf3glnn7?k;:k636<72-lno7;na:leag<6m21b9:<50;&eaf<2ih1ejhl51g98m0c>2900e8k7:188m0c02900e8k9:18'b`e==ln0bkkm:298m471?3:1(kkl:035f>hamk0;76g>17494?"amj0:=;l4ngga>4=5$gg`>471j2dmio4=;:k2532=83.min4>17`8jcce2:10e8<8:188m0412900e8<;:188m0442900e8<=:188m0462900e8:188m0772900e8!`bk3??:6`iec82e>=n=;21<7*ieb8603=inlh1=o54i406>5<#nli19984ngga>4e<3`?:m7>5$gg`>0213glnn7?k;:k64c<72-lno7;;6:leag<6m21b9=k50;&eaf<2:188k3572900c;2900c;<7:188k3402900c;<9:188k3422900c;<;:188k3442900c;<=:188k3462900c;i1;00;6)hjc;462>hamk0:m65`62:94?"amj0=9;5afd`95g=6=4+fda92002dmio4>e:9l24c=83.min49579mb`d=9o10c;kl:188k3ce2900c;kn:188k3c>2900c;hl:188k3`e2900c;hn:188k3`>2900c;7l:188k3?e2900c;76:188k3??2900c;78:188k3?12900c;7::188k3?32900c;7<:188k3?52900c;7>:188k3?72900c;6j:188k3>c2900c;6l:188k3>e2900c;6n:188k3>>2900c;67:188k3>02900c;69:188k3>22900c;o=:188k3g62900c;o?:18'b`e=>kh0bkkm:0:8?j0>n3:1(kkl:7`a?k`bj3;276a99d83>!`bk3=h>0n1<7*ieb85fg=inlh1=o54o7;b>5<#nli1:ol4ngga>4e<3f<3j7>5$gg`>3de3glnn7?k;:m5<1<72-lno78mb:leag<6m21d:5=50;&eaf<1jk1ejhl51g98yg2e;;0:6om50;2x 474n3;;4;5+11f1>1d502d?hl46;o6gf??"0ik0:=>m4$6c`>474k2.:8=6*>0`0955`43-;9?:4>2248 44403;9?;5+8c09e>"?j:0j7)6m4;c8 =d22h1/4o85a:&;f2d=#0kh1m6*7bb8b?!>el3k0(5lj:`9'"?k=0j7)6l5;c8 =e12h1/4n95a:&;g=d=#0jh1m6*7cb8b?!>dl3k0(5mj:`9'"?l=0j7)6k5;c8 =b12h1/4i95a:&;`=d=#0mh1m6*7db8b?!>cl3k0(5jj:`9'"?m=0j7)6j5;c8 =c12h1/4h95a:&;a=d=#0lh1m6*7eb8b?!>bl3k0(5kj:`9'<``=i2.3j=4n;%:e5?g<,1l96l5+8g19e>"?n=0j7)6i5;c8 =`12h1/4k95a:&;b=d=#0oh156*7fb8:?!77l?027)??d68:?!77j<08>=5+11`5>46d12.3ji4m889K<<76nukb;f`>41d282;6<9k:0:2>41b28?j6<;6:07a>41a28?36p`>245961cd3`;;4i4?::k703<722cb2900e<>69;29?l1f=3:17d;k9;29?l3c03:17d;k7;29?l3c>3:1(kkl:4fg?k`bj3907d?>5683>!`bk3;:9o5afd`94>=n98?=6=4+fda9543e3glnn7?4;h3210<72-lno7?>5c9mb`d=:21b=<;;:18'b`e=98?i7chjb;18?l4f;3:1(kkl:3`3?k`bj3:07d07dn3:17d<6e;29?l4cl3:1(kkl:3gb?k`bj3:07d07d3:17d;75;29?l3?<3:17d;73;29?l3?:3:17d;71;29?l3?83:17d;8f;29?l30l3:17d;8c;29?l30j3:17d;8a;29?l3013:17d;88;29?l30?3:17d;86;29?l30=3:17d;84;29?l3>93:17d;60;29?l3?n3:1(kkl:4cb?k`bj3;376g:8d83>!`bk3?jm6`iec82=>=n=1n1<7*ieb86ed=inlh1=l54i4:`>5<#nli19lo4ngga>4d<3`?357>5$gg`>0gf3glnn7?l;:k63`<72-lno7;na:leag<6l21b9:=50;&eaf<2ih1ejhl51d98m015290/jhm55`c8jcce28l07d;j9;29?l3b03:17d;j7;29?l3b>3:1(kkl:4gg?k`bj3907d?>6683>!`bk3;::o5afd`94>=n98<=6=4+fda9540e3glnn7?4;h3220<72-lno7?>6c9mb`d=:21b=<8;:18'b`e=98f;29?l36m3:17d;>d;29?l36k3:17d;>b;29?l3613:17d;>8;29?l36?3:17d;>6;29?l36=3:17d;>4;29?l36;3:17d;>2;29?l3693:17d;>0;29?l35l3:17d;=c;29?l35j3:1(kkl:465?k`bj3;376g:2`83>!`bk3??:6`iec82=>=n=;31<7*ieb8603=inlh1=l54i40;>5<#nli19984ngga>4d<3`?997>5$gg`>0213glnn7?l;:k65d<72-lno7;;6:leag<6l21b9=h50;&eaf<23:17b8<4;29?j04;3:17b8<2;29?j0493:17b8<0;29?j05n3:17b8=e;29?j05l3:17b8=c;29?j05j3:17b8=9;29?j0503:17b8=7;29?j05>3:17b8=5;29?j05<3:17b8=3;29?j05:3:17b8=1;29?j0583:17b8!`bk3<>:6`iec82g>=h>;k1<7*ieb8513=inlh1=i54o73e>5<#nli1:884ngga>4c<3f<:i7>5$gg`>3313glnn7?i;:m5af<722e=io4?::m5ad<722e=i44?::m5bf<722e=jo4?::m5bd<722e=j44?::m5=f<722e=5o4?::m5=<<722e=554?::m5=2<722e=5;4?::m5=0<722e=594?::m5=6<722e=5?4?::m5=4<722e=5=4?::m5<`<722e=4i4?::m54?::a0g4d280io7>50z&256`=992=7)??d387f7>h1080;7c8j6;28 2ge28;8o6*8ab8256e<,8:j87:<1:&24d3=<:;0(<>n2;33b6=#9;9<6<<<6:&266>=9;9=7)6m2;c8 =d42h1/4o:5a:&;f0d=#0k21m6*7b88b?!>ej3k0(5ll:`9'"?k;0j7)6l3;c8 =e32h1/4n;5a:&;g3d=#0j31m6*7c`8b?!>dj3k0(5ml:`9'"?l;0j7)6k3;c8 =b32h1/4i;5a:&;`3d=#0m31m6*7d`8b?!>cj3k0(5jl:`9'"?m;0j7)6j3;c8 =c32h1/4h;5a:&;a3d=#0l31m6*7e`8b?!>bj3k0(5kl:`9'<`b=i2.3ih4n;%:fb?g<,1l;6l5+8g39e>"?n;0j7)6i3;c8 =`32h1/4k;5a:&;b3d=#0o31m6*7f`8b?!>aj330(5hl:89'55b1201/==j8:89'55d22:8;7)??b7824f?<,1lo6o66;I::5>\4j<0hwil5db823f<6090:;i4>80823`<6=h0:944>5c823c<6=10vb<<:7;07aa=n992o6=44i565>5<k<6=44i02:e?6=3`>?o7>5;h33<`<722c:<4750;9j3d3=831b9i750;9j1a>=831b9i950;9j1a0=83.min4:de9mb`d=;21b=<;8:18'b`e=98?i7chjb;28?l76=?0;6)hjc;321g=inlh1=65f1076>5<#nli1=<;m;odff?4<3`;:994?:%dfg?76=k1ejhl53:9j6d5=83.min4=b19mb`d=821b>l<50;&eaf<5j91ejhl51:9j6d7=83.min4=b19mb`d=:21b>l>50;&eaf<5j91ejhl53:9j6dd=83.min4=b19mb`d=<21b>lo50;&eaf<5j91ejhl55:9j6d?=83.min4=b19mb`d=>21b>l650;&eaf<5j91ejhl57:9j6d1=83.min4=b19mb`d=021b>l850;&eaf<5j91ejhl59:9j6d3=83.min4=b19mb`d=i21b>l:50;9j6<`=831b>4k50;9j6ab=83.min4=e`9mb`d=821b>im50;&eaf<5mh1ejhl51:9j6ad=83.min4=e`9mb`d=:21b>io50;&eaf<5mh1ejhl53:9j6`3=83.min4=e`9mb`d=<21b>h:50;&eaf<5mh1ejhl55:9j6`5=83.min4=e`9mb`d=>21b>h<50;&eaf<5mh1ejhl57:9j6`7=83.min4=e`9mb`d=021b>h>50;&eaf<5mh1ejhl59:9j6a`=83.min4=e`9mb`d=i21b>ik50;9j6a?=831b>i650;9j1=d=831b95o50;9j1=>=831b95950;9j1=0=831b95;50;9j1=2=831b95=50;9j1=4=831b95?50;9j1=6=831b9:h50;9j12b=831b9:m50;9j12d=831b9:o50;9j12?=831b9:650;9j121=831b9:850;9j123=831b9::50;9j1<7=831b94>50;9j1=`=83.min4:a`9mb`d=9110e86j:18'b`e==hk0bkkm:0;8?l3?l3:1(kkl:4cb?k`bj3;j76g:8b83>!`bk3?jm6`iec82f>=n=131<7*ieb86ed=inlh1=n54i45f>5<#nli19lo4ngga>4b<3`?5$gg`>0gf3glnn7?j;:k637<72-lno7;na:leag<6n21b9h750;9j1`>=831b9h950;9j1`0=83.min4:ee9mb`d=;21b=<88:18'b`e=98?0;6)hjc;322g=inlh1=65f1046>5<#nli1=<8m;odff?4<3`;::94?:%dfg?76>k1ejhl53:9j171=831b9?850;9j172=831b9?=50;9j174=831b9??50;9j176=831b950;9j17b=831b9?m50;9j17d=83.min4:479mb`d=9110e8!`bk3??:6`iec82f>=n=;?1<7*ieb8603=inlh1=n54i43b>5<#nli19984ngga>4b<3`?;j7>5$gg`>0213glnn7?j;:k64`<72-lno7;;6:leag<6n21d:>950;9l260=831d:>:50;9l265=831d:><50;9l267=831d:>>50;9l27`=831d:?k50;9l27b=831d:?m50;9l27d=831d:?750;9l27>=831d:?950;9l270=831d:?;50;9l272=831d:?=50;9l274=831d:??50;9l276=831d:>j50;9l26e=831d:>l50;&eaf<1=?1ejhl51998k35f290/jhm56448jcce28307b8<9;29 ccd2??=7chjb;3b?>i1;10;6)hjc;462>hamk0:n65`62794?"amj0=9;5afd`95f=2dmio4>f:9l2`e=831d:hl50;9l2`g=831d:h750;&eaf<1n91ejhl53:9l2ce=831d:kl50;9l2cg=831d:k750;9l250;9l2=c=831d:5j50;9l2=e=831d:5l50;9l2=g=831d:5750;9l2=>=831d:5950;9l2=0=831d:5;50;9l2d4=831d:l?50;9l2d6=831d:4h50;9l20e090g4?3g>om774n5fa><=i>1;1<6`9e783?!1fj3;:?n5+7`a9545d3-;;m94;309'55g22=9:7)??a3824c5<,888;7?=379'575?2888:6*7b38b?!>e;3k0(5l;:`9'"?jk0j7)6mc;c8 =dc2h1/4ok5a:&;fcd=#0j81m6*7c28b?!>d<3k0(5m::`9'"?kk0j7)6lc;c8 =ec2h1/4nk5a:&;gcd=#0m81m6*7d28b?!>c<3k0(5j::`9'"?lk0j7)6kc;c8 =bc2h1/4ik5a:&;`cd=#0l81m6*7e28b?!>b<3k0(5k::`9'<`0=i2.3i:4n;%:f"?mk0j7)6jc;c8 =cc2h1/4hk5a:&;acd=#0o81m6*7f28b?!>a<3k0(5h::`9'"?nk027)6ic;;8 46c>330(<>k7;;8 46e=399<6*>0c4955e>3-2mh7l79:J;=4=];k?1ovjm:ea952e=91:1=:j5193952c=95;h672?6=3`=j;7>5;h33=d<722c?8n4?::k24=c=831b==76:188m2g22900e8j6:188m0b?2900e8j8:188m0b1290/jhm55ef8jcce2:10en6`iec83?>o69<<1<7*ieb8250d290/jhm52c28jcce2?10e?o7:18'b`e=:k:0bkkm:698m7g0290/jhm52c28jcce2110e?o9:18'b`e=:k:0bkkm:898m7g2290/jhm52c28jcce2h10e?o;:188m7?a2900e?7j:188m7bc290/jhm52dc8jcce2910e?jl:18'b`e=:lk0bkkm:098m7be290/jhm52dc8jcce2;10e?jn:18'b`e=:lk0bkkm:298m7c2290/jhm52dc8jcce2=10e?k;:18'b`e=:lk0bkkm:498m7c4290/jhm52dc8jcce2?10e?k=:18'b`e=:lk0bkkm:698m7c6290/jhm52dc8jcce2110e?k?:18'b`e=:lk0bkkm:898m7ba290/jhm52dc8jcce2h10e?jj:188m7b>2900e?j7:188m0>e2900e86n:188m0>?2900e868:188m0>12900e86::188m0>32900e86<:188m0>52900e86>:188m0>72900e89i:188m01c2900e89l:188m01e2900e89n:188m01>2900e897:188m0102900e899:188m0122900e89;:188m0?62900e87?:188m0>a290/jhm55`c8jcce28207d;7e;29 ccd2o20m0;6)hjc;7be>hamk0:m65f59a94?"amj0>ml5afd`95g=;>4?:%dfg?3fi2dmio4>e:9j124=83.min4:a`9mb`d=9o10e8k6:188m0c?2900e8k8:188m0c1290/jhm55df8jcce2:10eo69?<1<7*ieb8253d:188m0472900e8?i:188m07b2900e8?k:188m07d2900e8?m:188m07>2900e8?7:188m0702900e8?9:188m0722900e8?;:188m0742900e8?=:188m0762900e8??:188m04c2900e8=7chjb;3:?>o2:00;6)hjc;772>hamk0:m65f53:94?"amj0>8;5afd`95g=6=4+fda91102dmio4>e:9j15c=83.min4:479mb`d=9o10c;=8:188k3512900c;=;:188k3542900c;==:188k3562900c;=?:188k34a2900c;:188k3472900c;=k:188k35d2900c;=m:18'b`e=><<0bkkm:0:8?j04i3:1(kkl:775?k`bj3;276a93883>!`bk3<>:6`iec82e>=h>:21<7*ieb8513=inlh1=o54o716>5<#nli1:884ngga>4e<3f<9m7>5$gg`>3313glnn7?k;:m55c<72-lno78:6:leag<6m21d:o:0bkkm:298k3`d2900c;hm:188k3`f2900c;h6:188k3?d2900c;7m:188k3?>2900c;77:188k3?02900c;79:188k3?22900c;7;:188k3?42900c;7=:188k3?62900c;7?:188k3>b2900c;6k:188k3>d2900c;6m:188k3>f2900c;66:188k3>?2900c;68:188k3>12900c;6::188k3g52900c;o>:188k3g72900c;7i:188k3?b2900c;7k:188k3?f2900c;6i:188k3>32900c;6<:188yg2e:o0:6om50;2x 474n3;;4;5+11f1>1d502d?hl46;o6gf??"0ik0:=>m4$6c`>474k2.:8=6*>0`0955`43-;9?:4>2248 44403;9?;5+8c09e>"?j:0j7)6m4;c8 =d22h1/4o85a:&;f2d=#0kh1m6*7bb8b?!>el3k0(5lj:`9'"?k=0j7)6l5;c8 =e12h1/4n95a:&;g=d=#0jh1m6*7cb8b?!>dl3k0(5mj:`9'"?l=0j7)6k5;c8 =b12h1/4i95a:&;`=d=#0mh1m6*7db8b?!>cl3k0(5jj:`9'"?m=0j7)6j5;c8 =c12h1/4h95a:&;a=d=#0lh1m6*7eb8b?!>bl3k0(5kj:`9'<``=i2.3j=4n;%:e5?g<,1l96l5+8g19e>"?n=0j7)6i5;c8 =`12h1/4k95a:&;b=d=#0oh156*7fb8:?!77l?027)??d68:?!77j<08>=5+11`5>46d12.3ji4m889K<<76nukb;f`>41d282;6<9k:0:2>41b28?j6<;6:07a>41a28?36p`>245961ca3`;;4i4?::k703<722cb2900e<>69;29?l1f=3:17d;k9;29?l3c03:17d;k7;29?l3c>3:1(kkl:4fg?k`bj3907d?>5683>!`bk3;:9o5afd`94>=n98?=6=4+fda9543e3glnn7?4;h3210<72-lno7?>5c9mb`d=:21b=<;;:18'b`e=98?i7chjb;18?l4f;3:1(kkl:3`3?k`bj3:07d07dn3:17d<6e;29?l4cl3:1(kkl:3gb?k`bj3:07d07d3:17d;75;29?l3?<3:17d;73;29?l3?:3:17d;71;29?l3?83:17d;8f;29?l30l3:17d;8c;29?l30j3:17d;8a;29?l3013:17d;88;29?l30?3:17d;86;29?l30=3:17d;84;29?l3>93:17d;60;29?l3?n3:1(kkl:4cb?k`bj3;376g:8d83>!`bk3?jm6`iec82=>=n=1n1<7*ieb86ed=inlh1=l54i4:`>5<#nli19lo4ngga>4d<3`?357>5$gg`>0gf3glnn7?l;:k63`<72-lno7;na:leag<6l21b9:=50;&eaf<2ih1ejhl51d98m015290/jhm55`c8jcce28l07d;j9;29?l3b03:17d;j7;29?l3b>3:1(kkl:4gg?k`bj3907d?>6683>!`bk3;::o5afd`94>=n98<=6=4+fda9540e3glnn7?4;h3220<72-lno7?>6c9mb`d=:21b=<8;:18'b`e=98f;29?l36m3:17d;>d;29?l36k3:17d;>b;29?l3613:17d;>8;29?l36?3:17d;>6;29?l36=3:17d;>4;29?l36;3:17d;>2;29?l3693:17d;>0;29?l35l3:17d;=c;29?l35j3:1(kkl:465?k`bj3;376g:2`83>!`bk3??:6`iec82=>=n=;31<7*ieb8603=inlh1=l54i40;>5<#nli19984ngga>4d<3`?997>5$gg`>0213glnn7?l;:k65d<72-lno7;;6:leag<6l21b9=h50;&eaf<23:17b8<4;29?j04;3:17b8<2;29?j0493:17b8<0;29?j05n3:17b8=e;29?j05l3:17b8=c;29?j05j3:17b8=9;29?j0503:17b8=7;29?j05>3:17b8=5;29?j05<3:17b8=3;29?j05:3:17b8=1;29?j0583:17b8i1;h0;6)hjc;462>hamk0:565`62;94?"amj0=9;5afd`95d=l4?:%dfg?02>2dmio4>d:9l24`=83.min49579mb`d=9l10c;?j:18'b`e=><<0bkkm:0d8?j0bk3:17b8jb;29?j0bi3:17b8j9;29 ccd2?l;7chjb;18?j0ak3:17b8ib;29?j0ai3:17b8i9;29?j0>k3:17b86b;29?j0>13:17b868;29?j0>?3:17b866;29?j0>=3:17b864;29?j0>;3:17b862;29?j0>93:17b860;29?j0?m3:17b87d;29?j0?k3:17b87b;29?j0?i3:17b879;29?j0?03:17b877;29?j0?>3:17b875;29?j0f:3:17b8n1;29?j0f83:17b86f;29?j0>m3:17b86d;29?j0>i3:17b87f;29?j0?<3:17b873;29?xd3jji1=78j:183!76;o0:<594$02g6?2ekk1e8io5139m0ad=9;1e:5?50:l5a3<73-=jn7?>3b9'3de=989h7)??8`824c?<,8:j87:<1:&24d3=<:;0(<>n2;33b6=#9;9<6<<<6:&266>=992i7)6m2;c8 =d42h1/4o:5a:&;f0d=#0kn1m6*7bd8b?!>en3k0(5m?:`9'"?k?0j7)6l7;c8 =e?2h1/4n75a:&;gdd=#0jn1m6*7cd8b?!>dn3k0(5j?:`9'"?l?0j7)6k7;c8 =b?2h1/4i75a:&;`d2=#99n=6:5+11f4>2=#0on1n574$02a1?5582B35<5U3c79g~b22m<1=>;51249564=9:;1=>=51269a`6b;29?l1f03:17d??8e83>>o0i?0;66g;4c83>>o309d94?=n99326=44i036b?6=,ooh6hamk0;76g>17g94?"amj0:=:?4ngga>4=5<#nli1>nm4ngga>4=5<#nli1>nm4ngga>6=5<#nli1>nm4ngga>0=6=4+fda96fe5<#nli1>nm4ngga>2=5<5<5<#nli19k=4ngga>5=5<#nli19no4ngga>5=5<#nli19no4ngga>7=54i4`4>5<#nli19no4ngga>1=5<#nli19no4ngga>3=5<#nli19no4ngga>==5<#nli19no4ngga>d=5<#nli19no4ngga>f=5<#nli19no4ngga>`=5<#nli19;84ngga>5=5<#nli19;84ngga>7=54i470>5<#nli19;84ngga>1=5<#nli19;84ngga>3=5<#nli19;84ngga>==5<#nli19;84ngga>d=5<#nli19;84ngga>f=5<#nli19;84ngga>`=5<#nli1?=84ngga>5=5<#nli1?=84ngga>7=54i222>5<#nli1?=84ngga>1=5<#nli1?=84ngga>3=5<#nli1?=84ngga>==5<5<5<#nli19h=4ngga>4=5<6=44o747>5<5<5<#nli1::84ngga>2=5<#nli1::84ngga><=5<#nli1::84ngga>g=5<#nli1::84ngga>a=5<#nli1::84ngga>c=5<:?6=44o620>5<5<5<5<5<5<5<5<5<8>44>:ca94?6|,8;8j7??879'55b52=8n86`;d`8:?k2cj330b;6>:19m2`0=82.12a8 2gd28;8o6*>0`69067<,8:j97:<1:&24d4=99l87)?=36824=d<,88847?=379'4n;%:a0?g<,1h>6l5+8c49e>"?j>0j7)6m8;c8 =d>2h1/4ol5a:&;ffd=#0kl1m6*7c18b?!>d93k0(5m=:`9'"?k10j7)6l9;c8 =ef2h1/4nl5a:&;gfd=#0jl1m6*7d18b?!>c93k0(5j=:`9'"?l10j7)6k9;c8 =bf2h1/4il5a:&;`fd=#0ml1m6*7e18b?!>b93k0(5k=:`9'<`5=i2.3i94n;%:f1?g<,1o=6l5+8d59e>"?m10j7)6j9;c8 =cf2h1/4hl5a:&;afd=#0ll1m6*7f18b?!>a93k0(5h=:`9'"?n10j7)6i9;c8 =`f2h1/4kl59:&;bf<>3-;;h;46;%33`2<>3-;;n84<219'55d128:h56*7fe8a<<=O00;0V>l::bygf?bd28=h6<6?:05g>4>628=n6<;n:07:>43e28=m6<;7:|l2601=:=l:7d??8e83>>o3>o680k1<75f45a94?=n992n6=44i02:=?6=3`=j97>5;h7g=?6=3`?o47>5;h7g3?6=3`?o:7>5$gg`>0bc3glnn7=4;h3212<72-lno7?>5c9mb`d=821b=<;9:18'b`e=98?i7chjb;38?l76=<0;6)hjc;321g=inlh1>65f1077>5<#nli1=<;m;odff?5<3`8j?7>5$gg`>7d73glnn7>4;h0b6?6=,ooh6?l?;odff?7<3`8j=7>5$gg`>7d73glnn7<4;h0b4?6=,ooh6?l?;odff?5<3`8jn7>5$gg`>7d73glnn7:4;h0be?6=,ooh6?l?;odff?3<3`8j57>5$gg`>7d73glnn784;h0b5$gg`>7d73glnn764;h0b2?6=,ooh6?l?;odff??<3`8j97>5$gg`>7d73glnn7o4;h0b0?6=3`82j7>5;h0:a?6=3`8oh7>5$gg`>7cf3glnn7>4;h0gg?6=,ooh6?kn;odff?7<3`8on7>5$gg`>7cf3glnn7<4;h0ge?6=,ooh6?kn;odff?5<3`8n97>5$gg`>7cf3glnn7:4;h0f0?6=,ooh6?kn;odff?3<3`8n?7>5$gg`>7cf3glnn784;h0f6?6=,ooh6?kn;odff?1<3`8n=7>5$gg`>7cf3glnn764;h0f4?6=,ooh6?kn;odff??<3`8oj7>5$gg`>7cf3glnn7o4;h0ga?6=3`8o57>5;h0g5;h7;e?6=3`?347>5;h7;3?6=3`?3:7>5;h7;1?6=3`?387>5;h7;7?6=3`?3>7>5;h7;5?6=3`?3<7>5;h74b?6=3`?5;h74g?6=3`?5;h74e?6=3`?<57>5;h745;h742?6=3`?<97>5;h740?6=3`?2=7>5;h7:4?6=3`?3j7>5;h7;a?6=3`?3h7>5;h7;g?6=3`?357>5;h74a?6=3`?5;h746?6=3`?n57>5;h7f5;h7f2?6=3`;:::4?:%dfg?76>k1ejhl50:9j5401290/jhm5104a?k`bj3;07d?>6483>!`bk3;::o5afd`96>=n985;h710?6=3`?9?7>5;h716?6=3`?9=7>5;h714?6=3`?:j7>5;h72a?6=3`?:h7>5;h72g?6=3`?:n7>5;h72=?6=3`?:47>5;h723?6=3`?::7>5;h721?6=3`?:87>5;h727?6=3`?:>7>5;h725?6=3`?:<7>5;h71`?6=3`?9o7>5;h71f?6=,ooh68:9;odff?7?32c>>l4?:%dfg?33>2dmio4>9:9j17?=83.min4:479mb`d=9h10e8<7:18'b`e===<0bkkm:0`8?l35=3:1(kkl:465?k`bj3;h76g:1`83>!`bk3??:6`iec82`>=n=9l1<7*ieb8603=inlh1=h54i42f>5<#nli19984ngga>4`<3f<8;7>5;n402?6=3f<887>5;n407?6=3f<8>7>5;n405?6=3f<8<7>5;n41b?6=3f<9i7>5;n41`?6=3f<9o7>5;n41f?6=3f<957>5;n415;n412?6=3f<997>5;n410?6=3f<9?7>5;n416?6=3f<9=7>5;n414?6=3f<8h7>5;n40g?6=3f<8n7>5;n40e?6=3f<857>5;n405;n41e?6=3f<:j7>5;n42a?6=3f5;n4ff?6=3f5;n4f=?6=3f5;n4ef?6=3f5;n4e=?6=3f<2o7>5;n4:f?6=3f<257>5;n4:5;n4:2?6=3f<297>5;n4:0?6=3f<2?7>5;n4:6?6=3f<2=7>5;n4:4?6=3f<3i7>5;n4;`?6=3f<3o7>5;n4;f?6=3f<3m7>5;n4;=?6=3f<347>5;n4;3?6=3f<3:7>5;n4;1?6=3f7>5;n4b5?6=3f5$gg`>3de3glnn7?7;:m5=c<72-lno78mb:leag<6121d:4k50;&eaf<1jk1ejhl51`98k3?c290/jhm56c`8jcce28h07b86a;29 ccd2?hi7chjb;3`?>i10o0;6)hjc;4af>hamk0:h65`69694?"amj0=no5afd`95`=t$030b??612.3594leg9'55b52=?9<6F7909m57302;>m>6g7a083>>o?i;0;66g7a283>>o?i=0;66g7a483>>o?i?0;66aj7e83>>{e=;:<6<48:183!76;o02=45+8869a6d<,8:o>7::219K<<7;7<;f29jh590;8 =?32l9m7)??d387176<@13:7c?=56810c25<5<6=44i9c5>5<0;6=u+101e><7>3-2287li6:&24a4=<<8;7E661:l2601=:=l>7d6n1;29?l>f:3:17d6n3;29?l>f<3:17d6n5;29?l>f>3:17bk8d;29?xd6mm;1=7750;2x 474n3;;h>5+11f1>4cc82.3nl49;I::5>h6:<=1>9h9;h6:a?6=3`>8j7>5;h605?6=3`8957>5;h01`?6=3`88;7>5;h075?6=3f;;;:4?::m242>=831vn12d955?13-;;j94<239'72a?2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?l7482><<729q/=<=i:02g7>"68m81=n9;;%:ae?0<@13:7c?=56810c>5<5<5<:6=44o0243?6=3f;;;54?::a5f1?28026=4?{%327c<68m90(<>k2;3`32=#0kk1:6F7909m57302;>m56g;9d83>>o3;o0;66g;3083>>o5:00;66g=2e83>>o5;>0;66g=4083>>i68>=1<75`115;>5<:783>5}#00>1;h>4$02g6?7d?>1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;07bd=n<0o1<75f42d94?=n<1n1<75f114f>5<>92d:>89525da?l2>m3:17d:>i68>21<75rb0g`=?7=>3:1mo6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3fg`<6200;6=u+101e>46c;2.:ei3<0D57>;o3112<5:188m74>2900e?:188k460?3:17b??7983>>{e9lim6<49:183!>><3=n<6*>0e095`ec3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>89525df?l2>m3:17d:>o3;80;66a>06594?=zj8ohn7?59;294~"69:l1==j<;%33`7<6mjk0(5ln:79K<<7;7<;fg9j0h50;9j067=831b>?750;9j67b=831b>>950;9j617=831d==98:188k46003:17pl>eba95?0=83:p(57;:6g3?!77l;0:ino4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<5=9:0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1d`e>4<>290;w)?>3g824a5<,8:o>7?jbd9'2B35<5a1374>73792c?5h4?::k77c<722c??<4?::k16<<722c9>i4?::k172<722c98<4?::m2421=831d==97:188yg7bk90:6;4?:1y'<<2=?l:0(<>k2;3ff`=#989m6<>66:&24c2=;;80(5ln:59K<<7;7<:039j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6mj?1=7750;2x 474n3;;h>5+11f1>4cd<2.3nl49;I::5>h6:<=1>8><;h6:a?6=3`>8j7>5;h605?6=3`8957>5;h01`?6=3`88;7>5;h075?6=3f;;;:4?::m242>=831vn12d955?13-;;j94<239'737<2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?jc382><<729q/=<=i:02g7>"68m81=hm>;%:ae?0<@13:7c?=56811535<5<5<:6=44o0243?6=3f;;;54?::a5`e4280=6=4?{%::0?1b82.:h6:<=1>8>9;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4cek3;157>50z&256`=99n87)??d382agd<,1hj6;5G8838j442?38><:5f48g94?=n<:l1<75f42394?=n:;31<75f23f94?=n::=1<75f25394?=h99=<6=44o024<=;%:ae?2<@13:7c?=568115>5<8=7>5;n3332<722wi=hl6:08:>5<7s-;:?k4>0e18 46c:3;nn55+8cc92>N?181e=?;8:373=>o31l0;66g;3g83>>o3;80;66g=2883>>o5:m0;66g=3683>>o5<80;66a>06594?=h99=36=44}c3ffd<62?0;6=u+88693`6<,8:o>7?jb99'545a28:2:6*>0g69774<,1hj695G8838j442?38>5<5;|`2ag6=9331<7>t$030b?77l:1/==j=:0gbb>"?jh0=7E661:l2601=:<:i7d:6e;29?l24n3:17d:<1;29?l4513:17d<=d;29?l44?3:17d<;1;29?j77?>0;66a>06:94?=zj8oi=7?56;294~"?1=04cfn2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:373g>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:883>5}#989m6<>k3:&24a4=9lh>7)6ma;48L=?63g;99:4=51f8m1?b2900e9=i:188m1562900e?<6:188m74c2900e?=8:188m7262900c<>87;29?j77?10;66sm1d`4>4<1290;w)664;5f4>"68m81=hl:;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:<:n7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0ga7?7=13:112d955b43-;;h?4>ec08 =df2?1C44?4n0063?428o1b84k50;9j06`=831b8>?50;9j67?=831b>?j50;9j661=831b>9?50;9l55102900c<>88;29?xd6mk>1=7850;2x =?32>o;7)??d382ag4<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=5028m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9lko6<46:183!76;o0:1:k7=`<722c??k4?::k774<722c9>44?::k16a<722c9?:4?::k104<722e:<:950;9l551?2900qo?jad82>3<729q/44:57d28 46c:3;nmn5+101e>46>>2.::188k460?3:17pl>e`c95??=83:p(245960743`>2i7>5;h60b?6=3`>8=7>5;h01=?6=3`89h7>5;h003?6=3`8?=7>5;n3332<722e:<:650;9~f4cfj3;1:7>50z&;=1<0m91/==j=:0gb=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6?;>4:k7=`<722c??k4?::k70e095`g73-2im784H9;2?k75=>099<;4i5;f>5<5<5<5<5;n333=<722wi=ho=:085>5<7s-22879j0:&24a4=9lk;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245960713`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vnh511f0?!77l;0:il84$9`b>3=O00;0b<<:7;0652=n<0o1<75f42d94?=n<:;1<75f23;94?=n:;n1<75f22594?=n:=;1<75`1154>5<5;|`2ad>=93<1<7>t$9;7>2c73-;;h?4>e`48 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>099<64i5;f>5<5<5;h605?6=3f;;;:4?::a5`g328026=4?{%327c<68m90(<>k2;3fe6=#0kk1:6F7909m57302;?:56g;9d83>>o3;o0;66g;3083>>o5:00;66g=2e83>>o5;>0;66g=4083>>i68>=1<75`115;>5<:783>5}#00>1;h>4$02g6?7bi:1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;065d=n<0o1<75f42d94?=n<1n1<75f114f>5<>92d:>895243a?l2>m3:17d:>i68>21<75rb0g:b?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3f=g<6200;6=u+101e>46c;2.:ei3<0D57>;o3112<5=8n0e97j:188m15a2900e9=>:188m74>2900e?:188k460?3:17b??7983>>{e9l3h6<49:183!>><3=n<6*>0e095`?f3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895243f?l2>m3:17d:>o3;80;66a>06594?=zj8o2>7?59;294~"69:l1==j<;%33`7<6m0;0(5ln:79K<<7;7<:1g9j0h50;9j067=831b>?750;9j67b=831b>>950;9j617=831d==98:188k46003:17pl>e8195?0=83:p(57;:6g3?!77l;0:i4?4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<5=;:0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1d;;>4<>290;w)?>3g824a5<,8:o>7?j969'2B35<5a1374>73592c?5h4?::k77c<722c??<4?::k16<<722c9>i4?::k172<722c98<4?::m2421=831d==97:188yg7b100:6;4?:1y'<<2=?l:0(<>k2;3f=2=#989m6<>66:&24c2=;;80(5ln:59K<<7;7<:239j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6m0?1=7750;2x 474n3;;h>5+11f1>4c><2.3nl49;I::5>h6:<=1>8<<;h6:a?6=3`>8j7>5;h605?6=3`8957>5;h01`?6=3`88;7>5;h075?6=3f;;;:4?::m242>=831vn12d955?13-;;j94<239'735<2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?j8882><<729q/=<=i:02g7>"68m81=h67;%:ae?0<@13:7c?=56811735<5<5<:6=44o0243?6=3f;;;54?::a5`>f280=6=4?{%::0?1b82.:h6:<=1>8<9;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4c?n3;157>50z&256`=99n87)??d382a=c<,1hj6;5G8838j442?38>>:5f48g94?=n<:l1<75f42394?=n:;31<75f23f94?=n::=1<75f25394?=h99=<6=44o02451;494?6|,13?6:k?;%33`7<6m1o0(<=;%:ae?2<@13:7c?=568117>5<8=7>5;n3332<722wi=h6l:08:>5<7s-;:?k4>0e18 46c:3;n4o5+8cc92>N?181e=?;8:371=>o31l0;66g;3g83>>o3;80;66g=2883>>o5:m0;66g=3683>>o5<80;66a>06594?=h99=36=44}c3f7?j8c9'545a28:2:6*>0g69774<,1hj695G8838j442?38>>l5f48g94?=n<:l1<75f49f94?=n995<5;|`2a=6=9331<7>t$030b?77l:1/==j=:0g4b>"?jh0=7E661:l2601=:<8i7d:6e;29?l24n3:17d:<1;29?l4513:17d<=d;29?l44?3:17d<;1;29?j77?>0;66a>06:94?=zj8o3=7?56;294~"?1=04c0n2.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:371g>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:883>5}#989m6<>k3:&24a4=9l2>7)6ma;48L=?63g;99:4=53f8m1?b2900e9=i:188m1562900e?<6:188m74c2900e?=8:188m7262900c<>87;29?j77?10;66sm1d:4>4<1290;w)664;5f4>"68m81=h6:;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:<8n7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0g;7?7=13:112d955b43-;;h?4>e908 =df2?1C44?4n0063?42:o1b84k50;9j06`=831b8>?50;9j67?=831b>?j50;9j661=831b>9?50;9l55102900c<>88;29?xd6m1>1=7850;2x =?32>o;7)??d382a=4<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=5228m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9l=o6<46:183!76;o0:44?::k16a<722c9?:4?::k104<722e:<:950;9l551?2900qo?j7d82>3<729q/44:57d28 46c:3;n;n5+101e>46>>2.::188k460?3:17pl>e6c95??=83:p(245960543`>2i7>5;h60b?6=3`>8=7>5;h01=?6=3`89h7>5;h003?6=3`8?=7>5;n3332<722e:<:650;9~f4c0j3;1:7>50z&;=1<0m91/==j=:0g4=>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6?;<4:k7=`<722c??k4?::k70e095`173-2im784H9;2?k75=>099>;4i5;f>5<5<5<5<5;n333=<722wi=h9=:085>5<7s-22879j0:&24a4=9l=;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245960513`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vnh511f0?!77l;0:i:84$9`b>3=O00;0b<<:7;0672=n<0o1<75f42d94?=n<:;1<75f23;94?=n:;n1<75f22594?=n:=;1<75`1154>5<5;|`2a2>=93<1<7>t$9;7>2c73-;;h?4>e648 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>099>64i5;f>5<5<5;h605?6=3f;;;:4?::a5`1328026=4?{%327c<68m90(<>k2;3f36=#0kk1:6F7909m57302;?856g;9d83>>o3;o0;66g;3083>>o5:00;66g=2e83>>o5;>0;66g=4083>>i68>=1<75`115;>5<:783>5}#00>1;h>4$02g6?7b?:1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;067d=n<0o1<75f42d94?=n<1n1<75f114f>5<>92d:>895241a?l2>m3:17d:>i68>21<75rb0g5b?7=>3:1>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c3f2g<6200;6=u+101e>46c;2.:ei3<0D57>;o3112<5=:n0e97j:188m15a2900e9=>:188m74>2900e?:188k460?3:17b??7983>>{e9l><3=n<6*>0e095`0f3-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895241f?l2>m3:17d:>o3;80;66a>06594?=zj8o=>7?59;294~"69:l1==j<;%33`7<6m?;0(5ln:79K<<7;7<:3g9j0h50;9j067=831b>?750;9j67b=831b>>950;9j617=831d==98:188k46003:17pl>e7195?0=83:p(57;:6g3?!77l;0:i;?4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<5==:0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm1d4;>4<>290;w)?>3g824a5<,8:o>7?j669'2B35<5a1374>73392c?5h4?::k77c<722c??<4?::k16<<722c9>i4?::k172<722c98<4?::m2421=831d==97:188yg7b>00:6;4?:1y'<<2=?l:0(<>k2;3f22=#989m6<>66:&24c2=;;80(5ln:59K<<7;7<:439j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd6m??1=7750;2x 474n3;;h>5+11f1>4c1<2.3nl49;I::5>h6:<=1>8:<;h6:a?6=3`>8j7>5;h605?6=3`8957>5;h01`?6=3`88;7>5;h075?6=3f;;;:4?::m242>=831vn12d955?13-;;j94<239'733<2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo?j5g82><<729q/=<=i:02g7>"68m81=h;j;%:ae?0<@13:7c?=56811135<5<5<:6=44o0243?6=3f;;;54?::a5`07280=6=4?{%::0?1b82.:h6:<=1>8:9;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f4c2k3;157>50z&256`=99n87)??d382a0d<,1hj6;5G8838j442?38>8:5f48g94?=n<:l1<75f42394?=n:;31<75f23f94?=n::=1<75f25394?=h99=<6=44o024<=;%:ae?2<@13:7c?=568111>5<8=7>5;n3332<722wi=h;<:08:>5<7s-;:?k4>0e18 46c:3;n9?5+8cc92>N?181e=?;8:377=>o31l0;66g;3g83>>o3;80;66g=2883>>o5:m0;66g=3683>>o5<80;66a>06594?=h99=36=44}c3f11<62?0;6=u+88693`6<,8:o>7?j539'545a28:2:6*>0g69774<,1hj695G8838j442?38>8l5f48g94?=n<:l1<75f49f94?=n995<5;|`2a0?=9331<7>t$030b?77l:1/==j=:0g6<>"?jh0=7E661:l2601=:<>i7d:6e;29?l24n3:17d:<1;29?l4513:17d<=d;29?l44?3:17d<;1;29?j77?>0;66a>06:94?=zj8o>m7?56;294~"?1=04c202.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:377g>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:883>5}#989m6<>k3:&24a4=9l?>7)6ma;48L=?63g;99:4=55f8m1?b2900e9=i:188m1562900e?<6:188m74c2900e?=8:188m7262900c<>87;29?j77?10;66sm1d74>4<1290;w)664;5f4>"68m81=h;:;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:<>n7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb0g7e?7=13:112d955b43-;;h?4>e5;8 =df2?1C44?4n0063?42?50;9j67?=831b>?j50;9j661=831b>9?50;9l55102900c<>88;29?xd6m=h1=7850;2x =?32>o;7)??d382a1?<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=5428m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e9l?;6<46:183!76;o0:44?::k16a<722c9?:4?::k104<722e:<:950;9l551?2900qo?j5082>3<729q/44:57d28 46c:3;n8k5+101e>46>>2.::188k460?3:17pl>e5f95??=83:p(245960343`>2i7>5;h60b?6=3`>8=7>5;h01=?6=3`89h7>5;h003?6=3`8?=7>5;n3332<722e:<:650;9~f4c3m3;1:7>50z&;=1<0m91/==j=:0g7g>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6?;:4:k7=`<722c??k4?::k70:644?:1y'545a28:o?6*>0e0915173-2im784H9;2?k75=>0998;4i5;f>5<5<5<5<5;n333=<722wi9=hn:085>5<7s-22879j0:&24a4==9=;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245960313`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vnh511f0?!77l;0:i984$9`b>3=O00;0b<<:7;0612=n<0o1<75f42d94?=n<:;1<75f23;94?=n:;n1<75f22594?=n:=;1<75`1154>5<5;|`2a1>=93<1<7>t$9;7>2c73-;;h?4>e548 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>099864i5;f>5<5<5;h605?6=3f;;;:4?::a5`2328026=4?{%327c<68m90(<>k2;3f06=#0kk1:6F7909m57302;?>56g;9d83>>o3;o0;66g;3083>>o5:00;66g=2e83>>o5;>0;66g=4083>>i68>=1<75`115;>5<:783>5}#00>1;h>4$02g6?7b<:1/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;061d=n<0o1<75f42d94?=n<1n1<75f114f>5<=4651;;94?6|,8;8j7??d29'55b52<:<<6*7b`85?M>>92d:>895247a?l2>m3:17d:>i68>21<75rb42ef?7=>3:180:&256`=993=7)??f58067=#0kk186F7909m57302;?>o6g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c72e5<6200;6=u+101e>46c;2.:ei3<0D57>;o3112<5=:188m74>2900e?:188k460?3:17b??7983>>{e=8:86<49:183!>><3=n<6*>0e0915173-;:?k4>0848 46a<399>6*7b`87?M>>92d:>895247f?l2>m3:17d:>o3;80;66a>06594?=zj<;j?7?59;294~"69:l1==j<;%33`7<28>:0(5ln:79K<<7;7<:5g9j0h50;9j067=831b>?750;9j67b=831b>>950;9j617=831d==98:188k46003:17pl:11495?0=83:p(57;:6g3?!77l;0><:>4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<5=?:0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm50c2>4<>290;w)?>3g824a5<,8:o>7;?719'2B35<5a1374>73192c?5h4?::k77c<722c??<4?::k16<<722c9>i4?::k172<722c98<4?::m2421=831d==97:188yg368=0:6;4?:1y'<<2=?l:0(<>k2;7335=#989m6<>66:&24c2=;;80(5ln:59K<<7;7<:639j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd29h81=7750;2x 474n3;;h>5+11f1>06082.3nl49;I::5>h6:<=1>88<;h6:a?6=3`>8j7>5;h605?6=3`8957>5;h01`?6=3`88;7>5;h075?6=3f;;;:4?::m242>=831vn8??5;392?6=8r.35948e19'55b52<:<<6*>12d955?13-;;j94<239'731<2c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo;>a582><<729q/=<=i:02g7>"68m819=9?;%:ae?0<@13:7c?=56811335<5<5<:6=44o0243?6=3f;;;54?::a1460280=6=4?{%::0?1b82.:h6:<=1>889;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f07f=3;157>50z&256`=99n87)??d386426<,1hj6;5G8838j442?38>::5f48g94?=n<:l1<75f42394?=n:;31<75f23f94?=n::=1<75f25394?=h99=<6=44o024==651;494?6|,13?6:k?;%33`7<28>:0(<=;%:ae?2<@13:7c?=568113>5<8=7>5;n3332<722wi9<76:08:>5<7s-;:?k4>0e18 46c:3?;;=5+8cc92>N?181e=?;8:375=>o31l0;66g;3g83>>o3;80;66g=2883>>o5:m0;66g=3683>>o5<80;66a>06594?=h99=36=44}c73bf<62?0;6=u+88693`6<,8:o>7;?719'545a28:2:6*>0g69774<,1hj695G8838j442?38>:l5f48g94?=n<:l1<75f49f94?=n995<5;|`65d0=9331<7>t$030b?77l:1/==j=:4244>"?jh0=7E661:l2601=:<0;66a>06:94?=zj<;;57?56;294~"?1=006082.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:375g>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:883>5}#989m6<>k3:&24a4==9=;7)6ma;48L=?63g;99:4=57f8m1?b2900e9=i:188m1562900e?<6:188m74c2900e?=8:188m7262900c<>87;29?j77?10;66sm502b>4<1290;w)664;5f4>"68m819=9?;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:<l0;66g;3083>>i68>=1<75rb43:e?7=13:112d955b43-;;h?4:0628 =df2?1C44?4n0063?42>o1b84k50;9j06`=831b8>?50;9j67?=831b>?j50;9j661=831b>9?50;9l55102900c<>88;29?xd28on1=7850;2x =?32>o;7)??d386426<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=5628m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e=83i6<46:183!76;o0:44?::k16a<722c9?:4?::k104<722e:<:950;9l551?2900qo;?fd82>3<729q/44:57d28 46c:3?;;=5+101e>46>>2.::188k460?3:17pl:18g95??=83:p(80:&;fd<13A22=6`>245960143`>2i7>5;h60b?6=3`>8=7>5;h01=?6=3`89h7>5;h003?6=3`8?=7>5;n3332<722e:<:650;9~f07793;1:7>50z&;=1<0m91/==j=:4244>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6?;84:k7=`<722c??k4?::k70e0915173-2im784H9;2?k75=>099:;4i5;f>5<5<5<5<5;n333=<722wi9=hi:085>5<7s-22879j0:&24a4==9=;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245960113`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8?6d;39=?6=8r.:=>h511f0?!77l;0><:>4$9`b>3=O00;0b<<:7;0632=n<0o1<75f42d94?=n<:;1<75f23;94?=n:;n1<75f22594?=n:=;1<75`1154>5<5;|`6556=93<1<7>t$9;7>2c73-;;h?4:0628 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>099:64i5;f>5<5<5;h605?6=3f;;;:4?::a14g>28026=4?{%327c<68m90(<>k2;7335=#0kk1:6F7909m57302;?<56g;9d83>>o3;o0;66g;3083>>o5:00;66g=2e83>>o5;>0;66g=4083>>i68>=1<75`115;>5<:783>5}#00>1;h>4$02g6?37?91/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;063d=n<0o1<75f42d94?=n<1n1<75f114f>5<=4h51;;94?6|,8;8j7??d29'55b52<:<<6*7b`85?M>>92d:>895245a?l2>m3:17d:>i68>21<75rb43b12d955b43-;;h?4:0628 =df2?1C44?4n0063?42?j1b84k50;9j06`=831b8>?50;9j67?=831b>?j50;9j661=831b>9?50;9l55102900c<>88;29?xd299h1=7850;2x =?32>o;7)??d386426<,8;8j7??979'55`32:897)6ma;68L=?63g;99:4=56f8m1?b2900e9=i:188m1>c2900e<>9e;29?l2493:17b??7683>>{e=8h86<46:183!76;o0:44?::k16a<722c9?:4?::k104<722e:<:950;9l551?2900qo;>1782>3<729q/44:57d28 46c:3?;;=5+101e>46>>2.::188k460?3:17pl:1c395??=83:p(80:&;fd<13A22=6`>245960>73`>2i7>5;h60b?6=3`>8=7>5;h01=?6=3`89h7>5;h003?6=3`8?=7>5;n3332<722e:<:650;9~f076<3;1:7>50z&;=1<0m91/==j=:4244>"69:l1==79;%33b1<4:;1/4oo54:J;=4=i9;?<6?;71:k7=`<722c??k4?::k70e0915173-2im784H9;2?k75=>0995<4i5;f>5<5<5<5<5;n333=<722wi95<7s-22879j0:&24a4==9=;7)?>3g824<0<,8:m87==2:&;fd<33A22=6`>245960>43`>2i7>5;h60b?6=3`>3h7>5;h332`<722c??<4?::m2421=831vn8?m4;39=?6=8r.:=>h511f0?!77l;0><:>4$9`b>3=O00;0b<<:7;06<1=n<0o1<75f42d94?=n<:;1<75f23;94?=n:;n1<75f22594?=n:=;1<75`1154>5<5;|`6541=93<1<7>t$9;7>2c73-;;h?4:0628 474n3;;5;5+11d7>6453-2im7:4H9;2?k75=>0995;4i5;f>5<5<5;h605?6=3f;;;:4?::a14d228026=4?{%327c<68m90(<>k2;7335=#0kk1:6F7909m57302;?3:6g;9d83>>o3;o0;66g;3083>>o5:00;66g=2e83>>o5;>0;66g=4083>>i68>=1<75`115;>5<:783>5}#00>1;h>4$02g6?37?91/=<=i:02:2>"68o>1??<4$9`b>1=O00;0b<<:7;06<2=n<0o1<75f42d94?=n<1n1<75f114f>5<=o651;;94?6|,8;8j7??d29'55b52<:<<6*7b`85?M>>92d:>89524:;?l2>m3:17d:>i68>21<75rb432f?7=>3:180:&256`=993=7)??f58067=#0kk186F7909m57302;?356g;9d83>>o3;o0;66g;8e83>>o68?o1<75f42394?=h99=<6=44}c72f3<6200;6=u+101e>46c;2.:ei3<0D57>;o3112<5=1k0e97j:188m15a2900e9=>:188m74>2900e?:188k460?3:17b??7983>>{e=8;26<49:183!>><3=n<6*>0e0915173-;:?k4>0848 46a<399>6*7b`87?M>>92d:>89524:a?l2>m3:17d:>o3;80;66a>06594?=zj<;i;7?59;294~"69:l1==j<;%33`7<28>:0(5ln:79K<<7;7<:8b9j0h50;9j067=831b>?750;9j67b=831b>>950;9j617=831d==98:188k46003:17pl:10c95?0=83:p(57;:6g3?!77l;0><:>4$030b?771?1/==h;:201?!>ei3>0D57>;o3112<5=1n0e97j:188m15a2900e96k:188m461m3:17d:<1;29?j77?>0;66sm50cb>4<>290;w)?>3g824a5<,8:o>7;?719'2B35<5a1374>73?m2c?5h4?::k77c<722c??<4?::k16<<722c9>i4?::k172<722c98<4?::m2421=831d==97:188yg368m0:6;4?:1y'<<2=?l:0(<>k2;7335=#989m6<>66:&24c2=;;80(5ln:59K<<7;7<:8g9j0h50;9j0=b=831b==8j:188m1562900c<>87;29?xd29hh1=7750;2x 474n3;;h>5+11f1>06082.3nl49;I::5>h6:<=1>87?;h6:a?6=3`>8j7>5;h605?6=3`8957>5;h01`?6=3`88;7>5;h075?6=3f;;;:4?::m242>=831vn8??e;392?6=8r.35948e19'55b52<:<<6*>12d955?13-;;j94<239'73>92c?5h4?::k77c<722c?4i4?::k243c=831b8>?50;9l55102900qo;>ad82><<729q/=<=i:02g7>"68m819=9?;%:ae?0<@13:7c?=56811<45<5<5<:6=44o0243?6=3f;;;54?::a1476280=6=4?{%::0?1b82.:h6:<=1>87<;h6:a?6=3`>8j7>5;h6;`?6=3`;;:h4?::k774<722e:<:950;9~f07fk3;157>50z&256`=99n87)??d386426<,1hj6;5G8838j442?38>595f48g94?=n<:l1<75f42394?=n:;31<75f23f94?=n::=1<75f25394?=h99=<6=44o024==h51;494?6|,13?6:k?;%33`7<28>:0(<=;%:ae?2<@13:7c?=56811<35<8=7>5;n3332<722wi95<7s-;:?k4>0e18 46c:3?;;=5+8cc92>N?181e=?;8:37:2>o31l0;66g;3g83>>o3;80;66g=2883>>o5:m0;66g=3683>>o5<80;66a>06594?=h99=36=44}c7255<62?0;6=u+88693`6<,8:o>7;?719'545a28:2:6*>0g69774<,1hj695G8838j442?38>5:5f48g94?=n<:l1<75f49f94?=n995<5;|`65d`=9331<7>t$030b?77l:1/==j=:4244>"?jh0=7E661:l2601=:<337d:6e;29?l24n3:17d:<1;29?l4513:17d<=d;29?l44?3:17d<;1;29?j77?>0;66a>06:94?=zj<;:>7?56;294~"?1=006082.:=>h511;5?!77n=08>?5+8cc90>N?181e=?;8:37:=>o31l0;66g;3g83>>o30m0;66g>07g94?=n<:;1<75`1154>5<:883>5}#989m6<>k3:&24a4==9=;7)6ma;48L=?63g;99:4=58c8m1?b2900e9=i:188m1562900e?<6:188m74c2900e?=8:188m7262900c<>87;29?j77?10;66sm5030>4<1290;w)664;5f4>"68m819=9?;%327c<680<0(<>i4;116>"?jh0?7E661:l2601=:<3i7d:6e;29?l24n3:17d:7d;29?l77>l0;66g;3083>>i68>=1<75rb51e>4<6290;w)?>3g8:4a=#19h15?>4$82`>=ge3-3:97kjc:J;=4=i9;?<6?;6c:m24a7=831vnh53`g8 <6e208;7)7>5;g`<>N?181e=?;8:37:`>-a:;0;66sm105a>4<6290;w)?>3g80e`=#19h15?>4$836>`ee3A22=6`>245960?b3"l9>7>5;|`252e=93;1<7>t$030b?5fm2.2;o3112<5=0l0'k<=:188yg76?m0:6<4?:1y'545a2:kn7)7?b;;14>">9<0nok5G8838j442?38>m=5$f3094?=zj8;<473-3:97kk3:J;=4=i9;?<6?;n1:)e67<722wi=<9i:082>5<7s-;:?k4>92d:>89524c1?.`5:3:17pl>19295?7=83:p(">8k02>=5+9079aa><@13:7c?=56811d5<#o896=44}c32<4<6280;6=u+101e>6gb3-3;n77=0:&:5050z&256`=;ho0(4>m:803?!?6=3ooj6F7909m57302;?j96%i2383>>{e98286<4>:183!76;o08mh5+91`9=76<,0;>6hk=;I::5>h6:<=1>8o9;*d16?6=3th:=::51;394?6|,8;8j7=ne:&:4g<>:91/5<;5ed68L=?63g;99:4=5`58/c452900qo?>7482>4<729q/=<=i:2cf?!?7j339<6*6148fa3=O00;0b<<:7;06e==,n;81<75rb0342?7=93:112d97dc<,0:i6473f12!m>?4?::a5410280:6=4?{%327c<4il1/5=l59328 <722loi7E661:l2601=:21=7?50;2x 474n39ji6*60c8:65=#18?1ihh4H9;2?k75=>099ll4+g01>5<:083>5}#989m6>oj;%;3f??582.2=84j859K<<7;7<:ab9(b74=831vnh53`g8 <6e208;7)7>5;ge4>N?181e=?;8:37b`>-a:;0;66sm10``>4<6290;w)?>3g80e`=#19h15?>4$836>`cb3A22=6`>245960gb3"l9>7>5;|`25gb=93;1<7>t$030b?5fm2.2;o3112<5=hl0'k<=:188yg76jl0:6<4?:1y'545a2:kn7)7?b;;14>">9<0ni:5G8838j442?38>n=5$f3094?=zj8;ij7?51;294~"69:l1?lk4$82a><473-3:97kj5:J;=4=i9;?<6?;m1:)e67<722wi=5<7s-;:?k4>92d:>89524`1?.`5:3:17pl>1b395?7=83:p(">8k02>=5+9079a`7<@13:7c?=56811g5<#o896=44}c32g7<6280;6=u+101e>6gb3-3;n77=0:&:5050z&256`=;ho0(4>m:803?!?6=3ooo6F7909m57302;?i96%i2383>>{e98i?6<4>:183!76;o08mh5+91`9=76<,0;>6hkk;I::5>h6:<=1>8l9;*d16?6=3th:=o;51;394?6|,8;8j7=ne:&:4g<>:91/5<;5ee68L=?63g;99:4=5c58/c452900qo?>b782>4<729q/=<=i:2cf?!?7j339<6*6148f`5=O00;0b<<:7;06f==,n;81<75rb03a3?7=93:112d97dc<,0:i6473e12!m>?4?::a54d?280:6=4?{%327c<4il1/5=l59328 <722lih7E661:l2601=:099ol4+g01>5<:083>5}#989m6>oj;%;3f??582.2=84jc69K<<7;7<:bb9(b74=831vnh53`g8 <6e208;7)7>5;g;=>N?181e=?;8:37a`>-a:;0;66sm10dg>4<6290;w)?>3g80e`=#19h15?>4$836>`>f3A22=6`>245960db3"l9>7>5;|`25cc=93;1<7>t$030b?5fm2.2;o3112<5=kl0'k<=:188yg76no0:6<4?:1y'545a2:kn7)7?b;;14>">9<0n4n5G8838j442?38>o=5$f3094?=zj88;<7?51;294~"69:l1?lk4$82a><473-3:97k7d:J;=4=i9;?<6?;l1:)e67<722wi=?>>:082>5<7s-;:?k4>92d:>89524a1?.`5:3:17pl>21095?7=83:p(">8k02>=5+9079a<6<@13:7c?=56811f5<#o896=44}c3146<6280;6=u+101e>6gb3-3;n77=0:&:5050z&256`=;ho0(4>m:803?!?6=3o2>6F7909m57302;?h96%i2383>>{e9;:>6<4>:183!76;o08mh5+91`9=76<,0;>6h7<;I::5>h6:<=1>8m9;*d16?6=3th:=k851;394?6|,8;8j7=ne:&:4g<>:91/5<;5e868L=?63g;99:4=5b58/c452900qo?>f682>4<729q/=<=i:2cf?!?7j339<6*6148f=0=O00;0b<<:7;06g==,n;81<75rb03e12d97dc<,0:i64?2B35<5a1374>73d12!m>?4?::a54`>280:6=4?{%327c<4il1/5=l59328 <722l337E661:l2601=:099nl4+g01>5<:083>5}#989m6>oj;%;3f??582.2=84j9`9K<<7;7<:cb9(b74=831vn:=k:082>5<7s-;:?k4mf;73?k2b;3:0(4?::d`6?M>>92d:>89524ag?.`5:3:17pl83c82>4<729q/=<=i:2cf?!?7j339<6`>0cd915=i4<6290;w)?>3g80e`=#19h15?>4n02ab?373g>n?7>4$836>`d63A22=6`>245960ea3"l9>7>5;|`47<<6280;6=u+101e>6gb3-3;n77=0:l24g`==91e8h=50:&:500:6<4?:1y'545a2:kn7)7?b;;14>h68kl19=5a4d194>">9<0nmi5G8838j442?38>h?5$f3094?=zj>>=6<4>:183!76;o08mh5+91`9=766hol;I::5>h6:<=1>8j<;*d16?6=3th<884>:083>5}#989m6>oj;%;3f??582d:;7<:d59(b74=831vn::;:082>5<7s-;:?k4mf;73?k2b;3:0(4?::dg3?M>>92d:>89524f6?.`5:3:17pl84282>4<729q/=<=i:2cf?!?7j339<6`>0cd915=i4<6290;w)?>3g80e`=#19h15?>4n02ab?373g>n?7>4$836>`g33A22=6`>245960b03"l9>7>5;|`404<6280;6=u+101e>6gb3-3;n77=0:l24g`==91e8h=50:&:50h68kl19=5a4d194>">9<0n4:5G8838j442?38>hl5$f3094?=zj>9n6<4>:183!76;o08mh5+91`9=766h6<;I::5>h6:<=1>8jm;*d16?6=3th:083>5}#989m6>oj;%;3f??582d:;7<:db9(b74=831vn<>le;395?6=8r.:=>h5e758 <6e208;7c973;:8j46en3?;7)7?c;g4`>">9<0nh85G8838j442?38>hi5f11a3>5<:083>5}#989m6h88;%;3f??582d<4>47;o33fc<282.2;o3112<5=mo0e<>l0;29?xd69:o1=7?50;2x 474n3o=;6*60c8:65=i?19146`>0cd915=#19i1i:j4$836>`>13A22=6`>245960ba3`;;o=4?::a55ef280:6=4?{%327c>1/5=l59328j2>4211e==li:428 <6d2l=o7)7>5;ga`>N?181e=?;8:37f4>o68j:1<75rb82e>4<6290;w)?>3g8f22=#19h15?>4n6:0>==i99hm6<:4$82`>`1c3-3:97k78:J;=4=i9;?<6?;j1:k24f6=831vn<>lb;395?6=8r.:=>h591f8 <6e208;7c973;:8 <6d21ki7)7>5;gg2>N?181e=?;8:37f6>i68m;1<75rb02b2?7=93:112d9=5b<,0:i64<,0:h65om;%;21?c?=2B35<5a1374>73b;2e:>1/5=l59328j46en3?;7c:j3;28 <6d2l=o7)7>5;ga=>N?181e=?;8:37f0>o68j:1<75rb633>4<6290;w)?>3g8f22=#19h15?>4n02ab?373g>n?7>4$82`>`1c3-3:97kma:J;=4=i9;?<6?;j5:k24f6=831vn:>i:082>5<7s-;:?k4j669'=5d=1;:0b<>mf;73?k2b;3:0(4>l:d5g?!?6=3oj<6F7909m57302;?n:6g>0b294?=zj>;i6<4>:183!76;o0n::5+91`9=7673b?2c:50;9~f27f280:6=4?{%327c>1/5=l59328j46en3?;7c:j3;28 <6d2l=o7)7>5;g`5>N?181e=?;8:37f<>o68j:1<75rb63:>4<6290;w)?>3g8f22=#19h15?>4n02ab?373g>n?7>4$82`>`1c3-3:97kl3:J;=4=i9;?<6?;j9:k24f6=831vn:?7:082>5<7s-;:?k4j669'=5d=1;:0b<>mf;73?k2b;3:0(4>l:d5g?!?6=3oh86F7909m57302;?nm6g>0b294?=zj>;<6<4>:183!76;o0n::5+91`9=7673bj2c:50;9~f271280:6=4?{%327c>1/5=l59328j46en3?;7c:j3;28 <6d2l=o7)7>5;g`2>N?181e=?;8:37fg>o68j:1<75rb636>4<6290;w)?>3g8f22=#19h15?>4n02ab?373g>n?7>4$82`>`1c3-3:97k6b:J;=4=i9;?<6?;jd:k24f6=831vn:?;:082>5<7s-;:?k4j669'=5d=1;:0b<>mf;73?k2b;3:0(4>l:d5g?!?6=3o2o6F7909m57302;?ni6g>0b294?=zj>;86<4>:183!76;o0n::5+91`9=76l2B35<5a1374>73bn2c:50;9~f26b280:6=4?{%327c>1/5=l59328j46en3?;7c:j3;28 <6d2l=o7)7>5;g:b>N?181e=?;8:37e4>o68j:1<75rb60a>4<6290;w)?>3g8f22=#19h15?>4n02ab?373-3;o7k8d:&:5050z&256`=m?=0(4>m:803?k77jo0><6*60b8f3a=#18?1il<4H9;2?k75=>099k<4i02`4?6=3th<844>:083>5}#989m6h88;%;3f??582d:n0(4?::dc5?M>>92d:>89524d0?l77k90;66sm75595?7=83:p(">8k02>=5a11`e>06<,0:h6h9k;%;21?cci2B35<5a1374>73a<2c:50;9~f24b280:6=4?{%327c>1/5=l59328j46en3?;7)7?c;g4`>">9<0no45G8838j442?38>j85f11a3>5<<473g;;nk4:0:&:4f4<729q/=<=i:d44?!?7j339<6`>0cd915=#19i1i:j4$836>`d03A22=6`>245960`03`;;o=4?::a362=93;1<7>t$030b?c1?2.2l:d5g?!?6=3o3>6F7909m57302;?m46g>0b294?=zj>=h6<4>:183!76;o0n::5+91`9=76;7<:f89j55e72900qo98e;395?6=8r.:=>h5e758 <6e208;7c??bg864>">8j0n;i5+9079aa7<@13:7c?=56811cg5;|`24fb=93;1<7>t$030b?77??1/==j=:0g0f>N?181e=?;8:37ef>ie0k0;66sm1d1g>4<3280?w)?>3g8;=a=O98927W?>398246f13we=?;8:37eg>o0;80:66g81g82>>o4n8l1=75`72195?=e;j3h6=47:183!76;o0n:85+11f1>6d4l2.3nl4;;%6f6?d?12.:lk:18K=54<3`>8=7>5;h333<<722c:5<4sW=:j63k39i46352z\476=:;j3h6<>87:~f4c3:3;1;84>:95x 474n322h6F>12;8^47403;p==j6:|l2601=:f;39?l5a9m0:66g:1c`95?=n::k651g=931b9<:6:088m07303;17d;>4682>>o29=<1=75f5066>4<=9<51;9j14262800e8?;0;39?l36;o0:66g:12g95?=n=89o6<44i430g?7=3`?:?o4>::k656g=931b9<=6:088m07403;17d;>3682>>o29:<1=75f5016>4<=><51;9j14562800e8?<0;39?l36:o0:66g:13g95?=n?:;1=75f4g4a>4<=0:66g;f7195?=nm:=4>::k7b0`=931b8k;k:088m1`2k3;17d:i5c82>>o29;?1=75f5007>4<=??51;9j14472800e8?>f;39?l369l0:66g:13f95?=n=88h6<44i431f?7=3`?:>l4>::k657?=931b9<<7:088m075?3;17d;>2782>>o298n1=75f503`>4<nb;39?j37ih0:66a:0`;95?=h=9k36<44o42b2?7=3f?;m84>::m64d2=931d9=o<:088k06f:3;17b;?a082>>i28h:1=75`51;e>4<<4l51;9l15?f2800c8>69;39?j37110:66a:08595?=h=93=6<44o42:1?7=3f?;594>::m64<5=931d9=7=:088k06>83;17b;?8g82>>i281o1=75`51:g>4<<5o51;9l15>>2800c8>78;39?j370>0:66a:0c695?=h=9h86<44o42a6?7=3f?;n<4>::m64g6=931d9=o8:088k06>k3;17b;?9082>>i281<1=75`51:6>4<7>514c94?6|,8;8j7974:&24a4==9=;7c<=c;28j751281e??;50:l06c<73g>297>4n6:1>5=i1>91=6`j7`83?k77?90;7c:=4;28j14?291/8?;5e7g8 46f:3;;j>5+3c4907?<@13:7W=m5;`x65g=n<0:;o4>788e7?`528=36<9n:g69b4<6>90vb<<:7;06bc=n<:?1<75f11;`>5<5;h603?6=3`;;5h4?::k77<<722c??94?::k24d6=831b==7i:188m15a2900e<>6a;29?l2403:17d:<3;29?l25;3:17d:>o68h;1<75f33g94?"amj088;5afd`94>=n;;n1<7*ieb8003=inlh1=65f33a94?"amj088;5afd`96>=n;;h1<7*ieb8003=inlh1?65f33c94?"amj088;5afd`90>=n;;31<7*ieb8003=inlh1965f33:94?"amj088;5afd`92>=n;;=1<7*ieb8003=inlh1;65f32f94?"amj088;5afd`9<>=n;:h1<7*ieb8003=inlh1565f32c94?"amj088;5afd`9e>=n;:31<7*ieb8003=inlh1n65f32:94?"amj088;5afd`9g>=n;:=1<7*ieb8003=inlh1h65f32194?"amj088;5afd`9a>=n;::1<7*ieb8003=inlh1j65f33494?"amj088;5afd`955=5;hd7`?6=3`l?o7>5;hd7f?6=3`l?m7>5;hd7=?6=3`l?47>5;hd72?6=3`l?97>5;hd70?6=3`l??7>5;hd76?6=3`l?=7>5;hd74?6=3`l8j7>5;hd0a?6=3`l8h7>5;hd0f?6=3`l8m7>5;hd0=?6=3`l847>5;hd03?6=3`l8:7>5;hd01?6=3`l887>5;hd07?6=3`l8>7>5;hd04?6=3`l9j7>5;hd1a?6=3`l9h7>5;hd1g?6=3`l9n7>5;hd1e?6=3`l957>5;hd15;hd60?6=3`l>?7>5;hd66?6=3`l>=7>5;hd64?6=3`l?;7>5;hd0g?6=3`l8=7>5;hd12?6=3`l997>5;h1f2?6=,ooh69?6;odff?6<3`9n97>5$gg`>17>3glnn7?4;h1f0?6=,ooh69?6;odff?4<3`9n?7>5$gg`>17>3glnn7=4;h1f6?6=,ooh69?6;odff?2<3`9n=7>5$gg`>17>3glnn7;4;h1f4?6=,ooh69?6;odff?0<3`9oj7>5$gg`>17>3glnn794;h1g`?6=,ooh69?6;odff?><3`9oo7>5$gg`>17>3glnn774;h1gf?6=,ooh69?6;odff?g<3`9om7>5$gg`>17>3glnn7l4;h1g=?6=,ooh69?6;odff?e<3`9o47>5$gg`>17>3glnn7j4;h1g3?6=,ooh69?6;odff?c<3`9o:7>5$gg`>17>3glnn7h4;h1g1?6=,ooh69?6;odff?7732c8h94?:%dfg?2612dmio4>1:9j7a4=83.min4;189mb`d=9;10e>j>:18'b`e=<830bkkm:018?l5c83:1(kkl:53:?k`bj3;?76g!`bk3>:56`iec821>=n;jo1<7*ieb875<=inlh1=;54i2ag>5<#nli18<74ngga>41<3`9ho7>5$gg`>17>3glnn7?7;:k0gg<72-lno7:>9:leag<6121b?no50;&eaf<3901ejhl51`98m6e>290/jhm540;8jcce28h07d=l7;29 ccd2=;27chjb;3`?>o4k?0;6)hjc;62=>hamk0:h65f3b794?"amj0?=45afd`95`=m?:18'b`e=<830bkkm:318?l5en3:1(kkl:53:?k`bj38?76g!`bk3>:56`iec811>=n;lo1<7*ieb875<=inlh1>;54i2gg>5<#nli18<74ngga>71<3`9no7>5$gg`>17>3glnn7<7;:k0ad<72-lno7:>9:leag<5121b?h650;&eaf<3901ejhl52`98m6bb290/jhm540;8jcce2;h07d=k3;29 ccd2=;27chjb;0`?>o4k10;6)hjc;62=>hamk09h65f3cf94?"amj0?=45afd`96`=5$gg`>`1?3glnn7?4;hg5a?6=,ooh6h97;odff?4<3`o=h7>5$gg`>`1?3glnn7=4;hg5g?6=,ooh6h97;odff?2<3`o=n7>5$gg`>`1?3glnn7;4;hg5e?6=,ooh6h97;odff?0<3`o=57>5$gg`>`1?3glnn794;h6bj;7>5$gg`>1e43glnn7?4;h6b2?6=,ooh69m<;odff?4<3`>j97>5$gg`>1e43glnn7=4;h6b0?6=,ooh69m<;odff?2<3`>j?7>5$gg`>1e43glnn7;4;h6b6?6=,ooh69m<;odff?0<3`>j=7>5$gg`>1e43glnn794;h6ae?6=,ooh69m<;odff?><3`>i47>5$gg`>1e43glnn774;h6a1?6=,ooh69m<;odff?g<3`>i87>5$gg`>1e43glnn7l4;h6a4?6=,ooh69m<;odff?e<3`>jo7>5$gg`>1e43glnn7j4;h6bf?6=,ooh69m<;odff?c<3`>jm7>5$gg`>1e43glnn7h4;h6b4?6=,ooh69m<;odff?7732c?5k4?:%dfg?2d;2dmio4>1:9j663=83.min4=5d9mb`d=821b>>:50;&eaf<5=l1ejhl51:9j665=83.min4=5d9mb`d=:21b>><50;&eaf<5=l1ejhl53:9j667=83.min4=5d9mb`d=<21b>>>50;&eaf<5=l1ejhl55:9j67`=83.min4=5d9mb`d=>21b>?k50;&eaf<5=l1ejhl57:9j602=83.min4=5d9mb`d=021b>9950;&eaf<5=l1ejhl59:9j610=83.min4=5d9mb`d=i21b>9:50;&eaf<5=l1ejhl5b:9j615=83.min4=5d9mb`d=k21b>9<50;&eaf<5=l1ejhl5d:9j617=83.min4=5d9mb`d=m21b>>950;&eaf<5=l1ejhl5f:9j67b=83.min4=5d9mb`d=9910e?<6:18'b`e=:93:17b=60;29?j5?n3:17b=7e;29?j5?l3:17b=7c;29?j5?j3:17b=7a;29?j5>13:17b=68;29?j5>?3:17b=66;29?j5>=3:17b=64;29?j5>;3:17b=62;29?j5?13:17b=78;29?jcd?3:17bkl6;29?jcd=3:17bkl4;29?jcd;3:17bkl2;29?jcd93:17bkl0;29?jcem3:17bkmd;29?jcek3:17bkmb;29?jcei3:17bkm9;29?jce03:17bkm7;29?jce>3:17bkm5;29?jce;3:17bkm2;29?jce93:17bkm0;29?jcfn3:17bkne;29?jcfl3:17bknc;29?jcfj3:17bkna;29?jcf03:17bkn7;29?jcf>3:17bkn5;29?jcf<3:17bk6e;29?jc>>3:17bk7f;29?jc??3:17bk73;29?jcb13:17bkj0;29?jcci3:17bkk1;29?jcd13:17bkmf;29?jce<3:17bkn9;29?jc?:3:17bk71;29?j`e93:1(kkl:gg3?k`bj3:07bhm0;29 ccd2oo;7chjb;38?j`fn3:1(kkl:gg3?k`bj3807bhne;29 ccd2oo;7chjb;18?j`fl3:1(kkl:gg3?k`bj3>07bhnc;29 ccd2oo;7chjb;78?j`fj3:1(kkl:gg3?k`bj3<07bhna;29 ccd2oo;7chjb;58?j`f03:1(kkl:gg3?k`bj3207bhn7;29 ccd2oo;7chjb;;8?j`f>3:1(kkl:gg3?k`bj3k07bhn5;29 ccd2oo;7chjb;`8?j`f<3:1(kkl:gg3?k`bj3i07bhn3;29 ccd2oo;7chjb;f8?j`f:3:1(kkl:gg3?k`bj3o07bhn1;29 ccd2oo;7chjb;d8?j`f83:1(kkl:gg3?k`bj3;;76ai9g83>!`bk3ln<6`iec825>=hn0n1<7*ieb8ea5=inlh1=?54og;`>5<#nli1jh>4ngga>45<3fl2n7>5$gg`>cc73glnn7?;;:me=d<72-lno7hj0:leag<6=21dj4750;&eafia1?0;6)hjc;df4>hamk0:565`f8794?"amj0mi=5afd`95d=d:9lb<6=83.min4ie19mb`d=9l10ck6i:18'b`e=nl:0bkkm:0d8?j`?m3:1(kkl:gg3?k`bj38;76ai8e83>!`bk3ln<6`iec815>=hn1i1<7*ieb8ea5=inlh1>?54og:a>5<#nli1jh>4ngga>75<3fl3m7>5$gg`>cc73glnn7<;;:me<<<72-lno7hj0:leag<5=21djo850;&eafiaj:0;6)hjc;df4>hamk09565`fc094?"amj0mi=5afd`96d=4?:%dfg?`b82dmio4=d:9lb=>=83.min4ie19mb`d=:l10ck68:18'b`e=nl:0bkkm:3d8?j?4n3:17b73:17b7<5;29?j?4<3:17b7<3;29?j?4:3:17b7<1;29?j?483:17b7=f;29?j?5l3:17b7=c;29?j?5j3:17b7=a;29?j?513:17b7=8;29?j?5?3:17b7=6;29?j?5=3:17b7=4;29?j?3=3:17b7;4;29?j?3;3:17b7;2;29?j?393:17b7;0;29?j?413:17b7=e;29?j?5;3:17b7=2;29?xu09o0;6?8t^63e?837?;0??8525151>46>k27><:<511;g?837?;0??:525151>46>m27><:<542;89060:3>8863:060955g734?;;?4>08d89060:3;;5l525151>15434?;;?4;3`9>151528:2563:060955g634?;;?4j719>15152lh169=9=:5c;?837?;0?m:525151>1g134?;;?4;a49>15152=k?70;?7387e6=:=9=969o=;<7337<3i8169=9=:5`b?837?;0?n5525151>1d234?;;?4;b59>15152=h;70;?7387ef=:=9=969om;<7337<3ih169=9=:5c3?837?;0?5k5rs2d2`?6=:rT8j;28>818><4}r720f<7289pR8?;c:?6424=;l<018>82;1f1>;28>81?h:4=4246?5b;27><:<53d089060:39n=63:06097`6<5<:<>7=kf:?6424=;mn018>82;1gg>;28>81?il4=4246?5ci27><:<53e;89060:39o463:06097a1<5<:<>7=k6:?6424=;m?018>82;1g0>{t=8>i6=4={_720g=:=9=96>j=;|q651g=838pR8?;a:?6424=;m;0q~;>4883>7}Y=8>270;?7380`5=z{<;?47>52z\651><5<:<>7=lf:p14202909wS;>469>15152:in7p}:15494?4|V<;?:63:06097fbvP:15689060:39hn6s|5060>5<5sW?:8>525151>6ef3ty>=9<50;0xZ073:27><:<53b;8yv36<80;6?uQ5062?837?;08o:5rs4374?6=:rT>=9>4=4246?5d>2wx9<=i:181[36;o169=9=:2a6?xu29:o1<7;28>81?n:4}r727a<72;qU9<=k;<7337<4k:1v8?82;1`6>{t=89i6=4={_727g=:=9=96>m>;|q656g=838pR8?3883>7}Y=89270;?7380fc=z{<;847>52z\656><5<:<>7=me:p14502909wS;>369>15152:on7p}:12494?4|V<;8:63:06097`bvP:12689060:39nm6s|5010>5<5sW?:?>525151>6c?3ty>=><50;0xZ074:27><:<53eg8yv36;80;6?uQ5012?837?;08h>5rs4304?6=:rT>=>>4=4246?5d02wx9<;28>81?o64}r505?6=`0d34?;;?4j6c9>15152l<27p};f7`94?3|V=l=n63:0609663<5<:<>7<<4:?6424=::9018>82;006>{t;|q7b3>=838pR9h98:?6424=:::0q~:i6683>7}Y52z\7b30<5<:<>7<=e:p0c022909wS:i649>15152;??7p};f7694?4|V=l=863:0609611m:>4?:3y]0c0434?;;?4=479~w1`1:3:1>vP;f7089060:38?86s|4g42>5<5sW>m:<525151>7243ty?j;>50;0xZ1`1827><:<52508yv2a=o0;6?uQ4g7e?837?;098<5rs5d6`?6=:rT?j8j4=4246?44?2wx8k;l:181[2a=j169=9=:30g?xu3n;28>81>?74}r7260<72;qU9<<:;<7337<4>k1v8?=4;296~X29;>018>82;15e>{t=8886=4={_7266=:=9=96>86;|q6574=838pR8?=2:?6424=;?20q~;>2083>7}Y=88:70;?738022=z{<;9<7>52z\6576<5<:<>7=96:p147a2909wS;>1g9>15152:<>7p}:10g94?4|V<;:i63:0609732i4?:3y]144c34?;;?4<729~w075k3:1>vP:13a89060:39<>6s|500a>5<5sW?:>o525151>6163ty>=?o50;0xZ075i27><:<53628yv36:00;6?uQ500:?837?;08:k5rs431=?64=4246?51m2wx9<<8:181[36:>169=9=:24g?xu29;<1<7;28>81?;m4}r725a<72;qU9:1v8?>c;296~X298i018>82;156>{t=9km6=4={_73ec=:=9=96kl>;|q64dc=838pR8>ne:?6424=nk:0q~;?ae83>7}Y=9ko70;?738eec=z{<:jo7>52z\64de<5<:<>7hne:p15ge2909wS;?ac9>15152oko7p}:0`c94?4|V<:jm63:0609bde34?;;?4iac9~w06f03:1>vP:0`:89060:3ljm6s|51c5>5<5sW?;m;525151>cg?3ty><:<5f`58yv37i=0;6?uQ51c7?837?;0mm;5rs42b7?6=:rT>;28>81jl=4}r73e5<72;qU9=o?;<73376f;296~X280l018>82;db5>{t=93n6=4={_73=`=:=9=96ko?;|q646d:?6424=n0l0q~;?9c83>7}Y=93i70;?738e=a=z{<:2m7>52z\647h6c:p15?>2909wS;?989>15152o3i7p}:08:94?4|V<:2463:0609b>3:1>vP:08489060:3l246s|51;6>5<5sW?;58525151>c?03ty><4:50;0xZ06><27><:<5f848yv371:0;6?uQ51;0?837?;0m585rs42:6?6=:rT><4<4=4246?`><2wx9=7?:181[3719169=9=:g;1?xu281l1<7;28>81j4?4}r73<`<72;qU9=6j;<73377d;296~X281n018>82;d;b>{t=92h6=4={_737b:?6424=n1n0q~;?8`83>7}Y=92j70;?738e52z\64=?<5<:<>7h7b:p15>?2909wS;?899>15152o2j7p}:09594?4|V<:3;63:0609b=?vP:0c189060:3li96s|51`1>5<5sW?;n?525151>cd33ty><:<5fc18yv37j90;6?uQ51`3?837?;0mn?5rs42b3?6=:rT>;28>81j4=4}r73<3<72;qU9=69;<733775;296~X281?018>82;d;3>{t=9=i6=4>{<7337<4:l1v8>8a;295~;28>81??j4}r733<<728q69=9=:20`?xu28>21<7?t=4246?55j2wx9=98:182837?;08>l5rs4242?6=9r7><:<533;8yv37?<0;664?3ty><::50;3x9060:399;6s|51:7>5<6s4?;;?4<3e9~w06?;3:1=v3:060976d15152:9j7p}:09394?7|5<:<>7=<9:p15>7290:w0;?73807==z{<:51z?6424=;:=0q~;?7d83>4}:=9=96>=<;|q642b=83;p18>82;104>{t=9=h6=4>{<7337<4:?1v8>83;295~;28>81??:4}|`2a17=93?96<494z&256`=00n0D=9r;;h44rn0063?41891b;h>d;39?l37m?0:66g:0d795?=n=9o?6<44i42f7?7=3`?;i?4>::k64`7=931b9=k?:088m06cn3;17d;?dd82>>o28mn1=75f51f`>4<k7;39?l37l?0:66g:0e795?=n=9n?6<44i42g7?7=3`?;h?4>::k64a7=931b9=j?:088m06dn3;17d;?cd82>>o28jn1=75f51a`>4<:088m1b1;3;17d:k6382>>o3l?:1=75f4e7e>4<i7?5;h6g1a<622c?h8m51;9j0a3e2800e9j:a;39?l2c=00:66g;d4:95?=no994>::k7`05=931b9=li:088m06em3;17d;?be82>>o28ki1=75f51`a>4<l6;39?l37k<0:66g:0b695?=n=9i86<44i42`6?7=3`?;o<4>::k64f6=931b9=l8:088m06e>3;17b:ja282>>i3mh81=75`4dc2>4<n5:4>::m7a<0=931i9=k8:1821d<729q/=<=i:6:7?!77l;0><:>4n30`>4=i::<1=6`<2482?k55n3;0b97::09m3=4=82d2;>4>;og4e?65=i<;21<6*;248f2`=#99k96<>i3:&0f3<3:01C44?4Z2`6>g}58h0m97?8b;34=?`42o81=:6516c9b170792c??84?::k246e;29?l2413:17d:<4;29?l77i90;66g>08d94?=n<:l1<75f11;b>5<5<5<i4?:%dfg?53>2dmio4>;:k06f<72-lno7=;6:leag<532c8>o4?:%dfg?53>2dmio4<;:k06d<72-lno7=;6:leag<332c8>44?:%dfg?53>2dmio4:;:k06=<72-lno7=;6:leag<132c8>:4?:%dfg?53>2dmio48;:k07a<72-lno7=;6:leag2dmio46;:k07d<72-lno7=;6:leag2dmio4m;:k07=<72-lno7=;6:leag2dmio4k;:k076<72-lno7=;6:leag2dmio4i;:k063<72-lno7=;6:leag<6821b??:50;&eaf<42900ek:7:188mc212900ek:::188mc232900ek:<:188mc252900ek:>:188mc272900ek=i:188mc5b2900ek=k:188mc5e2900ek=n:188mc5>2900ek=7:188mc502900ek=9:188mc522900ek=;:188mc542900ek==:188mc572900ek:188mc372900ek:8:188mc5d2900ek=>:188mc412900ek<::188m6c1290/jhm540;8jcce2910e>k::18'b`e=<830bkkm:098m6c3290/jhm540;8jcce2;10e>k<:18'b`e=<830bkkm:298m6c5290/jhm540;8jcce2=10e>k>:18'b`e=<830bkkm:498m6c7290/jhm540;8jcce2?10e>ji:18'b`e=<830bkkm:698m6bc290/jhm540;8jcce2110e>jl:18'b`e=<830bkkm:898m6be290/jhm540;8jcce2h10e>jn:18'b`e=<830bkkm:c98m6b>290/jhm540;8jcce2j10e>j7:18'b`e=<830bkkm:e98m6b0290/jhm540;8jcce2l10e>j9:18'b`e=<830bkkm:g98m6b2290/jhm540;8jcce28:07d=k4;29 ccd2=;27chjb;32?>o4l;0;6)hjc;62=>hamk0:>65f3e394?"amj0?=45afd`956=6:9j7fb=83.min4;189mb`d=9>10e>ml:18'b`e=<830bkkm:0:8?l5dj3:1(kkl:53:?k`bj3;276g!`bk3>:56`iec82e>=n;j31<7*ieb875<=inlh1=o54i2a4>5<#nli18<74ngga>4e<3`9h:7>5$gg`>17>3glnn7?k;:k0g0<72-lno7:>9:leag<6m21b?n:50;&eaf<3901ejhl51g98m6e4290/jhm540;8jcce2;:07d=l2;29 ccd2=;27chjb;02?>o4k80;6)hjc;62=>hamk09>65f3b294?"amj0?=45afd`966=10e>kl:18'b`e=<830bkkm:3:8?l5bi3:1(kkl:53:?k`bj38276g!`bk3>:56`iec81e>=n;mo1<7*ieb875<=inlh1>o54i2f0>5<#nli18<74ngga>7e<3`9h47>5$gg`>17>3glnn79:leag<5m21b?o650;&eaf<3901ejhl52g98m`17290/jhm5e6:8jcce2910eh8i:18'b`e=m>20bkkm:098m`0b290/jhm5e6:8jcce2;10eh8k:18'b`e=m>20bkkm:298m`0d290/jhm5e6:8jcce2=10eh8m:18'b`e=m>20bkkm:498m`0f290/jhm5e6:8jcce2?10eh86:18'b`e=m>20bkkm:698m1g?290/jhm54b18jcce2910e9o8:18'b`e=:18'b`e=o5;<0;6)hjc;06a>hamk0;76g=3583>!`bk38>i6`iec82?>o5;:0;6)hjc;06a>hamk0976g=3383>!`bk38>i6`iec80?>o5;80;6)hjc;06a>hamk0?76g=3183>!`bk38>i6`iec86?>o5:o0;6)hjc;06a>hamk0=76g=2d83>!`bk38>i6`iec84?>o5==0;6)hjc;06a>hamk0376g=4683>!`bk38>i6`iec8:?>o5hamk0j76g=4583>!`bk38>i6`iec8a?>o5<:0;6)hjc;06a>hamk0h76g=4383>!`bk38>i6`iec8g?>o5<80;6)hjc;06a>hamk0n76g=3683>!`bk38>i6`iec8e?>o5:m0;6)hjc;06a>hamk0:<65f23;94?"amj099h5afd`954=5<5<5<6=44i247>5<5<5<5<5<5<5<5<5<5<5<5<5<6=44o2;7>5<5<5<5<6=44oda7>5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<!`bk3l:m6`iec81<>=hmm;1<7*ieb8e5d=inlh1>454oda:>5<#nli1j7g<3foij7>5$gg`>c7f3glnn7a:leag<5k21dil750;&eaf5290/jhm5f0c8jcce2;o07bk71;29 ccd2o;j7chjb;0e?>iaj80;66aib183>>iaio0;66aiad83>>iaim0;66aiab83>>iaik0;66aia`83>>iai10;66aia683>>iai?0;66aia483>>iai=0;66aia283>>iai;0;66aia083>>iai90;66ai9g83>>ia1m0;66ai9b83>>ia1k0;66ai9`83>>ia100;66ai9983>>ia1>0;66ai9783>>ia1<0;66ai9583>>ia1;0;66ai9083>>ia190;66ai8g83>>ia0l0;66ai8e83>>ia0j0;66ai8c83>>ia0h0;66ai8883>>iaj?0;66aib483>>iaj=0;66aib283>>iaj;0;66aia883>>ia1l0;66ai9283>>ia010;66ai8683>>i>;o0;66a63d83>>i>;m0;66a63b83>>i>;k0;66a63`83>>i>;10;66a63683>>i>;?0;66a63483>>i>;=0;66a63283>>i>;;0;66a63083>>i>;90;66a62g83>>i>:m0;66a62b83>>i>:k0;66a62`83>>i>:00;66a62983>>i>:>0;66a62783>>i>:<0;66a62583>>i><<0;66a64583>>i><:0;66a64383>>i><80;66a64183>>i>;00;66a62d83>>i>::0;66a62383>>{t?8l1<7<<{_52b>;28l=18>;4=42f3?771j169=k8:02:`>;28l=18>94=42f3?771l169=k8:51:?837m>0:4=42f3?771o169=k8:02:e>;28l=1==76;<73a2<68h;018>j7;g44>;28l=1i;h4=42f3?c1m27><5<:n;7:n7:?64`1=j7;6b1>;28l=18l:4=42f3?2f;27>j=63:0d590gg<5<:n;7:m8:?64`1=j7;6a0>;28l=18o>4=42f3?2fk27>jm63:0d590d6<5<:n;7:6f:p14de290=wS;>bc9>15c02=9?70;?e6877==:=9o<69=<;<73a2<3;h169=k8:511?xu4n8n1<7;28l=18>h4}r73a3<7289pR8>j6:?64`1=;l<018>j7;1f1>;28l=1?h:4=42f3?5b;27>j7;1gg>;28l=1?il4=42f3?5ci27>j7;1g0>{t=9o>6=4={_73a0=:=9o<6>j=;|q64`2=838pR8>j4:?64`1=;m;0q~;?e283>7}Y=9o870;?e680`5=z{<:n>7>52z\64`4<5<:n;7=lf:p15c62909wS;?e09>15c02:in7p}:0d294?4|V<:n<63:0d597fbvP:0eg8906b?39hn6s|51fg>5<5sW?;hi5251g4>6ef3ty>08o:5rs42ge?6=:rT>2wx9=j6:181[37l0169=k8:2a6?xu28m21<7;28l=1?n:4}r73`2<72;qU9=j8;<73a2<4k:1v8>k6;296~X28m<018>j7;1`6>{t=9n>6=4={_73`0=:=9o<6>m>;|q64a2=838pR8>k4:?64`1=;j:0q~;?d283>7}Y=9n870;?e680fc=z{<:o>7>52z\64a4<5<:n;7=me:p15b62909wS;?d09>15c02:on7p}:0e294?4|V<:o<63:0d597`bvP:0bg8906b?39nm6s|51ag>5<5sW?;oi5251g4>6c?3ty>08h>5rs42`e?6=:rT>;28l=1?o64}r505?6=`0d34?;i:4j6c9>15c02l<27p};d7194?3|V=n=?63:0d59663<5<:n;7<<4:?64`1=::9018>j7;006>{t;|q7`36=838pR9j90:?64`1=:::0q~:k5g83>7}Yi7>52z\7`0c<5<:n;7<=e:p0a3c2909wS:k5e9>15c02;??7p};d4a94?4|V=n>o63:0d59611o9o4?:3y]0a3e34?;i:4=479~w1b2i3:1>vP;d4c8906b?38?86s|4e7:>5<5sW>o945251g4>7243ty?h8650;0xZ1b2027>0;6?uQ4e74?837m>098<5rs5f61?6=:rT?h8;4=42f3?44?2wx8i;;:181[2c==169=k8:30g?xu3l<91<7;28l=1>?74}r73fc<72;qU9=li;<73a2<4>k1v8>me;296~X28ko018>j7;15e>{t=9ho6=4={_73fa=:=9o<6>86;|q64ge=838pR8>mc:?64`1=;?20q~;?bc83>7}Y=9hi70;?e68022=z{<:im7>52z\64gg<5<:n;7=96:p15d>2909wS;?b89>15c02:<>7p}:0c:94?4|V<:i463:0d597323:1>vP:0b48906b?39<>6s|51a6>5<5sW?;o85251g4>6163ty>08:k5rs42`6?6=:rT>:181[37k8169=k8:24g?xu28j:1<7;28l=1?;m4}r73f2<72;qU9=l8;<73a2<4>:1v8>m6;296~X28k<018>j7;156>{t7}Y52z\7ad6<5<:n;7k73:p0`?a2909wS:j9g9>15c02lo27p};e8g94?4|V=o2i63:0d59a`6n5i4?:3y]0`?c34?;i:4jd`9~w1c>k3:1>vP;e8a8906b?3oo=6s|4d;a>5<5sW>n5o5251g4>`e>3ty?i4o50;0xZ1c>i27>0nn95rs5g:169=k8:d:1?xu3m0<1<7;28l=1i5?4}r73b5<728q69=k8:20f?xu28ll1<7?t=42f3?55l2wx9=kj:182837m>08>n5rs42f`?6=9r7>64f3ty>5<6s4?;i:4<299~w06b13:1=v3:0d5977115c02:9o7p}:0g:94?7|5<:n;7=51z?64`1=;:30q~;?f483>4}:=9o<6>=7;|q64c2=83;p18>j7;103>{t=9l86=4>{<73a2<4;:1v8>i2;295~;28l=1?>>4}r73b4<728q69=k8:205?xu28l21<7?t=42f3?55<2wvnh588f8L47412P:=>651z33g1;7<9039j34`=931b=9l;:088k42e?3;17b?;b882>>d642fi2l?9>4>6:&6bd<>911/8ho590:8 10228;8o6*;8c8a<<=#<1i1n574$0372?1c92.:12k227)978;327f=#"3>?0:8n5+9129545d3A22=6T8952720?l77?;0;66g>0ca94?=n99l:6=44i02e4?6=3`>247>5;h33ac<722c?5:4?::k24gg=831b84m50;9j006694?=n<0n1<75f88494?=n99on6=44i02a=?6=3`>2n7>5;h::3?6=3`;;ii4?::k4<0<722e?894?::m706<722e?9o4?::m704<722e?8?4?::m24dd=831d==lm:188k1272900c9;l:188k1?72900c9;=:188k460;3:17b:;5;29?j?6>3:17b??e283>>i68l81<75`11g2>5<5;n33`c<722e:kc;29?xd68j?1<7:50;2x 474n39i>6`:f982?!3a13;:?n5+91g93a7<,8;?879k1:J;=4=i9;?<6?8?4:k;e5<722e>j;4?::m:4<<722e:f:?20dd=99=970?;ac824<><58>jn7??749>51ge2=??70?;ac82422vP>4c58942fj3>>>6s|15`:>5<5sW;?n45215ca><713ty:8lm50;0x942fj3>>j63>0b791c0k51;095?4|,8;8j766d:J256?xh6:<=1>;>:;h37f3<622e:8o>51;9a51d629096=4?{%327c<4j91/==j=:06be>N?181e=?;8:3432>o?i90;66am8c83>>{t9=h=6=4={_37f3=:9=h:65o?;|q20g6=838pR<:m0:?20g7=j1h0qpl>e2d95?1=;33p(N69:30V7sa1374>707?2c<=k4>::k20g2=931b=9l?:088k42e>3;17b?;ad82>>i64<io7>56283>5}#989m6kh=;%33`7<6;o337<<63glmj7>4n0260?67=ino=1<6`if482?k`a>3:0b<><7;38j464i3:0b<>:8;18j4619320bkhj:19m550e291ejkm50:lebd<73g;;:?4>;odei5:&2451=?m;0(<>>f;327f=#non1=<=l;%3373<0l81/===7:030g>"68==1=<=l;%3315<0l81/==:6:030g>"68<81;i?4$0253?1c92.:<<65101`?!77:00:=>m4$027a?1c92.:<9j57e38 461k3;:?n5+111a>474k2.:<>:5101`?!778=0:=>m4$027f?1c92.:<8=5101`?!77980:=>m4$0216?76;j1/==>k:030g>"68:;1;i?4$0204?76;j1/==:9:030g>"6803c93a7<,8:?j79k1:&245c=?m;0(<>=3;5g5>"68=81;i?4$027m4$027e?1c92.:<2b63-;;9k4>12a8 1>d2=?<7)??648256e<,ol?6:j>;%3344<69:i0(<>94;5g5>"689<1=<=l;%3363<69:i0(<>=d;327f=#99:j6:a;37<>"68:n1=<=l;%3301<69:i0(<>>b;327f=a<>>1<#;4f5:0>5(23o><57>!5:d71d<73o>!5:d72c<7&<1m8:>52428b112291m8:o50:d73c<73o>=n7>4f5:7>5=a992h6= ?1:d72d<7&<1e8:j51:l243g=>91e8;75a:l72`<47?4n4d4>4=i99?26h>4n57:>4c"688=18?5+1126>==#999869<4$026a?0<,8:94764$0277?4a3-;;>o4;2:&244g=02.:<<>5439'553d28;n7)??1e876>"6803d9<>"68;:196*>004907=#999n69<4$540>1=#99:869<4$021a?3<,8:=<764$023g?><,8:9;7;4$023=?253-;;=h4;2:&724<6?0;:8 462>330(<>>2;78 467n3>97)??208;?!77900>7)??03876>"689218?5+112a>0=#999969<4$0227?><,8:9o7:=;%3360<3:2.:<>h5439'55522<1/==l9:02`=>N?181Q?o;54z3bf?75n3oj6hj5}o3112<5>920e9;9:188m46ek3:17d:ke;29?l?1k3:17d:j4;29?l21<3:17d:92;29?l77110;66g>0cf94?=n?131<75f45d94?=n99h36=44i84a>5<k26=44i02:4?6=3`>=<7>5;h33f<<722c?h>4?:%dfg?2c02dmio4?;:k7`7<72-lno7:k8:leag<632c?h<4?:%dfg?2c02dmio4=;:k7`5<72-lno7:k8:leag<432c?ok4?:%dfg?2c02dmio4;;:k7b6<72-lno7;?2:leag<732c?j?4?:%dfg?37:2dmio4>;:k7b4<72-lno7;?2:leag<532c?j=4?:%dfg?37:2dmio4<;:k7ac<72-lno7;?2:leag<332c?ih4?:%dfg?37:2dmio4:;:k7bg<72-lno7;?2:leag<132c?jl4?:%dfg?37:2dmio48;:k7b<<72-lno7;?2:leag0d83>>i699n1<75`102`>5<5;n324d<722e:==750;9l54602900c11694?=h98:86=44o0336?6=3f;:<<4?::m2556=831d==hi:188k46am3:17b?>1983>>i698=1<75`1035>5<5;n3251<722e:=<=50;9l54752900c0ga94?=h>991<75`61094?=h>9;1<75`61294?=h=ol1<75`5gg94?=h>9h1<75`61c94?=h>931<75`61:94?=h>9=1<75`61494?=h>9?1<75`61694?=h=on1<75`5ga94?=zj8:h?7>54;294~"69:l1?o<4n4d;>4=#=o31=<=l;%;3a?1c92.:=9:57e38L=?63g;99:4=61;8m=g72900c8h9:188k<6>2900c<>nd;29?xd68j=1<7<50;2x 474n39i?6*:f88256e<,0:?6:j>;I::5>h6:<=1>;>n;h:b4?6=3fh3n7>5;|q45c<728kpR:?i;<37ff<3ll16=9ll:5g7?873jj0:<464=06ag?1?127:8om54e18942ek3>o>63>4ca90a7<58>io7:k0:?20ge=;6nj63>4ca90`c<58>io7:ib:?20ge=;6m963>4ca90c2<58>io7:jd:?20ge=7}Y9=h?70??c28;e5=z{8>i<7>52z\20g6<58:h;76n0:p51d12909wS?;b79>51dd2=?<7p}>4`g94?4|V8>ji63>4ca903evP>4c:8942ek33::6s|15`f>5<5s4;?nn4;579>55e02k2i7p}>4cf94?4|58>io7:90:?24f5==o<0qpl;3d82>5<729qC=?:i;%327c<3;m1C==hn;I`;e>h6:<=1>;>m;|`7=6<6290;6=uG1373?!76;o0?5?5G11db?Md?i2d:>895272`?xd68081=7>50;2xL442:2.:=>h511;2?M77nh1Cn5o4n0063?418m1v:=>:18225}:=::3697j;<3e0d<3mk16=k;::5ga?87a>90?io521g41>1ce34;m:>4;ec9>5c032=oi70?i6487ag=:9o<=69km;<3e22<3mk16=k87:5ga?87a1ce34;m8i4;ec9>5c2b2=oi70?i4g87ag=:9o?;69km;<3e14<3mk16=k;=:5ga?87a=:0?io521g77>1ce34;m9;4;ec9>5c302=oi70?i5987ag=:9o?269km;<3e1d<3mk16=k;m:5ga?87a=j0?io521g7g>1ce34;m9h4;ec9>5c3a2=oi70?i6087ag=:;k><69km;<1afd<3mk16?ol6:5ga?85ej10?io523c`4>1ce349io>4;ec9>7ge52=oi70=mc087ag=:;ki;69km;<1afc<3mk16?olj:5ga?85ejm0?io523c``>1ce349ino4;ec9>7gd12=oi70:9e5877g=:<>;<69=m;<65f4<3;k168;<9:5ga?837j<0n:o5251`6>`0>34?;;<4j689>14e72=3n70<<9g87ag=::;9;69km;<60ef<3mk168>om:5ga?824j:0??o5242c:>15e34>?jo4;ec9>01`f2=oi70::03877g=:<=l369=m;<677=<3mk1689=8:5ga?823;?0?io524516>1ce34>??94;ec9>01262=oi70:;4187ag=:<=9m69km;<677`<3mk1689=k:5ga?823;j0?io52451a>1ce34>??l4;ec9>015>2=oi70:;61877g=:<=9969=m;<61a3<3mk168>>8:5ga?827m:0?io523g;7>1ce349m:l4;3c9>7c1a2=9i70=i5d877g=:<88>8:51a?842;<0??o52242f>1ce348>60772=oi70<:1087ag=::<;969km;<0656<3mk16>8?;:5ga?8429<0?io522435>1ce348><44;ec9>606f2=oi70<:0c87ag=::<:h69km;<064a<3mk16>8mk:51a?842l>0??o5224ae>1ce348>h=4;ec9>611b2=9i70<;89877g=::=2;69km;<07<4<3mk16>;9k:51a?841j80??o5227c1>15e348=5>4;3c9>622f2=oi70<82787ag=::?i269km;<0447<3mk16>5o<:5ga?84?ij0?io5229ca>1ce3483ml4;ec9>6=g>2=oi70<7a987ag=::1k<69km;<0;e3<3mk16>5o::5ga?84?i=0?io5229a0>15e3483m<4;3c9>6=502=oi70<74187ag=::19m69km;<0;7`<3mk16>5=k:5ga?84?;j0?io52291a>1ce3483?l4;ec9>6=5>2=oi70<73987ag=::1?<69=m;<0;70<3;k16>586:5ga?84?>10?io522944>1ce3483:;4;ec9>6=022=oi70<77387ag=::1=:69km;<0;35<3mk16>58i:5ga?84?>l0?io52294g>1ce3483:n4;ec9>6=0e2=oi70<76`87ag=::13:69=m;<0;26<3;k16>5>6:5ga?84?9;0?io522932>1ce3483==4;ec9>6=6a2=oi70<70d87ag=::1:o69km;<0;4f<3mk16>5>m:5ga?84?8h0?io52290:>15e3483<:4;3c9>6=c02=oi70<7e487ag=::1o?69km;<0;a6<3mk16>5k=:5ga?84?m80?io5229g3>1ce3483hk4;ec9>6=bb2=oi70<7de87ag=::1nh69km;<0;`d<3mk16>5j6:5ga?84?l10?io5229f4>1ce3483h;4;ec9>6=b22=oi70<7d587ag=::1n869km;<0;`7<3mk16>5j>:5ga?84?ml0?io5229gg>1ce3483in4;ec9>6=ce2=oi70<7e`87ag=::1o269km;<0;a=<3mk16>5k9:5ga?84?lk0?io52280g>15e3483ok4;3c9>72572=oi70=82g87ag=:;>8n69km;<146a<3mk16?:1ce349725?2=oi70=83687ag=:;>9=69km;<1470<3mk16?:=;:5ga?850;:0?io523611>1ce349721a2=9i70=829877g=:;>;369=m;<14gf<3;:16?:ml:d4:?8540?0?io5232:6>1ce3498494;ec9>76>42=oi70=<8387ag=:;:2:69km;<10<5<3mk16?>6i:5ga?8540l0?io5232:g>1ce34984n4;ec9>76>e2=oi70=<8`87ag=:;:2269km;<10<=<3mk16?>68:5ga?854l<0??o52325f>15e3498:h4;3c9>717b2=9870=;1d8f2<=::on369km;<0e`2<3mk16>kj9:5ga?84al<0?io522gf7>1ce348mh>4;ec9>6cb52=oi70kjj:5ga?84alm0?io522gf`>1ce348mho4;ec9>6cbf2=oi70km?:51a?857?=0??>523157>`0>34=8=76n0:?72`b=;31l:18hl4=5cg3?>f:27?n><57`5891d5k3=j;63;b3;93d1<5=h9j79n7:?7ffe=?h2019==9;5b3>;3;;31;l;4=0gg5?24927:o:;5423894e0=389563>c6:9067<58oh47:<1:?2afc=<:;01;6mkl18>?4=0g`1?24927:in<5423894cek3>8=63>ec;9067<58oi<7:<1:?2ag0=<:;01;6mhn18>?4=0gbe?24927:il?5423894cf?3>8=63>e`69067<58o2i7:<1:?2a;6m0218>?4=0g:1?24927:i575423894c?n3>8=63>e9a9067<58o3<7:<1:?2a=0=<:;01;6m>n18>?4=0g4e?24927:i:?5423894c0?3>8=63>e669067<58o=i7:<1:?2a3d=<:;01;6m?218>?4=0g51?24927:i8h5423894c2k3>8=63>e419067<58o>57:<1:?2a00=<:;01;6m<:18>?4=0g7`?24927>=49523;894c3?3>8=63>e569067<5<;247<=9:?65d6=:;3018?n3;01=>;29h;1>?74=43b6?45127>=l:523;8907f=389563:18;967?<5<;j:7<=9:?65d1=:;3018?6a;01=>;290h1>?74=43:a?45127>=4m523;8907>l389563:18d967b<58o8h79<1:?2a14=?:;01{t;o;o6=4mc1y>166?2=9m70;=e7877c=:=::269=i;<71<4<3;o169?69:51e?8350>0??k5253:;>15a34?9444;3g9>17>f2=9m70;=8c877c=:=;2h69=i;<713c<3;o169?6?:51e?8350;0??k5253:0>15a34?9494;3g9>17>22=9m70;<2b877c=:=:8o69=i;<707=<3;o169>:<:51e?83415a34?8854;3g9>162>2=9m70;<4`877c=:=:>i69=i;<706`<3;o169>15a34?8??4;3g9>16542=9m70;<35877c=:=:9>69=i;<7073<3;o169>=8:51e?834;00??k52521b>15a34?8?o4;3g9>165d2=9m70;<3e877c=:=:9n69=i;<707c<3;o169>:?:51e?834<80??k525261>15a34?8894;3g9>16222=9m70;<0c877c=:=:>h69=i;<702a<3;o169>8j:51e?834?00??k5252:7>15a34?84:4;3g9>16>?2=9m70;<88877c=:=:2j69=i;<706l:51e?834>o0??k525253>15a34?8;<4;3g9>16152=9m70;<72877c=:=:=?69=i;<7030<3;o169>99:51e?834?>0??k52525;>15a34?8;l4;3g9>161e2=9m70;<7b877c=:=:=o69=i;<703`<3;o169>9i:51e?834090??k5252:2>15a34?84?4;3g9>16>42=9m70;<84877c=:=:2=69=i;<3eb<<3;o16>n=j:51e?87al=0??k521gf0>15a34;mj>4;3g9>5cc42=9m70?ieg877c=:9ol=69=i;<3eb1<3;o16=kh::51e?87al<0??k521gd4>15a34;mj54;3g9>5cb>2=9m70?id7877c=:9onj69=i;<3e`g<3;o16=kk=:51e?87am>0??k521gg7>15a34;mil4;3g9>5cc?2=9m70?ie8877c=:9ooo69=i;<3eag<3;o16=kkl:51e?87aml0??k521gd3>15a349nj54;3g9>7``02=9m70=jf4877c=:;ll?69=i;<1fb6<3;o16?hh=:51e?85bn80??k523dd3>15a349nik4;3g9>7`cb2=9m70=jee877c=:;loh69=i;<1fad<3;o16?hk6:51e?85bm10??k523dg4>15a349ni;4;3g9>7`c22=9m70=je5877c=:;lo869=i;<1fa7<3;o16?hk>:51e?85bnl0??k523ddg>15a349njn4;3g9>7``e2=9m70=jf`877c=:;ll269=i;<1fb3<3;o16?hkm:51e?85bm90??k523dfe>15a349nnh4;3g9>7`0b2=9m70=j75877c=:;l<269=i;<1f<2<3;o16?h7>:51e?85b?o0??k523dce>15a349o:84;3g9>7a032=9m70=k62877c=:;m<969=i;<1g24<3;o16?i8k:51e?85c>j0??k523e4a>15a349o:l4;3g9>7a0>2=9m70=k69877c=:;m<<69=i;<1g23<3;o16?i8?:51e?85c=o0??k523dc2>15a349o7a6f2=9m70=k1e877c=:;m;i69=i;<1g5<<3;o16?i?8:51e?85c9<0??k523e30>15a349o=<4;3g9>7a6a2=9m70=k08877c=:;m:<69=i;<1g7f<3;o16?i=m:51e?85c;h0??k523e1:>15a349o?54;3g9>7a502=9m70=k45877c=:;m>869=i;<1g07<3;o16?i:>:51e?85c<90??k523e1e>15a349o?h4;3g9>7a5c2=9m70=k37877c=:;m9>69=i;<1`=6<3;o16?n7=:51e?85d180??k523b;3>15a349h4k4;3g9>7f>b2=9m70=l9c877c=:;j3j69=i;<1`=<<3;o16?n77:51e?85d1>0??k523b;5>15a349h584;3g9>7f?32=9m70=l8e877c=:;j2h69=i;<1f25<3;o16?h;i:51e?85b=l0??k523d7g>15a349n9n4;3g9>7`3e2=9m70=j69877c=:;l<<69=i;<1f23<3;o16?h8::51e?85b>=0??k523d40>15a349n:?4;3g9>7`062=9m70=j5`877c=:;l?269=i;<1f00<3;o16?i:i:51e?85c15a349o>>4;3g9>7a462=9m70=k6d877c=:;l9i69=i;<1f7<<3;o16?h=7:51e?85b;>0??k523d15>15a349n?84;3g9>7`532=9m70=j32877c=:;l9969=i;<1f74<3;o16?h=?:51e?85b:l0??k523d0g>15a349n>n4;3g9>7`4e2=9m70=j2`877c=:;l8269=i;<1f6=<3;o16?h<8:51e?85b:?0??k523d06>15a349n8>4;3g9>7`252=9m70=j40877c=:;l>;69=i;<1f7c<3;o16?h=j:51e?85b;h0??k523d0e>15a349n>94;3g9>7`442=9m70=ldc877c=:;jnj69=i;<1`b7<3;o16?nh>:51e?85dnh0??k523bd:>15a349hj54;3g9>7f`02=9m70=lf7877c=:;jl>69=i;<1`b1<3;o16?nh<:51e?85dn90??k523bge>15a349n894;3g9>7ab32=9m70=kd2877c=:;mn:69=i;<1g`5<3;o16?imi:51e?85ckl0??k523eag>15a349oon4;3g9>7aee2=9m70=kc`877c=:;mi269=i;<1gg=<3;o16?im9:51e?85ck<0??k523ea7>15a349oo>4;3g9>7ae52=9m70=kc0877c=:;mi;69=i;<1gfc<3;o16?ilj:51e?85cjm0??k523efb>15a349oh44;3g9>7ab?2=9m70=kd6877c=:;mn=69=i;<1g`0<3;o16?ij=:51e?85ck>0??k523e``>15a349ono4;3g9>7a?42=9m70=k93877c=:;m3:69=i;<1g15a349o4o4;3g9>7a>f2=9m70=k88877c=:;m2369=i;<1g<2<3;o16?i69:51e?85c1h0??k523e;:>15a349o554;3g9>7a?02=9m70=k97877c=:;m3>69=i;<1g=1<3;o16?i7?:51e?85cm;0??k523eg2>15a349oi=4;3g9>7aba2=9m70?;b38a0??k5253`5>15a34?9n84;3g9>17g62=9m70;=a1877c=:=;nn69=i;<71`1<3;o169?j<:51e?835l;0??k5253f2>15a34?9h=4;3g9>17ea2=9m70;=cd877c=:=;io69=i;<71`f<3;o169?jm:51e?835lh0??k5253f:>15a34?9h54;3g9>17b02=9m70;=d7877c=:=;n>69=i;<71gf<3;o169?mm:51e?835j90??k5253ce>15a34?9mn4;3g9>17ge2=9m70:k0c877c=::51e?82e>90??k524e;7>15a34>o544;3g9>0g3e2=9m70:m5`877c=:15a34>imn4;3g9>0g362=9m70:m51877c=:m69=i;<6a0`<3;o168o:k:51e?82e15a34>im54;3g9>17612=9m70:i99877c=:15a34>h0f6f2=9m70:l09877c=:=:51e?82d;j0??k524bgb>15a34>hi54;3g9>0fc12=9m70:le5877c=:15a34>i444;3g9>0g>02=9m70:m84877c=:15a34>i;44;3g9>0gg62=9m70:m9g877c=:0??k524c;6>15a34>i4i4;3g9>0f532=9m70:l32877c=:15a34>h>n4;3g9>0f4e2=9m70:l2`877c=:15a34>h?44;3g9>0f5?2=9m70:l36877c=::51e?82d:?0??k524b06>15a34>n8n4;3g9>0`2e2=9m70:j48877c=:369=i;<6f02<3;o168h:9:51e?82b<<0??k524d67>15a34>n8>4;3g9>0`252=9m70:j40877c=:;69=i;<6f7`<3;o168h=k:51e?82b;j0??k524d1a>15a34>n?l4;3g9>0`5>2=9m70:j39877c=:15a34>n9<4;3g9>0`372=9m70:j4g877c=:n69=i;<6f0d<3;o168h=i:51e?82b;=0??k52513e>15a34?;=i4;3g9>157e2=9m70;?2g877c=:=98o69=i;<736g<3;o169=<6:51e?837:>0??k525106>15a34?;>>4;3g9>15462=9m70;?55877c=:=9?869=i;<7317<3;o169=;l:51e?837=k0??k52517b>15a34?;944;3g9>153?2=9m70;?56877c=:=9?=69=i;<7310<3;o168i:n:51e?8378=0??k525144>15a34>o844;3g9>0c>d2=9m70:mfc877c=:15a34?;:84;3g9>15032=9m70;?62877c=:=9<969=i;<6ebf<3;o168khm:51e?82anh0??k524gd;>15a34>mj:4;3g9>0c`12=9m70:if4877c=:15a34>mik4;3g9>15642=9m70;?03877c=:=9::69=i;<7345<3;o168khi:51e?82anl0??k524gdg>15a34>mj44;3g9>0ccb2=9m70:iee877c=:15a34>h;k4;3g9>0f1c2=9m70:l7c877c=:15a34>h:k4;3g9>0f0c2=9m70:l97877c=:15a34>h4l4;3g9>0f>52=9m70:l6b877c=:15a34>mno4;3g9>0cdf2=9m70:ib8877c=:15a34>mn>4;3g9>0cd52=9m70:ic7877c=:69=i;<6eg1<3;o168km<:51e?82ak;0??k524ga2>15a34>mo=4;3g9>0cdd2=9m70:ib0877c=:15a34>mh<4;3g9>0cb72=9m70:icg877c=:15a34>ho94;3g9>0fe52=9m70:lc1877c=:15a34>hn94;3g9>0fd52=9m70:ldc877c=:15a34>hok4;3g9>0fe02=9m70:lb0877c=:15a34>n454;3g9>0`>02=9m70:j87877c=:69=i;<6f<1<3;o168h6<:51e?82b0;0??k524d:2>15a34>n;k4;3g9>0`1b2=9m70:j7e877c=:15a34>n;:4;3g9>0`112=9m70:j92877c=:15a34>n4o4;3g9>0`>72=9m70:j74877c=:15a34>o454;3g9>0a>02=9m70:k87877c=:69=i;<6g<1<3;o168i6<:51e?82c0;0??k524e:2>15a34>o;k4;3g9>0a1b2=9m70:k7e877c=:15a34>o;:4;3g9>0a112=9m70:k92877c=:15a34>o4o4;3g9>0a>72=9m70:k74877c=:15a34>h844;3g9>0f202=9m70:l44877c=:15a34>nh>4;3g9>0`b52=9m70:jd0877c=:15a34>nol4;3g9>0`e>2=9m70:jc9877c=:15a34>nhi4;3g9>0`bd2=9m70:jdc877c=:15a34>m>84;3g9>0c432=9m70:i22877c=:15a34>m=?4;3g9>0c762=9m70:i11877c=:k:51e?82a8j0??k524g2b>15a34>m<44;3g9>0c6?2=9m70:i06877c=:;:51e?82a8:0??k524g21>15a34>m<<4;3g9>0c7b2=9m70:i1e877c=:15a34>m0c672=9m70:jfg877c=:=9h>69=i;<7334<3;o16915a3489m=4;3g9>67?a2=9m70<=96877c=::;3n69=i;<01==<3;o16>?6i:51e?8451?0??k5223;6>15a3489594;3g9>67?>2=9m70<=9e877c=::;3h69=i;<0274<3;o16><=?:51e?846:10??k52200e>15a348:>44;3g9>64472=9m70<>26877c=::88=69=i;<0260<3;o16><15a348:9:4;3g9>64312=9m70<>54877c=::8??69=i;<0216<3;o16><;=:51e?846=k0??k52207b>15a3489=54;3g9>67702=9m70<=14877c=::;;?69=i;<0156<3;o16>??=:51e?845980??k522333>15a3489676b2=9m70<=0e877c=::;:h69=i;<014d<3;o16>?>6:51e?845810??k522324>15a3489<;4;3g9>67622=9m70<=05877c=::;:869=i;<0147<3;o16>?>>:51e?8459l0??k52233g>15a3489=n4;3g9>677e2=9m70<=1`877c=::;;269=i;<0153<3;o16>?>m:51e?845890??k5220de>15a348:n84;3g9>64d32=9m70<>b3877c=::8h:69=i;<02f5<3;o16>15a348:mn4;3g9>64ge2=9m70<>a`877c=::8k269=i;<02e2<3;o16>15a348:m>4;3g9>64g52=9m70<>a0877c=::8k;69=i;<02=c<3;o16><7j:51e?846jk0??k5220`b>15a348:n44;3g9>64d?2=9m70<>b6877c=::8h=69=i;<02f6<3;o16>15a3489o;4;3g9>67e22=9m70<=c5877c=::;i869=i;<01g7<3;o16>?m>:51e?845kh0??k5223a:>15a34884:4;3g9>66>12=9m70<<85877c=:::2869=i;<00<7<3;o16>>6>:51e?844090??k52225e>15a3488;h4;3g9>661c2=9m70<<7b877c=:::=i69=i;<003<<3;o16>>97:51e?844?>0??k522255>15a3488;84;3g9>66132=9m70<<72877c=:::=969=i;<0034<3;o16>>9?:51e?8440m0??k5222:`>15a34884o4;3g9>66>f2=9m70<<88877c=:::2369=i;<00<0<3;o16>>9n:51e?844>o0??k52224f>15a3488>94;3g9>66442=9m70<<20877c=:::8;69=i;<005c<3;o16>>?j:51e?8449m0??k52223`>15a3488=o4;3g9>667f2=9m70<<18877c=:::;369=i;<0053<3;o16>>?::51e?8449=0??k522230>15a3488=?4;3g9>66762=9m70<<11877c=::::m69=i;<004`<3;o16>>>k:51e?844:h0??k52220:>15a3488>54;3g9>66402=9m70<<27877c=:::8>69=i;<0067<3;o16>>?8:51e?8448j0??k52222a>15a3489;h4;3g9>674c2=9m70<=2d877c=::;8h69=i;<013d<3;o16>?9m:51e?845?00??k52235;>15a3489;:4;3g9>66cc2=9m70<<9b877c=:::3o69=i;<00=g<3;o16>>k6:51e?844mh0??k5222g;>15a3488i:4;3g9>66c12=9m70<>jj:51e?844lm0??k5222f`>15a3488ho4;3g9>66b72=9m70<>j<:51e?844l80??k5222af>15a3489;?4;3g9>67162=9m70<=71877c=::;?8k:51e?845>j0??k522342>15a34899h4;3g9>67072=9m70<=62877c=::;?;i:51e?824kl0??k5242ag>15a34>8on4;3g9>01612=9m70:;02877c=:<=:969=i;<6744<3;o1689>?:51e?823800??k5245g1>15a34>?i>4;3g9>01c32=9m70:;e4877c=:<=o=69=i;<67a2<3;o1689k7:51e?823m00??k5245f1>15a34>?h>4;3g9>01b32=9m70:;d4877c=:<=n=69=i;<67`2<3;o1689j7:51e?823l00??k5245a;>15a34>?n84;3g9>01d12=9m70:;b6877c=:<=h369=i;<67ad<3;o168>k6:51e?824mh0??k5242ga>15a34>8in4;3g9>06cc2=9m70:j8:51e?824l10??k5242f:>15a34>8hl4;3g9>06be2=9m70:15a34>9ok4;3g9>07eb2=9m70:=ce877c=:<;ih69=i;<61`<<3;o168?j7:51e?825l>0??k5243f5>15a34>9h84;3g9>07b32=9m70:=d2877c=:<;n969=i;<61gg<3;o168?mn:51e?824k?0??k5242a6>15a34>8o94;3g9>06e42=9m70::15877c=:<<;869=i;<6657<3;o1688?>:51e?822810??k5245;;>15a34>?5:4;3g9>01?12=9m70:;94877c=:<=3?69=i;<67=6<3;o1689o?:51e?8231o0??k5245;f>15a34>?5i4;3g9>01?d2=9m70:;9c877c=:<=3j69=i;<67=<<3;o16897=:51e?823180??k524553>15a34>8:h4;3g9>06112=9m70:<74877c=:<:=?69=i;<6036<3;o168>9=:51e?824?80??k524253>15a34>8:k4;3g9>060c2=9m70:<6b877c=:<:2=69=i;<60<`<3;o168>6k:51e?8240j0??k5242:a>15a34>84l4;3g9>06>>2=9m70:<89877c=:<:2<69=i;<60<0<3;o168>6;:51e?824<;0??k5216g2>15a34;5=c42=9m70?7e3877c=:9ko269=i;<3aa=<3;o16=ok8:51e?87em?0??k521cg6>15a34;ii94;3g9>5gc42=9m70?me3877c=:9ko;69=i;<3a`c<3;o16=ojj:51e?87elm0??k521cf`>15a34;iho4;3g9>5gbf2=9m70?md8877c=:9kn369=i;<3a`2<3;o16=oj::51e?87el=0??k521cf0>15a34;ih?4;3g9>5gb62=9m70?md1877c=:9kim69=i;<3ag`<3;o16=omk:51e?87ekh0??k521b6`>15a34;h8o4;3g9>5f2f2=9m70?l48877c=:9j>369=i;<3`02<3;o16=n:9:51e?87d<<0??k521b67>15a34;h8>4;3g9>5f262=9m70?l41877c=:9j9m69=i;<3`7`<3;o16=n=k:51e?87d;j0??k521b1a>15a34;h?l4;3g9>5f5>2=9m70?l39877c=:9j9=69=i;<3`70<3;o16=n=;:51e?87d;:0??k521b11>15a34;h?<4;3g9>5f572=9m70?l2g877c=:9j8n69=i;<3`6a<3;o16=n15a34;h>54;3g9>5f402=9m70?l25877c=:9j8869=i;<3`67<3;o16=n<>:51e?87d:90??k521b3f>15a34;h=i4;3g9>5f7d2=9m70?l1c877c=:9j;j69=i;<3`5<<3;o16=n?7:51e?87d9>0??k521b35>15a34;h=84;3g9>5f742=9m70?l13877c=:9j;:69=i;<3`55<3;o16=n>i:51e?87d8l0??k521b2g>15a34;h5f6e2=9m70?l0`877c=:9j:369=i;<3`42<3;o16=n>9:51e?87d8<0??k521b27>15a34;h<>4;3g9>5f652=9m70?mfg877c=:9kln69=i;<3aba<3;o16=ohm:51e?87enh0??k521cd:>15a34;ij54;3g9>5g`02=9m70?mf7877c=:9kl>69=i;<3ab1<3;o16=oh<:51e?87en;0??k521cd3>15a34;iik4;3g9>5gcb2=9m70?mee877c=:9koh69=i;<3aag<3;o16=okn:51e?87em80??k521cf5>15a34;io44;3g9>5f252=9m70?l36877c=:9j8h69=i;<3`5c<3;o16=n?;:51e?87d800??k521cd`>15a34;ij<4;3g9>5ge?2=9m70?mc4877c=:91=i69=i;<3;3d<3;o16=596:51e?87??10??k521954>15a34;3;;4;3g9>5=122=9m70?775877c=:91=869=i;<3;37<3;o16=59?:51e?87?>o0??k52194f>15a34;3:i4;3g9>5=0d2=9m70?76c877c=:91<0??k521940>15a34;3:?4;3g9>5=062=9m70?761877c=:91?m69=i;<3;1`<3;o16=5;k:51e?87?=j0??k52197a>15a34;39l4;3g9>5=3?2=9m70?756877c=:91?=69=i;<3;10<3;o16=5;;:51e?87?=:0??k521971>15a34;39<4;3g9>5=372=9m70?74g877c=:912;69=i;<3;3c<3;o16=59j:51e?87??m0??k52195`>15a34;3;<4;3g9>5=032=9m70?758877c=:91>n69=i;<3;0<<3;o16=o:;:51e?87e<:0??k521c61>15a34;i8<4;3g9>5g272=9m70?m3g877c=:9k9n69=i;<3a73<3;o16=o=::51e?87e;=0??k521c10>15a34;i??4;3g9>5g562=9m70?m31877c=:9hn?69=i;<3b`6<3;o16=lj=:51e?87fl80??k521`f3>15a34;jok4;3g9>5de?2=9m70?nc6877c=:9hi=69=i;<3bg0<3;o16=lm;:51e?87fk:0??k521`g3>15a34;jhk4;3g9>5dbb2=9m70?nde877c=:9hnh69=i;<3b`g<3;o16=ll?:51e?87fio0??k521`cf>15a34;jmi4;3g9>5dgd2=9m70?nac877c=:9hk?69=i;<3be6<3;o16=lo=:51e?87fi80??k521`c3>15a34;j5k4;3g9>5ddd2=9m70?nbc877c=:9hhj69=i;<3bf<<3;o16=ll7:51e?87fj>0??k521`;;>15a34;j5:4;3g9>5d?12=9m70?n94877c=:9h3?69=i;<3b=6<3;o16=l6l:51e?87f0k0??k521`:b>15a34;j444;3g9>5d>?2=9m70?n86877c=:9h<369=i;<3b22<3;o16=l89:51e?87f><0??k521`47>15a34;j:>4;3g9>5d3d2=9m70?n5c877c=:9h?j69=i;<3b1<<3;o16=l;7:51e?87f=>0??k521`57>15a34;j;>4;3g9>5d152=9m70?n70877c=:9h=;69=i;<3b2c<3;o16=l;?:51e?87f15a34;j8i4;3g9>5d2d2=9m70?n4c877c=:9h>?69=i;<3b06<3;o16=l:=:51e?87f<80??k521`63>15a34;j?k4;3g9>5d4d2=9m70?n2c877c=:9h8j69=i;<3b6<<3;o16=l<7:51e?87f:>0??k521`03>15a34;j=k4;3g9>5d7b2=9m70?n1e877c=:9h;h69=i;<3b5g<3;o16=l=7:51e?87f;>0??k521`15>15a34;j?84;3g9>5d532=9m70?n32877c=:9h;?69=i;<3b56<3;o16=l?=:51e?87f980??k521`33>15a34;j5d6?2=9m70?n06877c=:9h:=69=i;<3b40<3;o16=l>;:51e?87f8:0??k5218g7>15a34;2i>4;3g9>5l>0??k5218f5>15a34;2h84;3g9>5mm0??k5218g`>15a34;2io4;3g9>5jk0??k5218`7>15a34;2n>4;3g9>5kk0??k5218ab>15a34;2o44;3g9>5100??k5218;;>15a34;25:4;3g9>50k0??k5218c;>15a34;2m:4;3g9>50:0??k5218:1>15a34;24<4;3g9>5<>72=9m70?67g877c=:90>00??k52184;>15a34;2::4;3g9>5<332=9m70?652877c=:90?969=i;<3:14<3;o16=4;?:51e?87>15a34;28:4;3g9>5<212=9m70?644877c=:90>?69=i;<3:06<3;o16=48?:51e?87>=o0??k52187f>15a34;29i4;3g9>5<3d2=9m70?65c877c=:909;69=i;<3:6c<3;o16=4:m0??k52180`>15a34;2>o4;3g9>5<432=9m70?622877c=:908969=i;<3:64<3;o16=49o0??k52181`>15a34;2?o4;3g9>5<5f2=9m70?638877c=:909369=i;<3:72<3;o16=4>l:51e?87>8k0??k52182b>15a34;2<44;3g9>5<6?2=9m70?606877c=:90:;69=i;<3;bc<3;o16=5hj:51e?87?nm0??k5219d`>15a34;3jo4;3g9>5<7?2=9m70?616877c=:90;=69=i;<3:50<3;o16=4?;:51e?87>9:0??k521c0;>15a34;i>:4;3g9>5g412=9m70?m24877c=:9k8?69=i;<3a66<3;o16=o?l:51e?87e9k0??k521c3b>15a34;i=44;3g9>5g7?2=9m70?m16877c=:9k:?69=i;<3a46<3;o16=o>=:51e?87e880??k521c23>15a34;jjk4;3g9>5d`?2=9m70?nf6877c=:9hl=69=i;<3bb0<3;o16=lh;:51e?87fn:0??k521c33>15a34;i5g6b2=9m70?m0e877c=:9k:h69=i;<3a4g<3;o16=l6?:51e?87f?o0??k521`5f>15a34;j;i4;3g9>5d1d2=9m70?n7c877c=:90lh69=i;<3:bg<3;o16=4hn:51e?87>n00??k5218d;>15a34;2j:4;3g9>5dcd2=9m70?nec877c=:9hoj69=i;<3ba<<3;o16=lk7:51e?87fm>0??k5219d7>15a34;3j>4;3g9>5=`52=9m70?7f0877c=:91l;69=i;<3;ac<3;o16=497:51e?87>?>0??k521855>15a34;2;84;3g9>5<132=9m70?672877c=:<8;969=i;<6250<3;o16815a34>:=<4;3g9>04732=9m70:>1g877c=:<88;69=i;<6264<3;o168<<=:51e?826::0??k524007>15a34>:>84;3g9>05ga2=9m70:?ad877c=:<9kh69=i;<63eg<3;o168=on:51e?827i00??k5241c;>15a34>;m:4;3g9>05g12=9m70:?a4877c=:<9k?69=i;<63e6<3;o168=o>:51e?827i90??k5241;e>15a34>;5h4;3g9>05?c2=9m70:?9b877c=:<93i69=i;<63=d<3;o168=76:51e?827110??k5241`6>15a34>;n94;3g9>05d42=9m70:?b3877c=:<9h:69=i;<63f5<3;o168=ok:51e?827i;0??k5241;4>15a34>;5;4;3g9>04062=9m70:>61877c=:<8?m69=i;<621`<3;o168<;k:51e?826=j0??k52404:>15a34>::54;3g9>04002=9m70:>67877c=:<8<>69=i;<6221<3;o168<8<:51e?826>;0??k52407a>15a34>:9l4;3g9>04d02=9m70:>f9877c=:<82h69=i;<6215a34>:4:4;3g9>04?32=9m70:>92877c=:<83969=i;<62=4<3;o168<7?:51e?8260o0??k5240:f>15a34>:4i4;3g9>04>12=9m70:>84877c=:<8kn69=i;<62ea<3;o16815a34>:m44;3g9>04d12=9m70:>b4877c=:<8h?69=i;<62f6<3;o16815a34>:mk4;3g9>04g?2=9m70:>a6877c=:<8lh69=i;<62bg<3;o168<9<:51e?826?;0??k524052>15a34>::i4;3g9>040d2=9m70:>6`877c=:<815a34>:on4;3g9>04ee2=9m70:>c`877c=:<8n<69=i;<62`3<3;o16815a34>:h?4;3g9>04b62=9m70:>d1877c=:<8i269=i;<62g=<3;o16815a34>:in4;3g9>04ce2=9m70:>e`877c=:<8l<69=i;<62b3<3;o16815a34>:j?4;3g9>04`62=9m70:>f1877c=:<8o269=i;<62a=<3;o168<:>:51e?826<90??k52401e>15a34>:?h4;3g9>045c2=9m70:>3b877c=:<8>269=i;<620=<3;o168<:8:51e?82615a34>:894;3g9>04242=9m70:>43877c=:<89i69=i;<627d<3;o168<9;:51e?825?10??k524354>15a34>9;;4;3g9>07122=9m70:=75877c=:<;=869=i;<6137<3;o168?9>:51e?825ih0??k5243cf>15a34>9mi4;3g9>07>52=9m70:=80877c=:<;2;69=i;<613f<3;o168?96:51e?825?h0??k52435a>15a34>95?4;3g9>07?62=9m70:=91877c=:<;2m69=i;<61<`<3;o168?6k:51e?8250j0??k5243:a>15a34>9994;3g9>07g>2=9m70:=a9877c=:<;k<69=i;<61e3<3;o168?o::51e?825i=0??k5243c0>15a34>9m?4;3g9>07032=9m70:=62877c=:<;<969=i;<6124<3;o168?8?:51e?825=o0??k52437f>15a34>99i4;3g9>65ee2=9m70=k;:51e?847m:0??k5221g1>15a348;i<4;3g9>65c72=9m70=kl:51e?847mk0??k5221gb>15a348;i44;3g9>65c?2=9m70<>9:51e?8468<0??k522027>15a348:<>4;3g9>64652=9m70<>0g877c=::8:n69=i;<024a<3;o16><>l:51e?8468k0??k52202b>15a348:<44;3g9>646?2=9m70<>00877c=::8:;69=i;<03f`<3;o16>=lk:51e?847jj0??k5221`a>15a348;nl4;3g9>65d>2=9m7069=i;<03g1<3;o16>=m<:51e?847k;0??k5221a2>15a348;o=4;3g9>65da2=9m70=m6:51e?8729=0??k521430>15a34;>=?4;3g9>502d2=9m70?:4c877c=:9<>j69=i;<360<<3;o16=8:7:51e?872<>0??k521477>15a34;>9>4;3g9>50352=9m70?:50877c=:915a34;>884;3g9>504d2=9m70?:2c877c=:9<8j69=i;<366<<3;o16=8<7:51e?872:>0??k521417>15a34;>?>4;3g9>50552=9m70?:30877c=:9<9;69=i;<366c<3;o16=815a34;>>84;3g9>501d2=9m70?:7c877c=:9<=j69=i;<363<<3;o16=897:51e?872?>0??k5214:7>15a34;>4>4;3g9>50>52=9m70?:80877c=:9<2;69=i;<363c<3;o16=89j:51e?872?m0??k521455>15a34;>;84;3g9>50e42=9m70?:c3877c=:915a34;>ol4;3g9>50e>2=9m70?:c9877c=:915a34;>nn4;3g9>50ed2=9m70?:9b877c=:9<3i69=i;<36=d<3;o16=876:51e?872110??k5214;4>15a34;>5;4;3g9>50?22=9m70<:44877c=::<<=69=i;<0622<3;o16>88j:51e?842>o0??k522453>15a348>;<4;3g9>60152=9m70<:72877c=::<=?69=i;<0630<3;o16>887:51e?842>00??k52244b>15a348>:o4;3g9>600d2=9m70<:6e877c=::8k8:51e?842m10??k5224g:>15a348?554;3g9>61?>2=9m70<;9`877c=::=3i69=i;<07e6<3;o16>9o=:51e?843i80??k5225fa>15a348?h54;3g9>61b02=9m70<;d7877c=::=n>69=i;<07``<3;o16>8l8:51e?842j10??k5224`:>15a348>nl4;3g9>60de2=9m70<:bb877c=::8o8:51e?842i10??k5224c:>15a348>ml4;3g9>60ge2=9m70<:ab877c=::87k:51e?8420h0??k5224:a>15a348>4n4;3g9>60>c2=9m70<:bg877c=::=hn69=i;<07fc<3;o16>9m?:51e?843k80??k5225a1>15a348?o>4;3g9>61e32=9m70<;c4877c=::=kh69=i;<07ea<3;o16>9oj:51e?843io0??k5225`3>15a348?n<4;3g9>61d52=9m70<;b2877c=:::l869=i;<074g<3;o16>9>n:51e?843800??k52252;>15a348?<:4;3g9>61612=9m70<;12877c=::=;969=i;<0754<3;o16>9??:51e?8438o0??k52252f>15a348?616d2=9m70<;04877c=::=:?69=i;<0726<3;o16>98=:51e?843>80??k522543>15a348?844;3g9>612?2=9m70<;46877c=::=>=69=i;<0762<69<;01?:=6;3214=::=8369?7?>509>614528;>=63=43395436348?944;3g9>613?2=9m70<;56877c=::=?=69=i;<0710<3;o16>9;;:51e?843=:0??k522571>15a348??44;3g9>6d342=9m70l:i:51e?84f15a348j9l4;3g9>6d3>2=9m70l;::51e?84f==0??k522`6g>15a348j8n4;3g9>6f432=9m70n15a348h>:4;3g9>6f412=9m70n>l:51e?84d8k0??k522b37>15a348h=>4;3g9>6f752=9m70n>j:51e?84d8m0??k522b2b>15a348h<44;3g9>6g`32=9m70ohn:51e?84en00??k522cd;>15a348ij:4;3g9>6g`12=9m70l69:51e?84f0<0??k522`:7>15a348j4>4;3g9>6d>52=9m70l?l:51e?84f9k0??k522`3b>15a348j=44;3g9>6d7?2=9m70l?;:51e?84f9:0??k522`2;>15a348j<:4;3g9>6d612=9m70l>=:51e?84f880??k522`23>15a348j?94;3g9>6d542=9m70l15a348h?84;3g9>6f532=9m70o15a348i=i4;3g9>6g7d2=9m70o?7:51e?84e9>0??k522c36>15a348i=94;3g9>6g742=9m70o>i:51e?84e8l0??k522c2g>15a348i6g4>2=9m70o<::51e?84e:=0??k522c02>15a348i=;4;3g9>6g6e2=9m70l7i:51e?84f1l0??k522`;g>15a348j5n4;3g9>6d?e2=9m70lo9:51e?84fi<0??k522`c7>15a348jm>4;3g9>6dg52=9m70l=7:51e?84e<>0??k522c65>15a348i884;3g9>6g232=9m70969=i;<0a04<3;o16>o:?:51e?84e?00??k522c5;>15a348i;:4;3g9>6g112=9m70o8j:51e?84e>m0??k522c4`>15a348i:o4;3g9>6g0f2=9m70o;j:51e?84e=m0??k522c7`>15a348i9o4;3g9>6g3f2=9m70ojl:51e?84elk0??k522cf6>15a348ih94;3g9>6gb42=9m70o;?:51e?84e15a348i8i4;3g9>6gde2=9m70ol8:51e?84ej80??k522c`3>15a348imk4;3g9>6ggb2=9m70om7:51e?84ek>0??k522ca5>15a348io84;3g9>6ge32=9m70o79:51e?84e180??k522c;3>15a348i4k4;3g9>6g>b2=9m70o6n:51e?84ei00??k522cc;>15a348im:4;3g9>6gg12=9m70oo=:51e?84e080??k522c:3>15a348i;k4;3g9>6g1b2=9m7069=i;<0fba<3;o16>hhl:51e?84bnk0??k522ddb>15a348nj44;3g9>6``?2=9m70h?6:51e?84b910??k522d34>15a348on?4;3g9>6ad62=9m70h6>:51e?84b090??k522d5e>15a348oml4;3g9>6ag>2=9m70io::51e?84ci=0??k522ec0>15a348h8l4;3g9>6f2>2=9m70<69=i;<0fe7<3;o16>ho>:51e?84bi90??k522d;e>15a348n5h4;3g9>6`?c2=9m70h:6:51e?84b<10??k522d64>15a348n8;4;3g9>6`222=9m70869=i;<0f1d<3;o16>h;6:51e?84b=10??k522d74>15a348n9;4;3g9>6`322=9m70h7>:51e?84b190??k522d:e>15a348n4h4;3g9>6`>c2=9m70h96:51e?84b?10??k522d54>15a348n;;4;3g9>6`122=9m70h=6:51e?84b;10??k522d14>15a348n?;4;3g9>6`522=9m70h86:51e?84b>10??k522d44>15a348n:;4;3g9>6`022=9m70ik>:51e?84cm90??k522efe>15a348ohh4;3g9>6abc2=9m70ih>:51e?84cn90??k522ege>15a348oih4;3g9>6acc2=9m70h>>:51e?84b890??k522ede>15a348ojh4;3g9>6a`c2=9m70h?>:51e?84b990??k522d2e>15a348n6`6c2=9m70h<6:51e?84b:10??k522d04>15a348n>;4;3g9>6`422=9m70ij>:51e?84cl90??k522eae>15a348ooh4;3g9>6aec2=9m70i96:51e?84c?10??k522e54>15a348o;;4;3g9>6a122=9m70im>:51e?84ck90??k522e`e>15a348onh4;3g9>6adc2=9m70i76:51e?84c110??k522e;4>15a348o5;4;3g9>6a?22=9m70i66:51e?84c010??k522e:4>15a348o4;4;3g9>6a>22=9m7015a34;o?=4;3g9>5a4a2=9m70?k2d877c=:9m8o69=i;<3g6f<3;o16=i15a34;o?l4;3g9>5a5>2=9m70?k39877c=:9m9<69=i;<3g73<3;o16=i=::51e?87c:h0??k521e0:>15a34;o5a6e2=9m70?k0`877c=:9m:269=i;<3g4=<3;o16=i>8:51e?87c8?0??k521e26>15a34;o<94;3g9>5a642=9m70?k15877c=:9m;869=i;<3g57<3;o16=i?>:51e?87c990??k521e2e>15a34;o5a6c2=9m70?k03877c=:9l8869=i;<3f67<3;o16=h<>:51e?87b:90??k521d3e>15a34;n=h4;3g9>5`7c2=9m70?j1b877c=:9l;i69=i;<3f5d<3;o16=h15a34;n>54;3g9>5`402=9m70?j27877c=:9l8>69=i;<3f61<3;o16=h?6:51e?87b910??k521d20>15a34;ojl4;3g9>5a`62=9m70?kf3877c=:9mli69=i;<3gba<3;o16=ihj:51e?87cnj0??k521ede>15a34;n<=4;3g9>5`652=9m70?kf2877c=:9l::69=i;<3gb0<3;o16=ih9:51e?87cn=0??k521ed;>15a34;oj44;3g9>5a`02=9m70?j2d877c=:9on<69=i;<3e`f<3;o16=kjj:51e?87am90??k521gg6>15a34;mj<4;3g9>7`5d2=9m70;=e3877c=:=;h<69=i;<3`=f<3;o16>15a34>?o94;3g9>01632=9m70:9j6:51e?843j=0??k522`57>15a348j;?4;3g9>6d0a2=9m70489:51e?84>>=0??k522841>15a3482:=4;3g9>6<3b2=9m70<65b877c=::0?j69=i;<3g01<3;o16=i:>:51e?87bl<0??k521df5>15a34>im;4;3g9>14e42=9m70:15a348?5n4;3g9>61732=9m70l8?:51e?8268>0??k52402;>15a34>:<44;3g9>046f2=9m70:>0c877c=:<8:h69=i;<624a<3;o168<>j:51e?8268o0??k524033>15a34>om94;3g9>02dc2=9m70<>m9:51e?844k>0??k5222a;>15a3488o44;3g9>66ef2=9m70<?;::51e?845=?0??k522374>15a3489954;3g9>673>2=9m70<=5`877c=::;?i69=i;<011f<3;o16>?;k:51e?824:m0??k524212>15a34>8?>4;3g9>06522=9m70:<36877c=:<:9269=i;<607g<3;o168>=k:51e?824;o0??k524262>15a34>8>k4;3g9>05312=9m70:?60877c=:<915a34>;;>4;3g9>05132=9m70:?74877c=:<9?<69=i;<631=<3;o168=;6:51e?827=h0??k52417a>15a34>;9n4;3g9>053c2=9m70:?5d877c=:<9?m69=i;<6325<3;o168=8=:51e?827>:0??k524147>15a34>;:84;3g9>05012=9m70:?66877c=:<9<369=i;<632<<3;o168=8n:51e?827>k0??k52414g>15a34>;:h4;3g9>0`4a2=9m70:j31877c=:15a34>o8>4;3g9>0a222=9m70:k46877c=:69=i;<6g72<3;o168i=6:51e?82c;k0??k524e1g>15a34>o=>4;3g9>0a722=9m70:k16877c=:15a34>o>k4;3g9>0a562=9m70:k32877c=::51e?82c::0??k524e06>15a34>o>:4;3g9>5a172=9m70?k70877c=:9mk0??k521e44>15a34;o:54;3g9>5a032=9m70?k64877c=:9m<:69=i;<3g27<3;o16=i;j:51e?87c=o0??k521e7a>15a34;o9n4;3g9>5a>e2=9m70?k8b877c=:9m2369=i;<3g<<<3;o16=i6::51e?87c0?0??k521e:1>15a34;o4>4;3g9>5a1a2=9m70?k81877c=:9m=h69=i;<3g3a<3;o16=i96:51e?87c?h0??k521e55>15a34;o;:4;3g9>5a142=9m70?k75877c=:9m?369=i;<3g1<<3;o16?i:9:51e?85c<>0??k523e6;>15a349o844;3g9>7a2f2=9m70=k4c877c=:0??k524e;e>15a34>o554;3g9>0`b02=9m70?l9e877c=:o69=i;<1g4a<3;o16?i>m:51e?85c9l0??k523e3`>15a349o=l4;3g9>7a7?2=9m70=k17877c=:;m;?69=i;<1g57<3;o16?i??:51e?85c8l0??k523e2;>15a349o>94;3g9>7a452=9m70=jad877c=:;lk;69=i;<1ffg<3;o16=5ll:51e?870jj0??k5219`a>15a34;5=df2=9m70?8b`877c=:91h269=i;<34f<<3;o16=5l7:51e?870j10??k5219`4>15a34;5=c?2=9m70?8e7877c=:91o<69=i;<34a0<3;o16=5k9:51e?870m=0??k5219g6>15a34;4;3g9>5=c32=9m70?8e3877c=:91n269=i;<34`2<3;o16=5ml:51e?870kj0??k5219a2>15a34;5=d12=9m70?8b7877c=:91h869=i;<34f0<3;o16=5mj:51e?870kl0??k5219ag>15a34;5=ee2=9m70?8cc877c=:91ij69=i;<34gd<3;o16=5m6:51e?870k00??k5219a;>15a34;5=e02=9m70?8c6877c=:91i=69=i;<34g3<3;o16=5m::51e?870k<0??k5219a7>15a34;5=e42=9m70?8c2877c=:91i969=i;<34g7<3;o16=5m?:51e?870k90??k5219`e>15a34;5=db2=9m70?8bd877c=:91ho69=i;<34fa<3;o16=5k>:51e?870lo0??k5219g3>15a34;5=ba2=9m70?8de877c=:91nn69=i;<34`f<3;o16=5jk:51e?870lk0??k5219f`>15a34;5=be2=9m70?8d8877c=:91nj69=i;<34`=<3;o16=5j7:51e?870l?0??k5219f4>15a34;5=b12=9m70?8d5877c=:91n>69=i;<34`6<3;o16=5j;:51e?870l;0??k5219f0>15a34;5=b52=9m70?8d1877c=:91im69=i;<34gc<3;o16=hjj:565?87bll0?8n521dg2>12134;ni<4;4b9>16?>2=>=70;<98870f=:=:3=69:9;<70=3<37<:565?8341:0?8n5252;3>12134?85=4;4b9>0g552=>=70:m33870f=:12134>i>k4;4b9>0ged2=>i70:mcb870`=:<:8269:9;<606<<3:51e?87bl;0??k521b56>15a34;h;54;3g9>5f1>2=9m70?jc9877c=:9li269=i;<3fg`<3;o16=hmi:51e?87bkk0??k521da`>15a34;nnk4;3g9>5`e72=9m70?jc4877c=:9li=69=i;<3fg7<3;o16=hm<:51e?87bjj0??k521d`g>15a34;nn44;3g9>5`df2=9m70?jb1877c=:9lh:69=i;<3ff3<3;o16=hl8:51e?87bj:0??k521d`7>15a34;nmi4;3g9>5`gb2=9m70?ja`877c=:9lki69=i;<3fe4<3;o16=ho=:51e?87bi>0??k521dc;>15a34;nm94;3g9>5`g22=9m70?j9d877c=:9l3m69=i;<3f=g<3;o16=h7l:51e?87b1;0??k521d;0>15a34;n554;3g9>5`?>2=9m70?j94877c=:9l3=69=i;<3f<<<3;o16=h6n:51e?87b0o0??k521d;3>15a34;n4n4;3g9>5`>c2=9m70?j81877c=:9l2:69=i;<3f<3<3;o16=h68:51e?87b0:0??k521d:7>15a34;n;i4;3g9>5`1b2=9m70?j7`877c=:9l=i69=i;<3f34<3;o16=h9=:51e?87b?>0??k521d5;>15a34;n;94;3g9>5`122=9m70?j6d877c=:9l;0??k521d40>15a34;n:54;3g9>5`0>2=9m70?j64877c=:9l<=69=i;<3f1c<3;o16=h8?:51e?87b=j0??k521d7g>15a34;n9>4;3g9>5`332=9m70?j58877c=:9l?j69=i;<3f13<3;o16=h;8:51e?87b15a34;n9=4;3g9>5`362=9m70?j4e877c=:9l>n69=i;<72=2<3;o169=hn:51e?87b<>0??k521d6;>15a34;n894;3g9>5`222=9m70;>99877c=:=9li69=i;<72e5<3;o169<><:51e?836i:0??k525025>15a34?:m<4;3g9>14632=9m70;>a3877c=:=8:>69=i;<72e1<3;o169<>8:51e?836i<0??k52502;>15a34?:544;3g9>15`d2=9m70;>a7877c=:=8:269=i;<72e2<3;o169<>n:51e?8361h0??k5251dg>15a34?:5o4;3g9>15`b2=9m70;>9d877c=:=8::69=i;<72=f<3;o169=hi:51e?8361m0??k525023>15a34?:m44;3g9>146d2=9m70;>9g877c=:=8k369=i;<724g<3;o16915a34?:=94;3g9>14d52=9m70;>14877c=:=8h?69=i;<7252<3;o16915a34?:=o4;3g9>14d12=9m70;>18877c=:=8h<69=i;<725d<3;o16915a34?:14gb2=9m70;>10877c=:=8kh69=i;<724c<3;o16915a34?:=?4;3g9>14d72=9m70;>12877c=:9l>96>h>d:?2a17=;o;o7p}:27;94?4|5<9;47:7d:?663g=m>n0q~;=6c83>1}:=::369=>;<71a3<3;8169>>6:512?835>j0n;i5rs413>7:0243>;6mmi14l84=40f5?>f;279>5:58`38974?;32j863=29a901??>d;:b7>;3f927:49m58`0896`5=32j=63=0809;5=0914l:4=3675?>f<279o>m58`089046:32j=63:2049;2:8=14l:4=057g?>f927?>o658`38975a:32j=63;22;901?>64;:b0>;5:1o14l?4=332b?>f927?=kh58`08yv35>m0;6m27>>5?54238904?>3>8=63:2959067<5<8347:<1:?66=?=<:;018<7a;605>;2:1h18>?4=40;g?24927>>:h54238904?83>8=63:2909067<5<83?7:<1:?66=2=<:;018<75;605>;2;=i184k4=405a?c0l2wx9?88:181835m?0?4i52534;>`1c3ty>>h850;dx904b>3;;;:523`a6>=g2349jo<47a09>7de021k>70=nbb8;e0=:9lnh65o:;<1bfc=g334?9i<47a59>177121k?70;<1e62169?9?:9c2?82bi003m<524g0:>=g334;h5o47a39>0`g221k970=l1d8;e0=z{<9;57>52gy>166>28:<;63:28f9;2:0;14l?4=30;e?>f:279>5m58`089776j32j>63=10f9ii76n3:?7736=0h801<6;c;:b0>;4n;<14l<4=32:6?>f<279<;m58`089437132j>63>5139;5mm;14l:4=3ga6?>f:279iik58`3897cb832j=63=ed09;5mlk14l?4=3gfg?>f9279iio58`6897cc132j?63:2d19io76n1:?11<7=0h;01<9;c;:b7>;5:1214l:4=332=?>f<27?>o658`78975a:32j963;22;9;598l14l:4=53eb?>f;2wx8hll:180835080?5h524daf>460?27:o5;58`08yv35?>0;6<6i{<71<4<68>=01<9=5;:b5>;6?:=14l<4=0512?>f927:;>658`089415n32j=63>7539;6?=914l<4=0506?>f927:;9:58`089414;32j=63>7579;6?==14l?4=0502?>f927:;9658`089415?32j=63>72;9;6?:h14l<4=051e?>f927:;>m58`089415j32j=63>72f9;6?:l14l<4=051a?>f927:;9>58`08971b;32j=63=7d:9;5?lk14l?4=35ff?>f9279;k=58`08971b=32j=63=7da9;5?lo14l?4=35fb?>f9279;k;58`08971b?32j863=7g29;2:0314l?4=40:f?>f;27>>4h58`18904>932j:63=29c9;598h14l=4=3327?>f:279=32j=63=1059ii76n1:?7736=0h901<9;a;:b1>;6?<814l;4=0564?>f:27:;8:58`789412>32j963>74a9m76n2:?230c=0h?01<990;:b1>;6??>14l=4=0556?>f=27:;;858`389411032j963>77a9;6?>>14l=4=0546?>f=27:;:858`389410032j963>76a9;6?1>14l;4=05;6?>f927:;5858`78941?032j?63>79a9;6?k>14l<4=05:4?>f=27:;4:58`18941>:32j963>7849;6?0o14l?4=05b4?>f;27:;l:58`78941f:32j=63>7`49;6?ho14l;4=0:g5?>f:27:o?858`3894>3j32j?63>bb59;60k?14l=4=2d63?>f;278j8658`1896`2132j?63n76n3:?0b7>=0h901>h=9;:b7>;4n;o14l=4=2d04?>f;278j>=58`1896`4<32j?63h<9;:b7>;4n:h14l=4=2d0`?>f;278j9<58`1896`3;32j?63k3;:b5>;38m?14l<4=5037?>f;27?>=;58`38914e932j?63;25`9;580814l?4=325g?>f;27:9=m58`089437932j963>4gg9mh76n1:?20ce=0h;01<:ib;:b5>;6f927:8k658`38942a?32j=63>4g49m976n1:?20c2=0h;01<:i3;:b5>;6f927:8hh58`38942a832j=63=5819?=76n3:?1=``=0h;01?7i0;:b5>;51m314l;4=3;g`?>f:2795h758`0897?bl32j=63=9e`9;51ml14l?4=3;f1?>f<2795h?58`6897?b;32j=63=ee09=0h;01?kk4;:b6>;5mji14l<4=3g`0?>f;279io?58`6897cei32j=63=ecg9=0h901?km4;:b7>;5mki14l<4=3gg`?>f;279in<58`3897cd>32j?63=ebg9;2:8i14l;4=401b?>f=27>>>h58`789043:32j963:22f9;2:<914l?4=4063?>f927>>8l58`389041832j:63:2769=0h;01<9>5;:b6>;6?8>14l<4=0527?>f:27:;<<58`089416932j>63>7029;6?9i14l<4=053f?>f:27:;=o58`089417132j>63>71:9;6?9914l<4=0536?>f:27:;=?58`089417832j>63>6gd9;6>oh14l<4=0531?>f:27::ko58`0896`4i32j:63h;4n=:14l=4=2d71?>f9278j9858`1896`3032j=63h;e;:b5>;4n=l14l=4=2d65?>f9278j8<58`1896`2=32j:63;5?mh14l=4=3ggb?>f:279ih?58`0897cb;32j>63=ed79;5mln14l<4=3ggf?>f:27>>>o58`3896g>k32j?63on5;:b5>;4ih914l?4=2cb4?>f9278m4h58`38904b;32j963ona;:b2>;4ih;14l84=2c:f>278m5j58`4896g?932j86301>o70;:b0>;4i?<14l:4=2c64?>f<278m9h58`6896gf>32j86376n4:?0e01>o7e;:b0>;4i1i14l:4=2c:a?>f=278m4j58`7896g>i32j963o65;:b1>;4i0>14l;4=2c:7?>f=278m4<58`7896g>932j963o77;:b7>;4i1?14l=4=2c5b?>f;278m;j58`1896g1032j?63o:f;:b7>;4if;278m8758`1896g2?32j?63;38mk14l?4=56ag?>f:27994?58`089147j32j963;0bf9=0h;019>kf;:b5>;3:k914l=4=5065?>f<279>5658`089776132j>63;2c:976n3:?74a1=0h>019>lf;:b6>;3:=n14l:4=325a?>f;27:9=658`18976><32j>63=29g9o:2;:b6>;2:9n14l<4=35f5?>f9279;h<58`3896`4932j=63o8d;:b7>;4i>o14l84=2c4e?>f;278m:l58`4896g0?32j?63o81;:b7>;4i>814l84=402`?77i1169?=;:02b<>;6mmo1>h>4=0gf5?4b82wx8hlk:1808350?0?5h524df;>460?27:o5858`08yv35?10;6<>?{<71<3<68>=01?<6c;6:a>;59;n184k4=0511?>f:27:;?858`089415n32j>63>7229;6?:>14l<4=0501?>f:27:;>858`089415?32j>63>73:9;6?;i14l<4=051`?>f:27:;?k58`08971b;32j>63=7d:901?9j4;:b6>;5?lk14l<4=35ff?>f:279;k=58`68971b=32j>63=7da901?9j6;:b6>;5?lo14l<4=35fb?>f:279;k;58`68971b?32j>63=7g29;2:0314l<4=40:f?>f:27>>4h58`08904>932j>63>75c9>76n2:?2306=0h;01<9:4;:b0>;6?<<14l?4=056g?>f:27:;8o58`389412m32j863>7729;6??214l?4=055g?>f=27:;;o58`389411m32j?63>7629;6?>214l?4=054g?>f<27:;:o58`389410m32j863>7929;6?1214l?4=05;g?>f<27:;5o58`08941?m32j863>7c29;6?0814l?4=05:2?>f;27:;4658`38941>k32j963>78c9;6?h814l<4=05b2?>f;27:;l658`38941fk32j?63>7`c9;60=h14l<4=0``3?>f927:nnm58`7894>1132j>63>c139h:8;:b6>;4n<314l<4=2d6e?>f:278j8l58`0896`5032j>63h<3;:b6>;4n:>14l<4=2d01?>f:278j>858`0896`4?32j>63h;2;:b6>;4n=914l<4=2d67?>f:278jk958`18916dj32j863;0e19;3:k;14l<4=507f?>f927?>9758`089144k32j863;2259;51o:14l=4=3;g=?>f92795ij58`6897?b132j=63=9df9;51o814l?4=3;gb?>f:2795h;58`7897?b932j=63=9d1976n3:?1aa0=0h801?kk8;:b6>;5mm>14l?4=3g`g?>f9279in:58`3897ce932j=63=ecc901?km8;:b5>;5mk>14l:4=3gag?>f9279iij58`3897cd:32j>63=eb49;5mj214l=4=402g?>f<27>>?h58`689044n32j863:2509018<;c;:b1>;2:<:14l;4=4060?>f=27>>8658`789042k32j963:24d9;6?8?14l?4=0527?>f927:;71f9;6?9>14l?4=0536?>f927:;=>58`38940am32j=63>6ga9h;4n;h14l<4=2d1g?>f9278j>h58`0896`3832j=63=0h801>h;9;:b5>;4n=h14l<4=2d7g?>f9278j9k58`0896`3n32j=63>76n1:?0b03=0h?01>h:6;:b0>;38j=14l=4=52ga?>f;279;ih58`38971cj32j=63=eed901?kj5;:b0>;5ml=14l:4=3gf=?>f<279ihl58`6897cbl32j863=eec9;4ihl14l<4=2cb`?>f:27?>>l58`68916ci32j>63;21`9;3:<;14l=4=52g3?>f;27?k4>0`:89143?32j963;1gg9;2::<14l=4=0gga?4b927:ih?52d38yv2bjl0;6>u253:4>1?b34>nh44>065894e??32j>6s|535:>5<0nr7>>5951154?8451m0?5h52200f>1?b34;525?21k:70?8408;e4=:9>>965o>;<3406=g434;<8:47a29>522?21k:70?8388;e4=:9>9j65o>;<347g=g634;522721k:70;=9e8;e7=:=;3265o<;<71=g=g534;<9:47a29>52d621k970?7d08;e1=:9j8=65o<;<3;0g=g434;h<<47a29>5=d221k>70=ifg8;e7=:9<:265o:;<3644=g534;?jo47a39>51`f21k970?;f88;e7=:9=l365o=;<37b2=g534;?j>47a39>51`521k970?;f08;e7=:9=om65o=;<37b54ki:9c1?84>n903m85228f:>=g43482hi47a29>621k870<6ee8;e7=::0ni65o<;<0:ag4k8:9c0?84>n;03m95228fe>=g23482i847a09>6n=7:9c1?84d;>03m?522b15>=g5348nh<47a39>6`ed21k870hlj:9c2?84bj?03m?522d`;>=g3348nn947a09>6`dd21k?70hmj:9c1?84bkh03m<522da3>=g6348no547a49>527321k870?8138;e6=:9>;;65o<;<344`l:9c0?8708h03m>52162;>=g434;<<;47a29>526421k870?8008;e6=:9?lm65o<;<35ba52431b>=g634862bb21k870<8d88;e6=::>nj65o<;<0f`chk>:9c2?84bm:03m<522dg6>=g6348ni:47a09>6`c>21k:70;<0f`dhj6:9c7?835m:03m?5226g2>=g534804`b21k870?jdd81a7=:9lo:6?k=;|q7ag`=839p18<78;6:a>;3mmk1==98;<3`<=87:?2340=99k370?816824d><58=:j7??a99>524728:j463>733955g?34;<>?4>0`:89415;3;;m5521607>46f027:;<6511c;?870900:;6?8i1==o7;<345a<68h201<9>e;33e==:9>>j65o=;<3417=g534;<9n47a09>523f21k870?85d8;e4=:9><;65o=;<3421?03m952164;>=g534;<:n47a59>520f21k?70?86d8;e1=:9>=;65o<;<3431=g434;<;n47a09>521f21k970?87d8;e7=:9>2;65o;;<34<1=g334;<4n47a09>52>f21k?70?88d8;e4=:9>h;65o=;<34f1=g534;<5;47a39>52??21k870?89b8;e4=:9>3j65o=;<34=`=g434;52g?21k>70?8ab8;e4=:9>kj65o<;<34e`:9c2?87d:?03m?52196a>=g634;io:47a49>5ged21k?70?7688;e4=:9j::65o;;<3;f0mo03m95228d3>=g33482h447a39>64km:9c7?84>m>03m85228d1>=g53482hk47a29>6n=6:9c2?84d;103m<522b14>=g6348h?;47a09>6`b621k870hln:9c0?84bjl03m?522d`5>=g4348nn547a49>6`d321k>70hm9:9c7?84bkl03m9522dab>=g3348no=47a59>6`e?21k?70?8158;e4=:9>;965o>;<3455j:9c2?8708j03m<52162b>=g634;<<547a09>526121k:70?8028;e4=:9>::65o>;<35bc=g634>9?l47a39>62bc21k970<8dd8;e4=::>n265o=;<04`dhjj:9c0?84bm903m>522dg1>=g4348ni947a29>6`c121k870hjn:9c0?84bl003m?523`4a>=g4349j9>47a29>176b21k870<8e08;e6=::>o965o=;<62bc5rs5g`4?6=;r7>>57548g891ccj3;;;:521b::>=g53ty>>:l50;67835000:<:94=057e?>f;27:;8<58`189412832j863>7469:76n3:?230e=0h901<9:a;:b0>;6?f;27:;;:58`389411:32j>63>7749;6??o14l<4=0544?>f:27:;::58`789410:32j863>7649;6?>o14l=4=05;4?>f=27:;5:58`18941?:32j?63>7949;6?1o14l=4=05a4?>f;27:;o:58`68941>832j863>786976n3:?23<0=0h?01<968;:b0>;6?0i14l<4=05:e?>f;27:;4k58`78941f832j>63>7`6976n5:?23d0=0h801<9n8;:b6>;6?hi14l<4=05be?>f=27:;lk58`0896`an32j=63=ee3976n1:?1aac=0h>01?kj0;:b0>;5ml814l:4=3gf0?>f<279ih858`6897cb032j863=edc9;3::314l:4=53eb?>f<27:iik52d6894cb938n86s|4da2>5<4s4?94l4;9d9>0`bd28:<;63>c9c9=g334;<9?47a59>523721k>70?8558;e6=:9>?=65o;;<341f521643>=g334;<:947a39>520521k870?8678;e0=:9><365o;;<342fl03m8521653>=g334;<;947a39>521521k870?8778;e0=:9>=365o;;<343f=g534;<4947a39>52>521k>70?8878;e7=:9>2365o=;<34=g334;52?721k970?8958;e1=:9>3965o;;<34=3=g334;<5h47a59>52g721k?70?8a58;e1=:9>k965o;;<34e3=g334;7c`021k970:?cc8;e0=:<9n865o<;<63`0<:9c2?8258<03m95243`2>=g634>98o47a29>072>21k870:=3b8;e4=:<;9<65o<;<617g5241ag>=g134>98847a09>07d421k:70:=508;e7=:<9n<65o:;<63gc5241fg>=g434>98:47a39>04`a21k:70?jdd81a0=:9lo:6?k:;|q7af4=839p18<7b;6:a>;3mmn1==98;<3`87:?0b01=0h;01>h:8;:b5>;4n<314l?4=2d6e?>f9278j8l58`3896`5032j=63h<3;:b5>;4n:>14l?4=2d01?>f9278j>858`3896`4?32j=63h;2;:b5>;4n=914l?4=2d67?>f9278j?;58`0896`5>32j=63h:6;:b5>;4ihl14l=4=2cb`?>f;278j?:58`0896`5?32j>63:20594;:b7>;4n:;14l<4=2d06?>f927?=kk58`3896g0m32j>63o82;:b6>;6mmo1>io4=0gf5?4ci2wx8hm<:1808350j0?5h524dff>460?27:o5m58`08yv35?l0;6:u253:`>460?27:;9l58`389412?32j=63>7c39{t;6k1;14l<4}r7134<72>q69?9i:0243>;6?=h14l=4=0563?>f:27:;o?58`3894>3k32j963>eeg96ae<58on=76<>87:?2g=b=0h80q~;=7383>6}:=;2;6<>87:?2aac=:mn01{t{t=;=86=47bz?66=4=99=<70=na88;e4=:;hk365o=;<1bf==g5349jn947a39>7dd421k970=nb38;e7=:=;;;65o=;<1bg0:9c7?85fk>03m>523```>=g434;nhn47a29>7dda21k?70=nb`8;e4=:;hi865o>;<7123525301>=g434?9>>47a29>174321k870;=248;e6=:=;8<65o<;<716<52530g>=g434?9?<47a29>175521k870;=198;e6=:=;o:65o:;<7150n:?:9c1?8359j03m?52530e>=g534?9?k47a39>172521k970;=3e8;e7=:=;8h65o=;<7175=g534?99<47a49>173321k970;=548;e0=:=;?365o=;<711<=g534?9:<47a49>170321k970;=648;e0=:=;>>65o=;<7103=g6349j5n47a39>7d>a21k970;=0g8;e7=:;hk<65o<;<1be0523`;e>=g4349jmh47a09>7dgd21k:70=nac8;e7=:;hkj65o=;<1be4=g5349j;k47a39>7d>421k970=n838;e7=:;h2;65o=;<1b23=g5349jm947a39>7dg521k970=n9c8;e7=:;h2n65o=;<1b=g5349j5447a39>7d?021k970=n978;e7=:;h3>65o=;<1b=1=g5349j5=47a39>7dd621k970=nb18;e7=:;h2<65o>;<1b<0m03m<523`4;>=g6349j:847a09>7d0421k:70=n608;e4=:;h?m65o>;<1b1a=g6349j9847a09>7d>e21k:70=n888;e4=:;o8?65o:;<1e62k03m<523`71>=g6349j9>47a09>176c21k:70;=0d8;e4=:;h=h6<>n8:?0e2?=99k370=n77824d><5:k7d1728:j463:20d9{t{t=;=?6=47dz?66=5=99=<70=na88;e7=:;hk365o>;<1bf==g6349jn947a09>7dd421k:70=nb38;e4=:=;;;65o>;<1bg0:9c6?85fk>03m9523```>=g334;nhn47a59>7dda21k870=nb`8;e6=:;hi865o=;<7123=g334?9>>47a59>174321k?70;=248;e1=:=;8<65o;;<716<=g334?9?<47a59>175521k?70;=198;e1=:=;o:65o=;<7150n:?:9c7?8359j03m<52530e>=g634?9?k47a09>172521k:70;=3e8;e4=:=;8h65o<;<7175525373>=g434?99<47a29>173321k870;=548;e6=:=;?365o<;<711<525343>=g434?9:<47a29>170321k870;=648;e6=:=;>>65o<;<7103=g4349j5n47a09>7d>a21k:70;=0g8;e4=:;hk<65o;;<1be0=g3349jmh47a39>7dgd21k970=nac8;e4=:;hkj65o>;<1be4=g6349j;k47a09>7d>421k:70=n838;e4=:;h2;65o>;<1b23=g6349jm947a09>7dg521k:70=n9c8;e4=:;h2n65o>;<1b=g6349j5447a09>7d?021k:70=n978;e4=:;h3>65o>;<1b=1=g6349j5=47a09>7dd621k:70=nb18;e4=:;h2<65o=;<1b<0m03m?523`4;>=g5349j:847a39>7d0421k970=n608;e7=:;h?m65o=;<1b1a=g5349j9847a39>7d>e21k970=n888;e7=:;o8?65o9;<1e62;4i<;1==o7;<714f<68h201>o8d;:b5>;4i>o14l?4=2c4e?>f9278m:l58`3896g0?32j=63o81;:b5>;4i>814l?4=402b?>f:27>>?>58`089044=32j=63:2249nn44?:3y>17>32=3n70:jd58242146f0278m98511c;?85f<<0:;4i=;1==o7;<1b05<68h201>on8:?0e6b=99k370=n3b824d><5:k8n7??a99>7d5f28:j4630`:896g3k3;;m5523`6a>46f0278m9o511c;?85f<00:;4i:31==o7;<1b7=<68h201>o=0;33e==:;hi>65o>;<1bg4=g6349jnk47a09>7ddf21k970=nc28;e1=:=;<=65o>;<715d=g234?9>947a49>174221k>70;=268;e0=:=;8265o:;<7163=g234?9??47a49>177?21k>70;=e08;e4=:=;;>65o=;<0`05:9c2?8359k03m<52530f>=g634?9?:47a09>175e21k:70;=3d8;e4=:=;>:65o>;<717f=g334?98i47a59>173721k?70;=508;e1=:=;??65o;;<7110=g334?99i47a59>170721k?70;=608;e1=:=;=g634?98l47a39>7c4321k?70=i268;e1=z{=oim7>52z?66=3=<0o019kk5;3332=z{<8<:7>52gy>17>228:<;63omc;:b5>;6mmi14l<4=2cab?>f=278moo58`6896gd;32j?63:2749;2:;914l?4=4010?>f927>>?;58`389045?32j=63:23;9;2::;14l?4=4006?>f927>><658`38904b932j:63:2049b;:b6>;2:;o14l<4=4003?>f:27>>>l58`089044m32j>63:2539;2:<;14l?4=4061?>f927>>8758`389042l32j=63:2739;4n;>14l=4=2d13?>f;2wx9?k7:181834:j0?5h525302>`1c3ty>?=m50;0x9055k3;;;:5248df>=g43ty>>k=50;0x9055l3>2i63:20`9a2b164c28:<;63;9g;9165?2=3n70;=2d8f3a=z{<99>7>52z?676>=99=<70:7bd8;e0=z{<9;=7>52z?6715=<0o018<<7;g4`>{t=:8>6=4={<7006<68>=0196jd;:b1>{t=::96=4={<7003<31l169?=m:d5g?xu2;;<1<71685hl:9c6?xu2;991<7m27>>>m5e6f8yv34:>0;6?u25264>460?27?5=k58`78yv348=0;6?u2526;>1?b34?9?h4j7e9~w05503:1>v3:35:9551034>2=h47a49~w057=3:1>v3:35;902909w0;<4882421<5=38476n5:p16612909w0;<4`87=`=:=;>=6h9k;|q677g=838p18=;a;3332=:<0>m65o:;|q6751=838p18=;b;6:a>;2:=k1i:j4}r706g<72;q69>:m:0243>;31??k51154?82?ik03m85rs40fe?6=:r7>??h548g89043l3o5<5s4?8>k4>065891?1j32j96s|53ga>5<5s4?8?=4;9d9>17362l=o7p}:31d94?4|5<98<7??769>0<1?21k>7p}:2da94?4|5<98=7:6e:?6603=m>n0q~;<1183>7}:=:9:6<>87:?7==4=0h?0q~;=ee83>7}:=:99697j;<711<1;296~;2;:81==98;<6:=7?=:181834;:0:<:94=5;:b?>f=2wx9?ki:181834;=0?5h525342>`1c3ty>?<=50;0x9054<3;;;:5248ce>=g23ty>>k>50;0x9054=3>2i63:2779a2b165228:<;63;9cg916512=3n70;=198f3a=z{<9:97>52z?6760=99=<70:6cc8;e0=z{<8m>7>52z?6761=<0o018<>a;g4`>{t=:;=6=4={<7072<68>=0197j9;:b1>{t=;l?6=4={<707<<31l169??j:d5g?xu2;821<7168l>9:9c6?xu2:o?1<7m27>>?<5e6f8yv34900;6?u2521b>460?27?m<858`78yv35n?0;6?u2521a>1?b34?9>>4j7e9~w056i3:1>v3:32`9551034>j>>47a49~w04a?3:1>v3:32a906h9k;|q674e=838p18=;2:;<1i:j4}r705a<72;q69>=j:0243>;3i=<14l;4}r71bd<72;q69>=i:5;f?835:>0n;i5rs412a?6=:r7>?>h51154?82f=;03m85rs40ef?6=:r7>?9>548g8904513o5<5s4?88=4>065891g2m32j96s|53d`>5<5s4?88<4;9d9>174e2l=o7p}:33294?4|5<9?=7??769>0d0f21k>7p}:2gf94?4|5<9?>7:6e:?667b=m>n0q~;<2083>7}:=:>96<>87:?7e20=0h?0q~;=fg83>7}:=:>?697j;<71741==98;<6;gd<;:181834<<0:<:94=5:ge?>f=2wx9?k8:1808348k0?5h52534b>=g434?9=:4j7e9~w4`aj3:1=l?t=413f?2?l27>?9m549f897e4m3>3h63k77;6;`>;4m0;185j4=2g4b?2?l278ilh549f896cf93>3h63j>d;6;`>;4l8h185j4=2f2=?2?l278h<9549f896b6=3>3h63j?9;6;`>;4l9=185j4=2f0g?2?l278h>l549f896b4i3>3h63j;4;6;`>;4l=9185j4=2f76?2?l278h9?549f896b383>3h63j<6;6;`>;4l:?185j4=2g71?77>l16?i:i:025a>;4l=o1==8j;<1f03<30m16?i<<:5:g?85c:80?4i523e4f>1>c349n?o4;8e9>7`5>2=2o70=j39871>c349n??4;8e9>7`562=2o70=j31871>c349n>44;8e9>7`4?2=2o70=j26871>c349n8=4;8e9>7`5a2=2o70=j3d871>c349hj<4;8e9>7f`f2=2o70=lf8871>c349hj>4;8e9>7f`72=2o70=leg87?696k;<71e4<30m169?o?:5:g?835ll0:<;k4=40g0?2?l27>>i=549f8904c:3>3h63:2e390=b<5<8o<7:7d:?66f`=<1n018;2:jn185j4=40gg?2?l27>>il549f8904ci3>3h63:2e;90=b<5<8o47:7d:?66a1=<1n018;2:m?185j4=40`g?2?l27>>nl549f8904e83>3h63:2`d90=b<5=n;n7:7d:?7`<2=<1n019j69;6;`>;3j3h63;b4590=b<5=njo7:7d:?7`d6=<1n019lnc;6;`>;3j<;1==8j;<6a15<68?o019l;f;332`=:n6<>9e:?7f1b=990gg?2=2o70;=07871>c34>h>k4;8e9>0f4b2=2o70:l2e871>c34>h>:4;8e9>0f5e2=2o70:l3`871>c34>h?<4;8e9>0f412=2o70:l24879e:?6405=99o7??6d9>153e28:=i63:04c9550b34?;944>07g8906203;;:h525174>461m27><885114f?837=<0?4i524e6b>1>c34?;<94;8e9>0a2>2=2o70:mfc873h63;c6d90=b<5=i;3k>=185j4=5a41?2?l27?o:=549f891e093>3h63;c7d90=b<5=i=h7:7d:?7g<0=<1n019m64;6;`>;3k08185j4=5a:4?2?l27?o5k549f891e?k3>3h63;c9c90=b<5=i3>7:7d:?7g3e=<1n019m9a;6;`>;3kji185j4=5a`e?2?l27?on6549f891ed<3>3h63;cb090=b<5=ih<7:7d:?7ggc=<1n019mmc;6;`>;3kkk185j4=5aa3h63;cc090=b<5=ion7:7d:?7ga?=<1n019mk7;6;`>;3km?185j4=5ag7?2?l27?oi?549f891edn3>3h63;cb590=b<5=ii=7:7d:?7gd`=<1n019h=7;6;`>;3n;k185j4=30:3?2?l279>4k5114f?845110?4i52200;>1>c348:>k4>07g8977513>3h63=14590=b<5;;>:7:7d:?1503=<1n01??:4;6;`>;59<9185j4=3366?2?l279>n8549f8974d=3>3h63=2b690=b<5;8h?7:7d:?16f4=<1n01?;5:;n185j4=301a?2?l279>?m549f89740i3>3h63=26`90=b<5;8<57:7d:?162>=<1n01?<87;6;`>;5;0i185j4=31:`?2?l279?4l549f8975b13>3h63=3dc90=b<5;9n47:7d:?17`1=<1n01?=j6;6;`>;3<9<185j4=5637?2?l27?8=<549f8912793>3h63;41290=b<5=>;57:7d:?70f>=<1n019:m5;6;`>;31>c34>><54;8e9>01172=2o70:<6d879;:5:g?824?:0?4i524251>1>c34>8;<4;8e9>06172=2o70:<6g8769:5:g?8240l0?4i5242:g>1>c34>84n4;8e9>06>e2=2o70:<8`8768:5:g?8240<0?4i5242:7>1>c34;ij;4;8e9>5g`32=2o70?mf2871>c34;iin4;8e9>5gce2=2o70?me`871>c34;h>n4;8e9>5f7a2=2o70?l1587:5:g?87ek10?4i521ca6>1>c34;mjo4j7e9>5=dd28:=i63>7ca9550b34;3no4>07g8941ej3;;:h5219`b>461m27:;oo5114f?87?j00:<;k4=05a=?77>l16=5l7:025a>;6?k21==8j;<3;f2<68?o01<9m7;332`=:91o36<>9e:?23`0=995=c128:=i63>7d69550b34;3i84>07g8941b;3;;:h5219g7>461m27:;h<5114f?87?l00:<;k4=05g3?77>l16=5ml:025a>;6?ji1==8j;<3;g4<68?o01<9l1;332`=:91h=6<>9e:?23g0=995=eb28:=i63>7bg9550b34;3oi4>07g8941dl3;;:h5219aa>461m27:;nl5114f?87?kh0:<;k4=05`e?77>l16=5m6:025a>;6?j31==8j;<3;g=<68?o01<9l8;332`=:91i<6<>9e:?23f1=995=e228:=i63>7b79550b34;3o94>07g8941d<3;;:h5219a0>461m27:;n=5114f?87?k;0:<;k4=05`6?77>l16=5m?:025a>;6?j:1==8j;<3;fc<68?o01<9mf;332`=:91hn6<>9e:?23gc=995=c628:=i63>7ed9550b34;3i=4>07g8941cm3;;:h5219fe>461m27:;ij5114f?87?ll0:<;k4=05gg?77>l16=5jk:025a>;6?mh1==8j;<3;`f<68?o01<9ka;332`=:91ni6<>9e:?23a?=995=b?28:=i63>7e49550b34;3h:4>07g8941c=3;;:h5219f5>461m27:;i:5114f?87?l<0:<;k4=05g7?77>l16=5j;:025a>;6?m81==8j;<3;`6<68?o01<9k1;332`=:91n96<>9e:?23a6=990c4d21k>7p}:35a94?5|5<9?o7??769>170d21k:70;=6`8;e1=z{=ooj7>53z?673b=<0o01>jmb;6:a>;3mol1==98;|q663`=838:w0;<6e8774=:=:;<703<<3;8169>6;:512?8340>0??<5252:;>15634?8444;309>16>f2=9:70;<8c8774=:=:2h69=>;<702c<3;8169>9?:512?834?80??<525251>15634?8;>4;309>16132=9:70;<748774=:=:==69=>;<7032<3;8169>97:512?834?h0??<52525a>15634?8;n4;309>161c2=9:70;<7d8774=:=:=m69=>;<70<5<3;8169>6>:512?8340;0??<5252:0>15634?8484;309>16>12=9:70;=718f3a=z{<9?h7>57`y>160c28:<;63:2eg90;6k=3184k4=0a10?2>m27:nkh548g894dd=3>2i63>87590;6ijl184k4=0c`7?2>m27:mil548g894gfj3>2i63>a8d90;6i?9184k4=0c63?2>m27:m;h548g894g3j3>2i63>a2d90;6i9l184k4=0c37?2>m27:5ih548g894?c;3>2i63>9d`90;610=184k4=0;;f?2>m27:5l=548g894?0n3>2i63>97590;61;h184k4=0;2b?2>m27:5>9548g894?7?3>2i63>8g`907;6:a>;6iol184k4=0ce7?2>m27:n=l548g894g0j3>2i63>9g590;388<14l:4=535f?2>m27?=n6548g8917b03>2i63;12c90;3:h8184k4=506`?2>m2792i63=41690;5jm279ni?548g897d3l3>2i63=bc590;5j1k184k4=3`b6?2>m279n:k548g897c6?3>2i63=d`d90;5m0h184k4=3g77?2>m279i8=548g897c?j3>2i63=e6190;5llh184k4=3fef?2>m279i=l548g897c5;3>2i63=db`90;5l19184k4=40:6?>f:279=8j58`38974dk32j=63;2259o476n3:?143>=0h901?>9f;:b6>;6f;27?=kh58`4894>e;3>2i63>8bd90nil4?:2y>160b2=3n70=kbb87=`=:87:p163?290<4v3:37g9551034?9on4;9d9>67?32=3n70<>2487=`=:<=o8697j;<61gg<31l16=n:n:5;f?87d:>0?5h521b21>1?b34;io54;9d9>5=0f2=3n70?74d87=`=:9k9m697j;<3a74<31l16=lj?:5;f?87fk=0?5h521`f`>1?b34;jmn4;9d9>5dg72=3n70?nb987=`=:9h3?697j;<3b<=<31l16=l8;:5;f?87f=10?5h521`53>1?b34;j8n4;9d9>5d272=3n70?n2987=`=:9h;h697j;<3b71<31l16=l??:5;f?87f8=0?5h5218g3>1?b34;2h94;9d9>50j0?5h5218c7>1?b34;24=4;9d9>5<0?2=3n70?65187=`=:90>?697j;<3:1f<31l16=4:90?5h52181;>1?b34;2<54;9d9>5=`d2=3n70?61587=`=:9k8?697j;<3a5=<31l16=o>?:5;f?87fn=0?5h521c2`>1?b34;j;n4;9d9>5<`?2=3n70?ne987=`=:91l;697j;<3:31<31l168=?k:9c7?826:00?5h5240a:>1?b34>:i44;9d9>045e2=3n70:=1c8;e1=:<;2h697j;<6111<31l168?o<:5;f?825=l0?5h5224`;>1?b348?<84;9d9>6g262=3n70ojl:5;f?84el;0?5h522c6f>1?b348in54;9d9>6gga2=3n70oo<:5;f?84e?o0?5h522d3;>1?b348on=4;9d9>6`>72=3n703697j;<0f=f<31l16>h:;:5;f?84b==0?5h522d:`>1?b348n;94;9d9>6`532=3n70ihl:5;f?84b8j0?5h522d07>1?b348oon4;9d9>6a132=3n70:9c0?846=l03m<5223ag>=g634;?h447a29>651d21k970?:7548g896bd?3>2i63;f1`955103ty>?;=50;5;834?00:<:94=40g1?2>m279>4;548g89775>3>2i63;4d6907:6e:?2g1d=<0o01;6k99184k4=0`e5?2>m27:4;l548g894>213>2i63>b52907:6e:?2ea7=<0o01;6imn184k4=0cb`?2>m27:ml?548g894ge13>2i63>a8790;6i>;184k4=0c7`?2>m27:m9?548g894g513>2i63>a0f90;61l;184k4=0;g1?2>m27:5hj548g894?el3>2i63>9c390;61h?184k4=0;;5?2>m27:5;7548g894?293>2i63>95790h7:6e:?2=7b=<0o01<7=1;6:a>;61:3184k4=0;3=?2>m27:4kj548g894?6=3>2i63>b3790;6j9n184k4=0c4`?2>m27:5k7548g894gb13>2i63>8g390019?9a;6:a>;39m:184k4=53e4?2>m27?=9<548g89146k32j863;26;90;58j=184k4=37a=?2>m2798=m548g897d3:3>2i63=b6:90;5jm9184k4=3`7b?2>m279no7548g897de83>2i63=bb490;5j1:184k4=3g2=?2>m279ho?548g897c?93>2i63=d`790;5mm279i:;548g897c4=3>2i63=e7790;5m9n184k4=3g11?2>m279hnj548g897b0=3>2i63=dcf90;5:mk14l?4=06ge?>f;279<:k58`089760932j?63>4e59ni76n1:?2{t=p18=74;3332=:=;n=697j;<01=3<31l16><<8:5;f?823m<0?5h5243f0>1?b34;h8n4;9d9>5f4>2=3n70?l0587=`=:9klh697j;<3;2f<31l16=58;:5;f?87e<80?5h521c10>1?b34;jh?4;9d9>5de12=3n70?ndd87=`=:9hkn697j;<3be7<31l16=lln:5;f?87f1?0?5h521`:b>1?b34;j:;4;9d9>5d3f2=3n70?n7387=`=:9h>n697j;<3b07<31l16=l1?b34;j=?4;9d9>5d612=3n70?6e387=`=:90n=697j;<3:a`<31l16=4lj:5;f?87>j;0?5h5218ab>1?b34;25l4;9d9>5<>b2=3n70?6a787=`=:9029697j;<3:2d<31l16=4;=:5;f?87>1?b34;2>h4;9d9>5<452=3n70?63`87=`=:90:j697j;<3;b`<31l16=4?9:5;f?87e:?0?5h521c3b>1?b34;i5d`12=3n70?m0d87=`=:9h=n697j;<3:bd<31l16=lkn:5;f?87?n;0?5h521855>1?b34>;=k47a59>040d2=3n70:>d087=`=:<8l:697j;<6206<31l168??k:9c7?825?k0?5h5243:f>1?b34>9m84;9d9>07072=3n70<:b`87=`=::=:o697j;<0a06<31l16>o96:5;f?84e>m0?5h522c7g>1?b348ihh4;9d9>6gb32=3n70om8:5;f?84e100?5h522c:g>1?b348im84;9d9>6g>62=3n70io9:5;f?84d1?b348n8;4;9d9>6`312=3n70h89:5;f?84cll0?5h522egf>1?b348ojh4;9d9>6`6b2=3n70ilj:5;f?84c1?0?5h522e:5>1?b348::h47a09>67bc21k:70?;dc8;e6=::9=865o<;<031`1?b34;3h94;9d9~w1ca13:1?v3:395900658904c?3>2i63=29d90;6jjk184k4=0a1e?2>m27:o=;548g894e713>2i63>87f90;6im9184k4=0c`3?2>m27:mih548g894gfn3>2i63>a`190;6i?=184k4=0c6f?2>m27:m:=548g894g3n3>2i63>a5190;6i89184k4=0c33?2>m27:5h=548g894?c?3>2i63>9dd90;610h184k4=0;;b?2>m27:5l9548g894??;3>2i63>97`90?7:6e:?2=11=<0o01<7:f;6:a>;61;l184k4=0;17?2>m27:5>l548g894?7j3>2i63>8gd90b;6:a>;6j99184k4=0ce3?2>m27:n=h548g894g0n3>2i63>9g`90;38;:14l:4=5345?2>m27?=i<548g8917a:3>2i63;15690;3:h<184k4=5055?2>m2799ol548g89727m3>2i63=b5690;5jm?184k4=3`65?2>m279nol548g897dd03>2i63=b9g90;5m==184k4=3g63?2>m279i5h548g897c0?3>2i63=e2590;5lol184k4=3g3b?2>m279i?9548g897bdn3>2i63=d6590;59?l14l?4=30ga?>f927:8im58`189760=32j?63=0729hm76n6:?20`7=0h;01<6k9;6:a>;60m?184k4}r6fbd<72:q69>67:5;f?85cl?0?5h524g3b>460?2wx9>87:185f~;2;121==98;<71`=<31l16>?76:5;f?846:h0?5h5245g4>1?b34>9h84;9d9>5gec2=3n70?l2c87=`=:9j:=697j;<3`51<31l16=58j:5;f?87??j0?5h521c60>1?b34;i?84;9d9>5db32=3n70?nc987=`=:9ho;697j;<3bf5<31l16=lo;:5;f?87fjj0?5h521`;;>1?b34;j4n4;9d9>5d0?2=3n70?n5b87=`=:9h=?697j;<3b15<31l16=l:;:5;f?87f:j0?5h521`03>1?b34;j?54;9d9>5d732=3n70?n0987=`=:90o?697j;<3:`=<31l16=4h?:5;f?87>k90?5h5218`7>1?b34;2on4;9d9>5==0?5h52186;>1?b34;2:=4;9d9>5<572=3n70?62587=`=:909h697j;<3:4f<31l16=4>?:5;f?87>910?5h521c0;>1?b34;i=n4;9d9>5g632=3n70?nf987=`=:9k;;697j;<3b<5<31l16=4hl:5;f?87fmj0?5h5219d7>1?b34;2;54;9d9>054621k?70:>7387=`=:<8n8697j;<62b6<31l168<:::5;f?8259o03m95243:2>1?b34>95=4;9d9>07g02=3n70:=6387=`=::o:::5;f?84e>o0?5h522c7e>1?b348io44;9d9>6g>a2=3n70h:7:5;f?84b=10?5h522d;3>1?b348n;54;9d9>6`5?2=3n70h>?:5;f?84b990?5h522d0;>1?b348oh=4;9d9>6a1?2=3n70<9?:9c2?845lo03m<5215fg>=g4348;;:47a29>650521k970?;cc8;e3=:9=o965o>;<3;a1<31l16=5j9:5;f?xu3moh1<7=t=41;=?2>m278hi9548g891`6j3;;;:5rs415=?6=;kq69>66:0243>;2:m3184k4=30:m279=?7548g8912b03>2i63;2e490;6k8l184k4=0:5b?2>m27:4:j548g894d3<3>2i63>b249076n4:?7525=<0o019?k4;6:a>;39o>184k4=5372?2>m27?>?>58`68914?:3>2i63;28390;5<8:184k4=3`72?2>m279n:>548g897d183>2i63=bbc90=<0o01?jn9;6:a>;5mh;184k4=3g7=?2>m279i87548g897c>93>2i63=e6;90;5lo;184k4=3g35?2>m279i2i63=de390;5l13184k4=3345?>f9279>h>58`38942cm32j?63=06;9;60l?184k4=0:g3?2>m2wx8hhl:1808340h0?5h523ef;>1?b34>m=n4>0658yv34>h0;6>7t=41;e?77?>169?jn:5;f?8451l0?5h52200e>1?b34>?i44;9d9>07b02=3n70?mcg87=`=:9j8n697j;<3`4=<31l16=n1?b34>;>>47a59>04b22=3n70:>f487=`=:<8><697j;<61641?b348>nh4;9d9>61762=3n70omm:5;f?84e180?5h522cc:>1?b348oml4;9d9>6`g52=3n70h9n:5;f?84b;h0?5h522d4b>1?b348oi?4;9d9>6a`52=3n70ij=:5;f?84c?h0?5h522ea1>1?b348o5l4;9d9>6a>f2=3n70<>738;e4=::;o:65o>;<63gg=9n:9c0?873lo03m?522145>=g534>9?o47a49>51ec21k=70?;e58;e4=:91o=697j;<3;`=<31l1v9kid;297~;2;1h184k4=2fg=?2>m27?j=018;3:m2184k4=0`g4?2>m27:o?h548g894e7i3>2i63>c25907:6e:?2<2`=<0o019>=4;:b0>;39m<184k4=53e2?2>m27?=96548g89726:3>2i63=161976n1:?143>=0h801?>9f;:b7>;6f927:4h9548g894>ci3>2i6s|4ddf>5<4s4?84n4;9d9>7abf2=3n70:i1d824211?b34>9h44;9d9>5gb62=3n70?l3187=`=:9j:i697j;<3`07<31l16=59<:5;f?87?090?5h524106>=g334>:h:4;9d9>04`02=3n70:>4887=`=::=;8697j;<0231?k<:9c2?847?j03m>52214b>=g534;?ok47a79>053321k870?;e78;e4=:91o3697j;<3;`g<31l1v9kj0;297~;2;?l184k4=2fa`?2>m27?j=?51154?xu2;=o1<7?9{<702c<68>=018;3:ji184k4=0`g6?2>m27:o>?548g894e7k3>2i63>bb;90>7;:b0>;39jk184k4=53fe?2>m27?=>m548g89727>3>2i63=14d981;:b6>;6f927:4o9548g894>ck3>2i6s|4dg2>5<4s4?8;=4;9d9>7adb2=3n70:i03824211?b34>9oi4;9d9>5gb42=3n70?l3387=`=:9j:o697j;<3a`3<31l16=59::5;f?87?=90?5h52413;>=g334>:oo4;9d9>04ce2=3n70:>3e87=`=::=:<697j;<022d?j6:9c2?847?:03m?52217f>=g434;?h<47a79>51c?21k:70?7b987=`=:91no697j;|q7a`4=839p18=81;6:a>;4lkl184k4=5d37?77?>1v8=:0;2953}:=:=:6<>87:?66f`=<0o019;6jm>184k4=0a07?2>m27:o=k548g894db93>2i63>86490=7:6e:?744?=0h>019?lc;6:a>;39li184k4=530a?2>m2798=6548g89770=32j=63=2d69;6m27:4ik548g8yv2bm:0;6>u25251>1?b349oo=4;9d9>0c6328:<;6s|5272>5<6>r7>?:<51154?835l90?5h5243ae>1?b34;ih84;9d9>5f532=3n70?l0g87=`=:9koj697j;<3;32<31l16=5;=:5;f?8279h03m95240ag>1?b34>:ii4;9d9>045a2=3n70<;0887=`=::8==65o>;<01a0=98:9c1?847>;03m>5215f0>=g134;?il47a29>5=df2=3n70?7dg87=`=z{=on87>53z?6725=<0o01>jl1;6:a>;3n9?1==98;|q6704=83;=w0;<7282421<5<8o=7:6e:?76a6=<0o01;6k:?184k4=0a24?2>m27:nhl548g894>003>2i63>84190;39=:184k4=363e?2>m279=:958`38974b>32j=63=06;9;60kh184k4=0:f4?2>m2wx8hk::180834?=0?5h523ea1>1?b34>m<;4>0658yv34=:0;6<8t=4140?77?>169?j=:5;f?825l80?5h521cf;>1?b34;h?;4;9d9>5f762=3n70?meb87=`=:91=2697j;<3;11<31l168=?l:9c7?826ko0?5h5240ge>1?b34>:8<4;9d9>616e2=3n70<>798;e4=::;o<65o>;<033d=89:9c0?873l<03m85215g`>=g434;3nn4;9d9>5=c62=3n7p};ed494?5|5<9<97:6e:?0`f5=<0o019h?7;3332=z{<9>87>5dz?6723=99=<70;=d287=`=:=;km697j;<3;a7<31l16=oj6:5;f?87d;10?5h521b31>1?b34;iii4;9d9>5=1f2=3n70?75487=`=::8=265o>;<01a=m278hn:548g891`703;;;:5rs4161?6=lr7>?:851154?835l=0?5h5253`3>1?b34;3i>4;9d9>5gbf2=3n70?l3887=`=:9j;8697j;<3aa`<31l16=59m:5;f?87?=?0?5h52205b>=g63489i447a09>5=db2=3n7p};ed:94?5|5<9<;7:6e:?0`f3=<0o019h?9;3332=z{<9>:7>59z?6721=99=<70?mdc87=`=:9j9j697j;<3`50<31l16=oki:5;f?87?=>0?5h52205a>=g63489il47a09>5=da2=3n7p};ed;94?5|5<9<47:6e:?0`f0=<0o019h?a;3332=z{<9>;7>59z?672>=99=<70?mdb87=`=:9j9i697j;<3`53<31l16=oh?:5;f?87?=10?5h52207`>=g63489oo47a09>5=e72=3n7p};ed`94?5|5<9=<0o019h?c;3332=z{<9>57>59z?672g=99=<70?mde87=`=:9j9h697j;<3`52<31l16=oh=:5;f?87?=h0?5h522043>=g63489ok47a09>5=e52=3n7p};eda94?5|5<9m7>59z?672d=99=<70?mdd87=`=:9j9o697j;<3`5=<31l16=oh<:5;f?87?=k0?5h522042>=g63489h=47a09>5=e42=3n7p};edf94?5|5<9n7>59z?672e=99=<70?mdg87=`=:9j9n697j;<3`5<<31l16=oh;:5;f?87?=j0?5h522041>=g63489h<47a09>5=e32=3n7p};edg94?5|5<9o7>59z?672b=99=<70?me187=`=:9j9m697j;<3`5d<31l16=oh::5;f?87?=m0?5h522040>=g63489h?47a09>5=e22=3n7p};edd94?5|5<90;3332=z{<9>h7>59z?672c=99=<70?me387=`=:9j>;697j;<3`5g<31l16=oh9:5;f?87?=l0?5h522047>=g63489h>47a09>5=e12=3n7p};eg294?5|5<91;3332=z{<9>i7>59z?672`=99=<70?me287=`=:9j>:697j;<3`5f<31l16=oh8:5;f?87?=o0?5h522046>=g63489h947a09>5=e02=3n7p};eg394?5|5<93<7:6e:?0`fc=<0o019h>2;3332=z{<9>j7>59z?67=6=99=<70?me587=`=:9j>8697j;<3`5a<31l16=oh7:5;f?87?>90?5h522045>=g63489h847a09>5=e?2=3n7p};eg094?5|5<93=7:6e:?0`f`=<0o019h>3;3332=z{<9=<7>59z?67=7=99=<70?me487=`=:9j>?697j;<3`5`<31l16=oh6:5;f?87?>80?5h522044>=g63489h;47a09>5=e>2=3n7p};eg194?5|5<93>7:6e:?0`a6=<0o019h>4;3332=z{<9==7>59z?67=4=99=<70?me787=`=:9j>>697j;<3`65<31l16=ohn:5;f?87?>;0?5h52204;>=g63489h:47a09>5=ef2=3n7p};eg694?5|5<93?7:6e:?0`a7=<0o019h>5;3332=z{<9=>7>59z?67=5=99=<70?me687=`=:9j>=697j;<3`64<31l16=ohm:5;f?87?>:0?5h52204:>=g63489h547a09>5=ee2=3n7p};eg494?5|5<9397:6e:?0`a5=<0o019h>7;3332=z{<9=87>59z?67=3=99=<70?me987=`=:9j><697j;<3`67<31l16=ohk:5;f?87?><0?5h52204`>=g63489ho47a09>5=ec2=3n7p};eg594?5|5<93:7:6e:?0`a2=<0o019h>8;3332=z{<9=97>59z?67=0=99=<70?me887=`=:9j>3697j;<3`66<31l16=ohj:5;f?87?>?0?5h52204g>=g63489hn47a09>5=eb2=3n7p}>f`;94??|58lm57:6e:?2bg4=0h;01;6nm214l<4=0dg`?>f:27:jih58`0894`b932j>63>fd4976n3:p51da290:hlu21gd:>1>c34;mh94;8e9>5cb42=2o70?if2871>c34;mh84;8e9>5c`02=2o70?if9871>c34;mi:4;8e9>5cc32=2o70?ie`871>c34;mih4;8e9>5c`72=2o70?;bg82421<58lmm76n1:?663e=0h8018<80;:b6>;2:?k14l?4=2a7`?>f>278o9=58`3896c4l32j?63>dc79;58=;14l?4=0f`6?>f9279<9<58`3894bd;32j=63=0519;58=?14l?4=0f`2?>f9279<9858`3894bd?32j=63=0559=0h;01;58=314l?4=0fa3?>f9279<>658`3894be032j=63=02;9;58:h14l?4=0faf?>f9279<>m58`3894bek32j=63=02f9;6lkl14l?4=2``0?>f;278nno58`1896ddj32j?63llf;:b7>;4jm:14l=4=2`g5?>f;278ni<58`1896dd=32j?63=0h901>ll9;:b5>;58=:14l?4=337f:279>o958`08915>j32j>63;3859;5f:27888=58`08966f132j>63<940976n2:?05c>=0h801>m:f;:b5>;59=914l?4=30a6?>f92785o958`389607?32j=63<24f9;588?14l<4=0f:e?>f:279<j32j>63=00g9;58;:14l<4=0f:a?>f:279n32j>63=0309;58;>14l<4=0f:4?>f:279932j>63=004976n2:?1441=0h801;588214l<4=0f:0?>f:279<<758`0894b>=32j>63=00c9;588i14l<4=0f:f:27>=oo58`38907ek32j>63;5159:5;:b6>;6f:27:8h758`08942bi32j=63>4d`9no76n1:?20`b=0h801<:je;:b6>;6f:27:8h<58`08942b;32j>63>4d69n976n2:?20`0=0h8019>k9;:b5>;58>n14l<4=324f?>f:279<:658`089760>32j>63=066976n2:?1426=0h801?>99;:b6>;58?=14l<4=3251?>f:279<;=58`089761932j>63=04d9h76n2:?140e=0h8019:mc;:b1>;5=0;14l;4=511f9279<4858`0896?e=32j863<6179n76n4:?74fb=0h;019<;5;:b6>;38j214l=4=52gb?>f;27?m5<58`089635<32j=63<16c9;5?j814l?4=35`4?>f9279;oh58`38971em32j=63=7cf9;5?kk14l?4=35a=?>f9279;o958`38971e=32j=63=7e69;5?j=14l=4=35`0?>f;279;o658`18971e>32j?63=29:9=0h801?=i2;:b6>;5?m;14l?4=35g4?>f9279;nh58`38971dk32j=63=7b39;58?o14l<4=073f9279<4:58`78974?832j863=3dd9;5?jk14l?4=3614?>f9279;n658`389437<32j=63>5149f;:b6>;40m>14l<4=2:ga?>f:2789?758`089670n32j>63<53g96k8;:b5>;40m314l?4=405a?>f927>>;658`38904>?32j>63<95d9;3<::14l<4=5605?>f:27?8?<58`08972a?32j>63=5149=0h8019:=3;:b6>;5f:2798ko58`089125=32j>63=4g`9m976n2:?11fg=0h8019:=6;:b6>;5f:2798kj58`089125032j>63=4gg99m76n2:?10c`=0h8019:=b;:b6>;5=9:14l<4=561g?>f:2799=?58`089125l32j>63=51099j76n2:?1152=0h8019:=e;:b6>;5=9914l<4=56e0?>f:2799n758`08912a?32j>63=5ba9m:76n2:?11fd=0h801;6nmn14l;4=0dgb?>f=27:jh?58`7894`b>32j963:2c:9h976n4:?1101;5:;=14l:4=31:2?>f<27844658`38962bi32j=63<15299;c;:b7>;4?mo14l?4=21:a?>f;278?l>58`18965f:32j?63<4229;481<14l?4=25fg?>f9278;hl58`38961bi32j=63<7d:99j5;:b5>;4?l>14l?4=25f7?>f9278;h<58`38961b932j=63<7d299i3;:b5>;4?o814l?4=25e5?>f9278;k>58`38961bn32j=63<7dg9:;4<:n14l?4=260g?>f92788>o58`389624132j=63<42:98;76n1:?0060=0h;01>:<5;:b5>;4<:>14l?4=2607?>f92788><58`389624932j=63<43d9?976n1:?0012=0h;01>:;3;:b5>;4<=814l?4=2675?>f927889>58`389624n32j=63<42`9>62;:b5>;480:14l?4=22;b?>f9278<5k58`38966?l32j=63<09a9>79;:b5>;481214l?4=22;3?>f9278<5;58`38966>j32j=63<08c9=0h;01>>67;:b5>;480<14l?4=22:1?>f9278<4?58`389143?32j=63<9c19;3f9278;l<58`68965a032j863<05c9>:3;:b1>;4?hi14l;4=2636?>f=278<8:58`78961fl32j963<4119976n5:?03dc=0h?01>:?4;:b1>;48<<14l;4=25bb?>f=2788=;58`789662?32j963<7c29;:76n5:?040>=0h?01>9m1;:b1>;4<9=14l;4=226=?>f=278;o<58`789627032j963<04c9>:b;:b1>;4?h914l;4=21e=?>f=278<9l58`78961f<32j963<3gc9=ib;:b1>;48=n14l;4=25b2?>f=278?km58`789663m32j963<7`599n8;:b1>;4;oo14l;4=2264?>f=278;l758`78965an32j963<0439>:2;:b1>;5<0n14l?4=0ggf:27??n658`3891df?32j=63:1b6966e;:b5>;4f927:ii958`0891gfj32j=63;c159;3k9n14l<4=0def?>f927:jkm58`38yv7anm0;6?u21gd:>15634;mjh4j7e9~w4`a13:1=o7t=0de=?77?>16=khn:9c1?835>j03m>525353>=g434?9:l47a39>7f2c21k>70=l428;e7=:;l9o65o=;<3gf003m?521ea2>=g5348;8<47a39>5ae521k970=g5348;8847a39>5ae121k970=g5348;8447a39>5ad021k970=g5348;?o47a39>5ade21k970=g534;onk47a39>7ge321k:70=mc`8;e4=:;kii65o>;<1agf=g6349ih=47a09>7gb621k:70=md38;e4=:;ki>65o>;<1ag3=g5348;8=47a39>642?21k870<=b68;e6=:<:3i65o<;<60=276:9c0?843?903m>52254`>=g4348?:h47a29>7=6621k870=;528;e6=:;9k265o<;<1:17523b7e>=g5348:8>47a39>67d521k970=6b68;e7=:;?:<65o=;<111a521e;:>=g4348;=847a29>5a?f21k870521e;g>=g4348;>=47a29>5a?b21k870521ec2>=g4348;>947a29>5a?721k87003m>521e;0>=g4348;=547a29>5a?321k87065o<;<035d521e;4>=g4348;=n47a29>5a??21k870;>b`8;e7=:=8hh65o<;<66428jl:9c1?827==03m?524176>=g434;?i:47a39>51c?21k870?;e88;e6=:9=oj65o=;<37ag5215gf>=g434;?i=47a29>51c621k870?;e38;e6=:9=o865o<;<37a15241f:>=g5348;;i47a59>651e21k?70=9=:9c7?847?903m952214:>=g3348;::47a59>650221k?70=;k:9c7?847=j03m95245``>=g1348>5<47a79>064?21k97065o:;<1540=g434>;o547a39>05ba21k970:n838;e0=:;<8?65o=;<123d:m9:9c1?840k<03m?5226a0>=g534862e721k970<8bg8;e7=::>hn65o=;<04fa=g534862d>21k970<8b68;e7=::>h>65o=;<04`1:j<:9c1?840l;03m?5226a:>=g334862e321k?70<8b98;e1=::>h=65o;;<01<==g134862b721k970<8cg8;e7=::>ih65o=;<04g4=g5348;:h47a79>506?21k>70:mj:9c1?840km03m?5226aa>=g5348614721k970<8c98;e7=:9<:?65o=;<3643hoi:9c1?8450l03m852203e>=g23493h947a29>7=bb21k870=:288;e6=:;8=m65o<;<166`=g53493h447a39>170b21k970;=698;e7=:=;3<65o<;<1:0c=g434>??=47a29>015621k870:;238;e6=::=l<65o<;<06438>::9c0?843n103m>524500>=g4348?j447a29>014321k870<;f`8;e6=:<=8>65o<;<07bg524505>=g4348?jn47a29>014021k870<;fe8;e6=:<=8365o<;<07b`52450a>=g4348><=47a29>014d21k870<:008;e6=:<=8o65o<;<064752450f>=g4348><>47a29>01`321k870<:c88;e6=:<=l<65o<;<06gf5253`;>=g534>?o847a29>60?f21k870<=268;e0=:::3=65o:;<1;===g3349<8l47a59>722d21k?70=8dd8;e1=:;:3n65o;;<10e5o=:9c7?853;903m9522gd3>=g3348mj?47a59>6c`321k?70=?878;e1=:;>oh65o=;<14ag=g534972c221k970=8e58;e7=:;>o865o=;<14a7:9c1?850m903m?5236fe>=g534972`421k970=8f38;e7=:;>l:65o=;<14b5=g5349715b21k970=;3e8;e7=:;=9h65o=;<177d=g5349??;47a39>715221k970=;358;e7=:;=9865o=;<1777:9c1?853:o03m?523566>=g5349?8947a39>712421k970=;438;e7=:;=>:65o=;<1705=g5349;5>47a39>75?521k970=?918;e7=:;92m65o=;<13<`=g5349;4l47a39>75>>21k970=?898;e7=:;92<65o=;<13<0=g5349;5547a39>75?021k970=?978;e7=:;93>65o=;<13=4j:03m<523720>=g634999447a09>17c221k970:;b`8;e7=::<2m65o=;<14e7h7:9c6?857=g1349?<<47a79>753421k=70=8ab8;e3=:;=:965o9;<1311=g1349716321k=70=?578;e3=:;>km65o9;<1740=g1349;9547a79>72d621k=70=;068;e3=:;9?265o9;<14f77:9c5?857=h03m;5236`0>=g1349?<447a79>753e21k=70=8a28;e3=:;:l265o9;<130g=g134976`e21k=70=?4e8;e3=:;>k=65o9;<10bf03m;5232dg>=g1349;8k47a79>72g?21k=70=hi:9c5?857=803m;5236cb>=g1349?<=47a79>753521k=70<;9e8;e6=:9ln365o:;<60g==g3348?=847a59>7=?b21k970=;f18;e7=:;8>=65o=;<3f`203m>524b26>=g434>o=947a29>0f6>21k870:l0e8;e6=:9oli65o=;<3ebff=279io<58`1897e383o7c|58l=576n1:?2b1g=<:h01;6n>>14l?4=0d4b?>f927:j5<58`3894`?;32j=63>f969;6n1214l?4=0d5e?>f927:j;l58`3894`1k32j=63>f7f9;6n>;14l?4=0d46?>f927:j:=58`3894`0=32j=63>f649=0h;01;6n>k14l?4=0d4f?>f927:j:m58`3894`0l32j=63>f6g9;3;oh14l=4=563e?>f;27:ji658`1894`cl32j?63>fed9;3;j214l=4=0dea?>f927:o:751154?xu6nk91<7=t=0d5=?>f:27:ji=51154?87aio03m<5rs0d5=?6=;r7:j;75e6f894`3i3;;m5521g::><763ty:j9o50;1x94`3i3h3n63>f47906d<58l287:;6nm>1==98;<3eec>1i:j4=0d61?77i116=k7;:832?xu6n542`894`>n3>8n6s|1g0a>5<5s4;m594m8c9>5cb32=3n7p}>fb;94?5|58l53z?2b2`=m>n01;|q2b36=839p1;6n?818>l4=0db6?24j2wx=k=9:18187a1o0i4o521gg0>1?b3ty:jnm50;1x94`?:32j>63>fdd9551034;mmh47a49~w4`?:3:1?v3>f909a2b<58l=>7??a99>5cg520;:7p}>f7094?5|58l=>7l7b:?2b35=<:h01{t9o>:6=4={<3ee7f:27:jk=51154?87ail03m>5rs0d;7?6=;r7:j5=5e6f894`1;3;;m5521gc0><763ty:j;=50;1x94`1;3h3n63>f76906d<58lj87:;6no>1==98;<3ee`1i:j4=0d50?77i116=ko;:832?xu6n?>1<7=t=0d50?d?j27:j;;542`894`f=3>8n6s|1g66>5<5s4;mm94m8c9>5c`32=3n7p}>fbd94?5|58l3976n2:?2bc3=99=<70?iad8;e4=z{8l397>53z?2b=3=m>n0164?>;|q2b33=839p1;6n?<18>l4=0db2?24j2wx=k:9:18187ai<0i4o521gd6>1?b3ty:ji>50;1x94`?>32j>63>fg49551034;mmh47a39~w4`?>3:1?v3>f949a2b<58l=:7??a99>5cg120;:7p}>f7494?5|58l=:7l7b:?2b31=<:h01{t9o><6=4={<3ee3f:27:jk951154?87aik03m;5rs0d;3?6=;r7:j595e6f894`1?3;;m5521gc4><763ty:j;950;1x94`1?3h3n63>f7:906d<58lj47:;6no21==98;<3eeg8n6s|1g6:>5<5s4;mm54m8c9>5c`?2=3n7p}>fc694?5|58l=m76n2:?2ba3=99=<70?iac8;e1=z{8l=m7>53z?2b3g=m>n01;|q2b1d=839p1;6n=i18>l4=0d;f?24j2wx=k1?b3ty:jo;50;1x94`1j32j>63>fe49551034;mmo47a29~w4`1j3:1?v3>f7`9a2b<58l?o7??a99>5c>e20;:7p}>f5a94?5|58l?o7l7b:?2b1b=<:h01{t9o8o6=4={<3ef:27:jll58`3894`c?3;;;:521gf;>=g33ty:j;m50;1x94`1k3of5f955g?34;m4n46109~w4`3l3:1?v3>f5f9f=d<58l?i7:7}:9o2h6o6m;<3e`=16=kon:9c5?xu6n?n1<7=t=0d5`?c0l27:j9k511c;?87a0m02=<5rs0d7a?6=;r7:j9k5b9`894`3n3>8n63>f9g906dk4?:3y>5c>c2k2i70?id887=`=z{8li47>53z?2b3c=0h801;6n=l1==o7;<3e<`<>981vg>e34;mhl4;9d9~w4`e13:1?v3>f7d95cgf21k>7p}>f7d94?5|58l=j7k8d:?2b06=99k370?i8g8:54=z{8l><7>53z?2b06=j1h01;6n0:18>l4}r3e74<72;q6=k6i:c:a?87alk0?5h5rs0dae?6=58`0894`fi32j863>fea9551034;mhi47a59~w4`083:1?v3>f629a2b<58l>=7??a99>5c?720;:7p}>f4394?5|58l>=7l7b:?2b04=<:h01{t9o996=4={<3e=5f:27:jlo58`1894`cm3;;;:521gfe>=g33ty:j:?50;1x94`093of40955g?34;m5<46109~w4`2:3:1?v3>f409f=d<58l>?7:7}:9o3:6o6m;<3e`c814l<4=0dbe?>f:27:jh>51154?87am803m95rs0d46?6=;r7:j:<5e6f894`2;3;;m5521g;1><763ty:j8=50;1x94`2;3h3n63>f46906d<58l2?7:;|q2bgb=839p1;6nl81==98;<3eed91i:j4=0d60?77i116=k7<:832?xu6n<>1<7=t=0d60?d?j27:j88542`894`>=3>8n6s|1g16>5<5s4;m5>4m8c9>5cc52=3n7p}>fcd94?5|58l<976n2:?2b`2=99=<70?iae8;e3=z{8l<97>53z?2b23=m>n0164?>;|q2b00=839p1;6n<=18>l4=0d:2?24j2wx=k=8:18187a1<0i4o521gg7>1?b3ty:jn>50;6x94`0>32j>63>f`f95cc121k?7p}>f6494?5|58l<:7k8d:?2b01=99k370?i978:54=z{8l>;7>53z?2b01=j1h01;6n0=18>l4}r3e7=<72;q6=k79:c:a?87am?03m<5rs0d`5?6=;r7:j:958`0894`b?3;;;:521gcg>=g33ty:j:950;1x94`0?3of4:955g?34;m5:46109~w4`203:1?v3>f4:9f=d<58l>57:=<:h0q~?i3883>7}:9o3<6o6m;<3ea2<31l1v214l<4=0df16=kok:9c2?xu6n>21<7=t=0d48n63>f8;906d5c??2k2i70?ie987=`=z{8lh?7>53z?2b2?=0h801;6n981vg>e34;mi44;9d9~w4`d<3:1?v3>f6c95cgc21k97p}>f6c94?5|58ln7>53z?2b0d=j1h01;6n0h18>l4}r3e7f<72;q6=k7n:c:a?87amh0?5h5rs0d`1?6=;r7:j:l58`0894`bj3;;;:521gc`>=g13ty:j:l50;1x94`0j3of4a955g?34;m5o46109~w4`2k3:1?v3>f4a9f=d<58l>h7:7}:9o3i6o6m;<3eag<31l1vi14l<4=0dfg?77?>16=kol:9c6?xu6n>i1<7=t=0d4g?c0l27:j8j511c;?87a1j02=<5rs0d6`?6=;r7:j8j5b9`894`2m3>8n63>f8f906d5c?d2k2i70?ieb87=`=z{8lh;7>53z?2b2b=0h801;6n981vg>e34;mii4;9d9~w4`d03:1?v3>f6g95cgd21k87p}>f6g94?5|58lj7>53z?2b0`=j1h01;6nh:18>l4}r3e05<72;q6=k7j:c:a?87aml0?5h5rs0d`e?6=;r7:j5>58`0894`a83;;;:521gc`>=g63ty:j5>50;1x94`?83of73955g?34;mm=46109~w4`193:1>v3>f739f=d<58lj=7:p1;6nhi14l<4=0de5?77?>16=kh=:9c6?xu6n1;1<7g>e34;mj?47a39~w4`e93:1=ou21gf7>15634;mh>4;309>5c`42=9:70?ie28774=:9oom69=>;<3eb3<3;816=kh;:512?87an<0??<521gf6>15634;mj:4;309>5c`?2=9:70?id88774=:9on=69=>;<3e`d<3;816=kjm:512?87am;0??<521gg4>15634;mi94;309>5ccf2=9:70?ie98774=:9oo269=>;<3eaa<3;816=kkm:512?87amj0??<521ggf>15634;mj=4;309>5cd52l=o7p}n0q~=n8083>7}:;h><65o=;<1b<4o<0;296~;4i==1n5l4=407=?>f>2wx?lm6:18185fi003m>522034>`1c3ty8n=k50;0x96gf132j863>70g9f=d:4?:3y>7dg>21k>70=i578f3a=z{:kj47>52z?0e10=0h;01>on8;g4`>{t;h=m6=4={<1b03>9;58`38yv5e8m0;6?u23`c;>=g434;<=i4m8c9~w6d5>3:1>v3?7k8d:p7de?2909w0=na98;e0=::8;=6h9k;|q1ac3=838p1>om8;:b7>;5mon1==98;|q0e``=838p1>om8;:b0>;6mmo1:l>4}r1b`4<72;q6?ll7:9c6?840m90i4o5rs2ca5<5s49jn:47a29>6``d28:<;6s|3`gf>5<5s49jn:47a59>5`bb2?3m7p}n0q~=nb683>7}:;hh<6h9k;<717`1v>ojd;296~;4ik<14l:4=0gga?0>m2wx?lmi:18185fj?03m85226fa>`1c3ty8mo850;0x96ge>3o7dd221k8707dd221k?70?jdd85=a=z{:khi7>52z?0eg3=0h?01?m{t;hh>6=4={<1bf0f;279ik751154?xu4ilh1<7f<27:iik568c8yv5fkm0;6?u23``7>=g2348h?44j7e9~w6ge<3:1>v3o;5;:b6>;4i?l1i:j4}r1b6`<72;q6?l:::c:a?835;;03m?5rs2c:`?6=:r78m9=58`3896g>l3o5<5s49j8>47a39>7d0c2l=o7p}7}:;hh865o<;<0fb=<68>=0q~=ne983>7}:;hh865o;;<3f``<10o1v>olc;296~;4ik914l;4=3a0=g33ty8m4o50;0x96g3:32j=637d2521k970=n698f3a=z{:k9n7>52z?0e14=j1h018<=d;:b6>{t;h326=4={<1b041<7f:278m;;5e6f8yv5f:h0;6?u23`62>g>e34?9>o47a39~w6g>?3:1>v3o;0;`;f>;2:;314l<4}r1b=3<72;q6?l=i:9c2?85f1?0n;i5rs2c54?6=:r78m>h58`0896g193o5<5s49j?k4m8c9>174021k97p}n0q~=n5d83>7}:;h9n65o=;<1b1co=7;296~;4i:o1n5l4=4012?>f:2wx?l7;:18185f;m03m<523`;7>`1c3ty8m8m50;0x96g4l32j>63;4?:3y>7d5c2k2i70;=248;e7=z{:k2?7>52z?0e6e=0h;01>o63;g4`>{t;h?j6=4={<1b7f>?:58`08yv5f1;0;6?u23`1a>=g6349j5?4j7e9~w6g203:1>v357k8d:p7d432909w0=n3c8ao;4i0;1i:j4}r1b13<72;q6?l=n:9c1?85f=>0n;i5rs2c17?6=:r78m>o5b9`89045:32j>6s|3`;3>5<5s49j8h47a09>7d?72l=o7p}n0q~=n2383>7}:;h>n6o6m;<715`1v>okd;296~;4ik814l:4=0gga?0?<2wx?lmm:18185fj;03m8522b14>`1c3ty8mo<50;0x96ge:3o7d2c21k:70=nb08f3a=z{:k3m7>52z?0e1b=0h801>o7b;g4`>{t;h9<6=4={<1b0af9278mo>5e6f8yv5f010;6?u23`6`>=g5349j444j7e9~w6g4>3:1>v3o;b;:b6>;4i1=1i:j4}r1b70<72;q6?l:m:c:a?835>=03m<5rs2cbg?6=:r78m9o58`3896gfk3o5<5s49j8l47a39>7d>22l=o7p}7}:;h>265o>;<1bego73;296~;4i=314l<4=2c;7?c0l2wx?l=<:18185f<00i4o52537`>=g63ty8mlo50;0x96g3032j=637d2?21k970=n838f3a=z{:k8>7>52z?0e1>=j1h018<:8;:b5>{t;hk:6=4={<1b01:d5g?xu4i1:1<7f:278m5>5e6f8yv5f;80;6?u23`67>g>e34?99947a09~w6g>03:1>v3o<9;`;f>;2:<:14l?4}r1b658`0896g283o5<5s49j?54m8c9>172d21k:7p}n0q~=n4g83>7}:;h8;65o=;<1b0co=0;296~;4i;:1n5l4=401g?>f>2wx>hkj:1818359903m>522dd5>460?2wx?lj=:1818359903m9521dff>3>43ty8mno50;0x9046832j963=c249a2b17772l=o70;=208;e1=z{8=n=7>52z?2a65=0h:01<9j1;3332=z{0:m6=4<{<3f76460?2wx==mn:18087b;10i4o5211ab>46d82T::9c3?823mh0:<:94}r327`<72:q6=h=>:c:a?876;l0:4^030a>{t:;=n6=4={<3f73?9j:0243>{t99im6=4<{<3f73X68jl0q~<>5c83>7}:9l9j65o?;<021g<68>=0q~??cd83>6}:9l9j6o6m;<33g`<68j:0R<>le:p7a4e2909w0=jf987=`=:;m9h6<>87:p7`b02908w0=jf982421<58>2m76n2:?70q~=k2`83>7}:;ll<697j;<1g7g<68>=0q~=jd783>6}:;ll<6<>87:?20{t;m826=4={<1fb0<31l16?i=n:0243>{t;ln?6=4<{<1fb0<68>=01<:67;:b6>;3i><14l:4}r1g6=<72;q6?hh;:5;f?85c;00:<:94}r1f`6<72:q6?hh;:0243>;6<0<14l<4=5c5e?>f<2wx?i<8:18185bn:0?5h523e1;>460?2wx?hj=:18085bn:0:<:94=06:0?>f:27?m8k58`68yv5c:?0;6?u23dd1>1?b349o?:4>0658yv5bl80;6>u23dd1>460?27:84=58`0891g2:32j86s|3e17>5<5s49nj<4;9d9>7a2328:<;6s|3df3>5<4s49nj<4>0658942>:32j>63;a5494?:3y>7``72=3n70=k42824217``728:<;63>483996<>87:p7`eb2908w0=jeg82421<58>2<76n2:?7e7c=0h>0q~=k3083>7}:;lon697j;<1g04<68>=0q~=jce83>6}:;lon6<>87:?20=`=0h8019o=3;:b0>{t;m9;6=4={<1faa<31l16?i:?:0243>{t;lih6=4<{<1faa<68>=01<:7e;:b6>;3i8<14l:4}r1g6c<72;q6?hkl:5;f?85c;o0:<:94}r1fgg<72:q6?hkl:0243>;6<1n14l<4=5c32?>f<2wx?i460?2wx?hm6:18085bmh0:<:94=06;f?>f:27?5h758`68yv5c:m0;6?u23dg:>1?b349o?i4>0658yv5bk10;6>u23dg:>460?27:85758`0891?dj32j86s|3e0`>5<5s49ni54;9d9>7a5128:<;6s|3da4>5<4s49ni54>0658942f032j>63;9cg984?:3y>7`c02=3n70=k34824217`c028:<;63>4`5952908w0=je787=`=:;m9h697j;<1`=6<68>=0q~=jc483>6}:;lo=6<>87:?20d0=0h801976f;:b0>{t;j2:6=4<{<1fa0<31l16?i=m:5;f?85d1;0:<:94}r1fg1<72:q6?hk::0243>;6f<2wx?n6?:18085bm=0?5h523e1b>1?b349h5<4>0658yv5bk:0;6>u23dg7>460?27:8l:58`0891??:32j:6s|3b5e>5<4s49ni>4;9d9>7a5>2=3n70=l91824217`c428:<;63>4`19=0q~=jc083>6}:;lo96<>87:?20d6=0h801979b;:b0>{t;j=o6=4<{<1fa4<31l16?i=8:5;f?85d0l0:<:94}r1fg5<72:q6?hk>:0243>;6<0?14l<4=5:bf?>f<2wx?n6m:18085bnl0?5h523e67>1?b349h5o4>0658yv5bll0;6>u23ddf>460?27:8l<58`0891?2m32j86s|3b:b>5<4s49nji4;9d9>7a242=3n70=l9`824217``c28:<;63>4`39>2908w0=jfb87=`=:;m>9697j;<1`=<<68>=0q~=jdb83>6}:;llh6<>87:?20<`=0h80197<8;:b0>{t;j236=4<{<1fbg<31l16?i:>:5;f?85d110:<:94}r1f`g<72:q6?hhm:0243>;6<0o14l<4=5;2a?>f<2wx?n68:18085bnh0?5h523e63>1?b349h5:4>0658yv5blh0;6>u23ddb>460?27:84j58`0891?7m32j86s|3b:5>5<4s49nj44;9d9>7a5a2=3n70=l97824217``>28:<;63>48a922908w0=jf787=`=:;m9n697j;<1`=0<68>=0q~=jd983>6}:;ll=6<>87:?20{t;j2?6=4<{<1fag<31l16?i=k:5;f?85d1=0:<:94}r1f`0<72:q6?hkm:0243>;6<0214l<4=5:aa?>f<2wx?n6<:18085bm90?5h523e15>1?b349h4i4>0658yv5bkh0;6>u23dg3>460?27:85m58`0891?a132j86s|3b5`>5<4s49nhk4;9d9>7a522=3n70=l8b824217`ba28:<;63>49c9kme;3332=:9=2365o;;<70<`5rs2fef?6=63v3;|q0`cg=83>p1>l6d;;25>;4j>>1==o7;<1f7g<68>=01>l;5;:b5>{t;k=;6=4<{<1a=a<3;k16?o9;:51a?85e?90i4o5rs2g2e?6=:r78n4j5b9`896e5n32j=6s|70d94?329r78n::54d`896d083>nn63l98;6ff>;4j?<18hl4=2`50?2bj278n;<54d`896d183>nn63m7:jb:?0f0>=l:6;6ff>;4j<>18hl4=2`66?2bj278n8>54d`896d3m3>nn63=l72;6ff>;4j1:18hl4=2`4a?2bj278n:m54d`896d0i3>nn63l:c;6ff>;4j1<18>l4=2`73?24j27:8n>548g8911603>nn63;73390`d<5==9<7:jb:?734`=e;6ff>;3?8n18hl4=552g?2bj27?;nn63;70;90`d<5==:;7:jb:?71d1=<:h019;98;60f>;3>0o18hl4=54:g?2bj27?:4o54d`8910>03>nn63;68490`d<5=;3>><18hl4=5440?2bj27?::<54d`8910083>nn63;66d906d<5=<=n7:;3>:l18hl4=540`?2bj27?:>754d`89104?3>nn63;62790`d<5=<8?7:jb:?7267=;3>;n18hl4=541f?2bj27?:?754d`89105?3>nn63;65d90`d<5=;3>==18hl4=5471?2bj27?:9=54d`8910393>nn63;62`90`d<5=<>?7:;3=j=18>l4=42a1?24=27>15334?;n84>0`28906e=3;;5k5251`6>46>i27>46f927>m5;1f5>;28k?1?h>4=42a1?5cn27>m5;1g<>;28k?1?i94=42a1?5c>27>m5;1`b>;28k?1?nk4=42a1?5dl27>m5;1`2>;28k?1?n;4=42a1?5d<27>63:0c797f7<5<:i97=l0:?64g3=;kl018>m5;1aa>;28k?1?hk4=42a1?5bl27><5<:i97=ke:?64g3=;m9018>m5;1`<>;28k?1?oj4=42a1?5e027>m5;g5e>;28k?18l64=42a1?2f?27>j963:0c790d2<5<:i97:n3:?64g3=m5;6b5>;28k?18oo4=42a1?2e027>i863:0c790g6<5<:i97:nc:?64g3=m5;6be>;28k?18l>4=42a1?2>n27>8963:063955?d34?;;<4>08f8906093>8;63:063955?b34?;;<4;389>15162=9?70;?70824d6<5<:<=7??9g9>151628:2m63:0639065<5<:<=7:81;1f1>;28>;1?h:4=4245?5b;27><:?53d089060939n=63:06397`6<5<:<=7=kf:?6427=;mn018>81;1gg>;28>;1?il4=4245?5ci27><:?53e;89060939o463:06397a1<5<:<=7=k6:?6427=;m?018>81;1g0>;28>;1?i<4=4245?5c927><:?53e289060939hj63:06397fc<5<:<=7=ld:?6427=;ji018>81;1`f>;28>;1?no4=4245?5d127><:?53b589060939h:63:06397f3<5<:<=7=l4:?6427=;j9018>81;1`6>;28>;1?n?4=4245?5d827><:?53cd89060939ii63:06397`c<5<:<=7=jd:?6427=;li018>81;1fe>;28>;1?h64=4245?5cm27><:?53e189060939h463:06397gb<5<:<=7=m8:?6427=m>:018>81;g5b>;28>;1i;k4=4245?c1l27><:?5e7a8906093o=n63:0639a3g<5<:<=7:n8:?6427=81;6b2>;28>;18l;4=4245?2f<27><:?54`18906093>j>63:06390d7<5<:<=7:ma:?6427=81;6a1>;28>;18o:4=4245?2e827><:?54`a8906093>jn63:06390dg<5<:<=7:n0:?6427=<0l018>81;001>;5;ho18hl4=31bg?2bj279?lo54d`8975f03>nn63=3`490`d<5;9j87:jb:?17d4=;5;k818>l4=31:b?24j279>>h54d`89744l3>nn63=22`90`d<5;8857:jb:?1661=;5::918hl4=3005?2bj279>9=542`8974483>8n63;3`;90`d<5=>m47:jb:?7064=;3:o?18hl4=50e7?2bj27?>k?54d`8914bn3>nn63;2df90`d<5=8nn7:jb:?76`?=;3:oh18>l4=50f2?24j27??<654d`89156>3>nn63;30690`d<5=9:>7:jb:?7746=;3;9i18hl4=513e?2bj27??=654d`89156k3>8n63;315906d<5=:n?7:j4;6ff>;38l<18hl4=52fnn63;0dg90`d<5=:m<7:jb:?74c4=hl1;6ff>;4nkn18hl4=2daf?2bj278jo754d`896`e?3>nn63hnf;6ff>;4nhn18hl4=2dbf?2bj278jl954d`896`f=3>nn63h6d;6ff>;4n0h18hl4=2d:=?2bj278j4954d`896`>=3>nn63hl9;6ff>;4nj=18hl4=2d`1?2bj278jn=54d`896`en3>nn63h93;6ff>;4n?818hl4=2d55?2bj278j;>54d`896`2n3>nn63;5=jn18hl4=364a?2bj279;9l54d`89712l3>nn63=74`90`d<5;=>57:jb:?1301=;5?<918hl4=3565?2bj279;9h54d`89713l3>nn63=773906d<5;=?m7:;5?:=18hl4=3501?2bj279;>=54d`8971493>nn63=73d90`d<5;=9h7:jb:?137d=;5?:n18>l4=3512?24j279:i<54d`8970c83>nn63=6bg90`d<5;;5>l818hl4=34f4?2bj279:ik54d`8970ck3>nn63=6ec90`d<5;;5>l218>l4=34`=?24j279;==54d`89716=3>nn63=70190`d<5;=:=7:jb:?135`=;5?9h18hl4=353=?2bj279;=954d`89717=3>nn63=70;906d<5;=;>7:=;5>?:18>l4=3:b5?2bj2794>;54d`897>1;3>nn63=81590`d<5;2hj7:jb:?1g35=<:h01?m;b;60f>;5l8l18>l4=3aea?24j279oi=54d`897ebn3>8n63=ce6906d<5;n?m7:jb:?1`1?=;5l?>18>l4=3f7f?24j279ol:5903897e>n3>8n63=c6c90`d<5;i=8j5;6ff>;4>l918hl4=24f5?2bj278:ik54d`8960ck3>nn63<6ec90`d<5:8k1;60f>;4?ji18>;4=25`g?771j16?:ml:02:`>;4?ji18>94=25`g?771l16?:ml:51:?850kj0??95236a`>46f8278;nm511;e?850kj0:<4o4=25`g?240278;nm542c8961dk3>8>63<7ba955?>3490`38961dk399i63<7ba977b<5:=ho7==c:?03fe=;;h01>9lc;11e>;4?ji1??74=25`g?5b>278;nm53d78961dk39n863<7ba97`5<5:=ho7=j2:?03fe=;l;01>9lc;1f4>;4?ji1?ih4=25`g?5cl278;nm53ea8961dk39on63<7ba97ag<5:=ho7=k9:?03fe=;m201>9lc;1g3>;4?ji1?i84=25`g?5c=278;nm53e68961dk39o>63<7ba97a7<5:=ho7=k0:?03fe=;jl01>9lc;1`a>;4?ji1?nj4=25`g?5dk278;nm53b`8961dk39hm63<7ba97f?<5:=ho7=l7:?03fe=;j<01>9lc;1`1>;4?ji1?n:4=25`g?5d;278;nm53b08961dk39h=63<7ba97f6<5:=ho7=mf:?03fe=;ko01>9lc;1fa>;4?ji1?hj4=25`g?5bk278;nm53dc8961dk39n463<7ba97ac<5:=ho7=k3:?03fe=;j201>9lc;1a`>;4?ji1?o64=25`g?c08278;nm5e7d8961dk3o=i63<7ba9a3b<5:=ho7k9c:?03fe=m?h01>9lc;g5e>;4?ji18l64=25`g?2f?278;nm54`48961dk3>j963<7ba90d2<5:=ho7:n3:?03fe=9lc;6b5>;4?ji18oo4=25`g?2e0278;nm54c78961dk3>i863<7ba90g6<5:=ho7:nc:?03fe=9lc;6be>;4?ji18l>4=25`g?2>n278;nm522789650m3>nn63<32`90`d<5:9857:jb:?0761==<4;6ff>;4;:818hl4=2104?2bj278??k54d`89652=3>8n63<335906d<5:>:i7:<5:?004c=993h70=;1d824:i7:<7:?004c=993n70=;1d877<=:;=;n69=;;<175`<68h:01>:>e;33=c=:;=;n6<>6a:?004c=<:201>:>e;60e>;4<8o18><4=262a?771016?9?j:02b5>;4<8o1??k4=262a?55l2788:i7==9:?004c=;l<01>:>e;1f1>;4<8o1?h:4=262a?5b;2788:i7=kf:?004c=;mn01>:>e;1gg>;4<8o1?il4=262a?5ci2788:i7=k6:?004c=;m?01>:>e;1g0>;4<8o1?i<4=262a?5c92788:i7=ld:?004c=;ji01>:>e;1`f>;4<8o1?no4=262a?5d12788:i7=l4:?004c=;j901>:>e;1`6>;4<8o1?n?4=262a?5d82788:i7=jd:?004c=;li01>:>e;1fe>;4<8o1?h64=262a?5cm2788:i7=m8:?004c=m>:01>:>e;g5b>;4<8o1i;k4=262a?c1l2788:i7:n8:?004c=:>e;6b2>;4<8o18l;4=262a?2f<2788j>63<40g90d7<5:>:i7:ma:?004c=:>e;6a1>;4<8o18o:4=262a?2e82788jn63<40g90dg<5:>:i7:n0:?004c=<0l01>:>e;001>;5nm:18hl4=3d;`?2bj279j5l54d`897`?13>nn63=f9490`d<5;l387:jb:?1b=4=;5nh=18>l4=3d4=?24j278<::542789660<3;;5n523157>46>l278<::542589660<3;;5h523157>15>349;;94;359>751328:j<63<066955?a349;;94>08c89660<3>8463<066906g<5::<87:<2:?0422=993270=?75824d7<5::<87==e:?0422=;;n01>>84;11g>;48>>1??l4=2240?55i278<::533;89660<39n:63<06697`3<5::<87=j4:?0422=;l901>>84;1f6>;48>>1?h?4=2240?5b8278<::53ed89660<39oh63<06697ae<5::<87=kb:?0422=;mk01>>84;1g=>;48>>1?i64=2240?5c?278<::53e489660<39o963<06697a2<5::<87=k2:?0422=;m;01>>84;1g4>;48>>1?nh4=2240?5dm278<::53bf89660<39ho63<06697fd<5::<87=la:?0422=;j301>>84;1`3>;48>>1?n84=2240?5d=278<::53b689660<39h?63<06697f4<5::<87=l1:?0422=;j:01>>84;1ab>;48>>1?ok4=2240?5bm278<::53df89660<39no63<06697`g<5::<87=j8:?0422=;mo01>>84;1g7>;48>>1?n64=2240?5el278<::53c:89660<3o<<63<0669a3`<5::<87k9e:?0422=m?n01>>84;g5g>;48>>1i;l4=2240?c1i278<::54`:89660<3>j;63<06690d0<5::<87:n5:?0422=01>>84;6b7>;48>>18l<4=2240?2f9278<::54cc89660<3>i463<06690g3<5::<87:m4:?0422=>84;6bg>;48>>18ll4=2240?2fi278<::54`289660<3>2j63<0669663<58ij57:jb:?2gf0=<:h01;6lj318>l4=0fb6?24j27<=k47a19>363=0h:0198jc;6ff>;3>k318hl4=5;gb?2bj27:iik511:g?87bll0:<4o4=0gga?770l16=hjj:02:=>;6mmo19i94=0gga?3c>27:iik51074?87bll0:=884=0gga?76=<16=hjj:0360>;6mmo19:74=0gga?30027:iik5565894ccm3?<:63>eeg9123<58ooi7;84:?2aac==0;01;6mmo195h4=0gga?3?m27:iik559f894ccm3?3o63>eeg91=?<58ooi7;8e:?2aac==>901;6mmo19h94=0gga?3b>27:iik51044?87bll0:=;84=0gga?76><16=hjj:0350>;6mmo19<;4=0gga?36<27:iik5501894ccm3?:>63>eeg9147<58ooi7;>0:?2aac==;n01;6mmo19?l4=0gga?35i27:iik553;894ccm3?9463>eeg9173<58ooi7;>a:?2aac==9l01;6ml;1==6k;<3fa4<680k0169:?2a`7==m=01;6ml;1=<;8;<3fa4<69<<01301;6ml;19:94=0gf5?30>27:ih?5567894cb93?<863>ed391<7<58on=7;60:?2a`7==1l01;6ml;195j4=0gf5?3?k27:ih?559;894cb93?ed39125<58on=7;82:?2a`7==l=01;6ml;1=<88;<3fa4<69?<01;6ml;19<=4=0gf5?36:27:ih?5503894cb93?:<63>ed3917b<58on=7;=c:?2a`7==;h01;6ml;19?74=0gf5?35027:ih?5537894cb93?:m63>ed3915`<58on=7;?e:?67;2;03195j4=41:=?3?k27>?47559;8905>13?70;<9882532<5<92:7??9`9>16?128:2563:38491a0<5<92:7;7f:?67<0==1o018=66;7;`>;2;0<195m4=41:2?3?127>?48556g8905>>3?649>16?128;=863:381955?f34?85>4>08;8905>;3?o:63:38191=`<5<92?7;7e:?67<5==1n018=63;7;g>;2;0919574=41:7?30m27>?4=55618905>;3?<>63:38191`0<5<92?7?>669>16?428;=:63:3819540234?85>4>1768905>83;;5l5252;3>46>127>?4>55e48905>83?3j63:38291=c<5<92<7;7d:?67<6==1i018=60;7;=>;2;0:19:k4=41:4?30;27>?4>55608905>83?n:63:3829540034?85=4>1748905>83;::85252;3>471<27?n><511:g?82e;;0:<4o4=5`06?770l168o==:02:=>;3j:819i84=5`06?76=>168o==:0362>;3j:81=<;:;<6a77<69<>019l<2;7;b>;3j:8195k4=5`06?3?l27?n><55d4891d4:3?9n63;b20917g<5=h8>7;=9:?7f64==;2019l<2;711>;3j:819<551g891d5k3;;4i524c0`>46>i27?n?m511:f?82e:j0:<474=5`1g?3c>27?n?m51074?82e:j0:=884=5`1g?76=<168o;3j;i19?l4=5`1g?35i27?n?m553;891d5k3?9463;b3a9173<5=h9o7;>a:?7f7e==9l019l=c;73a>;3j;31==6k;<6a6<<680k019l=9;33<`=:69:?7f7?==m<019l=9;3212=:70:m2882502<5=h957;=b:?7f7?==;k019l=9;71=>;3j;319?64=5`1=?35=27?n?7550c891d513?;j63;b3;915c<5=h9j7??8e9>0g4a28:2m63;b3d955>b34>i>k4>08;891d5n3?o:63;b3d9543034>i>k4>144891d5n3;:98524c0e>472<27?n?h553`891d5n3?9m63;b3d917?<5=h9j7;=8:?7f7`==;?019l=f;72e>;3j;l19=h4=5`1b?37m27?nnm511;a?82ekj0:<5j4=5``g?770o168oml:02:=>;3jji1=<;i;<6agf<69;3jji1>oh4=5``g?3a927?nnm55g2891ddk3?in63;bba91gg<5=hho7;m9:?7ffe==k2019llc;7a3>;3jji19o84=5``g?32?27?nnm5544891ddk3?>963;bba9102<5=hho7;:3:?7ffe==<8019llc;76b>;3jji198k4=5``g?32l27?nnm554a891ddk3?>n63;bba910g<5=hho7;:9:?7ffe==<2019llc;765>;3jji198>4=5``g?4ai27?nnm52g;891ddk3?n=63;bba91`6<5=9957??8e9>064>28:2m63;33;955>b34>8>44>08;8915513?o:63;33;9540034>8>44>1748915513;::852420:>471<27:ii?523;894cc9389h63>ee39661<58oo=7<;1:?2g23=:;n01;6k>?1>9?4=0a4c6:9617<58oh47<=9:?2af>=:;n01;6mj21>9?4=0g`a?45127:ink523f894cdm388;63>ebg9617<58ohn7<=9:?2afd=:;n01;6mjh1>9?4=0gab?45127:ioh523f894cen388;63>ecd9617<58oh97<=9:?2af3=:;n01;6mj?1>9?4=0g`6?45127:in<523f894cd:388;63>eb09617<58oio7<=9:?2age=:;n01;6mki1>9?4=0ga=?45127:io7523f894ce1388;63>ec;9617<58oi<7<=9:?2ag6=:;n01;6mk:1>9?4=0ga2?45127:io8523f894ce>388;63>ec49617<58oi?7<=9:?2ag5=:;n01;6mk91>9?4=0gb`?45127:ilj523f894cfl388;63>e`f9617<58ojm7<=9:?2adg=:;n01;6mhk1>9?4=0gb5?45127:il?523f894cf9388;63>e`39617<58oj;7<=9:?2ad1=:;n01;6mh=1>9?4=0gb0?45127:il:523f894cf<388;63>e`69617<58o2i7<=9:?2a;6m0o1>9?4=0g:f?45127:i4l523f894c>j388;63>e8`9617<58o2>7<=9:?2a<4=:;n01;6m081>9?4=0g:0388;63>e8:9617<58o297<=9:?2a<3=:;n01;6m0?1>9?4=0g;=?45127:i57523f894c?1388;63>e9;9617<58o3j7<=9:?2a=`=:;n01;6m1l1>9?4=0g;g?45127:i5m523f894c?k388;63>e9a9617<58o3<7<=9:?2a=6=:;n01;6m1:1>9?4=0g;2?45127:i58523f894c?>388;63>e949617<58o3?7<=9:?2a=5=:;n01;6m191>9?4=0g4`?45127:i:j523f894c0l388;63>e6f9617<58o;6m>k1>9?4=0g45?45127:i:?523f894c09388;63>e639617<58o<;7<=9:?2a21=:;n01;6m>=1>9?4=0g40?45127:i::523f894c0<388;63>e669617<58o=i7<=9:?2a3c=:;n01;6m?o1>9?4=0g5f?45127:i;l523f894c1j388;63>e7`9617<58o=>7<=9:?2a34=:;n01;6m?81>9?4=0g5e7:9617<58o=97<=9:?2a33=:;n01;6m??1>9?4=0g6b?45127:i8h523f894c2n388;63>e4d9617<58o>o7<=9:?2a0e=:;n01;6m9?4=0g67?45127:i8=523f894c2;388;63>e419617<58o>57<=9:?2a0?=:;n01;6m<31>9?4=0g62?45127:i88523f894c2>388;63>e449617<58o?m7<=9:?2a1g=:;n01;6m=k1>9?4=0g64?45127:i8>523f894c28388;63>e429617<58o?h7<=9:?2a1b=:;n01;6m=n1>9?4=43:3?45l27>=4952258907>?38?=63>e55967?<58o?;7<=d:?2a11=::=01;6m=>1>?74=0g70?45l27:i9:5225894c3<38?=63:18:967b<5<;247<<7:?65<>=:=;018?n0;01`>;29h:1>>94=43b4?43927>=l=523f8907f;388;63:1`19617<5<;j=7<=d:?65d7=::=018?n1;075>;29h81>?j4=43b6?44?27>=l<52538907f<389h63:1`69661<5<;j87<;1:?65d3=:;n018?n5;003>;29h?1>9?4=43:=?45l27>=4752258907>138?=63:1`4967b<5<;j:7<<7:?65d0=:=;018?n7;01`>;29h=1>>94=43b3?43927>=4o523f8907>i388;63:18c9617<5<;2n7<=d:?65;290o1>?j4=43:a?44?27>=4k52538907>k389h63:18a9661<5<;2o7<;1:?65;290n1>9?4=43b=?45127>=l7523f8907f1388;63:1`;9617<5<;2j7<=9:?65<`=::=018?6f;075>;29h21>?74=43b=l652258907f038?=63:1c1967?<5<;i?7<=d:?65g5=::=018?m3;075>;29k;1>?74=43a5?45l27>=o?52258907e938?=63:1c0967?<5<;i>7<=d:?65g4=::=018?m2;075>;29k>1>?74=43a0?45l27>=o:52258907e<38?=63:1c7967?<5<;i97<=d:?65g3=::=018?m5;075>;29k21>?74=43a=o652258907e038?=63:1c4967?<5<;i:7<=d:?65g0=::=018?m6;075>;29k=1>?74=43a3?45l27>=o952258907e?38?=63:1`c967?<5<;jm7<=d:?65dg=::=018?na;075>;29hh1>?74=43bf?45l27>=ll52258907fj38?=63:1`g967?<5<;ji7<=d:?65dc=::=018?ne;075>;29hi1>?74=43bg?45l27>=lm52258907fk38?=63:1`f967?<5<;jh7<=d:?65db=::=018?nd;075>;29hl1>?74=43bb?45l27>=lh52258907fn38?=63:1c2967?<5<;i<7<=d:?65g6=::=018?m0;075>;6m:n1;e2d934`7g?e20;:70=m71824d><5:o857??769>7g2321k=7p}l9e;`;f>{t;l;36=4={<1a=gf=2wx?o8l:18085e1h0??o523c4f>15e349i:n4m8c9~w6c6?3:1>v3n8:?0a61=99=<70=m458;e1=z{:h=m7>53z?0fl9c;60f>;4j?k1n5l4}r1f53<72;q6?o76:c:a?85d:h03m<5rs2fe1?6=460?278n9:58`18yv5e>10;6>u23c;;>15e349i:l4;3c9>7g0?2k2i7p}1}:;k3<64?>;<1a2=<68h201>k<5;3332=:;k>?65o>;|q0f30=839p1>l67;60f>;4j?218>l4=2`52?d?j2wx?h?;:18185e1>0i4o523b0;>=g63ty8hk=50;6x96d>>33:=63065896d3<32j>6s|3c47>5<4s49i5;4;3c9>7g012=9i70=m658a52z?0f<0=j1h01>m=7;:b5>{t;ml96=4;{<1a=0<>9816?o8;:02b<>;4m:91==98;<1a06l92;297~;4j0?18>l4=2`50?24j278n;<5b9`8yv5b9;0;6?u23c;6>g>e349h>;47a09~w6ba93:18v37??a99>7`5528:<;637g?32=9i70=m63877g=:;k<;6o6m;|q0a47=838p1>l64;`;f>;4k;?14l?4}r1gb5<72=q6?o7<:832?85e>90:16?o:<:9c7?xu4j542`896d2m3h3n6s|3d33>5<5s49i5>4m8c9>7f4321k:7p}77>1:?0f0c=99k370=j3182421<5:h??76n3:p7g3f2908w0=m93877g=:;k?n69=m;<1a1dk?f;296~;4j081n5l4=2a17?>f92wx?ikk:18785e1902=<523c7b>46f0278i?k51154?85e<:03m<5rs2`6542`896d2i3>8n637g?72k2i70=l208;e4=z{:nno7>54z?0f=`=18;01>l:8;33e==:;l8o6<>87:?0f15=0h80q~=m5783>6}:;k2m69=m;<1a1=<3;k16?o;9:c:a?xu4m9i1<7<76349i9;4>0`:896c5k3;;;:523c61>=g13ty8n8:50;1x96d?m3>8n6387l7b:p7`6e2909w0=m8d8a;|q0``g=83>p1>l7d;;25>;4j<>1==o7;<1f6g<68>=01>l;2;:b1>{t;k?96=4<{<1a5<3s49i4n46109>7g3528:j463>7:7}:;k2h6o6m;<1`7fjj8;290~;4j1h15;4j=814l=4}r1a0`<72:q6?o6m:51a?85e=90??o523c6f>g>e3ty8i=650;0x96d?j3h3n637g>f20;:70=m4d824d><5:o947??769>7g2521k:7p}l;c;`;f>{t;l:<6=4={<1a0:<:94=2`76?>f:2wx?o:n:18085e000??o523c6`>15e349i8l4m8c9~w6c7>3:1>v3j6<>n8:?0a70=99=<70=m408;e3=z{:h?47>53z?0f=>=<:h01>l;a;60f>;4j=21n5l4}r1f40<72;q6?o67:c:a?85d;?03m<5rs2ff0?6=460?278n9>58`78yv5e0;0;6>u23c:4>15e349i854;3c9>7g>52k2i7p}1}:;kk=64?>;<1a<7<68h201>k;3;3332=:;k>:65o:;|q0f=6=839p1>ln6;60f>;4j1818>l4=2`;4?d?j2wx?h<=:18185ei?0i4o523b1;>=g63ty8i=?50;6x96df=33:=63065896d3832j:6s|3c5f>5<4s49im84;3c9>7g>72=9i70=m7d8a52z?0fd3=j1h01>m<7;:b5>{t;l:;6=4;{<1ae1<>9816?o9j:02b<>;4m=;1==98;<1a05l8c;297~;4jh>18>l4=2`4a?24j278n:m5b9`8yv5b:90;6?u23cc7>g>e349h?847a09~w6ban3:18v37`2728:<;637gg42=9i70=m7b877g=:;k=j6o6m;|q0a4`=838p1>ln3;`;f>;4k:>14l?4}r1gb`<72=q6?oo=:832?85e?h0:16?o:>:9c7?xu4j>21<7=t=2`b6?24j278n:o542`896d003h3n6s|3d3f>5<5s49im?4m8c9>7f5421k:7p}1:?0f2>=99k370=j3d82421<5:h?<76n1:p7g112908w0=ma0877g=:;k=369=m;<1a33k>d;296~;4jh;1n5l4=2a06?>f92wx?ihl:18785ei902=<523c55>46f0278i>o51154?85e<803m>5rs2`46?6=;r78nl>542`896d0>3>8n637gg72k2i70=l308;e4=z{:nm57>54z?0fl82;33e==:;l8m6<>87:?0f17=0h;0q~=m5b83>6}:;k3h69=m;<1a37<3;k16?o;l:c:a?xu4m831<7<76349i9n4>0`:896c5<3;;;:523c63>=g53ty8n9950;1x96d>93>8n63;|q0f=2=839p1>l76;;25>;4j==1==o7;<1a<0k?3;296~;4j1<1n5l4=2a14?>f92wx?h8i:18185b>l0?5h523d50>`1c3ty8i;k50;1185b>l0:<:94=2a0b?>f>278nk<58`0896da;32j>63mnc;:b7>;4k=814l=4=2a43?>f9278o:?58`6896e0=32j96301>m;5;:b6>;4k>314l:4=2g:0?>f=278o;:58`0896e3132j>63k72;:b5>;4m1914l84=2g;1?>f:278i:858`0896ce932j963m9c;:b5>;4k=<14l<4=2gb1?>f9278i4=58`1896c>=32j=6301>li6;:b7>;4k8314l;4=2a26?>f:278o=;58`0896e7<32j>63m9e;:b6>;4jo=14l<4=2f7f?2>m2wx?h9::18185b?=0?5h523d5f>`1c3ty8i::50;1g85b?=0:<:94=2`f=?>f9278nho58`3896dbj32j=63ljf;:b5>;4jo:14l?4=2`e5?>f9278nh<58`3896db;32j=63lj7;:b5>;4jl214l?4=2a0b?>f9278o=l58`3896c?n32j>6301>km9;:b7>;4k?914l;4=2a71?>f=278o:758`7896e1932j>63k7a;:b6>;4m0:14l:4=2g;5?>f;278i5=58`0896c?=32j963k9b;:b7>;4m?i14l=4=2ga5?>f;278io658`0896e1?32j=6301>kn6;:b7>;4mh214l84=2gbg?>f:278i4=58`3896c>?32j>6301>m>9;:b5>;4m>814l<4=2g45?>f;278o=o58`3896da?32j8637`0>2=3n70=j6e8f3a=z{:o=57>539y>7`0>28:<;63li3;:b7>;4k9314l<4=2aba?>f<278olm58`6896e3:32j=63km9;:b1>;4k?914l=4=2a71?>f<278o:758`1896e1932j?63k7e;:b0>;4m1;14l<4=2g;6?>f;278i5=58`1896c0;32j>63k9b;:b0>;4m?i14l<4=2ga5?>f<278io658`7896e1?32j>63kn5;:b1>;4mh<14l<4=2gbf<278i4=58`6896c>?32j:63m>9;:b0>;4k?814l:4=2a25?>f:278o<<58`1896e7=32j?6376n1:?0g5b=0h801>k81;:b1>;4k9;14l<4=2`e3?>f9278h98548g8yv5b010;6?u23d:4>1?b349n5=4j7e9~w6c??3:1?460?278o>h58`0896e7132j?63mnc;:b6>;4k=814l<4=2a43?>f;278o:;58`3896c0132j>63m89;:b5>;4m0>14l<4=2a55?>f=278o;:58`1896e3;32j?63k71;:b5>;4m1?14l?4=2g44?>f9278i:858`1896c0l32j>6376n2:?0ag>=0h;01>m96;:b5>;4mh?14l<4=2gbf9278i4=58`7896c>=32j>63m>1;:b7>;4k8814l:4=2a31?>f<278o=:58`6896c0:32j?63m9d;33e==:;kl<65o:;<1g0<<31l1v>k62;297~;4m0;184k4=2g:g?c0l278il>548g8yv5b180;6?u23d;2>460?27<;i47a19~w6c?83:1>v37f6e21k970=j978;e3=:;l2m65o<;<1`07523b40>=g5349h8847a29>7f1>21k970=l608;e4=:;l2i65o<;<1`0<?:9c5?85b0003m9523d:g>=g2349n4h47a09>7`>621k=70=j878;e4=:;l=;65o=;<1f36=g6349nn547a59>7`df21k?70=l668;e1=:;j=g6349nm:47a39>7`?021k?70=j9`8;e0=:;l3h65o>;<1abg;03m<523d51>=g1349n;<47a39>7f0a21k?70=l0`8;e7=:;kl<65o<;|q0ag6=839p1>knf;6:a>;4mkk1i:j4=2gaf?2>m2wx?hoi:18185bio0:<:94=65e>=g73ty8nlj50;0x96b1=3>2i637a0228:<;63ll9;:b7>{t;kkh6=4={<1g21<31l16?oj8:c:a?xu4l<>1<7;t=2f50?77?>16?ho6:9c5?85d8l03m8523d`6>=g1349io547a39~w6dfj3:1>v3w0=k6282421<5:oj576n3:?0g5`=0h801>km5;:b1>;4jj=14l<4}r1aed<72;q6?i8=:5;f?85el<0i4o5rs2f66?6==r78h;<51154?85bi003m9523b2f>=g1349nn;47a39>7ge121k97p}0}:;m<:6<>87:?0ad?=0h;01>m?f;:b5>;4mk<14l?4=2``1?>f:2wx?ol::18185c>m0?5h523cg2>g>e3ty8h8k50;7x96b1l3;;;:523dc:>=g5349h7`d121k?70=md38;e7=z{:hi87>52z?0`3e=<0o01>lj0;`;f>{t;m?o6=4:{<1g2f<68>=01>kna;:b1>;4k9l14l:4=2ga2?>f;278ni?58`08yv5ej:0;6?u23e4a>1?b349ihk4m8c9~w6b2k3:15v37`>e21k>70=l068;e4=:;klm65o=;<1ab3=g33ty8no<50;0x96b1i3>2i637a0f28:<;637}:;m<2697j;<1a`aj:a;290~;4l?31==98;<1`4`5<3s49o:54>065896e7m32j?63=83?p1>j97;3332=:;lkj65o<;<1`4c5<2s49o:;4>065896cfi32j>637}:;m<;697j;<1a`<j:6;293~;4l?:1==98;<1fed=g6349h=<47a09>7`?e21k>7p}j7:6e:?0fa5=j1h0q~=k5183>d}:;m?m6<>87:?0adg=0h<01>m?7;:b7>;4jol14l=4=2`e2?>f:278nn:58`0896c?k32j>630q~=ja383>6}:;lk:697j;<1fef16;?h58`28yv5ek00;6?u23cf;><76349io44j7e9~w6dei3:1>v3n8:?0ff>=m>n0q~=mb883>6}:;kn<69=m;<1afd<3;k16?ol6:c:a?xu4jj=1<7=t=2`g2??69278no7511c;?85ek>0n;i5rs2`a8n637gb220;:70=mb9824d><5:hh:7k8d:p7gd02908w0=md4877g=:;kh369=m;<1af2ll5;297~;4jm>155<4s49ii<46109>7ge428:j4637gc62=9i70=mc2877g=:;ki96o6m;|q0fa7=839p1>lj0;;25>;4jj81==o7;<1a`4ll1;297~;4jl:18>l4=2``6?24j278nn?5b9`8yv5el90;6>u23cfe><76349io<4>0`:896dc83o5<4s49ihk4;3c9>7ge62=9i70=mc18a53z?0fac=18;01>ll0;33e==:;kim6h9k;|q0fg`=839p1>lke;60f>;4jj:18>l4=2`ab?d?j2wx?omj:18085elm02=<523c`e>46f0278nnk5e6f8yv5ejl0;6>u23cfg>15e349ink4;3c9>7gdb2k2i7p}1:?0fgc=99k370=mce8f3a=z{:hih7>53z?0fae=<:h01>lme;60f>;4jkn1n5l4}r1agf<72:q6?ojm:832?85ejm0:15e349inn4m8c9~w6ddj3:1?v37gee2l=o7p}lmb;`;f>{t;kij6=4<{<1a`<<>9816?olm:02b<>;4jjk1i:j4}r1af3<72:q6?oj6:51a?85ejk0??o523c`5>g>e3ty8nn:50;1x96dc;33:=633h63=e0:90=b<5;o:;7:7d:?1`g4=<1n01?jm1;6;`>;5lk:185j4=3fbb?2?l279i5<549f897c?93>3h63=e9290=b<5;o;5lh2185j4=3fb3?2?l279hl8549f897bf=3>3h63=d`690=b<5;nj?7:7d:?1g1g=<1n01?m;9;6;`>;5k=2185j4=3a73?2?l279il<549f897cf93>3h63<8e790=b<5:2om7:7d:?07<4;6;`>;41:9185j4=2;06?2?l2785>?549f896?4k3>3h63<92`90=b<5:38m7:7d:?0=6?=<1n01>7<8;6;`>;41:=185j4=2;02?2?l2785>;549f896?483>3h63<93d90=b<5:2m87:7d:?06i2;6;`>;40o;185j4=2:e4?2?l2784km549f896>aj3>3h63<8gc90=b<5:2m57:7d:?0=<1n01>6i7;6;`>;40o<185j4=2:e1?2?l2784hh549f896>bm3>3h63<98d90=b<5:32i7:7d:?0=76c;6;`>;410h185j4=2;:e?2?l278547549f896?>03>3h63<9`590=b<5:3j:7:7d:?0=d3=<1n01>7n4;6;`>;41h9185j4=2;b6?2?l2785l?549f896?f83>3h63<98590=b<5:?9:7:7d:?017g=<1n01>;=1;6;`>;4=1>185j4=27;7?2?l27895<549f8963?93>3h63<59a90=b<5:?3n7:7d:?01=g=<1n01>;79;6;`>;4=12185j4=27;3?2?l278958549f8963?=3>3h63<59290=b<5:?;;3;6;`>;4==8185j4=2775?2?l27899>549f89633k3>3h63<55`90=b<5:??m7:7d:?011?=<1n01>;;8;6;`>;4===185j4=2772?2?l27899;549f89634n3>3h63<52g90=b<5:?nj7:7d:?01`c=<1n01>;jd;6;`>;4=li185j4=27ff?2?l2789ho549f8963b13>3h63<5d:90=b<5:?m;7:7d:?01c0=<1n01>;i5;6;`>;4=o>185j4=27e7?2?l2789k<549f8963a93>3h63<5g290=b<5:?n;7:7d:?052e=<1n01>?70;6;`>;49>=185j4=23ge?2?l278=i7549f8967c03>3h63<1e590=b<5:;n>7:7d:?05`7=<1n01>?j0;6;`>;49ml185j4=23ga?2?l278=ij549f8967ck3>3h63<1e`90=b<5:;o:7:7d:?05a3=<1n01>?6a;6;`>;4903185j4=23:>3>3h63<1`090=b<5:;j=7:7d:?05d6=<1n01>?6f;6;`>;490o185j4=23:`?2?l278=4m549f8967>j3>3h63<18790=b<5:;287:7d:?0613=<1n01><;4;6;`>;4:=9185j4=2076?2?l278>9?549f8964383>3h63<22d90=b<5:88i7:7d:?061b=<1n01><;c;6;`>;4:=h185j4=207e?2?l278>97549f8964303>3h63<25590=b<5:8?:7:7d:?066b=<1n01;6nok1i:j4=5`27?>f:279>5=58`389776;32j=63=1579:3;:b2>;58>k14l84=0734?>f9278;i758`08961ck32j>63<7ec99ia;:b5>;409>14l?4=25e2?>f9278;k758`38961an32j=63<8129=0h;01>9id;:b5>;4?:o14l=4=250f?>f;278;9;58`1896>7:32j=63<7g699:4;:b7>;4?<214l=4=2566?>f;278;9k58`189612832j?63<7449m76n3:?030e=0h901>9;0;:b7>;4?=814l=4=2570?>f;2788?l58`089625m32j>63<43a99h76n2:?0003=0h;01>:;c;:b5>;4<<<14l?4=267f927889l58`389622932j=63<4409><76n1:?001g=0h;01>:;f;:b5>;4;0>14l=4=21:5?>f;278?4l58`189622<32j=63<4549?576n1:?0011=0h;01>=na;:b7>;4;ho14l=4=21bf;278?l:58`18965f>32j?63<3`a9=66;:b7>;4;0214l=4=21:e?>f;278<5?58`08966?<32j>63<0909>n2;:b5>;48hi14l?4=22:a?>f9278>n5;:b5>;5nl<14l=4=3df7?>f;279jhj58`18966fi32j=63<08a9;489:14l=4=3dee?>f;279jk858`1897`a032j?63=fgg976n3:?0452=0h901?hj8;:b7>;5nlk14l=4=3dfg?>f;278o9l58`3891ge832j963>e3g90=b<5<8n>7:7d:?66g1=999e:?177d=999e:?7052=<1n019=kf;6;`>;5=0h185j4=37:=?77>l16>9j6:5:g?843j=0?4i52284;>1>c3482:;4;8e9>6<032=2o70<663874;l:5:g?84>=h0?4i52381f>=g6349>4h47a09>74c321k:70:=3c8;e3=:522ggf>=g434>8>i4>07g8915493>3h63;32190=b<5=9897:7d:?7761=<1n019=<9;6;`>;3;:h185j4=510`?2?l27??>h549f8915393>3h63;33d90=b<5=:>:7??6d9>05062=2o70:?6b87:5:g?827?;0?4i524150>1>c34>;;94;8e9>05122=2o70:?56871>c34>;9i4;8e9>053b2=2o70:?5g87=0?4i524146>1>c34>;:;4;8e9>05002=2o70:?6987m0?4i52414f>1>c34;o;=4;8e9>5a162=2o70?k6e87>0?4i521e4;>1>c34;o:94;8e9>5a022=2o70?k60871>c34;o4o4;8e9>5a>d2=2o70?k89871>c34;o;k4;8e9>5a>72=2o70?k7b871>c34;o;>4;8e9>5a132=2o70?k59871>c34>o5:4;8e9>0dgd21k:70:k9g87k:5:g?85c8k0?4i523e3f>1>c349o=n4;8e9>7a7f2=2o70=k19871>c349o<54;8e9>7a432=2o70=k2387m278o<<5e6f896b7l3>2i6s|3b43>5<61r78h=m5423896b7i3>8=63j>7;605>;4l8?18>?4=2f27?249278h8=63n01>j?d;605>;4l9h18>?4=2f2a?249278h8=63j>2;605>;4l8:18>?4=2f3a?249278h=654238yv5dnm0;6?u23e2`>460?27<=h47a19~w6ef83:1?v37}:;m:j6<>87:?45amna;297~;4l8n184k4=2a31?c0l278h460?27<>547a19~w6ef13:1?v37}:;m;i6<>87:?462mn8;297~;4l83184k4=2a37?c0l278h460?27<>;47a19~w6ef?3:1?v37k8d:?0`4>=<0o0q~=k0283>7}:;m;<6<>87:?460mn6;297~;4l8?184k4=2`ea?c0l278h<8548g8yv5c8;0;6?u23e36>460?27<>947a19~w6ef=3:1?v37}:;m;86<>87:?466mn4;297~;4l8;184k4=2`e1?c0l278h<<548g8yv5c890;6?u23e32>460?27<>?47a19~w6ef;3:1?v37}:;m:m6<>87:?464mn2;297~;4l93184k4=2a27?c0l278h=k548g8yv5dnl0;6?u23e2:>460?27<>=47a19~w6e>n3:1?v3=<0o0q~=lfc83>7}:;m:<6<>87:?45fm;1;2954}:;m9h69=>;<1g7g<3;816?i=n:512?85c;00??<523e1;>156349o?:4;309>7a232=9:70=k428774=:;m>969=>;<1g04<3;816?i:?:512?85c;o0??<523e1f>156349o?i4;309>7a512=9:70=k348774=:;j>96h9k;|qb07<72;q6?n7<:5;f?812n3h3n6s|a5194?4|5:i2>7:6e:?41f52z?0g<6=<0o01:;9:c:a?xuf1?b34=>?7l7b:pe11=838p1>m7e;6:a>;0=90i4o5rs`6;>5<5s49h5o4;9d9>32g=j1h0q~o;9;296~;4k0k184k4=654>g>e3tyj8l4?:3y>7f?>2=3n70984;`;f>{ti=h1<7m27<;<4m8c9~wd2d2909w0=l9687=`=:??o1n5l4}rc7`?6=:r78o48548g8920e2k2i7p}n4g83>7}:;j3>697j;<5550;0x96e><3>2i638648av3>o6o6m;|q0g02=838p1>k90;6:a>;4jll1i:j4}r1`1`<728;p1>k90;605>;4m?4=2g6a?249278i8j5423896c2k3>8=63k96;605>;4m??18>?4=2g50?249278i;=5423896c1:3>8=63m7:<1:?0a0?=<:;01>m:f;g4`>{t;l>m6=4={<1f25<68>=01:8?:9c3?xu4k<91<7m278nhk5e6f8yv5b460?27<9i47a19~w6e2:3:1>v3?j65o?;|q0g07=838p1>k:d;6:a>;4jli1i:j4}r1f0f<72;q6?h;k:0243>;0=>03m=5rs2a64?6=:r78i8m548g896dbj3o5<5s49n9n4>0658923321k;7p}n7:6e:?0f`g=m>n0q~=j4`83>7}:;l?i6<>87:?414m:d;296~;4m?2184k4=2`f10:<:94=65a>=g73ty8o8m50;0x96c1?3>2i637`0028:<;638798;e5=z{:i>n7>52z?0a30=<0o01>lj6;g4`>{t;l?=6=4={<1f23<68>=01:9::9c3?xu4km278nh;5e6f8yv5b=<0;6?u23d46>460?27<;?47a19~w6e213:1>v3=838p1>k93;6:a>;4jl91i:j4}r1f16<72;q6?h8<:0243>;0>j03m=5rs2a63?6=:r78i;<548g896db:3o5<5s49n:?4>0658920>21k;7p}n0q~=j5083>7}:;l<:6<>87:?423m:5;296~;4m=g73ty8o9k50;0x96c213>2i637`3>28:<;6384d8;e5=z{:io?7>52z?0a13=<0o01>kmd;g4`>{t;l>>6=4>1z?0a13=99=<70990;5;e>;0=m0<4l5274c93=g<5>?<6:6n;<560?1?i27<9<488`9>32d=?1k01:97:6:b?810=3=3m63873842>f34==5797a:?423<00h16;;=579c8922b2>2j7p}n0q~=k4e83>7}:;m>m6<>87:?40gmnf;296~;4l=o184k4=2a20?c0l2wx?i:l:18185c=g73ty8oi:50;0x96c3>3>2i637`212=9:70=l728f3a=z{:o?:7>511y>7`2128:<;63k74;:b6>;4m>:14l;4=2g4a?>f<278i;j58`6896ce:32j:63k6e;:b6>;4k8<14l<4=2g7f9278o=m58`68yv5dim0;6>u23e00>1?b349hmh4j7e9>7a432=3n7p}m96;g4`>;4l;>18>?4=2f16?2492wx?i=g73ty8oll50;1x96b593>2i637:6e:p7a7a2909w0=k2082421<5>8h65o?;|q0gg7=838p1>j9e;6:a>;4k8o1i:j4}r1g2`<72oq6?i8j:0243>;4m>h14l;4=2ag3?>f:278o9l58`7896c?<32j=63j80;:b6>;3j8?14l=4=2a56?>f;278i4k58`1896e6m32j=632909w0=j3c87=`=:;j8m6h9k;|q0g3>=838:w0=j3c8774=:;l9269=>;<1f7=<3;816?h=8:512?85b;?0??<523d16>156349n?94;309>7`542=9:70=j338774=:;l9:69=>;<1f75<3;816?h156349n>o4;309>7`4f2=9:70=j288774=:;l8369=>;<1f62<3;816?h<9:512?85b:<0??<523d60>156349n8?4;309>7`262=9:70=j418774=:;l9m69=>;<1f7`<3;816?h=n:512?85b:o0??<523d07>156349n>>4;309>7`5c21k>70=l6e8a52z?0a6?=<0o01>m=d;g4`>{t;ji=6=4={<1f7=<31l16?nm278o?o5e6f8yv5dk=0;6?u23d15>1?b349h>44j7e9~w6ed;3:1>v3k<3;6:a>;4k;<1i:j4}r1`g5<72;q6?h==:5;f?85d:<0n;i5rs2aab?6=:r78i>?548g896e5<3o5<5s49n?=4;9d9>7f442l=o7p}n0q~=lbc83>7}:;l8o697j;<1`5cmma;296~;4m;i184k4=2a0a?c0l2wx?nl6:18185b:k0?5h523b1g>`1c3ty8oo650;0x96c5i3>2i637`4>2=3n70=l3c8f3a=z{:ii:7>52z?0a7>=<0o01>m{t;jh>6=4={<1f62<31l16?n=6:d5g?xu4kk>1<7m278o>85e6f8yv5dj:0;6?u23d06>1?b349h>o4j7e9~w6ec93:1>v3k;1;6:a>;4k:?1i:j4}r1`g`<72;q6?h:?:5;f?85d;=0n;i5rs2a``?6=:r78i>h548g896e4;3o5<5s49n?h4;9d9>7f552l=o7p}n0q~=lc983>7}:;l8m697j;<1`6`mmd;296~;4m;>184k4=2a16?c0l2wx?nl=:18185b::0?5h523b03>`1c3ty8hh=50;1x96c5;3;;;:523c62>=g5349i4847a09~w6e>m3:1>v3;<1`06mk9;290~;4kmh1==98;<1f3g5<3s49hhl4>065896c0j32j=6387:?0g`b=0h801>m>2;:b5>{t;j=?6=4k{<1`b7<3;816?nh>:512?85dnh0??<523bd:>156349hj54;309>7f`02=9:70=lf78774=:;jl>69=>;<1`b1<3;816?nh<:512?85dn90??<523bge>156349h;84j7e9~w6ecm3:1>v37fce21k=70=l0e8;e6=z{:ioh7>52z?0gc7=99=<70=lec8;e6=z{:n<57>54z?0gcg=<0o01>j7e;3332=:;joh65o;;<1`40mj7;296~;4kok1==98;<1`afj88;290~;4ko3184k4=2f;`?77?>16?nkl:9c5?85d8=03m<5rs2af2?6=:r78ok751154?85dmj03m85rs2f43?6==g6349hii47a79~w6eb=3:1>v33:18v37f6521k:70=le`8;e3=z{:in87>52z?0gc1=99=<70=le`8;e6=z{:n<97>54z?0gc0=<0o01>j7a;3332=:;joj65o:;<1ab`mj3;296~;4ko<1==98;<1`adj84;290~;4ko?184k4=2f;=?77?>16?nkn:9c7?85enj03m>5rs2af6?6=:r78ok;51154?85dmh03m<5rs2f47?6==g6349hin47a39~w6eb93:1>v37g`321k:70=lee8;e1=z{:in<7>52z?0gc5=99=<70=lee8;e6=z{:n<=7>54z?0gc6=<0o01>j76;3332=:;j;865o>;<1`agmkf;296~;4ko:1==98;<1`agj75;290~;4kll184k4=2f:e?77?>16?nkm:9c7?85d8803m>5rs2agg?6=:r78ohh51154?85dmk03m<5rs2ag6?6=:r78i9:548g896e4n3o5<5s49n894;309>7f162l=o7p}065896e4n32j?63m?0;:b6>;4m1314l<4=2g;5?>f=278i:958`3896cf?32j=6301>m>d;:b1>;4kf;278i4k58`3896e6>32j963=0h;0q~=l4b83>73|5:no87:<1:?0`a5=<:;01>jk1;605>;4lm:18>?4=2f`b?249278hnk5423896bdl3>8=63jl9;605>;4lj218>?4=2f`2?249278hn;5423896bd<3>8=637:<1:?0`f7=<:;01>jl0;605>;4lkl18>?4=2faa?249278hoj5423896bci3>8=63jk6;605>;4lm?18>?4=2fg6?249278hn95423896bek3>8=637:<1:?0``7=<:;01>jj0;605>;4lml18>?4=2a7`?c0l2wx?il<:18185cl=0:<:94=2`fb?>f:2wx?il=:18185cl:0:<:94=2`fa?>f:2wx?il?:18185cl80:<:94=2`f`?>f:2wx?ioi:18185cl90:<:94=2`fg?>f:2wx?ioj:18185cko0:<:94=2`ff?>f:2wx?iok:18185ckl0:<:94=2`fe?>f:2wx?iol:18185ckm0:<:94=2`ff:2wx?iom:18185ckj0:<:94=2`f3?>f:2wx?ion:18185ckk0:<:94=2`f2?>f:2wx?io6:18185ckh0:<:94=2`f1?>f:2wx?io7:18185ck00:<:94=2`f0?>f:2wx?io8:18185ck10:<:94=2`f7?>f:2wx?io::18185ck?0:<:94=2`f6?>f:2wx?io;:18185ck<0:<:94=2`e5?>f:2wx?io<:18185ck=0:<:94=2`e4?>f:2wx?io=:18185ck:0:<:94=2`f=?>f:2wx?io>:18185ck;0:<:94=2`fb?>f;2wx?io?:18185ck80:<:94=2`fa?>f;2wx?i7i:18185ck90:<:94=2`f`?>f;2wx?i7j:18185cjo0:<:94=2`fg?>f;2wx?i7k:18185cjl0:<:94=2`ff?>f;2wx?i7l:18185cjm0:<:94=2`fe?>f;2wx?iln:18185clh0:<:94=2`ff;2wx?il6:18185cl00:<:94=2`f3?>f;2wx?il7:18185cl10:<:94=2`f2?>f;2wx?il8:18185cl>0:<:94=2`f1?>f;2wx?il9:18185cl?0:<:94=2`f0?>f;2wx?il::18185cl<0:<:94=2`f7?>f;2wx?il;:18185cl;0:<:94=2`f6?>f;2wx?il>:18185ck>0:<:94=2`e5?>f;2wx?io9:18185cjj0:<:94=2`e4?>f;2wx?i7m:18185cjk0:<:94=2`f=?>f;2wx?k>m:18185c1:0?5h521b:7>`1c3ty8o9o50;3585c1:0??<523e;1>156349o5<4;309>7a>a2=9:70=k8d8774=:;m2o69=>;<1g156349o454;309>7a>02=9:70=k878774=:;m3j69=>;<1g=<<3;816?i77:512?85c1>0??<523e;5>156349o584;309>7a?32=9:70=k918774=:;j>i6h9k;|q0`2b=83=p1>j63;3332=:;kl865o>;<1f3g=g6349nm947a39~w6`7i3:1>v376n1:?0a2d=0h901>m>b;:b0>;4k>h14l=4=2abg?>f9278il:58`18yv5a800;6?u23e;2>1?b34;h4?4j7e9~w6`703:1>v3j7d;6:a>;6k091i:j4}r1e40<72;q6?i6l:5;f?87d1;0n;i5rs2d30?6=:r78h5l548g894e>93o5<5s49o4l4;9d9>5f?72l=o7p}n0q~=i0083>7}:;m23697j;<3`<`h?0;296~;4l1=184k4=0a;`?c0l2wx?hhi:18185c0?0?5h521b:2>`1c3ty8j<=50;0x96b>i3>2i63>c9a9a2b7a?>2=3n70?l8c8f3a=z{:n387>52z?0`52z?0`<>=<0o01{t;m286=4={<1g==<68>=01>m?2;:b2>{t;o;;6=4={<1g=2<31l16=n66:d5g?xu4l181<716?ohi:9c6?xu4n9l1<7m27:o565e6f8yv5c080;6?u23e;5>460?278nkm58`38yv5a8l0;6?u23e;6>1?b34;h4:4j7e9~w6b?83:1>v3v36h9k;|q0`2c=838p1>j60;3332=:;j;865o9;|q0b4>=838p1>jj2;6:a>;6k031i:j4}r1g``<72;q6?ik=:0243>;4k8=14l;4}r1e52<72;q6?ik>:5;f?87d110n;i5rs2fg`?6=:r78hh?51154?85d9=03m85rs2d22?6=:r78hh>548g894e>?3o5<5s49oi=4>065896e6?32j:6s|3g36>5<5s49ohk4;9d9>5f?12l=o7p}7f7321k=7p}>4c594?4|58>i?76n0:?2a16=9=h<7p}r7:8o=5b9`896?0i3>8j63<96;906`<5:3<47:786;60b>;41>?18>h4=2;40?24n2785:=542d896??:3>8j63<993906`<5:33<7:78e;60b>;41>n18>h4=2;4g?24n2785:l542d896?0:3>8j63<963906`<5:2h;7:6l5;60b>;40j>18>h4=2:`6?24n2784n?542d896>d83>8j63<8cd906`<5:2ii7:6mc;60b>;40kh18>h4=2:ae?24n2784o7542d896>dm3>8j63<8bf906`<5:2ho7:6la;60b>;40j318>h4=2:`e03>8j63<8c5906`<5:3:47:7>6;60b>;418?18>h4=2;20?24n2785<=542d896?6:3>8j63<903906`<5:39<7:7>e;60b>;418n18>h4=2;2g?24n27858j63<90;906`<5:3:<7:6k5;60b>;40mk18>h4=2:`b?24n278;nm542d896g713>8j63o?f;60b>;4i9o18>h4=2c3`?24n278m=m542d896g7j3>8j638l9;60b>;4>j218>h4=24`3?24n278:n;542d8960d<3>8j63<6b1906`<5:7:8l0;60b>;4>kl18>h4=24aa?24n278:oj542d8960ek3>8j63<6e2906`<5:8ld;60b>;4>ji18>h4=24`f?24n278:no542d8960d>3>8j63<6c`906`<5:7<3;60b>;41:818>h4=2;05?24n2785>m542d896?4j3>8j63<92c906`<5:3857:=<:l01>7<7;60b>;41:<18>h4=2;01?24n2785>>542d896?5n3>8j63<9c2906`<5:2m87:6i2;60b>;40o;18>h4=2:e4?24n2784km542d896>aj3>8j63<8gc906`<5:2m57:=<:l01>6i7;60b>;40o<18>h4=2:e1?24n2784hh542d896>bm3>8j63<9b5906`<5:3h:7:7le;60b>;41jn18>h4=2;`g?24n2785nl542d896?di3>8j63<9b;906`<5:3h47:7l4;60b>;41mk18>h4=2;g=?24n2785h?542d896?b83>8j63<9ed906`<5:3oi7:7kc;60b>;410l18>h4=2;:a?24n27854j542d896?>k3>8j63<98`906`<5:32m7:768;60b>;41h=18>h4=2;b2?24n2785l;542d896?f<3>8j63<9`1906`<5:3j>7:7n0;60b>;410=18>h4=2;g3?24n2785i6542d896>2=3>8j63<9e`906`<5:?hm7:;l8;60b>;4=j=18>h4=27`2?24n2789n;542d8963d<3>8j63<5b1906`<5:?o>7:;k0;60b>;4=jl18>h4=27`a?24n2789nj542d8963dk3>8j63<5b`906`<5:?h>7:;>9;60b>;4=8218>h4=2723?24n2789<8542d89636<3>8j63<501906`<5:?:>7:;>0;60b>;4=9l18>h4=273a?24n2789=j542d89637k3>8j63<51`906`<5:?9<7:;>e;60b>;4=8n18>h4=272g?24n27898j63<507906`<5:?;m7:;98;60b>;4=?=18>h4=2752?24n2789;;542d89631<3>8j63<571906`<5:?=>7:;80;60b>;4=?l18>h4=275a?24n2789;j542d89631k3>8j63<57`906`<5:?=m7:;90;60b>;4=h4=2712?24n2789?o542d8963593>8j63<40g906`<5:8:5;60b>;4><>18>h4=2467?24n278:8<542d8960293>8j63<642906`<5:8;b;60b>;4>=k18>h4=212b?24n278?8j63<30`906`<5:9:m7:=>8;60b>;4;8=18>h4=2122?24n278?<;542d89656<3>8j63<301906`<5:9:>7:==5;60b>;4;;>18>h4=2117?24n278??<542d8965593>8j63<332906`<5:9:o7:=>0;60b>;4=1>18>h4=27;7?24n27895<542d8963?93>8j63<59a906`<5:?3n7:;79;60b>;4=1218>h4=27;3?24n278958542d8963?=3>8j63<592906`<5:?;;4;60b>;4==918>h4=2776?24n27899?542d8963383>8j63<55a906`<5:??n7:;;9;60b>;4==218>h4=2773?24n278998542d89633=3>8j63<52d906`<5:?8i7:8>6;60b>;4>8l18>h4=242a?24n278:8j63<60`906`<5:<:m7:8>8;60b>;4>8?18>h4=2420?24n2789hh542d8963bm3>8j63<5df906`<5:?no7:;ja;60b>;4=l318>h4=27f3>8j63<5g7906`<5:?m87:;i2;60b>;4=o;18>h4=27e4?24n2789h9542d89605;3>8j63<636906`<5:>j;7:<=0;60b>;4:8l18>h4=202a?24n278>8j63<20`906`<5:8:m7:<=8;60b>;4:;=18>h4=2012?24n278>?;542d89645<3>8j63<231906`<5:89>7:<>8;60b>;4:8=18>h4=235b?24n278=;k542d89671l3>8j63<17a906`<5:;=m7:?98;60b>;49?=18>h4=2352?24n278=;;542d89671<3>8j63<171906`<5:;=>7:?86;60b>;49>?18>h4=2340?24n278=:=542d89670:3>8j63<163906`<5:;<<7:?90;60b>;49h4=23aa?24n278=oj542d8967ek3>8j63<1c`906`<5:;im7:?m8;60b>;49k=18>h4=23`2?24n278=n;542d8967d<3>8j63<1b1906`<5:;h>7:?l0;60b>;49kl18>h4=23a2?24n278=o;542d89670k3>8j63<192906`<5:;<;7:;4:h818>h4=20bf?24n278>lo542d8964f13>8j63<2`:906`<5:8j;7:;4:h>18>h4=20b5?24n278>l>542d897`093>8j63=f62906`<5;l=j7:;5n?h18>h4=3d5e?24n279j;7542d897`103>8j63=f75906`<5;l=:7:;5n>218>h4=3d43?24n279j:8542d897`0=3>8j63=f66906`<5;l;5n?918>h4=3d56?24n278=io542d8967c13>8j63<1e:906`<5:;o;7:?j1;60b>;49l:18>h4=23gb?24n278=ik542d8967cl3>8j63<1ea906`<5:;on7:?k5;60b>;4:<<18>h4=23:e?24n278=47542d8967>03>8j63<185906`<5:;2:7:?n1;60b>;49h:18>h4=23:b?24n278=4k542d8967>l3>8j63<18a906`<5:;2n7:?64;60b>;4:?n18>h4=205g?24n278>:;542d89640<3>8j63<261906`<5:8<>7:<80;60b>;4:?l18>h4=205a?24n278>;l542d89641i3>8j63<257906`<5:8?87:<;2;60b>;4:=;18>h4=2074?24n278>>h542d89644m3>8j63<25f906`<5:8?o7:<;a;60b>;4:=318>h4=20799542d89643>3>8j63<22f906`<5:8<57:>id;60b>;4:>h18>h4=2;64?24n2789l>542d8967a>3>8j63<88f906`<5:3297:;j5;60b>;49=?18>h4=200f?24n278m<<542d896g6?3>8j63o>b;60b>;4i8i18>h4=2c2`?24n278m8j63o>6;60b>{t9=kn6=4={<37ec{t;o;m6=4={<37ec{t9=ko6=4={<37f7{t<:l1<7=t=06a1?>f827??k4>0e38Z15a3ty:8o:50;1x942e=3h3n63>e52951d334;n?k4>4c68yv73k90;6?u215`e>1?b34;?o=4>0658yv73jh0;6>u215`e>461m27:8n>5114f?873jk0n;i5rs40f4?6=:r7>>l6548g8904>=3o5<6=r7>>l654238904f?3>8=63:2`a9067<5<8jn7:<1:?7f6`=<:;019lm1;605>;29j:18>?4=43`5?24927>=n<542389436<3>8=63>5019067<58?:>7:<1:?1acb=<:;01?kic;605>;5moh18>?4=3gee?249279ik75423897ca03>8=63=eg59067<5;om:7:<1:?66`3=m>n0q~;=a783>1}:=;k36<>87:?66<3=0h;018<60;:b1>;2:0914l?4}r71`c<72;q69?o8:5;f?8351=0n;i5rs40b1?6==r7>>l951154?835lm03m<5253;7>=g634?95=47a29>17?421k87p}:2c094?4|5<8i:7:6e:?66<5=m>n0q~;=9783>6}:=;h=69=>;<71f0<3;8169?78:d5g?xu2:k>1<7=t=40a2?77?>169?=n:9c7?8351<03m>5rs40a5?6=:r7>>o;548g8904cl3o5<4s4?9n84>0658904><32j?63:227917g62=3n70;=938f3a=z{<82m7>52z?66d7=<:;018<6b;g4`>{t=;k:6=4;{<71e4<68>=018;2:0:14l?4=402b?>f<2wx9?6i:181835i90?5h5253;2>`1c3ty>>l>50;4x904f83;;;:524cca>=g634>i0g7?21k?70:m108;e6=:;|q66;2:0l1i:j4}r71``<72;=p18;<37e7:9c2?8731o03m<5215;f>=g634;?5i47a09>51?d21k:70?;9c8;e4=:9=3j65o>;<37=<03m<5215;5>=g634;?5947a09>51?421k:70?;938;e4=:9=3:65o>;<37=5=g634;?4n47a09>51>e21k:70?;888;e4=:9=k365o>;<37e2=g634;?m>47a09>51g721k:70?;948;e4=:9=2j65o>;<3f`d=g434;nh547a29~w04>03:1=>u253f7>15634?9h>4;309>17b52=9:70;=d08774=:=;n;69=>;<71gc<3;8169?mj:512?835km0??<5253f`>15634?9ho4;309>17bf2=9:70;=d88774=:=;n369=>;<71`2<3;8169?j9:512?835l<0??<5253a`>15634?9oo4;309>17?>2l=o7p}:2b394?4|5<8o87??769>170421k97p}:2b294?4|5<8o?7??769>173a21k97p}:2cd94?4|5<8o>7??769>173e21k97p}:2cg94?4|5<8o=7??769>173021k97p}:2cf94?4|5<8o<7??769>173421k97p}:2ca94?4|5<8hj7??769>172a21k97p}:2c`94?4|5<8hi7??769>172e21k97p}:2cc94?4|5<8hh7??769>174f21k97p}:2bc94?4|5<8oo7??769>172?21k97p}:2b;94?4|5<8on7??769>172321k97p}:2b:94?4|5<8om7??769>172521k87p}:2b594?4|5<8o57??769>175a21k87p}:2b494?4|5<8o47??769>175c21k87p}:2b794?2|5<8o;7??769>175f21k870;=918;e3=:=;3865o=;|q66f2=83?p18>i;51154?835:o03m>5rs40`6?6=:r7>>nm51154?8359j03m>5rs40a=?6=:r7>>nl51154?835:903m95rs40:g?6=;r7>>o>54238904fn3>8=63:28f9a2b17d728:<;63:271917ga28:<;63:24d99;n4?:5y>17gd2=3n70:=7b82421<5:l>>76n4:?66<3=0h?0q~;=a`83>7}:=;kh6<>87:?66<3=0h<0q~:>6e83>1}:=;ki697j;<622a<68>=01>h:1;:b2>;2:0>14l;4}r71e<<72;q69?om:0243>;2:0>14l84}r644`<72;q68;k6:5ga?8208l0n;i5rs54f5<5s4>=i44>0`:8910am3o5<5s4>=i44m8c9>03cd2=9i7p};f7594?>|5=;3>jh14l?4=54gf?>f;27?h57548g8911di3oe5090c003ty?hnm50;30821nl03m?52462f>=g534><>n47a59>03ee21k970:9dc8;e7=:<<=h69km;<66`g<3mk1688hn:9c2?82b<10:<:94=5g;=?2>m27?5:>58`0891>bn32j863;a7`9019;6b;:b7>;3i?314l=4=5:55?>f927?4kl58`68yv2a>10;65u247df>=g434><024d21k>70:9cc8;e6=:;3?9o14l?4=551g?>f>27?:nl58`68910cj32j=63;56f90`d<5=?oo7:jb:?71cd=0h;019k;9;3332=:=g634>>5n47a29>0d1221k870:7638;e4=:<0:o65o;;<6:<1l218hl4=553`?c0l2wx8;k8:181821m10??o5247g4>g>e3ty?:kj50;0x910b03;;m55247dg>`1c3ty?j;;50;:x910al32j=63;71f9;3l1=184k4=55`k:9c1?820:k03m;5247ab>=g534>=hl47a39>001f2=oi70::d887ag=:<;<6f03<68>=019k77;6:a>;3mm?184k4=5:`4?>f:27?5<>58`6891g2;32j863;a449;30k214l;4=5:6a?>f92wx8k89:18;821nm03m>52462g>=g334><>n47a09>03ef21k870:9d`8;e1=:{t6z?72cb=0h>0199?d;:b5>;3?;i14l<4=54`e?>f<27?:io58`389130j3>nn63;5ec90`d<5=?m576n1:?7a11=99=<70:j8987=`=:=g634>j:i47a59>00?f21k870:ja78;e4=:0?5h5rs553g?6=:r7?:h954d`89117k3o5<5s4>=i:4;3c9>03c12k2i7p};6ga94?4|5=03`d2l=o7p};f7194?>|5=;3>j314l?4=54g=?>f;27?h5;548g8911d>3oe5090c043ty?hn650;37821nj03m?52462`>=g534><>o47a39>03e>21k970:9d88;e7=:<<=369km;<66`2<3mk1688h9:9c2?82b<=0:<:94=5g;1?2>m27?ii=548g891?2832j>63;a2`90196kf;:b1>;3=0=14l=4=5c0=?>f;27?48m58`3891?3<32j=6s|4g47>5=jn47a29>026d21k?70:82c8;e6=:0n;i521d61>1`1<2wx8im6:1827~;3>oi14l:4=553g?>f927?;?l58`68910d132j863;6e;9=;3m=?1==98;<6f<3<31l168hj;:5;f?82>=?03m?524`64>=g334>2>=47a59>0d2f21k:70:n548;e1=:<<3365o<;<6b0015e34>=i84m8c9~w10aj3:1>v3;6d4955g?34>=jo4j7e9~w1`193:1mv3;6g`9;3>m214l=4=5f;7?2>m27?;n:5e6f891g6l32j863;78;97:i609~w1bd>3:1=;u247da>=g534><024f21k?70:9c98;e7=:=g634>n8?4>065891c?;3>2i63;ee390;3i:;14l:4=5c4=?>f>27?94;58`1891?6j32j863;84;90q~:i6383><}:5247f;>=g334>o494;9d9>02e22l=o70:89`8;e3=:9l>969h92:p0ae0290:8v3;6g`9;3>m214l?4=5743?2bj27?9i854d`8913a=32j=63;e519551034>n494;9d9>0`b52=3n70:n2g8;e1=:<0>365o<;<6;gf=g434>j>i47a29>0=3f21k:70:6308;e7=z{==;m7>52z?72`3={tok1<7f927?;=o58`189115132j963;6b59;3i9814l:4=55:3?>f>27:i9<54g7e?xu3lj>1<7?8{<65bdn:9c1?820:003m;5247a4>=g534>=h:47a39>00132=oi70::3187ag=:<<>=65o>;<66`6<3mk1688h=:9c2?82b<90:<:94=5g;5?2>m27?inh548g891g7:32j?63;a119;76n1:?7e37=0h<019;63;:b7>;30o314l:4=5:63?>f927?m=m58`3891g6032j863;97:9m:=4?:`y>03`f21k870:80`8;e1=:<>8j65o>;<65g2`1c34>j==47a59>02??21k=70?j4387b36oo84?:05x910ai32j863;71c90198k7;:b5>;3=>?18hl4=5705?2bj27?99958`38913c<3>nn63;5g190`>52=3n70:jd187=`=:m03m;5244;7>=g434>20=3?21k:70:n188;e4=:<1n:65o;;<6b6=6;296~;3>o218hl4=5522?c0l2wx8;h8:181821n10??o5247d4>g>e3ty?;=950;0x910a03;;m5524624>`1c3ty?j8m50;cx9117?32j=63;7049;3l>o184k4=55aa?c0l27?5n;58`68911>;32j:63>e5090c3d3ty?hn?50;348208>03m?524635>=g534><>447a39>03b321k970:9e28;e7=:<<=:69km;<666a<3mk1688:<:9c2?822l90?io5244ge>=g634>n?i4>065891c0m3>2i63;eba90=76n1:?7=a>=0h?0197l5;:b7>;31j<14l=4=5c7=?>f>27?94>58`1891>ek32j863;8439g}:<>:<65o<;<64535247g0>=g334>o;k4;9d9>02e72l=o70:6d98;e6=:<0ni65o;;<64=0{t7z?7351=0h>0199>6;:b5>;3?;314l:4=54g0?>f<27?:h=58`389130:3>nn63;53g90`d<5=??876n1:?71a7=;3m:o1==98;<6f3c<31l168hmk:5;f?82>l103m95248fa>=g434>2hn47a09>023421k:70:n548;e3=:<<3:65o<;<6;ad=g23ty?;<;50;0x910a?3>nn63;7079a2b=j;4?:3y>03`02=9i70:9f78a52z?72c1=99k370:8078f3a=z{=l>m7>5az?7350=0h;0199>5;:b7>;3?;214l=4=54g7?>f927?:h<58`1891b0k3>2i63:0c79662<5<:<=7<<4:?73ae=m>n0199m9;:b2>{t8z?7350=0h80199>5;:b6>;3?;214l:4=54g7?>f:27?:h<58`089131n3>nn63;53`90`d<5=??=76n1:?71fc=;3m:h1==98;<6f3f<31l168hmn:5;f?82>mh03m?5248`1>=g434>2n;47a49>0=g334>2m<47a29~w1`2j3:1mv3;7149=0h?0198k3;:b7>;3>l814l:4=5f4`?2>m27?;ij5e6f891?e032j863;7cc97:i5c9~w1bd83:1=:u24625>=g334><=847a09>024?21k=70:9d28;e1=:;<6635<3mk16881ce34>>ih47a09>0`5d28:<;63;e6f90;31k214l=4=5;a=?>f;27?m>j58`48913?n32j?63;8659019763;:b7>{t<>;?6=4={<65b3<3mk168:?;:d5g?xu3>o?1<746f027?;=;5e6f8yv2a=10;6ou24626>=g634><=947a29>024021k>70:9d38;e4=:`1c34>24i47a59>02d021k:7p};dcf94?7?s4><<847a39>027321k970:8268;e3=:=g634>>on4;ec9>00ce21k:70:j3882421<5=o=<0o01977d;:b7>;311o14l=4=55;7?>f927?95m58`1891>0<32j=63;90f9;31h;14l:4=5;:5?>f;2wx8k;6:18a8208<03m>524637>=g334><>547a09>03b521k870:9e08;e1=::310?820lk0n;i5248;:>=g334>=g334><=947a09>024?21k970:9d38;e1=:;<662`<3mk16881ce34>>in47a09>0`5f28:<;63;e6`90;310k14l=4=55;1?>f927?5o:58`38913?l32j?63;867976n1:?7={t<>;86=4={<65b0<3mk168:?<:d5g?xu3>o>1<746f027?;=:5e6f8yv2a=?0;6nu24627>=g634><=>47a29>024021k:70:9d08;e4=:`1c34>2;;47a59>02d221k:70:6738;e3=z{=nin7>518y>026321k970:8128;e7=:<>8<65o=;<65`4k0?io524404>1ce34>>?i47a09>00ef2=oi70::e88;e4=:87:?7a2>=<0o019kl6;6:a>;31>:14l=4=5:71?>f<27?5;k58`0891?0;32j=63;9649;3?l=14l;4=5:46?>f927?4kl58`7891??>32j86s|4g74>5<<947a29>027421k?70:8268;e6=:75634>0<1b21k?70:8b78;e4=z{=nio7>519y>026321k?70:8128;e4=:<>8<65o;;<65`4j0?io52440;>1ce34>>?h47a09>00ee2=oi70::e`8;e4=:87:?7a2?=<0o019kl7;6:a>;31>o14l=4=5;4b?>f;27?;5?58`38913?j32j?63;8619;311=14l?4=5;:7?>f<27?55:58`18yv209;0;6?u247d7>1ce34><=?4j7e9~w10a;3:1>v3;6g6906d<5=<5==;?7k8d:p0c33290hw0:8028;e4=:<>;965o<;<6463524e55>1?b34?;n84=2d9>15162;8n70:8cc8f3a=:<1n965o;;<64e2:9c5?xu3lk31<7?n{<6446=g534>=hk47a39>000>2=oi70::2487ag=:<<9i65o>;<66g=<3mk1688k8:9c2?82b;<0:<:94=5g42?2>m27?in:548g891>d832j?63;7d39;30m814l?4=554f?>f927?95658`1891>e032j863;8729{t6=4l{<6446=g434>=hk47a59>0a102=3n70;?b4816c=:=9=:6?=g13ty?hoo50;3b8208:03m9524631>=g634><>;47a79>03b721k?70:9dg8;e4=:<<=g634>n?;4>065891c0?3>2i63;eb790019791;:b6>;31?<14l?4=5;5=?>f927?;:j58`38913?132j?63;7d79;31jn14l84=5;41?>f<2wx8:?>:181821n:0?io524632>`1c3ty?:k<50;0x910a;3>8n63;6g09f=d<03`428:j463;7109a2bm;<4?:`y>026521k:70:8108;e6=:<>8>65o:;<65gc72034?;;<4=469>02b22l=o70:8b38;e4=z{=no:7>518y>026521k970:8108;e7=:<>8>65o9;<65gc1ce34>>8k47a09>00c32=oi70:9058;e4=:87:?7a<4=<0o019kkd;6:a>;31<:14l=4=5:0a?>f<27?59l58`189110;32j863;95;9;31<;14l:4=55e2?>f<27?4;h58`3891?f932j:6s|4g51>5<027621k?70:8278;e4=:73334>0<3d21k?70:8b28;e4=:<0?365o9;|q7`a1=83;2w0:8038;e1=:<>;:65o>;<64631ce34>>?44;ec9>003721k:70::e487ag=:65o>;<6f16<68>=019k63;6:a>;3mmo184k4=5;62?>f;27?;kl58`6891>3832j863;9469576n1:?7=0e=0h;0197m3;:b6>;3?>=14l:4=5:g4?>f;27?9l858`1891>0832j=63;a359<==4?:3y>03`52=oi70:8118f3a=z{=52z?72c4=<:h0198i1;`;f>{t<>::6=4={<65b7<68h20199?1;g4`>{t=g634>=hi47a29>0a?72=3n70;?b48101=:=9=:6?:;;<64`6:803m>5246`4>=g234><5447a39>02gf21k=7p};de694?7?s4><<<47a39>027721k970:8248;e7=:=g634>>i?4;ec9>036521k:70:j5182421<5=o2<7:6e:?7aad=<0o019699;:b5>;30:314l:4=5;15?>f:27?;;l58`6891g3132j963;92`9b;:b1>;3?ln14l84=5;;2?>f>2wx8k9?:18g8208803m>524633>=g334><>847a29>03eb21k870:9de8;e1=::365?820l=0n;i52494b>=g234>02?f21k970:8ab8;e3=z{=no97>518y>026621k?70:8118;e4=:<>8>65o;;<65g`1ce34>>8h47a09>00c42=oi70:9028;e4=:87:?7a<7=<0o019kkc;6:a>;30?k14l?4=5:0f?>f<27?5>758`689111n32j863;95:9019;n4;:b7>;3?ll14l;4=5;:7?>f>27?5>?58`1891?3=32j?6s|462e>5<5s4>=j<4;ec9>026a2l=o7p};6g294?4|5=7}:n8:?7356=m>n0q~:i6e83>`}:<>:;65o>;<644c=g434>o4h4;9d9>15d22;>970;?708107=:<>n:6h9k;<6;2203m?5246c7>=g134>3jk4>0`:8yv2cl;0;6<7t=5534?>f:27?;=h58`089115<32j863;6bf9;3==h14l?4=57f4?2bj27?:=>58`3891c3m3;;;:524d:f>1?b34>nh44;9d9>0=0021k:70:7378;e4=:<><:65o>;<6b24=g434>0<6a21k:70:7f88;e0=:<0:;65o:;<6:44f<27?;?:58`78910dl32j?63;6ea981;077>;3?m81i:j4=5:5f=27?532j963;78:9;3>mi14l?4=57;6?2bj27?9>;54d`89133k32j=63;5d390`d<5=<;=76n1:?7a1`=99=<70:j8g87=`=:9803m?524647>=g634>j9847a49>0<1321k870:6058;e3=:<8k03m85rs553=?6=:r7?:k>54d`8911713o5<5s4>=j=4;3c9>03c32k2i7p};6gd94?4|5=03`a2l=o7p};f7;94?c|5=;3>ji14l?4=54g2?>f;27?h5>548g8906e=388;63:0639661<5==hh7k8d:?7<35=0h>0196nf;:b7>;3?h=14l;4=55:7?>f:27?;l>58`48yv2ckl0;6<6t=54eb?>f:27?;=758`089115:32j:63;6ba9;3==314l?4=57g`?2bj27?9km58`3891c4n3;;;:524d:3>1?b34>noh4;9d9>0=0421k:70:8e78;e7=:<1><65o;;<6;ec=g134>>5i47a29>0=cb21k:70:7bb8;e0=:<0?i65o:;|q7b3e=83op198if;:b7>;3?9314l:4=5510?>f927?:nm58`18910c>32j863;d9`90;30?<14l:4=5:e4?>f;27?;o:58`78911>=32j>63;7`09oh<4?:0;x910an32j863;71;90198k6;:b5>;3=1:18hl4=5707?2bj27?99o58`38913cn3>nn63;5gd90`>e2=3n70:jd987=`=:<1<=65o>;<6;71=g234>3i>47a79>00g721k870:8e98;e0=:<1oj65o:;<6;`4?:9c7?82>8803m95rs5535<5s4>=i94>0`:8910a13o5=j447a09>026?21k870:8238;e4=:;<65`04525152>74>34>02d521k>70:8b88;e7=:<>3h65o9;|q7`g>=8398w0:9f88;e7=:<>:365o=;<64671ce34>>>94;ec9>00e02=oi70:j7587=`=:<1?:9c6?82>l?03m95248g6>`1c34>39>47a09>021>21k:70::868;e1=:<>3:65o<;<64=7=g134>02d321k=70:8b98;e3=:<>h865o9;<64f7nj03m>5246;3>=g434><5:47a59>02??21k?70:8988;e1=:<>3>65o;;<64=d=g334>2ik47a29>0=c621k>70:88g8;e7=:<>k;65o:;<64e1=g234>02?b21k>70:89b8;e0=:<0>>65o:;<6:a7o314l=4=553f<27?;?<58`18910d>32j?63;6e7981;01`>;3?j;1i:j4=5:62?>f<27?;o=58`78911ei32j>63;78g9oo>4?:2fx910a132j863;71:976n4:?72f0=0h>0198k5;:b5>;3=>918hl4=571b?2bj27?99;58`38913c:3>nn63;5g390`122=3n70:76b8;e4=:<1?=65o>;<6;3==g534>2j<47a29>0<`c21k870:6f28;e4=:<1?865o=;<6410=g434>3mn47a09>02?621k:70:8938;e4=:<>k365o;;<64ea=g434>02d021k870:8b58;e6=:<>h365o<;<64f603m>5248db>=g334>2jo47a29>02?721k:70:8968;e6=:<>3365o<;<64=<5246`b>=g434>02?421k870:88g8;e4=:<>3m65o:;<64e6=g234>02?c21k>70:89c8;e0=z{==9=7>52z?734>=<:h0199=1;`;f>{t<>886=4={<645=<68h20199=3;g4`>{tf927?h5m548g8911dm3o7:i6`9~w1bdn3:1=>u24600>=g534>>;k4;ec9>00bb2=oi70::fe8;e4=:i6<>87:?7a=e=<0o0196lb;:b0>;30jn14l?4=5:gb?>f>27?94k58`1891?ak32j>63;8b;9019761;:b0>;3>lo14l84=54af?>f>27?:hh58`48910ek32j>6s|4g4a>5<0s4><>>47a29>0a>c2=3n70:8cg8f3a=:;3=oo14l?4=5g7g?77?>168h6k:5;f?82fk?03m<524912>=g634>2?l47a39>0=b021k970:7798;e4=:<1=o65o>;<6;3c=g134>on>47a39>0=bb21k:70:6d78;e0=:65o;;<6;0<5249fa>=g234>om547a39>0=0221k:70:69e8;e1=:<>2h65o=;<64<`=g234>=nn47a09~w11583:1>v3;733906d<5==9<7l7b:p024d2909w0:820824d><5==9o7k8d:p027a2909w0:821877g=:<>;m6o6m;|q737d=838p199=0;33e==:<>8i6h9k;|q734c=838p199>f;60f>;3?8o1n5l4}r646d<72;q68:?i:02b<>;3?;k1i:j4}r645a<72;q68:?j:51a?8209m0i4o5rs551=?6=:r7?;5<5s4><=i4>0`:8911503o5<5s4><=n4;3c9>027e2k2i7p};73594?4|5==:o7??a99>02402l=o7p};70c94?4|5==:n7:7}:<>;i6<>n8:?7370=m>n0q~:81883>7}:<>;j69=m;<645<7;296~;3?8318>l4=5523?d?j2wx8:<;:181820900:0:`1c3ty?:o;50;0x910e>3>8n63;6c79f=d=oo4?:3y>03d128:j463;6b`9a2b=n;4?:3y>03d12k2i70:9b8877g=z{=52z?72g3={tjk1<7m31<715e34>=n>4m8c9~w10d13:1>v3;6c6955g?34>=o44j7e9~w10c03:1>v3;6c190`d<5==838p198m3;33e==:;3>m=1i:j4}r65g0<72;q68;l=:51a?821k<0i4o5rs54`3?6=:r7?:o<511c;?821k>0n;i5rs54f7?6=:r7?:n;54d`8910b;3o5<5s4>=o84;3c9>03e32k2i7p};6e694?4|5=03b32l=o7p};6d094?4|5=n0q~:9c283>7}:j>1==o7;<65`6j918hl4=54f5?c0l2wx8;m=:181821k:0??o5247a1>g>e3ty?:i<50;0x910d;3;;m55247f1>`1c3ty?:h>50;0x910d:3>nn63;6d29a2b=o<4?:3y>03e52=9i70:9c08a52z?72f4=99k370:9d08f3a=z{=52z?72f7={tm:1<7mo1<715e34>=nk4m8c9~w10dn3:1>v3;6b2955g?34>=ok4j7e9~w10cl3:1>v3;6cd90`d<5=;3>mi1i:j4}r65fa<72;q68;lj:51a?821jm0i4o5rs54``?6=:r7?:ok511c;?821km0n;i5rs54g2?6=:r7?:oj54d`8910c>3o5<5s4>=ni4;3c9>03d62k2i7p};6ba94?4|5=03ed2l=o7p};6e794?4|5=n0q~:9c783>7}:n8:?72f0=m>n0q~::9g83>7}:<;<66=cl4=574b?d?j2wx8h>8:181822jo0i4o5249f6>=g33ty?94k50;1x913em33:=63;56d955g?34>>5h4j7e9~w130l3:1?v3;5cg906d<5=?7}:<i1<7=t=57ag?24j27?9:j542`89130k3h3n6s|4d27>5<5s4>>nn4m8c9>0d1d21k=7p};58`94?5|5=?in77>1:?712e=99k370::9c8f3a=z{=?53z?71gd=<:h019;8c;60f>;3=>h1n5l4}r6f46<72;q688lm:c:a?82f?903m;5rs57:e?6=;r7?9oo590389130j3;;m55244;b>`1c3ty?9:o50;1x913ei3>8n63;56`906d<5=?;3=>k1==o7;<66=<l4=574e?24j27?9:75b9`8yv2b880;6?u244`:>g>e34>j9547a79~w13>03:1?v3;5c:9=47<5=?<57??a99>00??2l=o7p};56:94?5|5=?i47:{t0n;i5rs5743?6=;r7?9o9542`8913003>8n63;5659f=dojk4?:3y>00d02k2i70:n418;e3=z{=?2:7>53z?71g0=18;019;87;33e==:<<3=6h9k;|q7120=839p19;m6;60f>;3=>=18>l4=5742?d?j2wx8ihj:181822j?0i4o524`17>=g13ty?94;50;1x913e=33:=63;564955g?34>>584j7e9~w130=3:1?v3;5c7906d<5=?<:7:7}:<6o6m;<6b5`15>1<7=t=57a0?24j27?9:;542`89130<3h3n6s|4ed`>5<5s4>>n94m8c9>0d7621k>7p};58194?5|5=?i?77>1:?7122=99k370::928f3a=z{=?<>7>53z?71g5=<:h019;84;60f>;3=>81n5l4}r6gbg<72;q688l<:c:a?82f8:03m85rs57:5?6=;r7?9o?590389130:3;;m55244;2>`1c3ty?9:?50;1x913e93>8n63;560906d<5=?<=7l7b:p0a`>2909w0::b08a;3=>;1==o7;<66=5l4=5745?24j27?9:>5b9`8yv2cn10;6?u244`3>g>e34>2o;47a49~w13?n3:1?v3;5`d9=47<5=?<<7??a99>00>a2l=o7p};57d94?5|5=?jj7:{t8n63;57g9f=doj;4?:3y>00gb2k2i70:6a48;e0=z{=?3h7>53z?71db=18;019;9e;33e==:<<2o6h9k;|q713b=839p19;nd;60f>;3=?o18>l4=575`?d?j2wx8ih::181822im0i4o5248;b>=g23ty?95m50;1x913fk33:=63;57f955g?34>>4n4j7e9~w131k3:1?v3;5`a906d<5=?=h7:7}:<5<5s4>>mo4m8c9>0<1a21k>7p};59c94?5|5=?jm77>1:?713d=99k370::8`8f3a=z{=?=m7>53z?71dg=<:h019;9b;60f>;3=?k1n5l4}r6gb7<72;q688on:c:a?82>?:03m;5rs57;=?6=;r7?9l7590389131i3;;m55244::>`1c3ty?9;750;1x913f13>8n63;57c906d<5=?=57l7b:p0a`62909w0::a88a=839p19;n8;;25>;3=?31==o7;<66<=l4=575=?24j27?9585b9`8yv2cn90;6?u244c;>g>e34>3o>47a79~w13f>3:1?v3;5b49=47<5=?3:7??a99>00g12l=o7p};59794?5|5=?h:7:{t8n63;5969f=dn00e22k2i70:64c8;e3=z{=?j87>53z?71f2=18;019;74;33e==:<;3=1>18>l4=57;7?d?j2wx8h>l:181822k=0i4o52480a>=g33ty?9l=50;1x913d;33:=63;591955g?34>>m>4j7e9~w13?:3:1?v3;5b1906d<5=?3?7:7}:<5<5s4>>o?4m8c9>0<6021k?7p};5`394?5|5=?h=77>1:?71=7=99k370::a08f3a=z{=?3<7>53z?71f7=<:h019;71;60f>;3=1:1n5l4}r6f4<<72;q688m>:c:a?82?n?03m95rs57b4?6=;r7?9n>59038913?83;;m55244c3>`1c3ty?9:k50;1x913d83>8n63;592906d<5=?;3=>o1==o7;<66=al4=574a?24j27?9:=5b9`8yv2b8<0;6?u244`g>g>e34>3n947a59~w13>:3:1?v3;5c09=47<5=?00?52l=o7p};57:94?5|5=?i>7:{t0n;i5rs5ffb?6=:r7?9l95b9`891?c=32j96s|5110>5<1s4>=m;46109>153328:<;63;89:9018>=0;:b6>{t<7634>=5h4>0`:89062;3;;;:524665>=g634>34547a59>0=>221k870:8e58;e1=:<1>?65o=;<64a60i1<7=t=54a4?24j27?:4k542`8910>k3h3n6s|5165>5<5s4>=n=4m8c9>0=>221k>7p}:02394?b|5=1:?720199kf;:b5>;30=814l<4=54;g?>f927?;h=58`6891>?<32j=63;a9296}:58`78yv37;k0;6<>t=54ba??6927?:4o511c;?837=j0:<:94=5570?>f:27?;ih58`6891>?;32j=63;89090199j3;:b6>;301>14l<4=5c;4?>f:27?;h>58`08911a132j>63;7gc9;4;296~;3>ho1n5l4=5:;6?>f=2wx9==n:1827~;3>hn15;3?=>14l=4=54;a?>f;27?45?58`08911a:32j>63;7g69;3>1i14l;4=55f7?>f>27?45:58`7891g?832j?63;7d29=e;:b6>{t?932j:6s|511:>5=mn46109>03?128:j463:04c9551034>=4h47a79>03?721k970:8f28;e3=:<>l965o>;<6;=7=g53ty?:4;50;1x910fk3>8n63;684906d<5=<297l7b:p15252909w0:9ab8a;3>0?1==o7;<65e1hh18>l4=54:1?24j27?:4:5b9`8yv37<80;6?u247ca>g>e34>35=47a49~w06403:1hv3;68790`d<5=5249;3>=g534>35>47a29>0=5f21k970:8ee8;e7=:=98j65o=;|q7g`7=839p198n4;:b6>;3klk1==98;<6`aghk15091<7=t=54be?24j27?:4:542`8910>;3h3n6s|5163>5<5s4>=ml4m8c9>0=>b21k=7p}:02594?`|5=<287:jb:?72d5=0h;018>:8;3332=:=g334>34h47a39>0=?721k?70:7938;e1=:<19365o=;<64af=g53ty?:l<50;1x910f133:=63;681955g?34>=m?4j7e9~w10>:3:1?v3;6`;906d<5=<2?7:7}:<6;29b~;3>0918hl4=54b6?>f927><8951154?82?0m03m?5247:f>=g234>=5=47a59>02`421k870:8f38;e0=:<12m65o>;<6;=4=g334><8547a09>154121k97p};ced94?5|5=76n2:?7g`0=99=<70:le68;e7=z{=53z?72d>=18;019862;33e==:;3>0818>l4=54:5?d?j2wx9==j:181821i10i4o5249:`>=g23ty><>;50;328211;0?io5247c2>=g634?;9;4>065891>?k32j?63;89f9;3?o814l84=5:;a?>f;27?44?58`3891>>;32j=63;83c9;28;>14l<4}r6```<72:q68;o>:9c1?82dm=0:<:94=5af1?>f:2wx8;o?:180821i<02=<5247;2>46f027?:l>5e6f8yv37;j0;6?u247c6>g>e34>34o47a39~w064<3:1=5u247;2>1ce34>=m=47a09>153228:<;63;75c9;3?o914l?4=5:;5?>f<27?;h858`4891>?832j>63;7ed9;301l14l<4=5::5?>f;27?44=58`68911b132j=63;85:9;28;814l<4}r6``a<72:q68;o?:9c1?82dm;0:<:94=5af7?>f:2wx9=>8:1868210902=<52513e>460?27?49858`3891?0<32j>63:0329=;54?:3y>03>72=9i70:9798a52z?72=6=j1h0196;5;:b7>{t=9:=6=49{<6598168;97:02b<>;288n1==98;<6;018n63;6649f=d03>f2k2i70:7428;e6=z{<:;97>56z?72=?=18;019886;33e==:=9;i6<>87:?7<14=0h;0196k0;:b6>;288i14l?4}r6531<72:q68;66:51a?821??0??o524757>g>e3ty><<750;0x910?13h3n63;82f903>?20;:70:975824d><5<:9j7??769>0=2621k:70:65`8;e7=:=99;65o>;|q7224=839p19878;60f>;3>>>18>l4=5446?d?j2wx9=?7:181821010i4o524963>=g43ty><=k50;4x910??33:=63;660955g?34?;>i4>065891>4n32j=63;95c9>7;296~;3>1=1n5l4=5:0a?>f;2wx9=>k:1858210?02=<524753>46f027>=g234?;>n47a09~w101n3:1?v3;694906d<5=<<<7:7}:1?15?o1<7=t=54;1?24j27?:;h542`89101m3h3n6s|5136>5<5s4>=484m8c9>0=5>21k87p}:01a94?0|5=<=j7:jb:?647?=99=<70:73`8;e4=:;<6:51>n1<7=t=54;0??6927?:;k511c;?821?m0n;i5rs545`?6=;r7?:5:542`89101m3>8n63;67f9f=d03>32k2i70:7368;e6=z{<:;n7>56z?723c=;28;=1==98;<6;7=;:9c6?837:103m<5rs5d`f?6==g434><;?47a29~w100k3:1?v3;6919=47<5=<=h7??a99>031d2l=o7p};67a94?5|5=<3?7:{t=9;86=4={<65<6=g634>3j>47a49>154121k:7p};fbc94?2|5=<;3>?i1==o7;<653g1818>l4=545g?24j27?:;l5b9`8yv379;0;6?u247:1>g>e34>3?947a39~w06713:1:v3;67a90`d<5=<>h14l<4=5dg4?77?>168:8n:9c0?82>nk03m;5rs544e?6=;r7?::h590389101j3;;m552475b>`1c3ty><<>50;0x9100n3h3n63;8559030e2=oi70:97`8;e4=:=98:6<>87:?7<1>=0h;0196m1;:b1>;28;814l?4}r6eg=<72=q68;9n:9c1?82ako0:<:94=5;g2?>f>27?;;:58`78yv22<10;6?u2447e><7634>>854j7e9~w13493:1>v3;54d906d<5=?8=7l7b:p0ac12909w0::5g8a?i65o<;|q7b`2=839p19;;8;:b6>;3noi1==98;<6b5a?542`8913483h3n6s|4eg6>5<5s4>>9h4m8c9>023>21k87p};fd194?5|5=??;76n2:?7bcd=99=<70:n118;e0=z{=??:7>53z?710b=18;019;<0;33e==:<<>=6h9k;|q717c=839p19;:d;60f>;3=::18>l4=571a?d?j2wx8ik;:181822=m0i4o524674>=g43ty?jh<50;1x9133>32j>63;fgc9551034>j00232l=o7p};53f94?5|5=?>n7:{tf:27?jk651154?82>lk03m85rs5777?6=;r7?98o590389135l3;;m5524460>`1c3ty?9?m50;1x9132i3>8n63;53f906d<5=?9o7l7b:p0ac62909w0::5`8a?:65o;;|q7ba`=839p19;;3;:b6>;3no=1==98;<6:g05<5s4>>944m8c9>022a21k?7p};feg94?5|5=??>76n2:?7bc0=99=<70:6b98;e0=z{=??=7>53z?710>=18;019;=b;33e==:<<>:6h9k;|q717g=839p19;:8;60f>;3=;h18>l4=571e?d?j2wx8iji:181822=10i4o5246:4>=g43ty?jij50;1x9133932j>63;fg79551034>2n;47a79~w13383:1?v3;5459=47<5=?9m7??a99>00272l=o7p};53;94?5|5=?>;7:{tf:27?jk:51154?82>1003m85rs570b?6=;r7?98859038913513;;m552441e>`1c3ty?9?650;1x9132>3>8n63;53;906d<5=?947l7b:p0abc2909w0::578a2865o<;|q7bad=839p19;;3no91==98;<6:5<5s4>>984m8c9>02>621k87p};fec94?5|5=?8i76n2:?7bc4=99=<70:67d8;e0=z{=?8h7>53z?7102=18;019;=7;33e==:<<9o6h9k;|q7170=839p19;:4;60f>;3=;=18>l4=5712?d?j2wx8ijm:181822==0i4o52465e>=g43ty?ji750;1x9134l32j>63;fg39551034>2:h47a09~w134k3:1?v3;5419=47<5=?9:7??a99>005d2l=o7p};53794?5|5=?>?7:{tf:27?jk>51154?82>>803m<5rs570f?6=;r7?98<590389135=3;;m552441a>`1c3ty?9>750;1x9132:3>8n63;537906d<5=?857l7b:p0ab>2909w0::538a=i65o<;|q7ba1=839p19;;3nll1==98;<6;fc7542`8913403h3n6s|4egf>5<5s4>>::4m8c9>021121k>7p};fda94?5|5=?><76n2:?6455=99=<70:6558;e4=z{=??j7>53z?7130=18;019;<8;33e==:<<>m6h9k;|q7161=839p19;96;60f>;3=:218>l4=5703?d?j2wx8ikk:181822>?0i4o524651>=g23ty?jhl50;1x9133n32j>63:0109551034>28o47a49~w133m3:1?v3;5779=47<5=?8;7??a99>002b2l=o7p};52494?5|5=?=97:{tf:27><=?51154?82>:003m;5rs577`?6=;r7?9;:590389134>3;;m552446g>`1c3ty?9>;50;1x9131<3>8n63;524906d<5=?897l7b:p0ace2909w0::658a;289:1==98;<6:501<7=t=5757?24j27?9>;542`89134<3h3n6s|4egb>5<5s4>>:>4m8c9>020121k?7p};fd:94?5|5=??o76n2:?7bc`=99=<70:6048;e3=z{=??n7>53z?7134=18;019;<4;33e==:<<>i6h9k;|q7165=839p19;92;60f>;3=:>18>l4=5707?d?j2wx8ik6:181822>;0i4o524642>=g43ty?jh950;1x9133j32j>63;fgg9551034>3j947a79~w133i3:1?v3;5739=47<5=?8?7??a99>002f2l=o7p};52094?5|5=?==7:{tf:27?jkj51154?82?m=03m;5rs577=?6=;r7?9;>590389134:3;;m552446:>`1c3ty?9?h50;1x913183>8n63;520906d<5=?9j7l7b:p0ac02909w0::618a?o65o<;|q7b`3=839p19;;9;:b6>;3no31==98;<6;f71<7=t=576g?24j27?9?h542`89135<3h3n6s|4eg0>5<5s4>>9n4m8c9>023221k87p};fd394?5|5=??976n2:?7b`c=99=<70:6f38;e7=z{=?8m7>53z?7107=18;019;=4;33e==:<<9j6h9k;|q7`a>=838p19;:1;`;f>;3?>314l=4}r6`5f<72:832?82d;=0:<:94=556e?>f927?onj58`0891d>;32j>6s|471e>5<5s4>=:<4;3c9>035a2k2i7p};f9194?4|5=<==7l7b:?730g=0h90q~:l1c83>2}:;<657c<68h2019m<3;3332=:542`89104n3>8n63;62f9f=dm4?4?:3y>03072k2i70:8598;e6=z{=i:m7>57z?720`=18;019887:?7fg2=0h;0199:6;:b5>;3kj314l<4=5`;b?>f:2wx8;=6:180821=o0??o52471g>15e34>=?44m8c9~w1`?93:1>v3;64d9f=d<5==>:76n3:p0f7?290n8:?7g66=99=<70:mb78;e7=:<>?965o>;<6`g0:=1<7=t=546`?24j27?:>7542`89104?3h3n6s|4g5e>5<5s4>=9i4m8c9>023521k87p};c0594?1|5=<>o77>1:?7261=99k370:l2g82421<5==><76n1:?7fg0=0h;019ml3;:b6>;3j1k14l<4}r6570<72:q68;;l:51a?821;>0??o524716>g>e3ty?j:k50;0x9102k3h3n63;7429h=;4?:6y>033e20;:70:934824d><5=i9i7??769>022d21k:70:mb48;e6=:l4=5401?24j27?:>=5b9`8yv2a?m0;6?u2477a>g>e34><8n47a39~w1e6=3:1;v3;64c9=47<5=<8?7??a99>0f4c28:<;63;bc79{t32j?6s|4b37>5<0s4>=9446109>035628:j463;c3a9551034>in947a29>02>321k:70:lbe8;e7=:;3>:;18>l4=541b?d?j2wx8k9m:181821=00i4o5246:7>=g43ty?o<=50;5x9102033:=63;63d955g?34>h>o4>065891de=32j>63;79096}:k1<7<7634>=>i4>0`:891e5i3;;;:524c`5>=g434><4=47a09>0fd>21k970:m818;e7=z{=<9n7>53z?7201=<:h0198=d;60f>;3>;h1n5l4}r6e3<<72;q68;;8:c:a?8200903m>5rs5a25?6=0r7?:88590389105j3;;m5524b0:>460?27?n=:58`389110m32j=63;cc596}:21<7<7634>=>44>0`:891e503;;;:524c20>=g634><;n47a09>0fd221k970:m7b8;e4=:;3>;318>l4=5413?d?j2wx8k98:181821=<0i4o52465`>=g43ty?o=h50;:x9102<33:=63;635955g?34>h>:4>065891d7:32j=63;76c9{tm6=4<{<6511<3;k168;<8:51a?8215=:l46109>032a28:j463;c2`9551034>i<<47a09>021?21k:70:ldb8;e7=:;<6agf<5k?1v98;d;297~;3>?k18>l4=547b?24j27?:9j5b9`8yv2a0k0;6?u2474b>g>e34><;547a39~w1e5;3:14v3;67;9=47<5=0f5f28:<;63;b129;3jji1>n;4}r650g<72:q68;86:51a?821g>e3ty?j5o50;0x910113h3n63;7669h>?4?:9y>030?20;:70:94c824d><5=i857??769>0g6f21k:70:8718;e4=:=31<7=t=5455<5s4>=:54m8c9>021721k97p};c3394?>|5=<=;77>1:?721?=99k370:l3982421<5=h;576n1:?733e=0h;019mk6;:b6>;3j0i14l?4=5``g?4d;2wx8;:8:180821>>0??o52476:>15e34>=8:4m8c9~w1`?03:1>v3;6759f=d<5===o76n2:p0f47290<6<>n8:?7g61=99=<70:m098;e7=:<><365o>;<6``1=?1<7=t=5452?24j27?:99542`89103=3h3n6s|4g:4>5<5s4>=:;4m8c9>020?21k97p};c0d94?1|5=<=977>1:?7213=99k370:l3782421<5=h;;76n2:?7336=0h;019mk2;:b6>;3j0214l<4}r6506<72:q68;8::51a?821<<0??o524760>g>e3ty?j5850;0x9101=3h3n63;7729h=h4?:6y>030320;:70:942824d><5=i897??769>0g6121k970:85d8;e4=:?>18>l4=5477?24j27?:9?5b9`8yv2a0<0;6?u24747>g>e34><9h47a29~w1e6l3:1;v3;6719=47<5=0f5628:<;63;b179o76n1:?7gfc=0h8019l64;:b6>{t:51a?821;k0i4o5rs5d;0?6=:r7?:;=5b9`89112k32j?6s|4b3:>5<2s4>=9h46109>035e28:j463;c349551034><9947a09>0fe121k:7p};63494?5|5=<>i7:{t<;1<7=t=5467??6927?:?8511c;?821=;0n;i5rs5d41?6=:r7?:8=5b9`89111:32j?6s|44df>5<5s4>==h46109>00`b2l=o7p};5eg94?4|5=<:i7:7}:0;29<~;3=oo14l<4=55`b?>f:27?4ik58`7891>b832j>63;58d9{t<981688jj:02b<>;3=on1i:j4}r66`f<72:q68;?k:51a?822ll0??o5244f`>g>e3ty?i?850;0x9106l3h3n63;8bd900`c21k970:8cd8;e7=:<1in65o:;<6;ga=g534>=no47a39>03dd21k?70;<9885=c=z{=?mn7>53z?724d=18;019;kc;33e==:<b;60f>;3=mi18>l4=57gf?d?j2wx8h<;:1818219k0i4o524`5`>=g33ty>8=j50;5x913aj32j>63;7ba9;3?h?14l:4=41:=?0>m2wx88hn:1808219h02=<5244fa>46f027?9ko5e6f8yv22lh0;6>u2473b>15e34>>ho4;3c9>00bf2k2i7p};e3194?4|5=<:m7l7b:?7e26=0h>0q~;;0b83>2}:<l03m?5244;a>=g334>47a59>16?>2?3o7p};5g;94?5|5=<:577>1:?71ag=99k370::f88f3a=z{=?o57>53z?724?=<:h019;ka;60f>;3=m31n5l4}r6f67<72;q68;?6:c:a?82f>=03m95rs463f?6=?r7?9k758`08911d132j>63;a71976n2:?710199n1;:b0>;2;031:4o4}r66b=<72:q68;?7:832?822l00:15e34>>h54m8c9~w1c593:1>v3;60:9f=d<5=k>476n4:p116f290i365o=;<6b12=g334?854498g9~w13a?3:1?v3;6059=47<5=?o47??a99>00`02l=o7p};5e594?5|5=<:;7:=<:h019;k7;`;f>{tf:27?;n958`0891g3j32j963;a5c9018=69;4;0>{t<981688j8:02b<>;3=o<1i:j4}r66`3<72:q68;?9:51a?822l>0??o5244f5>g>e3ty?i3h3n63;a52900`121k970:8c78;e7=:3>43ty?9k;50;1x9106=33:=63;5e4955g?34>>j84j7e9~w13c=3:1?v3;607906d<5=?o:7:7}:6o6m;<6b71f:27?m>=58`7891g4:32j>63;58496<>n8:?71c2=m>n0q~::d583>6}:=g534>0d7c21k=70::948;e1=:65o<;<70=3<11o1v9;i3;297~;3>89155<5s4>==>4m8c9>0d7e21k?7p}:41794?0|5=?m?76n2:?73f5=0h8019o>0;:b2>;3=0>14l:4=5c2=?>f;27>?48568g8yv22n;0;6>u24731><7634>>h>4>0`:8913a:3o5<4s4>==?4;3c9>00b42=9i70::d08a52z?7244=j1h019o?e;:b0>{t==:?6=49{<66b7=g334>j16?12?3o7p};5g294?5|5=<:<77>1:?71a7=99k370::f18f3a=z{=?o<7>53z?7246=<:h019;k1;60f>;3=m:1n5l4}r6f5<<72;q68;??:c:a?82>lh03m>5rs4636?6==r7?9k>58`08911d832j>63;9e`90k0q~::eg83>6}:;<66`5<68h2019;jf;g4`>{t<5<1s4>>ik47a39>02db21k970:6c48;e3=:<<3;65o;;<6:g`79:7:e?xu3=lo1<7=t=543a??6927?9nh511c;?822ml0n;i5rs57`a?6=;r7?:=k542`8913dn3>8n63;5bg9f=dn=:4?:3y>036b2k2i70:6c28;e1=z{<>;<7>56z?71`c=0h80199kd;:b6>;31k214l84=57;b?>f<27?5n?58`18905>>3<386s|44gg>5<4s4>=00eb28:j463;5df9a2b>oi4?:2y>036c2=9i70::cd877g=:<;31k?14l<4}r70bc<72?q688kk:9c1?820lj03m?5248`0>=g234>2n;47a39>00>b21k?70;<9785<6=z{=?no7>53z?725e=18;019;ld;33e==:<;3=jn18>l4=57`g?d?j2wx8h?::1818218j0i4o5248;;>=g33ty>?kk50;5x913bk32j>63;7e`90197n2;:b7>;3?hi14l:4=41:7?0f82wx88km:1808218k02=<5244a`>46f027?9hl5e6f8yv22kk0;6>u2472a>15e34>>on4;3c9>00ee2k2i7p};e0694?4|5=<;n7l7b:?7==d=0h>0q~;2}:<=g434>16?42?3m7p};5dc94?5|5=<;m77>1:?71fd=99k370::e`8f3a=z{=?hm7>53z?725g=<:h019;lb;60f>;3=jk1n5l4}r6f56<72;q68;>n:c:a?82>?j03m95rs41eg?6=?r7?9ho58`08911c132j>63;96g9;2;091:4k4}r66a<<72:q68;>6:832?822kh0:15e34>>o44m8c9~w1c6:3:1>v3;61;9f=d<5=3<:76n6:p16`e290=w0::e88;e7=:<>n365o<;<6:2`3?c3ty?9h650;1x9107033:=63;5b;955g?34>>i54j7e9~w13d03:1?v3;61:906d<5=?h57:=j1h0q~:j1083>7}:f;27?5;?58`78913?132j863;7`09n8:?71`1=m>n0q~::e483>6}:=g534>0=da21k>70::898;e1=:<>k;65o;;<70=6<10o1v98?5;297~;3>;?15::d5g?xu3=l>1<7=t=5411?24j27?9h;542`8913b<3h3n6s|4d0f>5<5s4>=>84m8c9>0<3d21k=7p}:40594?0|5=<;976n2:?73a0=0h90197:4;:b1>;3=h<14l:4=55:a?>f<27>?4=56968yv218=0;6>u24707><7634>>i94>0`:89107<3o5<4s4>=>94;3c9>00c32=9i70::e28a52z?7272=j1h0197;a;:b1>{t==;=6=48{<6541<003m95244c6>=g334>29?47a09>02?d21k?70;<9285<6=z{=<;?7>53z?7275=18;019;j3;33e==:;3=l918>l4=57f6?d?j2wx8h=g23ty>8<;50;5x9107;32j>63;7e690199m1;:b7>;31=?14l:4=41:4?0f82wx8;>=:180821:;02=<5244g1>46f027?:=<5e6f8yv22m80;6>u24701>15e34>>i?4;3c9>00c62k2i7p};e3`94?4|5=<9>7l7b:?7=40=0h?0q~;;1583>3}:524836>=g534?85=499g9~w10793:1?v3;6339=47<5=?n=7??a99>03662l=o7p};5d294?5|5=<9=7:{t9:9c6?xu2<891<78t=5435?>f:27?;i<58`08913f:32j863;7`d90o0q~:90183>6}:;<66a5<68h20198?0;g4`>{t<5b9`891>a=32j96s|5531>5<1s4>=<=47a39>02b621k970::a08;e1=:<>kn65o<;<6;b17?:7;g?xu3=ol1<7=t=542b??6927?9ih511c;?822no0n;i5rs57g`?6=;r7?:8n63;5ef9f=dn>54?:3y>037a2k2i70:7e48;e0=z{<>:=7>56z?71c`=0h80199k0;:b6>;3=h:14l:4=55b`?>f>27?4h:58`08905>83<2m6s|44d`>5<4s4>==n46109>00bc28:j463;5ga9a2b>h?4?:2y>037d2=9i70::de877g=:<c;`;f>;30k914l;4}r774`<72?q688hl:9c1?820km03m?5244;g>=g334>0=d521k970;<918553z?7247=18;019;k2;33e==:<1;60f>;3=m818>l4=57`3?d?j2wx8h?n:181821980i4o5248d7>=g33ty>8==50;5x913a932j>63;7b39;3?0<14l84=41:4?0?<2wx88k9:1808218?02=<5244a4>46f027?9h85e6f8yv2b8o0;6?u24725>g>e34>2h847a59~w1b7k3:1>v3;d1`90<:847a29>020021k870:84c8;e6=:<>>m65o=;<6414=g534><9547a39>023f21k970:85b8;e7=:<>?n65o=;<6425=g534><;h47a39>02>721k970:8838;e7=:<>2?65o=;<64<3o03m<524650>=g634><;:47a09>020121k:70:nbg8;e1=:=g434>jn>47a29>0dge21k870:ma28;e7=:::9c7?82c9=03m9524b2:>=g334>hv3;b7190w0:m628774=:;<6a24<3;8168o8?:512?82e9:0n;i5rs5`6b?6=?r7?n;=51154?82e8l03m?524`d:>=g534>i??4=e39>0g4d2;o970:m2881a7=:;3io21i:j4}r6a1`<721q68o8=:0243>;3j9o14l84=5ce=?>f=27?mk658`0891d4:38n=63;b3a96`7<5=h9577}:03m>524`d;>=g334>i??4=e19>0g4d2;o;70:m2881a5=:;3io<1i:j4}r6a1f<72hq68o8?:0243>;3j9o14l:4=5ce=?>f;27?mk958`0891ga032j?63;ag497;3j;l1>ih4}r6g=0<72=q68i7;:5;f?82c1<0n;i524e;4>1?b34>o554;9d9~w1b><3:1:iu24e;7>460?27?mnj58`089114n32j863;8b6976n3:?7ed2=0h9019l>0;:b7>;3ij314l<4=5:1e?>f;27?4?j58`7891>4=32j?63;82:9;30:l14l=4=5:75?>f;27?49:58`1891>3>32j?63;85:9;31;n14l<4=5:g0?>f927?4i858`1891?bm32j>63;94d9;3i9214l:4=5c3=?>f:27?m=958`6891?a032j>63;9g49019o>3;:b7>;30j<14l<4=5:`f;27?59958`3891?3l32j=63;9`69;3i:<14l<4=5c0f;27?m9<58`0891g3<32j?63;a5g9<76n3:?7e0g=0h8019o:c;:b7>;3i?<14l<4=5c5f;27?m:<58`0891g0<32j?63;99;9;31mo14l=4=5;:2?>f927?5om58`1891?ej32j?63;9b;9;3l0?14l?4=5;:g?>f:27?54>58`0891??;32j=63;8`f9;291814l;4=5`2g?>f:27?mkh58`08907ei32j?63:1ca976n5:?7=03=0h>019792;:b0>;31?l14l:4=5:ef:27?4ol58`0891>b132j>63;91c9;30l214l=4=5:a1?>f:27?48<58`48907?032j963;bc2976n1:?73`c=0h<0196ia;:b6>;3m::184k4=43`0?>f;27?ml958`1891gf032j?63;a`;9=0199;30j>14l=4=5:`6?>f:27?59<58`089114;32j863;75d9=76n3:?7edg=0h;019ol4;:b6>;3l0n14l84=5:1`?>f;27?4?k58`1891?4;32j=63;8e69;3i9314l?4=5;ef=27?4n858`7891>d?32j?63;95g9;3i;h14l<4=5c02?>f=27?m>958`1891g3:32j963;a519;3if=27?m;958`1891g0:32j963;a6190199:5;:b0>;3?<=14l:4=556=?>f<27?;8l58`689112l32j863;74d901998b;:b0>;3?>n14l:4=554b?>f<27?;5?58`68911?;32j863;7979;3?>814l?4=5542?>f927?;;858`089115m32j863;98a9;30hn14l:4=5fb6?>f<27?5>658`0891?3n32j>63;8ec9;31f:27?5;h58`0891>a032j?63;8c`9a;:b7>;318314l?4=5;3=?>f927?4h658`3891>e=32j=63;840976n2:?73`c=0h;0196ia;:b7>{t1<78t=5`6f?24927?n8o5423891d213>8=63;b4:9067<5=h>;7:<1:?7f40=m>n0q~:m5783>3}:87:?7ecc=0h8019l>6;:b5>;6mmk14l?4=0a:e?>f:27:ii658`48yv2fn90;6?u24c7b>1?b34>jji4j7e9~w1d2=3:1:v3;b4c9551034>jjh47a79>0d`c21k970?l898;e6=:9lnn6?o6;<3fa4<5i01v9ojf;296~;3j<3184k4=5ceg?c0l2wx8o;;:18482e=00:<:94=5cea?>f=27?mkj58`7891gak32j>63>c9597}:524`d`>=g334;h4;47a29>5`bb2;k<70?je081e2=z{=knh7>52z?7f01=<0o019oia;g4`>{t=019oie;:b7>;3ion14l=4=5cef?>f:27?mkm58`1891gai32j=63>c9796}:=0196;c;:b0>;3?:l14l?4=5;06?>f:27?59>58`3891?3:32j=63;dc49;30;o14l;4=5fba?>f>27?ho:58`7891>fi32j=63;92;9;31?k14l?4=5;43?>f927?mo>58`3891g7132j?63;8g59;31h<14l=4=5c1f?>f927?m>958`0891g3;32j>63;a5d9n76n2:?7e31=0h8019o83;:b6>;3lh?14l;4=5fb2?>f=27?hl758`089115m32j=63;79f9;291814l=4=5;f`?>f<27?5k958`6891?2932j>63;9gc9;30j314l<4=5c1`?>f:27?m>758`0891g3=32j>63;a439h76n2:?7e3?=0h8019o85;:b6>;30k214l?4=5;61?>f>27?5;<58`4891?1n32j:63;8g;9;318h14l<4=5;2f927?5=658`3891>b?32j=63;9839;30<814l:4=43;f;27?54j58`789113:32j?63;7dg9;3ih214l<4=5cb=?>f:27?ho958`08yv2ci80;6>u24ec3>1?b34>oml4j7e9>0age2=3n7p};d`294?3bs4>om=4>065891>3k32j963;72d9;3ihk14l=4=5c`0?>f927?h4j58`7891>5l32j863;83g9;31;n14l?4=5:g0?>f<27?4i858`0891?bm32j=63;94d9;3i9214l=4=5c33?>f927?5k658`3891?a>32j>63;96`93;:b6>;30j<14l?4=5:`f:27?59958`0891?3m32j863;95f9=0h8019o=a;:b5>;3i;i14l?4=5c02?>f927?m>658`0891g3:32j=63;a569;3if927?m;658`0891g0:32j=63;a669;31l=14l=4=5;ga?>f:27?54858`0891?ek32j>63;9c`9=0h8019jn6;:b0>;3lhk14l:4=551a?>f;27?;5j58`689070j32j963;8`f976n2:?6526=0h?018?72;:b0>;3ik814l:4=5;61?>f;27?5;<58`1891?1n32j?63;8g:9;318k14l?4=5;2=?>f:27?5=758`0891>b032j>63;9839;291214l:4=5;:`?>f927?;9<58`48911bm32j963;8gc976n3:?7a7`=<0o019jm7;:b7>{t=019lm9;:b6>;3jkh14l<4=5`a`?>f:27?noh58`0891dd932j>63;bb193;:b0>;3jhk14l?4=5`3f?>f:27?n=m58`0891gb?32j963;ad:9=0h9019oj5;:b7>;3il>14l<4=5`25?>f:27?n<858`1891gbj32j:63;ad;97}:7;29<~;3j<;18>?4=5`64?24927?n9h5423891d3m3>8=63;b5f9067<5=h?o7:<1:?7f1d=<:;019l>8;g4`>{t<6=4;{<6a14<68>=019ll5;:b1>;3j=314l;4=5cff?>f92wx8lk=:18182e=90?5h524`g:>`1c3ty?n9850;7x91d283;;;:524ca0>=g234>i8l47a09>0dcd21k970:ne88;e4=z{=kn=7>52z?7f1`=<0o019oj8;g4`>{t>6=49{<6a0c<68>=019ll1;:b1>;3il214l?4=5`7=?>f;27?mhl58`7891gb132j96s|4`g3>5<5s4>i8h4;9d9>0dc02l=o7p};b5694?1|5=h?i7??769>0gda21k>70:ne68;e4=:3o5i8i4>065891del32j963;ad59;3ili14l=4=5cfe?>f:2wx8ljj:18182e`1c3ty?n9<50;;x91d3k3;;;:524c`a>=g234>ji:47a29>0dc?21k870:ne78;e6=:65o>;<6a0<5i8o4>065891de132j963;ad59;3il>14l?4=5`7=?>f:27?mhl58`1891gb132j?6s|4cc:>5<3s4>im54;9d9>0g5a2=3n70:mb087=`=:=83;iw0:ma982421<5=hi576n4:?7fgd=0h>019lmd;:b0>;3jkl14l:4=5``5?>f<27?nn=58`6891dd=32j863;bb59;3j9o14l=4=5`3g?>f;27?mkk58`3891gal32j=63;ag;98;:b5>;3io214l?4=5ceg?>f927?mk858`0891gai32j>63;b0490q~:nc383>7}:=;:=697j;<646a?4=5c`=?c0l2wx9?>9:18;4~;2:9<1==98;<64ga=g634>02b621k:70:8c38;e4=:<>n265o>;<64gf=g634>47a09>02e321k:70:6318;e6=:<>n;65o>;<64g<=g634>02e021k:70:8c08;e4=:<>n=65o>;<64`a=g634>02ee21k:70:8bd8;e4=:<>ij65o>;<6;`<5249ff>=g434>2ji47a09>0265o=;<6;ga524`6b>=g434>j9;47a29>0d0521k870:n6d8;e6=:=g634>>m<47a09>00g521k:70::a28;e4=:<;<66e0=g634>>4l47a09>00>e21k:70::8b8;e4=:<<2o65o>;<66<`=g634>>5>47a09>00?321k:70::948;e4=:<<3=65o>;<66=2=g634>>5o47a09>00?d21k:70::9d8;e4=:<<3m65o>;<6:af=g634><5;47a09>02?321k:70:7548;e7=:<>kn65o=;<64ec;o03m95249d7>=g434>3n?47a29>0=c321k870:6048;e6=:<0;>65o<;<64f4l:9c1?82f9003m?524`06>=g534>2o<47a39>0=g534>02gd21k970:8a78;e7=:<>k965o=;<64=`<<03m?5246;e>=g534>47a39>02g>21k970:8ac8;e7=:<>k>65o=;<64e4=g634>=no47a09>03ca21k:70:9bb8;e6=z{=i;=7>58z?7b<>=<0o019m?c;3332=:=g634?9>u24g;;>15634>m5:4;309>0c?12=9:70:i948774=:;<6e=6<3;8168o7=:512?82e190??<524c:f>15634>i4o4;309>0g>>2=9:70:m868774=:69=>;<6a<6<3;8168o6>:512?82e?o0??<524c5g>15634>i;o4;309>0g1>2=9:70:ma08774=:;<6a=a<3;8168o7m:512?82e100??<524c;4>15634>i584;309>0g>c2=9:70;?678774=:=9<>69=>;<7321<3;8169=8<:512?837>;0??<524cca>=g234>jol47a79>0deb2l=o7p};f8094?45s4>m554>065891>f>32j?63;87g9;3i>o14l?4=5c`3?>f;27?mn658`6891b>j32j863;d8f976n4:?7`g5=0h?019jn7;:b0>;3lh314l=4=5:7a?>f<27?49h58`1891>0132j>63;d849;3?><14l<4=5550?>f:27?;?k58`0891>3j32j:63;531976n6:?7ad0=0h>019on3;:b2>;3im214l<4=403=?>f>27>>=958`489047032j:6s|4b23>5<0s4>m5:4;9d9>0f6f28:<;63;d`19;2:9k14l<4}r6e=4<728lp19h67;3332=:<1k=65o;;<6;2`=g534>jo:47a09>0a?e21k:70:k9b8;e4=:;<6gf7=g334>38k47a59>0=1f21k?70:k948;e0=:<>=g434><>i47a39>0=2e21k870::228;e0=:=g234?9<547a49~w1dan3:14v3;f84900ag421k>70:78`8;e3=:<12<65o=;<64ff6:9c2?8358h03m<5rs5d:4?6=9mq68k79:0243>;30h<14l;4=5:5a?>f>27?;5l58`6891be832j:63;7219;3l0h14l<4=5f:g?>f:27?ho?58`0891be:32j?63;d`59;3??>14l:4=551`?>f;27?49l58`789135;32j=63;a`09=0h>019on3;:b0>;3im214l;4=403=?>f<27>>=958`189047032j86s|4cdf>5<0s4>m584;9d9>0f6128:<;63;d`19;2:9k14l:4}r6e=g334>jo:47a59>0a?e21k>70:k9b8;e6=:=g434>0=2a21k>70:77`8;e6=:524`c1>=g434>om547a09>0dg421k870:nd98;e3=:=;:265o<;<71427:9c0?xu3jon1<76t=5d:0?2>m27?o=:51154?82ci:03m?5249:b>=g334>34:47a09>02dd21k:70:l048;e4=:=;:j65o9;|q7b=c=83;iw0:i9582421<5=2j:76n2:?7<3c=0h>01997b;:b6>;3lk:14l:4=5c4a?>f:27?mn958`0891gd032j>63;d8`9019jm2;:b1>;3lh=14l=4=5:7b?>f927?4:o58`3891b>>32j>63;73f9;3mh<14l<4=5fbf=27?ml=58`0891gc032j?63:21;9=0h80q~:mfb83>2}:=01967a;:b5>;301=14l;4=55ag?>f;27?o==58`089047i32j96s|4g:g>5<61r7?j4=51154?82?i?03m<52494f>=g634><4o47a09>0ad721k870:nc68;e0=:;<6g=g524e`2>=g434>om847a79>0=2a21k970:77`8;e7=:;<646a=g634>om547a29>0dg421k:70:nd98;e4=:=;:265o>;<71427:9c2?xu3k9n1<7m27?o=j5e6f8yv2fi<0;6?7t=5a3g?24927?o=o5423891e703>8=63;c149067<5=i;87:<1:?7g54=<:;019mja;605>;3kl218>?4=5af2?24927?oh:5423891eb:3>8=63;cg29067<5=ini7:<1:?7`6`=<:;019j;1;605>;3l=918>?4=5f71?24927?h995423891b4=3>8=63;d259067<5=n857:<1:?7`6d=<:;019j;3l8918>?4=5f21?24927?h<95423891b613>8=63;d0`9067<5=n957:<1:?7`7d=<:;019j=d;605>;3l;l18>?4=5f05?24927?h>=5423891b6l3>8=63;d0d9067<5=n9=7:<1:?7`75=<:;019j=5;605>;3l;=18>?4=5cb3?c0l2wx8n>m:18182d8h0?5h524b2a>`1c3ty?o=750;0x91e703>2i63;c1;9a2bh<:4?:3y>0f612=3n70:l068f3a=z{=i;97>52z?7g52=<0o019m?5;g4`>{t<:d5g?xu3k:n1<7m27?;>:5e6f8yv2fj<0;6?u24b1`>15634>jn;4j7e9~w1e4k3:1;v3;c2a9551034>jn447a09>0=7c21k:70:7218;e7=:<1;m65o>;<6;45m27?ohl5e6f8yv2dm00;6?u24bg;>1?b34>hi44j7e9~w1eb?3:1>v3;cd4906h9k;|q7g`5=838p19mj2;6:a>;3kl91i:j4}r6a=6<72;q68o7=:5;f?82e1:0n;i5rs5`44?6=;r7?n4<51154?87d0=03m>524c;0>=g63ty?n4?50;0x91d>83>2i63;b839a2bi:k4?:5y>0g?728:<;63>c9197}:o<5rs5`;g?6=:r7?n5l548g891d?k3o5<3s4>i4o4>065894e?832j?63;b9a9f2909w0:m8887=`=:p19l79;3332=:9j3?65o<;<6am27?n565e6f8yv2e>k0;69u24c:4>460?27:o4=58`1891d?032j=63;bba91gci4;4?:3y>0g>22=3n70:m878f3a=z{=h=m7>54z?7f=3=99=<70?l938;e6=:;<6agf<2jm1v9l74;296~;3j19184k4=5`;0?c0l2wx8o86:18782e0:0:<:94=0a:5?>f;27?n5:58`3891ddk3?io6s|4c:1>5<5s4>i4<4;9d9>0g>52l=o7p};b7:94?2|5=h3=7??769>5f?721k870:m838;e4=:;3j1:1i:j4}r6a22<72=q68o9i:0243>;6k1l14l=4=5`;4?>f927?nnm55c68yv2e?l0;6?u24c5g>1?b34>i;h4j7e9~w1d1>3:15v3;b6f9551034>io847a09>0g6321k970?l8d8;e6=:7bc3ty?n:m50;0x91d0j3>2i63;b6a9a2bi:84?:cy>0g1e28:<;63;bb19;6mmo1>l=4=0gf5?4f;27?n><52ea891d5k38oo63;b3;96ae<5=h9j7;<6a47:9c0?82e?h03m?521dff>7g534;ni<4=a39>0g552;ni70:m2b81`g=:f927?n=?58`0894e?k32j?63;b`09;3j;i1>io4=5`1=?4ci27?n?h52ec8yv2ei90;6?u24c;e>1?b34>im=4j7e9~w1d0?3:1nv3;b8d9551034>ini47a09>0g6721k970?l8c8;e6=::3c3?82e;;09i8524c0`>7c234>i>44=e49>0g4a2;o>7p};b8g94?4|5=h2h7:6e:?7fn0q~:m7783>g}:87:?7fgd=0h;019l?a;:b6>;6k1k14l=4=5`:a?>f:27:iik52``894cb938jn63;b2096`2<5=h9o7019l=f;0f0>{t?1<7lt=5`:f?77?>168ol6:9c2?82e8003m?521b::>=g434>i5n47a39>5`bb2;kj70?je081ed=:5rs5`:e?6=:r7?n47548g891d>i3o5<4s4>i544>065891d7032j=63;b8c9i554?:3y>0g?02=3n70:m998f3a=z{=h53z?7f<1=99=<70:m068;e4=:;|q7f<0=838p19l65;6:a>;3j0<1i:j4}r6a37<72:q68o7::0243>;3j9<14l?4=5`:2?>f92wx8o7;:18182e0m0?5h524c;7>`1c3ty?n:?50;1x91d?l3;;;:524c26>=g634>i5947a09~w1b7:3:1>v3;c2690m7k8d:p0a662909w0:l3287=`=:<>?36h9k;|q7`56=838p19m<2;6:a>;3?<<1i:j4}r6`b`<72;q68n=?:5;f?820=;0n;i5rs5ae`?6=:r7?o?h548g8911283o5<5s4>h>h4;9d9>022d2l=o7p};cg`94?4|5=i9h7:6e:?73=0=m>n0q~:lf`83>7}:`1c3ty?ok950;0x91e513>2i63;76g9a2bhj;4?:3y>0f4?2=3n70:87b8f3a=z{=im97>52z?7g71=<0o01998a;g4`>{tm27?;::5e6f8yv2c810;6?u24b1:>1?b34><;=4j7e9~w1b7?3:1>v3;c2:90<36h9k;|q7`53=838p19m<6;6:a>;3??:1i:j4}r6g41<72;q68n=::5;f?820=l0n;i5rs5f37?6=:r7?o>?548g89112k3o5<5s4>h>;4;9d9>02332l=o7p};cg694?4|5=i997:6e:?7334=m>n0q~:l0d83>1}:6<>87:?7334=0h;019mm0;:b5>;3><814l?4}r72b`<72:q68h:l:5;f?82?l?0n;i524d6g>1?b3ty?mlk50;0582b15634>n844;309>0`2?2=9:70:j468774=:=69=>;<6f00<3;8168h:;:512?82b<:0??<524d61>15634>n8<4;309>0`272=9:70:j3d8774=:;<6f7f<3;8168h=m:512?82b;h0??<524d1:>15634>n?54;309>0`502=9:70:j378774=:69=>;<6f16<3;8168h;=:512?82b=80??<524d73>15634>n8k4;309>0`2b2=9:70:j4`8774=:;<6f71<3;8168ll?:d5g?82b:o0??<524d13>15634>n?<4;309>0`552=9:70:j328774=:o69=>;|q65cb=838p19k;b;6:a>;30j21i:j4}r72bg<72;q68h:6:5;f?82f?=0n;i5rs43ee?6=:r7?i96548g891g103o5<5s4>n8:4;9d9>0d3d2l=o7p}:1g:94?4|5=o?:7:6e:?7e06=m>n0q~;>f683>7}:>697j;<6b01184k4=5c0`1c3ty>=k:50;0x91c3:3>2i63;a329a2b4?:3y>0`262=3n70:n128f3a=z{<;m>7>52z?7a16=<0o019o?7;g4`>{t=8l;6=4={<6f7`<31l1684jj:d5g?xu29ll1<7m27?5n65e6f8yv36ml0;6?u24d1`>1?b34>2no4j7e9~w07bl3:1>v3;e2`90;310:1i:j4}r72ad<72;q68h=7:5;f?82>0:0n;i5rs43f=?6=:r7?i>9548g891?093o5<5s4>n?;4;9d9>0<032l=o7p}:1d594?4|5=o897:6e:?7n0q~;=0483>7}:<:18182b=80?5h52480g>`1c3ty>>=<50;0x91c283>2i63;90:9a2b0`2a2=3n70:6098f3a=z{<8;<7>52z?7a1c=<0o0196i7;g4`>{t=8lm6=4={<6f0d<31l1685k8:d5g?xu29oi1<7m27?4o;5e6f8yv36n80;6?u24d17>1?b34>2j;4j7e9~w06583:1>v3:00d90290mw0;?1g8774=:=9;o69=>;<735g<3;8169=15634?;>44;309>15402=9:70;?248774=:=98869=>;<7364<3;8169=88:5;f?82c<00:<:94=557f?>f:27?mnl58`38yv379l0;6?u2513g>1?b34?;=h4j7e9~w066k3:1>v3:00`90=d;6:a>;28;o1i:j4}r736f<72;q69=5<5s4?;>:4;9d9>154?2l=o7p}:03494?4|5<:997:6e:?6470=m>n0q~;?2583>7}:=988697j;<7361=2;296~;28;;184k4=4216?c0l2wx9=:7:181837==0?5h5246g4>`1c3ty?mno50;ax9062<3>8=63:0419067<5<:>>7:<1:?640e=<:;018>:b;605>;28?4=426=?24927><86542389062?3>8=63:0449067<5<:>97:<1:?7efg=m>n0q~;?5083>7}:=9?8697j;<64a0:0;296~;28<8184k4=55f5?c0l2wx9=:i:181837=j0?5h5246da>`1c3ty><9k50;0x9062j3>2i63;7g49a2b153f2=3n70:8eg8f3a=z{<:?o7>52z?640?=<0o0199jd;g4`>{t=9>i6=4={<731=<31l168:kl:d5g?xu28=k1<7m27?;ho5e6f8yv37<00;6?u25175>1?b34>v3:04790=g534>3<=47a29>0a7?21k87p};bgc94?4|5<:;87:6e:?7314=m>n0q~;?0583>6}:=9:?6<>87:?7efg=0h;019ond;:b5>{t5e6f8yv37>>0;6<>t=4253?77?>1685:l:9c0?82fih03m?524`a:>=g634>3>i47a09>0=4a21k:70:kad8;e1=:;<6ge<=g434><8?47a49>02cb21k870:88d8;e7=:<1>o65o:;|q7gc4=838p19j;9;6:a>;3?=h1i:j4}r6abg<72f<27?;;958`389113j32j=6s|4`a`>5<4ir7?j5m5423891`ak3>8=63;fg`9067<5=lmm7:<1:?7bc>=<:;019hi7;605>;3no<18>?4=5de1?24927?jk:5423891`a;3>8=63;fg09067<5=lm=7:<1:?7bc6=<:;019hjf;605>;289918>?4=4236?24927><=?54238906783>8=63;fgd9067<5=lmi7:<1:?7bcb=<:;019hi9;605>;3nlo18>?4=5df`?24927?jn95423891`en3>8=63;fcg9067<5=lih7:<1:?7bgd=<:;019hma;605>;3nk318>?4=5da3>8=63;fc79067<5=li87:<1:?7bg5=<:;019hm2;605>;3nj<18>?4=5d`1?24927?jn:5423891`d;3>8=63;fb09067<5=lh=7:<1:?7bf6=<:;019hmc;605>;3nk;18>?4=5da4?24927?ji;5423891`c<3>8=63;fe19067<5=lo>7:<1:?7ba7=<:;019hk0;605>;3njl18>?4=5c``?c0l27?hl:54238911el3>8=6s|4g:`>5<4s4>m4n4>065891ge<32j=63;ac19hj>4?:3y>0g`e2=3n70:8898f3a=z{=ki;7>52z?7fcd=<:;019om7;g4`>{tm:d5g?xu3j8k1<7?<{<6a5d<68>=019l?2;:b7>;3?=o14l=4=5`34?>f;27?n=?58`1891d7;32j?63;b169;3j9214l=4=5`3=?>f;27?n=o58`189111?32j>63;acd9;3jh914l?4}r6b`f<72;q68o:?:5;f?82fno0n;i5rs5`74?6=51154?820=g634>7}:;<6a5f9;293~;3j831==98;<640`=g534>0ggb21k:7p};b`794?4|5=hhm7:6e:?7f5e=m>n0q~:mc`83>6}:87:?7ffe=98o=?4?:3y>15012=3n70:7168f3a=z{<:==7>538y>150128:<;63;9209;30mi14l:4=5;eb?>f927?5h=58`3891?2l32j=63;97c9;30o<14l?4=5:aa?>f927?4o:58`3891>bl32j=63;8d496;:b5>;3i8814l?4=5:`e?>f927?4n958`3891?f>32j>63;a3g9;3i=<14l?4=5c77?>f927?m8<58`3891g3n32j=63;a4g9n76n1:?7e3g=0h;019o97;:b5>;3i><14l?4=5c47?>f927?5f;:b5>;31l314l?4=5;g`?>f927?54h58`3891?>j32j=63;9cg9;31:n14l<4=5;0b?>f;27?m=:511c;?82>090:;31:=14l<4=5;01?>f:27?5lm58`0891?c;32j=63;9`59;30m314l<4=5:ab?>f;27?4ij58`0891?c>32j>63;9469;3i9914l:4=5:ea?>f:27?5k<58`6891>fm32j>63;8dd9;3i8:14l<4=5c25?>f<27?4nl58`1891>dn32j963;95c9;3i;l14l=4=5c00?>f=27?m>l58`1891g3832j963;a559;3if=27?m;l58`1891g0832j963;a659;311o14l:4=5c2`?>f:27?m;310314l<4=5;:e?>f<27?5o658`0891?e132j863;9b79=0h80197m4;:b0>;30ji14l<4=5c05?>f:27?m>j58`0891g3132j>63;a479;30m:14l;4=5;6e?>f=27?5;958`7891?0<32j963;92`9;319>14l<4=5;20?>f:27?5?758`7891>cn32j>63;8e`90196je;:b0>;319l14l:4=5;2b?>f<27?5>j58`4891?4n32j963;9g`9;319?14l?4=5;21?>f927?4h?58`6891>a=32j863;9g69=0h9019795;:b7>;31>814l=4=5c3f?>f927?m<658`3891?d832j=63;9bf9;3i8h14l<4=5c3a?>f:27?5i>58`0891?d;32j>63;98:9;31f927?5:;58`3891?2:32j963;9569=0h80197?0;:b5>;319;14l?4=5;f5?>f:27?5h<58`38yv2c990;6?u25147>1?b34>3=?4j7e9~w062n3:14:u25147>460?27?5lo58`1891?4?32j?63;9279;31h314l<4=5;ba?>f:27?5>>58`3891>c132j=63;8cd9;31?;14l:4=5;5a?>f<27?m=<58`3891g7;32j>63;8gg976n2:?7=c4=0h?0196ne;:b5>;30ll14l?4=5;24?>f927?5:k58`3891?0n32j>63;a029;31=k14l<4=5;7f?>f927?5o<58`0891?e;32j?63;9c49;3i:h14l?4=5c74?>f927?m9958`3891g3k32j=63;a419476n1:?7e0`=0h;019o94;:b5>;3i?h14l?4=5c44?>f927?m:958`3891g0k32j=63;9329d;:b5>;3i8o14l:4=5;g3?>f927?5io58`0891?cj32j=63;9ea9;31k314l<4=5;`1?>f927?5n858`0891?3132j=63;95:9;3i:n14l?4=5c7=?>f927?m8;58`3891g1932j=63;a7f9;31?=14l84=5;40?>f>27?5>l58`1891>a;32j?63;8c394;:b7>;31;314l<4=5:gb?>f927?4il58`1891?bk32j963;8`a9f;:b7>;31:n14l;4=5;0b?>f>27?5km58`3891?aj32j863;8g6976n4:?7<`2=0h>0197?5;:b0>;318?14l:4=5:f5?>f:27?4k;58`1891?a<32j?63;8b39476n4:?7=33=0h>019782;:b0>;3i9h14l<4=5c2f:27?5n>58`0891?dl32j>63;9949b;:b5>;3i9o14l?4=5;g4?>f927?5n=58`3891?>032j=63;99`9;31?214l=4=5;41?>f;27?58<58`6891?3=32j=63;95690<6721k970:6008;e7=z{=n;j7>52z?6435=<0o0196?f;g4`>{t=9?n6=487z?6435=99=<70:6338;e1=:<0kj65o=;<6:05;<03m>5248f0>=g434>3h:47a29>0=e421k970:7dd8;e7=:<1o;65o>;<6:bc?:9c0?82>l<03m>5248f5>=g634>2i>47a49>0<3>21k970:6678;e7=:<0=865o=;<6b46=g534>3nh47a39>0=d321k970:7ee8;e7=:<1o=65o=;<6:4`8:9c1?82>?o03m<524`35>=g534>j=<47a09>0=ef21k970:7cc8;e0=:<1in65o;;<6;gc=g634>2m847a09>0d4b21k970:n2g8;e0=:=g334>j8=47a39>0d2121k970:n468;e0=:i65o;;<6b0f=g334>j9547a39>0d3b21k970:n5g8;e0=:k03m8524`4e>=g334>j;=47a39>0d1121k970:n768;e0=:9>03m?5248;1>=g534>24h47a09>0d4421k970:n1d8;e7=:<0o265o=;<6:`2l003m<5248f`>=g534>25k47a39>0;<6:gg<103m<5248`7>=g434>3h=47a59>0<3f21k?70:6668;e1=:<0=?65o;;<6:7g=g634>2?i47a59>0<5a21k970:7e08;e4=:<1i:65o=;<6:1=?;03m?524`3b>=g534>2ok47a39>0>103m?524856>=g534>29?47a39>0<2321k970:n048;e6=:5248:1>=g63ty?h=k50;0x9061:3>2i63;81;9a2b=g634>2mn47a29>0=g634>2jk47a29>0d6721k970:6d78;e6=:<0?o65o<;<6:2d=g634>2j?47a29>0<`421k970:7ad8;e0=:<1h865o>;<6;ac9903m8524825>=g634>j=?47a39>0=ee21k970:7cd8;e6=:<1im65o<;<6:0f=g434>j?947a29>0d5e21k970:n3g8;e6=:;65o<;<6b02524`70>=g534>j9:47a29>0d3?21k870:n5g8;e7=:o03m>524`53>=g434>j;:47a39>0d1e21k870:n7b8;e6=:<08;65o:;<6:53=g634>2hi47a39>0=g634>3i>47a09>0<6321k:70:6158;e4=:<08265o>;<6;`cmj03m?5249c`>=g534>3ih47a39>0<6a21k970:61g8;e7=:<09o65o>;<6:7c=g634>3o<47a09>0<3?21k:70:6648;e4=:<0=965o>;<6b5dk;03m<5248;4>=g634>24l47a09>0<3521k870:n048;e7=:<02:65o=;<6b628903m>524822>=g434>2i<47a09>053z?7bcd=<0o019m75;3332=:;|q7g0`=839p19hia;6:a>;3k191==98;<6`<1168n6?:9c2?xu3km27?o:j51154?82d?l03m<5rs5a6f?6=;r7?jk8548g891e0j3;;;:524b5`>=g63ty?o8o50;1x91`a=3>2i63;c6;9551034>h;l47a09~w1e213:1?v3;fg6900f1?21k:7p};c4:94?5|5=lm?7:6e:?7g23=99=<70:l778;e4=z{=i>;7>53z?7bc4=<0o019m83;3332=:;|q7g00=839p19hi1;6:a>;3k>;1==98;<6`37168n9?:9c2?xu3k<>1<7=t=5dfb?2>m27?o;j51154?82d>l03m<5rs5a5=?6=;r7><==548g891e>>3;;;:524b;4>=g63ty?o;650;1x9067:3>2i63;c869551034>h5847a09~w1e1?3:1?v3:013907??769>0f?421k:7p};c7494?5|5<:;<7:6e:?7g<6=99=<70:l908;e4=z{=i=97>53z?7bc`=<0o019m7e;3332=:;|q7g32=839p19hie;6:a>;3k1i1==98;<6`168n6m:9c2?xu3k?81<7=t=5de=?2>m27?o5<51154?82d0003m<5rs5a6a?6=;r7?jhk548g891e1k3;;;:524b:2>=g63ty?o8=50;1x91`bl3>2i63;c7c9551034>h:o47a09~w1`c>3:1?v3;fdf9551034>2i?47a49>005f21k97p};cg394?4|5=im<7:6e:?7gc7=m>n0q~:lee83>c}:87:?7310=0h80199j6;:b1>;3?o:14l:4=55f0?>f927?;h?58`18911a=32j=63;7d;9=0h?019olb;:b7>;3?ln14l;4=55e=?>f927?;ko58`0891ea932j>6s|4bge>5<5s4>hih4;9d9>0fca2l=o7p};cda94?d|5=ini7??769>022f21k:70:8e78;e1=:<>o?65o=;<64`c5246ga>=g434><8547a29>0dee21k970:leg8;e7=z{=i347>52z?7g=1=<0o019m78;g4`>{t;3k1918>?4=5a4b?24927?o:j5423891e0j3>8=63;c6;9067<5=i<;7:<1:?7g23=<:;019m83;605>;3k>;18>?4=5a5b?24927?o;j5423891e>>3>8=63;c869067<5=i2>7:<1:?7g<6=<:;019m7e;605>;3k1i18>?4=5a;e?24927?o5<5423891e1k3>8=63;c7c9067<5==?n76n5:?731e=0h>0199:0;:b0>;3ih>1i:j4=555g?>f<27?;:>58`689110<32j863;76:97}:697j;<6`<3`1c3ty?o:k50;0x91e0l3>2i63;c6g9a2bh;n4?:3y>0f1e2=3n70:l7b8f3a=z{=i52z?7g2?=<0o019m8a;g4`>{t<1<7m27?o:85e6f8yv2d?=0;6?u24b50>1?b34>h;94j7e9~w1e0:3:1>v3;c63907k8d:p0f172909w0:l6g87=`=:;3k?o1i:j4}r6`=2<72;q68n79:5;f?82d1>0n;i5rs5a:1?6=:r7?o4:548g891e>=3o5<5s4>h5?4;9d9>0f?42l=o7p};c8394?4|5=i2<7:6e:?7g<7=m>n0q~:l8g83>7}:`1c3ty?o5750;0x91e?:3>2i63;c9;9a2bh4<4?:3y>0f0d2=3n70:l808f3a=z{=i=n7>52z?7g3g=<0o019m9b;g4`>{tn:d5g?xu3nj=1<7168:6l:9c2?xu3kh<1<7:t=5dab?2>m27?onm51154?82dkm03m<524b:;>=g53ty?jl950;0x91`en3;;;:524`04>=g13ty?ol;50;6x91`em3>2i63;cbc9551034>hoo47a09>0f>121k97p};f`494?4|5=lii7??769>0d7e21k87p};c`694?2|5=lih7:6e:?7gf>=99=<70:lc88;e4=:p19hmb;6:a>;3kj>1==98;<6`g01684j8:9c0?xu3kh;1<7:t=5dae?2>m27?on<51154?82dk:03m<524b5f>=g53ty?jl<50;0x91`ei3;;;:5248f3>=g43ty?ol>50;6x91`e13>2i63;cb29551034>ho<47a09>0f1d21k97p};f`394?4|5=li57??769>0p19hm7;6:a>;3kki1==98;<6`fa168477:9c0?xu3k0n1<7:t=5da2?2>m27?ooo51154?82djk03m<524b55>=g53ty?j4k50;0x91`e>3;;;:5248:a>=g43ty?o4m50;6x91`e=3>2i63;cc:9551034>hn447a09>0f1321k97p};f8f94?4|5=li97??769>0<1d21k87p};c8`94?2|5=li87:6e:?7gg0=99=<70:lb68;e4=:;|q7gp19hm3;6:a>;3kk>1==98;<6`f0168488:9c2?xu3k031<7:t=5da6?2>m27?oo<51154?82dj:03m<524b4f>=g53ty?j4o50;0x91`e:3;;;:5249f3>=g63ty?olk50;6x91`d>3>2i63;ce`9551034>hhn47a09>0f?021k97p};f`d94?4|5=lh:7??769>0<3f21k:7p};c`f94?2|5=lh97:6e:?7ga?=99=<70:ld`8;e4=:65o=;|q7bdc=838p19hl5;3332=:<0>j65o9;|q7gde=83>p19hl4;6:a>;3km=1==98;<6``=1684=m:9c7?xu3khh1<7:t=5d`7?2>m27?oi;51154?82dl?03m<524b;2>=g53ty?jlm50;0x91`d;3;;;:524837>=g33ty?olo50;6x91`d:3>2i63;ce19551034>hh947a09>0f>a21k97p};f``94?4|5=lh>7??769>0<6321k?7p};c`;94?2|5=lh=7:6e:?7ga7=99=<70:ld38;e4=:=83>p19hl0;6:a>;3kjl1==98;<6``51685k<:9c7?xu3kh=1<7:t=5dag?2>m27?on951154?82dkl03m<524b::>=g53ty?jl650;0x91`ek3;;;:5249`2>=g33ty?ol=50;dx91`e93>2i63;cc39551034>0g`?21k970:83`8;e7=:<>9h65o=;<6472=g534>jhl47a39>0fe121k970:l808;e7=:<>9o65o=;<647g168:=6:9c2?82en103m<52461b>=g634>025021k:70:8348;e4=:<>9365o>;<6473=g534>025e21k:7p};f8;94?4|5=li<7??769>054z?7ba3=99=<70:6338;e6=:<08;65o<;<6;`c184k4=5a7b?77?>168n;?:9c1?xu3njn1<71684??:9c0?xu3k=81<7=t=5dg7?2>m27?o9j51154?82d524655>=g434>=;h47a39~w1e393:1?v3;fe0900f2d21k97p};c5294?5|5=lo=7:6e:?7g1?=99=<70:l4`8;e7=z{=i8j7>53z?7ba6=<0o019m;7;3332=:365o=;|q7g6c=839p19hlf;6:a>;3k=?1==98;<6`03=g33ty?no?50;6x91de93;;;:521dfb>=g534;h5l47a29>5`b?21k:7p};cbf94?4|5=iho7:6e:?7gfb=m>n0q~:83183>70|5=iho7:<1:?7gfg=<:;019ml8;605>;3kj>18>?4=5a`6?24927?on>5423891eem3>8=63;cca9067<5=iim7:<1:?7gg>=<:;019mm6;605>;3kk>18>?4=5aa6?24927?oil5423891ec13>8=63;ce59067<5=io97:<1:?7ga5=<:;019mk1;605>;3kjl18>?4=5a`3?24927?oo?5423891efn3>8=63;b109019l?3;:b0>;3j9>14l:4=5`31?>f<27?n=858`6891d7?32j863;b1:9019997;:b0>;3?:;1i:j4=5ca7?>f<27?nl=58`18yv2dkk0;6?u24bab>1?b34>hoo4j7e9~w1ed13:1>v3;cb:906h9k;|q7gf5=838p19ml2;6:a>;3kj91i:j4}r6`g4<72;q68nm?:5;f?82dk80n;i5rs5aab?6=:r7?ook548g891een3o5<5s4>hnn4;9d9>0fdc2l=o7p};cc`94?4|5=iim7:6e:?7ggd=m>n0q~:lb883>7}:`1c3ty?oo=50;0x91ee:3>2i63;cc19a2bhhn4?:3y>0fbe2=3n70:ldb8f3a=z{=iom7>52z?7ga?=<0o019mka;g4`>{tm27?oi85e6f8yv2dl=0;6?u24bf0>1?b34>hh94j7e9~w1ec:3:1>v3;ce3907k8d:p0fb72909w0:lcg87=`=:;3kjo1i:j4}r6`g3<72;q68nl>:5;f?82dk?0n;i5rs5aa4?6=:r7?olh548g891ee83o5<38=63;e9c9067<5=o357:<1:?7a=>=<:;019k77;605>;3m1<18>?4=5g;1?24927?i5:5423891c?;3>8=63;e909067<5=o3=7:<1:?7a2`=<:;019k8e;605>;3m>n18>?4=5g4g?24927?i:l5423891c0i3>8=63;e6;9067<5=o<47:<1:?7a21=<:;019k86;605>;3m0918>?4=5g:6?24927?i4?5423891c>83>8=63;e9d9067<5=o3i7:<1:?7a=d=<:;019k70;605>;3m>?18>?4=5g40?24927?h5j5423891b?k3>8=63;d9c9067<5=n357:<1:?7`=>=<:;019j77;605>;3l1<18>?4=5f;1?24927?h5:5423891b?;3>8=63;d909067<5=n3=7:<1:?7`2`=<:;019j8e;605>;3l>n18>?4=5f4g?24927?h:l5423891b0i3>8=63;d6;9067<5=n<47:<1:?7`21=<:;019j86;605>;3l0918>?4=5f:6?24927?h4?5423891b>83>8=63;d9d9067<5=n3i7:<1:?7`=d=<:;019j70;605>;3l>?18>?4=5f40?24927>8>63;ac09a2b<58o?>7:nb09~w1c1k3:1>v3;e9f9551034?:4:47a09~w1c1j3:1>v3;e9a9551034?:4;47a09~w1c113:1>v3;e9c9551034?:4947a09~w1c103:1>v3;e9;9551034?:4>47a09~w1c1?3:1>v3;e9:9551034?:;k47a09~w1c1>3:1>v3;e959551034?:;h47a09~w1c1=3:1>v3;e949551034?:;i47a09~w1c1<3:1>v3;e979551034?:;n47a09~w1c1;3:1>v3;e969551034?:;447a09~w1c1:3:1>v3;e919551034?:;547a09~w1c193:1>v3;e909551034?:;:47a09~w1c183:1>v3;e939551034?:;;47a09~w1c2m3:1>v3;e6d9551034?:;>47a09~w1c2l3:1>v3;e6g9551034?:;<47a09~w1c2k3:1>v3;e6f9551034?:5;47a09~w1c2j3:1>v3;e6a9551034?:5847a09~w1c2i3:1>v3;e6`9551034?:5947a09~w1c213:1>v3;e6c9551034?:5>47a09~w1c203:1>v3;e6;9551034?:5?47a09~w1c2?3:1>v3;e6:9551034?:5<47a09~w1c2>3:1>v3;e659551034?:4h47a09~w1c2=3:1>v3;e649551034?:4=47a09~w1c0;3:1>v3;e819551034?:5=47a09~w1c0:3:1>v3;e809551034?:4k47a09~w1c093:1>v3;e839551034?:4i47a09~w1c083:1>v3;e829551034?:4n47a09~w1c1n3:1>v3;e9d9551034?:4o47a49~w1c1m3:15v3;e9g9551034?:4l47a09>141e21k970;>758;e4=:=82>65o>;<7235=g63ty?i;j50;cx91c?j3;;;:5250::>=g234?:4l47a39>141e21k870;>758;e7=:=82>65o=;<7235=g53ty?i;o50;0282b090:<:94=43;`?>f:27>=:758`08907><32j>63:1959=0h8018?63;:b6>;291<14l<4=43;f?>f<27>=:958`08907>:32j>63:1969;290<14l<4=43:4?>f:27>=:j58`08907>=32j>63:19d9;291o14l<4=434b?>f:27>=:?58`08907?832j>63:16g9;29>?14l=4}r6f1c<72:=p19k85;3332=:<0=;65o>;<6:13>:03m<524873>=g634>2?l47a09>14>c21k?70;>788;e1=:=83?65o;;<72<2=g334?:4;47a59>14>e21k:70;>768;e1=:=83965o;;<72<1=g334?:5;47a59>14?721k?70;>7e8;e1=:=83>65o;;<72525050>=g334?:4h47a59>141a21k?70;>708;e1=:=82;65o;;<723`n803m<5250:b>=g234?:;947a49>14>221k>70;>748;e0=:<1h365o<;<6;b<52482a>=g434>2=o47a29>0=`e21k870:7eb8;e6=:<0:o65o<;<6:5a:9c1?82>0=03m?524812>=g634>25i47a39~w1c2<3:1>hu24d57>460?27>=5j58`189070132j?63:1869;290914l=4=43;2?>f;27>=5l58`089070?32j?63:1809;291914l=4=43:2?>f;27>=4>58`189070l32j?63:1879;29>914l=4=43;a?>f;27>=:h58`189070932j?63:1929018?84;:b0>;291?14l:4=4341?>f<27?5hj58`1891?a?32j?63;8g;9;318h14l?4=5:ef?>f:27?4hm58`0891?7l32j>63;90f96}:87:?7`24=0h8017>53z?7`=e=99=<70:k738;e4=:9l>:69j92:p0a072908w0:k8`82421<5=n<=76n6:?2a17=0a1621k>70?j4087`0`o9h4?:2y>0a>?28:<;63;d639o;<47a29>5`262=n>h6s|4e7`>5<4s4>o4;4>065891b0932j=63>e5390a3d3ty?h8l50;1x91b?=3;;;:524e52>=g534;n8<4;d4`8yv2c=h0;6>u24e:7>460?27?h;k58`4894c393>o9l5rs5f6=?6=;r7?h5=51154?82c>l03m8521d62>1b212wx8i;7:18082c0;0:<:94=5f5a?>f<27:i9?54e7;?xu3l<=1<7=t=5f;5?77?>168i8j:9c0?87b<80?h894}r6g10<72:q68i9i:0243>;3l?o14l?4=0g75?2c=<1v9j:4;297~;3l>o1==98;<6g2`:5f60>{t=019j9d;:b2>;6m=;18i;<;|q7`04=838p19j8c;3332=:;|q7`1c=838p19j88;3332=:=838p19j61;3332=:;|q7`31=838p19j60;3332=:;|q7`1d=838p19j84;3332=:;3k<81i:j4}r6be3<728hp19m:1;605>;3k=l18>?4=5a7`?24927?o9l5423891e313>8=63;c559067<5=i?97:<1:?7305=0h<0199:5;:b2>;3?<=14l84=556=?>f>27?;8l58`489112l32j:63;74d9;3?>n14l84=554b?>f>27?;5?58`48911?;32j:63;7979;3ih21i:j4=5cb`?>f>2wx8n;?:18182d`1c3ty?o9k50;0x91e3l3>2i63;c5g9a2bh8n4?:3y>0f2e2=3n70:l4b8f3a=z{=i?m7>52z?7g1?=<0o019m;a;g4`>{t36=4={<6`02<31l168n:7:d5g?xu3k=<1<7m27?o985e6f8yv2a:10;6?u24g04>1?b34>m>44j7e9~w1`5j3:1>v3;f3c90;3mh=14l?4=405a?>f;27>>;658`6891`5k32j=6s|4dc;>5<38r7?ii85423891cc=3>8=63;ee69067<5=oo?7:<1:?7aa4=<:;019kk1;605>;3mm:18>?4=5g`b?24927?inj5423891cdk3>8=63;eb`9067<5=ohm7:<1:?7af?=<:;019kl8;605>;3mj=18>?4=5g`2?24927?in;5423891cd<3>8=63;eeg9067<5=ooh7:<1:?7aae=<:;019kkb;605>;3mmk18>?4=5gg=?24927?ii65423891cdm3>8=63;f349067<5=l997:<1:?7b72=<:;019h=3;605>;3n8218>?4=5d23?24927?j<;5423891`6<3>8=63;f019067<5=l:>7:<1:?7b47=<:;019h>0;605>;3n9l18>?4=5d3a?24927?j=j5423891`7k3>8=63;f1c9067<5=l;57:<1:?7b5>=<:;019h?7;605>;3n9<18>?4=5d31?24927?j=:5423891`7;3>8=63;f109067<5=l;=7:<1:?7b4c=<:;019h>d;605>;3n8i18>?4=5d2f?24927?j8=63;f049067<5=l;n7:<1:?7b56=<:;019kif;605>;3mh31i:j4=5gg3?2492wx8hlm:181<~;3mm<1==98;<6;eg=l03m>52484a>=g434>2;547a29>0=`d21k870:7bd8;e6=:<1oo65o<;<6:4`524`0f>=g434>j?l47a29>0d2121k870:n538;e6=:9l03m>5248;1>=g434>j>>47a29>021k870:69g8;e6=:<0hn65o<;<6:gg;103m>52486e>=g434>3hl47a29>0<`>21k870:6ag8;e6=:9j3>65o=;<3`=`l03m952534;>=g434>m>n47a39>0d6121k870:6838;e1=z{=oi:7>52z?7aa7=99=<70?l858;e7=z{=oi97>52z?7aa6=99=<70?l828;e7=z{=oi87>52z?7af`=99=<70?l838;e7=z{=oi?7>52z?7afb=99=<70?l818;e7=z{=oi>7>52z?7afe=99=<70?l958;e7=z{=oi=7>52z?7afd=99=<70?l928;e7=z{=oi<7>52z?7afg=99=<70?l938;e7=z{=ojj7>52z?7af?=99=<70?l908;e7=z{=oji7>52z?7af>=99=<70?l918;e7=z{=ojh7>52z?7af1=99=<70?l8g8;e7=z{=ojo7>52z?7af0=99=<70?l8d8;e7=z{=l8=7>52z?7b70=<0o019962;g4`>{t=01{t:d5g?xu3n;;1<716=n77:9c1?xu3n;l1<7m27?;4>5e6f8yv2a:90;6?u24g07>460?27:o4958`08yv2a:l0;69u24g00>1?b34>3:;47a49>0=0421k>70:88g8f3a=z{=l:j7>52z?7b75=99=<70?l978;e7=z{=l?m7>53z?7b4>=<0o019695;:b0>;3?hh1i:j4}r6e0<<72:q68k?8:5;f?82?>=03m95246c:>`1c3ty?j9950;1x91`6=3>2i63;8709f<27?;l?5e6f8yv2a<=0;6>u24g31>1?b34>39h47a59>02?a2l=o7p};f5194?5|5=l:=7:6e:?7<0b=0h>01996d;g4`>{t96=4<{<6e55<31l1685;l:9c7?8201k0n;i5rs5d75?6=;r7?j=h548g8911>i3om8=4?:2y>0c6b2=3n70:8988f3a=:<1?265o;;|q7b6`=839p19h?d;6:a>;3?021i:j4=5:6f<2wx8k=j:18082a8j0?5h5246;4>`1c34>39:47a59~w1`4k3:1?v3;f1c900q~:i3c83>6}::9c7?xu3n:k1<7=t=5d3m27?;oo5e6f891>0?32j86s|4g1:>5<4s4>m<:4;9d9>02d>2l=o70:7778;e1=z{=l847>53z?7b50=<0o0199m8;g4`>;30>?14l:4}r6e72<72:q68k>::5;f?820j>0n;i524957>=g33ty?j>850;1x91`7<3>2i63;7c49a2b<5=2h>6h9k;<6;37u24g22>1?b34>0=0721k?7p};f4394?5|5=l:i7:6e:?73g5=m>n019680;:b0>{to03m95rs5d7b?6=:r7?j5<5s4>m=o4;9d9>02d72l=o7p};f5f94?4|5=l:m7:6e:?73d`=m>n0q~:i4b83>7}:`1c3ty?j>j50;0x91`783>2i63;7849a2bm??4?:3y>0``a2=3n70:8958f3a=z{<;in7>548y>15d22=9370;?b4877d=:=9=:69=7;<72ff15634?;jo4;309>14g72=9:70;>028774=:=8k869=>;<7243<3;8169:512?8368=0??<5250c1>15634?:<84;309>14g32=9:70;>068774=:=8k>69=>;<724=<3;8169<76:512?837nj0??<5250c5>15634?:<44;309>14g02=9:70;>0`8774=:=83j69=>;<73ba<3;8169<7m:512?837nl0??<5250;f>15634?:<<4;309>14?d2=9:70;?fg8774=:=83o69=>;<7245<3;816915634?:m54;309>146e2=9:70;>b28774=:=8;=69=>;<72f4<3;816915634?:n94;309>14702=9:70;>b48774=:=8;369=>;<72f=<3;816915634?:n:4;309>147f2=9:70;>a`8774=:=8:o69=>;<72eg<3;8169<>j:512?836il0??<525032>15634?:mn4;309>146a2=9:70;>ae8774=:=8;;69=>;<72ec<3;816915634;n8?4:1c`894c393?:no5rs43g`?6==r7>n0q~;>db83>7}:=9h>6>55250:0>`1c3ty>=i650;0x906e=399;63:16d9a2b15d22:9o70;>7d8f3a=z{<;o:7>52z?64g3=;:h018?8d;g4`>{t=8n>6=4={<73f0<4;h169<9l:d5g?xu29m>1<7=:75e6f8yv36l:0;6?u251`6>65?34?:;54j7e9~w07c:3:1>v3:0c79761<5<;<;7k8d:p14b62909w0;?b48076=:=8==6h9k;|q65f`=838p18>m5;104>;29>91i:j4}r72g`<72;q69=l::205?836?80n;i5rs43``?6=:r7>>3o5<5s4?;n84i4g9>5`252<:jj6s|51cf>5<5s4?;n84i4d9>5`252<:ji6s|51cg>5<5s4?;n84i4e9>5`252<:jh6s|51c`>5<5s4?;n84i4b9>5`252<:jo6s|51ca>5<5s4?;n84i4c9>5`252<:jn6s|51cb>5<5s4?;n84i4`9>5`252<:jm6s|51c:>5<5s4?;n84i489>5`252<:j56s|51c;>5<5s4?;n84i499>5`252<:j46s|51c5>5<5s4?;n84i479>5`252<:j:6s|51c6>5<5s4?;n84i449>5`252<:j96s|51c7>5<5s4?;n84i459>5`252<:j86s|51c0>5<5s4?;n84i429>5`252<:j?6s|51c1>5<5s4?;n84i439>5`252<:j>6s|51c2>5<5s4?;n84i409>5`252<:j=6s|51c3>5<5s4?;n84i419>5`252<:j<6s|51;e>5<5s4?;n84i3g9>5`252<:2j6s|51;f>5<5s4?;n84i3d9>5`252<:2i6s|51;g>5<5s4?;n84i3e9>5`252<:2h6s|51;a>5<5s4?;n84i3c9>5`252<:2n6s|51;b>5<5s4?;n84i3`9>5`252<:2m6s|51;:>5<5s4?;n84i389>5`252<:256s|51;;>5<5s4?;n84i399>5`252<:246s|51;4>5<5s4?;n84i369>5`252<:2;6s|51;5>5<5s4?;n84i379>5`252<:2:6s|51;6>5<5s4?;n84i349>5`252<:296s|51;7>5<5s4?;n84i359>5`252<:286s|51;0>5<5s4?;n84i329>5`252<:2?6s|51;1>5<5s4?;n84i339>5`252<:2>6s|51;3>5<5s4?;n84i319>5`252<:2<6s|51:e>5<5s4?;n84i2g9>5`252<:3j6s|51:f>5<5s4?;n84i2d9>5`252<:3i6s|51:g>5<5s4?;n84i2e9>5`252<:3h6s|51:`>5<5s4?;n84i2b9>5`252<:3o6s|51:a>5<5s4?;n84i2c9>5`252<:3n6s|51:b>5<5s4?;n84i2`9>5`252<:3m6s|51::>5<5s4?;n84i289>5`252<:356s|51:;>5<5s4?;n84i299>5`252<:346s|51:4>5<5s4?;n84i269>5`252<:3;6s|51`7>5<5s4?;n84i559>5`252<:i86s|51`0>5<5s4?;n84i529>5`252<:i?6s|51`1>5<5s4?;n84i539>5`252<:i>6s|51`2>5<5s4?;n84i509>5`252<:i=6s|51`3>5<5s4?;n84i519>5`252<:i<6s|51c4>5<5s4?;n84i469>5`252<:j;6s|51;`>5<5s4?;n84i3b9>5`252<:2o6s|51;2>5<5s4?;n84i309>5`252<:2=6s|51:5>5<5s4?;n84i279>5`252<:3:6s|51:6>5<5s4?;n84i249>5`252<:396s|51`e>5<5s4?;n84<909>5`262<:ij6s|51`f>5<5s4?;n84<919>5`262<:ii6s|51`g>5<5s4?;n84<8g9>5`262<:ih6s|51``>5<5s4?;n84<8d9>5`262<:io6s|51`a>5<5s4?;n84<8e9>5`262<:in6s|51`b>5<5s4?;n84<8b9>5`262<:im6s|51`:>5<5s4?;n84<8c9>5`262<:i56s|51`;>5<5s4?;n84<8`9>5`262<:i46s|51a4>5<5s4?;n84<989>5`262<:h;6s|51a5>5<5s4?;n84<999>5`262<:h:6s|51a6>5<5s4?;n84<969>5`262<:h96s|51a7>5<5s4?;n84<979>5`262<:h86s|51a0>5<5s4?;n84<949>5`262<:h?6s|51a1>5<5s4?;n84<959>5`262<:h>6s|51a2>5<5s4?;n84<929>5`262<:h=6s|51a3>5<5s4?;n84<939>5`262<:h<6s|51`4>5<5s4?;n84<889>5`262<:i;6s|51`5>5<5s4?;n84<899>5`262<:i:6s|51g5>5<5s4?;n84jc69>5`262<:n:6s|51g6>5<5s4?;n84jc79>5`262<:n96s|51g7>5<5s4?;n84jc49>5`262<:n86s|51g0>5<5s4?;n84jc59>5`262<:n?6s|51g1>5<5s4?;n84jc29>5`262<:n>6s|51g2>5<5s4?;n84jc39>5`262<:n=6s|51g3>5<5s4?;n84jc09>5`262<:n<6s|51fe>5<5s4?;n84jc19>5`262<:oj6s|51ff>5<5s4?;n84jbd9>5`262<:oi6s|51fg>5<5s4?;n84jbe9>5`262<:oh6s|51f`>5<5s4?;n84jbb9>5`262<:oo6s|51fa>5<5s4?;n84jbc9>5`262<:on6s|51fb>5<5s4?;n84jb`9>5`262<:om6s|51f:>5<5s4?;n84jb89>5`262<:o56s|51f;>5<5s4?;n84jb99>5`262<:o46s|51f4>5<5s4?;n84jb69>5`262<:o;6s|51f5>5<5s4?;n84jb79>5`262<:o:6s|51f6>5<5s4?;n84jb49>5`262<:o96s|51f7>5<5s4?;n84jb29>5`262<:o86s|51f0>5<5s4?;n84jb39>5`262<:o?6s|51f1>5<5s4?;n84jb09>5`262<:o>6s|51f2>5<5s4?;n84jb19>5`262<:o=6s|51f3>5<5s4?;n84jag9>5`262<:o<6s|51ae>5<5s4?;n84jad9>5`262<:hj6s|51af>5<5s4?;n84jae9>5`262<:hi6s|51ag>5<5s4?;n84jab9>5`262<:hh6s|51a`>5<5s4?;n84jac9>5`262<:ho6s|51aa>5<5s4?;n84ja`9>5`262<:hn6s|51ab>5<5s4?;n84ja99>5`262<:hm6s|51a:>5<5s4?;n84ja69>5`262<:h56s|51a;>5<5s4?;n84ja79>5`262<:h46s|504;>5<5s4?;n84ja49>14g?2=3n7p}:17594?4|5<:i97kn4:?65d?=<0o0q~;>6783>7}:=9h>6h7j;<72f4<31l1v8?95;296~;28k?1i484=43a6?2>m2wx9<8<:181837j<0n4k5250`0>1?b3ty>=;<50;0x906e=3o3;63:1c69015d22l2870;>b487=`=z{<;=<7>52z?64g3=ml3018?m6;6:a>{t=8?m6=4={<73f0=o6548g8yv36=m0;6?u251`6>`b634?:ml4;9d9~w072k3:1>v3:0c79af?<5<;jn7:6e:p143e2909w0;?b48ffc=:=8kh697j;|q650g=838p18>m5;ga0>;29hn184k4}r721=<72;q69=l::dc:?836il0?5h5rs4363?6=:r7>2i6s|5075>5<5s4?;n84j809>14d72=3n7p}:1ba94?4|5<:<=7==d:?65<3=m>n0q~;>cc83>7}:=9=:6>;1??l4=43:7?c0l2wx9l5250;1>`1c3ty>=n650;0x90609399563:1839a2b15162:8370;>8d8f3a=z{<;h:7>52z?6427=;;=018?70;g4`>{t=8o?6=4={<7334<4;m169<7?:d5g?xu29l91<7=5h5e6f8yv36m;0;6?u25152>65f34?:4i4j7e9~w07b93:1>v3:063976?<5<;3o7k8d:p14c72909w0;?70807==:=82i6h9k;|q65a`=838p18>81;103>;291k1i:j4}r72``<72;q69=9>:210?836000n;i5rs43gf?6=:r7><:?53228907?=3o5<5s4?;;<4<279>14122l=o7p}:1b794?4|5<:<=7==4:?6522=m>n0q~;>2483>7}:=9=:6>7>;<3f07<29;?0q~;>2583>7}:=9=:6>7?;<3f07<29;>0q~;>2283>7}:=9=:6>6i;<3f07<29;90q~;>2383>7}:=9=:6>6j;<3f07<29;80q~;>2083>7}:=9=:6>6k;<3f07<29;;0q~;>2183>7}:=9=:6>6l;<3f07<29;:0q~;>1g83>7}:=9=:6>6m;<3f07<298l0q~;>1d83>7}:=9=:6>6n;<3f07<298o0q~;>2e83>7}:=9=:6>76;<3f07<29;n0q~;>2b83>7}:=9=:6>77;<3f07<29;i0q~;>2c83>7}:=9=:6>78;<3f07<29;h0q~;>2`83>7}:=9=:6>79;<3f07<29;k0q~;>2883>7}:=9=:6>7:;<3f07<29;30q~;>2983>7}:=9=:6>7;;<3f07<29;20q~;>2683>7}:=9=:6>7<;<3f07<29;=0q~;>2783>7}:=9=:6>7=;<3f07<29;<0q~;>1e83>7}:=9=:6>66;<3f07<298n0q~;>1b83>7}:=9=:6>67;<3f07<298i0q~;>4b83>7}:=9=:6hm8;<3f07<29=i0q~;>4c83>7}:=9=:6hm9;<3f07<29=h0q~;>4`83>7}:=9=:6hm:;<3f07<29=k0q~;>4883>7}:=9=:6hm;;<3f07<29=30q~;>4983>7}:=9=:6hm<;<3f07<29=20q~;>4683>7}:=9=:6hm=;<3f07<29==0q~;>4783>7}:=9=:6hm>;<3f07<29=<0q~;>4483>7}:=9=:6hm?;<3f07<29=?0q~;>4583>7}:=9=:6hlj;<3f07<29=>0q~;>4283>7}:=9=:6hlk;<3f07<29=90q~;>4383>7}:=9=:6hll;<3f07<29=80q~;>4083>7}:=9=:6hlm;<3f07<29=;0q~;>4183>7}:=9=:6hln;<3f07<29=:0q~;>3g83>7}:=9=:6hl6;<3f07<29:l0q~;>3d83>7}:=9=:6hl7;<3f07<29:o0q~;>3e83>7}:=9=:6hl8;<3f07<29:n0q~;>3b83>7}:=9=:6hl9;<3f07<29:i0q~;>3c83>7}:=9=:6hl:;<3f07<29:h0q~;>3`83>7}:=9=:6hl<;<3f07<29:k0q~;>3883>7}:=9=:6hl=;<3f07<29:30q~;>3983>7}:=9=:6hl>;<3f07<29:20q~;>3683>7}:=9=:6hl?;<3f07<29:=0q~;>3783>7}:=9=:6hoi;<3f07<29:<0q~;>3483>7}:=9=:6hoj;<3f07<29:?0q~;>3583>7}:=9=:6hok;<3f07<29:>0q~;>3283>7}:=9=:6hol;<3f07<29:90q~;>3383>7}:=9=:6hom;<3f07<29:80q~;>3083>7}:=9=:6hon;<3f07<29:;0q~;>3183>7}:=9=:6ho7;<3f07<29::0q~;>2g83>7}:=9=:6ho8;<3f07<29;l0q~;>2d83>7}:=9=:6ho9;<3f07<29;o0q~;>5483>7}:=9=:6ho:;<72=2<31l1v8?:4;296~;28>;1il:4=43:m2wx9<;<:181837?80n5h5250c3>1?b3ty>=8<50;0x906093o2:63:1`39015162l2m70;>a387=`=z{<;><7>52z?6427=m1=018?n3;6:a>{t=8>m6=4={<7334=l;548g8yv36>m0;6?u25152>`c734?:m;4;9d9~w071k3:1>v3:0639aag<5<;j;7:6e:p140e2909w0;?708f`4=:=832697j;|q653g=838p18>81;g`=>;290k184k4}r722<<72;q69=9>:d`e?8361k0?5h5rs4350?6=:r7><:?5ec68907>k3>2i6s|507:>5<5s4?;;<4ja89>14?c2=3n7p}:15g94?4|5<:<=7k72:?654e83>7}:=9=:6h6>;<72=c<31l1v8?m9;290~;29j:185j4=43`5?2?l27>=n<549f8907ei3o5<5s4?:o=4>0658907d93>2i6s|50`f>5<5s4?:o<4>0658907d:3>2i6s|50`e>5<5s4?:o?4>0658907d<32j86s|23:1>5<4s489m=4;9d9>67?a2=3n70<=828f3a=z{;8j<7>52z?16d6=99=<70;=128;e7=z{;82j7>53z?16<`=99=<70<=858;e7=::;2865o9;|q16=?=838p1?<67;6:a>;5:1k1i:j4}r01=2<72=q6>?78:0243>;5:k314l84=30a0?>f9279>o<58`68yv450k0;6>u223;f>1563489554;309>67>d2l=o7p}=28g94?5|5;82i7??769>67>321k870=na78;e0=z{;8247>52z?16<>=99=<70=na58;e0=z{;83h7>56z?16=`=<:;01?<66;605>;5:0?18>?4=30:0?249279>4754238974?m3o5<4s4894k4>0658974?>32j>63=3dd94?:2y>67?128:<;63=3d:906}::;3?6<>87:?17`0=<0o01>o7e;:b1>{t:;326=4=5z?16?mm:9c0?845kl03m>5223ag>=g43489ok47a29>67b721k870<=d08;e6=::;n965o<;<01`6?j;:9c0?845l<03m>5223f5>=g43489h:47a29>67b>21k870<=d98;e6=::;nj65o<;<01`g?jl:9c0?845lm03m>5223ff>=g43489hk47a29>67c721k870<=e08;e6=::;o965o<;<01a1?k<:9c0?845m<03m>5223g5>=g43489i:47a29>67c?21k870<=e88;e6=::;oj65o<;<1be7?l8:9c7?xu5:1=1<7=t=30:`?249279>4m54238974?03o5<2s4895i4>0658974f032j?63=2`a93}::;3h6<>87:?16d>=0h801?;5:h<14l<4=30a4?>f9278m:h58`78yv469;0;6>u22012>1?b348:?=4;9d9>64742l=o7p}=12394?4|5;;8=7??769>177421k87p}=12294?5|5;;8<7??769>647321k970<>128;e3=z{;;:m7>52z?157>=<0o01??>b;g4`>{t:8836=4;{<026=<68>=01??;a;:b2>;59=?14l?4=3377?>f<2wx>156348:=i4j7e9~w775n3:1?v3=13d95510348:=947a29>7d1c21k?7p}=13;94?4|5;;957??769>7d1f21k?7p}=10g94?0|5;;9<7:<1:?1571=<:;01??=6;605>;59;?18>?4=331e?249279=u22003>460?279>5>58`0896g0<32j86s|2007>5<4s48:>:4>0658974013>2i63>4?:2y>644128:<;63=26:902482421<5;8<;7:6e:?0e04=0h<0q~<>2`83>73|5;;9m7??769>643f2=3n70<>448;e7=::8?o65o<;<021f<;i:9c0?846=l03m>522043>=g4348::<47a29>640521k870<>628;e6=::8<89:9c0?846>>03m>52204;>=g4348::l47a29>640>21k870<>6c8;e6=::8<8j:9c0?846>o03m>522053>=g4348:;<47a29>641521k870<>728;e6=::8=>65o<;<0231<99:9c0?846?>03m>52205;>=g4348:;447a29>641f21k870<>7c8;e6=::8>365o;;<1b328;297~;59;o18>?4=331`?249279=<75e6f8yv46:j0;68u2200f>460?279=<958`089774132j?63=12f92e82421<5;;::76n2:?156?=0h801??;59:=14l<4=3375?>f92wx><=l:181846=>0?5h52201g>`1c3ty9=9750;5x9772?3>8=63=1449067<5;;>97:<1:?1502=<:;01??:3;605>;59<818>?4=337e?c0l2wx><;>:185846=>0:<:94=337f?>f:279=>j58`789773i32j863=23;95787=`=::8926h9k;|q1506=83=p1??:6;3332=::8>i65o<;<027<<=k:9c7?84652230:>=g1348:ni47a39~w774>3:1>v3=147905482421<5;;8m76n1:?1561=0h90q~<>3483>7}::8??697j;<02051==98;<027d<=8:9c5?846<903m?5rs3300?6=:r79=8=548g89774n3o5<2s48:9>4>06589774i32j?63=12590q~<>3283>7}::8?9697j;<027`<=8:9c7?846;o03m?522063>=g4348:?h47a09~w77em3:1>v3=14`905c8774=::8?26h9k;|q1511=839p1??:a;6;`>;59==14l<4=337<:=:180846=h0??<522060>`1c348:ni47a09~w772i3:1>v3=14c95510348:8:47a09~w77a?3:1>v3=20:904;605>;5:8918>?4=3026?249279>8=63=21d9067<5;8;i7:<1:?165b=<:;01?;5:9k18>?4=303=?249279>=6542389747?3>8=63=2149067<5;8;97:<1:?1652=<:;01?;5:9818>?4=3035?249279>8=63=20a9067<5;8:n7:<1:?164g=<:;01?<>9;605>;5:8<18>?4=303f?249279>=>54238977an3>8=63=1579a2b677?28:<;63=153967702=3n70<>6b8f3a=z{;;o:7>52z?1641=99=<70<>6e8;e3=z{;;m87>52z?1643=<0o01??99;g4`>{t:8n?6=4={<0150<68>=01??9c;:b2>{t:8l86=4={<0151<31l16><87:d5g?xu59m91<716><86:9c5?xu59o81<7m279=;95e6f8yv46l;0;6?u22330>460?279=;658`48yv46n80;6?u22331>1?b348::;4j7e9~w77c93:1>v3=20095510348:::47a79~w77a83:1>v3=203900;3332=::8<>65o9;|q15`c=838p1?;59?91i:j4}r02g`<72:q6>?>i:0243>;59?>14l84=3375?>f=2wx>`1c3ty9=nj50;0x9747m3;;;:522040>=g13ty9=hm50;0x9747l3>2i63=1739a2b676c28:<;63=1709676d2=3n70<>618f3a=z{;;hn7>52z?165e=99=<70<>608;e3=z{;;n57>52z?165g=<0o01??:c;g4`>{t:8i26=4={<014d<68>=01??90;:b2>{t:8o36=4={<014<<31l16><9m:d5g?xu59j21<716><;l:9c5?xu59l=1<7m279=:o5e6f8yv46k>0;6?u2232;>460?279=:l58`48yv46m?0;6?u22324>1?b348:;44j7e9~w77d>3:1>v3=21595510348:;l47a79~w77b=3:1>v3=21490e583>7}::;:>697j;<0232184k4=3342?c0l2wx>f>2wx>`1c3ty9=n<50;0x9747;3;;;:522055>=g13ty9=h?50;0x9747:3>2i63=17c9a2b676528:<;63=167967662=3n70<>5g8f3a=z{;;h<7>52z?1657=99=<70<>6`8;e3=z{;;mi7>52z?164c=<0o01??84;g4`>{t:8nn6=4={<015`<68>=01??:f;:b2>{t:8lo6=4={<015a<31l16><9<:d5g?xu59mn1<716><9;:9c5?xu59oi1<7m279=:<5e6f8yv46lj0;6>u2233`>460?279=:=58`489773932j:6s|20da>5<5s489=o4;9d9>64162l=o7p}=1e`94?4|5;8:n7??769>641521k=7p}=1gc94?4|5;8:m7:6e:?1526=m>n0q~<>d`83>7}::;;j6<>87:?1527=0h<0q~<>f883>7}::;;2697j;<022cf>2wx>`1c3ty9=i;50;0x9747j3;;;:52204f>=g13ty9=ho50;0x974783>2i63=14g9a2b676728:<;63=17`964`a2=3n70<>5e8f3a=z{;;ij7>52z?15c`=99=<70<>5d8;e3=z{;;2?7>53z?15g3=<0o01??m4;3332=:;h3o65o9;|q1510=838:w0<>b48774=::8h?69=>;<02f7<3;816>:512?846j90??<5220ce>156348:mh4;309>64gc2=9:70<>ab8774=::8ki69=>;<02ed<3;816>0??<5220c5>156348:m84;309>64g32=9:70<>a28774=::8k969=>;<02e4<3;816>156348:no4;309>64df2=9:70<>b88774=::8h369=>;<02f2<3;816>156348:5i4;309>64?d2=9:70<>468f3a=z{;;287>52z?15g3=99=<70=n9d8;e3=z{;;2=7>53z?15g2=<0o01??m2;3332=:;h3j65o9;|q15<6=839p1??m2;6:a>;59k;1==98;<1b=<16?l78:9c5?xu591o1<7=t=33a4?2>m279=lh51154?85f1?03m;5rs33;`?6=;r79=lh548g8977fm3;;;:523`;6>=g13ty9=5m50;1x977fm3>2i63=1`f95510349j5947a79~w77?j3:1?v3=1`f907d?421k=7p}=19c94?5|5;;jo7:6e:?15dd=99=<70=n938;e3=z{;;357>53z?15dd=<0o01??na;3332=:;h3:65o9;|q15=>=839p1??na;6:a>;59h31==98;<1b=516?ll>:9c5?xu591?1<7=t=33b3?2>m279=l851154?85fj903m;5rs33;0?6=;r79=l8548g8977f=3;;;:523`cf>=g23ty9=5=50;1x977f=3>2i63=1`695510349jmn47a49~w77?:3:1?v3=1`6907dge21k>7p}=19394?5|5;;j?7:6e:?15d4=99=<70=na`8;e0=z{;;3<7>53z?15d4=<0o01??n1;3332=:;hk:65o:;|q152`=839p1??n1;6:a>;59h:1==98;<1b==16?l6k:9c6?xu59>n1<7=t=33:b?2>m279=4k51154?85f0j03m<5rs33:f?6=;r79=4k548g8977ej3;;;:522034>=g43ty9=4o50;1x977ej3>2i63=1cc95510348:=;47a29~w77>13:1?v3=1cc907d1c21k97p}=18:94?5|5;;i57:6e:?15g>=99=<70=n7`8;e7=z{;;2;7>53z?15g>=<0o01??m7;3332=:;h=<65o=;|q15<0=839p1??m7;6:a>;59k<1==98;<1b3116?l9>:9c1?xu59081<7=t=33a7?2>m279=l651154?85f>h03m85rs33;3?6=;r79=l6548g8977>l3;;;:523`71>=g23ty9=:m50;1x977>l3>2i63=18a9551034?93:1>v3=18a90v3=2b49069=>;<01g1<3;816>?m<:512?845k;0??<5223a2>1563489n44j7e9~w74d83:1:v3=2b4955103489nl47a39>67gd21k>70<=b88;e1=:::3365o<;<006f?li:184845k<0:<:94=30ae?>f;279>l658`68974fk32j863=2c;97}::;i?697j;<01e31==98;<01e<?o9:9c0?xu5:h>1<7m279>lh5e6f8yv45jm0;69u223a0>460?279>l758`68974f>32j:63=2`d94?:3y>67e52=3n70<=ad8f3a=z{;8io7>55z?16f4=99=<70<=a88;e6=::;k=65o:;<01e`?oi:9c7?xu5:h81<7m279>lj5e6f8yv45jk0;6;u223a2>460?279>l758`08974f>32j863=2`g97}::;ij697j;<01f5?4=30`?mn:1805~;5:jk1==98;<35ac=g234;=h:47a49>53b621k>70?9cc8;e0=:9?i>65o:;<35fc=g234;=m:47a49>53g621k>70?99c8;e0=:9?3>65o:;<35=g234;=;:47a49>531621k>70?96c8;e0=:9?<>65o:;<351c=g234;=8:47a49>532621k>70?93c8;e0=:9?9>65o:;<356c=g234;==:47a49>537621k>70?90c8;e0=:9?:>65o:;<36bc=g234;>i:47a49>50c621k>70?:dc8;e0=:9?l365o:;|q16g0=839p1?;5:k<14l<4=30a3?c0l2wx>?l>:180845k00??<5223`1>`1c3488>n47a09~w74d13:1>v3=2b;955103489n;47a09~w751>3:1>v3=39590;5;1818>?4=31;5?249279?5>542389750n3>8=63=36g9067<5;9;5;>318>?4=3143>8=63=3679067<5;9<87:<1:?1725=<:;01?=82;605>;5;>;18>?4=3144?249279?5j54238975?k3>8=63=39`9067<5;93m7:<1:?17=?=<:;01?=78;605>;5;1?18>?4=314e?249279?;h542389751m3>8=63=2c69a2b66>028:<;63=2c2966>12=3n70<=dc8f3a=z{;9?97>52z?17=0=99=<70<=db8;e3=z{;9=?7>52z?17=2=<0o01?{t::>86=4={<00<1<68>=01?{t::<96=4={<00<6<31l16>?j8:d5g?xu5;=81<716>?j7:9c5?xu5;?;1<7m279>i85e6f8yv44<80;6?u222:1>460?279>i958`48yv44>90;6?u222:2>1?b3489h84j7e9~w75383:1>v3=393955103489h;47a79~w752n3:1>v3=39290;5:m81i:j4}r007a<72:q6>>9j:0243>;5:m914l84=30a4?>f=2wx>>;l:181844?m0?5h5223f2>`1c3ty9?>m50;0x9750l3;;;:5223f1>=g13ty9?8l50;0x9750k3>2i63=2e29a2b661d28:<;63=2e39661e2=3n70<=cg8f3a=z{;98m7>52z?172d=99=<70<=d18;e3=z{;9>47>52z?172?=<0o01?{t::936=4={<003<<68>=01?{t::?<6=4={<003=<31l16>?kn:d5g?xu5;:=1<716>?mm:9c5?xu5;<<1<7m279>h75e6f8yv44;?0;6?u22254>460?279>ho58`48yv44=<0;6?u22255>1?b3489i54j7e9~w754=3:1>v3=364955103489i447a79~w752<3:1>v3=367907}:::=?697j;<01a3>1==98;<01a29184k4=30f1?c0l2wx>>==:181844?:0:<:94=30f2?>f>2wx>>;>:181844?;0?5h5223g7>`1c3ty9?>?50;0x9750:3;;;:5223g6>=g13ty9?8>50;0x975093>2i63=2e;9a2b661628:<;63=2d6966172=3n70<=cd8f3a=z{;99j7>52z?1726=99=<70<=d88;e3=z{;9=h7>52z?17=b=<0o01?{t::>o6=4={<00=01?{t::?k=:d5g?xu5;=i1<716>?k<:9c5?xu5;?h1<7m279>h?5e6f8yv44u222:a>460?279>h<58`48974e832j:6s|224b>5<5s4884l4;9d9>67c72l=o7p}=35c94?4|5;93m7??769>67c621k=7p}=37;94?4|5;9357:6e:?16a`=m>n0q~<<4883>7}:::226<>87:?16`6=0h<0q~<<6983>7}:::23697j;<01``>:8:1818440<0:<:94=30ga?>f>2wx>>8;:181844?h0?5h5223fb>`1c3ty9?9:50;0x9750i3;;;:5223fg>=g13ty9?8750;0x9751n3>2i63=2bf9a2b660a28:<;63=2ec9660b2=3n70<=cb8f3a=z{;99i7>52z?173c=99=<70<=ce8;e3=z{;9;>7>53z?1772=<0o01?==3;3332=:;h;<0064<3;816>>1563488=i4;309>667d2=9:70<<1c8774=:::;j69=>;<005<<3;816>>?7:512?8449?0??<522236>1563488=94;309>66742=9:70<<138774=:::;:69=>;<0055<3;816>>>i:512?8448l0??<52222g>1563488>l4;309>664>2=9:70<<298774=:::8<69=>;<0063<3;816>><::512?844:;0??<522234>1563488666e2=9:70<=b78f3a=z{;9;?7>52z?1772=99=<70=n6g8;e1=z{;9;<7>53z?1775=<0o01?==1;3332=:;h<365o;;|q16c`=839p1?==1;6:a>;5;;:1==98;<1b2016?l8<:9c7?xu5:on1<7=t=312b?2>m279?803m95rs30eg?6=;r79?=g33ty9>kl50;1x9756l3>2i63=30a95510349j9i47a59~w74ai3:1?v3=30a907d3e21k?7p}=2g;94?5|5;9:n7:6e:?174g=99=<70=n588;e1=z{;8m47>53z?174g=<0o01?=>9;3332=:;h?<65o;;|q16c1=839p1?=>9;6:a>;5;821==98;<1b1016?l6m:9c7?xu5:o>1<7=t=3122?2>m279?<;51154?85f0003m95rs30e7?6=;r79?<;548g89756<3;;;:523`:4>=g33ty9>k<50;1x9756<3>2i63=30195510349j4847a59~w74a93:1?v3=301907??769>7d>421k87p}=2g294?5|5;9:>7:6e:?1747=99=<70=n838;e6=z{;8nj7>53z?1747=<0o01?=>0;3332=:;h2;65o<;|q16`c=839p1?=>0;6:a>;5;9l1==98;<1b2316?l;?:9c0?xu5:li1<7=t=313a?2>m279?=j51154?85f5rs313e?6=;r79?=j548g89755i3;;;:523`:2>=g43ty9?=750;1x9755i3>2i63=33;95510349j;k47a29~w75703:1?v3=33;907dg121k87p}=31594?5|5;9947:6e:?1771=99=<70=na58;e6=z{;9;:7>53z?1771=<0o01?==6;3332=:;hk965o<;|q1753=839p1?==6;6:a>;5;;?1==98;<01<3169?=9:9c6?xu5;9;1<7=t=3116?2>m279?<951154?85f1k03m>5rs30e2?6=;r79?<9548g89757k3;;;:523`:f>=g43ty9>hl50;1x9757k3>2i63=31`9551034?9>=47a49~w4e0:3:1>v3=31`90:7k8d:p671a2909w0<=7d87=`=::;2;6h9k;|q1670=838p1?<8e;605>;5:;=1i:j4}r0167<72;q6>??;59=?14l=4=336`?>f<279=8m58`689772n32j863=14g901??92;:b0>;59?914l:4=3350?>f<279=;;58`689771>32j863=175901??99;:b0>;59?h14l:4=335g?>f<279=;j58`689771m32j863=17d901??82;:b0>;59>914l:4=3341?>f<279=::58`689770>32j863=165901??8a;:b0>;59>h14l:4=337f>279>5>58`389745?32j?6s|2303>5<5s489>h4;9d9>67462l=o7p}=23g94?4>s489>h4>06589773j32j863=15c9;59f:279=;>58`089771932j>63=1709;59?<14l<4=3353?>f:279=;658`089771i32j>63=17;9;59?o14l<4=335b?>f:279=:>58`089770932j>63=1609;59><14l<4=3343?>f:279=:658`089770132j>63=16c9;5:;314l:4=33a`?>f=279>?958`08yv45:=0;6?u2230`>1?b3489>84j7e9~w745i3:1>v3=23a9067<5;89n7k8d:p674d290?w0<=2b82421<5;89976n1:?1677=0h;01?<=3;:b5>{t:;=h6=4={<013d<31l16>?9k:d5g?xu59;;1<76t=304e?249279>:l548g89773j3o;5:1:14l=4}r013d<7283p1?<8a;3332=::;=9696k;<0134<30m16>?9?:5:g?845>o0?4i52234f>1>c3489:i4;8e9>670d2=2o70<=6087?8<:5:g?845>=0?4i522341>1>c34899k4;8e9>673328:=i63=24790=b<5;8>:7:7d:?1601=<1n01?<:8;6;`>;5:<3185j4=306e?2?l279>8l549f89742k3>3h63=24f90=b671e28:<;63=237901?<=3;:b0>;5:;314l?4=304`?>f92wx>?<7:187845?00??<52235;>1563489;:4;309>674>2l=o7p}=26494?0|5;8<57??769>672a21k970<=518;e1=::;?:65o>;<0117?;<:9c1?xu5:>?1<7:t=30416>?:i:9c2?845=;03m?522370>=g43ty9>::50;1x9740?3;;;:522373>=g634899?47a09~w75bm3:1>v3=3df90;6>l814l;4=04gg?>f=27::i858`78940c832j963>6bc9;6>k814l;4=04bg?>f=27::l858`78940f832j963>68c9;6>1814l;4=044g?>f=27:::858`789400832j963>67c9;6><814l;4=047g?>f=27::9858`789403832j963>62c9;6>;814l;4=042g?>f=27::<858`789406832j963>61c9;6=o814l;4=07fg?>f=27:9h858`78943b832j963>5ec966?d2=3n70<<938f3a=z{;92o7>524y>66?d28:<;63=2c6901?;5:jn14l:4=30`b?>f<279>i>58`68974c932j863=2e0901?;5:m<14l:4=30g3?>f<279>i758`68974c032j863=2ec901?;5:mo14l:4=30gb?>f<279>h>58`68974b932j863=2d0901?;5:l<14l:4=30f3?>f<279>h658`68974b132j863=2dc9{t::2m6=4={<00=a<31l16>>7?:d5g?xu5;0n1<7<6{<00=a<68>=01?;5:k314l<4=30a0?>f<279>nm58`08974dj32j>63=2bg9;5:m;14l<4=30g6?>f:279>i=58`08974c<32j>63=2e79;5:m214l<4=30ge?>f:279>il58`08974ck32j>63=2ef9;5:l;14l<4=30f6?>f:279>h:58`08974b;32j>63=2d79;5:l314l<4=30fe?>f:279>n658`08974e?32j963=38:97}:::3i697j;<00=1?4=31:e?c0l2wx>>7m:1878441k0:<:94=31:0?>f9279?4>58`38975>:32j=6s|22ga>5<5s488i44;9d9>66cd2l=o7p}=28294?>|5;9n57:<1:?17`g=<0o01?;5;0>14l<4=31:e?>f9279?4>58`18975>:32j?63=3dd91>c3488i=4;8e9>66ba2=2o70<>jm:5:g?844l90?4i5222ag>1>c3488ok4;8e9>66b52=2o70<>m<:025a>;5;j>185j4=31`1?2?l279?n8549f8975d?3>3h63=3b:90=b<5;9h57:7d:?17fg=<1n01?=lb;6;`>;5;ji185j4}r00ad<72>q6>>kn:0243>;5;0>14l=4=31:e?>f:279?4>58`68975>:32j863=38:9;<00a3<3;816>>77:d5g?xu5;l?1<78t=31f16>>lj:9c1?844jo03m95222a3>=g63488o<47a59>66e521k97p}=3d694?2|5;9n;7??769>66db21k:70<;<00g4m279?nm51154?xue15e3488mh4m8c9~wg2d2909w0<;5;ho1==o7;<00gg<68>=0q~<6}:::hj69=m;<00e`<3;k16>>ol:c:a?xueg>e3488oo4;9d9~w75di3:18v3=3c;9=47<5;9jo7??a99>66c72=3n70<66d>2=9i70<>l6:c:a?844kh0?5h5rs31`=?6=;r79?o659038975fi3;;m55222a:>460?2wx>>o7:180844j10??o5222cb>15e3488m54m8c9~wg2?2909w0<=83>p1?=m7;;25>;5;h21==o7;<00`c<31l16>>m7:0243>{t::k=6=4<{<00f2<3;k16>>o7:51a?844i?0i4o5rsc64>5<5s488n:4m8c9>66e?2=3n7p}=3b594?5|5;9i:77>1:?17d0=99k370<66d12=9i70<>l9:c:a?844k>0?5h5rs31`2?6=1?b3488o;4>0658yv44i;0;6>u222`6>15e3488m94;3c9>66g52k2i7p}m4483>7}:::h>6o6m;<00g3<31l1v?=l5;290~;5;k>15>jk:5;f?844k<0:<:94}r00e5<72:q6>>l;:51a?844i;0??o5222c3>g>e3tyi894?:3y>66d32k2i70<54z?17g5=18;01?=n0;33e==:::nh697j;<00g1<68>=0q~<<9g83>6}:::h869=m;<00e5<3;k16>>7i:c:a?xue<:0;6?u222`0>g>e3488o94;9d9~w75e83:1?v3=3c09=47<5;92j7??a99>66d62l=o7p}m4383>7}:::h96o6m;<00g6<31l1v?=ka;297~;5;l;1==98;<00ga<31l16>>m?:9c6?xu5;m31<7=t=31f4?77?>16>>mi:5;f?844jl03m;5rs31g=g23ty9?i950;1x975cm3;;;:5222af>1?b3488nh47a29~w75c>3:1?v3=3ef955103488h>4;9d9>66e721k87p}=3e794?5|5;9oo7??769>66b62=3n70<53z?17ad=<0o01?=l3;3332=:::h:65o>;|q17a2=839p1?=kb;3332=:::n;697j;<00g7m279>8j51154?xue=?0;6?u2236`>15e3489?k4m8c9~wg302909w0<=4b8a;5::l1==o7;<011f<68>=0q~<=3e83>6}::;>i69=m;<017c<3;k16>?=k:c:a?xue=<0;6?u2236a>g>e34899n4;9d9~w742j3:18v3=25c9=47<5;88h7??a99>67162=3n70<=5c82421672f2=9i70<=3e877g=::;9i6o6m;|qa11<72;q6>?:n:c:a?845=k0?5h5rs306e?6=;r79>97590389744j3;;m552237b>460?2wx>?=6:180845<00??o52231a>15e3489?44m8c9~wg342909w0<=488ap1?<;8;;25>;5::31==o7;<0135<31l16>?;6:0243>{t:;9<6=4<{<010=<3;k16>?=6:51a?845;>0i4o5rsc71>5<5s489854m8c9>673>2=3n7p}=24:94?5|5;8?;77>1:?1661=99k370<=598242167202=9i70<=36877g=::;9>6o6m;|qa14<72;q6>?:8:c:a?845=10?5h5rs3063?6=98590389744=3;;m552234e>1?b34899:4>0658yv45;:0;6>u22365>15e3489?84;3c9>67542k2i7p}m5183>7}::;>=6o6m;<0112<31l1v?<:6;290~;5:=?15?8j:5;f?845=?0:<:94}r0174<72:q6>?:::51a?845;:0??o522312>g>e3tyi8k4?:3y>67222k2i70<=5787=`=z{;8>97>54z?1612=18;01?<<1;33e==::;=0q~<=3183>6}::;>?69=m;<0174<3;k16>?=?:c:a?xueg>e3489984;9d9~w74393:1?v3=2519=47<5;88<7??a99>67252l=o7p}m4e83>7}::;>86o6m;<0111<31l1v?<9b;297~;5:>81==98;<011`<31l16>?;>:9c6?xu5:?k1<7=t=3045?77?>16>?8?:5;f?845:>51154?845>:0?5h522371>=g23ty9>;650;1x9741n3;;;:52237e>1?b34898k47a29~w741?3:1?v3=27g955103489:94;9d9>673621k87p}=27494?5|5;8=h7??769>67052=3n70<=518;e6=z{;8>87>53z?163e=<0o01?<:4;3332=::;>965o>;|q1633=839p1?<9c;3332=::;<:697j;<01161==98;<011479:187824kl0??<5242ag>15634>8on4;309>06?02l=o7p};3b`94?5|5=9hi7??769>06?221k:70:;0c8;e4=z{=92=7>52z?77fb=<0o019=64;g4`>{t<:ij6=4;{<60ga<68>=019=65;:b6>;3;0>14l<4=563f?>f:2wx8>7?:181824kj0?5h5242;0>`1c3ty??n750;7x915dk3;;;:5242;6>=g434>85947a09>06?421k:70:;0c8;e6=z{=>;;7>52z?7050=<0o019:?8;g4`>{t<=:=6=4m{<6743<68>=019=65;:b0>;3<9214l?4=51:0?>f;27??4=58`089127i32j=63;3d29;3;j214l:4}r60b0<72;q689><:5;f?824n00n;i5rs51ee?6==r7?8==542389127:3>8=63;4139067<5=>;<7:<1:?77cd=m>n0q~:6}:<=:86<>87:?77c?=0h9019:?5;:b7>{t<:l?6=4={<6747<31l168>h7:d5g?xu3;oo1<7:t=5636?77?>168>h7:9c0?824n003m;524526>=g23ty??k=50;0x912793>2i63;3g59a2b8ji4?:4y>016628:<;63;3g59{t<:l96=4={<6745<31l168>h9:d5g?xu3;oi1<7;t=5634?77?>168>h8:9c0?824n103m95242d:>=g334>8j;47a09~w127i3:1>v3;41;90;m7k8d:p016>2903w0:;0882421<5=>;476n4:?705g=0h8019=j0;:b1>;3;0h14l?4=51:3?>f<27??4758`78915d032j96s|45`a>5<>s4>?i?4;309>01c42=9:70:;e58774=:<=o>69=>;<67a3<3;81689k8:512?823m10??<5245g:>15634>?nn4j7e9~w12ci3:1>v3;4d09551034>?h?4;9d9~w12cj3:1>v3;4d19551034>?h>4;9d9~w12ck3:1>v3;4d69551034>?h94;9d9~w12cl3:1>v3;4d79551034>?h84;9d9~w12cm3:1>v3;4d49551034>?h;4;9d9~w12cn3:1>v3;4d59551034>?h:4;9d9~w12b83:1>v3;4d:9551034>?h54;9d9~w12b93:1>v3;4d;9551034>?h44;9d9~w12e13:15v3;4e09067<5=>o?7:<1:?70a2=<:;019:k5;605>;3?4=56g3?24927?8i654238912c13>8=63;4cc9a2b?ol4?:3y>01b528:<;63;4`69?oo4?:3y>01b428:<;63;4`79?on4?:3y>01b328:<;63;4`69?oi4?:3y>01b228:<;63;4`79?oh4?:3y>01b128:<;63;4`69?ok4?:3y>01b028:<;63;4`79?h=4?:3y>01b?28:<;63;4`69?h<4?:3y>01b>28:<;63;4`79?o44?:3y>01e?2=3n70:;ac8f3a=z{=>ih7>52z?70f>=<:;019:me;g4`>{t<=i36=47{<67g=<68>=019:me;:b2>;3f:27?8n958`3896g2:32j863;4b79im76n3:p01d62909w0:;b487=`=:<=k<6h9k;|q715>=83kp19:m5;605>;3?4=56a3?24927?8o654238912bi3>8=63;51:9551034>?nk47a49>01ge21k870:;c08;e1=:<=i865o=;|q70de=83hp19:m5;3332=:<=hm65o>;<67e3=g634>?ml47a39>01ge21k:70:;c08;e4=:<=i865o<;<67e6<68h20q~:;b383>7}:<=h=697j;<67e=03m>5245c:>=g434>?m547a39>01gf21k870:;ac8;e0=:<=i:65o=;<67g65?n:4>0658912en32j?63;4`49j;76n4:?70d?=0h;019:n8;:b7>;3f<27?8n?58`18912d;32j963;4`69j976n2:p01d32909w0:;b987=`=:<=kj6h9k;|q70d`=833p19:m8;3332=:<=hm65o;;<67e3=g634>?mo47a79>01e621k=70:;c28;e3=z{=>i<7>52z?70`g=<0o019:n6;g4`>{t<:o96=4<{<60a<<31l168>kn:0243>;3;m2184k4}r60==<720q68>k6:512?824mh0??<5242ga>15634>8in4;309>06cc2=9:70:;<60b5<3;8168>76:d5g?xu3;l;1<7168>j8:5;f?xu3;l91<7=t=51fe?2>m27??hl51154?824l00?5h5rs51f0?6=;r7??hl548g8915bk3;;;:5242fb>1?b3ty??h;50;1x915bk3>2i63;3df9551034>8ho4;9d9~w15b>3:1?v3;3df9006bd2=3n7p};3d594?5|5=9ni7:6e:?77``=99=<70:53z?77``=<0o019=i0;3332=:<:nn697j;|q7052=832p19=i0;6:a>;3<9214l<4=563e?>f=27?8=:51154?824m903m>5242;a>=g234>85:47a79>016221k=7p};38c94??|5=9o;7:<1:?77a>=<:;019=k9;605>;3;mk18>?4=51gf?24927??im54238915cl3>8=63;3eg9067<5=92n7k8d:p06ea2909w0:a:p06b52909w0:87:?77`6=0h8019{t<;h26=4={<61f<<68>=019{t<;h<6=4>1z?76a7=<:;019;3:jl18>?4=50`a?24927?>nj54238914dk3>8=63;2e;9067<5=8o47:<1:?76a1=<:;019;3:m?18>?4=50g0?24927?>i=54238914c:3>8=63;2b`9067<5=8hm7:<1:?76g>=m>n0q~:=c183>7}:<;n:6<>87:?707`=0h;0q~:=bg83>7}:<;n;6<>87:?707c=0h;0q~:=bd83>7}:<;im6<>87:?707b=0h;0q~:=be83>7}:<;in6<>87:?707e=0h;0q~:=bb83>7}:<;io6<>87:?707d=0h;0q~:=bc83>7}:<;ih6<>87:?707g=0h;0q~:=c883>7}:<;n26<>87:?707>=0h;0q~:=c983>7}:<;n36<>87:?7071=0h;0q~:=c683>7}:<;n<6<>87:?7070=0h;0q~:=c783>7}:<;n=6<>87:?7073=0h;0q~:=c483>7}:<;n>6<>87:?7072=0h;0q~:=c583>7}:<;n?6<>87:?7075=0h;0q~:=c283>7}:<;n86<>87:?7074=0h;0q~:=c383>7}:<;n96<>87:?7067=0h;0q~:=c083>7}:<;ii6<>87:?7066=0h;0q~:=b`83>7}:<;ij6<>87:?707?=0h;0q~:7}:<:h=64?>;<60f7l4=51bg?d?j2wx8>o?:181824j?0i4o5242c0>=g53ty??lh50;1x915e=33:=63;3`a955g?34>8n=4j7e9~w15fj3:1?v3;3c7906d<5=9jo7:7}:<:h>6o6m;<60e=15oj:d5g?xu3;h31<7=t=51a0?24j27??ll542`8915f13h3n6s|42;f>5<5s4>8n94m8c9>06g021k97p};3c`94?0|5=9i?77>1:?77d?=99k370:76n4:?77d0=0h?019=ma;:b2>{t<:3o6=4={<60f6o;:9c1?xu3;j81<7m27??l=5e6f8yv24j>0;68u242a5>15634>8o84;309>06e32=9:70:;<60e3ln:9c0?824j;03m<5rs51`5?6=:r7??n;548g8915f03o5<2s4>8o84>0658915f:32j>63;3`497}:<:i?697j;<60e21==98;<60e7o9:9c7?824jh03m85242cf>=g63ty??oh50;0x915d;3>2i63;3`69a2b><=4?:3y>006220;:70::008f3a=z{=>mn7>52z?7153=<:h019:ib;`;f>{t<=l;6=4={<66408n63;4gc9f=d?ik4?:3y>00632k2i70:;f68;e1=z{=>mo7>53z?7155=18;019:ia;33e==:<=lo6h9k;|q70c>=839p19;?3;60f>;3l4=56e=g33ty?9=750;7x9137:33:=63;4g:955g?34>>=<4>0658912a:32j863;4g19?ii4?:3y>00652k2i70:;f48;e1=z{=?:<7>52z?7142=<0o019:i4;g4`>{t<<:=6=4:{<6651<3;81688?<:512?8229;0??<524432>15634>><:4j7e9~w137k3:18v3;5069551034>?j?47a09>01`421k:70::008;e4=z{=?;j7>52z?7145=<0o019:i7;g4`>{t<<:i6=4;{<6656<68>=019:i2;:b6>;3f92wx88>j:1818229;0?5h5245d5>`1c3ty?9=o50;6x9136:3;;;:5245d1>=g434>?j>47a29>01`c21k:7p};51f94?4|5=?:=7:6e:?70c3=m>n0q~:;eb83>7}:<<:3697j;<67b6?0??o52451;>g>e3ty?8<=50;0x9121>3h3n63;43d9?8l4?:2y>010220;:70:;39824d><5=>?n7k8d:p01502908w0:;64877g=:<=9369=m;<67722;296~;3f=2wx89:7:180823>=02=<524514>46f027?8975e6f8yv23;?0;6>u24547>15e34>??:4;3c9>01512k2i7p};40394?4|5=>=87l7b:?707b=0h?0q~:;4783>6}:<=<864?>;<6773<68h2019:;7;g4`>{t<=9>6=4<{<6726<3;k1689=9:51a?823;<0i4o5rs5624?6=:r7?8;=5b9`89125k32j96s|4567>5<4s4>?:?46109>015228:j463;4579a2b??94?:2y>01052=9i70:;34877g=:<=9?6o6m;|q705`=838p19:92;`;f>;3<;h14l;4}r6707<72:q6898>:832?823;=0::180823>80??o524517>15e34>?8<4m8c9~w127m3:1>v3;4739f=d<5=>9m76n5:p013b2908w0:;6g8:54=:<=>:6<>n8:?700`=m>n0q~:;4183>6}:<=u2454f><7634>?8=4>0`:89122l3o5<4s4>?:h4;3c9>01272=9i70:;3g8a:n7>52z?703c=j1h019:=7;:b1>{t<=?j6=4<{<672a<>981689=i:02b<>;3<g>e3ty?8?954?:2y>010d20;:70:;3d824d><5=>>57k8d:p015c2908w0:;6b877g=:<=9n69=m;<677a9;296~;3f=2wx89;9:180823>k02=<52451g>46f027?8895e6f8yv23;j0;6>u2454a>15e34>??i4;3c9>015d2k2i7p};40:94?4|5=>=n7l7b:?7072=0h?0q~:;5583>6}:<=;<677f<68h2019::5;g4`>{t<=9i6=4<{<672d<3;k1689=l:51a?823;k0i4o5rs5623?6=:r7?8;o5b9`89125;32j96s|4571>5<4s4>?:446109>015e28:j463;4419a2b??l4?:2y>010>2=9i70:;3c877g=:<=9j6o6m;|q7040=838p19:99;`;f>;3<;814l;4}r6715<72:q68987:832?823;h0:10??o52451b>15e34>??44m8c9~w126=3:1>v3;47:9f=d<5=>8=76n5:p012b2908w0:;668:54=:<=926<>n8:?701`=m>n0q~:;3383>6}:<=<<69=m;<677<<3;k1689==:c:a?xu3<8>1<7>58`78yv23?80;69u24543><7634>???4>0`:8912>93;;;:52453e>=g53ty?8=j50;0x912183h3n63;43;9?4:4?:3y>01??2=3n70:;2g8f3a=z{=><;7>53z?70<>=99=<70:;208;e3=:<=>o65o>;|q70=0=838p19:67;6:a>;3<;o1i:j4}r6733<72:q68978:0243>;3<;;14l=4=567f?>f92wx896::1818231?0?5h52450g>`1c3ty?8:;50;1x912>>3;;;:524502>=g634>?8447a09~w12?<3:1>v3;487909o7k8d:p01132908w0:;9482421<5=>9=76n2:?7011=0h;0q~:;8283>7}:<=3?697j;<676g1==98;<6765m27?8?o5e6f8yv23?;0;6>u245;0>460?27?8?>58`789123;32j=6s|45;3>5<5s4>?m=4;9d9>014?2l=o7p};49294?5|5=>j<7??769>014721k?70:;5g8;e4=z{=>3j7>52z?70<`=<0o019:=7;g4`>{t<==m6=4<{<67=c<68>=019:=0;:b7>;3<=g63ty?85j50;0x912>l3>2i63;4379a2b?;i4?:2y>01?c28:<;63;4329>576n1:p01>d2909w0:;9b87=`=:<=8?6h9k;|q702e=839p19:6c;3332=:<=;m65o9;<6712f=27?88;58`38yv230h0;6?u245;b>1?b34>?>?4j7e9~w120i3:1?v3;48c9551034>?=k47a59>013421k:7p};49;94?4|5=>257:6e:?7067=m>n0q~:;7883>6}:<=326<>87:?704`=0h9019::1;:b5>{t<=236=4={<67=7<31l1689=?:d5g?xu3<>21<7=t=56:6?77?>1689?i:9c2?8235<6:r7?8:>548g8912593o8<76n4:?7067=0h>019:=2;:b0>;3<;914l:4=5610?>f<27?8?;58`689125>32j863;43599476n4:?707g=0h>019:=b;:b0>;3<;i14l:4=561`?>f<27?8?h58`689125m32j86s|4553>5<1s4>?;=4>0658915aj32j863;3c:9m?76n5:?7151=0h9019=ma;:b6>{t<:<96=4:{<61bf<>98168>8j:0243>;3;f;27???752ef8yv25n>0;6?u243d`>15e34>9j:4m8c9~w155m3:1>v3;2ga9f=d<5=9=i7:6e:p060e290=w0:<078:54=:<;l<6<>n8:?7720=99=<70:<5c8;e7=:<:><65o:;<606<<5lj1v9l4=50e3?24j27?>k;5b9`8yv24<90;6?u24225>g>e34>8;;4;9d9~w151i3:1;v3;3179=47<5=8m97??a99>061228:<;63;35f9o76n3:?771>=0h9019==9;0gf>{t<;l86=4<{<6040<3;k168?h::51a?825n:0i4o5rs510a?6=:r7??=;5b9`89150=3>2i6s|424:>58<946109>07`428:j463;3669551034>89n47a39>063321k870:<548;e1=:<:>365o;;<606<<5lh1v918>l4=50e7?24j27?>k?5b9`8yv24;j0;6?u24227>g>e34>8;94;9d9~w15103:14v3;3119=47<5=8m=7??a99>061428:<;63;34a9;3;;31>h;4}r61ac<72:q68>><:51a?825n80??o5243ge>g>e3ty??>o50;0x9157;3h3n63;361908::4?:8y>066520;:70:=eg824d><5=9<>7??769>062e21k:70:<5d8;e1=:<:?;65o9;<6003;6:9c2?824:009i95rs50f`?6=;r7??=<542`8914bn3>8n63;2df9f=d8?54?:3y>06652k2i70:<7387=`=z{=9=:7>58z?7757=18;01987:?771?=0h8019=:e;:b7>;3;<:14l=4=516f=27???752d18yv25mk0;6>u24222>15e34>9ii4;3c9>07ce2k2i7p};32494?4|5=9;=7l7b:?7727=<0o0q~:<6483><}:<::;64?>;<61ag<68h2019=80;3332=:<:?965o=;<601`;?:9c7?824=103m>52427:>=g334>8>44=e39~w14b13:1?v3;312906d<5=8nn7:7}:<::;6o6m;<6035<31l1v9=94;29=~;3:ol158i:0243>;3;f=27??9858`189152032j=63;34;9m2wx8>8<:18;825nl02=<5243g4>46f027??;j51154?824=l03m;524273>=g534>89l47a49>062321k?70:<2881a5=z{=8n:7>53z?76cc=<:h019;3:l<1n5l4}r6075<72;q68?hj:c:a?824>m0?5h5rs50e=?6=;r7?>kl59038914b>3;;m55243db>`1c3ty???m50;0x914aj3h3n63;37a908>k4?:2y>067c20;:70:<8787=`=:<:8m6<>87:pf34=838p19=>d;60f>;3;821n5l4}r`57?6=:r7??2i6s|4262>5<3s4>8>:46109>067?28:j463;39g903:1?v3;335906d<5=9:47:m2wx8>=i:187824:?02=<524235>46f027??5j548g89154n3;;;:5rs5120?6=;r7???8542`89156>3>8n63;3069f=d52z?7770=j1h019={t<:9o6=4;{<6060<>98168>?;:02b<>;3;1i184k4=510`?77?>1v9=>2;297~;3;;?18>l4=5120?24j27??<<5b9`8yvd2n3:1>v3;3379f=d<5=98h7:6e:p065e290?w0:<258:54=:<:;96<>n8:?77=d=<0o019=53z?7772=<:h019=>2;60f>;3;8:1n5l4}r`6a?6=:r7???:5b9`89154j3>2i6s|421:>5<3s4>8>>46109>067728:j463;39c90m2wx8>=8:187824:;02=<52422f>46f027??57548g89154?3;;;:5rs513g?6=;r7???<542`89157m3>8n63;31a9f=do7>52z?7774=j1h019=<7;6:a>{t<:9>6=4;{<6064<>98168>>l:02b<>;3;12184k4=5101?77?>1v9=?a;297~;3;;;18>l4=513g?24j27??=o5b9`8yvd2j3:1>v3;3339f=d<5=9897:6e:p0654290?w0:<218:54=:<::j6<>n8:?77=1=<0o019=<3;3332=z{=9;47>53z?7776=<:h019=?a;60f>;3;921n5l4}r`6e?6=:r7???>5b9`89154;3>2i6s|4212>5<3s4>8=k46109>066?28:j463;39790m2wx8>?n:1808249j02=<524224>46f027??v3;30a9f=d<5=99h7:6e:p063a290hw0:<6d8774=:<:==69=>;<6030<3;8168>9;:512?824?:0??<524251>15634>8;<4;309>06172=9:70:<6g8774=:<:;<602f<3;8168>8?:d5g?xu3;?;1<79t=515g?77?>168>;j:9c6?824=903m<524264>=g634>89l47a29>07`f21k:70:<2881`c=z{=9<;7>516y>06>12=9:70:<8d8774=:<:2o69=>;<606m:512?8240h0??<5242::>15634>8454;309>06>02=9:70:<848774=:<:2?69=>;<603=15634>8?84;309>06502=9:70:<388774=:<:9i69=>;<607a<3;8168>=i:512?824<80??<52420e>1563ty??:o50;6x915?>3;;;:52427a>=g434>88947a09>064>2;k87p};39194?2|5=93i7??769>063e21k?70:<468;e3=:<:826?o=;|q77=4=83>p19=7d;3332=:<:>o65o=;<600=<6:3c2?xu3;1;1<7;t=51;g?77?>168>;;:9c7?824=<03m852426;>=g534>8>44=a19~w15?83:19v3;39`9551034>88:47a59>063321k=70:<548;e6=:<:826?om;|q772`=83?p19=7a;3332=:<:>i65o=;<6003;6:9c1?824:009ml5rs514a?6=;r7??5751154?824<003m<52420:>7g>3ty??:j50;7x915?03;;;:524271>=g634>89547a39>063>21k870:<2881e==z{=955z?77=1=99=<70:<478;e4=:<:?365o;;<601<<6:3c4?xu3;>h1<7:t=51;1?77?>168>;n:9c7?824<=03m?52420:>7g13ty???j50;1x915?<3>2i63;33f9551034>8=o47a09~w15013:18v3;3969551034>88:47a39>063f21k970:<2881e0=z{=9??7>53z?7714=<0o019=90;:b1>;3;=>1i:j4}r6007<72;q68>:=:0243>;2:9n14l:4}r3a3d<72:q6=:<::9c0?87eno0:<:94=0440?>f92wx=om?:181870:<03m9521b6:>460?2wx=o7k:181870:<03m8521b07>460?2wx=o:::180870:<03m;521ca6>460?27:9ik58`38yv70:<0;6?u21606>`1c34?9?93:1?v3>725988c94?5|58=8;76n4:?265>=?1k01<6lf;3332=z{8oj97>54z?2361=0h?01;6k<=14l84=0gb1?77?>1vf>27:o8758`4894c3=3;;;:5rs0503?6=:r7:;>95e6f89047m32j86s|1c:6>5<4s4;<>;47a29>5f6d28:<;63>6c49524121k?70?md382421524121k>70?l3082421524121k=70?mc882421<58?n876n1:p52412909w0?8278f3a=:9>;=65o=;|q2<=4=839p1<9<8;:b7>;69131;5o4=0:a3?77?>1v<6n5;297~;6?:214l:4=003f?1?i27:4im51154?xu6mj91<7:t=050f=27:o;>58`7894e3m32j963>eb1955103ty:i;=50;6x9414032j:63>c7`9476n5:?2a35=99=<7p}>72:94?4|58=847k8d:?2340=0h;0q~=nf383>7}:9>;=6o6m;<1b16=;ll:9c2?xu6j=n1<7f<27:ni=51154?xu6jh31<7f=27:o><51154?xu6jf>27:ni851154?872mh03m<5rs051b?6=:r7:;?h5e6f89416?32j>6s|19:0>5<4s4;<8<47a29>54>d2>2j70?7b982421522621k?70?=0d8487:p5`e1290?w0?8408;e0=:9j{t9l<=6=4;{<3404460?2wx=::>:181870<80n;i521634>=g63ty8mk=50;0x9416?3h3n63525721k870?l0d82421<5876n1:p5g2b2909w0?8318;e1=:9kn?6<>87:p5ggf2909w0?8318;e0=:9j986<>87:p5g032908w0?8318;e3=:9ko:6<>87:?21c6=0h;0q~?83183>7}:9>9;6h9k;<345cf<27:>cm3;;;:5rs0g`=?6=c4597509017>52z?2314=m>n01<9>f;:b5>{t;hl?6=4={<345cf;27:o=h51154?871k103m<5rs0`7b?6=:r7:;>?58`6894dc=3;;;:5rs0`bf?6=:r7:;>?58`7894e4<3;;;:5rs0`51?6=;r7:;>?58`4894dbi3;;;:5214d5>=g63ty:;>?50;0x941493o7329522421k870?>938487:p5=g?2908w0?8428;e1=:9;;?6:6n;<3;`c<68>=0q~?jcb83>1}:9>>865o:;<3`2c=g334;n:n4>0658yv70<:0;6?u21660>`1c34;<>=47a09~w6ga=3:1>v3>7329f=d<5:kj=76n4:p5g>>2908w0?8338;e6=:9j;;6<>87:?22fc=0h;0q~?m5083>7}:9>9965o;;<3a`2<68>=0q~?mab83>7}:9>9965o:;<3`70<68>=0q~?m6783>6}:9>9965o9;<3aag<68>=01<;ic;:b5>{t9>996=4={<3477:9c1?xu601<1<7=t=0570?>f;27:=4;579c894>ej3;;;:5rs0:b=?6=;r7:;9:58`689446?3=3m63>8d2955103ty:inh50;6x9413<32j963>c729e7d94?2|58=?876n6:?2g3d=0h>01;6m?l1==98;|q2312=838p1<9;4;g4`>;6?;;14l?4}r1bb3<72;q6=:<>:c:a?85fih03m95rs0`;e?6=;r7:;>=58`1894e693;;;:5217f7>=g63ty:n8<50;0x9414;32j863>be:955103ty:nlj50;0x9414;32j963>c24955103ty:n;950;1x9414;32j:63>bda9551034;=v3>7219a2b<58=9>76n2:p5=>02908w0?8448;e6=:98336:6n;<3;ff<68>=0q~?7a`83>6}:9>>>65o;;<315d<00h16=5k>:0243>{t9ln96=4;{<3400460?2wx=h9=:187870<<03m;521b53>=g334;h9i47a59>5`1528:<;6s|1666>5<5s4;<884j7e9>524521k:7p}7l7b:?0edd=0h>0q~?m8c83>7}:9>9?65o<;<3`57<68>=0q~?m5283>7}:9>9?65o;;<3a`<<68>=0q~?mag83>7}:9>9?65o:;<3`7=<68>=0q~?m6983>6}:9>9?65o9;<3aaa<68>=01<8?8;:b5>{t9>9?6=4={<3471f:27:=n9579c894>el3;;;:5rs0g41?6=c439fj3:1>v3>75497??769~w413>3:1>v3>7549a2b<58=9?76n1:p7d`?2909w0?8228a;6k891==98;|q2f02=838p1<9<5;:b0>;6jmk1==98;|q2fg6=838p1<9<5;:b1>;6k:31==98;|q2f3?=839p1<9<5;:b2>;6jlo1==98;<354`f:2wx=566:180870<>03m?5210f`>2>f34;3nh4>0658yv7b?10;69u21664>=g334;h9>47a79>5f0121k=70?j7982421522021k>70?7e28242152202l=o70?8258;e4=z{:km57>52z?2372=j1h01>one;:b7>{t9k=i6=4<{<3473=:0243>;6>>k14l?4}r3ag4<72;q6=:=9:9c7?87d0:<:94}r3a2f<72:q6=:=9:9c5?87ek10:<:94=0414?>f92wx=:=9:181870;?0n;i523`70>=g53ty:45m50;1x9413032j?63>18`93=g<582i:7??769~w4>>j3:1?v3>75:9e`:94?2|58=?476n5:?2g3`=0h<01;6mh21==98;|q2a1>=83>p1<9;8;:b2>;6k>;14l84=0a6a?>f>27:i9651154?xu6?=21<7u21604>=g434;h<>4>0658940?832j=6s|1ca1>5<5s4;<>:47a59>5f2e28:<;6s|1c;e>5<5s4;<>:47a49>5f4?28:<;6s|1c54>5<4s4;<>:47a79>5g`628:<;63>6709:4?:3y>52402l=o70=n6c8;e7=z{822;7>53z?236?=0h901;60j;1==98;|q2<;6:;:1;5o4=0:g7?77?>1vf>27:o9k58`4894cfj3;;;:5rs0g7f?6=758`4894e1j32j:63>c4:9v3>72;9a2b<5:k=n76n4:p5g1c2908w0?8298;e6=:9j:?6<>87:?22=0=0h;0q~?mc283>7}:9>8365o;;<3`0f<68>=0q~?ma183>7}:9>8365o:;<3`6<<68>=0q~?m8383>6}:9>8365o9;<3abf<68>=01<8n4;:b5>{t9>836=4={<346=f;27:=l?579c894>dk3;;;:5rs0::`?6=;r7:;>o58`689445;3=3m63>8e6955103ty:ilk50;6x9414i32j963>c7g9n76n6:?2adc=99=<7p}>e5g94?2|58=8m76n6:?2g26=0h<01;6m=o1==98;|q236g=838p1<9;6?8214l?4}r1a4=<72;q6=:?7:c:a?85f?803m85rs0`4a?6=;r7:;?758`1894e7=3;;;:5217:`>=g63ty:n9850;0x9415132j863>bbc955103ty:nl?50;0x9415132j963>c3c955103ty:n5j50;1x9415132j:63>c1;9551034;=hl47a09~w41513:1>v3>73;9a2b<58=:576n2:p5=gc2908w0?83c8;e6=:98k?6:6n;<3;`<<68>=0q~?79d83>6}:9>9i65o;;<3163<00h16=5j::0243>{t9lh:6=4;{<347g03m>521d`2>460?2wx=h;>:187870;k03m;521b4`>=g434;h9447a29>5`3628:<;6s|161a>5<5s4;527>21k:7p}6}:9>8j65o<;<3`43<68>=01<862;:b5>{t9k><6=4={<346d{t9kk96=4={<346d{t9k336=4<{<346d;6>l:14l?4}r346d<72;q6=:m58`18947f?3=3m63>8d6955103ty:44h50;1x9414k32j863>23;93=g<582o:7??769~w4ce<3:18v3>72a987>54z?236e=0h<01;6k1v<9f92wx?o>n:1818709h0i4o523`54>=g23ty:n5>50;1x9415j32j?63>c159551034;=5547a09~w4d303:1>v3>73`9v3>73`973`953c121k:7p}>73`94?4|58=9n7k8d:?234d=0h80q~?7ag83>6}:9>9o65o<;<32ed<00h16=5k::0243>{t91k;6=4<{<347a0:<:94}r3ff2<72=q6=:=k:9c6?87d>903m>521b6f>=g434;nn:4>0658yv7b=>0;69u2161g>=g134;h:o47a29>5f3?21k870?j5682421525c2l=o70?81c8;e4=z{:h;n7>52z?234d=j1h01>o8a;:b1>{t9k2:6=4<{<346f7:0243>;6>0o14l?4}r3a0<<72;q6=:f92wx=:=g53ty:4o>50;1x9414m32j?63>1`f93=g<582n:7??769~w4>f93:1?v3>72g9=99=<7p}>ecc94?2|58=8i76n5:?2g3c=0h901;6mkk1==98;|q2a0g=83>p1<9;6k>:14l=4=0a6`?>f;27:i8o51154?xu6?:o1<7g>e349j;i47a49~w4d?;3:1?v3>73f953gf21k:7p}>b5c94?4|58=9h76n4:?2fa6=99=<7p}>b`494?4|58=9h76n5:?2g7`=99=<7p}>bc;94?5|58=9h76n6:?2g61=99=<70?9f38;e4=z{8=9h7>52z?237b=m>n01<9>d;:b6>{t91h:6=4<{<347c0:<:94}r3;e6<72:q6=:=i:9c7?875;;0<4l5219fb>460?2wx=hlk:187870;o03m8521b4b>=g234;h9:47a49>5`dc28:<;6s|1d7g>5<3s4;5f0d21k>70?l588;e0=:9l?o6<>87:p525a2909w0?83g8f3a=:9>;o65o>;|q2f=2=839p1<9=e;:b7>;6k9h1==98;<35f51v1v16=;h6:9c2?xu6?;o1<7u21663>=g434;:n>488`9>5=c?28:<;6s|19c7>5<4s4;<8=47a59>57522>2j70?7dc82421522721k>70?l6g8;e0=:9j?h65o:;<3fg5<68>=0q~?j6183>1}:9>>;65o9;<3`3490:<:94}r3405<72;q6=::?:d5g?8709l03m<5rs0:26?6=:r7:;h?548g894>0j3;;;:5rs0deg?6=9h;p1<9j1;332`=:9>o;6<>9e:?2<`5=99=<1n01;6jl<185j4=0`f1?2?l27:nh:549f894db;3>3h63>bd090=b<58hn<7:7d:?2fa`=<1n01;6jmn185j4=0`gg?2?l27:nil549f894dci3>3h63>be;90=b<58ho47:7d:?2fa1=<1n01;6jm>185j4=0`g7?2?l27:ni<549f894dc93>3h63>be290=b<58hhj7:7d:?2ffc=<1n01;6jjk185j4=0a7g?2?l27:o9l549f894e3i3>3h63>c5;90=b<58i?47:7d:?2g11=<1n01;6k=?185j4=0a70?2?l27:o9=549f894e393>3h63>c5290=b<58i8j7:7d:?2g6c=<1n01;6k:i185j4=0a0f?2?l27:o>o549f894e413>3h63>c2:90=b<58i8:7:7d:?2g63=<1n01;6k:9185j4=0a06?2?l27:o>?549f894e483>3h63>c3d90=b<58i9i7:7d:?2g7b=<1n01;6k;k185j4=0a1=?2?l27:o?6549f894e5?3>3h63>c3690=b<58i9?7:7d:?2g74=<1n01;6k;:185j4=0a2a?2?l27:o3h63>c0`90=b<58i:m7:7d:?2g4?=<1n018;6;`>;6k8=185j4=0a22?2?l27:o<;549f894e6;3>3h63>c0090=b<58i:=7:7d:?2g46=<1n01;6k9o185j4=0a3`?2?l27:o=m549f894e7j3>3h63>c1c90=b<58i;47:7d:?2g51=<1n01;6k9?185j4=0a30?2?l27:o==549f894e7:3>3h63>bgd90=b<58hmi7:7d:?2fcb=<1n01;6jok185j4=0`e=?2?l27:nk6549f894da?3>3h63>bg790=b<5=:jj7:7d:?74dc=<1n019>nc;6;`>;38hh185j4=52be?2?l27?3h63;0`590=b<5=:j:7:7d:?74d3=<1n019>n4;6;`>;38h9185j4=52b5?2?l27?549f8916>n3>3h63;08g90=b<5=:2h7:7d:?746b;6;`>;380k185j4=52:=?2?l27?<46549f8916e=3>3h63;0c690=b<5=:i?7:7d:?74g4=<1n019>m1;6;`>;38k:185j4=52b`?2?l27??3>3h63;08490=b<5=;;39?i185j4=535e?2?l27?=;l549f8917513>3h63;166907:7d:?76=7=<1n019<70;6;`>;3:>3185j4=504e?2?l27?>:l549f89142<3>3h63=0b`90=b<5;:h57:7d:?212e=99501>28:=i63>56:9550b34;>;:4>07g8943?<3;;:h5214:0>461m27:95<5114f?872080:<;k4=07;4?77>l16=89i:025a>;6=>o1==8j;<363a<68?o01<;86;332`=:9<=>6<>9e:?21fe=999j7:5:g?843l>0?4i5225f5>1>c348?h84;8e9>61bb2=2o70<:9e8786l:5:g?8420m0?4i5224`e>461m279?k=549f89721;3>3h63=47090=b<5;>==7:7d:?1036=<1n01?:;9;6;`>;5<=2185j4=3673?2?l279898549f897d3?3>3h63=b5490=b<5;h?97:7d:?1f12=<1n01?l;3;6;`>;5j=8185j4=3`75?2?l279n9>549f897d013>3h63=b6:90=b<5;h<;7:7d:?1f20=<1n01?l81;6;`>;5j>:185j4=3`5b?2?l279n;k549f897d1l3>3h63=b7a90=b<5;h=n7:7d:?1f3g=<1n01?l91;6;`>;5j?:185j4=3`6b?2?l279n8k549f897d2l3>3h63=b4a90=b<5;h>n7:7d:?1f0g=<1n01?lkf;6;`>;5jmo185j4=3`g`?2?l279nim549f897dcj3>3h63=be790=b<5;ho87:7d:?1fa5=<1n01?lk2;6;`>;5jm;185j4=3`65?2?l279n8>549f897d3n3>3h63=b5g90=b<5;h?h7:7d:?1fgd=<1n01?lma;6;`>;5jk3185j4=3`a3h63=bc290=b<5;hjj7:7d:?1fdc=<1n01?llb;6;`>;5jjk185j4=3``=?2?l279nn6549f897dd?3>3h63=bb490=b<5;hh97:7d:?1ff2=<1n01?l69;6;`>;5j02185j4=3`:3?2?l279n48549f897d>93>3h63=b8290=b<5;h3j7:7d:?1f=c=<1n01?l7d;6;`>;5j1i185j4=3`;f?2?l279n5o549f897df13>3h63=b`:90=b<5;hj;7:7d:?1fd0=<1n01?ln5;6;`>;5jh>185j4=3`b7?2?l279nl<549f897d?93>3h63=b9290=b<5;h;5mh:185j4=3g:b?2?l279i4k549f897c>l3>3h63=e8a90=b<5;o2n7:7d:?1a1g=<1n01?k;9;6;`>;5m=2185j4=3g73?2?l279i98549f897c3=3>3h63=e5690=b<5;o??7:7d:?1a0g=<1n01?k:9;6;`>;5m<2185j4=3g63?2?l279i88549f897c2=3>3h63=e4690=b<5;o>?7:7d:?1a<4=<1n01?k61;6;`>;5m0:185j4=3g;b?2?l279i5k549f897c?l3>3h63=e9a90=b<5;o3n7:7d:?1a2g=<1n01?k89;6;`>;5m>2185j4=3g43?2?l279i:8549f897c0=3>3h63=e6690=b<5;o;5m:2185j4=3g03?2?l279i>8549f897c4=3>3h63=e2690=b<5;o8?7:7d:?1a3g=<1n01?k99;6;`>;5m?2185j4=3g53?2?l279i;8549f897c1=3>3h63=e7690=b<5;o=?7:7d:?1``4=<1n01?jj1;6;`>;5ll:185j4=3fgb?2?l279hik549f897bcl3>3h63=dea90=b<5;non7:7d:?1`c4=<1n01?ji1;6;`>;5lo:185j4=3ffb?2?l279hhk549f897bbl3>3h63=dda90=b<5;nnn7:7d:?1a54=<1n01?k?1;6;`>;5m9:185j4=3feb?2?l279hkk549f897bal3>3h63=dga90=b<5;nmn7:7d:?1a44=<1n01?k>1;6;`>;5m8:185j4=3g3b?2?l279i=k549f897c7l3>3h63=e1a90=b<5;o;n7:7d:?1a7g=<1n01?k=9;6;`>;5m;2185j4=3g13?2?l279i?8549f897c5=3>3h63=e3690=b<5;o9?7:7d:?1`a4=<1n01?jk1;6;`>;5lm:185j4=3f`b?2?l279hnk549f897bdl3>3h63=dba90=b<5;nhn7:7d:?1`2g=<1n01?j89;6;`>;5l>2185j4=3f43?2?l279h:8549f897b0=3>3h63=d6690=b<5;n;5lj:185j4=3fab?2?l279hok549f897bel3>3h63=dca90=b<5;nin7:7d:?1`;5l02185j4=3f:3?2?l279h48549f897b>=3>3h63=d8690=b<5;n2?7:7d:?1`=g=<1n01?j79;6;`>;5l12185j4=3f;3?2?l279h58549f897b?=3>3h63=d9690=b<5;n3?7:7d:?2bce=m>n0q~?71083>7}:9>o;697j;<3;3d<68>=0q~?7d183>45|582n?7:<1:?2<`4=<:;01<6k1;g4`>;60l;18>?4=0:f4?24927:4ih5423894>cm3>8=63>8ef9067<582oo7:<1:?2;60m218>?4=0:g3?24927:4i85423894>c=3>8=63>8e69067<582o?7:<1:?2{t9kii6=4=1z?2f`?=<:;01;6jl=18>?4=0`f2?24927:nh;5423894db<3>8=63>bd19067<58hn>7:<1:?2f`6=<:;01;6jmo18>?4=0`g`?24927:nim5423894dcj3>8=63>bec9067<58ho57:<1:?2fa>=<:;01;6jm?18>?4=0`g0?24927:ni=5423894dc:3>8=63>be39067<58ho<7:<1:?2ff`=<:;01;6jjn18>?4=0``e?24927:o9m5423894e3j3>8=63>c5c9067<58i?57:<1:?2ffe=m>n0q~?m6283>7}:9ko26<>87:?2352=0h>0q~?m6383>7}:9ko36<>87:?2354=0h>0q~?m6083>7}:9ko<6<>87:?2356=0h>0q~?m6183>7}:9ko=6<>87:?22cc=0h>0q~?m5g83>7}:9ko>6<>87:?22ce=0h>0q~?m5d83>7}:9ko?6<>87:?2343=0h>0q~?m5e83>7}:9ko86<>87:?2345=0h>0q~?m5b83>7}:9ko96<>87:?2347=0h>0q~?m5`83>7}:9ko;6<>87:?235`=0h>0q~?m5883>7}:9knm6<>87:?235b=0h>0q~?m5983>7}:9knn6<>87:?235d=0h>0q~?m5683>7}:9kno6<>87:?235?=0h>0q~?m5783>7}:9knh6<>87:?2351=0h>0q~?m5483>7}:9kni6<>87:?2353=0h>0q~?l2483>77|58i?47:<1:?2g11=<:;01;6k=?18>?4=0a70?24927:o9=5423894e393>8=63>c529067<58i8j7:<1:?2g6c=<:;01;6k:i18>?4=0a0f?24927:o>o5423894e413>8=63>c2:9067<58i8:7:<1:?2g63=<:;01;6k:918>?4=0a06?24927:o>?5423894e483>8=63>c3d9067<58i9i7:<1:?2g7b=<:;01;6k;k18>?4=0a1=?24927:o?65423894e5?3>8=63>c369067<58i9:7k8d:p5gda2909w0?l4982421<58=;876n3:p5gdb2909w0?l4682421<58=;>76n3:p5gdc2909w0?l4782421<58=;<76n3:p5gdd2909w0?l4482421<58c319067<58i9>7:<1:?2g77=<:;01;6k8o18>?4=0a2`?24927:o8=63>c0c9067<58i:57:<1:?2g4>=<:;017;605>;6k8<18>?4=0a21?24927:o<=5423894e6:3>8=63>c039067<58i:<7:<1:?2g5`=<:;01;6k9n18>?4=0a3g?24927:o=l5423894e7i3>8=63>c1:9067<58i;;7:<1:?2g50=<:;01;6k9>18>?4=0a37?24927:o=<5423894dan3>8=63>c139a2b5f4428:<;63>71695f4528:<;63>71095f4628:<;63>71295f4728:<;63>6gg95f7b28:<;63>6ga95f7c28:<;63>70795f7d28:<;63>70195f7e28:<;63>70394?:3y>5f7f28:<;63>71d95f7>28:<;63>71f95f7?28:<;63>71`95f7028:<;63>71;95f7128:<;63>71595f7228:<;63>71798=63>bgf9067<58hmn7:<1:?2fcg=<:;01;6jo218>?4=0`e3?24927:nk85423894da=3>8=63>bg69067<58hm?7:<1:?2fc4=<:;01;6jll18>?4=0`fa?24927:nhj5423894dbk3>8=63>bd`9067<58hnm7:<1:?2f`7=<:;01;6jj318>?4=0a76?24927:o>95423894e5k3>8=63>c0d9067<58i:87:<1:?2g5?=<:;01;6jo;18>?4=0``5<4s4;ijh4>06589417<32j:63>67g95g`c28:<;63>71096}:9klj6<>87:?22cc=0h<01<8:6;:b5>{t9k=?6=4<{<3ab<<68>=01<8ic;:b2>;6><:14l?4}r3a36<72:q6=oh7:0243>;6?8?14l84=047e?>f92wx=o9=:18087en>0:<:94=0527?>f>27::9:58`38yv7e?80;6>u21cd5>460?27:;5<4s4;ij84>06589417n32j:63>62:95g`328:<;63>71f976n1:p5g0b2908w0?mf282421<58=;n76n6:?227e=0h;0q~?m6e83>6}:9kl96<>87:?235?=0h<01<8=6;:b5>{t9k=01<9?7;:b2>;6>8k14l?4}r3a2d<72:q6=oki:0243>;6?9?14l84=0420?>f92wx=587:1827~;60>h18>?4=0:4e?24927:4:75423894>003>8=63>8659067<582<:7:<1:?2<23=<:;01<684;605>;60>918>?4=0:46?24927:4:>5423894>1n3>8=63>87g9067<582=h7:<1:?2<3e=<:;01<69b;605>;60?k18>?4=0:53?24927:4;75e6f8yv7?990;6?u2195:>460?27::i:58`78yv7?8o0;6?u2195;>460?27::nk58`78yv7?8l0;6?u21954>460?27::n658`78yv7?8m0;6?u21955>460?27::n<58`78yv7?8j0;6?u21956>460?27::om58`78yv7?8k0;6?u21957>460?27::o858`78yv7?8h0;6?u21950>460?27::o>58`78yv7?800;6?u21951>460?27::lo58`78yv7?8>0;6?u21953>460?27::4k58`78yv7?8?0;6?u2194e>460?27::4658`78yv7?8<0;6?u2194f>460?27::4<58`78yv7?8=0;6?u2194g>460?27::5m58`78yv7?8:0;6?u2194`>460?27::5858`78yv7?8;0;6?u2194a>460?27::5>58`78yv7?880;6?u2194b>460?27:::o58`78yv7?890;6?u21944>460?27::::58`78yv7?1;3>8=63>8709067<582==7:<1:?2<36=<:;01<6:f;605>;60?4=0:6`?24927:48m5423894>2j3>8=63>84c9067<582>47:<1:?2<01=<:;01<6:6;605>;60?4=0:60?24927:48=5423894>2:3>8=63>8439067<582><7:<1:?2<1`=<:;01<670;605>;60>l18>?4=0:4a?24927:4:j5423894>0k3>8=63>8639067<582=87:<1:?2<0?=<:;01<6;e;605>;60=318>?4=0:7f?c0l2wx=:hi:18187?>?0:<:94=045a?>f=2wx=:hj:18187?><0:<:94=045f=2wx=:hl:18187?>:0:<:94=046g?>f=2wx=:hm:18187?>;0:<:94=0462?>f=2wx=:hn:18187?>80:<:94=0464?>f=2wx=:h6:18187?>90:<:94=047e?>f=2wx=:h7:18187?=o0:<:94=0470?>f=2wx=:h8:18187?=l0:<:94=040a?>f=2wx=:h9:18187?=m0:<:94=040f=2wx=:h::18187?=j0:<:94=0406?>f=2wx=:h;:18187?=k0:<:94=041g?>f=2wx=:h<:18187?=h0:<:94=0412?>f=2wx=:h>:18187?=10:<:94=042e?>f=2wx=:h?:18187?=>0:<:94=0420?>f=2wx=:ki:18187?=?0:<:94=043a?>f=2wx=:kj:18187?=<0:<:94=043f=2wx=:kk:18187?==0:<:94=0436?>f=2wx=:kl:18187?=:0:<:94=07eg?>f=2wx=:km:18187?=;0:<:94=07e2?>f=2wx=:kn:18187?=80:<:94=07e4?>f=2wx=:k6:18187?=90:<:94=07fe?>f=2wx=:k7:18187?f=2wx=5?7:18187?090:<:94=04e=?>f=2wx=5?8:18187??o0:<:94=04e6?>f=2wx=5?9:18187??l0:<:94=04fg?>f=2wx=5?::18187??m0:<:94=04f2?>f=2wx=5?;:18187??j0:<:94=04f4?>f=2wx=5?<:18187??80:<:94=04ge?>f=2wx=5>7:18187?>=0:<:94=04b0?>f=2wx=:hk:18187?=00:<:94=0456?>f=2wx=:h=:18187?f=2wx=:k8:18187?<00:<:94=07ga?>f=2wx=:l<:18;87e<=0??<521c60>15634;i8?4;309>5g262=9:70?m418774=:9k9m69=>;<3a7`<3;816=:l;:d5g?xu6j:n1<716=n86:9c2?xu6j:i1<7:t=0`77?77?>16=n8k:9c2?87d>803m<521b4;>=g63ty:n>l50;0x94d3:3;;;:521b4:>=g53ty:n>o50;ax94d393;;;:521b43>=g534;h:l47a39>5f0e21k970?l6b8;e7=:9j=g534;h:>47a39>5f0228:j46s|1c1:>5065894e1832j=63>c7c9;6k?l14l?4=0a44?>f927:o:?58`3894e1:32j=63>c7193}:9k9m6<>87:?2g3b=0h801;6k?214l:4=0a52?>f:27:o;958`08yv7e;>0;6>u21c1f>460?27:o;658`0894e1132j86s|16ce>55g522=9:70?m358774=:9k9869=>;<3a77<3;816=o=>:512?87e;90??<5216`3>`1c3ty:n?h50;0x94d4>3;;;:521b75>=g63ty:n?k50;6x94d4=3;;;:521b7b>=g634;h8k47a09>5f3221k:7p}>b3f94?4|58h887??769>5f3121k97p}>b3a94?b|58h8?7??769>5f2b21k970?l568;e7=:9j?365o=;<3`1<=g534;h9h47a39>5f3721k970?l508;e7=:9j?965o=;<3`16=g634;h9o47a09>5f3d21k:70?l5e8;e4=:9j?n65o>;<3`15:9c2?87d=;03m<521b70>=g63ty:n?o50;7x94d493;;;:521b7b>=g534;h8k47a39>5f3321k:70?l548;e1=z{8h957>53z?2f66=99=<70?l548;e7=:9j?=65o;;|q23dd=83=p1;6im918>?4=0cg6?24927:mi?5423894gc83>8=63>abd9067<58=jo7k8d:p5deb2909w0?nd582421<581}:9hn:6<>87:?22fg=0h801<8lb;:b6>;6>ji14l?4}r3bgd<72=q6=lj?:0243>;6>jk14l?4=04`f?>f927::nm58`08yv7fk00;6?u21`ae>460?27::nj58`38yv70i00;6:u21`a;>15634;jo:4;309>5de12=9:70?nc48774=:9hi?69=>;<3bg6<3;816=:on:d5g?xu6ij81<716=;m7:9c0?xu6ij;1<716=;m7:9c7?xu6ij:1<7=t=0c`2?77?>16=;m9:9c0?871k>03m>5rs0cab?6==g534;=o;47a09~w4gem3:18v3>ab69551034;=o947a09>53e221k:70?9c78;e7=z{8kih7>52z?2ef5=99=<70?9c68;e4=z{8=jh7>57z?2e`6=<:;01;6imo18>?4=0cg`?24927:mim5423894gcj3>8=63>7`g9a2b5dc728:<;63>6e695dba28:<;63>6e695dbb28:<;63>6e09{t9hn=6=4;{<3b`f<68>=01<8k0;:b5>;6>m;14l?4=04g6?>f:2wx=lj::18187flk0:<:94=04g7?>f92wx=:o::18487fj90??<521`ce>15634;jmh4;309>5dgc2=9:70?nab8774=:9hki69=>;<34e316=;l7:9c1?871j003m?5217`b>=g63ty:ml850;6x94gfk3;;;:5217`;>=g634;=n447a09>53df21k97p}>a`794?4|58kjn7??769>53de21k:7p}>7`194?1|58kj87:<1:?2ed5=<:;01;6ih;18>?4=0cb4?24927:m4h54238941f<3o5<5s4;jm94>0658940e>32j?6s|1`;g>5<5s4;jm>4>0658940e>32j86s|1`;`>5<4s4;jm?4>0658940e<32j?63>6c795dg628:<;63>6c091}:9hk;6<>87:?22g4=0h;01<8m3;:b5>;6>k>14l<4}r3b=<<72;q6=l7i:0243>;6>k?14l?4}r34e2<72>q6=lll:512?87fjk0??<521``b>15634;jn44;309>5dd?2=9:70?nb68774=:9>k36h9k;|q2eg0=838p1=g634;=o=47a39~w4ge93:1>v3>ac59551034;=o<47a09~w41f93:1;v3>a8:9067<58k2;7:<1:?2e<0=<:;01;6i0>18>?4=0c:7?24927:;l<5e6f8yv7f1;0;6?u21`;;>460?27::o>58`18yv7f180;6?u21`;4>460?27::o>58`68yv7f190;6>u21`;5>460?27::lk58`18940fn32j?6s|1`:e>5<3s4;j584>0658940fk32j>63>6`f9b290?w0?n9582421<58{t9h2o6=4={<3b=6<68>=01<8nf;:b5>{t9>3m6=48{<3b15634;j454;309>5d>02=9:70?8a18f3a=z{8k3:7>52z?2e=e=99=<70?9a`8;e6=z{8k397>52z?2e=d=99=<70?9a`8;e1=z{8k387>53z?2e=g=99=<70?9a98;e6=:9?k265o<;|q2e=5=83>p116=;o9:9c2?871i>03m<5217c;>=g53ty:m5?50;0x94g??3;;;:5217c:>=g63ty:;4l50;5x94g103>8=63>a759067<58k=:7:<1:?2e33=<:;01;6i?918>?4=05:g?c0l2wx=l8=:18187f>10:<:94=04:f;2wx=l8>:18187f>>0:<:94=04:f<2wx=l8?:18087f>?0:<:94=04:2?>f;27::4958`18yv7f=o0;69u21`46>460?27::4:58`08940>=32j>63>68495d0328:<;63>68697}:9h<86<>87:?22<1=0h;0q~?89883>2}:9h?h69=>;<3b1g<3;816=l;n:512?87f=00??<521`7;>15634;j9:4;309>52?f2l=o7p}>a4494?4|58k>o7??769>53?521k87p}>a4794?4|58k>n7??769>53?521k?7p}>a4694?5|58k>m7??769>53?721k870?9908;e6=z{8k>?7>54z?2e0?=99=<70?98d8;e7=:9?2m65o=;<35=58=63>a609067<58k<=7:<1:?2e26=<:;01;6?0o1i:j4}r3b2`<72;q6=l9;:0243>;6>0o14l=4}r3b2a<72;q6=l9<:0243>;6>0o14l:4}r3b2f<72:q6=l9=:0243>;6>0i14l=4=04:`?>f;2wx=l8m:18787f?80:<:94=04:e?>f:27::4l58`08940>k32j=6s|1`4b>5<3s4;j;=4>0658940>i32j=63>68`92909w0?n6g82421<58<2h76n1:p52?0290m69=>;<3b0`<3;816=l:k:512?87f15634;<554j7e9~w4g3i3:1>v3>a429551034;=4n47a29~w4g313:1>v3>a5d9551034;=4n47a59~w4g303:1?v3>a5g9551034;=4l47a29>53>e21k87p}>a5594?2|58k?h7??769>53>?21k970?9888;e7=:9?2j65o>;|q2e10=83>p1;<35<<16=;6m:9c2?xu6?0?1<79t=0c70?24927:m9=5423894g3:3>8=63>a539067<58k?<7:<1:?2e6`=<:;01<966;g4`>{t9h9n6=4={<3b01<68>=01<876;:b7>{t9h9o6=4={<3b06<68>=01<876;:b0>{t9h9h6=4<{<3b07<68>=01<874;:b7>;6>1?14l=4}r3b7g<72=q6=l:>:0243>;6>1814l<4=04;7?>f:27::5:58`38yv7f;h0;69u21`63>460?27::5<58`38940?;32j=63>69695d5a28:<;63>69795d4d2=9:70?n2c8774=:9h8j69=>;<3b6<<3;816=l<7:512?87f:>0??<5216;1>`1c3ty:m?850;0x94g5k3;;;:52175b>=g43ty:m?;50;0x94g5j3;;;:52175b>=g33ty:m?:50;1x94g5i3;;;:52175;>=g434;=;447a29~w4g5;3:18v3>a3;9551034;=;;47a39>531021k970?9798;e4=z{8k9>7>54z?2e7>=99=<70?9778;e4=:9?=<65o>;<353=?4=0c2b?24927:m8=63>a0a9067<58k:n7:<1:?23<6=m>n0q~?n1`83>7}:9h8;6<>87:?2222=0h90q~?n1883>7}:9h;m6<>87:?2222=0h>0q~?n1983>6}:9h;n6<>87:?2224=0h901<883;:b7>{t9h;<6=4;{<3b5a<68>=01<880;:b6>;6>>;14l<4=0446?>f92wx=l?9:18787f9j0:<:94=0444?>f927:::?58`389400:32j>6s|1`36>5<5s4;j=o4>06589400;32j=6s|16;0>5<0s4;j?54;309>5d502=9:70?n378774=:9h9>69=>;<3b71<3;816=l=<:512?8701=0n;i5rs0c06?6=:r7:m>651154?8710903m>5rs0c05?6=:r7:m>951154?8710903m95rs0c04?6=;r7:m>851154?871?l03m>52175e>=g43ty:m?h50;6x94g4=3;;;:52175`>=g534;=;i47a39>531b21k:7p}>a3g94?2|58k887??769>531d21k:70?97e8;e4=:9?=n65o=;|q2e7b=838p1;|q23=b=83=p14;605>;6i8918>?4=0c26?24927:m8=63>a1d9067<58=3i7k8d:p5d6b2909w0?n1582421<58<=i76n3:p5d6c2909w0?n1282421<58<=i76n4:p5d6d2908w0?n1382421<58<=o76n3:?223b=0h90q~?n0c83>1}:9h;:6<>87:?223g=0h801<89b;:b6>;6>?i14l?4}r3b4d<72=q6=l??:0243>;6>?k14l?4=045f?>f927::;m58`08yv7f800;6?u21`2e>460?27::;j58`38yv700k0;6:u21`2;>15634;j<:4;309>5d612=9:70?n048774=:9h:?69=>;<3b46<3;816=:6l:d5g?xu6i981<716=;87:9c0?xu6i9;1<716=;87:9c7?xu6i9:1<7=t=0c32?77?>16=;89:9c0?871>>03m>5rs0;eb?6==03m?521746>=g534;=:;47a09~w4?am3:18v3>a169551034;=:947a09>530221k:70?9678;e7=z{83mh7>52z?2e55=99=<70?9668;e4=z{8=3;7>57z?2=`2=<:;01<7j3;605>;61l818>?4=0;f5?24927:5h>5423894?cn3>8=63>79:9a2b564495644956469976n3:p5>76n2:?2205=0h801<8:4;:b5>{t90nj6=4;{<3:a5<68>=01<8:2;:b5>;6><914l?4=0460?>f:2wx=4j6:18187>lo0:<:94=0461?>f92wx=:6::18487>l10??<5218f4>15634;2h;4;309>5;<34<316=;:l:9c1?871=g63ty:5nk50;6x94?c<3;;;:52176`>=g634;=8i47a09>532b21k97p}>9bf94?4|583o?7??769>532a21k:7p}>79;94?1|583m<7:<1:?2=``=<:;01<7je;605>;61ln18>?4=0;fg?24927:5hl54238941?i3o5<5s4;2j=4>06589402k32j?6s|18g:>5<5s4;2ik4>06589402k32j86s|18g;>5<4s4;2ih4>06589402i32j?63>64`9564:9576n2:?220g=0h;0q~?6e783>1}:90oh6<>87:?220>=0h;01<8:9;:b5>;6>;6>q6=4m?:512?87>jo0??<5218`f>15634;2ni4;309>5296h9k;|q2=gg=838p1<7l0;3332=:9?>?65o<;|q2=g?=838p1<7mf;3332=:9?>?65o;;|q2=g>=839p1<7me;3332=:9?>965o<;<3506:9c1?871<;03m<5rs0;a2?6==g634;=8?47a39~w4?e=3:1>v3>9c`9551034;=8>47a09~w410n3:1;v3>9c69067<583i?7:<1:?2=g4=<:;01<7m1;605>;61k:18>?4=0;bb?24927:;5>5e6f8yv7>il0;6?u218`7>460?27::>k58`18yv7>im0;6?u218`0>460?27::>k58`68yv7>ij0;6>u218`1>460?27::>m58`189404l32j?6s|18ca>5<3s4;2n<4>06589404i32j>63>62`9{t90k26=4={<3:ec<68>=01<8{t9>286=48{<3:gf<3;816=4mm:512?87>kh0??<5218a:>15634;2o54;309>552z?2=fe=99=<70?94`8;e6=z{83h97>52z?2=fd=99=<70?94`8;e1=z{83h87>53z?2=fg=99=<70?9498;e6=:9?>265o<;|q2=f5=83>p1<7l9;3332=:9?>=65o=;<350216=;:9:9c2?871<>03m<52176;>=g53ty:5n?50;0x94?d?3;;;:52176:>=g63ty:;:l50;5x94?>k3>8=63>98`9067<5832m7:<1:?2=;610=18>?4=054g?c0l2wx=479:18187>1j0:<:94=0406?>f;2wx=47::18187>1k0:<:94=0406?>f<2wx=47;:18087>1h0:<:94=0404?>f;27::>?58`18yv7>1:0;69u218;:>460?27::?k58`089405n32j>63>6229563g97}:903<6<>87:?2267=0h;0q~?87883>2}:903;69=>;<3:0m0??<5218:`>15634;24o4;309>521f2l=o7p}>99c94?4|5832<7??769>534d21k87p}>99;94?4|5833j7??769>534d21k?7p}>99:94?5|5833i7??769>534f21k870?92c8;e6=z{833;7>54z?2==b=99=<70?9298;e7=:9?8265o=;<356d8=63>9`49067<583j97:<1:?2=d2=<:;01<7n3;605>;6?>o1i:j4}r3:e7<72;q6=4o7:0243>;6>:214l=4}r3:e4<72;q6=4o8:0243>;6>:214l:4}r3:e5<72:q6=4o9:0243>;6>:<14l=4=0403?>f;2wx=47i:18787>i<0:<:94=0400?>f:27::>;58`089404>32j=6s|18;f>5<3s4;2m94>06589404<32j=63>6279;<3:<7<3;816=46>:512?87>090??<52185e>15634;<;54j7e9~w4?0m3:1>v3>9969551034;=>;47a29~w4?0l3:1>v3>9919551034;=>;47a59~w4?0k3:1?v3>9909551034;=>947a29>534221k87p}>96`94?2|5833=7??769>534521k970?9228;e7=:9?8?65o>;|q2=2g=83>p1<770;3332=:9?8965o>;<356631<716=;<::9c2?xu6?>?1<79t=0;5g?24927:5;l5423894?1i3>8=63>97;9067<583=47:<1:?2=31=<:;01<986;g4`>{t90<=6=4={<3:2f<68>=01<8>a;:b7>{t90<>6=4={<3:2g<68>=01<8>a;:b0>{t90=01<8>8;:b7>;6>8314l=4}r3:26<72=q6=486:0243>;6>8<14l<4=0423?>f:27::<658`38yv7>>;0;69u2184;>460?27::<858`389406?32j=63>60:95<0028:<;63>60;95<332=9:70?6528774=:90?969=>;<3:14<3;816=4;?:512?87>`1c3ty:59k50;0x94?2<3;;;:52172f>=g43ty:59j50;0x94?2;3;;;:52172f>=g33ty:59m50;1x94?2:3;;;:52172`>=g434;=9439551034;=536e21k970?90b8;e4=z{83?m7>54z?2=06=99=<70?90`8;e4=:9?:i65o>;<354f?4=0;73?24927:5985423894?3=3>8=63>9569067<583??7:<1:?2326=m>n0q~?64383>7}:90>36<>87:?225>=0h90q~?64083>7}:90><6<>87:?225>=0h>0q~?64183>6}:90>=6<>87:?2250=0h901<8?7;:b7>{t909m6=4;{<3:00<68>=01<8?4;:b6>;6>9?14l<4=0432?>f92wx=4=j:18787><=0:<:94=0430?>f927::=;58`389407>32j>6s|181g>5<5s4;28>4>06589407?32j=6s|1650>5<0s4;2:=4;309>5<3a2=9:70?65d8774=:90?o69=>;<3:1f<3;816=4;m:512?870?=0n;i5rs0;6e?6=:r7:5;>51154?8719=03m>5rs0;6=?6=:r7:58h51154?8719=03m95rs0;6521730>=g43ty:58950;6x94?2l3;;;:521733>=g534;==<47a39>537521k:7p}>94494?2|583>o7??769>537721k:70?9108;e4=:9?;965o=;|q2=03=838p1<7:b;3332=:9?;865o>;|q233d=83=p1<7<0;605>;61;l18>?4=0;1a?24927:5?j5423894?5k3>8=63>93`9067<58==o7k8d:p5<4f2909w0?63182421<58?mo76n3:p5<4>2909w0?62g82421<58?mo76n4:p5<4?2908w0?62d82421<58?mm76n3:?21cd=0h90q~?62683>1}:908o6<>87:?21c>=0h801<;i9;:b6>;6=ok14l?4}r3:63<72=q6=4;6=o214l?4=07e=?>f927:9ko58`08yv7>:<0;6?u2180a>460?27:9kl58`38yv70>00;6:u21807>15634;2>>4;309>5<452=9:70?6208774=:908;69=>;<3:5c<3;816=:8n:d5g?xu618o1<716=8h9:9c0?xu618n1<716=8h9:9c7?xu618i1<7=t=0;16?77?>16=8h;:9c0?872n<03m>5rs0;2f?6==g534;>j947a09~w4?6i3:18v3>9329551034;>j?47a09>50`421k:70?:f58;e7=z{83:57>52z?2=4`=99=<70?:f48;e4=z{8==h7>57z?2=6e=<:;01<7;61:k18>?4=0;0=?24927:5>65423894?4?3>8=63>77g9a2b5<5d28:<;63>61095<5e28:<;63>61095<5f28:<;63>6129{t90996=4;{<3:7=<68>=01<;ie;:b5>;6=ol14l?4=0434?>f:2wx=4=>:18187>;>0:<:94=0435?>f92wx=:8::18487>8j0??<52182a>15634;25<6>2=9:70?6098774=:90:<69=>;<342316=8k9:9c1?872m>03m?5214g;>=g63ty:5=<50;6x94?703;;;:5214g5>=g634;>i:47a09>50c?21k97p}>91394?4|583;;7??769>50c>21k:7p}>77194?1|583;<7:<1:?2;60on18>?4=0:eg?24927:4kl542389411<3o5<5s4;2<=4>0658943b<32j?6s|19d:>5<5s4;3jk4>0658943b<32j86s|19d;>5<4s4;3jh4>0658943b:32j?63>5d195=`c28:<;63>5d291}:91lh6<>87:?21`6=0h;01<;j1;:b5>;6=l814l<4}r3;b0<72;q6=5hm:0243>;6=l914l?4}r3422<72>q6=4?7:512?87>9>0??<521835>15634;2=84;309>5<732=9:70?6128774=:9><36h9k;|q2=44=838p1<7>8;3332=:97;3332=:96;3332=:9=g634;>ih47a39~w4?7l3:1>v3>9019551034;>ik47a09~w41193:1;v3>b3:9067<58h9;7:<1:?2f70=<:;01;6j;>18>?4=0`17?24927:;;<5e6f8yv7e:;0;6?u21c0;>460?27::k758`18yv7e:80;6?u21c04>460?27::k758`68yv7e:90;6>u21c05>460?27::k958`38940a032j=6s|1c3e>5<3s4;i>84>0658940a<32j>63>6g5901<8i8;:b7>{t9k;o6=4={<3a66<68>=01<8i6;33e==z{8=>j7>57z?2f4e=<:;01b;605>;6j8k18>?4=0`2=?24927:n<65423894d6?3>8=63>7729a2b5g7d28:<;63>6g095g7e28:<;63>6g095g7f28:<;63>6g29{t9k;96=4;{<3a5=<68>=01<8je;:b5>;6>ll14l?4=04e4?>f:2wx=o?>:18187e9>0:<:94=04e5?>f92wx=:;m:18487e8=0??<521c20>15634;i5g662=9:70?m018774=:9hlm69=>;<341f1==98;<35a316=;k=:9c1?871m:03m?5217g7>=g63ty:mko50;6x94d783;;;:5217g1>=g634;=i>47a09>53c321k97p}>ag;94?4|58kmj7??769>53c221k:7p}>74;94?1|58km47:<1:?2ec1=<:;01;6io?18>?4=0ce0?24927:mk=542389412i3o5<5s4;jj54>0658940b832j?6s|1`d2>5<5s4;jj:4>0658940b832j86s|1`d3>5<4s4;jj;4>0658940cm32j?63>6ed95d`228:<;63>6ea91}:9hl?6<>87:?22ae=0h;01<8kd;:b5>;6>mo14l<4}r3baa<72;q6=lh<:0243>;6>ml14l?4}r341a<72>q6=o??:512?87e8o0??<521c2f>15634;i5g6d2=9:70?m0c8774=:9>?n6h9k;|q2f5g=838p10;3332=:9?oh65o<;|q2f5?=838p1=839p1=g634;=il47a39~w4d7=3:1>v3>b1`9551034;=io47a09~w412;3:1;v3>a929067<58k;6i>i18>?4=0c4f?24927:;8:5e6f8yv7f?h0;6?u21`:3>460?27::l:58`18yv7f?00;6?u21`5e>460?27::l:58`68yv7f?10;6>u21`5f>460?27::l<58`18940f;32j?6s|1`54>5<3s4;j;i4>0658940f832j>63>6`3976n1:p5d11290?w0?n7b82421<58{t9h=>6=4={<3b3g<68>=01<8n3;:b5>{t9>?:6=48{<3:bf<3;816=4hm:512?87>nh0??<5218d:>15634;2j54;309>5<`02=9:70?8538f3a=z{83m:7>52z?2=ce=99=<70?9638;e6=z{83m97>52z?2=cd=99=<70?9638;e1=z{83m87>53z?2=cg=99=<70?9618;e6=:9?<:65o<;|q2=c5=83>p1<7i9;3332=:9??n65o=;<351c16=;;j:9c2?871=o03m<521743>=g53ty:5k?50;0x94?a?3;;;:521742>=g63ty:;8;50;5x94gbk3>8=63>ad`9067<58knm7:<1:?2e`?=<:;01;6il=18>?4=0562?c0l2wx=lk9:18187fmj0:<:94=04ge?>f;2wx=lk::18187fmk0:<:94=04ge?>f<2wx=lk;:18087fmh0:<:94=04gf;27::i758`18yv7fm:0;69u21`g:>460?27::i858`08940c?32j>63>6e:95dc?28:<;63>6e49=0h80q~?ne083>7}:9ho<6<>87:?22a?=0h;0q~?84883>2}:91l?69=>;<3;b6<3;816=5h=:512?87?n80??<5219d3>15634;3ik4;309>522f2l=o7p}>8dg94?4|582m87??769>50bb21k87p}>8df94?4|582m?7??769>50bb21k?7p}>8da94?5|582m>7??769>50bd21k870?:de8;e6=z{82nn7>54z?28=63>9649067<583<97:<1:?2=22=<:;01<783;605>;6?<:1i:j4}r3:37<72;q6=497:0243>;6>;:14l=4}r3:34<72;q6=498:0243>;6>;:14l:4}r3:35<72:q6=499:0243>;6>8o14l=4=042b?>f;2wx=48i:18787>?<0:<:94=042g?>f:27::5<3s4;2;94>06589406k32j=63>60f91387=`=:<8896<>87:?7554=0h>0q~:>7583>4?|5=;:>7:7d:?7543=<1n019?>7;6;`>;398<185j4=5327?2?l27?=3h63;10d90=b<5=;9<7:7d:?7577=<1n019?=2;6;`>;39;9185j4=5310?2?l27?=?;549f89170<3;;;:524024>461m27?==6549f8917713>3h63;11c90=b<5=;;n7:7d:?755e=<1n019??d;6;`>;399o185j4=533b?2?l27?=<>549f8yv269;0;6?u24031>460?27?==<58`08yv26900;6>u24036>1?b34>:>=4>06589177;32j?6s|4036>5<5s4>:=84>06589177;32j>6s|403b>5<4s4>:=:4;9d9>044628:<;63;1169:=:4?:3y>047028:<;63;1169:=n4?:2y>04712=3n70:>2282421<5=;;976n5:p04712909w0:>1782421<5=;;976n3:p047c2908w0:>1287=`=:<88?6<>87:?7554=0h90q~:>1283>7}:<8;86<>87:?7554=0h;0q~:>1d83>6}:<8;:697j;<6260<68>=019??4;:b7>{t<8;:6=4={<6254<68>=019??4;:b5>{t<8;36=4<{<6251<31l168;399<14l<4}r6251<72;q68;399<14l?4}r6242<72:q680:<:94=52e1?>f92wx8<>7:187826:90?5h5241g7>46f027?3;;m55241d;><7634>:<44>0658yv268h0;69u24001>1?b34>;i54>0`:8916a133:=63;11c955103ty?==m50;6x9175;3>2i63;0da955g?34>;jo46109>046d28:<;6s|402f>5<3s4>:>94;9d9>05`728:j463;0gf9=47<5=;;i7??769~w17683:1?v3;137901:?7546=99=<7p};0g694?5|5=:n?7??a99>05`120;:70:?f48f3a=z{=:n?7>53z?74`5=j1h019>j4;60f>;38o=18>l4}r`3g?6=:r7?2i6s|41g7>5<4s4>;i94m8c9>05c12=9i70:?f9877g=z{k:o6=4={<63b27:5;f?xu38l<1<7=t=52f2?d?j27?8n6s|b1g94?4|5=:m47l7b:?755?=<0o0q~:?e983>6}:<9o36o6m;<63ad<3;k168=hn:51a?xue8o0;6?u241d:>g>e34>:;jl46109>046e28:<;6s|41gb>5<4s4>;il4m8c9>05cd2=9i70:?fc877g=z{k;;6=4={<63bdm:5;f?xu38li1<7=t=52fg?d?j27?8n6s|b0394?4|5=:mn7l7b:?755e=<0o0q~:>0e83>6}:<9on6<>n8:?74ce=18;019??d;3332=z{=:ni7>53z?74`c=j1h019>i0;60f>;38on18>l4}r`26?6=:r7?2i6s|41d3>5<4s4>;j=4m8c9>05`52=9i70:?fd877g=z{k;86=4={<63baj:5;f?xu399l1<7=t=52e6?77i1168=hj:832?8268o0:<:94}r`21?6=:r7?8n6s|b0694?4|5=:mi7l7b:?755`=<0o0q~l>6;296~;38ol1n5l4=5324?2>m2wx8=8j:18085amk02=<5241ce>1?b34>;:h4>0658yvd?;3:1>v3hjb;`;f>;38?o184k4}r632a<72=q6?kkn:832?85ak80:m27?<;j51154?xu4nkn1<7=t=2dfe?24j278jn?542`896`el3h3n6s|b9094?4|5:lnm7l7b:?743b=<0o0q~:?6c83>1}:;oo364?>;<1efa<68h2019>nc;6:a>;38?h1==98;|q0bgd=839p1>hj8;60f>;4nkn18>l4=2daf?d?j2wxn5?50;0x96`b03h3n63;07`90;:l4?:5y>7cc020;:70=ibc824d><5=:jn7:6e:?743g=99=<7p}hm9;`;f>{tj1:1<700;69u23gg5><76349mn44>0`:8916fi3>2i63;07;955103ty8jo950;1x96`b>3>8n63hj6;`;f>;38?3184k4}r632=<72=q6?kk::832?85aj>0:m27?<;651154?xu4nk?1<7=t=2df1?24j278jo9542`896`e=3h3n6s|b6g94?4|5:ln97l7b:?743>=<0o0q~:?6683>1}:;oo?64?>;<1ef0<68h2019>n8;6:a>;38?=1==98;|q0bg5=839p1>hj4;60f>;4nk?18>l4=2da7?d?j2wxn:j50;0x96`b<3h3n63;07590;:;4?:5y>7cc420;:70=ib2824d><5=:j;7:6e:?7430=99=<7p}hm1;`;f>{tj>i1<7<0;69u23gg1><76349mn<4>0`:8916f>3>2i63;077955103ty8jlh50;1x96`b:3>8n63hj2;`;f>;38??184k4}r6321<72=q6?kk>:832?85aio0:m27?<;:51154?xu4nhn1<7=t=2df5?24j278jlh542`896`fl3h3n6s|b6c94?4|5:ln=7l7b:?7432=<0o0q~:?6283>1}:;oo;64?>;<1eea<68h2019>n4;6:a>;38?91==98;|q0bdd=839p1>hj0;60f>;4nhn18>l4=2dbf?d?j2wxn:750;0x96`b83h3n63;07190;:?4?:5y>7cba20;:70=iac824d><5=:j?7:6e:?7434=99=<7p}hn7;`;f>{tj>21<790;69u23gfg><76349mm:4>0`:8916f93>2i63;072955103ty8jl;50;1x96`cl3>8n63hkd;`;f>;38?:184k4}r631c<72=q6?kjl:832?85ai<0:m27?<8h51154?xu4nh91<7=t=2dgg?24j278jl;542`896`f;3h3n6s|b6494?4|5:loo7l7b:?740`=<0o0q~:?5d83>1}:;oni64?>;<1ee6<68h2019>6f;6:a>;38hkb;60f>;4nh918>l4=2db5?d?j2wxn:;50;0x96`cj3h3n63;04g90;9i4?:5y>7cbf20;:70=ia0824d><5=:2i7:6e:?740b=99=<7p}h6f;`;f>{tj>>1<7<76349m5k4>0`:8916>l3>2i63;04a955103ty8j4j50;1x96`c13>8n63hk9;`;f>;38m27?<8l51154?xu4n0h1<7=t=2dgj3h3n6s|b6094?4|5:lo47l7b:?740d=<0o0q~:?5`83>1}:;on<64?>;<1e=g<68h2019>6b;6:a>;38hk7;60f>;4n0h18>l4=2d:=?d?j2wxn:?50;0x96`c?3h3n63;04c90;944?:5y>7cb120;:70=i98824d><5=:2m7:6e:?740?=99=<7p}h67;`;f>{tj>:1<7<76349m5:4>0`:8916>13>2i63;04:955103ty8j4;50;1x96`c=3>8n63hk5;`;f>;38<2184k4}r6312<72=q6?kj;:832?85a1<0:m27?<8951154?xu4njl1<7=t=2dg0?24j278j4;542`896`dn3h3n6s|b7g94?4|5:lo87l7b:?7401=<0o0q~:?7483>1}:;ol864?>;<1egc<68h2019>m5;6:a>;38>?1==98;|q0bfb=839p1>hi3;60f>;4njl18>l4=2d``?d?j2wxn;j50;0x96`a;3h3n63;06790;;94?:5y>7c`520;:70=ice824d><5=:i87:6e:?7422=99=<7p}7:hlb;`;f>{tj?i1<7<76349moo4>0`:8916e;3>2i63;061955103ty8jn750;1x96`a93>8n63hi1;`;f>;38>9184k4}r6337<72=q6?kh?:832?85ak00:m27?<:<51154?xu4nj=1<7=t=2de4?24j278jn7542`896`d?3h3n6s|b7c94?4|5:lm<7l7b:?7424=<0o0q~:?7083>1}:;oom64?>;<1eg2<68h2019>m1;6:a>;38>;1==98;|q0bf3=839p1>hjf;60f>;4nj=18>l4=2d`1?d?j2wxn;750;0x96`bn3h3n63;06390;;=4?:5y>7ccb20;:70=ic4824d><5=:i<7:6e:?7426=99=<7p}hl3;`;f>{tj?21<7548g8yv27>o0;69u23ggg><76349mo>4>0`:8916fl3>2i63;07d955103ty8joh50;1x96`bl3>8n63hjd;`;f>;38?l184k4}r632f<72=q6?kk6:832?85ajo0:m27?<;m51154?xu4nh31<7=t=2df=?24j278joh542`896`f13h3n6s|b7494?4|5:ln57l7b:?743e=<0o0q~:?6083>1}:;onn64?>;<1ee<<68h2019>67;6:a>;38?;1==98;|q0b<2=839p1>hke;60f>;4nh318>l4=2d:0?d?j2wxn;;50;0x96`cm3h3n63;073907cb420;:70=i95824d><5:lo>7k8d:pf32=838p1>hk3;`;f>;38<<184k4}r1e3`<72;q6?k9?:5ga?85a?l0n;i5rs2d5b?6=:r78j:>542`896`1n3h3n6s|3g54>5<5s49m;=4>0`:896`0?3o5<5s49m;=4m8c9>044021k>7p};16:94?5|5:l<;76n1:?0b2c=0h9019?79;3332=z{=;?h7>59z?0b21=0h801>h8e;:b6>;4n1i14l<4=2d:7?>f:278j;658`389172m3;;;:52413:>=g534>;?547a09>7c3?21k=7p};16;94?5|5:l<;76n3:?0b2c=0h>019?7a;3332=z{=;?i7>59z?0b21=0h>01>h8e;:b5>;4n1i14l:4=2d:7?>f9278j;658`189172n3;;;:52413b>=g534>;?447a09>7c3>21k=7p}n0q~=i6d83>7}:;oh86;296~;4n?l1==o7;<1e33<14l?4=2d4`?>f;27?=5951154?xu39=h1<77t=2d42?>f:278j:j58`0896`?j32j>63965o>;<1e7d<14l=4=2d4`?>f<27?=5651154?xu39=i1<77t=2d42?>f<278j:j58`3896`?j32j863;<1e12h8c;296~;4n?o18hl4=2d4g?c0l2wx?k8k:18185a>l0??o523g4g>g>e3ty8j:;50;0x96`1m3;;m5523g56>`1c3ty?=5=50;1x96`0=32j=63h61;:b6>;4n?<14l;4=535168=<;:9c1?827<903m<523g70>=g13ty?=5:50;1x96`0=32j?6301>h61;:b5>;4n?=14l?4=535=?77?>168=<::9c1?827<803m<523g76>=g33ty8j:l50;0x96`1l3>nn637c0c2=9i70=i6b8a52z?0b3b=99k370=i758f3a=z{=;3=7>53z?0b22=0h;01>h8b;:b7>;390;1==98;|q7500=833p1>h84;:b6>;4n>h14l<4=2d;=?>f:278j4>58`0896`1>32j=63;1749551034>;>?47a39>055b21k:70=i4d8;e6=z{=;3>7>53z?0b22=0h901>h8b;:b0>;39081==98;|q7501=833p1>h84;:b0>;4n>h14l?4=2d;=?>f<278j4>58`3896`1>32j?63;1759551034>;>>47a39>055a21k:70=i508;e6=z{:l52z?0b3e=h8a;g4`>{t;o91<7l1<7=t=2d47?>f9278j:o58`18917?n3;;;:5rs5360?6=1r78j:=58`0896`0i32j>63f;278j:o58`68917>83;;;:5rs5361?6=1r78j:=58`6896`0i32j=6331<7h0;6?u23g4a>15e349m:l4m8c9~w6`0:3:1>v376n2:?0b2?=0h801>h77;:b6>;4n1o14l<4=2d50?>f=27?=;<51154?8279l03m?524115>=g6349m?k47a29~w170m3:1?v376n4:?0b2?=0h;01>h77;:b0>;4n1o14l?4=2d51?>f927?=;=51154?8279o03m?52411a>=g6349m8847a29~w6`003:1>v3<5:l<=7k8d:p04122908w0=i708;e4=:;o=365o<;<62<0<68>=0q~:>4`83><}:;o=:65o=;<1e3==g634>:9l4>06589166>32j>63;0349=0q~:>5083><}:;o=:65o;;<1e3==g434>:9o4>06589166l32j>63;0279h75;60f>;4n1>1n5l4}r1e;4n1i1i:j4}r1e<0<72;q6?k6::c:a?826i<03m;5rs53:;32j?63;1``955103ty?=4750;1x96`?k32j?63:3:1>v37k8d:p7c>42909w0=i85877g=:;o286o6m;|q0b=d=838p1>h74;33e==:;o2i6h9k;|q75<0=839p1>h7b;:b5>;4n0814l=4=53b=?77?>1v9?67;297~;4n1h14l=4=2d:6?>f<27?=lo51154?xu4n0;1<715e349m4?4m8c9~w6`?i3:1>v3019?m6;3332=z{:l2<7>52z?0b=4=h60;g4`>{t;o2:6=4={<1e<7<3;k16?k6>:c:a?xu4n131<7f9278j4>58`18917e;3;;;:5rs53b6?6=;r78j5758`1896`>832j863;1c6955103ty8j5h50;0x96`?93>nn637c>62=9i70=i818a52z?0b=7=99k370=i898f3a=z{=;2j7>53z?0b=>=0h;01>h7f;:b7>;39k;1==98;|q75d6=839p1>h78;:b7>;4n1l14l:4=53a6?77?>1v>h7e;296~;4n1:18hl4=2d;a?c0l2wx?k9i:18185a090??o523g5e>g>e3ty8j5950;0x96`?83;;m5523g:4>`1c3ty?=4j50;1x96`??32j=63m3:1?v3n0q~=i8783>7}:;o=m6<>n8:?0b=0=m>n0q~:>9483>6}:;o2=65o>;<1e{t<83h6=4<{<1e<3;0i4o5rs2d5=?6=:r78j;=511c;?85a>00n;i5rs52f5?6=1r78j;=5b9`89162;32j863;0e39019>l8;:b0>;38ml14l:4=52`2?>f<27?=g634>::<4>06589166k32j>633183>6}:;o<265o=;<6204<68>=01>h:b;:b0>{t;o<:6=4={<1e27<3;k16?k8>:c:a?xu4n?21<7f:27?=>k51154?85a=103m95rs531a?6=;r78j;658`689174n3;;;:523g7:>=g33ty?=9h50;5x96`1032j963;1729551034>;=o47a39>055f21k:70=i5`8;e3=:<8k>65o:;<626216?k;n:9c7?xu4n?:1<75b9`8yv5a>>0;6?u23g42>46f0278j;95e6f8yv26;00;6>u23g44>=g534>:844>065896`2=32j>6s|400a>5<4s49m::47a59>045d28:<;63:>n4?:2y>7c0021k=70:>3e82421<5:l>;76n4:p7c3a2909w0=i61877g=:;o?m6o6m;|q0b30=838p1>h90;33e==:;o<=6h9k;|q7560=839p1>h96;:b6>;39=<1==98;<1e0`16?k;>:9c6?xu39:21<7=t=2d52?>f>27?=9651154?85a=:03m95rs2d6a?6=:r78j8h542`896`2m3h3n6s|3g46>5<5s49m9k4>0`:896`1=3o5<4s49m:847a39>042428:<;63:?94?:2y>7c0221k?70:>4582421<5:l?476n5:p04522908w0=i648;e3=:<8>>6<>87:?0b1d=0h?0q~=i6583>7}:;o?n6<>n8:?0b32=m>n0q~:>2`83>6}:;o=01>h<2;:b0>{t<89:6=4<{<1e21;4n;h14l;4}r6277<72:q6?k8;:9c5?826<;0:<:94=2d0b?>f=2wx8=6j:181827io0:<:94=2d77?>f<2wx8=6k:181827il0:<:94=2d76?>f<2wx8=6m:181827ij0:<:94=2d0`?>f<2wx8=6n:181827ik0:<:94=2d0f?>f<2wx8=66:181827ih0:<:94=2d0=?>f<2wx8=67:181827i00:<:94=2d03?>f<2wx8=68:181827i10:<:94=2d02?>f<2wx8=69:181827i>0:<:94=2d01?>f<2wx8=6::181827i?0:<:94=2d00?>f<2wx8=6;:181827i<0:<:94=2d07?>f<2wx8=6<:181827i=0:<:94=2d04?>f<2wx8=6=:181827i:0:<:94=2d1a?>f<2wx8=6?:181827i80:<:94=2d1=?>f<2wx8=9i:181827i90:<:94=2d1f<2wx8=9j:1818271o0:<:94=2cbb?>f92wx8=9k:1818271l0:<:94=2cb`?>f92wx8=9l:1818271m0:<:94=2d6f?>f=2wx8=9m:1818271j0:<:94=2d6e?>f=2wx8=9n:1818271k0:<:94=2d6=?>f=2wx8=96:1818271h0:<:94=2d6f=2wx8=97:181827100:<:94=2d63?>f=2wx8=98:181827110:<:94=2d0e?>f92wx8=7::181827j<0:<:94=2d61?>f92wx8=7;:181827j=0:<:94=2d67?>f=2wx8=7<:181827j:0:<:94=2d65?>f<2wx8=7=:181827j;0:<:94=2d7a?>f<2wx8=7>:181827j80:<:94=2d7f?>f<2wx8=7?:181827j90:<:94=2d7f<2wx8=6i:181827im0:<:94=2d71?>f<2wx8=6l:181827i;0:<:94=2d0b?>f<2wx8=6>:1818271>0:<:94=2d1f?>f<2wx8=;9:1808271?0?5h524175>460?278ji<58`38yv27??0;6?u241;5>460?278j><58`08yv5anj0;6?u24042>1?b34>;844m8c9~w16d<3:1=1>c34>::=4;8e9>043a2=2o70:>5d8710?4i524044>1>c34>::;4;8e9>04022=2o70:>6587`1c3ty?80??<524043>15634>:9k4;309>043b2=9:70:>5e8774=:<8?h69=>;<622<<3;8168<87:512?826>>0??<524045>15634>::84;309>04032=9:70:>628774=:<8<969=>;<621g<3;8168<;n:512?827l00n;i5rs2def?6=:r7?=;>548g8916303h3n6s|3gdb>5<5s4>:9k4;9d9>05202k2i7p}i7:6e:?7410=j1h0q~=if983>7}:<8?o697j;<6300hi7;296~;3900?5h524171>g>e3ty?<=;50;0x917103>2i63;0439f=d;<94?:3y>04002=3n70:?518a52z?7530=<0o019>;f;`;f>{t<9:96=4={<6220<31l168=:j:c:a?xu389;1<7m27?<9j5b9`8yv27890;6?u24040>1?b34>;8n4m8c9~w6`am3:1>v3;170905c87=`=:<9>j6o6m;|q0bc3=838p19?:a;6:a>;38=91n5l4}r635f<72;q68=:6:832?8279j0n;i5rs523g?6=:r7?<97542`89167k3h3n6s|4321>5<58r7?<>9;:b5>;38:214l<4=522f927?<>958`089166?32j=63;0509=4;:b5>;38=:14l<4=5217?>f927?<>h58`089165:32j=63;02g9=0;:b5>;38:i14l<4=522b?>f927?<>l58`089166m32j=63;0249>6;:b5>;38;<14l<4=5037?c0l2wx8<8n:1827~;388i14l=4=522f?>f;27?<=4;:b7>;38;914l=4=5216?>f;27?>6;:b7>;39?k1==98;<1eb28n63;01`9f=d;>n4?:3y>056d2=oi70:?3`8f3a=z{=::m7>53z?7411=18;019>?b;33e==:<9;j6h9k;|q745g=839p19>;7;60f>;389h18>l4=523e?d?j2wx8=`1c3ty?<<750;1x9163>33:=63;01c955g?34>;=44j7e9~w16713:1?v3;054906d<5=:;m7:7}:<9:j69km;<637=>8;297~;38=?155<5s4>;<44;ec9>05502l=o7p};00594?5|5=:?877>1:?745>=99k370:?168f3a=z{=::97>53z?7412=<:h019>?8;60f>;388?1n5l4}r636=<72;q68=>7:5ga?827<;0n;i5rs5211?6=;r7?<8<590389166=3;;m5524106>`1c3ty?<<:50;1x9162:3>8n63;007906d<5=::87l7b:p05532909w0:?1487ag=:<9>:6h9k;|q7472=839p19>:1;;25>;388>1==o7;<6361>3;297~;38<;18>l4=5220?24j27?<<=5b9`8yv27;:0;6?u24137>1ce34>;8=4j7e9~w165;3:1?v3;0429=47<5=::?7??a99>05442l=o7p};00094?5|5=:><7:>2;`;f>{t<9996=4={<6356<3mk168==i:d5g?xu38;81<7=t=527b??6927?<<<511c;?827:;0n;i5rs5225?6=;r7?<9h542`89166:3>8n63;0039f=d;?<4?:3y>05752=oi70:?3d8f3a=z{=:9=7>53z?741c=18;019>>1;33e==:<98:6h9k;|q7446=839p19>;e;60f>;388;18>l4=5224?d?j2wx8==?:181827980?io52411g>`1c3ty?50;1x9163l33:=63;002955g?34>;>=4j7e9~w167n3:1?v3;05f906d<5=::<7:7}:<9;;69km;<637f>f;297~;38=i155<5s4>;055e2l=o7p};00g94?5|5=:?n77>1:?745c=99k370:?1d8f3a=z{=:;h7>53z?741d=<:h019>?e;60f>;389n1n5l4}r636a<72;q68=>j:5ga?827;?0n;i5rs522`?6=;r7?<9o590389167l3;;m552413g>`1c3ty?<=950;1x9163i3>8n63;01f906d<5=:;;7l7b:p05402909w0:?0e87ag=:<99>6h9k;|q7440=839p19>;3;;25>;389=1==o7;<6353hi4;297~;38=918>l4=5233?24j278jk95e6f8yv27:?0;6?u24124>1ce34>;>;4j7e9~w17al3:1>v3;1c590?7k8d:p04d02908w0:>b682421<5=:>?76n5:?75d3=0h;0q~:>fd83>7}:<8l3697j;<62b`k6;296~;39o218>?4=52g3?c0l2wx8f92wx8460?2wx8=j?:1815~;391i18>?4=53;f?24927?=5o54238917?13>8=63;19:9067<5=;3;7:<1:?75<2=<:;019?63;605>;390818>?4=53:5?24927?=4>54238917?n3>8=63;19g9067<5=;3h7:<1:?75=0=<:;019?75;605>;39ho18>?4=53b`?24927?=lm54238917fj3>8=63;1`c9067<5=;j57:<1:?75g0=<:;019?m5;605>;39k>18>?4=53a7?24927?=o<54238917e93>8=63;1c29067<5=;jj7:<1:?75d>=<:;019?n7;605>;38m;1i:j4}r623g<72;q68<6l:0243>;39;=14l<4}r62fa<72;q68<6m:5;f?826kl0:<:94}r623d<72;q68<6m:0243>;39;=14l:4}r62ff<72;q68<6n:5;f?826km0:<:94}r62fg<72;q68<66:5;f?826kj0:<:94}r62fd<72;q68<67:5;f?826kk0:<:94}r62f<<72;q68<68:5;f?826kh0:<:94}r62g2<72;q68<7;:5;f?826l>0:<:94}r62g3<72;q68<7<:5;f?826l?0:<:94}r62g0<72;q68<7=:5;f?826l<0:<:94}r62g1<72;q68<7>:5;f?826l=0:<:94}r62g6<72;q68<7?:5;f?826l:0:<:94}r62g7<72;q68<6i:5;f?826l;0:<:94}r62g4<72;q68<6j:5;f?826l80:<:94}r62g5<72;q68<6k:5;f?826l90:<:94}r62fc<72;q68<69:5;f?826k00:<:94}r62f=<72;q68<6::5;f?826k10:<:94}r62``<72;q68;39h?14l=4}r62`a<72;q68;39h?14l:4}r62`f<72;q680:<:94}r62a3<72;q68:5;f?826n;0:<:94}r62a4<72;q681?b34>;984j7e9~w16dm3:1?v3;1ga9067<5=;mn7:<1:?74f`=m>n0q~:>f`83>1}:<8lh6<>87:?7405=0h8019>k1;:b5>;4n:k14l=4}r6145<72:q68`1c3ty?=k750;6x917aj3;;;:524170>=g434>;h<47a39>7c3221k87p};21694?>|5=;;39?i18>?4=535e?24927?=;l54238917513>8=63;2179a2b:;=4?:6y>041428:<;6376n6:?7555=0h>019??4;:b1>;399?14l:4=5332?>f<2wx8<8i:186826?;0:<:94=2d7f?>f>27?==<58`789177=32j>63;1149::h4?:5y>041628:<;637}:<8kb;296~;39?n18>?4=52gb?c0l2wx8<8l:186826>j0:<:94=2d71?>f>27?::o4?:8y>040e28:<;63;0b59l9;:b7>;38l:14l<4=2d05?>f>27?6s|400:>5<2s4>:>44>065896`5j32j:63;0b5947|5=;hj7:<1:?75fc=<:;019?ld;605>;39ji18>?4=53`f?24927?=no54238917c?3>8=63;1e49067<5=;o97:<1:?75a2=<:;019?k3;605>;39m818>?4=53g5?24927?=i>54238917d13>8=63;1b:9067<5=:o97k8d:p05b5290:=v3;1dd9067<5=;ni7:<1:?75`b=<:;019?jc;605>;39lh18>?4=53fe?24927?=k954238917a>3>8=63;1g79067<5=;m87:<1:?75c5=<:;019?i2;605>;39o;18>?4=53e4?24927?=h754238917b03>8=63;0e19a2b;on4?:03x917393>8=63;1529067<5=;8j7:<1:?756c=<:;019?;39:i18>?4=537=?24927?=96542389173?3>8=63;1549067<5=;?97:<1:?7512=<:;019?;3;605>;39=818>?4=530f?24927?=>o54238916dl3o5<5s4>9;54;9d9>07512k2i7p};22f94??|5=8<47:7d:?7621=<1n019<86;6;`>;3:>?185j4=5040?2?l27?>:=549f89140:3>3h63;26390=b<5=88i7k8d:p07372902w0:=798774=:<;=<69=>;<6133<3;8168?9::512?825?=0??<524350>15634>9;?4;309>07162=9:70:=508f3a=z{=8=o7>56z?762>=99=<70:=208;e7=:<;k;65o=;<1e17:9c0?825=:03m?5rs5025?6=:r7?>:9548g89144=3h3n6s|434a>5<0s4>9;:4>06589145832j>63;23g9;3:<914l84}r6155<72;q68?99:5;f?825;=0i4o5rs505e?6=?r7?>:851154?8259o03m?52430g>=g6349m8n47a39>07?a21k970:=6g8;e4=:<;>;65o=;|q765`=838p19<85;6:a>;3::91n5l4}r612<<72>q68?9::0243>;3:8o14l<4=501g?>f9278j9758`08914>n32j863;27d9=83=p19<84;3332=:<;;o65o=;<616g=g434>98=47a79~w147l3:1>v3;26190;3:>:14l?4=2d74?>f:27?>9=58`08yv258j0;6?u24351>1?b34>9?=4m8c9~w141>3:1;v3;2609551034>9=o47a39>074>21k:70:=9b8;e6=:<;=;65o<;<1e6fm27?>?h5b9`8yv25><0;6:u24352>460?27?>01>h<1;:b0>{t<;8:6=4={<6173<>98168?<>:d5g?xu3:831<7<75b9`8yv25j;0;6<>t=5015?>f927?>?>58`389145m32j>63;20d9;3:8n14l?4=501f?>f:27?>63;20`9;3:k91i:j4}r613<<72kq68?<>:9c0?825:903m>52433e>=g434>9=h47a29>077c21k870:=1b8;e6=:<;;i65o<;<615d;4n=:14l:4=503f?>f92wx8?46f027?>?>5e6f8yv25910;6>u24316>15e34>9=44;3c9>077?2k2i7p};23:94?4|5=8:57:jb:?767c=m>n0q~:=1g83>6}:<;9?64?>;<615=<68h2019<>f;g4`>{t<;;<6=4<{<6171<3;k168??7:51a?8259>0i4o5rs5013?6=:r7?><654d`89145l3o5<4s4>9?>46109>077028:j463;20g9a2b9=;4?:2y>07542=9i70:=16877g=:<;;=6o6m;|q7670=838p19<>7;6ff>;3:;i1i:j4}r615a<72:q68?==:832?8259?0:15e34>9=84m8c9~w145=3:1>v3;20490`d<5=89n7k8d:p077d2908w0:=308:54=:<;;>6<>n8:?764e=m>n0q~:=1583>6}:<;9:69=m;<6150<3;k168??;:c:a?xu3:;>1<7?o5e6f8yv259k0;6>u24313><7634>9=94>0`:89146j3o5<4s4>9?=4;3c9>07732=9i70:=128a52z?7642={t<;;j6=4<{<616c<>98168??<:02b<>;3:8k1i:j4}r614d<72:q68?`1c3ty?>?<50;0x9146;3>nn63;2309a2b9mk4?:3y>07gf2=3n70:=368f3a=z{=8?o7>52z?76dg=<:;019<;d;g4`>{t<;kj6=4={<61ed<68>=019{t<;>=6=4<{<61e`<3;8168?ok:512?825<>0n;i5rs50bg?6=:r7?>lk51154?85a;j03m?5rs50bf?6=:r7?>lj51154?85a=?03m?5rs50a0?6=0r7?>5<54238914?93>8=63;2929067<5=8<57:<1:?762g=<:;019<8b;605>;3:<>18>?4=500g?c0l2wx8?9i:1818250;0:<:94=2d7b?>f<2wx8?9j:181825080:<:94=2d7g?>f<2wx8?9k:181825090:<:94=2d7=?>f<2wx8?=7:181825?j0?4i52431a>`1c3ty?>9k50;0x9140k3>8=63;25d9a2b9;l4?:5y>071f28:<;63;2539=76n6:?0b67=0h?0q~:=7c83>6}:<;=i6<>87:?761`=0h;01>h;6;:b0>{t<;>j6=46{<61=7<3;8168?7>:512?825190??<5243:e>15634>94h4;309>07>c2=9:70:=8b8774=:<;2i69=>;<610g1==98;<617`l654238914f?3>8=63;2`49067<5=8j97:<1:?76d2=<:;019;3:h818>?4=507=?c0l2wx8?7n:181825i00:<:94=50b4?>f;2wx8?76:181825i10:<:94=50b4?>f<2wx8?77:181825i>0:<:94=50:b?>f92wx8?78:181825i?0:<:94=50:b?>f=2wx8?79:181825i<0:<:94=50:a?>f;2wx8?7::181825i=0:<:94=50:g?>f:2wx8?7;:181825i:0:<:94=50:g?>f<2wx8?7<:181825i;0:<:94=50:g?>f>2wx8?:;:18:825>=0??<524340>15634>9:?4;309>07062=9:70:=618774=:<;?m69=>;<611`<3;8168?;k:512?825<<0n;i5rs506g?6=;r7?>;:51154?85a=;03m8524362>=g53ty?>8l50;1x9141;3;;;:523g6e>=g234>98<47a59~w142i3:1?v3;27095510349m8n47a49>072721k:7p};24;94?5|5=8==7??769>7c2>21k>70:=418;e6=z{=8>47>53z?7636=99=<70=i478;e0=:<;>;65o:;|q7601=839p19<:f;3332=:;o>;65o:;<6106168?:=:9c1?85a;;03m85rs32g0?6=;r79=g33ty98=63=0b;95510348;5;47a09~w76di3:1?460?27::k:58`48940bm32j:63>6d:976n6:?22ae=0h<01<8k6;:b2>;6>m:14l84=04`e?>f>27::n:58`48940em32j:63>6c:976n6:?22de=0h<01<8n6;:b2>;6>h:14l84=04:e?>f>27::4:58`48940?m32j:63>69:976n6:?222e=0h<01<886;:b2>;6>>:14l84=045e?>f>27::;:58`489402m32j:63>64:9>76n6:?221e=0h<01<8;6;:b2>;6>=:14l84=040e?>f>27::>:58`489405m32j:63>63:976n6:?224e=0h<01<8>6;:b2>;6>8:14l84=043e?>f>27::=:58`48943am32j:63>5g:976n6:?21`e=0h<01<;j6;:b2>;6=l:14l84=07ge?>f>2wx>==i:181847=;02=<522163>`1c3ty98n63=03f9f=d65352k2i7053z?1407=18;01?>=d;33e==::99n6h9k;|q147e=839p1?>:1;60f>;58;n18>l4=321g?d?j2wx>=69:181847=80i4o5221g5>1?b3ty9<nn63=00a9a2b653720;:70<5;:8h7k8d:p654e2908w075;296~;58<:1n5l4=32f1?2>m2wx>=?m:181847:j0?io52213a>`1c3ty9<>m50;1x9763n33:=63=03`955g?348;?n4j7e9~w765i3:1?v3=05d906d<5;:9n7:7}::9>m6o6m;<03a1<31l1v?>>a;296~;58;h18hl4=322e?c0l2wx>==m:18084746f0279<>l5e6f8yv47:00;6>u2216f>15e348;>l4;3c9>654>2k2i7p}=09194?4|5;:?i7l7b:?14`5=<0o0q~7}::98j69km;<035<==n:d5g?xu58;21<7=t=327`?24j2795<5s48;8i4m8c9>65c52=3n7p}=00:94?4|5;:957:jb:?144>=m>n0q~6}::9>h64?>;<036=<68h201?><9;g4`>{t:98<6=4<{<030f<3;k16>=<7:51a?847:>0i4o5rs32;5?6=:r79<9m5b9`8976b93>2i6s|2134>5<5s48;>54;ec9>65702l=o7p}=02:94?5|5;:?n77>1:?1471=99k37053z?141d=<:h01?>=7;60f>;58:<1n5l4}r03<5<72;q6>=:m:c:a?847m90?5h5rs3222?6=:r793o5<4s48;9o46109>655128:j463=05;9a2b653e2=9i706o6m;|q14<6=838p1?>:b;`;f>;58ll184k4}r0360<72;q6>==9:5ga?847:<0n;i5rs327`1c3ty9<>:50;1x9762i3>8n63=027906d<5;:887l7b:p65>a2909w0<5;6ff>;58;>1i:j4}r0302<72:q6>=;6:832?847;=0:==<:180847=00??o522117>15e348;?>4m8c9~w76?m3:1>v3=04;9f=d<5;:nh7:6e:p65442909w0:8;;25>;58:91==o7;<0303<2;297~;58<218>l4=3207?24j279<><5b9`8yv470m0;6?u2217;>g>e348;in4;9d9~w765:3:1>v3=02190`d<5;:9>7k8d:p65222908w0n8:?1413=m>n0q~6}::9?<69=m;<0377<3;k16>==>:c:a?xu581i1<71ce348;><4j7e9~w763<3:1?v3=0449=47<5;:8=7??a99>65232l=o7p}=02294?5|5;:>:7:<0;`;f>{t:92i6=4={<0313=kn:5;f?xu58;:1<75e6f8yv47<:0;6>u22176><76348;?=4>0`:89763;3o5<4s48;984;3c9>65572=9i7052z?1403=j1h01?>j9;6:a>{t:9;m6=4={<0375<3mk16>=?i:d5g?xu58=81<7=t=3260??692798n63=03g9f=d65332k2i7052z?147`=>e;g4`>{t:9>:6=4<{<0316<>9816>=;58=;1i:j4}r0363<72:q6>=;<:51a?847:l0??o522105>g>e3ty9<5650;0x9762;3h3n63=0ed90654b2=oi7053z?141g=18;01?>=6;33e==::99<6h9k;|q142`=838p1?>;a;`;f>;58mo184k4}r0350<72;q6>=<9:5ga?8479<0n;i5rs32:1?6=9:q6>=k8:512?847m?0??<5221g6>156348;i94;309>65c42=9:70;<03a5<3;816>=ki:512?847ml0??<5221gg>156348;in4;309>65ce2=9:70;<03a=<3;816>=ji:512?847ll0??<5221;5>`1c3ty93;;;:52211f>=g4348;=n47a09~w76c:3:1?v3=0d795510348;?i47a29>657e21k:7p}=0e394?5|5;:n87??769>655d21k87053z?14`5=99=<70;|q14f`=839p1?>j2;3332=::99j65o<;<035=le;297~;58l;1==98;<037<=?8:9c2?xu58jn1<7=t=32f4?77?>16>==7:9c0?8479?03m<5rs32g`?6=;r79522106>=g63ty9=g4348;>947a09~w76cj3:1?v3=0df95510348;8:47a29>654421k:7p}=0ec94?5|5;:no7??769>652121k87053z?14`d=99=<70;|q14a>=839p1?>ja;3332=::9>?65o<;<0365k7;297~;58l31==98;<0306=?i:9c2?xu58m<1<7=t=32f16>=:=:9c0?8479l03m<5rs32g1?6=;r7952213g>=g63ty9=g4348;=847a09~w76>l3:18v3=11590651f21k>70?lbb8;e3=z{;:m:7>52z?1551=99=<7054z?1550=<0o01?>md;3332=::9=365o>;<3`fgi5;296~;599<1==98;<037f6b;290~;599?184k4=32ag?77?>16=nln:9c5?847??03m<5rs32e0?6=:r79==;51154?847;k03m95rs32:e?6==g1348;;947a09~w76a;3:1>v3=11695510348;?l47a59~w76>13:18v3=111905fd?21k=707>52z?1555=99=<7054z?1554=<0o01?>m9;3332=:9jh<65o9;<0335i1;296~;59981==98;<037=n6;290~;599l184k4=32`2?77?>16=nl9:9c5?847>003m<5rs32eb?6=:r79==h51154?847<003m95rs32b1?6==g1348;::47a09~w76am3:1>v3=11g95510348;8547a59~w76f<3:18v3=11f905fe221k>7052z?155b=99=<7054z?155e=<0o01?>l3;3332=:9ji?65o:;<0326ic;296~;599i1==98;<0303n2;290~;599h184k4=32`6?77?>16=nm<:9c6?847>803m<5rs32ef?6=:r79==l51154?847<<03m95rs32b5?6==g2348;9k47a09~w76ai3:1>v3=11c95510348;8947a59~w76f83:18v3=11;905fe621k>7052z?155?=99=<7054z?155>=<0o01?>mf;3332=:9ji;65o:;<033ai8;296~;59921==98;<03076e;290~;599;184k4=32a16=nli:9c6?847?k03m<5rs32e3?6=:r79==?51154?847<803m95rs32:3?6=548g8976e?3;;;:521b`f>=g2348;9n47a09~w76a83:1>v3=11295510348;?:47a59~w76fl3:1>v3=0cg90mc;6:a>;58><1i:j4}r03ed<72;q6>=lm:5;f?847?=0n;i5rs32b=?6=:r795<5s48;n44;9d9>65172l=o7p}=0c494?4|5;:h:7:6e:?143?=m>n0q~7}::9i>697j;<0322m4;296~;58j>184k4=3251?c0l2wx>=l<:181847k:0?5h522140>`1c3ty92i63=0739a2b65e62=3n7052z?14f6=<0o01?>:d;g4`>{t:9km6=4={<03fc<31l16>=9k:d5g?xu58ho1<7m279<:l5e6f8yv47i>0;6?u221`4>1?b348;9n4j7e9~w76>;3:1>v3=0b59067<5;:287k8d:p65e0290:=v3=0b595510348;;l47a39>651b21k:70;<0332=9::9c2?847?:03m<522152>=g6348;:l47a09>650?21k:70;<0327=8?:9c2?847=l03m<52214e>=g63ty9<4?50;0x976d13>8=63=0809a2b50732=3n70?;c78f3a=z{8>mj7>54z?2142=<1n01<;>3;6;`>;6=88185j4=0734?c0l2wx=8?>:1868729=0:<:94=0734?>f>27:9=k58`78942d>32j>63>5bg94?:3y>50742=3n70?;c58f3a=z{8?:<7>56z?2145=99=<70?:018;e0=:9<:n65o;;<37g15rs06`6?6=:r7:9<<548g8942d?3o5<0s4;>=?4>06589437832j863>51g9h876n2:?20f0=0h901<;le;:b6>;6f=2wx=8>::1815~;6==i18>?4=077f?24927:99o54238943313>8=63>55:9067<58??;7:<1:?2102=<:;01<;:3;605>;6=<818>?4=0765?24927:98>542389433n3>8=63>55g9067<58??h7:<1:?2110=<:;01<;;5;605>;6=;i18>?4=071f?24927:9?o54238943513>8=63>53:9067<58?9;7:<1:?2162=<:;01<;<3;605>;6=:818>?4=0705?24927:9>>542389435n3>8=63>53g9067<58?9h7:<1:?2170=<:;01<;=5;605>;6=9<1i:j4}r367g<72;q6=8:l:0243>;6f=2wx=8=n:181872f<2wx=8?6:180872460?27:8ko58`78yv72;00;6?u2146b>460?27:8ko58`68yv72910;6>u2146:>1?b34;>>44>0658942a132j96s|141;>5<5s4;>844>0658942a132j86s|1434>5<4s4;>854;9d9>504?28:<;63>4g:9?:4?:3y>502?28:<;63>4g:9=;4?:2y>50202=3n70?:2682421<58>m;76n5:p50512909w0?:4682421<58>m;76n4:p50432908w0?:5587=`=:9<9?6<>87:?20c0=0h?0q~?:4583>7}:987:?20c0=0h>0q~?:2283>6}:9=01<:i5;:b1>{t9<>86=4={<3616<68>=01<:i5;:b0>{t9<896=4<{<3617<31l16=8==:0243>;614l;4}r3607<72;q6=8;=:0243>;614l:4}r3664<72:q6=8;>:5;f?872;80:<:94=06e7?>f=2wx=8:>:181872=80:<:94=06e7?>f<2wx=8460?27:8k<58`78yv72<90;6?u21473>460?27:8k<58`68yv729o0;6>u2146e>1?b34;>>k4>0658942a932j96s|141e>5<5s4;>8k4>0658942a932j86s|143f>5<4s4;>8h4;9d9>504b28:<;63>4dd9?h4?:3y>502b28:<;63>4dd9=i4?:2y>502c2=3n70?:2e82421<58>mi76n5:p505c2909w0?:4e82421<58>mi76n4:p507d2908w0?:4787=`=:9<8=6<>87:?20cb=0h?0q~?:3b83>7}:9<>=6<>87:?20cb=0h>0q~?:1483>6}:9<>>697j;<3660<68>=01<:i0;:b1>{t9<9>6=4={<3600<68>=01<:i0;:b0>{t9;6f:2wx=8;n:187872:k0?5h52145a>460?27:8kl58`48942c;32j>6s|147:>5<3s4;>>l4;9d9>501f28:<;63>4gc9o>76n2:p503?290?w0?:2887=`=:9<=26<>87:?20c?=0h<01<:k1;:b6>{t9;6f:2wx=8;9:187872:>0?5h521454>460?27:8k958`48942dn32j>6s|1447>5<3s4;>?94;9d9>50>328:<;63>4g49hi76n2:p5004290?w0?:3287=`=:9<286<>87:?20c3=0h<01<:ld;:b6>{t9<<96=4;{<3677<31l16=86=:0243>;614l84=06`g?>f:2wx=88>:187872;80?5h5214:2>460?27:8k=58`48942dj32j>6s|1443>5<3s4;>?=4;9d9>50>728:<;63>4g09hm76n2:p503a290?w0?:2g87=`=:9<=m6<>87:?20c7=0h<01<:l8;:b6>{t9;6f:2wx=8;k:187872:m0?5h52145g>460?27:8kk58`48942c>32j>6s|147`>5<3s4;>>;4;9d9>501128:<;63>4gf9h576n2:p5032290:?v3>5379051`721k=70?;cg8;e6=:9=in65o<;<37ga5215ab>=g434;?o547a29>51b021k870?;d78;e6=:9=n?65o<;<37`65215f3>=g434;?o447a29>51b221k87p}>57`94?4|58?n0q~?:0c83>47|58?;6=>318>?4=0748=63>5919067<58?3>7:<1:?21=7=<:;01<;70;605>;6=>l18>?4=074a?24927:9:j542389430>3>8=63>5679067<58?;o7k8d:p500f2909w0?:7c87=`=:9=n?6h9k;|q213?=838p1<;8a;6:a>;65<5s4;>;:4;9d9>51b72l=o7p}>56694?4|58?387:6e:?20f`=m>n0q~?:7283>7}:9<28697j;<37g`:181872080?5h5215a`>`1c3ty:9:>50;0x943?83>2i63>4b`9a2b:k4?:3y>501a2=3n70?;c`8f3a=z{8?=i7>52z?212c=<0o01<:l8;g4`>{t9<m27:8i85e6f8yv72><0;6?u21456>1?b34;?o44j7e9~w43e:3:1>v3>5b190no7k8d:p5060290:=v3>5b19067<58?h>7:<1:?21f7=<:;01<;l0;605>;6=kl18>?4=07aa?24927:9nl54238943di3>8=63>5b;9067<58?h47:<1:?21f1=<:;01<;l6;605>;6=j?18>?4=07`0?24927:9oj54238943ek3>8=63>51:9a2bm>4?:2y>50e428:<;63>4ga9o976n2:p50d62909w0?:c387=`=:9=oi6h9k;|q21d4=839p1<;l2;3332=:9=li65o<;<37`1:180872k80:<:94=06ee?>f;27:8i=58`78yv72io0;6?u214a3>1?b34;?i44j7e9~w43f83:1?v3>5b29551034;?j447a29>51b521k>7p}>5`g94?4|58?ij7:6e:?20`>=m>n0q~?:9g83>6}:987:?20c>=0h901<:k1;:b1>{t9<3n6=4<{<36f`<68>=01<:i7;:b7>;65215ae>=g23ty:9oo50;0x943di3>2i63>4d79a2bmo4?:2y>50ef28:<;63>4g79hi76n5:p50d>2909w0?:c887=`=:9=o?6h9k;|q21dg=839p1<;l9;3332=:9=l?65o<;<37gaf;27:8nm58`78yv72j>0;6?u214a4>1?b34;?i?4j7e9~w43f03:1?v3>5b59551034;?j?47a29>51ee21k>7p}>5c494?4|58?h:7:6e:?20`7=m>n0q~?:a683>6}:987:?20c7=0h901<:la;:b1>{t96=4={<36g0<31l16=9k?:d5g?xu6=h<1<7=t=07`1?77?>16=9ki:9c0?873k103m85rs07a0?6=:r7:9n:548g8942bm3o5<4s4;>o94>0658942am32j?63>4e59n>4?:3y>50dc2=3n70?;ee8f3a=z{8?j87>53z?21gb=99=<70?;fe8;e6=:9=n=65o:;|q21db=838p1<;mc;6:a>;6;6f=2wx=8mk:181872kj0?5h5214af>`1c3ty:9=j50;0x943dk3>8=63>51g9a2bon4?:36x943dk3;;;:52142`>=g434;><=47a39>506b21k:70?;c58;e6=:9=i=65o;;<36g`=g634;?ho47a09>51bd21k:70?;de8;e4=:9=nn65o>;<37`c=g634;?oh47a09>51ec21k:70?;cb8;e4=:9=ii65o>;<37gd03m<5215f5>=g634;?h947a09>51b421k:70?;d38;e4=:9=n:65o>;<37`5=g334;><947a29>506121k87p}>58694?4|58?2o7:6e:?20a`=m>n0q~?:0283><}:9<3h69=>;<36=g<3;816=87n:512?872100??<5214;;>15634;>5:4;309>50?12=9:70?:948774=:9<:?6h9k;|q21=e=838p1<;6c;3332=:9=nn65o=;|q21<5=838p1<;6b;6:a>;6;65<5s4;>544>0658942cj32j>6s|14;3>5<5s4;>554;9d9>51be2l=o7p}>59:94?4|58?247??769>51bf21k97p}>59d94?4|58?2;7:6e:?20ag=m>n0q~?:8683>7}:9<3<6<>87:?20a?=0h80q~?:8d83>7}:9<3=697j;<37`<=g334;?oo47a59>51ef21k?70?;c98;e1=:9=n<65o;;<37`3=g334;?h<47a59>51b721k?70?;c88;e1=:9=n>65o;;|q10c4=83;9w0<:4487=`=::=l=6h9k;<07b28>9:9c7?8428<03m95225d;>=g3348?j447a59>61`f21k?70<;fc8;e1=::=lh65o;;<07ba9hj:9c7?843no03m9522423>=g3348><<47a59>606521k?70<:058;e1=::<:865o;;|q1113=838m7:9c6?842lj03m>5225:e>=g53ty998850;0x9731>3>2i63=4g:9a2b8;4?:5y>600128:<;63=515955g?348>?846109>61`321k97p}=54f94?4|5;?=;7:6e:?1153=m>n0q~<:4e83>6}::<<<6<>87:?10c2=0h;01?;=4;:b5>{t:8>9:d5g?xu5==o1<7=t=375a?77?>16>9h;:9c0?842:?03m<5rs376b?6=:r799;h548g8972a?3o5<4s48>:k4>0658972a<32j863=53:9:=4?:3y>60172=3n70<;f88f3a=z{;?><7>53z?1126=99=<70<;f58;e0=::<8j65o>;|q1137=838p1?;81;6:a>;589>:0243>;514l84=371g?>f92wx>88=:181842?;0?5h5225da>`1c3ty998<50;1x9730:3;;;:5225d6>=g5348>>h47a09~w731;3:1>v3=56190mo7k8d:p60342908w0<:7282421<5;>m976n1:?1166=0h;0q~<:6583>7}::<=?697j;<07ba>1==98;<07b08==:9c2?xu5=??1<7m2798kk5e6f8yv42=<0;6>u22456>460?2798k;58`689734<32j=6s|2474>5<5s48>:54;9d9>61`a2l=o7p}=55594?5|5;?=47??769>61`221k>70<:198;e4=z{;?>47>52z?113?=<0o01?;?0;g4`>{t:<>36=4<{<062<<68>=01?:i5;:b2>;5=8k14l?4}r061<<72;q6>88n:5;f?842880n;i5rs377=?6=;r799;o51154?843n?03m?52243`>=g63ty998o50;0x9731j3>2i63=5109a2b8l4?:2y>600e28:<;63=4g498:l:180842>m0:<:94=36e2?>f>2799?<58`38yv428>0;6>u22424>g>e348>605d2=9i7p}=4d094?4|5;?897l7b:?10c>=0h?0q~<:2283>6}::<:n6<>n8:?116e=18;01?;=4;g4`>{t:<:n6=4<{<064`8>i:51a?842;m0??o5rs36f=?6=:r799>m5b9`89737=32j96s|2406>5<4s48>0`:89734l33:=63=5349a2b606a2k2i70<:11877g=::<9n69=m;|q10`g=838p1?;;5=9<14l;4}r0662<72:q6>8??:02b<>;5=:o158??:180842990i4o522432>15e348>?k4;3c9~w72bj3:1>v3=52g9f=d<5;>m;76n5:p604>2908w0<:10824d><5;?8j77>1:?117g=m>n0q~<:1083>6}::<;:6o6m;<0657<3;k16>8:?:51a?xu5u22431>46f027999>590389735k3o5<4s48>=?4m8c9>60742=9i70<:40877g=z{;>nh7>52z?1116=j1h01?:ia;:b1>{t:<8o6=4<{<0656<68h201?;;1;;25>;5=;o1i:j4}r0656<72:q6>8?<:c:a?8429=0??o522461>15e3ty98hk50;0x973393h3n63=4g`9>k4?:2y>607328:j463=5509=47<5;?8<7k8d:p60732908w0<:158a69=m;<0606<3;k1v?:jf;296~;5==81n5l4=36eg?>f=2wx>8=>:1808429<0:<5e6f8yv429<0;6>u22436>g>e348>=;4;3c9>60232=9i7p}=4g294?4|5;???7l7b:?10cb=0h?0q~<:3283>6}::<;=6<>n8:?1112=18;01?;<4;g4`>{t:<;=6=4<{<06538>6:51a?842;?0??o5rs36e5?6=:r7999:5b9`8972am32j96s|2434>5<4s48><44>0`:89734>33:=63=50:9a2b<44?:2y>606>2k2i70<:0`877g=::<9<69=m;|q10`5=838p1?;<6;`;f>;58>n:02b<>;5=:=158>n:1808428h0i4o52242a>15e348>?54;3c9~w72b<3:1>v3=5259f=d<5;?;<76n5:p607e2908w0<:0c824d><5;?8477>1:?114e=m>n0q~<:0c83>6}::<:i6o6m;<064f<3;k16>8=6:51a?xu5u2242`>46f02799>7590389736m3o5<4s48>606c2=9i70<:3`877g=z{;>n:7>52z?116?=j1h01?;?2;:b1>{t:<;m6=4<{<064a<68h201?;;5=;:1i:j4}r064a<72;q6>8>k:c:a?842;k0??o5rs36f3?6=:r799>o5b9`89737;32j96s|2402>5<5s48>?o46109>60452l=o7p}=4d:94?4|5;?8n7l7b:?1152=0h?0q~<:c083>7}::86m:512?8420j0??<5224:g>156348>nk4;309>60?321k>70<:818;e6=::<3=65o;;<06==8jm:186842m?0??<5224g4>156348>i54;309>60c>2=9:70<:db8f3a=z{;?oi7>55z?11`0=99=<70<:ce824d><5;?o;77>1:?11f1=0h>01?;l8;:b0>{t:8mm:d5g?xu5=ml1<7:t=37f3?77?>16>8m8:9c0?842k103m>5224f1>=g63ty99h:50;0x973b03>2i63=5ba9a2bi=4?:5y>60c?28:<;63=5b597}::8m7:9c2?842l?03m<5rs37``?6=;r799nj5b9`8973dn3>8n63=5e:906do?4?:3y>60b02k2i70<:c`8;e1=z{;?o=7>53z?11f`=99k370<:d98:54=::;5=m:18>l4=37g=?24j2wx>8m<:181842l10i4o5224aa>=g33ty99i=50;1x973c83;;m55224f:><76348>h94j7e9~w73c83:1>v3=5e29f=d<5;?om7:;5=m<1i:j4}r06g0<72;q6>8jn:c:a?842k003m95rs36:0?6=:r79846548g8972013o5<2s48?554;309>61?>2=9:70<;9`8774=::=3i69=>;<07;5<>=14l:4=364f?>f=27985h58`48yv431<0;6?u225;:>1?b348?;n4j7e9~w72>93:19v3=48;95510348?;:47a29>611e21k?70<;8g8;e0=::=2865o>;|q10<0=838p1?:6a;6:a>;5<>n1i:j4}r07=7<7297n:0243>;5<>=14l<4=364f?>f;27985h58`68972?=32j=6s|25;4>5<5s48?5o4;9d9>611?2l=o7p}=48194?3|5;>2n7??769>611021k:70<;7c8;e7=::=2m65o<;<07<2o1n5l4=36;4?24j279857542`8yv43?;0;6?u225:;>g>e348?;447a39~w72?:3:1?v3=492955g?348?4446109>61>42l=o7p}=49294?5|5;>3<7l7b:?10=7=<:h01?:7a;60f>{t:==86=4={<07<<99l:9c1?xu5<1>1<7=t=36;5?77i116>96n:832?8430<0n;i5rs36;5?6=:r7985?5b9`8972?j3>8n6s|2557>5<5s48?4l4m8c9>611c21k97p}=49494?4|5;>3n77>1:?10=1=m>n0q~<;7483>7}::=2i6o6m;<073=98m:187843i:0??<5225c1>156348?m<4;309>610d2l=o7p}=4`294?5|5;>j?7??769>610f21k:70<;e18;e4=z{;>=:7>52z?10d4=<0o01?:99;g4`>{t:=3m6=4;{<07e7<68>=01?:9a;:b6>;5f:2wx>98::181843i80?5h52254;>`1c3ty984k50;7x972f93;;;:52254b>=g4348?:447a09>610?21k:70<;e18;e6=z{;>oo7>52z?10ad=<0o01?:kd;g4`>{t:=ni6=4m{<07`g<68>=01?:9a;:b0>;5f;2798;658`08972cn32j=63=4c79<<76n4:?103e=0h?01?:9e;:b6>;5<0n14l:4}r07gd<72;q6>9j7:5;f?843kl0n;i5rs36`b?6==r798i654238972c?3>8=63=4e49067<5;>o97:<1:?10a6=m>n0q~<;d583>6}::=n36<>87:?10fc=0h901?:ka;:b7>{t:=i26=4={<07`2<31l16>9mk:d5g?xu516>9mk:9c0?843kl03m;5225fb>=g23ty98n650;0x972c>3>2i63=4ba9a2b61b128:<;63=4ba9hh76n5:?10fc=0h?01?:ka;:b0>{t:=i<6=4={<07`0<31l16>9mm:d5g?xu516>9ml:9c0?843km03m95225af>=g3348?oo47a09~w72cn3:1>v3=4eg90oj7k8d:p61bb2903w0<;dd82421<5;>oh76n4:?10a`=0h801?:m5;:b1>;5<>:14l?4=365g?>f<2798;k58`78972>l32j96s|24;3>5<>s48>n:4;309>60d?2=9:70<:b88774=::;<06fg<3;816>8ll:512?842jm0??<5224`f>156348>5<4j7e9~w73fn3:1>v3=5c595510348>m:4;9d9~w73e83:1>v3=5c:95510348>m54;9d9~w73e93:1>v3=5c;95510348>m44;9d9~w73e:3:1>v3=5cc95510348>ml4;9d9~w73e;3:1>v3=5c`95510348>mo4;9d9~w73e<3:1>v3=5ca95510348>mn4;9d9~w73e=3:1>v3=5cf95510348>mi4;9d9~w73e>3:1>v3=5cg95510348>mh4;9d9~w73?m3:15v3=5`59067<5;?j47:<1:?11d?=<:;01?;na;605>;5=hh18>?4=37bg?2492799lj54238973fm3>8=63=59d9a2b5k4?:3y>60g028:<;63=56;9m=4?:3y>60g?28:<;63=56c9m<4?:3y>60g>28:<;63=56;9m?4?:3y>60gf28:<;63=56c9m>4?:3y>60ge28:<;63=56;9m94?:3y>60gd28:<;63=56c9m84?:3y>60gc28:<;63=56;9m;4?:3y>60gb28:<;63=56c95h4?:3y>60?c2=3n70<:818f3a=z{;?2>7>52z?11{t:<3o6=47{<06=a<68>=01?;63;:b2>;5=>i14l<4=37;4?>f:27994m58`3896g?n32j963=58c912909w0<:8`87=`=::<=h6h9k;|q11=7=83hp1?;7a;3332=::<3?65o>;<063g89l:9c2?842?l03m?52245g>=g6348>;k47a39>60>721k:70<:978;e4=::<3365o<;<063=<68h20q~<:8683>7}::<2i697j;<063a89m:9c0?842?j03m>52245f>=g4348>;i47a39>601a21k870<:818;e0=::<3=65o=;<06==896:9c1?842?h03m<5rs37;54n4>0658973><32j?63=56`9;5=>l14l:4=37;4?>f<27994858`18973>032j963=56;9>2909w0<:8e87=`=::<=m6h9k;|q11=2=833p1?;7d;3332=::<3?65o;;<063g89l:9c6?842?m03m952245e>=g6348>4=47a79>60?121k=70<:998;e3=z{;?397>52z?11g`=<0o01?;8b;g4`>{t:=g334;=h=47a59>53ef21k?70?9c58;e1=:9?hn65o;;<35f==g334;=m=47a59>53?f21k?70?9958;e1=:9?2n65o;;<35<==g334;=;=47a59>530f21k?70?9658;e1=:9??n65o;;<351==g334;=8=47a59>535f21k?70?9358;e1=:9?8n65o;;<356==g334;===47a59>536f21k?70?9058;e1=:9=g334;>i=47a59>50bf21k?7p}=4c594?5|5;>ii7:6e:?10g`=99=<70<;ae87=`=z{;>=h7>59z?10gc=<:;01?:mf;605>;5?4=36`5?2492798n<54238972d;3>8=63=4b69067<5;>h97:<1:?103c=m>n0q~<;b783>7}::=hn6<>87:?10de=<0o0q~<;b983>6}::=hm697j;<07g5<68>=01?:ne;6:a>{t:=h26=4<{<07g5<31l16>9m>:0243>;59m>:5;f?843k;0:<:94=36a4?2>m2wx>9lm:180843k;0?5h5225a0>460?2798o?548g8yv43jj0;6>u225a0>1?b348?o94>0658972e:3>2i6s|25`g>5<4s48?o94;9d9>61e228:<;63=4c19061e22=3n70<;de8;e7=::=nm65o:;<07`<<68>=01?:m5;:b7>;5<>:14l;4=365g?>f>2798io58`48yv43>o0;64u225c`>156348?mi4;309>61gb2=9:70<;ag8774=::=h;69=>;<07f4<3;816>9l=:512?843j:0??<522553>`1c3ty98l:50;0x972fk3;;;:522502>1?b3ty98l;50;0x972fl3;;;:522501>1?b3ty98l850;0x972fm3;;;:522500>1?b3ty98l950;0x972fn3;;;:522507>1?b3ty98l650;0x972e83;;;:522506>1?b3ty98l750;0x972e93;;;:522505>1?b3ty98lo50;0x972e:3;;;:522504>1?b3ty98ll50;0x972e;3;;;:52250;>1?b3ty98o:50;6x975a;3>2i63=4c695510348?n847a39>617221k87p}=3g194?4|5;9m?7??769>617221k:7p}=3g394?76s48?616f2=9:70<;088774=::=:369=>;<0742<3;816>9>9:512?8439:0??<522531>156348?=<4;309>61772=9:70<;0g8774=::=:n69=>;<074a<3;816>9>l:512?8438<0??<522527>1563488j?4j7e9~w75ai3:1>v3=41`95510348><947a09~w75a13:1>v3=41c95510348><>47a09~w75a03:1>v3=41;95510348>v3=41:95510348><<47a09~w75a>3:1>v3=41595510348><=47a09~w75a=3:1>v3=41495510348?jk47a09~w727;3:1>v3=40195510348?jh47a09~w727:3:1>v3=40095510348?ji47a09~w72793:1>v3=40395510348?jn47a09~w72783:1>v3=40295510348?jo47a09~w75an3:1>v3=41d95510348?jl47a09~w75am3:1>v3=41g95510348?j447a09~w75al3:1>v3=41f95510348?j:47a09~w75ak3:1>v3=41a95510348><;47a09~w75aj3:1>v3=41795510348><847a09~w75a<3:1>v3=41695510348?j547a09~w72483:1>v3=47190:j7k8d:p613f290>w0<;628774=::=<969=>;<0724<3;816>98?:512?843=k0n;i5rs366b?6=kr798;=51154?843:>098<522505>726348?>54=409>61422;>:70<;258104=::=886?:>;<0767<5<816>9<>:362?843;j03m?52253e>=g6348??k47a09~w725m3:1>v3=47090:i7k8d:p613b290ow0<;6382421<5;>9;7<<7:?1070=::=01?:=8;003>;5<;?1>>94=3610?44?2798?=522589725:388;63=4339661<5;>8o76n4:?104c=0h;01?:>f;:b0>;5<:l14l:4}r076f<72;q6>98>:5;f?8439m0n;i5rs366`?6=mr798;?51154?843:>09>i522505>74c348?>54=2e9>61422;8o70<;25816a=::=886?9<>:30g?843;k03m>52253f>=g4348?=k47a29>617c21k:70<;3d8;e7=z{;>9m7>52z?1036=<0o01?:>c;g4`>{t:=?h6=4i{<0725<68>=01?:=7;01=>;5<;<1>?74=3619>7<=9:?1077=:;301?:;5<8o14l<4=362b?>f:2798k4?:3y>612>2=3n70<;1c8f3a=z{;>?<7>55z?101?=<:;01?:;8;605>;5<==18>?4=3672?24927989?5e6f8yv43<<0;6nu2256:>460?2798?9571f89725>3=;h63=43:935b<5;>9979?d:?1072=?9n01?:=3;53`>;5<;81;=j4=3615?17l2798>m58`389726j32j=63=42d9i4?:3y>612?2=3n70<;1`8f3a=z{;>?87>5dz?101>=99=<70<;26844f=::=8=6:>l;<076=<08j16>9<::62`?843:=0<26d348?>?480b9>61462>:h70<;3b8;e6=::=;j65o>;<075g9=i:9c0?xu5<;h1<7m2798<75e6f8yv43<:0;6hu22564>460?2798?9571`89725>3=;n63=43:935d<5;>9979?b:?1072=?9h01?:=3;53f>;5<;81;=l4=3615?17j2798>l58`089726i32j?63=40`9:576n1:?106c=0h90q~<;2883>7}::=>=697j;<075=9<9:62b?843:10<26f348?>9480`9>61442>:j70<;23844d=::=8:6:>n;<077g9?n:9c1?8439k03m?52253:>=g5348??h47a59>617?21k:7p}=40594??|5;>9;7?>539>614128;>>63=43:95435348?>84>14089725<3;:9?522500>472:2798?<51071?843:80:=8<4=3614?c0l2wx>9=8:181843:>0<<452257;>1?b3ty98>850;0x9725>3=;563=44590614?2>:270<;5887=`=z{;>897>52z?1073=?9301?::6;6:a>{t:=9?6=4={<0761<08016>9;::5;f?xu5<:91<726>348?9>4;9d9~w72493:1>v3=433935?<5;>>>7:6e:p61362909w0<;5882421<5:kj;76n2:p61372909w0<;5982421<5:kj976n2:p612a2909w0<;5682421<5:kj?76n2:p612b2909w0<;5782421<5:kj<76n2:p612c2909w0<;5482421<5:k2j76n2:p612d2909w0<;5582421<5:k2o76n4:p612e2909w0<;5282421<5:k3j76n4:p612f2909w0<;5382421<5<8;j76n4:p615f2908w0<;3887=`=::=9i6h9k;<07041918hl4=34:6?c0l2wx>;6=:1818410:0??o5227:1>g>e3ty9:5l50;0x970?;3;;m55227:a>`1c3ty9:5=50;0x970?;3h3n63=696963>e21k:70<9938;e6=::>2n6h9k;|q1e6`=83?p1?87b;:b6>;5>0814l<4=34ff??69279:nk511c;?84f=90:<:94}r0540<72:q6>;6m:9c0?8411;03m95226:e>`1c3ty9m9>50;7x970?j32j863=68091:?12a6=99k37063>52=oi70<9908f3a=z{;<3=7>52z?12=4=<:h01?871;`;f>{t:?2j6=4={<05<7<68h201?87a;g4`>{t:?:96=4<{<05;7>:9c0?8400j0n;i5rs3c0`?6==r79:5o58`08970>932j>63=6d;9=47<5;6d2b28:<;6s|2720>5<4s48=4l47a29>63?621k?70<88e8f3a=z{;k8i7>55z?12=g=0h>01?861;:b5>;5>lk15l:i:0243>{t:?3;6=4={<05<4<3mk16>;7?:d5g?xu5>1:1<75b9`8yv41000;6?u227:2>46f0279:575e6f8yv418m0;6>u227::>=g6348=5=47a29>62>f2l=o7p}=a5c94?3|5;<3576n2:?12<6=0h801?8i7;;25>;5>l81==o7;<0b1d<68>=0q~<90d83>6}::?2265o<;<05=5:6m:d5g?xu5i=h1<7;t=34;=?>f<279:4>58`38970a033:=63=6d6955g?348j9o4>0658yv410o0;6?u227:3>1ce348=4k4j7e9~w700n3:1>v3=692906d<5;<?2909w0<981824d><5;<347k8d:p636e2908w0<9898;e4=::?2m65o<;<04<=1214l<4=34;b?>f:279:k;59038970cm3;;m5522`7;>460?2wx>;>l:1808410103m>5227:e>=g3348<444j7e9~w7g313:19v3=69:987:p63>b2909w0<97g87ag=::?2n6h9k;|q122c=838p1?88f;60f>;5>>o1n5l4}r05<2<72;q6>;9i:02b<>;5>1=1i:j4}r054<<72:q6>;68:9c2?8410l03m>5226:5>`1c3ty9m9850;7x970??32j>63=69g91:?12ag=99k37063>021k870<98d8;e1=::>2<6h9k;|q1e11=83?p1?877;:b0>;5>1o14l?4=34e0??69279:im511c;?84f=>0:<:94}r05;9j:5ga?8410m0n;i5rs344`?6=:r79::k542`89700l3h3n6s|27:5>5<5s48=;h4>0`:8970?>3o5<4s48=4;47a09>63>c21k870<8908f3a=z{;k?87>55z?12=0=0h801?87d;:b6>;5>o;15l;;:0243>{t:?:36=4<{<05<3;6k:9c7?8400=0n;i5rs3c71?6==r79:5858`68970?l32j=63=6g09=47<5;6d3228:<;6s|27:`>5<5s48=;i4;ec9>63>d2l=o7p}=69794?4|5;<63>22l=o7p}=61394?5|5;<3976n1:?12=e=0h901?975;g4`>{t:h9h6=4;{<05<0;6l:9c1?84ff92wx>;>9:1808410<03m>5227:`>=g3348<5=4j7e9~w7g3;3:19v3=6979o6<>87:p63e52909w0<9b487ag=::?i96h9k;|q12g2=838p1?8m5;60f>;5>k>1n5l4}r05fa<72;q6>;l::02b<>;5>kn1i:j4}r05f0<72;q6>;l::c:a?841j?0:;lk:9c2?841k;03m>52265`>`1c3ty9o63=6b091:?130d=99k37063dc21k870<9c38;e1=::>=o6h9k;|q1g76=83?p1?8md;:b0>;5>j814l?4=355`??69279;8j511c;?84d:j0:<:94}r05g4<72;q6>;l;:5ga?841k80n;i5rs34a7?6=:r79:o:542`8970e;3h3n6s|27``>5<5s48=n94>0`:8970ek3o5<4s48=nn47a09>63e621k870<8828f3a=z{;i:h7>55z?12ge=0h801?8l1;:b6>;5??k15n<6:0243>{t:;m>:9c7?840?h0n;i5rs3a2a?6==r79:om58`68970d932j=63=77`9=47<5;=>57??a99>6f4f28:<;6s|27a3>5<5s48=n>4;ec9>63e72l=o7p}=6c094?4|5;7}::?h86<>n8:?12gd=m>n0q~<:fc83>6}::?hi65o>;<05g5:6>:d5g?xu5k8h1<7;t=34af?>f:279:n>58`089711033:=63=741955g?348h>:4>0658yv42nj0;6>u227`a>=g4348=o=47a59>62>52l=o7p}=c0a94?3|5;;5?=0q~<9bg83>7}::?h969km;<05fck818>l4=34a5?d?j2wx>;ln:181841j;0:8h6:180841jh03m<5227`e>=g4348<;k4j7e9~w7e613:19v3=6cc96<>87:p60`f2908w0<9b`8;e6=::?hm65o;;<04<5a;291~;5>kk14l:4=34ab?>f9279;;959038971293;;m5522b05>460?2wx>;lj:181841j80?io5227`f>`1c3ty9:o750;0x970e93;;m55227`:>`1c3ty99k950;1x970e132j=63=6cg9=01?990;:b5>{t:;lj:9c7?840?l0n;i5rs3a26f4528:<;6s|27`3>5<5s48=m;4;ec9>63d72l=o7p}=6`794?4|5;7}::?k=6<>n8:?12dd=m>n0q~<9a783>6}::?k=6o6m;<0:gd:j9:9c7?xu5=o?1<7=t=34bf?>f9279:o>58`18971083o5<2s48=mo47a39>63d721k970<8498:54=::>9<6<>n8:?1g45=99=<7p}=5g494?5|5;01?981;g4`>{t:j:36=4:{<05eg;l?:9c2?840<002=<52261:>46f0279o<:51154?xu5>hl1<715e348=m94m8c9~w70fi3:1>v3=6`7955g?348=ml4j7e9~w73a;3:1?v3=6`c9n0q~0}::?kj65o=;<05ec::9:832?840;:0:1v?;i4;297~;5>hk14l=4=34bb?>f<279;;k5e6f8yv4d8?0;68u227cb>=g3348=mk47a09>622020;:70<834824d><5;i:>7??769~w70fm3:1>v3=6`690`d<5;;5>ho14l=4=3543?c0l2wx>n><:186841i003m?5227cf>=g5348<8946109>624a28:j463=c1d955103ty99k<50;1x970f132j?63=6`g9w0<9a88;e1=::?kn65o>;<0400<>9816>:=>:02b<>;5k8:1==98;|q12db=838p1?8n3;6ff>;5>hn1i:j4}r05e7<72;q6>;o<:51a?841i;0i4o5rs34b63g?21k970<9ae8;e7=::>>964?>;<046g<68h201?m?d;3332=z{;?m<7>53z?12d>=0h901?8nd;:b0>;5?><1i:j4}r0`47<72;o7:9c7?841im03m<522660><76348<>i4>0`:897e7m3;;;:5rs34bg?6=:r79:l<54d`8970fk3o5<5s48=m?4>0`:8970f?3o5<4s48=m:47a09>63gd21k870<86g8f3a=z{;hmh7>54z?12d1=0h801?8nc;:b6>;5k931==98;<047fh=14l=4=34bg?>f<279;::5e6f8yv4d890;68u227c4>=g3348=mn47a09>622620;:70<828824d><5;i;m7??769~w70f93:1>v3=68590`d<5;;51j214l:4=35g1?>f<2wx>;?6:1808411j03m<5227c2>=g4348<594j7e9~w7dbn3:19v3=68a93;33e==::kli6<>87:p637f2908w0<99b8;e6=::?k:65o;;<04=00i14l:4=34b5?>f9279;?;590389716=3;;m5522cd`>460?2wx>;o?:1818411?0?io5227c3>`1c3ty9:4;50;0x970>>3>8n63=6879f=d63?128:j463=68`9a2b63?e21k:70<9a18;e6=::>3o6h9k;|q1f`b=83?p1?86b;:b6>;5>h:14l<4=3516??69279;=h511c;?84en00:<:94}r055=<72:q6>;7m:9c0?841i903m95226;1>`1c3ty9nhk50;7x970>j32j863=6`291:?1347=99k37063?22=oi70<99g8f3a=z{;<287>52z?12<3=<:h01?864;`;f>{t:?3j6=4={<05=0<68h201?86a;g4`>{t:?;>6=4<{<05=d;7i:9c0?8401k0n;i5rs3`ff?6==r79:4o58`08970>n32j>63=7329=47<5;=;n7??a99>6g`028:<;6s|2735>5<4s48=5l47a29>63?a21k?70<89b8f3a=z{;hno7>55z?1201?86f;:b5>;5?;;15oh7:0243>{t:?3n6=4={<05=1<3mk16>;7j:d5g?xu5>091<746f0279:475e6f8yv419:0;6>u227;:>=g6348=5h47a29>62?>2l=o7p}=bd;94?3|5;<2576n2:?12e;;25>;5?9=1==o7;<0ab0<68>=0q~<91583>6}::?3265o<;<05=`:7n:d5g?xu5jlk1<7;t=34:=?>f<279:4k58`389716n33:=63=71;955g?348ij;4>0658yv411m0;6?u227;0>1ce348=5i4j7e9~w70>03:1>v3=681955g?348=554j7e9~w707n3:1?v3=68:9n0q~1}::?3365o=;<05=aoh>:0243>;5?8214l?4}r0557<72:q6>;77:9c0?8411m03m95226;;>`1c3ty9nh650;7x970>032j863=68f91:?1353=99k370620420;:70=0h>0q~<84c83>7}::><869=m;<040gm2wx>n?9:186840>;02=<52266a>46f0279o?=51154?841j>03m85227`;>=g53ty9;8j50;1x9711:3>8n63=75`906d<5;=>h7l7b:p6<152909w0<8638a;5?l4=356f?d?j2wx>4om:181840>m0i4o522b0`>1?b3ty9;8750;1x9711k3>8n63=74`906d<5;=>57l7b:p6;5?<318>l4=3563?d?j2wx>4o>:181840>k0i4o522b0b>1?b3ty9;8;50;1x9711i3>8n63=745906d<5;=>97l7b:p6;5?l4=3567?d?j2wx>478:181840>00i4o522b0;>1?b3ty9;8?50;1x971103>8n63=741906d<5;=>=7l7b:p6;5?<;18>l4=357b?d?j2wx>46l:181840>>0i4o522b05>1?b3ty9;9j50;1x9711>3>8n63=75d906d<5;=?h7l7b:p6<>12909w0<8678a697j;|q131g=839p1?995;60f>;5?=n18>l4=357e?d?j2wx>46?:181840><0i4o522b01>1?b3ty9;8h50;1x9711933:=63=75c955g?348<:=4j7e9~w7?1m3:1>v3=7739f=d<5;i9=7:6e:p6g`a290?w0<83g8:54=::j:h6<>87:?1=fg=0h901?9k6;:b7>{t:>8<6=4={<047c<3;k16>:<8:c:a?xu51>?1<7<76348<>:4>0`:897e7j3;;;:5228ab>=g23487}::>9n6o6m;<0`4g<31l1v?9<7;297~;5?=318>l4=350=?24j279;>95b9`8yv4>ih0;6?u2266:>g>e348h=94;9d9~w714=3:1?v3=75:906d<5;=8;7:7}::>>36o6m;<0`56<31l1v?9<3;297~;5?==18>l4=3501?24j279;>=5b9`8yv4>i90;6?u22664>g>e348h=?4;9d9~w71493:1?v3=754906d<5;=8?7:7}::>>=6o6m;<0`54<31l1v?9=f;297~;5?=?18>l4=3505?24j279;?h5b9`8yv4>1?0;6?u22666>g>e348h==4;9d9~w715l3:1?v3=756906d<5;=9j7:7}::>>?6o6m;<0`4c<31l1v?9=b;297~;5?=918>l4=351`?24j279;?l5b9`8yv4>0k0;6?u22660>g>e348h7}::>>96o6m;<0`4a<31l1v?9=6;297~;5?=;18>l4=351=?24j279;?85b9`8yv4>?o0;6?u22662>g>e348h625d2l=o7p}=97f94?4|5;=8h7l7b:?1g5?=<0o0q~6}::?on64?>;<0b16<68>=01?874;:b5>{t:?n96=4={<05a`<3;k16>;j=:c:a?xu51>h1<7<76348=h?4>0`:897g2:3;;;:5227:7>=g53ty9:i>50;1x970bl3>8n63=6e0906d<5;;5>m:18>l4=34`a?d?j2wx>496:181841mj0i4o522`72>1?b3ty9:nm50;1x970bj3>8n63=6bg906d<5;;5>ji18>l4=34`e?d?j2wx>498:181841mh0i4o522`6e>1?b3ty9:h:50;1x970b13>8n63=6bc906d<5;n697j;|q12`4=839p1?8i8;60f>;5>l>18>l4=34f6?d?j2wx>4ol:181841n10i4o522`7a>1?b3ty9:h>50;1x970a?3>8n63=6d0906d<5;;5>l:18>l4=34ga?d?j2wx>4o=:181841n?0i4o522`7:>1?b3ty9:im50;1x970a=3>8n63=6eg906d<5;;5>mi18>l4=34ge?d?j2wx>477:181841n=0i4o522`74>1?b3ty9:i650;1x970a;3>8n63=6ec906d<5;;5>m218>l4=34g2?d?j2wx>46k:181841n;0i4o522`76>1?b3ty9:i:50;1x970a93>8n63=6e4906d<5;02909w0<9f08a;5>m>18>l4=34`=?d?j2wx>46>:181841n90i4o522`6g>1?b3ty9:h850;1x970b033:=63=6b;955g?348=i:4j7e9~w7?1n3:1>v3=6d:9f=d<5;k?o7:6e:p6gc0290?w0<81c8:54=::kl?6<>87:?1=f>=0h901?9k5;:b7>{t:>:86=4={<045g<3;k16>:><:c:a?xu51>>1<7<76348<<>4>0`:897da;3;;;:5228a;>=g23487}::>;j6o6m;<0ab6<31l1v?9>3;297~;5?;?18>l4=3521?24j279;<=5b9`8yv4>i00;6?u22606>g>e348ijn4;9d9~w71693:1?v3=736906d<5;=:?7:7}::>8?6o6m;<0abg<31l1v?9?f;297~;5?;918>l4=3525?24j279;=h5b9`8yv4>1o0;6?u22600>g>e348ijl4;9d9~w717l3:1?v3=730906d<5;=;j7:7}::>896o6m;<0ab<<31l1v?9?b;297~;5?;;18>l4=353`?24j279;=l5b9`8yv4>1<0;6?u22602>g>e348ij54;9d9~w71713:1?v3=732906d<5;=;n7:7}::>8;6o6m;<0ab2<31l1v?9?7;297~;5?8l18>l4=353=?24j279;=95b9`8yv4>0h0;6?u2263e>g>e348ij;4;9d9~w717=3:1?v3=70g906d<5;=;;7:7}::>;n6o6m;<0ab0<31l1v?9?2;297~;5?8n18>l4=3531?24j279;=<5b9`8yv4>?l0;6?u2263g>g>e348ij?4;9d9~w716?3:1?v3=70;9=47<5;=;>7??a99>627?2l=o7p}=97a94?4|5;=:57l7b:?1fc7=<0o0q~6}::?=i64?>;<0b5f<68>=01?o?8;6:a>{t:?<36=4={<053g<3;k16>;87:c:a?xu51h21<7<76348=:54>0`:897g6j3;;;:522`24>1?b3ty9:;950;1x9700i3>8n63=67:906d<5;<=;7l7b:p6;5>?=1==o7;<0534>318>l4=3453?24j279:;85b9`8yv4>1l0;6?u2275:>g>e348j=l4;9d9~w7g683:18v3=67590`d<5;<<=76n1:?1e4g=99=<7052z?1227=0h801?o<4;3332=z{;<<<7>53z?122>=18;01?896;33e==::?=;6h9k;|q1233=839p1?888;60f>;5>?<18>l4=3451?d?j2wx>476:181841?10i4o522`3:>1?b3ty9m=h50;6x9701>3>nn63=66296d622=3n7p}=a3`94?4|5;<<<76n2:?1e65=99=<7p}=67d94?5|5;<<;77>1:?1233=99k370<96g8f3a=z{;<=87>53z?1221=<:h01?895;60f>;5>?>1n5l4}r0:=1<72;q6>;98:c:a?84f910?5h5rs3c3a?6=v3=67d97??769~w701m3:1?v3=6649=47<5;<=87??a99>630b2l=o7p}=67194?5|5;<<:7:{t:02n6=4={<0533l?8:5;f?xu5i9n1<7:t=3450?2bj279:;k58`3897g6?3;;;:522`20>1?b3ty9m?750;0x9701m32j>63=a23955103ty9:;j50;1x9700=33:=63=671955g?348=:i4j7e9~w701:3:1?v3=667906d<5;<=?7:7}::?=>6o6m;<0b53<31l1v?o?c;290~;5>?918hl4=345`?>f9279m<851154?84f8;0?5h5rs3c1`1c3ty9:;?50;1x9700<3>8n63=670906d<5;<==7l7b:p6<>52909w0<9758a697j;|q1e5d=83>p1?892;6ff>;5>?i14l?4=3c21?77?>16>l>>:5;f?xu5i;=1<7f:279m?h51154?xu5>?h1<7=t=3447??69279:;?511c;?841>k0n;i5rs3454?6=;r79::=542`8970193>8n63=6729f=d63142k2i7054z?1237=;5i8>1==98;<0b45<31l1v?o=6;296~;5>?h14l<4=3c1a?77?>1v?89a;297~;5>>815;8n:d5g?xu51?k1<7u22743>1ce348=:l47a09>6d7428:<;6s|2`06>5<5s48=:l47a39>6d4c28:<;6s|29ce>5<5s483o846109>6=d72l=o7p}=8`194?4|5;2h97:7}::1i>6o6m;<04=2155oj:d5g?xu50hi1<7=t=3:`0?24j2794l=542`897>fk3h3n6s|2767>5<5s483o94m8c9>62?121k:7p}=8b394?5|5;2hi77>1:?153z?1;50hh1n5l4}r050`<72;q6>5mj:c:a?8401<03m<5rs3:ab?6=;r794nj5903897>fj3;;m55229a3>`1c3ty94lo50;1x97>dl3>8n63=8``906d<5;2jm7l7b:p632c2909w0<7ce8a3?65o>;|q1;50hk1==o7;<0;f`l4=3:be?24j2794l75b9`8yv41g>e348<5?47a09~w7>ej3:1?v3=8b`9=47<5;2j57??a99>6=dd2l=o7p}=8`:94?5|5;2hn7:{t:?>i6=4={<0;gg:7k:9c2?xu50k31<7=t=3:`e??692794l6511c;?84?jh0n;i5rs3:b3?6=;r794no542`897>f03>8n63=8`59f=d6=ef2k2i70<89b8;e4=z{;2i;7>53z?1;50h=18>l4=3:b2?d?j2wx>;:6:18184?k00i4o5226;a>=g63ty94o;50;1x97>d033:=63=8`4955g?3483n;4j7e9~w7>f=3:1?v3=8b:906d<5;2j:7:7}::1i36o6m;<04=d5l;:d5g?xu50h>1<7=t=3:`3?24j2794l;542`897>f<3h3n6s|2764>5<5s483o:4m8c9>62?>21k:7p}=8c394?5|5;2h:77>1:?153z?1;50h;1n5l4}r0503<72;q6>5m9:c:a?8401103m<5rs3`0f93;;m55226g0>=g1348i8=4>065897d4832j>6s|2760>5<5s483o>4m8c9>62?421k:7p}=85194?4|5;2>577>1:?1<12=m>n0q~<73683>7}::1?269=m;<0;72f>279:o658`38yv4?<80;6>u2297;><763483?:4>0`:897>3:3o5<4s483954;3c9>6=502=9i70<7418a53z?1<0>=j1h01?8m7;:b0>;5>k214l=4}r0;10<72:q6>58=:832?84?<90:5=i:18084?>;0??o522963>15e3483?k4m8c9~w704:3:1>v3=8709f=d<5;=n8:?1<02=m>n0q~<73d83>6}::1<:69=m;<0;7c<3;k16>5=j:c:a?xu5>:;1<7u22943><763483?h4>0`:897>2:3o5<4s483:=4;3c9>6=5b2=9i70<73e8a52z?1<36=j1h01?98a;:b5>{t:1>m6=4<{<0;1c<>9816>5=k:02b<>;50<:1i:j4}r0;7f<72:q6>5;i:51a?84?;m0??o52291`>g>e3ty9:?h50;0x97>2n3h3n63=79196=3b20;:70<73b824d><5;2?i7k8d:p6=5e2908w0<75d877g=::19h69=m;<0;7gf92wx>5:m:18084?=m02=<52291a>46f027949m5e6f8yv4?;h0;6>u2297g>15e3483?o4;3c9>6=5f2k2i7p}=63f94?4|5;2>h7l7b:?13=7=0h;0q~<74883>6}::1?h64?>;<0;7d<68h201?6;a;g4`>{t:1926=4<{<0;1f<3;k16>5=n:51a?84?;00i4o5rs341g?6=:r7948m5b9`8971?832j=6s|2964>5<4s4839o46109>6=5>28:j463=85:9a2b6=3e2=9i70<738877g=::1936o6m;|q127d=838p1?6:b;`;f>;5?>l14l?4}r0;00<72:q6>5;n:832?84?;10:5=::18084?=h0??o52291;>15e3483?84m8c9~w705i3:1>v3=84c9f=d<5;=w0<7568:54=::19>6<>n8:?13`>=0h<01?ln2;3332=::k9=65o=;|q1271=838p1?6:7;`;f>;5?>h14l?4}r0;3a<72;q6>578:832?84??l0n;i5rs3:5=?6=:r79449542`897>113h3n6s|271:>5<5s4835:4m8c9>63>321k?7p}=86`94?5|5;22:77>1:?1<3?=99k370<77b8f3a=z{;2=47>53z?1<<0=<:h01?699;60f>;50?21n5l4}r057=<72;q6>579:c:a?8410=03m>5rs3:4=?6=;r7944;5903897>103;;m552295b>`1c3ty94;950;1x97>>=3>8n63=87:906d<5;2=;7l7b:p63502909w0<7948a2m65o>;|q1<21=839p1?664;;25>;50?=1==o7;<0;3=18>l4=3:53?24j2794;85b9`8yv41;?0;6?u229;7>g>e348<4h47a09~w7>0=3:1?v3=8819=47<5;2=:7??a99>6=112l=o7p}=87794?5|5;22?7:{t:?9>6=4={<0;=6:6k:9c2?xu50>91<7=t=3::6??692794;;511c;?84??=0n;i5rs3:46?6=;r7944<542`897>1=3>8n63=8609f=d6=?52k2i70<88b8;e4=z{;23j7>53z?1;50>818>l4=3:45?d?j2wx>;:=:18184?i90i4o5226:a>=g63ty945j50;1x97>>n33:=63=863955g?34834h4j7e9~w7>083:1?v3=88d906d<5;2<=7:7}::13m6o6m;<0456l:d5g?xu50?l1<7=t=3::a?24j2794:>542`897>1n3h3n6s|2763>5<5s4835h4m8c9>62>>21k:7p}=89;94?5|5;22h77>1:?1<3`=99k370<78`8f3a=z{;2=i7>53z?1<;50?o1n5l4}r057c<72;q6>57k:c:a?8400103m<5rs3:;3?6=;r7944m5903897>1m3;;m55229:;>`1c3ty94;j50;1x97>>k3>8n63=87g906d<5;2=h7l7b:p635b2909w0<79b8a2<65o>;|q1<=3=839p1?66b;;25>;50?n1==o7;<0;<3l4=3:5`?24j2794;m5b9`8yv41;m0;6?u229;a>g>e348<4;47a09~w7>?;3:1?v3=88c9=47<5;2=o7??a99>6=>32l=o7p}=87`94?5|5;22m7:{t:?9h6=4={<0;=d:6;:9c2?xu501;1<7=t=3::=??692794;l511c;?84?0;0n;i5rs3:5e?6=;r79447542`897>1j3>8n63=87c9f=d6=?>2k2i70<8908;e4=z{;253z?1<<>=18;01?69a;33e==::12;6h9k;|q1<35=839p1?668;60f>;50?k18>l4=3:57?d?j2wx>;=n:18184?110i4o5226;3>=g63ty9n8<50;7x97>>933:=63=871955g?3486g3f28:<;63=b3a94?:3y>6=?62k2i70<8848;e4=z{;2:97>52z?1<7d=18;01?6>6;g4`>{t:1:26=4={<0;6g<3;k16>5>6:c:a?xu5>8n1<7u2290b><763483<44>0`:897>6<3o5<4s483>l4;3c9>6=6>2=9i70<7138a52z?1<7g=j1h01?982;:b5>{t:18<6=4<{<0;71<>9816>5?=:02b<>;50;21i:j4}r0;54<72:q6>5=;:51a?84?9;0??o522932>g>e3ty9:?850;0x97>4<3h3n63=763984?:2y>6=5420;:70<710824d><5;29:7k8d:p6=772908w0<732877g=::1;:69=m;<0;55f92wx>5<<:18084?;;02=<522933>46f02794?:5e6f8yv4?8o0;6>u22911>15e3483==4;3c9>6=6a2k2i7p}=63694?4|5;28>7l7b:?133c=0h;0q~<72083>6}::19:64?>;<0;4c<68h201?6=2;g4`>{t:1:n6=4<{<0;74<3;k16>5>i:51a?84?8l0i4o5rs3417?6=:r794>?5b9`89710132j=6s|293e>5<4s483?=46109>6=6b28:j463=8329a2b6=572=9i70<70d877g=::1:o6o6m;|q1274=838p1?6<0;`;f>;5?>214l?4}r0;5a<72:q6>55>l:18084?:o0??o52292g>15e3483v3=83d9f=d<5;=<;76n1:p6=7e2908w0<72d8:54=::1:h6<>n8:?1<4e=m>n0q~<70c83>6}::18n69=m;<0;4f<3;k16>5>m:c:a?xu5>;:1<7u2290g><7634830`:897>6i3o5<4s483>i4;3c9>6=6e2=9i70<70`8a52z?1<7b=j1h01?985;:b5>{t:1;<6=4<{<0;6f<>9816>5>n:02b<>;50821i:j4}r0;42<72:q6>5g>e3ty9:5k3h3n63=76696=4>20;:70<706824d><5;=n?76n5:?1f=g=99=<7052z?1<7?=j1h01?99f;:b5>{t:0;o6=4={<0:00<>9816>4?j:d5g?xu50l=1<7g>e3486<7d2l=o7p}=8d794?5|5;3?87:{t:k::6=4={<0:01:m::9c0?xu518=1<7=t=3;76??692794h;511c;?84>910n;i5rs3:f0?6=;r7959<542`897>b=3>8n63=8d69f=d6<252k2i70<8c28;e6=z{;3:97>53z?1=17=18;01?6j4;33e==::0;=6h9k;|q1<`5=839p1?7;1;60f>;50l>18>l4=3:f7?d?j2wx>lhj:18184><80i4o5226a1>=g43ty95<=50;1x97?3833:=63=8d1955g?3482=94j7e9~w7>b:3:1?v3=952906d<5;2n?7:7}::0>;6o6m;<04g51;297~;51:l154?=:d5g?xu50l;1<7=t=3;0b?24j2794h<542`897>b93h3n6s|2`d`>5<5s482?k4m8c9>62da21k87p}=91d94?5|5;38i77>1:?1<`7=99k370<6118f3a=z{;2n<7>53z?1=6c=<:h01?6j1;60f>;50l:1n5l4}r0bbg<72;q6>4=j:c:a?840jl03m>5rs3;3`?6=;r795>j5903897>b83;;m552282f>`1c3ty94ih50;1x97?4l3>8n63=8d2906d<5;2oj7l7b:p6d`f2909w0<63e8aho65o<;|q1=5d=839p1?7;50ml1==o7;<0:4fl4=3:gb?24j2794ik5b9`8yv4fn00;6?u2281`>g>e3486<6f2l=o7p}=8ef94?5|5;38n7:{t:hl36=4={<0:7g:lm:9c0?xu519=1<7=t=3;0e??692794ij511c;?84>810n;i5rs3:gg?6=;r795>o542`897>cl3>8n63=8ea9f=d6<5f2k2i70<8b`8;e6=z{;3;97>53z?1=6?=18;01?6kc;33e==::0:=6h9k;|q1;50mi18>l4=3:ge?d?j2wx>lh9:18184>;00i4o5226`:>=g43ty95=?50;1x97?4?33:=63=8ec955g?3482c13:1?v3=925906d<5;2om7:7}::09<6o6m;<04f24>?:d5g?xu50m21<7=t=3;02?24j2794i7542`897>c03h3n6s|2`d0>5<5s482?;4m8c9>62d221k87p}=8gf94?5|5;38977>1:?1=99k370<7fd8f3a=z{;2o;7>53z?1=63=<:h01?6k8;60f>;50m=1n5l4}r0bb7<72;q6>4=::c:a?840l=03m>5rs3:ef?6=;r795>:5903897>c?3;;m55229d`>`1c3ty94i850;1x97?4<3>8n63=8e5906d<5;2o:7l7b:p6d`62909w0<6358an865o<;|q1;50m<1==o7;<0;bdl4=3:g2?24j2794i;5b9`8yv4fn90;6?u22810>g>e348a?3:1?v3=9209=47<5;2o97??a99>6=`?2l=o7p}=8e694?5|5;38>7:{t:hom6=4={<0:77:j>:9c0?xu50o?1<7=t=3;05??692794i:511c;?84?n?0n;i5rs3:g7?6=;r795>?542`897>c<3>8n63=8e19f=d6<562k2i70<8d18;e6=z{;2m?7>53z?1=66=18;01?6k3;33e==::1l?6h9k;|q1;50m918>l4=3:g6?d?j2wx>lkk:18184>;90i4o5226ae>=g43ty94k?50;1x97?5n33:=63=8e0955g?3483j?4j7e9~w7>c93:1?v3=93d906d<5;2o>7:7}::08m6o6m;<04gf5h?:d5g?xu50lo1<7=t=3;1a?24j2794i?542`897>bm3h3n6s|2`ga>5<5s482>h4m8c9>62e621k87p}=93`94?5|5;3?o77>1:?1<`c=99k370<62b8f3a=z{;2nh7>53z?1=1e=<:h01?6je;60f>;50ln1n5l4}r0a4<<72;q6>4:l:c:a?840kl03m>5rs3;1=?6=;r7959l5903897>bl3;;m552280b>`1c3ty94hm50;1x97?3j3>8n63=8df906d<5;2no7l7b:p6g6?2909w0<64c8aio65o<;|q1=71=839p1?7;a;;25>;50li1==o7;<0:6=l4=3:fg?24j2794hl5b9`8yv4e8>0;6?u2286b>g>e3486<412l=o7p}=8dc94?5|5;3?57:{t:k:=6=4={<0:0<:mn:9c0?xu51;91<7=t=3;7:=0n;i5rs3:f=?6=;r79596542`897>bi3>8n63=8d;9f=d6<2?2k2i70<8c88;e7=z{;39=7>53z?1=11=18;01?6j9;33e==::0896h9k;|q1<`>=839p1?7;7;60f>;50l318>l4=3:fo>;:18184><>0i4o5226a;>=g43ty9533:=63=8d:955g?3482>=4j7e9~w7>b>3:1?v3=954906d<5;2n47:7}::0>=6o6m;<04g29;297~;51=9154?n:d5g?xu50mh1<7=t=3;77?24j2794h8542`897>cj3h3n6s|2c23>5<5s4828>4m8c9>62e321k97p}=91194?5|5;38477>1:?153z?1=6>=<:h01?6kb;60f>;50jl1n5l4}r0bb0<72;q6>4=7:c:a?840j103m?5rs3cbe?6=dn3;;m5522c2b>460?279:8o58`08yv4fmh0;6?u2280g>g>e3486g5621k870<7c18;e4=z{;h2>7>54z?13`5=0h>01?l66;3332=::k9?65o<;<0;63f>2wx>oon:187840m103m>522ccf>460?279n>958`1897>2<32j=6s|2c``>5<4s486ge328:<;63=bd3962c?21k>70{t:>o36=4={<04a=n=9:9c6?xu5jm<1<7:t=35f=?>f;279nil51154?8401o03m95226c6>=g53ty9n9650;6x971b132j963=b5f9551034862g321k87p}=bba94?2|5;=n576n6:?1fa7=99=<70<8a08;e1=::>k?65o9;|q13`?=838p1?9j9;g4`>;5k:<14l=4}r0a37<72?q6>:h=:9c2?84e??0:<:94=3;e0?>f=2795i?58`4897g1:32j?63=a4f962`521k8707}::>l96h9k;<0`7314l=4=3`4b?77?>16>o=>:9c7?84?k;03m<5rs3`:7?6=?3;;;:522c17>=g33483>547a09~w7d?;3:18v3=7d696g5421k:70<7198;e4=z{;h857>54z?13`2=0h<01?l;1;3332=::k9;65o>;<0;f71i:j4=3a03?>f>2wx>oom:187840mh03m>522cce>460?279n>958`6897>2>32j=6s|2c`g>5<4s486ge228:<;63=bd194?:4y>62cf21k>70{t:k3i6=4;{<04adoo<:0243>;5j:<14l?4=3:72?>f92wx>:kn:181840mh0n;i522b14>=g23ty9ni950;6x971bj32j?63=bea95510348<5k47a49>62g>21k97p}=b4194?2|5;=nn76n4:?1f0d=99=<70;|q1f1?=83>p1?9jb;:b1>;5j=o1==98;<04e5:o7:9c0?xu5jjn1<7:t=35ff?>f>279ni<51154?840i803m85226c;>=g13ty9;hl50;0x971bj3o4?:7y>62`421k:70;5i:h<:9c0?84e>k0:<:94=3`1`?>f<27944>58`38yv40n:0;6?u226d0>`1c348h?:47a59~w7d0k3:18v3=7d796g5621k>70<7ad8;e4=z{;h287>54z?13`3=0h>01?l68;3332=::k9?65o:;<0;5116>o=<:9c0?84?9h03m<5rs3`0e?6==g43483n947a09~w71b=3:1>v3=7d79a2b<5;i8476n6:p6ggd290?w0<8eb8;e6=::kh;6<>87:?1f61=0h?01?6;2;:b5>{t:khn6=4<{<04afom9:0243>;5jl>14l<4}r0af1<72:kl:9c6?84ej00:<:94=3c43?>f;279m:658`4897?d<32j>6s|2c;`>5<3s486gg328:<;63=b249=83>p1?9jd;:b7>;5jmn1==98;<04ea:7i:9c1?xu5j<>1<7:t=35f`?>f<279n8m51154?84e:j03m>5229:1>=g63ty9n9o50;6x971bl32j963=b5d9551034862g721k97p}=bbg94?2|5;=nh76n6:?1fa5=99=<70<8ab8;e3=::>k:65o=;|q13`b=838p1?9jd;g4`>;5k:214l=4}r0a31<72=q6>:h;:9c2?84e?10:<:94=3;`b?>f:279m;?58`48yv4e>=0;69u226d7>=g4348i:n4>065897d5l32j963=866962`32l=o7054z?13`0=0h901?l71;3332=::k9:65o9;<0;f516>o=;:9c5?84?9?03m<5rs3`;1?6==g33483=n47a09~w7d4j3:18v3=7d496g5721k?70<7b78;e4=z{;=n:7>52z?13`0=m>n01?m<9;:b2>{t:kko6=4;{<04a`ol>:0243>;5j:=14l84=3:70?>f92wx>oli:180840ml03m9522ca4>460?279nh?58`68yv4ej<0;69u226gf>=g2348inl4>065897g0032j=63=9b7962cb21k=707}::>on6h9k;<0`7<16>:l>:9c1?8401o03m>5rs3`61?6==g334834947a09~w7d3j3:18v3=7dd9<7??769>62d721k870<8a18;e6=z{;hhj7>54z?13``=0h<01?lk4;3332=::>h;65o9;<04e4f;2wx>o9::185840n<03m<522c5:>460?2795k:58`6897?c932j=63=a709h76n2:p6g02290?w0<8f48;e6=::k87:?1f7b=0h<01?686;:b5>{t:>l>6=4={<04b0n=6:9c7?xu5j1<1<7:t=35f3?>f9279n5k51154?84e;:03m852293f>=g63ty9n>m50;6x971b?32j?63=b5695510348i?=47a49>6=d?21k:7p}=7d594?4|5;=n;7k8d:?1g6g=0h<0q~6}::>l;65o<;<0ag=<68>=01?lj3;:b1>{t:kh=6=4;{<04b5olm:0243>;5i>214l<4=3;`1?>f:2wx>o7j:187840n903m8522cc5>460?279n>858`7897>3k32j=6s|26d3>5<5s486f5f21k>7p}=bec94?1|5;=m=76n3:?1fa`=99=<70<8ae8;e4=::>h:65o>;<04=c:o::9c2?840i003m<5rs3`62?6==g234834;47a09~w7d3k3:1;v3=7g39=7??769>62gd21k970<8b18;e7=::>k;65o>;<04e1:o7:9c1?xu5jm:1<79t=35e5?>f>279ni;51154?840ij03m85226`3>=g234862g321k>70<8a98;e0=z{;=m=7>52z?13c7=m>n01?m{t:k<=6=4;{<04b3o8j:0243>;5j;o14l=4=3:4f92wx>:h9:181840n?0n;i522b1b>=g33ty9m>l50;3184f=:0?4i522`71>1>c348j9<4;8e9>6d372=2o70n696k;<0b1g<30m16>l;n:5:g?84f=00?4i522`7;>1>c348j9:4;8e9>6d312=2o70l:l:5:g?84>km0n;i522`1b>1?b3ty9m>650;1`84f=:0??<522`71>156348j9<4;309>6d372=9:70n69=>;<0b1g<3;816>l;n:512?84f=00??<522`7;>156348j9:4;309>6d312=9:70;<0b0a<3;816>l:l:512?84d:=0??<522b00>156348h>n4;309>6f4e2=9:70;<0`6=<3;816>n<8:512?84d:?0??<522b06>156348h>?4;309>6f462=9:70;<0`51<3;816>n?<:512?84d9;0??<522b32>156348h==4;309>6f6a2=9:70;<0`4d<3;816>n>6:512?84en=0??<522cd0>156348ijn4;309>6g`e2=9:70;<0ab=<3;816>oh8:512?84en?0??<522cd6>156348ij?4;309>6g`62=9:70;<0`76<3;816>l=7:0243>;51jn14l?4=3;`g?>f92795no58`3897?d032j=6s|28aa>594;8e9>6f442=2o70n<6:5:g?84d:10?4i522b04>1>c348h>;4;8e9>6f422=2o703h63=c0090=b<5;i:=7:7d:?1g46=<1n01?m?f;6;`>;5k9o185j4=3a3`?2?l279o=o549f897e713>3h63=9bc9a2b6g`32=2o70ohn:5:g?84en00?4i522cd;>1>c348ij:4;8e9>6g`12=2o704m7:d5g?xu511l1<7m279;=?5e6f8yv4>k:0;6<9t=3c;2?2?l279m5;549f897g?<3>3h63=a9190=b<5;k3>7:7d:?1ed7=<:;01?on0;605>;5i0l18>?4=3c:a?249279m4j5423897g>k3>8=63=a8`9067<5;kj57:<1:?1ed>=<:;01?on7;605>;5ih<18>?4=3cb1?249279ml:5423897gf;3>8=63=a`09067<5;k2m7:<1:?1e{t:h926=48{<0b<3<3;816>l6::512?84f0=0??<522`:0>156348j4?4;309>6d5>28:<;63=9b496d>128:<;63=7139>2909w0:;6h9k;|q1e=6=83>p1?o75;3332=::>::65o:;<04454m::9c0?xu51191<7m279:kh5e6f8yv4f?o0;6;u22`:7>460?279;=?58`689717832j863=6gd9=0h>0q~<67e83>7}::h28697j;<05b`:>?:9c0?841no03m>5227df>=g6348j;:47a79>6d1?21k87p}=97`94?4|5;k3>7:6e:?12cb=m>n0q~=}::h296<>87:?1357=0h801?9?0;:b6>;5>ol14l<4=34ea?>f:279m:958`0897g0032j963=6gf96d432=3n70<9c98f3a=z{;k897>520y>6d432=9:70;<0b5f<3;816>l?m:512?84f9h0??<522`3:>156348j=54;309>6d702=9:7069=>;<0b51<3;816>l?<:512?84f;=0??<522`10>156348j??4;309>6d562=9:70;<0b6`<3;816>lf;2795i;58`1897?103>8=63=9749067<5;3=87:<1:?1=34=<:;01?790;605>;51?4=3;6g?24927958o5423897g4?32j=6s|2`3e>5<2s48j>94>0658971e;32j=63=9e59=0h;0q~<9c583>7}::h88697j;<05g2e;2957}::h886<>87:?13g5=0h>01?7k7;:b0>;5i;;14l=4=34`f;279:n958`3897?c=32j>63=7`a9;5?h814l=4=35b0?>f9279;l858`18971f032j=63=7`c97:6e:?12f0=m>n0q~46|5;k9>7??769>62d421k970<6d68;e7=::h8:65o=;<05g=;m8:9c1?84>l<03m<5226cf>=g534862g521k970<8a78;e7=::>kj65o=;<0aa4ok<:9c2?84em=03m<5227a5>=g63ty95i:50;3784f9j0?4i522`3a>1>c348j=l4;8e9>6d7>2=2o70l?::5:g?84f9=0?4i522`30>1>c348j<54;309>6d602=9:7069=>;<0b41<3;816>l><:512?84f8;0??<522`22>156348j<=4;309>66<0>21k970<6668;e7=::0<>65o=;<0:2648>:9c1?84>=o03m?52287g>=g534829o47a39~w7?am3:1>v3=a15955103482:447a09~w7?al3:1>v3=a14955103482::47a09~w7?ak3:1>v3=a17955103482:847a09~w7?aj3:1>v3=a16955103482:>47a09~w7?ai3:1>v3=a11955103482:<47a09~w7?a13:1>v3=a109551034829k47a09~w7?a03:1>v3=a139551034829i47a09~w7?a?3:1>v3=a129551034829o47a09~w7>7=3:1>v3=a2690;50981i:j4}r04bc<72;q6>l=>:5;f?84?890n;i5rs35e`?6=:r79m>>548g8971am3o5<5s48j>k4;9d9>62`d2l=o7p}=7g:94?4|5;k9i7:6e:?13cg=m>n0q~<8f683>7}::h8o697j;<04b<nf9279nh:58`18yv4d;80;6?u22b17>1?b34847a29>62b121k:7p}=c2294?4|5;i8?7:6e:?13a3=m>n0q~6}::j986<>87:?1f`7=0h801?9k5;:b5>{t:ho96=4={<0a66<31l16>:m9:d5g?xu5ij81<7=t=3`17?77?>16>;;k:9c2?84>9l03m<5rs3cf5?6=:r79n?<548g8971d=3o5<4s48i>?4>06589702l32j>63=90a96g472=3n70<8c28f3a=z{;kij7>53z?1f76=99=<70<95b8;e3=::0;365o>;|q1eac=838p1?l>f;6:a>;5?j81i:j4}r0bf`<72:q6>o?i:0243>;5>f92wx>ljk:18184e9l0?5h5226a3>`1c3ty9moj50;1x97d6m3;;;:52277`>=g33482=947a09~w7gck3:1>v3=b0f90o76n3:?1=44=0h;0q~7}::k;h697j;<04f`4??:9c2?xu5imk1<7m279;oj5e6f8yv4fjh0;6>u22c3a>460?279:8m58`0897?7m32j=6s|2`f:>5<5s48i=l4;9d9>62dd2l=o7p}=ac;94?5|5;h:m7??769>633>21k=70<60b8;e4=z{;ko47>52z?1f4?=<0o01?9mb;g4`>{t:hh36=4<{<0a5<<68>=01?8:9;:b1>;519k14l?4}r0b`2<72;q6>o?7:5;f?840jh0n;i5rs3ca3?6=;r79n<651154?841=003m952282;>=g63ty9mi850;0x97d6?3>2i63=7c;9a2b6g7028:<;63=64;9h<6h9k;|q1eg2=839p1?l>5;3332=::??265o>;<0:47184k4=35a1?c0l2wx>ll<:18084e9=0:<:94=346=?>f:2795=>58`38yv4fl;0;6?u22c30>1?b3486=`b21k:7p}=ae394?4|5;h:>7:6e:?13a5=m>n0q~6}::k;96<>87:?120>=0h?01?6ic;:b5>{t:hn;6=4={<0a54<31l16>:j=:d5g?xu5ik:1<7=t=3`25?77?>16>;;7:9c7?84?nh03m<5rs3c`b?6=:r79n<>548g8971c93o5<4s48i==4>06589702032j?63=8g:96g6a2=3n70<8d18f3a=z{;kji7>53z?1f5`=99=<70<9598;e4=::1l=65o>;|q1efb=838p1?l?e;6:a>;5?jl1i:j4}r0bea<72:q6>o>j:0243>;5><214l<4=3:e0?>f92wx>lml:18184e8m0?5h5226a`>`1c3ty9mlm50;1x97d7l3;;;:52277a>=g13483j?47a09~w7gdj3:1>v3=b1a90n76n5:?17}::k82697j;<04g`4m279;nj5e6f8yv4fk10;6>u22c0;>460?279:8l58`1897?5i32j=6s|2`g4>5<5s48i>:4;9d9>62ee2l=o7p}=ab594?5|5;h9;7??769>633e21k:70<6298;e4=z{;kn:7>52z?1f70=<0o01?9la;g4`>{t:hi=6=4<{<0a63<68>=01?8:b;:b6>;51;<14l?4}r0ba0<72;q6>o<::5;f?840k00n;i5rs3c`1?6=;r79n?;51154?841=h03m;522807>=g63ty9mh:50;0x97d5<3>2i63=7b:9a2b6g4328:<;63=64c976n1:p6dc42909w0i<6h9k;|q1ef5=839p1?l=1;3332=::??j65o;;<0:65lm?:18084e9?0:<:94=346e?>f;27951?b3486<6321k:7p}=abc94?4|5;h;m7:6e:?13g0=m>n0q~7}::hk:697j;<0:144:i:9c0?xu5i1n1<7=t=3cb4?77?>16>l7i:5;f?84>=g23ty9m5l50;1x97g>m3;;;:522`;g>1?b34828k47a79~w7g?i3:1?v3=a8f95510348j5n4;9d9>6<3721k97p}=a9;94?5|5;k2o7??769>6d?e2=3n70<6518;e4=z{;k347>53z?1e4:j:9c2?xu5i0?1<7=t=3cb3?77?>16>lo9:5;f?84>5rs3c:0?6=;r79ml851154?84fi<0?5h52286f>=g33ty9m4=50;1x97gf=3;;;:522`c7>1?b34828h47a49~w7g>:3:1?v3=a`695510348jm>4;9d9>6<2b21k=7p}=a8394?5|5;kj?7??769>6dg52=3n70<64g8;e7=z{;k2<7>53z?1ed4=99=<70;|q1e=`=839p1?o6a;3332=::h32697j;<0:0c4;>:9c1?84em:03m9522cg7>=g33ty9:8;50;0x97g413>2i63=7c19a2b6d5>2=2o70;5i;;1i:j4}r0:b4<720q6>o:8:512?84e156348i894;309>6g242=9:70:69=>;<0a05<3;816>4h=:d5g?xu5j:l1<7:t=3`73?77?>16>o=>:9c2?840m803m;5229`f>=g63ty9n>k50;6x97d3>3;;;:522c12>=g53486=dd21k:7p}=b2f94?2|5;h?97??769>6g5721k=70<8d`8;e1=::1hj65o>;|q1e33=83;5j>218>?4=3`43?249279n:85423897?a83o6g162=9:70;<0a2`<3;816>o8k:512?84e>j0??<522c4a>156348i:l4;309>66g4b21k:70<8e08;e0=::1=n65o>;|q1f3>=83>p1?l80;3332=::k8n65o=;<04``59l:9c2?xu5j?=1<7:t=3`5b?77?>16>o=g63ty95hm50;;x97d193>8=63=b729067<5;h>j7:<1:?1f0c=<:;01?l:d;605>;5j?4=3`6f?249279n8o5423897?bl3o5<3s48i:<4>065897d5l32j=63=7d0901?67a;:b5>{t:k?<6=4;{<0a1c<68>=01?l=c;:b2>;5?m314l:4=3:;f92wx>4k7:18584elo0??<522cff>156348ihi4;309>6gbd2=9:70;5jm>18>?4=3`g7?249279ni<5423897dc93>8=63=9d59a2b6g362=9:70m69=>;<0a0`<3;816>o:k:512?84>mk0n;i5rs3;f6?6=>r79nol5423897dei3>8=63=bc;9067<5;hi47:<1:?1fg1=<:;01?7j3;g4`>{t:0o;6=4:{<0af4<3;816>ol?:512?84eio0??<522ccf>1563482i<4j7e9~w7?b<3:15v3=bb`9067<5;hhm7:<1:?1ff?=<:;01?ll8;605>;5jj=18>?4=3``2?249279nn;5423897dd<3>8=63=9d79a2b4?:3y>6gee28:<;63=7d096gef28:<;63=7ef96ge>28:<;63=7e;9w0;<0a=2<3;816>o79:512?84>lm0n;i5rs3;ge?6=1r79n4?5423897d>83>8=63=b9d9067<5;h3i7:<1:?1f=b=<:;01?l7c;605>;5j1h18>?4=3`;e?2492795il5e6f8yv4e000;69u22c;2>460?279n>:58`38971b:32j:63=83696g?728:<;63=b2691}::k2m6<>87:?1f65=0h<01?9k9;:b2>;50;:14l?4}r0:``<720q6>oo6:512?84ei10??<522cc4>156348im;4;309>6gg22=9:70;<0ae7<3;816>4ji:d5g?xu5jh;1<7:t=3`b=?77?>16>o=8:9c2?840m803m9522971>=g63ty9nl>50;6x97df03;;;:522c14>=g53486=3721k:7p}=b8d94?2|5;hj;7??769>6g5121k=70<8d`8;e7=::1>n65o>;|q1=a>=83?p1?l71;605>;5j1:18>?4=3`4b?249279n:k5423897?c13o5<5s48j;l4;9d9>6d1d2l=o7p}=9e494?4|5;kn0q~6}::h=j6<>87:?13g5=0h901?o8c;:b5>{t:??=6=4=2z?1e63=<0o01?8:e;g4`>;5?j<14l:4=35`1?>f<279;n=58`68971d:32j863=7b2901?9md;:b0>;5?ki14l:4=35af?>f<279;oo58`68971e132j863=7c5901?9k3;:b0>;5?m814l:4=35`=?>f9279;n958`38971d<32j=63=7c:901?9k0;:b0>;5?jl14l:4=35`g?>f<279;n?58`68971dm32j863=7bf901?9l8;:b0>{t:j?26=4<{<0`20<>9816>n:k:02b<>;5k<31i:j4}r0`0f<72:q6>n8::51a?84dg>e3tyi>?4?:2y>6f022k2i70;5k<314l?4=2246?c0l2wxn?=50;1x97e3l3h3n63=c9;90`d<5;i2:76n1:p717d2909w0=839p1?m94;;25>;5k=i1==o7;<0`1=18>l4=3a7g?24j279o885b9`8yvd593:1?v3=c769f=d<5;i<=76n2:?1g<2=0h80q~=?7183>6}::j>h69km;<1334n;7:9c5?xu5k?81<7=t=3a5a??69279o88511c;?84d>;0n;i5rs3a61?6=;r79o;k542`897e2>3>8n63=c479f=d53z?1g3c=j1h01?m89;:b6>;5k0o14l<4}r132`<72;q6>n;9:5ga?857>o0n;i5rs3a55?6=;r79o;j5903897e2=3;;m5522b42>`1c3ty9o8:50;1x97e1l3>8n63=c47906d<5;i>87l7b:pf4`=839p1?m9d;`;f>;5k>214l<4=3a:`?>f:2wx?=9m:18084d=<0?io522b42>=g6349;;9462`9~w625=3:1>v3=c739:i77=a:p6f072908w0n8:?1g36=m>n0q~6}::jn;<:c:a?xue9l0;6>u22b4`>g>e348h;:47a39>6f?d21k97p}<06c94?5|5;i>87:jb:?1g36=0h;01>>84;;1=>{t;=8?6=4={<0`258n63=c409f=d53z?1g3d=j1h01?m86;:b6>;5k0h14l<4}r133<<72:q6>n;<:5ga?84d=o03m<523157><4?3ty88?=50;0x97e2n32j>63<40g9=7>6f0f20;:70<5;i>i7k8d:p6f362908w0h0i4o522b56>=g5348h5l47a39~w66003:1?v3=c4090`d<5;i>i76n1:?0422=1;=0q~=;2383>7}::j?n65o=;<175`<>:>1v?m:d;297~;5k?315n;k:d5g?xu5k<:1<7=t=3a5=?24j279o8?542`897e283h3n6s|b0`94?5|5;i=57l7b:?1g22=0h801?m69;:b6>{t;9=<6=4<{<0`14<3mk16>n;k:9c2?857?=02>;5rs2615?6=:r79o8j58`089626m339:6s|2b7`>5<4s48h:546109>6f3728:j463=c4a9a2b6f0?2=9i70m6o6m;|qa5d<72:q6>n87:c:a?84d?:03m?522b;;>=g53ty8<:850;1x97e283>nn63=c4a9;5k=l1==o7;<0`1gl4=3a7b?24j279o9k5b9`8yvd613:1?v3=c759f=d<5;i<>76n2:?1g<1=0h80q~=?7483>6}::j>m69km;<0`1gf:2788u22b45><76348h8h4>0`:897e2i3o5<4s48h:;4;3c9>6f2b2=9i70n9?:9c1?84d1:03m?5rs22;4?6=;r79o9k54d`897e2i32j=63<0669=13l4?:3y>6f3f21k970=;1d8:00=z{;i>;7>53z?1g35=18;01?m;b;33e==::j?<6h9k;|qa52<72:q6>n8<:c:a?84d>o03m?522b;1>=g53ty8<:h50;1x97e3j3>nn63=c4592909w0e;;25>;5l=k1n5l4}r0`ba<72;q6>i?j:51a?84dnm0i4o5rs323=?6=:r79h5<4s48o=i46109>6f`c28:j463=d1f9a2b6a7c2=9i70=838p1?j>d;`;f>;6kkh14l;4}r0``6<72:q6>nhk:5ga?84c8m03m<522bf0>g>e3ty9h>:50;0x97b7l32j>63=d719f=d6a7d20;:70<5;n;o7k8d:p6f`e2908w0?7;296~;5l8i1n5l4=0aae?>f=2wx>i=<:18084dnj0?io522e2`>=g6348hih4m8c9~w7b4:3:1>v3=d1a97l7b:p6a6e2908w0n8:?1`5d=m>n0q~6}::m;i69=m;<0`bg<3;k16>nhn:c:a?xu589<1<7u22bda>1ce348o6fcc2k2i7p}=d2294?4|5;n;n76n2:?1`37=j1h0q~6}::m;j64?>;<0`bd<68h201?j?a;g4`>{t:jl26=4<{<0g5d<3;k16>nhn:51a?84dn00i4o5rs3231?6=:r79h5<4s48hjl4;ec9>6a6f21k:7052z?1`5g=0h801?j90;`;f>{t:m:26=4<{<0g5<<>9816>nh6:02b<>;5l931i:j4}r0`b=<72:q6>i?6:51a?84dn00??o522bd;>g>e3ty9<=:50;0x97b613h3n63>cc59i4?:2y>6f`>2=oi70;5li?7:832?84dn10:nh8:18084c910??o522bd;>15e348hj:4m8c9~w767;3:1>v3=d0:9f=d<58ii:76n5:p6a4e2908w0;<0`adi>8:18084c9>02=<522bd4>46f0279h=95e6f8yv4c8?0;6>u22e34>15e348hj:4;3c9>6a612k2i7p}=01094?4|5;n:;7l7b:?2gg3=0h?0q~6}::jl<69km;<0g42nk6:c:a?xu5l;21<7f:279h8j5b9`8yv4c9?0;6>u22e04><76348o<;4>0`:897b6>3o5<4s48o>:4;3c9>6a612=9i707>52z?1`71=j1h01{t:m>86=4<{<0g43<3mk16>i?9:9c2?84dn?0i4o5rs3f76?6=:r79h<858`0897b1i3h3n6s|2e36>5<4s48o>;46109>6a6228:j463=d079a2b6a412=9i70;6kj>14l:4}r0g04<72:q6>i>::5ga?84c9<03m<522bd6>g>e3ty9h9>50;0x97b6=32j>63=d7;9f=d6a4220;:70<5;n:87k8d:p6a642908w0>0;296~;5l;?1n5l4=0a`7?>f<2wx>i=i:18084c8=0?io522e37>=g6348hj94m8c9~w7b4m3:1>v3=d069n8:?1`45=m>n0q~6}::m8?69=m;<0g46<3;k16>i>=:c:a?xu589l1<7u22e20>1ce348o=>47a09>6f`42k2i7p}=d2a94?4|5;n:?76n2:?1`31=j1h0q~6}::m8864?>;<0g47<68h201?j>2;g4`>{t:m::6=4<{<0g66<3;k16>i>=:51a?84c880i4o5rs323a?6=:r79h?=5b9`894ed932j86s|2e1a>5<4s48o6a7521k:7052z?1`44=0h801?j96;`;f>{t:m;:6=4<{<0g67<>9816>i>>:02b<>;5l8;1i:j4}r0g45<72:q6>i<=:51a?84c880??o522e23>g>e3ty9<=j50;0x97b5:3h3n63>cb296a662=oi70=838p1?j>1;:b6>;5l??1n5l4}r0g55<72:q6>i<>:832?84c890:nhi:18084c:80??o522e23>15e348hjk4m8c9~w767k3:1>v3=d339f=d<58iij76n4:p6a502908w0;<0`b5i>i:18084c:902=<522bde>46f0279h=h5e6f8yv4dnl0;6>u22e03>15e348hjk4;3c9>6f`b2k2i7p}=01`94?4|5;n9<7l7b:?2ggc=0h>0q~6}::jlm69km;<0`aci>i:9c2?xu5l9o1<7=t=3f2b??69279okk511c;?84c8l0n;i5rs323e?6=:r79h5<5s48hjh4;ec9>6fd?2l=o7p};5kk214l?4=0aa0?>f<278m<<51154?xu5k=>1<7?k{<0g4`nk?:9c1?84dlo03m?522bff>=g5348hhi47a39>6fbd21k970nk::9c1?84dm=03m?522bg0>=g5348hi?47a39>6fc621k970;5kkk14l<4=3aa=?>f:279on;58`0897ed<32j>63=cb1976n2:?1gf7=0h801?ml0;:b6>;5kkl14l<4=3aaa?>f:279ooj58`08yv5f9>0;6;u22e2f>=g4348hi?47a29>6fc621k:70;<1b52<68>=0q~4g|5;n;i76n4:?1`03=0h801?j:4;:b6>;5l<914l<4=3f66?>f:279h8m58`0897b2j32j>63=d4c9576n2:?1`0>=0h801?j:7;:b6>;5l<<14l<4=3a73?77?>16>nl?:9c1?84dio03m?522bcf>=g5348hmi47a39>6fd021k97065o=;<0`f1nl<:9c1?84dj;03m?522b`2>=g534;hn947a49>6a6a21k87p}=cb494?5|5;ini77>1:?1ga5=99k3707>53z?1g`c=<:h01?mk3;60f>;5km81n5l4}r0`a5<72:q6>nkk:832?84dl;0:nj>:18084dmm0??o522bf1>15e348hh<4m8c9~w7eek3:1>v3=ce090`d<5;iio7k8d:p7d7129065o>;<0`ffnl?:9c2?84dkm03m<522ba4>=g6349j=;4>0658yv5f9<0;6:u22bg3>=g4348hhk47a09>6a3321k:70;<0`gg{t:j>>6=4i{<0`a5nji:9c7?84dll03m9522bfg>=g3348hhn47a59>6fc?21k?70nk;:9c7?84dm:03m9522bg1>=g3348hi<47a59>6f2>28:<;63=cb596fcd20;:70<5;ioj7k8d:p6fb72908w0522bff>=g6348o9>47a09>6fdf21k:70;<1b51<68>=0q~6}::joi64?>;<0``5<68h201?mke;g4`>{t:jim6=4<{<0`ag<3;k16>nj?:51a?84dko0i4o5rs3aae?6=:r79oi>54d`897eei3o5<0s48hhh47a29>6fbc21k:70;<0`f<nok:9c2?85f9:0:<:94}r0``a<72:q6>nkn:832?84dko0:nmj:18084dmh0??o522bae>15e348hoh4m8c9~w7ee13:1>v3=cbd90`d<5;ii57k8d:p7d7a290;<0g11i;l:9c2?84dk<03m<522b`4>=g6349j=k4>0658yv4dlj0;6>u22bg:><76348hoh4>0`:897eck3o5<4s48hi44;3c9>6feb2=9i7052z?1gfc={t;h;n6=48{<0``fnk7:9c2?84c=:03m>522e7a>=g6348ho947a09>6fd121k:70=n1d824216f`120;:70<5;in47k8d:p6fbf2908w0522bg4>=g6348o9?47a29>6a3f21k:7065o>;<1b5a<68>=0q~6}::jl>64?>;<0``d<68h201?mj7;g4`>{t:jn26=4<{<0`b0<3;k16>njn:51a?84dl00i4o5rs3a`7?6=:r79oio54d`897ed;3o5<0s48hi:47a29>6fc121k:70;<0`g7nl;:9c2?85f9j0:<:94}r0`a3<72:q6>nh;:832?84dl00:nj7:18084dn=0??o522bf:>15e348hh54m8c9~w7ed:3:1>v3=ce;90`d<5;ih>7k8d:p7d7e29065o>;<0g1gi;7:9c2?84dk803m<522b`0>=g6349j=o4>0658yv4dm<0;6>u22bd0><76348hh54>0`:897eb=3o5<4s48hj>4;3c9>6fb?2=9i7052z?1ga>={t;h;j6=48{<0`a0nk;:9c2?84c=h03m>522e74>=g6348ho=47a09>6fd521k:70=n1`824216f`520;:70<5;in87k8d:p6fb12908w0522bg0>=g6348o9447a29>6a3121k:70;<1b5<<68>=0q~6}::jl:64?>;<0``3<68h201?mj3;g4`>{t:jn>6=4<{<0`b4<3;k16>nj9:51a?84dl<0i4o5rs3aab?6=:r79oi854d`897een3o5<1s48hi>47a29>6fc521k:70;<0g4c{t:jo96=4<{<0`b5<>9816>nj::02b<>;5kl81i:j4}r0``1<72:q6>nh?:51a?84dl<0??o522bf7>g>e3ty9ook50;0x97ec=3>nn63=ccg9a2b6fca20;:70<5;in=7k8d:p6fdc2909w0;5l=k1==o7;<0`gal4=3f7e?24j279h975b9`8yv4dkh0;6>u22e41><76348o844>0`:897edj3o5<4s48o:?4;3c9>6a2>2=9i7053z?1`37=18;01?j;8;33e==::ji26h9k;|q1`11=839p1?j91;60f>;5l=218>l4=3f73?d?j2wx>i;::18084c>902=<522e64>46f0279h8;5e6f8yv4cu22e43>15e348o8:4;3c9>6a212k2i7p}=cc294?4|5;n?;7:jb:?1gg6=m>n0q~c}::m?>65o;;<0g11i;<:9c7?84c=;03m9522e7`>=g3348o9o47a59>6a3f21k?70i;9:9c7?84df:279onl58`0897ed132j>6s|2e77>5<4s48o9k46109>6a2128:j463=d469a2b6a3a2=9i70>6o6m;|q1gd`=838p1?j;6;6ff>;5khl1i:j4}r0g16<72:q6>i;j:832?84c<<0:i:;:18084c=l0??o522e66>15e348o894m8c9~w7efm3:1>v3=d5790`d<5;iji7k8d:p6a352908w0?6<>n8:?1`04=m>n0q~6}::m?o69=m;<0g01<3;k16>i;>:c:a?xu5khn1<7u22e4b><76348o9<4>0`:897b2k3o5<4s48o:l4;3c9>6a362=9i7052z?1`07={t:m?i6=4<{<0g2<<>9816>i;?:02b<>;5li86:51a?84c=90??o522e6e>g>e3ty9oo850;0x97b283>nn63=cc49a2b6a0?20;:70<5;n>m7k8d:p6a2b2908w0m69=m;<0g0`i;6:18084c>>02=<522e6f>46f0279h875e6f8yv4cu22e44>15e348o8h4;3c9>6a2c2k2i7p}=cc694?4|5;n?i7:jb:?1gg2=m>n0q~6}::m<=64?>;<0g0a<68h201?j:8;g4`>{t:m>h6=4<{<0g23<3;k16>i:k:51a?84c5<4s48o:846109>6a2d28:j463=d459a2b6a022=9i70i6o6m;|q1gg4=838p1?j;c;6ff>;5kk81i:j4}r0g13<72:q6>i8;:832?84cnl>:18184c`1c3tyi?h4?:3y>6fg32=9i708n63=c9:9f=d52z?1gd5=j1h01>o>5;6:a>{t:j3>6=4<{<0`e7<>9816>n67:02b<>;5k0?1i:j4}r0`3f<72:q6>no=:51a?84d010??o522b;2>g>e3tyi?n4?:3y>6fg52k2i70=n1587=`=z{k8?6=4<{<0`<=<3mk16>n7::9c0?84d?80n;i5rs25`e?6=;r79o4;58`38961di3o6f1621k:70i26h9k;|q1g<2=839p1?mnc;;25>;5k0;1==o7;<0`=1l4=3a:5?24j279o4>5b9`8yvd4j3:1>v3=c`a9f=d<5:k:?7:6e:pf73=839p1?m61;6ff>;5k0>14l=4=3a4=?c0l2wx?:m9:18084d?003m<522b;f>=g6349m3:1?v3=c``9=47<5;i2<7??a99>6f?b2l=o7p}=c9694?5|5;ijn7:{tj:k1<73:1?v3=c8290`d<5;i2i76n3:?1g2>=m>n0q~=8d283>6}::j=365o>;<0`=a8n63=c9g9f=d52z?1gdg=j1h01>o>e;6:a>{tj;=1<7=t=3a;b?2bj279o4j58`1897e0?3o5<4s48h;:47a09>6f?d21k:70=8cb8:6<=z{;i2o7>53z?1gd?=18;01?m7e;33e==::j3h6h9k;|q1g=4=839p1?mn9;60f>;5k1o18>l4=3a;`?d?j2wxn>650;0x97ef13h3n6353z?1g=c=;5k><1i:j4}r14`4<72:q6>n99:9c2?84d1k03m<5236a`><4?3ty9o4l50;1x97ef033:=63=c9f955g?348h5o4j7e9~w7e?93:1?v3=c`:906d<5;i3h7:m2wxn?750;1x97e?l3>nn63=c8`9;<14gf<>:>1v?m6a;297~;5kh=15n7n:d5g?xu5k1:1<7=t=3ab3?24j279o5m542`897e?j3h3n6s|b2494?4|5;ij;7l7b:?0e4d=<0o0q~l=a;297~;5k1i18hl4=3a:e?>f;279o::5e6f8yv50ko0;6>u22b57>=g6348h5447a09>72ed208=7p}=c8;94?5|5;ij:77>1:?1g=d=99k37053z?1gd0=<:h01?m7b;60f>;5k1k1n5l4}r`01?6=:r79ol85b9`896g6i3>2i6s|b3`94?5|5;i3n7:jb:?1g{t;>in6=4<{<0`36n77:9c2?850kj02>85rs3a:`1c3ty9o:k50;1x97ef=3>8n63=c9c906d<5;i3;7l7b:pf62=838p1?mn5;`;f>;4i83184k4}r`1g?6=;r79o5o54d`897e>032j?63=c609a2b6f1521k:70ih64<;;|q1g<1=839p1?mn1;;25>;5k1=1==o7;<0`=2l4=3a;3?24j279o585b9`8yvd4;3:1>v3=c`39f=d<5:k:47:6e:pf7b=839p1?m77;6ff>;5k0=14l=4=3a44?c0l2wx?:j7:18084d?903m<522b;0>=g6349;3:1?v3=c`29=47<5;i3:7??a99>6f?42l=o7p}=c6c94?5|5;ij<7:{tj:81<7n0q~=8d683>6}::j;<0`=75<5s48h5k4m8c9>7d752=3n7p}=eda94?4|5;omh7:6e:?1a`e=m>n0q~<}::llo696k;<0fbf<30m16>hhm:5:g?84bnh0?4i522dd:>1>c348nj54;8e9>6``02=2o70;5mlk1i:j4}r0fa=<72;q6>hhm:5;f?84bm10n;i5rs3gf2?6=:r79iko548g897cb>3o5<5s48nj44;9d9>6`c32l=o7p}=ed094?4|5;om47:6e:?1a`4=m>n0q~7}::ll<697j;<0fa5hm<:18684b9h0??<522d3:>156348n=54;309>6`702=9:7052z?1a4g=99=<70=<728;e4=z{;o:97>52z?1a4?=99=<70=<728;e0=z{;o:87>53z?1a4>=99=<70=<798;e6=:;:=o65o;;|q1a45=839p1?k>7;3332=:;:=365o>;<103a?4=3fa5?249279ho>5423897bfn3>8=63=ec49a2b6ad528:<;63=fb796ad628:<;63=fb796ad728:<;63=fbc90}::l2969=>;<0f<4<3;816>h6?:512?84b?o0??<522df7>`1c3ty9i:k50;0x97c?:3;;;:52363g>=g63ty9i:j50;0x97c?93;;;:52363g>=g23ty9i:m50;1x97c?83;;;:523601>=g4349<>:47a59~w7c0j3:1?v3=e6d95510349<>?47a09>724021k87p}=eea94??|5;njm7:<1:?1`d?=<:;01?jn8;605>;5lh=18>?4=3fb2?249279hl;5423897bf<3>8=63=d`19067<5;ooh7k8d:p6ag52909w076n2:p6a?a2909w0w0269=>;<0`0=<3;816>n:8:512?84blh0n;i5rs3gg=?6=1r79il<5423897cf93>8=63=e`29067<5;o2j7:<1:?1a;5m0i18>?4=3g:f?249279ii75e6f8yv4b1h0;6?u22dc1>460?2785;h58`68yv4b100;6?u22dc2>460?2785;h58`18yv4b110;6?u22dc3>460?2785:>58`18yv4b1>0;6?u22d;e>460?2785:>58`38yv4b1?0;6?u22d;f>460?278;im58`48yv4b1<0;6?u22d;g>460?278;il58`48yv4b1=0;6?u22d;`>460?278;io58`48yv4b1:0;6?u22d;a>460?278;i758`48yv4bl>0;64u22d6b>156348n844;309>6`2?2=9:70=69=>;<0f00<3;816>h:;:512?84b<:0??<522df;>`1c3ty9i9<50;3384bf;2784=h58`6896>7k32j=63<80;96>5;:b7>;408n14l<4=2:2b?>f92784<958`6896>6;32j863<80`96>1;:b7>{t:l>:6=4>0z?1a1?=99=<70=70d8;e7=:;1:m65o<;<1;4f=g33493=847a59>7=7c21k870=71g8;e7=:;1;<65o<;<1;56=g43493=<47a39~w7c383:1jv3=e5:9551034937=6d21k870=7188;e1=:;1:365o;;<1;4g=g33493=:47a39>7=7421k:70=71c8;e6=:;1:j65o<;<1;64:9c2?xu5m:l1<7ht=3g73?77?>16?5>j:9c2?85?8o03m?52392`>=g53493=447a29>7=6?21k870=70c8;e7=:;1;>65o=;<1;5a03m<523930>=g53493=o47a09>7=6f21k:70=7208;e1=z{;o8i7>52z?1a10=99=<70=8db8;e4=z{;o8h7>52z?1a13=99=<70=8dc8;e4=z{;o8o7>52z?1a12=99=<70=8d`8;e4=z{;o8n7>52z?1a15=99=<70=8d88;e4=z{;oo97>59z?1a0g=<:;01?k:9;605>;5m<218>?4=3g63?249279i885423897c2=3>8=63=e469067<5;o>?7:<1:?1aa0=m>n0q~a}::l?j6<>87:?0=c5=0h801>7i1;:b6>;4i9?14l<4=2c37?>f:278m=?58`0896?an32j>63<9gf97i7;:b6>;41o?14l<4=2;fb?>f:2wx>h;>:18g84b=00:<:94=2;e7?>f<2785k?58`6896g7=32j86301>7id;:b0>;41oh14l:4=2;e=?>f<2785k958`6896?a=32j863<9dd96`3?28:<;63<9g09o?2;:b6>;4i9:14l<4=2;ea?>f:2785km58`0896?ai32j>63<9g:97je;:b6>{t:l>m6=4k{<0f12<68>=01>7i2;:b1>;41o:14l;4=2c30?>f=278m=<58`7896g7832j963<9gg97i8;:b1>;41o<14l;4=2;e0?>f=2785hk58`78yv4b16?577:9c6?850ll03m85236g`>=g334972cf21k?70=8e98;e1=:;>o<65o;;<14a3=g334972c621k?70=8e18;e1=:;>nm65o;;<14`a=g334972ca21k?70=8ed8;e1=:;>oo65o;;<14a<=g634938?47a09>7=2321k:70=7478;e4=:;1>365o>;<1;0d=g634939?47a09>7=3321k:7p}=e5a94?4|5;o>87??769>77p}=e5`94?1|5;o>?7??769>7=bd21k870=7de8;e0=:;1n365o9;<1;`<93>8=63=e829067<5;o3j7:<1:?1a=c=<:;01?k7d;605>;5m1i18>?4=3g;f?249279ii<5e6f8yv4b0h0;6>u22d;1>460?278;??58`189615>32j86s|2d::>5<4s48n5<4>06589615932j=63<73496`?728:<;63<732902908w06}::l2n6<>87:?034`=0h901>9=4;:b0>{t:l2>6=4<{<0f=01>9>f;:b5>;4?;>14l=4}r0f<1<72:q6>h6l:0243>;4?8o14l=4=2517?>f<2wx>h6<:18084b0k0:<:94=252a?>f9278;?=58`18yv4bkk0;64u22d5b>156348n;44;309>6`1?2=9:70;<0f30<3;816>h9;:512?84b?:0??<522da`>`1c3ty9i:<50;0x97c0i3;;;:5237d`>=g53ty9i:?50;0x97c013;;;:5237da>=g53ty9i:>50;0x97c003;;;:5237db>=g53ty9i;h50;0x97c0?3;;;:5237d:>=g53ty9i;k50;0x97c0>3;;;:5237d;>=g53ty9i;j50;0x97c0=3;;;:5237d4>=g53ty9i;m50;0x97c0<3;;;:5237d5>=g53ty9i;l50;0x97c0;3;;;:5237ge>=g53ty9in750;;x97c4i3>8=63=e2;9067<5;o847:<1:?1a61=<:;01?k<6;605>;5m:?18>?4=3g00?249279i>=5423897cdi3o5<5s48n?l4>0658963en32j96s|2d12>5<5s48n?44>0658963en32j>6s|2d13>5<5s48n?54>0658963d832j>6s|2d0e>5<5s48n?:4>0658963d832j86s|2d0f>5<5s48n?;4>06589625m32j:6s|2d0g>5<5s48n?84>06589625l32j:6s|2d0`>5<5s48n?94>06589625k32j:6s|2d0a>5<5s48n?>4>06589625j32j:6s|2dag>5<>s48n:l4;309>6`0>2=9:70;<0f23<3;816>h8::512?84b>=0??<522d40>156348noh4j7e9~w7c1:3:1>v3=e7c95510349=j847a39~w7c193:1>v3=e7;95510349=j947a39~w7c183:1>v3=e7:95510349=j>47a39~w7c2n3:1>v3=e7595510349=j?47a39~w7c2m3:1>v3=e7495510349=j<47a39~w7c2l3:1>v3=e7795510349=j=47a39~w7c2k3:1>v3=e7695510349=jh47a39~w7c2j3:1>v3=e7195510349=ji47a39~w7cd?3:15v3=dd09067<5;nn=7:<1:?1``6=<:;01?jkf;605>;5lmo18>?4=3fg`?249279him5423897bcj3>8=63=eb:9a2b=g4349?:<47a59>713b21k:70=;6c8;e7=:;=?j65o>;<171ao03m?523552>=g6349?:447a59>710221k?70=;6e8;e1=:;=?h65o;;<1736=01>:90;:b6>;4f<2788;l58`389622i32j>63<44f9=;76n4:?003`=0h901>:81;:b6>;4f;2788;j58`089622k32j>63<4619=?76n2:p6ab?290mw0==76n1:?000c=0h901>:9b;:b0>;4<f;2788;958`389621n32j=63<4639=576n2:?0033=0h;01>:9d;:b7>;4<f:2788;=58`38yv4cl>0;6ku22efe>460?2788;>58`389621932j>63<44g9=n76n3:?000g=0h901>::d;:b6>;4f<2788:?58`189621132j=63<4779=h76n1:?000e=0h;01>:83;:b0>{t:mn=6=4={<0g``<68>=01>:=e;:b5>{t:mn>6=4={<0g`a<68>=01>:=d;:b5>{t:mn?6=4={<0g`f<68>=01>:=c;:b5>{t:mn86=4={<0g`g<68>=01>:=b;:b5>{t:li>6=46{<0gb7<3;816>ih>:512?84cn90??<522ege>156348oih4;309>6acc2=9:70;<0fg3=g5349=8847a39>732421k970=9408;e7=:;?9m65o=;<157a=g53ty9hh750;fx97ba93;;;:523714>=g3349=?847a59>732>21k?70=9468;e1=:;?>>65o;;<1506:9c7?851;o03m952371g>=g3349=?o47a59>735>21k?70=9328;e1=z{;nn47>5dz?1`c6=99=<70=9378;e7=:;?9?65o=;<150==g5349=8=47a39>735b21k970=93b8;e7=:;?9j65o=;<157=16?;=9:9c6?851;=03m852376;>=g2349=8;47a49>732321k>70=9438;e0=:;?>;65o:;<157`=g2349=??47a49~w7bb>3:1=ou22egf>460?2788ho58`789624832j963<42g98h76n4:?006e=0h>01>:;4<:314l:4=260f<2788>958`689624>32j863<42798876n4:?0065=0h>01>:<2;:b0>;4<:;14l:4=261b?>f<27889;58`689623<32j863<4519?>76n4:?0017=0h>01>:;0;:b0>;4<:l14l:4=260f?>f<278:==58`18962a832j86s|2eg6>50658962>832j=63<48092876n1:?00<0=0h;01>:68;:b5>;4<0k14l?4=26:g?>f927884k58`38962f832j=63<4`09j876n1:?00d0=0h;0q~7}::moh6<>87:?0255=0h?0q~3}::moi6<>87:?0175=0h901>;=c;:b7>;4=;n14l;4=271f=2789?;58`38yv4bjo0;64u22d21>156348n<<4;309>6`672=9:70;<0gba<3;816>ihl:512?84cnk0??<522da3>`1c3ty9hko50;0x97c7:3;;;:52326a>=g53ty9hk750;0x97c793;;;:52326b>=g53ty9hk650;0x97c783;;;:52326:>=g53ty9hk950;0x97ban3;;;:52326;>=g53ty9hk850;0x97bam3;;;:523264>=g53ty9hk;50;0x97bal3;;;:523265>=g53ty9hk:50;0x97bak3;;;:523277>=g53ty9hk=50;0x97baj3;;;:523270>=g53ty9ioj50;;x97c6:3>8=63=e039067<5;o:<7:<1:?1a5`=<:;01?k?e;605>;5m9n18>?4=3g3g?249279i=l5423897cem3o5<5s48n=?4>06589652:32j>6s|2d2:>5<5s48n=<4>06589652932j>6s|2d2;>5<5s48n==4>06589652832j>6s|2d24>5<5s48n06589653n32j>6s|2d25>5<5s48n

    06589653m32j>6s|2d26>5<5s48n06589653l32j>6s|2d27>5<5s48n06589653k32j>6s|2d20>5<5s48n06589653=32j>6s|2da2>5<>s48n>l4;309>6`4>2=9:70;<0f63<3;816>h<::512?84b:=0??<522d00>156348no?4j7e9~w7c5:3:1?v3=e3c955103498;:47a29>761d21k?7p}=e3394?5|5;o957??769>761021k:70=<7b8;e6=z{;o9<7>53z?1a7>=99=<70=<778;e6=:;:=i65o;;|q1a4`=839p1?k=7;3332=:;:==65o>;<103ge;297~;5m;<1==98;<10309n:9c7?xu5m8n1<7=t=3g11?77?>16?>9::9c2?854?h03m>5rs3g2g?6=;r79i?:51154?854?=03m>52325:>=g33ty9i=g63498;447a29~w7cej3:15v3=de09067<5;no=7:<1:?1`a6=<:;01?jlf;605>;5ljo18>?4=3f``?249279hnm5423897bdj3>8=63=eca9a2b6ab528:<;63<20796ab628:<;63<20796ab728:<;63<20496aea28:<;63<20496aeb28:<;63<09696aec28:<;63<09196aed28:<;63<09094?:3y>6aee28:<;63<09396a1f2=9:70;<0g32<3;816>i99:512?84c?<0??<522e57>156348o;>4;309>6`df2l=o7p}=d6094?77s48o;l4>0658966e>32j?63<0c59>m0;:b5>;48k914l?4=22a`?>f;27801>>m2;:b0>;48j314l?4=22a=?>f;2wx>i9>:1824~;5l>31==98;<13f3=g6349;n=47a39>75d421k?70=?be8;e1=:;9i>65o<;<13g25231a0>=g5349;n?47a39>75e>21k870=?b88;e7=z{;n<<7>5fz?1`2>=99=<70=?b68;e4=:;9h?65o<;<13g45231`g>=g6349;o847a09>75e021k?70=?bg8;e7=:;9hi65o>;<13g6=g63ty9h;h50;dx97b0?3;;;:5231`5>=g6349;n:47a39>75d321k970=?c08;e6=:;9h;65o<;<13f6=g4349;nk47a09>75de21k970=?c28;e4=:;9h965o>;<13g<<1==98;<13<1?1==98;<13<6>1==98;<13<791==98;<13<4?4=3f`5?249279hn>5423897ben3>8=63=dcg9067<5;nih7:<1:?1`ge=<:;01?jmb;605>;5mk>1i:j4}r0gfd<72:q6>im=:0243>;5nj314l=4=3d`a?>f<2wx>il6:18084ck80:<:94=3d`=?>f9279jnk58`18yv4cj10;6>u22ea3>460?279jn658`1897`dl32j86s|2e`4>5<4s48onk4>065897`d032j=63=fbf96adb28:<;63=fb596}::mhh6<>87:?1bf0=0h901?hlb;:b0>{t:mh86=4<{<0gfg<68>=01?hl6;:b5>;5njh14l=4}r0ff5<720q6>i7n:512?84c100??<522e;;>156348o5:4;309>6a?12=9:70;<0g=6<3;816>hl>:d5g?xu5l081<716>k7k:9c1?xu5l0;1<716>k7l:9c1?xu5l0:1<716>k7m:9c1?xu5l1l1<716>k7n:9c1?xu5l1o1<716>k76:9c1?xu5l1n1<716>k77:9c1?xu5l1i1<716>ko9:9c1?xu5l1h1<716>ko::9c1?xu5mk=1<77t=3f;e?249279h575423897b?03>8=63=d959067<5;n3:7:<1:?1`=3=<:;01?j74;605>;5l1918>?4=3gai6=:18g84c0h0:<:94=20;`?>f:278>5l58`08964>n32j>63<28f9<67;:b6>;4:0?14l<4=20:7?>f:278>4?58`08964?n32j>63<29;96a>>28:<;63<29f901><6d;:b0>;4:0h14l:4=20:=?>f<278>4958`68964>=32j863<281901><79;:b0>{t:m2;6=4k{<0g<=<68>=01><7c;:b6>;4:1k14l<4=20:a?>f:278>4m58`08964>i32j>63<28:9<62;:b6>;4:0:14l<4=20;a?>f:278>5658`08yv4c?o0;6iu22e:4>460?278>5m58`78964?i32j963<28g9<68;:b1>;4:0<14l;4=20:0?>f=278>4<58`78964>832j963<29g975>121k>70=?958;e1=:;93865o;;<13=7=g3349;4i47a59>75>d21k?70=?8c8;e1=:;92j65o;;<13<<03m95231:6>=g3349;5o47a59>75?f21k?70=?988;e1=:;93365o;;<13=2=g334999447a29>742121k?7p}=d6f94?b|5;n397??769>75c121k:70=?e98;e4=:;9oj65o>;<13af=g6349;j947a09>75`121k:70=?f98;e4=:;9lj65o>;<13bf1==98;<111<=g2349:;o47a09~w61fi3:1>v3<7ca9=47<5:=jm7k8d:p72??2909w0=8bb877g=:;>336o6m;|q0<3`=838p1>9mc;`;f>;41>k184k4}r14e<<72:q6?:lm:832?850110:15e349<5:4m8c9~w6>1k3:1>v3<7c`9f=d<5:3<57:6e:p7<3e2902w0=89987ag=:;0=26<>87:?03fe=::>01>8:c;:b0>;400h14l?4=2;b`?>f;2785;j58`1896?1132j=63<7`;972df20;:70=896824d><5:=j47k8d:p72?12908w0=8b`877g=:;>3<69=m;<14=3699;296~;4?kk1n5l4=2;4m2wx?4;n:18:8501>0?io52385;>460?278;nm522189602k32j963<88`901>799;:b6>;4?h214l?4}r14e2<72:q6?:l6:832?8501?0:15e349<584m8c9~w6>1>3:1>v3<7c;9f=d<5:3<;7:6e:p7<3>2902w0=89787ag=:;0=<6<>87:?03fe=::801>8:d;:b1>;400h14l;4=2;b`?>f=2785;j58`7896?1132j?63<7`5972d?20;:70=894824d><5:=j:7k8d:p72?32908w0=8b9877g=:;>3>69=m;<14=1693;296~;4?k21n5l4=2;42?2>m2wx?4;7:1858501<0?io523855>460?278;nm52238960?j32j=63<9`g93?6<>n8:?03d3=m>n0q~=89283>6}:;>h<69=m;<14=1<3;k16?:7<:c:a?xu40?:1<7=>0;6;u236;7>1ce3492;84>0658961dk388<63<69`96}:;>h=64?>;<14=6<68h201>9n4;g4`>{t;>396=4<{<14f3<3;k16?:7<:51a?8501;0i4o5rs2:6`?6=:r78;o85b9`896?0<3>2i6s|3875>5<1s49<5>4;ec9>7<1328:<;63<7ba967`<5:<3n76n5:?0=dc=0h901>9n4;:b5>{t;>k86=4<{<14f0<>9816?:7=:02b<>;4?h91i:j4}r14e4<72:q6?:l::51a?8501;0??o5236c2>g>e3ty848o50;0x961e=3h3n63<9619072?52=oi70=67282421<5:=ho7<=e:?02=d=0h>01>7ne;:b0>;4?h914l?4}r14f6<72:q6?:m::832?850i80:15e349>83:1>v3<7b79f=d<5:33>7:6e:p7<02290=w0=8a087ag=:;0296<>87:?03fe=:<>01>87b;:b7>;41hn14l?4=25a7?>f92wx?:l=:180850k=02=<5236c3>46f0278;o<5e6f8yv501o0;6>u236a7>15e34972?a2k2i7p}<89f94?4|5:=h87l7b:?0==7=<0o0q~=66583>3}:;>k;69km;<1:<4<68>=01>9lc;073>;4>1h14l<4=2;b`?>f:278;o<58`38yv50j80;6>u236a0><76349<5k4>0`:8961e93o5<4s494;3c9>72?a2=9i70=89d8a52z?03f5=j1h01>770;6:a>{t;0<86=4k{<14=c<3mk16?46?:0243>;4?ji1>984=3gf`?>f;278:8m58`0896>>i32j>63<9`a979c;:b7>;41?l14l;4=2;5=?>f<278;o?58`38yv50j90;6>u236a1><76349<5h4>0`:8961e83o5<4s4972?b2=9i70=89e8a52z?03f4=j1h01>78f;6:a>{t;0<96=4i{<14=`<3mk16?49i:0243>;4?ji1>9:4=3gff?>f;278:8m58`1896>>i32j=63<9`a97na;:b5>;41?i14l:4=2;5b?>f:2785;758`7896?fn32j=63<7c2972e620;:70=89e824d><5:=jj7k8d:p72?d2908w0=8c0877g=:;>3o69=m;<14=f674;296~;4?j;1n5l4=2;4a?2>m2wx?48>:18f8501m0?io52385f>460?278;nm5251897cb132j?63<64f9877;:b6>;41h314l84=2;be?>f=2785;m58`7896?0832j863<97:93h6<>n8:?03dc=m>n0q~=89c83>6}:;>i;69=m;<14=f<3;k16?:7m:c:a?xu401;1<7>90;6hu236;`>1ce3492;i4>0658961dk38?>63=ed59h76n2:?0<877;:b7>;41h314l?4=2;be?>f<2785;j58`3896?0832j>63<97:96}:;>hm64?>;<14=g<68h201>9nd;g4`>{t;>3j6=4<{<14fc<3;k16?:7m:51a?8501h0i4o5rs2:4a?6=:r78;oh5b9`896?0k3>2i6s|387e>57<1d28:<;63<7ba9617<5;on976n3:?020b=0h901>66a;:b2>;4>1214l=4=2;b=?>f:2785lo58`1896?1l32j>63<97:96}:;>hn64?>;<14=d<68h201>9nc;g4`>{t;>326=4<{<14f`<3;k16?:7n:51a?850100i4o5rs2:4f?6=:r78;ok5b9`896?0j3>2i6s|387f>57<1e28:<;63<7ba9661<5:<3m76n1:?1a`5=0h901>8:d;:b0>;400k14l;4=24;f92785l758`6896?1032j?63<9`d9326<>n8:?03dd=m>n0q~=89083>6}:;>ho69=m;<14=<<3;k16?:7>:c:a?xu40>>1<7=m0;6lu236;:>1ce3492;?4>0658961dk389h63<69c9=0h801>7n9;:b7>;41?214l84=2;bb?>f=278;ll58`38yv50i;0;6>u236`7><76349<5<4>0`:8961f:3o5<4s4972?62=9i70=64g8f3a=z{:2>;7>52z?03g2=j1h01>781;6:a>{t;0??6=47{<14=4<3mk16?49>:0243>;4?ji1>?74=24;e?>f:279iih58`1896?1032j963<9`d976n1:p720e2909w0=8868:54=:;>977;60f>;4?::1n5l4}r1;35<72;q6?:68:c:a?850`1c3ty8;?h50;1x961?>3>8n63<722906d<5:=9j7l7b:p7=0c2909w0=8878a>j65o=;|q0331=839p1>975;;25>;4?;l1==o7;<142=9=e;297~;4?1?18>l4=251b?24j278;?k5b9`8yv5?>h0;6?u236:6>g>e349<8547a39~w611=3:1?v3<7969=47<5:=9i7??a99>72012l=o7p}<73f94?5|5:=387:9=d;`;f>{t;1<<6=4={<14<1=0n;i5rs251g?6=;r78;5=542`89615l3>8n63<73a9f=d72>42k2i70=8458;e3=z{:===7>53z?03=4=18;01>9=c;33e==:;><96h9k;|q037d=839p1>972;60f>;4?;i18>l4=251f?d?j2wx?58>:1818500;0i4o523661>=g13ty8;8h50;1x961?933:=63<73`955g?349<:=4j7e9~w615i3:1?v3<793906d<5:=9n7:7}:;>2:6o6m;<14059:d;297~;4?1:155<5s49<4=4m8c9>725b21k=7p}<76f94?5|5:=2<77>1:?036?=99k370=87d8f3a=z{:=847>53z?03<6=<:h01>9<9;60f>;4?:21n5l4}r1;=4<72;q6?:7?:c:a?850=j03m;5rs254f?6=;r78;5h59038961403;;m552365`>`1c3ty8;>950;1x961?n3>8n63<72:906d<5:=8;7l7b:p7=>b2909w0=88g8a?j65o9;|q032?=839p1>97e;;25>;4?:=1==o7;<143d9<6;297~;4?1o18>l4=2503?24j278;>85b9`8yv5?0k0;6?u236:f>g>e349<9547a79~w610?3:1?v3<79f9=47<5:=8:7??a99>721?2l=o7p}<72794?5|5:=3h7:9<5;`;f>{t;1236=4={<14?1<7=t=25;g??69278;>;511c;?850??0n;i5rs2500?6=;r78;5m542`89614=3>8n63<7269f=d72>d2k2i70=8558;e3=z{:=53z?03=d=18;01>9<4;33e==:;>=?6h9k;|q0365=839p1>97b;60f>;4?:>18>l4=2507?d?j2wx?56=:1818500k0i4o523671>=g13ty8;:?50;1x961?i33:=63<721955g?349<;?4j7e9~w614:3:1?v3<79c906d<5:=8?7:7}:;>2j6o6m;<141599f;297~;4?1315<542`8961493h3n6s|395`>5<5s49<444m8c9>722b21k=7p}<77f94?5|5:=3477>1:?0367=99k370=86d8f3a=z{:=947>53z?03=>=<:h01>9<1;60f>;4?;21n5l4}r1;30<72;q6?:67:c:a?850<<03m;5rs2:e`?6=460?278:;>58`08yv5?=10;6?u2365e>g>e349fm3:1=hu2362f><763493o:4>065896?d?3>2i63<0gf9001>6;2;:b0>;40=>14l:4=2:72?>f<27849658`6896>3i32j863<85a901>6:2;:b0>;40<>14l:4=22f2?>f:27863<0da9>i2;:b6>;48o>14l<4=22e2?>f:27863<0ga97}:;>:n69=m;<15a0689;296~;4?9o1n5l4=2:`3?2>m2wx?5ok:18;8508m02=<5237g6>46f02784n851154?85>k?0?5h523961>=g4349<=i47a59>7=??21k870=79d8;e6=z{:53z?035b=<:h01>8j5;60f>;4>l91n5l4}r1;3=<72;q6?:>k:c:a?85?k?0?5h5rs2:bg?6=?r78;=m59038960b;3;;m5523601>=g3349<>:47a09>7=e228:<;63<9bd90687;296~;4?9i1n5l4=2:`1?2>m2wx?5om:1848508k02=<5237g2>46f0278;?<58`089615?32j>63<8b6955103492oh4;9d9>7=3321k87p}<6eg94?5|5:=;n7:8ke;`;f>{t;1==6=4={<144g=g63493o?4>065896?dl3>2i63<8409726>2=9i70=9dd877g=:;?nh6o6m;|q0<24=838p1>9?9;`;f>;40j8184k4}r1;e=<72>q6?:>7:832?851lj0:f:278;?858`0896>d93;;;:5238a`>1?b34939=47a29~w60ci3:1?v3<71:906d<5:7}:;>:36o6m;<1;g4<31l1v>6n7;293~;4?9=15460?2785nl548g896>3m32j?6s|37f;>5<4s49<<:4;3c9>73bf2=9i70=9d98a52z?0351=j1h01>6l0;6:a>{t;1k=6=48{<1443<>9816?;j7:02b<>;4?;:14l<4=2511?>f:2784oh51154?85>kh0?5h52396`>=g43ty8:i950;1x9617>3>8n63<6e:906d<5:9?5;;25>;4>m=1==o7;<15b08k6;297~;4?9?18>l4=24g3?24j278:i85b9`8yv5?>10;6?u23626>g>e3493nh4;9d9~w6>f=3:1;v3<6e590`d<5:01>9=4;:b5>;40ko1==98;<1:g<<31l16?5:n:9c0?xu4>o>1<7=t=2530??69278:i8511c;?851n=0n;i5rs24g1?6=;r78;=:542`8960c>3>8n63<6e79f=d72632k2i70=7be87=`=z{:2j87>57z?02a0=8i4;:b5>;4?8l14l<4=2510?>f:2784oj51154?85>k10?5h52396;>=g43ty8:k=50;1x9617;33:=63<6e7955g?349=j>4j7e9~w60c<3:1?v3<711906d<5:7}:;>:86o6m;<1;ff<31l1v>6n3;293~;4>m?18hl4=24e7?>f9278;7=2121k87p}<6g094?5|5:=;>77>1:?02a2=99k370=9f38f3a=z{:53z?0354=<:h01>8k4;60f>;4>m91n5l4}r1;1c<72;q6?:>=:c:a?85?jk0?5h5rs2:b6?6=?r78:i:54d`8960a:32j=63<70g9?65o<;|q02c7=839p1>9?1;;25>;4>m91==o7;<15b48k2;297~;4?9;18>l4=24g7?24j278:i<5b9`8yv5?=j0;6?u23622>g>e3493nl4;9d9~w6>f93:18v3<6e190`d<5:53z?0356=18;01>8k2;33e==:;?l;6h9k;|q02`c=839p1>9?0;60f>;4>m818>l4=24fa?d?j2wx?5;6:181850890i4o5239`:>1?b3ty84l>50;1x960c:3>nn63<6g2973`b2l=o7p}<6df94?5|5:=:;7:8jd;`;f>{t;12m6=4={<1452dm3;;;:5rs24e`?6=;r78;<859038960bl3;;m55237dg>`1c3ty8:hm50;1x9616>3>8n63<6df906d<5:d2909w0=8178a8jd;6ff>;4>on14l?4=2:``?77?>1v>8ic;297~;4?8?15lh1<7=t=2521?24j278:hm542`8960bj3h3n6s|39::>5<5s49<=84m8c9>7=ed2=3n7p}<8c694?5|5:6lc;3332=z{:53z?0342=18;01>8jb;33e==:;?li6h9k;|q02`g=839p1>9>4;60f>;4>lh18>l4=24fe?d?j2wx?569:1818509=0i4o5239aa>1?b3ty84o=50;1x960bj3>nn63<6g`973`f2l=o7p}<6d;94?5|5:=:?7:8j9;`;f>{t;1286=4={<1456di3;;;:5rs24e=?6=;r78;<<59038960b13;;m55237d:>`1c3ty8:h650;1x9616:3>8n63<6d;906d<5:72909w0=8138a8j9;6ff>;4>o314l?4=2:`=?77?>1v>8i8;297~;4?8;15l=1<7=t=2525?24j278:h6542`8960b?3h3n6s|395g>5<5s49<=<4m8c9>7=e?2=3n7p}<8c294?5|5:=0h;01>6l8;3332=z{:53z?0346=18;01>8j7;33e==:;?l<6h9k;|q02`6=839p1>9>0;60f>;4>l=18>l4=24f4?d?j2wx?59n:181850990i4o5239a0>1?b3ty84lh50;1x960b?3>nn63<6g593:1?v3<71c9=47<5:73`12l=o7p}<6e394?5|5:=;m7:8k1;`;f>{t;1=86=4={<144de03;;;:5rs24fb?6=;r78:kh59038960c93;;m55237ge>`1c3ty848850;0x960an3h3n63<8c59073b62=oi70=9eg8;e4=:;1h<6<>87:p72402909w0=81b87ag=:;>8<6h9k;|q034d=838p1>9>c;60f>;4?8h1n5l4}r1467<72;q6?:?l:02b<>;4?;81i:j4}r145f<72;q6?:?l:c:a?8509m03m>5rs2512?6=:r78;3o5<5s49<=o4;3c9>727f2k2i7p}<73394?4|5:=:n7??a99>72462l=o7p}<73794?4|5:=:m7:jb:?0373=m>n0q~=81883>7}:;>;j69=m;<145<9=0;296~;4?8k1==o7;<14659=4;296~;4?8318hl4=2510?c0l2wx?:?7:181850900??o52363;>g>e3ty8;`1c3ty8;?=50;0x961603>nn63<7319a2b727?28:j463<70g9a2b3h63<96;90=b<5:3<47:7d:?0=21=<1n01>786;6;`>;41>?185j4=2;40?2?l2785:=549f896??:3>3h63<99390=b<5:33<7:7d:?0=2`=<1n01>78e;6;`>;41>n185j4=2;4g?2?l2785:l549f896?0:3>3h63<96390=b<5:3i;7k8d:p7<36290:?v3<96c9067<5:3<57:<1:?0=2>=<:;01>787;605>;41><18>?4=2;41?2492785::5423896?0;3>8=63<9909067<5:33=7:<1:?0==6=<:;01>78f;605>;41>o18>?4=2;4`?2492785:m5423896?0j3>8=63<9609067<5:3<=7:<1:?0=04=m>n0q~=65b83>d}:;0=j6<>87:?03f1=0h801>9l9;:b7>;4>f;2785lk58`7896?1l32j:63<97;94?|5:2h;7:7d:?06l5;6;`>;40j>185j4=2:`6?2?l2784n?549f896>d83>3h63<8cd90=b<5:2ii7:7d:?06mc;6;`>;40kh185j4=2:ae?2?l2784o7549f896>dm3>3h63<8bf90=b<5:2ho7:7d:?06la;6;`>;40j3185j4=2:`e03>3h63<8c590=b<5:3i?7k8d:p722e2909w0=61987=`=:;>>h6h9k;|q0=g2=83;?w0=619879=0?4i523830>1>c3492=?4;8e9>7<762=2o70=621879j0?4i52383a>1>c3492=l4;8e9>7<7>2=2o70=6118716?;8=:9c5?850>j03m<5rs257=?6=:r785<9548g89613i3o5<4s492=:4>06589601:32j963<77c97<712=3n70=8498f3a=z{:3;?7>53z?0=40=99=<70=9638;e1=:;><365o>;|q0313=838p1>7>5;6:a>;4?=<1i:j4}r1:47<72:q6?4?::0243>;4>?814l=4=2552?>f92wx?::<:18185>9=0?5h523667>`1c3ty85=?50;1x96?6<3;;;:523741>=g6349<:947a09~w61393:1>v3<901907k8d:p7<672908w0=61282421<5:<=>76n2:?0334=0h;0q~=83g83>7}:;0;9697j;<14056if;297~;41881==98;<1524m278;>k5e6f8yv5?nl0;6>u23832>460?278:;?58`789612m32j=6s|367a>5<5s492>=4;9d9>723d2l=o7p}<91g94?5|5:39<7??769>730621k?70=87d8;e4=z{:=>57>52z?0=4`=<0o01>9:a;g4`>{t;0:o6=4<{<1:5c<68>=01>891;:b7>;4?>i14l?4}r1412<72;q6?4?j:5;f?850=10n;i5rs2;3g?6=;r785803m<52365b>=g63ty8;8;50;0x96?6l3>2i63<7449a2b7<7c28:<;63<6739??6h9k;|q0=5g=839p1>7>c;3332=:;?<;65o9;<14339:1;296~;418h184k4=2566?c0l2wx?4>6:18085>9k0:<:94=2454?>f=278;::58`38yv501?b349<9=4j7e9~w6?703:1?v3<90c95510349=:=47a59>721521k:7p}<75f94?4|5:3:57:6e:?031c=m>n0q~=60683>6}:;0;26<>87:?0236=0h901>980;:b5>{t;>9h6=4={<1:55<31l16?::::d5g?xu419<1<7=t=2;24?77?>16?;8?:9c2?850>l03m<5rs250e?6=:r785=h548g89614j3o5<5s493h84;9d9>7=b02k2i7p}<8e794?56s493h84>0658961c132j963<7ea96?3;:b1>;4?ok14l;4=2:30?>f=278;k858`78961a132j963<7gd99i8;:b1>;4?on14l;4=25eg?>f;2784=<58`78961a<32j963<7g596kd;:b6>;41:o14l;4=2:35?>f>27858<58`7896?e?32j?63<9c797=b521k:70=7d28;e7=:;0>m65o:;<14e7=g334972ga21k?70=8b18;e1=:;>h:65o;;<14f7=g334972g121k?70=8a68;e1=:;>k365o;;<14e<m2784ik5e6f8yv5?lh0;6>>t=2:ge?77?>16?:j6:9c7?850lj03m95236fb>=g33497=6421k?70=8f`8;e1=:;1:?65o;;<14b3=g334972`?21k?70=8fe8;e1=:;>lh65o>;<1;4703m95236d6>=g33493hn47a39>7=bc21k:70=63d8;e1=:;1::65o:;<1:175239f:>=g13493h<4>0`:896?3n32j?63<7`099nd;:b6>;4?ho14l<4=25bb?>f:278;o>58`08961e932j>63<7c099n4;:b6>;4?h?14l<4=25b2?>f:278;l958`08961f032j>63<7`;99ka;:b7>;4?mh14l=4=2:37?>f:278;ko58`0896>7<32j>63<7g496?0;:b6>;4?oo14l<4=25ef:278;kj58`08961ak32j>63<81099i5;:b6>;40mn14l:4=2;0a?>f:2784=?58`3896?2:32j:63<9c59=0h>01>6k9;:b0>;40m814l84=2:g7?>f927859h58`68961f:32j?63<7``99ne;:b7>;4?hl14l=4=25a4?>f;278;o?58`18961e:32j?63<7c199n5;:b7>;4?h<14l=4=25b3?>f;278;l658`18961f132j?63<7`c972ed2:8370=6d`87=`=:;0l96h9k;|q0=c6=839p1>9lc;113>;41m3184k4=2;e4?c0l2wx?l>;:180850kj08?i5238g2>1?b349j<94j7e9~w6g7:3:1?v3<7ba976d<5:3n<7:6e:?0e54=m>n0q~=n0183>6}:;>ih6>=n;<1:`c<31l16?l>?:d5g?xu41oo1<7=t=25`g?5412785ik548g896?am3o5<4s49753z?03fe=;:=01>7kc;6:a>;41ok1i:j4}r1:b=<72;q6?:ml:210?85>n10n;i5rs2;e2?6=;r78;nm5322896?cj3>2i63<9g49a2b72ed2:8=70=6d987=`=:;0l?6h9k;|q0=`c=839p1>9lc;110>;41m=184k4=2;fa?c0l2wx?:j9:181850kj02>i5236ab>=g63ty8;i;50;1x961dk339o63<7b;9i<65o>;<14g<m27849<5e6f8yv5>m=0;6?u23`2:>460?2785k<58`68yv5?;o0;6?u23`2;>1?b34938=4j7e9~w6?b;3:1>v32;3:1>v387k8d:p7o>0;3332=:;h:965o;;|q0<1`=838p1>o?f;6:a>;40<:1i:j4}r1:ag<72;q6?l>i:0243>;4i9:14l:4}r1;0a<72;q6?l>j:5;f?85?nl03m95rs2:7f?6=:r78m=j548g896>3k3o5<5s49j065896?ak32j86s|396:>5<5s49j7=2f2l=o7p}<9d:94?4|5:k;o7??769>7<`f21k?7p}<85594?4|5:k;n7:6e:?0<1>=m>n0q~=6e683>7}:;h:i6<>87:?0=c>=0h>0q~=74483>7}:;h:j697j;<1;037j6;296~;4i9k1==98;<1:b36;3;296~;4i9=184k4=2:70?c0l2wx?4k::18185f8>0:<:94=2;e0?>f<2wx?5=k:18185f8?0?5h52391f>`1c3ty85h<50;0x96g7>3;;;:5238gf>=g33ty8:o?50;0x960d13>2i63<7d19a2b8=63<6b:9067<5:8l4;605>;4>j918>?4=24`6?249278:n?54238960d83>8=63<6cd9067<5:8mc;605>;4>m:18>?4=24`b?249278:nk54238960dl3>8=63<6ba9067<5:8l6;605>;4>kh18>?4=24ae?2492784465e6f8yv511h0;6>u237a:>460?2785k=58`38961cm32j?6s|37`3>5<5s49=o54;9d9>72c52l=o7p}<68;94?5|5:7<`621k:70=8e28;e6=z{:52z?02f1=<0o01>9j1;g4`>{t;?336=4<{<15g2<68>=01>o?5;:b5>;4?l814l=4}r15ea<72;q6?;m::5;f?850lo0n;i5rs24:2?6=;r78:n;51154?85f8:03m<5236g2>=g43ty8:lm50;0x960d<3>2i63<7ef9a2b73e328:<;63l86h9k;|q02<2=83>p1>8l3;3332=:;0lm65o>;<14``hk1<7m278;k<5e6f8yv511:0;6>u237a1>460?2785kj58`38961a;32j?6s|37c:>5<5s49=o<4;9d9>72`62l=o7p}<68094?5|5:7<`e21k:70=8f38;e6=z{:52z?02f6=<0o01>9i0;g4`>{t;?3:6=4<{<15g5<68>=01>7i9;:b5>;4?o;14l=4}r15e2<72;q6?;li:5;f?850mo0n;i5rs24:4?6=;r78:oh51154?85>n>03m<5236d3>=g43ty8:l850;0x960em3>2i63<7dg9a2b73db28:<;63<9g79oo6h9k;|q02=c=839p1>8md;3332=:;0om65o>;<14a`8n4;296~;4>ki184k4=25f=?c0l2wx?;6k:181851jj0:<:94=25f`?>f;2wx?;l6:181851l90?5h5236g`>`1c3ty8:l<50;0x960c83;;;:5236g:>=g43ty8:o650;0x960dn3>2i63<7d`9a2b73ea28:<;63<7da973eb2=3n70=8e`8f3a=z{:52z?02fc=99=<70=8ec8;e6=z{:52z?02fb=<0o01>9j8;g4`>{t;?3m6=4={<15ga<68>=01>9ja;:b7>{t;?h>6=4={<15gf<31l16?:k8:d5g?xu4>0o1<716?:k7:9c0?xu4>k>1<7m278;h85e6f8yv511m0;6?u237aa>460?278;h958`18yv51j:0;6?u237ab>1?b349k3:1>v3<6bc95510349v3<6b490o;6h9k;|q02<1=838p1>8mb;3332=:;>o?65o<;|q02d5=838p1>8ma;6:a>;4?mo1i:j4}r15;4?l:14l=4}r1;6g<72;q6?4=;:5;f?85?;?0n;i5rs2;11?6=:r785>:51154?85?8903m;5rs2:1=?6=:r785>=548g896>5i3o5<5s492?>4>0658961an32j:6s|3905>5<5s492??4;9d9>7=4?2l=o7p}<93194?4|5:38>7??769>72`b21k=7p}<83694?4|5:38=7:6e:?0<73=m>n0q~=62383>7}:;09:6<>87:?03cb=0h<0q~=73c83>7}:;09h697j;<1;7f7=e;296~;41:i1==98;<14b<6<9;296~;41:h184k4=2:0e?c0l2wx?4;k0:<:94=25ef>2wx?5=8:18185>;h0?5h52391;>`1c3ty85?m50;0x96?4i3;;;:5236d4>=g13ty84>:50;0x96?413>2i63<8279a2bo4?:3y>7<5>28:<;63<7g497<5?2=3n70=7328f3a=z{:39m7>52z?0=6>=99=<70=8f48;e3=z{:28<7>52z?0=61=<0o01>6<1;g4`>{t;0826=4={<1:72<68>=01>9i4;:b2>{t;18n6=4={<1:73<31l16?516?5>;:9c5?xu40;i1<7m2784?j5e6f8yv5>:>0;6?u23816>460?2784==58`48yv5?::0;6?u23813>1?b3493>:4j7e9~w6?5>3:1>v3<9229551034935:3:1>v3<93d907}:;0h;697j;<145a7m0;29`~;41k:1==98;<1:b6:9c0?85f8<03m>523`20>=g4349j<<47a29>7<`a21k870=6fe8;e6=:;0li65o<;<1:b<n<03m>5238ge>=g43ty84<>50;0x96>a<3>2i63<8039a2b7=`328:<;63<81397=`42=3n70=70d8f3a=z{:2n?7>52z?052z?06?f;g4`>{t;1o96=4={<1;b7<68>=01>9if;:b7>{t;1:26=4={<1;b4<31l16?5>m:d5g?xu40l;1<716?:hj:9c0?xu409=1<7m2784=65e6f8yv5?m90;6?u239d3>460?278;kj58`18yv5?:90;6?u239d`>1?b3493><4j7e9~w6>bl3:1>v3<8ga955103496m3:1>v3<8g`906ia;3332=:;>l<65o<;|q0<4g=838p1>6i9;6:a>;408h1i:j4}r1;ad<72;q6?5h6:0243>;4?o<14l=4}r1;5=<72;q6?5h7:5;f?85?900n;i5rs2:f=?6=:r784k651154?850n<03m>5rs2:22?6=:r784k9548g896>6?3o5<5s493j:4>0658961a<32j?6s|3937>5<5s493j;4;9d9>7=722l=o7p}<8d594?4|5:2m:7??769>7=6321k87p}<80094?4|5:2m97:6e:?0<45=m>n0q~=7e783>7}:;1l>6<>87:?0<55=0h90q~=70783>7}:;1om697j;<1;4d6j5;296~;40ll1==98;<1;476?5;296~;40lo184k4=2:3g?c0l2wx?5ji:18085?ml0:<:94=25ee?>f;2785>k58`18yv5>jh0;6?u238a4>460?2785k<58`38yv5>j00;6?u238a5>460?2785k>58`38yv5>k:0;6?u238ae>460?278m=:58`38yv5>k;0;6?u238af>460?278m=<58`38yv5>k80;6?u238ag>460?278m=>58`38yv5>k90;6?u238a`>460?2785kk58`38yv5>jo0;6?u238aa>460?2785km58`38yv5>jl0;6?u238ab>460?2785ko58`38yv5>jm0;6?u238a:>460?2785k658`38yv5>jj0;6?u238a;>460?2785k858`38yv5>jk0;6?u238a6>460?2785k:58`38yv5>j10;6?u238a7>460?2785hk58`38yv5>lh0;6?u238fb>460?279ihj58`78yv5>l00;6?u238f:>460?279ihl58`78yv5>m80;6?u238g2>460?279ih758`78yv5>m90;6?u238g3>460?279ih958`78yv5>l?0;6?u238fe>460?279ih;58`78yv5>l<0;6?u238ff>460?279ih=58`78yv5>l=0;6?u238fg>460?279ih?58`78yv5>l:0;6?u238f`>460?279iih58`78yv51?;0;6?u238;e>1?b349=;>4j7e9~w6??j3:1>v3<98d95510349<8n47a79~w60083:1>v3<98g90f2909w0=69d82421<5:=?m76n6:p730b2909w0=69e87=`=:;?76d;3332=:;>>365o9;|q023e=838p1>76c;6:a>;4>?i1i:j4}r1:<=<72;q6?47l:0243>;4?=<14l;4}r152d<72;q6?47m:5;f?851>h0n;i5rs2;;3?6=:r7854l51154?850<=03m85rs2455<5s4925l4>06589613:32j96s|3745>5<5s492544;9d9>73012l=o7p}<99794?4|5:3257??769>722721k>7p}<67694?4|5:3247:6e:?0232=m>n0q~=68583>7}:;0336<>87:?036c=0h?0q~=98583>7}:;0k<697j;<15<1764;296~;41h=1==98;<141f872;296~;41h<184k4=24;6?c0l2wx?47<:18185>i?0:<:94=256e?>f=2wx?;6?:18185>i<0?5h5237:3>`1c3ty854<50;0x96?f=3;;;:52367;>=g23ty8::k50;0x96?f<3>2i63<66g9a2b7752z?0=d5=99=<70=8558;e0=z{:<52z?0=d4=<0o01>88a;g4`>{t;02m6=4={<1:e7<68>=01>9:2;:b1>{t;?=36=4={<1:e4<31l16?;97:d5g?xu411o1<716?:;?:9c6?xu4>><1<7m278::95e6f8yv5>0m0;6?u238c3>460?278;9k58`78yv51?=0;6?u238;4>1?b349=;84j7e9~w6??k3:1>v3<98595510349<8847a49~w6?c83:18v3<9e59551034972e>21k>70=8cc8;e7=z{:3o=7>53z?0=a>=99=<70=8c88;e1=:;>ij65o:;|q0156=83;nw0=75487=`=:;:;<165<<68>=01>8>7;6:a>;40:o14l<4=2:74?>f:27849<58`0896>3<32j>63<85496;c;:b6>;40=o14l<4=2:64?>f:27848<58`0896>2<32j>63<48292>76n4:?00<2=0h>01>:66;:b0>;4<0214l:4=26:e?>f<27884m58`68962>m32j863<4`29j>76n4:?00d2=0h>01>:n6;:b0>;4;>914l<4=2;a7?>f>2wx?5;::18185?=<0:<:94=2;a7?>f<2wx?4j=:18185>lk0:<:94=25`e?>f<2wx?9>?:1818539;02=<523523>`1c3ty8?hk50;0x9626:3>8n63<3dg9f=d71752k2i70=:c`87=`=z{:9mj7>53z?0047=18;01>=je;33e==:;:lm6h9k;|q07`b=839p1>:>1;60f>;4;lo18>l4=21f`?d?j2wx?9lj:181853980i4o5234a:>1?b3ty89ll50;;x965bm3>nn63<5b;95510349?=h4=359>77d521k?70=;ee8;e4=:;59038965bl3;;m55232df>`1c3ty8?hm50;1x962683>8n63<3df906d<5:9no7l7b:p71de2909w0=;118a=jd;6ff>;4=j21==98;<175`<5;:16??l=:9c6?853mm03m95234dg>=g3349>ni47a59>70d>21k970=53z?005`=18;01>=jc;33e==:;:lo6h9k;|q07`d=839p1>:?f;60f>;4;li18>l4=21ff?d?j2wx?9l7:1818538o0i4o5234a4>1?b3ty89l750;;x965bk3>nn63<5b595510349?=h4=339>77d421k>70=;ee8;e0=:;`1c3ty8?ho50;1x9627m3>8n63<3d`906d<5:9nm7l7b:p71d22909w0=;0d8a=83=jb;6ff>;4=j<1==98;<175`<5;816??k>:9c2?852nl03m<5232d`>=g63ty8?kl50;1x9627l33:=63<3dc955g?3498jo4j7e9~w65b13:1?v3<41f906d<5:9nm7:7}:;=:o6o6m;<16g0<31l1v>;n7;292~;4;lk18hl4=27`1?77?>16?9?j:313?855m803m;5234df>=g53498jo47a09~w65ai3:1?v3<41a9=47<5:9n57??a99>76`f2l=o7p}<3d:94?5|5:>;o7:=j8;`;f>{t;=km6=4={<174fk5233g2>=g2349>jh47a29>76`f21k:7p}<3g;94?5|5:>;n77>1:?07`>=99k370=53z?005d=<:h01>=j8;60f>;4;o=1n5l4}r17ef<72;q6?9>m:c:a?852k:0?5h5rs27b1?6=>r78?h654d`8963d;3;;;:52353f>74b3499i<47a59>70`b21k?70=;57>53z?004d=18;01>=i7;33e==:;=:26h9k;|q07c0=839p1>:>b;60f>;4;o=18>l4=21e2?d?j2wx?9k=:1818539k0i4o5234f1>1?b3ty89o;50;4x965a?3>nn63<5e095510349?=h4=559>77c621k870=:fe8;e4=:;=:265o>;|q005>=839p1>:>a;;25>;4;o<1==o7;<174==i5;297~;4<8k18>l4=21e2?24j278?k;5b9`8yv53lo0;6?u2353b>g>e349>h<4;9d9~w63e<3:1:v3<3g490`d<5:?o=7??769>717b2;><70==e08;e7=:;:?7;297~;4<83158:d5g?xu4;o>1<7=t=262=?24j278?k;542`8965a<3h3n6s|35f`>5<5s49?=44m8c9>70b72=3n7p}<5c194?e|5:9m97:jb:?01a6=99=<70=;1d8103=:;;h965o=;<17af=g5349>nn47a29>70da21k?70=:b88;e1=:;=:<65o>;|q0050=839p1>:>8;;25>;4;o>1==o7;<1743=i3;297~;4<8218>l4=21e0?24j278?k=5b9`8yv53l00;6?u2353;>g>e349>ok4;9d9~w63e:3:1iv3<3g690`d<5:?hj7??769>717b2;>?70==b38;e6=:;=oh65o>;<16bf=g6349>nn47a59>70da21k870=:b88;e0=:;;<1743:?5;297~;4<8=15::d5g?xu4;o81<7=t=2623?24j278?k=542`8965a:3h3n6s|35f5>5<5s49?=:4m8c9>70eb2=3n7p}<5c394?b|5:9m?7:jb:?01fc=99=<70=;1d8106=:;;h865o>;<17af=g1349>jl47a49>70dd21k>70=:c18;e4=:;:?4;297~;4<8<15;:d5g?xu4;o;1<7=t=2622?24j278?k<542`8965a93h3n6s|35f0>5<5s49?=;4m8c9>70ec2=3n7p}<5c294?b|5:9m>7:jb:?01fb=99=<70=;1d8107=:;;h865o=;<17af=g3349>ni47a09>70e721k870=:b98;e4=:;:?3;297~;4<8?15<:d5g?xu4;o:1<7=t=2621?24j278?k?542`8965a83h3n6s|35f3>5<5s49?=84m8c9>70ed2=3n7p}<5`d94?e|5:9m=7:jb:?01fe=99=<70=;1d8104=:;;h865o<;<17af=g4349>ni47a39>70d?21k?70=:fg8;e7=:;=:865o>;|q0054=839p1>:>4;;25>;4;o:1==o7;<1747=jf;297~;4<8>18>l4=21e4?24j278?hh5b9`8yv53km0;6?u23537>g>e349>oo4;9d9~w63fm3:1nv3<3g290`d<5:?hn7??769>717b2;9<70==e18;e4=:;;h865o;;<17af=g4349>jk47a29>716521k:7p}<41394?5|5:>:?77>1:?07``=99k370=;008f3a=z{:9n;7>53z?0045=<:h01>=jf;60f>;4;l=1n5l4}r17g3<72;q6?9?<:c:a?852k;0?5h5rs27b`?6=1r78?hh54d`8963d:3;;;:52353f>74c3499i=47a29>77bb21k970=:f88;e6=:;>:9c2?xu4;o21<7=t=263e??69278?h9511c;?854n10n;i5rs27:a?6=;r788=o542`8965b?3>8n63<58d9a2b716f2k2i70=:c087=`=z{:?j87>57z?07`1=;l1;3332=:;=;n6?<6;<11a5=g63ty8?n?50;0x965cl33:=63<3b09a2b76bc2=9i70=<878ah>7>52z?07ab=j1h01>=n2;:b6>{t;:hm6=4<{<10`f<>9816?>69:02b<>;4;j:1i:j4}r10<0<72:q6?>jl:51a?8540?0??o5232:6>g>e3ty88oh50;0x965ck3h3n63<3`2976be20;:70=<84824d><5:9ii7k8d:p76>32908w0=69=m;<10<1:mc;296~;4;mh1n5l4=21:a?>f:2wx?>lm:180854lh02=<5232:7>46f0278?om5e6f8yv540:0;6>u232fb>15e3498494;3c9>76>42k2i7p}<4c;94?4|5:9om7l7b:?076}:;:n264?>;<10<6<68h201>=ma;g4`>{t;:296=4<{<10`<<3;k16?>6<:51a?8540;0i4o5rs26a2?6=:r78?i75b9`8965>i32j:6s|32`4>5<4s498h546109>76>528:j463<3c:9a2b76b?2=9i70=<83877g=:;:2:6o6m;|q00g5=838p1>=k8;`;f>;4;0214l84}r10f0<72:q6?>j8:832?854080:6?:180854l>0??o5232:2>15e34984=4m8c9~w62e83:1>v3<3e59f=d<5:92:76n6:p76d42908w0=n8:?07g2=m>n0q~=<8g83>6}:;:n=69=m;<10<5<3;k16?>6i:c:a?xu4u232g5><7634984k4>0`:8965c<3o5<4s498i;4;3c9>76>a2=9i70=<8d8an?7>52z?07`0=j1h01>=m2;:b2>{t;:n:6=4<{<10a0<>9816?>6j:02b<>;4;m81i:j4}r10k::51a?8540l0??o5232:g>g>e3ty88h>50;0x965b=3h3n63<3c2976c320;:70=<8e824d><5:9o<7k8d:p76>d2908w0=:kd;296~;4;l>1n5l4=21ba?>f>2wx?>mk:180854m:02=<5232:`>46f0278?nk5e6f8yv540k0;6>u232g0>15e34984n4;3c9>76>e2k2i7p}<4ec94?4|5:9n?7l7b:?07de=0h<0q~=6}:;:o964?>;<10=lc;g4`>{t;:2j6=4<{<10a7<3;k16?>6m:51a?8540h0i4o5rs26g3?6=:r78?h<5b9`8965fi32j:6s|32a:>5<4s498i<46109>76>f28:j463<3bc9a2b76c62=9i70=<8`877g=:;:226o6m;|q00a2=838p1>=j1;`;f>;4;h214l84}r10g2<72:q6?>k?:832?854000:67:180854m90??o5232::>15e3498454m8c9~w62c93:1>v3<3d29f=d<5:9j:76n6:p76e22908w0=n8:?07f0=m>n0q~=<8683>6}:;:nm69=m;<10<=<3;k16?>68:c:a?xu4u232ff><7634984:4>0`:8965d<3o5<4s498hh4;3c9>76>02=9i70=<7d8ah;7>52z?07ac=j1h01>=6b;:b2>{t;<>o6=4;{<10`0<>9816?>9j:02b<>;4=:na;296~;4;m?1n5l4=21:5?>f>2wx?>=m:181854>=0??o52321a>g>e3ty88nl50;0x9651<3h3n63<50;90760420;:70=<3c824d><5:?:47??769>73712=3n70=;958;e6=:;:=865o;;<17adl542`8965413h3n6s|35ab>5<5s498:>4m8c9>707?2=3n7p}<4gg94?1|5:9=>77>1:?076?=99k370=<798;e1=:;:=o65o>;<1652<68>=01>8>f;6:a>;4<0814l=4}r1072<72:q6?>8=:51a?854;00??o523214>g>e3ty88n750;0x9651:3h3n63<50590760620;:70=<36824d><5:9<476n2:?072b=0h801>;>6;3332=:;?;n697j;<17e3=<4;297~;4;?;18>l4=2103?24j278?>:5b9`8yv53k10;6?u23242>g>e349>=;4;9d9~w62aj3:1;v3<34d9=47<5:9887??a99>761021k?70=<7b8;e4=:;<;?6<>87:?024b=<0o01>:n4;:b7>{t;:996=4<{<101c<3;k16?>=;:51a?854;;0i4o5rs26`0?6=:r78?8h5b9`89636<3>2i6s|35db>5<0s4989h46109>765528:j463<3659=:e;60f>;4;:818>l4=2104?d?j2wx?9m<:181854=l0i4o523430>1?b3ty88k750;5x9652l33:=63<322955g?3498;;47a59>761e21k:70=:1382421<5:<:n7:6e:?00d6=0h90q~=<2d83>6}:;:?o69=m;<1075<3;k16?><763498>h4>0`:89650>32j>63<36`9737f2=3n70=;9d8;e6=z{:99h7>53z?070e=<:h01>==e;60f>;4;;n1n5l4}r17fa<72;q6?>;l:c:a?852980?5h5rs217f?6=;r78?8l590389655l3;;m552326a>`1c3ty8??m50;1x9652j3>8n63<33f906d<5:99o7l7b:p71df2909w0=<5c8a==d;6ff>;4;=h14l?4=2141?>f<278?:o58`38963683;;;:52373:>1?b349?5n47a29~w653i3:1?v3<34c9=47<5:99o7??a99>762f2l=o7p}<33`94?5|5:9>m7:==b;`;f>{t;=h<6=4={<101di:5;f?xu463<36c9737?2=3n70=;9`8;e6=z{:9?57>53z?070?=18;01>==b;33e==:;:>26h9k;|q077g=839p1>=:9;60f>;4;;h18>l4=211e?d?j2wx?9l;:181854=00i4o52342f>1?b3ty88k;50;5x9655j3>nn63<35;9;?e;3332=:;?;>697j;<17===;8;297~;4;<215:7:d5g?xu4;;31<7=t=2165<5s498954m8c9>706c2=3n7p}<4g694?1|5:99m7:jb:?071>=0h;01>=84;:b6>;4;>314l<4=273`?77?>16?;?;:5;f?8531?03m>5rs2173?6=;r78?8959038965513;;m5523264>`1c3ty8??650;1x9652?3>8n63<33;906d<5:9947l7b:p71gb2909w0=<568ap1>==9;6ff>;4;==14l?4=273g?77?>16?97?:9c0?xu4;=<1<7=t=2162??69278??6511c;?8548n63<3569f=d76312k2i70=:0c87=`=z{:>m>7>53z?077>==;6;:b5>;4=9h1==98;|q0702=839p1>=9d;;25>;4;=>1==o7;<1011=;3;297~;4;?n18>l4=2170?24j278?9=5b9`8yv53m80;6?u2324g>g>e349>>=4;9d9~w63703:1?v3<35690`d<5:9>876n1:?0176=99=<7p}<34194?5|5:9=o77>1:?0715=99k370=<528f3a=z{:9?>7>53z?073e=<:h01>=;3;60f>;4;=81n5l4}r17``<72;q6?>8l:c:a?8529o0?5h5rs2733?6=;r78?9=54d`89652;32j=63<50d955103ty8?8<50;1x9651j33:=63<350955g?34989?4j7e9~w65393:1?v3<37`906d<5:9?>7:7}:;:;?6;297~;4;=818hl4=2166?>f927898n63<3529f=d760f2k2i70=:1e87=`=z{:?;97>53z?0717==:1;:b5>;4=8n1==98;|q0706=839p1>=99;;25>;4;=:1==o7;<1015=l4=2174?24j278?>h5b9`8yv53l<0;6?u2324:>g>e349>=n4;9d9~w637<3:1?v3<35290`d<5:9><76n1:?014e=99=<7p}<35d94?5|5:9=477>1:?076`=99k370=<4g8f3a=z{:98i7>53z?073>=<:h01>=;4;:o1n5l4}r17`7<72;q6?>87:c:a?8529k0?5h5rs2737?6=;r78?>h54d`89653n32j=63<50`955103ty8?9k50;1x9651?33:=63<32g955g?34988h4j7e9~w654l3:1?v3<375906d<5:98i7:7}:;:<<6o6m;<165d<31l1v>;?2;297~;4;:o18hl4=217a?>f92789j511c;?8548n63<3249f=d76012k2i70=:1487=`=z{:?;=7>53z?076b==;d;:b5>;4=8?1==98;|q071e=839p1>=90;;25>;4;:<1==o7;<100f==7;297~;4;?:18>l4=2102?24j278??95b9`8yv53k<0;6?u23243>g>e349>977>1:?0771=99k370=<448f3a=z{:>j47>52z?0703=j1h01>;?9;6:a>{t;=l:6=4<{<1062<3mk16?>:::9c2?852800:<:94}r103a<72;q6?>9=:5ga?854?m0n;i5rs2145?6=:r78?:<542`8965093h3n6s|325;>5<5s498;?4>0`:8965003o5<5s498;?4m8c9>761421k87p}<36a94?4|5:9<=7:jb:?072e=m>n0q~=<7183>7}:;:=:69=m;<1035=87;296~;4;>;1==o7;<1032=8b;296~;4;>:18hl4=214f?c0l2wx?>8i:181854?90??o52324e>g>e3ty8?:850;0x965083;;m5523255>`1c3ty8?:o50;0x9651n3>nn63<36c9a2b760a2=9i70=<6d8a52z?073`=99k370=<748f3a=z{:9<57>52z?073c==89;g4`>{t;:=?6=4={<102`<68h201>=84;g4`>{t;?:=6=4>3z?01fg=<1n01>;l9;6;`>;4=j2185j4=27`3?2?l2789n8549f8963d=3>3h63<5b690=b<5:?h?7:7d:?01a4=<1n01>;k1;6;`>;4=m:185j4=27`b?2?l2789nk549f8963dl3>3h63<5ba90=b<5:?hn7:7d:?01f4=<1n01>;l1;6;`>;4>9=1i:j4}r16e4<7289p1>;la;605>;4=j318>?4=27`3>8=63<5b79067<5:?h87:<1:?01f5=<:;01>;k2;605>;4=m;18>?4=27g4?2492789nh54238963dm3>8=63<5bf9067<5:?ho7:<1:?01fd=<:;01>;l2;605>;4=j;18>?4=27b6?c0l2wx?8ol:18b852kh0:<:94=20a7?>f>2788hj58`18963am32j963<5cf9:?0;:b5>;5k?814l;4=3a6f;2wx?;>=:182=~;4=83185j4=2723>3h63<50690=b<5:?:?7:7d:?0144=<1n01>;>1;6;`>;4=8:185j4=273b?2?l2789=k549f89637l3>3h63<51a90=b<5:?;n7:7d:?0176=<1n01>;>f;6;`>;4=8o185j4=272`?2?l27893h63<50c90=b<5:?:97:7d:?015g=<1n01>;?9;6;`>;4>991i:j4}r10e4<72;q6?887:5;f?854i;0n;i5rs2430?6=9=q6?887:5:g?852>>0?4i523445>1>c349>:84;8e9>70032=2o70=:6287o0?4i52344f>1>c349>:i4;8e9>700d2=2o70=:6c87`1c349>m>47a29~w632=3:1?v3<57:955103499n547a79>76e521k:7p}<38d94?4|5:?=;7:6e:?07d6=m>n0q~=:5583>6}:;<<<6<>87:?06g>=0h?01>=l0;:b5>{t;:3o6=4={<1623<31l16?>7j:d5g?xu4=<91<7=t=2752?77?>16??l7:9c7?854jl03m<5rs21:f?6=:r789;;548g8965>k3o5<4s49>:84>0658964e032j?63<3ca970032=3n70=<9`8f3a=z{:?>=7>53z?0132=99=<70==b98;e4=:;:hj65o>;|q07<1=838p1>;93;6:a>;4;021i:j4}r1615<72:q6?88<:0243>;4:k214l<4=21af92wx?>7::181852>;0?5h5232;5>`1c3ty899h50;1x9631:3;;;:5233`4>=g13498n;47a09~w65>;3:1>v3<573907}:;<=;697j;<10f7;:e;297~;4=>:1==98;<11f2j;:9c2?xu4;hl1<7m278?o>5e6f8yv52=m0;6>u2344e>460?278>o958`18965c:32j=6s|32cg>5<5s49>:h4;9d9>76gb2l=o7p}<54a94?5|5:?=i7??769>77d021k:70=52z?013b=<0o01>=nc;g4`>{t;=01>;4;jo14l?4}r10e<<72;q6?88l:5;f?854ih0n;i5rs276e?6=;r789;m51154?855j?03m;5232a`>=g63ty8?l950;0x9631j3>2i63<3`:9a2b944?:2y>700e28:<;63<2c49=839p1>;9a;3332=:;;h=65o;;<10g==n3;296~;4=?3184k4=21b0?c0l2wx?8;8:180852>00:<:94=20a2?>f;278?n858`38yv541;0;6?u23443>1?b34985o4j7e9~w632>3:1?v3<572955103499n;47a09>76e321k:7p}<38294?4|5:?>j7:6e:?07<7=m>n0q~=:2683>7}:;<8=697j;<166<;=6;2974}:;<8=6<>87:?007d=0h?01>:=e;:b1>;4<;i14l;4=261`?>f=27888;58`789623k32j963<4449?476n5:?001d=0h?01>::1;:b1>;4<<814l;4=2664?>f=27889o58`789623n32j963<45g9>876n5:?0010=0h?01>:;9;:b1>;4<==14l;4=2717?>f92789?m58`389635l32j>63<53:9;n2;:b1>;4>9=14l=4=2431?>f:2789?:58`78963>n32j963<3g:9;=76n4:?0054=0h>01>:?3;:b0>;4<9>14l:4=2631?>f<2788=858`689627?32j863<41:9;576n4:?07c?=0h>01>=ia;:b0>;4;oh14l:4=21eg?>f<278?kj58`68965am32j863<3gd9;<76n4:p704e2909w0=:2`87=`=:;<8n6h9k;|q017g=839;w0=:2`82421<5:>9n76n4:?007c=0h>01>:=c;:b0>;4<;n14l:4=2661?>f<27889m58`689622>32j863<45:9?n76n4:?0007=0h>01>::2;:b0>;4<<:14l:4=267e?>f<27889h58`689623m32j=63<4469?:76n4:?001?=0h>01>:;7;:b0>;4=;914l;4=271g?>f:2789?j58`389635032j>63<59g9>?76n5:?01d4=0h>01>8?5;:b7>;4=0l14l=4=2711?>f=278?k658`089627932j>63<4109;?76n2:?0052=0h801>:?5;:b6>;4<9<14l<4=2633?>f:2788=658`089627132j>63<3g;9=ic;:b6>;4;on14l<4=21ea?>f:278?kh58`089627832j>6s|3401>5<5s49>><4;9d9>70432l=o7p}<53394?4as49>><4>06589625j32j?63<43g99o76n3:?007b=0h901>::5;:b6>;4<=i14l<4=2662?>f:27889658`089623j32j>63<4439>>76n2:?0006=0h801>:;a;:b6>;4<=l14l<4=267a?>f:27888:58`089623>32j>63<45;9?;76n2:?017b=0h>01>;=8;:b0>;4=1o14l<4=2667?>f92789l<58`489607?32j863<617901>=i8;:b7>;4<9;14l=4=2636?>f;2788==58`189627<32j?63<4179;:76n3:?0051=0h901>:?8;:b7>;4<9314l=4=21e=?>f;278?ko58`18965aj32j?63<3ga9=if;:b7>;4<9:14l=4}r1573<72;q6?9?j:20;?851;?0n;i5rs2400?6=:r7885<5s49?=h4<3e9>732?2l=o7p}<65494?4|5:>:i7=n0q~=94583>7}:;=;n6>=n;<15018;2;296~;4<8o1?>74=2476?c0l2wx?;:?:1818539l08?5523763>`1c3ty8:>k50;0x9626m398;63<62g9a2b717b2:9870=93b8f3a=z{:<8m7>53z?004c=;::01>8=5;6:a>;4>:k1i:j4}r157=<72:q6?9?j:205?851:=0?5h52371;>`1c3ty8:><50;1x9626m399863<631907k8d:p714?2909w0=;1d8:6a=:;=;h65o>;|q0071=839p1>:>e;;1g>;4<8i14l<4=3a6f:2wx?9<9:1878539l02>o52353g>=g6348h:?47a59>6f3?21k:7p}<48194?4|5:n0q~=92983>7}:;?>o6<>87:?0260=0h>0q~=;9083>7}:;?>h697j;<17=78=7;296~;4>=i1==98;<1571:n5;296~;4>:181851=<0:<:94=247f<2wx?9o<:181851==0?5h5235c7>`1c3ty8:>>50;0x9602<3;;;:523765>=g33ty88l?50;0x9602;3>2i63<4`09a2bk4?:3y>733428:<;63<656973352=3n70=;a18f3a=z{:<9i7>52z?0204=99=<70=9438;e1=z{:>2h7>52z?0207=<0o01>:6e;g4`>{t;?8o6=4={<1514<68>=01>8;0;:b0>{t;=3i6=4={<1515<31l16?97l:d5g?xu4>;i1<716?;=j:9c7?xu4<031<7m27884o5e6f8yv51:k0;6?u2376e>460?278:>m58`68yv531>0;6?u2376f>1?b349?554j7e9~w605i3:1>v3<65g95510349=?l47a59~w62>=3:1>v3<65`902:7k8d:p734>2909w0=94c82421<5:<8476n4:p71>a2909w0=94`87=`=:;=3;6h9k;|q0270=838p1>8;a;3332=:;?9965o;;|q0751=838p1>=>f;6:a>;4<:?1i:j4}r17a<<7282p1>=>f;605>;4;8o18>?4=212`?249278?8=63<30;9067<5:9:47:<1:?0741=<:;01>=>6;605>;4;8?18>?4=2120?249278?<=542389656:3>8=63<3349067<5:9997:<1:?0772=<:;01>==3;605>;4;;818>?4=2115?249278??>542389656k3>8=63<3039067<5:9:<7:<1:?00`g=m>n0q~==f183>6}:;:;m6<>87:?0261=0h;01>:<0;:b7>{t;::=6=4={<105`<31l16?9=;:d5g?xu4:ll1<7=t=212a?77?>16?;=::9c2?853;<03m>5rs2131?6=:r78?5<4s498=i4>06589603132j=63<42694?:3y>767e2=3n70=;308f3a=z{:8no7>53z?074d=99=<70=9468;e4=:;=9865o<;|q0754=838p1>=>a;6:a>;4<;l1i:j4}r11ag<72:q6?>?n:0243>;4>=?14l?4=2605?>f;2wx?>>>:181854900?5h523566>`1c3ty8>ho50;6x965613;;;:523760>=g6349??=47a39>714a21k87p}<31294?4|5:9:47:6e:?0012=m>n0q~==e883>6}:;:;36<>87:?0217=0h;01>:;5;:b7>{t;;lm6=4={<1052<31l16?9:<:d5g?xu4:l21<7=t=2123?77?>16?;=i:9c2?853<=03m>5rs20ea?6=:r78?<8548g89623:3o5<4s498=;4>06589604l32j=63<451976722=3n70=;408f3a=z{:8n:7>53z?0743=99=<70=93c8;e4=:;=>965o<;|q06ce=838p1>=>4;6:a>;4<=:1i:j4}r11a0<72:q6?>?;:0243>;4>:314l?4=2675?>f;2wx??hm:1818549:0?5h52351e>`1c3ty8>h:50;1x9656;3;;;:523710>=g6349?8=47a29~w64ai3:1>v3<300908n7k8d:p77c42909w0=<1382421<5:>8j76n3:p766a2909w0=<2787=`=:;=9n6h9k;|q06c>=838p1>==6;3332=:;=9i65o<;|q075c=838p1>==5;6:a>;4<:n1i:j4}r11b2<72;q6?><::0243>;4<:o14l=4}r104a<72;q6?><;:5;f?853;j0n;i5rs20e2?6=:r78??:51154?853;m03m>5rs213g?6=:r78??=548g89624i3o5<5s498>>4>06589624k32j?6s|322a>5<5s498>?4;9d9>715>2l=o7p}<2g694?4|5:99>7??769>715f21k87p}<31c94?4|5:99=7:6e:?006>=m>n0q~==f283>7}:;:8:6<>87:?006?=0h90q~=<0883>7}:;:8;697j;<1772=?8;296~;4;8i184k4=2602?c0l2wx??h>:1818549j0:<:94=2603?>f;2wx?>>;:181854980?5h523511>`1c3ty8>hj50;0x965693;;;:523515>=g43ty8>k750;0x965683>2i63<4229a2b767728:<;63<420970>32=3n70=;898f3a=z{:?<97>52z?01=2=99=<70=;538;e3=z{:>52z?01=5=<0o01>:8c;g4`>{t;<=?6=4={<16<6<68>=01>::1;:b2>{t;==36=4={<16<7<31l16?99n:d5g?xu4=>91<716?9;?:9c5?xu4<><1<7m2788:95e6f8yv52?;0;6?u234:2>460?27889h58`48yv530m0;6?u234:`>1?b349?4h4j7e9~w630m3:1>v3<59a95510349?8o47a79~w62?j3:1>v3<59`903o7k8d:p701c2909w0=:8c82421<5:>?m76n6:p71>>2909w0=:8`87=`=:;=2j6h9k;|q012e=838p1>;7a;3332=:;=>265o9;|q00=0=838p1>;79;6:a>;4<1=1i:j4}r163g<72;q6?866:0243>;4<=214l84}r17<1<72;q6?867:5;f?8530<0n;i5rs274e?6=:r7895651154?853<>03m;5rs26;6?6=:r78959548g8962?;3o5<5s49>4:4>06589623>32j:6s|35:3>5<5s49>4;4;9d9>71>62l=o7p}<56:94?4|5:?3:7??769>713121k=7p}<46g94?4|5:?397:6e:?002`=m>n0q~=:7683>7}:;<2>6<>87:?0003=0h<0q~=;7483>7}:;<2;697j;<173<;86;296~;4=1:1==98;<1711:84;296~;4=>l184k4=264f?c0l2wx?89>:180852?o0:<:94=267g?>f>27895k58`48yv51880;6?u23723>1?b3498;>4j7e9~w60783:1hv3<61295510349=?:47a29>735221k870=9488;e6=:;?><65o<;<150052371e>=g4349=?i47a29>735e21k870=9388;e6=:;?9865o<;|q0034=838p1>;;4;6:a>;4;4<<914l:4}r171c<72;q6?8:<:5;f?853>90n;i5rs2707?6=:r7899=51154?853=;03m>5rs266a?6=:r7899<548g8962193o5<5s49>8?4>06589622932j?6s|357a>5<5s49>8<4;9d9>713c2l=o7p}<52394?4|5:??=7??769>713721k87p}<44;94?4|5:??<7:6e:?000g=m>n0q~=:3183>7}:;<>;6<>87:?001`=0h90q~=;7383>7}:;<>h697j;<1736;:80;296~;4==h184k4=2645?c0l2wx?8=l:181852f;2wx?98j:181852`1c3ty89>l50;0x9633i3;;;:52356:>=g43ty88;m50;0x963313>2i63<47f9a2b?l4?:3y>702>28:<;63<45:9702?2=3n70=;6c8f3a=z{:?857>52z?011>=99=<70=;468;e6=z{:>=47>52z?0111=<0o01>:99;g4`>{t;<936=4={<1602<68>=01>:;6;:b7>{t;=<=6=4={<1603<31l16?988:d5g?xu4=:=1<716?9;9:9c0?xu41<7m2788;;5e6f8yv52;?0;6?u23466>460?27888;58`18yv53=10;6?u2341e>1?b349?9n4j7e9~w634=3:1>v3<52d95510349?9947a29~w622?3:1>v3<52g90>i7k8d:p704a2908w0=:3d82421<5:>?o76n3:?01=c=0h90q~=90`83>7}:;?;<6<>87:?0260=0h;0q~=90883>7}:;?;=6<>87:?0262=0h;0q~=91283>7}:;?;m6<>87:?021>=0h;0q~=91383>7}:;?;n6<>87:?0210=0h;0q~=91083>7}:;?;o6<>87:?0212=0h;0q~=91183>7}:;?;h6<>87:?0214=0h;0q~=90g83>7}:;?;i6<>87:?0216=0h;0q~=90d83>7}:;?;j6<>87:?026c=0h;0q~=90e83>7}:;?;26<>87:?026e=0h;0q~=90b83>7}:;?;36<>87:?026g=0h;0q~=90c83>7}:;?;>6<>87:?026>=0h;0q~=90983>7}:;?;?6<>87:?0264=0h;0q~==c983>7}:;;kb;296~;4=ll1==98;<10e7f>2wx??m;:181852mm0?5h5233a7>`1c3ty89i750;0x963bl3;;;:5232;f>=g13ty8>n<50;0x963bk3>2i63<2b09a2bh54?:3y>70cd28:<;63<38a970ce2=3n70==c18f3a=z{:?o;7>52z?01`d=99=<70=<9`8;e0=z{:8ii7>52z?01`g=<0o01>{t;=01>=68;:b1>{t;;hh6=4={<16a<<31l16??ll:d5g?xu4=m?1<716?>79:9c6?xu4:kk1<7m278>oo5e6f8yv52l=0;6?u234g;>460?278?4:58`78yv55lh0;6?u234d4>1?b3499hl4j7e9~w63b<3:1>v3<5g5955103498n?47a49~w64c03:1>v3<5g490;i5;3332=:;:kn65o:;|q06a2=838p1>;i4;6:a>;4:m>1i:j4}r16a4<72;q6?8h;:0243>;4;hi14l;4}r11`7<72;q6?8h<:5;f?855l;0n;i5rs27f4?6=:r789k=51154?854ih03m85rs20g4?6=:r789k<548g8964c83o5<5s49>j?4>0658965f032j96s|33af>5<5s49>j<4;9d9>77eb2l=o7p}<5eg94?4|5:?m=7??769>76g121k>7p}<2ba94?4|5:?m<7:6e:?06fb=m>n0q~=:de83>7}:;87:?07d2=0h?0q~==c`83>7}:;;kc;296~;4=l=1==98;<10=g8=0;290~;4>;91==98;<175an8=:9c5?84d=103m85rs2415?6=;r78:?:51154?8539j03m8522b7;>=g33ty8=8850;3f853i>0?5h522g`5><76349::k4>06589641l3>2i63<48292>76n2:?00<2=0h801>:66;:b6>;4<0214l<4=26:e?>f:27884m58`08962>m32j>63<4`29j>76n2:?00d2=0h801>:n6;:b6>;48l<14l:4=22ff<27801>>i4;:b0>;48o<14l:4=22ef<278:o76n4:p75352909w0=?658:54=:;9?96h9k;|q0416=838p1>>94;60f>;48=:1n5l4}r1252<72;q6?=8;:c:a?855:90?5h5rs2265?6=;r78<;=59038966383;;m5523172>`1c3ty8<>h50;1x9661;3>8n63<052906d<5::8j7l7b:p74732909w0=?628a>;0;6ff>;4:8l1==98;<1331<5;=16>k>;:9c7?856<:03m<523370>=g43499=>47a29>776a21k:70=?508;e4=z{::><7>53z?0434=18;01>>>92;60f>;48:l18>l4=220a?d?j2wx?:181857>;0i4o52333f>1?b3ty8>=>50;;x9664n3>nn63<20g95510349;;94=329>6c6321k>70=>428;e1=:;;?865o;;<1156i:9c1?857=903m<5rs227b?6=;r78<;?590389664m3;;m552316e>`1c3ty8<>j50;1x966193>8n63<02g906d<5::8h7l7b:p746b2909w0=?608a>;4:8n1==98;<1331<5;;16>k>::9c6?856<:03m8523370>=g23499=>47a49>776a21k870=?4g8;e4=z{::?i7>53z?0436=18;01>>n6h9k;|q046e=839p1>>90;60f>;48:n18>l4=220g?d?j2wx?<>m:181857>90i4o52333`>1?b3ty8=kk50;4x9664l3>nn63<20a95510349;;94=309>6c5421k:70==558;e4=:;9>n65o>;|q041b=839p1>>:f;;25>;48:i1==o7;<130a>l4=220g?24j278<>l5b9`8yv56810;6?u2317e>g>e3499=o4;9d9~w67al3:1:v3<02a90`d<5:8:n7??769>75132;9;70>;c;297~;48l542`89664i3h3n6s|3026>5<5s49;9h4m8c9>777f2=3n7p}<1ga94?0|5::8n7:jb:?064g=99=<70=?75816c=::o9865o:;<1111o511c;?8578n63<05;9f=d753c2k2i70==1887=`=z{:;mn7>56z?046g=<>9;3332=:;9=?6?`1c3ty8<9650;1x9661l3>8n63<05;906d<5::?47l7b:p745?2909w0=?6e8a>;9;6ff>;4:;21==98;<1331<5==16>k=<:9c0?855=:03m<52317a>=g63ty8<8o50;1x9661k33:=63<05:955g?349;9l4j7e9~w663?3:1?v3<07a906d<5::?47:3483>7}:;916?=9;:364?84a;:03m?523370>=g5349;9l47a09~w66213:1?v3<07`9=47<5::?;7??a99>753>2l=o7p}<05494?5|5::=n7:>;6;`;f>{t;8996=4={<132g?851154?857?=098;522g27>=g5349:8?47a39>773521k?70=g63ty8<8650;1x9661i33:=63<054955g?349;954j7e9~w663=3:1?v3<07c906d<5::?:7:2g83>7}:;916?=9;:367?84a8=03m>523061>=g634999?47a49>6c4a21k:70==4g8;e0=:;;?;65o>;<1157=g6349;9547a09~w662?3:1?v3<07;9=47<5::?97??a99>75302l=o7p}<05694?5|5::=57:>;4;`;f>{t;88h6=4={<132<?:51154?857?=098>522g26>=g6349:8?47a59>773521k:70m65o9;<1115=g5349;9:47a09~w662>3:1?v3<07:9=47<5::?87??a99>75312l=o7p}<05194?5|5::=47:>;3;`;f>{t;8826=4={<132=?=51154?857?=098?522g26>=g5349:8?47a29>6c4a21k870==4g8;e4=:;;?;65o;;<1156=g1349;9;47a09~w662=3:1?v3<0759=47<5::??7??a99>75322l=o7p}<05094?5|5::=;7:>;2;`;f>{t;88=6=4={<1322?<51154?857?=098<522g26>=g4349:8?47a79>6c5721k870==4g8;e7=:;;?;65o<;<1156j:9c7?855=<03m?523176>=g63ty8<8:50;1x9661>33:=63<050955g?349;994j7e9~w66393:1?v3<074906d<5::?>7:2283>7}:;9<=6o6m;<1164<31l1v>16?=9;:314?84a;;03m<522g26>=g3349:8?47a49>6c5721k:70==4g8;e1=:;;:n65o<;<11108n63<02;9f=d75022k2i70==1987=`=z{:8;?7>59z?0417=<>8;3332=:;9=?6?k=?:9c1?85552332f>=g134999847a49>753421k:7p}<05c94?5|5::>o77>1:?046?=99k370=?4`8f3a=z{:;m87>53z?040e=<:h01>><9;60f>;49o?1i:j4}r13bc<72;q6?=;l:c:a?8559>0?5h5rs23ee?6=?r78<>754d`89646?3;;;:523157>74>348m??47a39>776b21k>70==548;e1=:;9>j65o>;|q0445=838p1>>=f;;25>;488>1i:j4}r0e`=<72;q6?=6s|3132>5<4s49;>h46109>6cb?28:j463<0009a2b754b2=9i70>=e;`;f>;5no814l<4}r134c<72:q6?=0:kj9:180857:m0??o522gf4>15e348mh;4m8c9~w676:3:1>v3<03f9f=d<5;lm<76n2:p756c2908w0=?2b8:54=::on=6<>n8:?045c=m>n0q~6}:;98h69=m;<0e`3<3;k16>kj::c:a?xu499l1<7u2310a><76348mh84>0`:89667k3o5<4s49;>o4;3c9>6cb22=9i7052z?047d=j1h01?hjc;:b2>{t;9:26=4<{<136d<>9816>kj;:02b<>;489k1i:j4}r0e`6<72:q6?=g>e3ty8==750;0x9665i3h3n63=fdc9754>20;:70<5::;47k8d:p6cb52908w0=?28877g=::on869=m;<0e`7??6;296~;48;31n5l4=3dff>2wx?=>::180857:102=<522gf1>46f0278<=85e6f8yv4am80;6>u2310;>15e348mh?4;3c9>6cc62k2i7p}<11194?4|5::947l7b:?1b`0=0h<0q~=?2483>6}:;99364?>;<0ea4<68h201>>=6;g4`>{t:oo;6=4<{<137=<3;k16>kk>:51a?84am90i4o5rs230=?6=:r78<>65b9`89667<32j:6s|3100>5<4s49;?:46109>6cc728:j463<0369a2b75502=9i70><7;`;f>;489814l84}r1364<72:q6?==9:832?84alo0:kjj:180857;?0??o522gfe>15e348mhh4m8c9~w674;3:1>v3<0249f=d<5::;<76n6:p757a2908w0=?348:54=::onn6<>n8:?0476=m>n0q~6}:;99>69=m;<0e``<3;k16>kjk:c:a?xu49::1<7u23117><76348mhi4>0`:89666m3o5<4s49;?94;3c9>6cbc2=9i7052z?0462=j1h01?hic;:b2>{t;9;i6=4<{<1376<>9816>kjl:02b<>;488i1i:j4}r0e`g<72:q6?==<:51a?84alj0??o522gfa>g>e3ty8=?o50;0x9664;3h3n63=fgc9755520;:70<5:::m7k8d:p6cbf2908w0=?33877g=::oni69=m;<0e`d?=7;296~;48:81n5l4=3def>2wx?=?8:180857;802=<522gfb>46f0278<<65e6f8yv4al00;6>u23112>15e348mhl4;3c9>6cb>2k2i7p}<13694?4|5::8=7l7b:?1bc0=0h<0q~=?1483>6}:;99;64?>;<0e`<<68h201>>>6;g4`>{t:on;6=4<{<1375<3;k16>kj6:51a?84al90i4o5rs232`?6=:r78<>>5b9`897`bl32j:6s|30c0>5<3s49;>:46109>6cb728:j463<1c795510348m<547a39~w67783:1>v3<0359f=d<5;ln?76n6:p6c>c2909w0;49?l184k4}r1210<721q6>kl::832?84a0m0:16??8l:5;f?857mh03m>522ga6>=g3349:8=47a29>742121k87p}=f9`94?5|5;li97:{t;88;6=4={<0ef01<79t=3da0??69279j5l511c;?84akh03m9522gae>=g6349::i4>06589640=3>2i63<0d:96cd32=9i70;49?n184k4}r1216<72>q6>kl<:832?84a000:f:279jnh58`089671k3;;;:523357>1?b349;jn47a29~w7`?>3:1?v3=fc1906d<5;l357:1d83>7}::oh86o6m;<122f<31l1v>?:1;293~;5nk;15km6:9c7?84akl03m<52304b>460?278>:=548g8966ai32j?6s|2g:7>5<4s48mn<4;3c9>6c>12=9i7052z?1bg7=j1h01>?9a;6:a>{t;8?;6=48{<0ef5<>9816>k6;:02b<>;5nj314l<4=3d`a?>f:278=;751154?855?;0?5h5231d;>=g43ty9j5<50;1x97`e83>8n63=f96906d<5;l3>7l7b:p747>2909w0;5n181==o7;<0eg=kmk:9c2?856>10:<:94=2045?2>m278u22gce>15e348m4?4;3c9>6c>72k2i7p}<10494?4|5;ljj7l7b:?053>=<0o0q~=>4d83>2}::okn64?>;<0e<5<68h201?hl8;:b6>;5njn14l<4=2353?77?>16??9?:5;f?857n=03m>5rs3d4b?6=;r79jlk542`897`?83>8n63=f6d9f=d4?:3y>6cgb2k2i70=>6687=`=z{;l2h7>53z?1bdb=18;01?h8f;33e==::o3o6h9k;|q1b2c=839p1?hnd;60f>;5n>l18>l4=3d4a?d?j2wx?1?b3ty8=9j50;5x97`0n3>nn63=f8f9?96;3332=:;;k7l:d5g?xu5n>n1<7=t=3dbg?24j279j:k542`897`0l3h3n6s|302g>5<5s48mmn4m8c9>74022=3n7p}<15a94?1|5;l;5nji14l<4=2351?77?>16??8j:5;f?857n903m>5rs3d:f?6=;r79jll5903897`0l3;;m5522g;a>`1c3ty9j:m50;1x97`fj3>8n63=f6f906d<5;l;5n0h14l?4=3d`2?>f<279jnl58`389671<3;;;:52334a>1?b349;ih47a29~w7`>i3:1?v3=f`c9=47<5;l6c?f2l=o7p}=f6`94?5|5;ljm7:{t;8:<6=4={<0eed32j>63=fb`9770f2=3n70=?eb8;e6=z{;l257>53z?1bd?=18;01?h8b;33e==::o326h9k;|q1b2g=839p1?hn9;60f>;5n>h18>l4=3d4e?d?j2wx?<>;:18184ai00i4o523041>1?b3ty8=9750;6x97`0j3>nn63=f8;97??769>75c121k87p}=f8:94?5|5;lj477>1:?1b2g=99k37053z?1bd>=<:h01?h8a;60f>;5n0<1n5l4}r1244<72;q6>ko7:c:a?856>80?5h5rs237032j=63<173955103ty9jl850;1x97`en33:=63=f84955g?348mm;4j7e9~w7`>=3:1?v3=fcd906d<5;l2:7:3683>7}::ohm6o6m;<1233<31l1v>?:e;297~;5n0<18hl4=3db2?>f9278=:851154?xu5nh?1<7=t=3daa??69279j4;511c;?84ai<0n;i5rs3d:0?6=;r79jok542`897`>=3>8n63=f869f=d6cdb2k2i70=>7487=`=z{:;>h7>53z?1b<3=;49>?1==98;|q1bd2=839p1?hmd;;25>;5n0>1==o7;<0ee1l4=3d:0?24j279j4=5b9`8yv56;80;6?u22g`g>g>e349:;94;9d9~w672k3:1?v3=f8690`d<5;lj876n1:?0522=99=<7p}=f`194?5|5;lio77>1:?1b<5=99k3707>53z?1bge=<:h01?h63;60f>;5n081n5l4}r126`<72;q6>kll:c:a?856?:0?5h5rs236f?6=;r79j4=54d`897`f;32j=63<161955103ty9jl<50;1x97`ej33:=63=f80955g?348mm?4j7e9~w7`>93:1?v3=fc`906d<5;l2>7:2c83>7}::ohi6o6m;<1237<31l1v>?:a;297~;5n0818hl4=3db6?>f9278=:<51154?xu5nh;1<7=t=3dae??69279j4?511c;?84ai80n;i5rs3d:4?6=;r79joo542`897`>93>8n63=f829f=d54?:3y>6cdf2k2i70=>7087=`=z{:;>57>53z?1b<7=;49>;1==98;|q1bd6=839p1?hm9;;25>;5n0:1==o7;<0ee5l4=3d:4?24j279j5h5b9`8yv56:<0;6?u22g`:>g>e349:;=4;9d9~w67203:1?v3=f8290`d<5;lj<76n1:?0526=99=<7p}=f8d94?5|5;li477>1:?1b=`=99k37053z?1bg>=<:h01?h7f;60f>;5n121n5l4}r1267<72;q6>kl7:c:a?856>k0?5h5rs2363?6=;r79j5h54d`897`>n32j=63<17`955103ty9j4k50;1x97`e:33:=63=f9:955g?348m5h4j7e9~w7`013:1?v3=fc0906d<5;l347:1c83>7}::oh96o6m;<1225<31l1v>?:2;297~;5n1218hl4=3d:a?>f9278=;>51154?xu5n0=1<7=t=3db3??69279j:7511c;?84a1>0n;i5rs22ea?6=:r79jl95b9`89672n3>2i6s|3064>5<4s48m;44;ec9>6c?021k:70=>5g824216ce32=oi7052z?1bf2=<:h01?hl3;`;f>{t:oij6=4={<0eg1<68h201?hla;g4`>{t:oi?6=4={<0eg1km::9c0?xu5njo1<715e348mo?4m8c9~w7`d13:1>v3=fb1955g?348mo44j7e9~w7`dl3:1>v3=fb090`d<5;lhh7k8d:p6ce62909w0=838p1?hl2;33e==::oi36h9k;|q1bfe=838p1?hl1;6ff>;5nji1i:j4}r0eg5<72;q6>km>:51a?84ak90i4o5rs3d`3?6=:r79jn?511c;?84ak>0n;i5rs3d`f?6=:r79jn>54d`897`dj3o5<5s48mo=4>0`:897`d>3o5<6;r78>?>549f89646n3>3h63<20g90=b<5:8:h7:7d:?064e=<1n01><>b;6;`>;4:8k185j4=202=?2?l278>?6549f89645?3>3h63<23490=b<5:8997:7d:?0672=<1n01><=3;6;`>;4:;8185j4=2015?2?l278><6549f89646?3>3h63<24f9a2b8=63<20d9067<5:8:i7:<1:?064b=<:;01><>c;605>;4:8h18>?4=202e?249278><754238964503>8=63<2359067<5:89:7:<1:?0673=<:;01><=4;605>;4:;918>?4=2016?249278>??54238964603>8=63<2059067<5:;m47k8d:p7765290iw0==2182421<5::=j76n2:?0427=0h901?h?5;:b2>;49=914l=4=2060?>f=278><=58`489647n32j:63<0609>76n1:?1g34=0h80q~==5983>4?|5:;=j7:7d:?053c=<1n01>?9d;6;`>;49?i185j4=235e?2?l278=;7549f8967103>3h63<17590=b<5:;=:7:7d:?0533=<1n01>?94;6;`>;49?9185j4=2356?2?l278=;?549f89670>3>3h63<16790=b<5:;<87:7d:?0525=<1n01>?82;6;`>;49>;185j4=2344?2?l278=;l549f8967183>3h63<14d90=b<5:8>57k8d:p6c`42909w0=>bd87=`=::ol?6h9k;|q060g=83;?w0=>bd871>c349:n54;8e9>74d02=2o70=>c787696k;<12g1<30m16?1>c349:o=4;8e9>74da2=2o70=>b787696k;<111g16>k>n:9c5?8579=03m<5rs3de5?6=:r78=oj548g897`a:3o5<4s49:ni4>065897`7i32j963<000974dd2=3n7053z?05ge=99=<70;|q1b`b=838p1>?mb;6:a>;5nlo1i:j4}r12e=<72:q6?;5n9k14l=4=223a?>f92wx>kkm:181856jh0?5h522gg`>`1c3ty8=l950;1x967ei3;;;:522g2b>=g6349;v3<1c;90b882421<5;l;m76n2:?045g=0h;0q~7}:;8h3697j;<0ea=?n5;297~;49k21==98;<0e4<7:9c2?xu5nl?1<7m279jh85e6f8yv56i=0;6>u230`4>460?279j=758`789667>32j=6s|3120>5<5s49:o;4;9d9>75632l=o7p}<1c694?5|5:;h:7??769>6c6>21k?70=?278;e4=z{::;=7>52z?05f3=<0o01>>?2;g4`>{t;8h86=4<{<12g0<68>=01?h?9;:b7>;48;>14l?4}r0ebc<72;q6?=g63ty9jkj50;0x967d;3>2i63=fgg9a2b74e428:<;63=f1;9c387=`=::olh6h9k;|q05g6=839p1>?l2;3332=::o:365o9;<135`f=278<0;6?u230a3>1?b348mj54j7e9~w67fm3:1?v3<1b295510348m<547a59>757f21k:7p}=fg794?4|5:;ij7:6e:?1bc0=m>n0q~=>ae83>6}:;8hm6<>87:?1b5>=0h901>>>8;:b5>{t:oo?6=4={<12f3<31l16>kkk:d5g?xu49hi1<7=t=23a2?77?>16>k>7:9c2?8579?03m<5rs3df6?6=:r78=o;548g897`b;3o5<5s49:;n4;9d9>741a2l=o7p}<16a94?56s49:;n4>0658966?932j963<096976n5:?04=5=0h?01>>nb;:b1>;48h814l;4=22bg?>f=278<4k58`78966f932j963<0`59>n0;:b1>;48h?14l;4=22b0?>f;278k32j963<08d9?72;:b5>;491914l<4=234a?>f9278=h:58`78966f132j:63<1g:9h76n3:?060d=0h801>?8a;:b1>;49o?14l;4=227e?>f>278<8=58`689662<32j863<0479:76n4:?0401=0h>01>>:8;:b0>;48<314l:4=226e?>f<278<8l58`689663j32j863<05a901>>;f;:b0>;48<:14l:4=2265?>f<278<8<58`68yv56080;6?u230:3>1?b349:494j7e9~w67?83:1?=u230:3>460?278<5?58`68966?<32j863<090901>>n2;:b0>;48hi14l:4=22:a?>f<27801>>n5;:b0>;48h>14l?4=22be?>f<278<4m58`68966>n32j863<08f9?73;:b5>;49>o14l<4=23f0?>f<278>;a;:b6>;48<914l<4=2260?>f:278<8;58`089662>32j>63<0459476n2:?040?=0h801>>:a;:b6>;48f:278<9m58`089663l32j>63<05g9>:1;:b6>;48<814l<4}r123=<72;q6?<98:5;f?856?h0n;i5rs2343?6=:oq6?<98:0243>;481;14l=4=22;0?>f;278<5<58`18966?;32j?63<0``976n2:?04de=0h801>>6e;:b6>;48h;14l<4=22b3?>f:27832j>63<0`29>na;:b6>;480i14l<4=22:b?>f:278<4j58`08967?;32j863<16g9?i8;:b2>;4:f9278=:o58`18967a=32j863<05c9?76n3:?0402=0h901>>:5;:b7>;48<<14l=4=2263?>f;278<8658`189662132j?63<04c9n76n3:?041d=0h901>>;c;:b7>;48=n14l=4=227a?>f;278<9h58`189662832j?63<0439>76n3:p77>d2909w0=?75806==:;;2h6h9k;|q06=g=838p1>>84;113>;4:1k1i:j4}r11=`<72;q6?=9;:21g?8551l0n;i5rs20:g?6=:r78<::532`8964>k3o5<5s49;;94<3`9>77?f2l=o7p}<28:94?4|5::<87=<9:?06<>=m>n0q~==9783>7}:;9=?6>=7;<11=3<64;296~;48>>1?>94=20:0?c0l2wx??7=:181857?=08?>5233;1>`1c3ty8>4>50;1x9660<398<63<26`90b2908w0=?758063=:;;=j697j;<11<`<78;297~;48>>1??:4=204=?2>m278>565e6f8yv57?l0;6?u23157><4c349;;?47a09~w660l3:1?v3<0669=7e<5::<=76n2:?0424=0h80q~=?7b83>0}:;9=?64:9c2?857?:03m<522b41>=g63ty82i63<0dc9a2b77g428:<;63<29a977g52=3n70=?e98f3a=z{:852z?06d4=99=<70==8`8;e1=z{::mn7>52z?06dd=<0o01>>ic;g4`>{t;;2<6=4={<11eg<68>=01><6e;:b0>{t;9l26=4={<11ed<31l16?=hn:d5g?xu4:1<1<716??7l:9c7?xu48o=1<7m278460?278>4o58`68yv57n<0;6?u233c;>1?b349;j;4j7e9~w64?<3:1>v3<2`:9551034995547a59~w66a;3:1>v3<2`59042909w0==a682421<5:82:76n4:p75`62909w0==a787=`=:;9l96h9k;|q06=4=838p1>;48o:1i:j4}r11<4<72;q6??o::0243>;4:0814l:4}r13aa<72;q6??o;:5;f?857ml0n;i5rs20;4?6=:r78>l:51154?8551903m95rs22ff?6=:r78>l?548g8966bk3o5<5s499m<4>0658964?m32j86s|31g6>5<5s499m=4;9d9>75c12l=o7p}<26a94?4|5:8j<7??769>77>?21k?7p}=f4;94?4|5;l<=7:6e:?04=d=m>n0q~=>3g83>4>|5;l<=7:<1:?1b26=<:;01?h9f;605>;5n?n18>?4=3d5g?249279j;l5423897`1i3>8=63=f7;9067<5;l=47:<1:?1b31=<:;01?h96;605>;5n??18>?4=3d50?249279j:65423897`0?3>8=63=f649067<5;l<97:<1:?1b22=<:;01?h83;605>;5n>818>?4=3d5a?249279j;=5423897`1:3>8=63<1529a2b6c1628:<;63<29f9;<13k:?:18084a>o0:<:94=20:b?>f9278<5o58`18yv4a=<0;6?u22g4g>1?b349;4:4j7e9~w7`4m3:1?v3=f7f9551034995i47a09>75>>21k87p}=f4694?4|5;l=o7:6e:?04=3=m>n0q~6}::o87:?06>77;:b7>{t:o?86=4={<0e2g<31l16?=7m:d5g?xu5n:i1<7:t=3d5f?77?>16??76:9c2?8570?03m?5231:6>=g43ty9j8<50;0x97`1i3>2i63<08c9a2b6c0f28:<;63<285965o>;<13=dk=6:18084a>10:<:94=20:7?>f9278<4758`18yv4a1?b349;5:4j7e9~w7`403:1?v3=f759551034995<47a09>75??21k87p}=f5g94?4|5;l=:7:6e:?04<0=m>n0q~6}::o<=6<>87:?06=`=0h;01>>67;:b7>{t:o>o6=4={<0e20<31l16?=7::d5g?xu5n:<1<7=t=3d51?77?>16??66:9c2?8571?03m>5rs3d7g?6=:r79j;:548g8966>93o5<5s48m:94>0658966>=32j?6s|2g42>5<5s48m;54;9d9>75?32l=o7p}=f5c94?4|5;l<47??769>75?621k87p}=f7294?4|5;l<;7:6e:?04<5=m>n0q~7}::o=<6<>87:?04<2=0h90q~7}::o==697j;<13=7<1==98;<13=6?184k4=22:4?c0l2wx>k:8:18184a?<0:<:94=22:6?>f;2wx>k;k:18184a?=0?5h5231:e>`1c3ty9j9850;0x97`0<3;;;:5231;3>=g43ty9j8m50;0x97`0;3>2i63<09g9a2b6c1428:<;63<09d96c152=3n70=?8e8f3a=z{;l?87>52z?1b24=99=<70=?8d8;e6=z{;l>m7>52z?1b3c=<0o01>>7c;g4`>{t:o>86=4={<0e2`<68>=01>>7d;:b7>{t:o?=6=4={<0e26<31l16?=67:d5g?xu5n:l1<716?=6l:9c0?xu5n=h1<7m278<585e6f8yv4a;=0;6?u22g41>460?278<5658`18yv57l:0;6?u230fb>1?b349;hh4j7e9~w67dj3:1>v3<1ec95510349;m547a79~w66c93:1>v3<1e;907k8d:p74ef2909w0=>d882421<5::j;76n6:p75eb2909w0=>d987=`=:;9n;6h9k;|q05f?=838p1>?k8;3332=:;9k=65o9;|q04fe=838p1>?k7;6:a>;48jn1i:j4}r12g=<72;q6?;48h?14l84}r13a6<72;q6?5<5s49:i<4>0658966f832j:6s|31fe>5<5s49:i=4;9d9>75c72l=o7p}<1e094?4|5:;n<7??769>75?a21k=7p}<0ea94?4|5:;oj7:6e:?04ab=m>n0q~=>d083>7}:;8nm6<>87:?047}:;8nn697j;<13`g?k0;296~;49mo1==98;<13=a>k8;296~;49mn184k4=22g=?c0l2wx?f>2wx?=j9:181856lj0?5h5231f4>`1c3ty8=nk50;0x967ck3;;;:5231c`>=g13ty82i63<0e79a2b74be28:<;63<0``974b12=3n70=?cg8f3a=z{:;ho7>52z?05a0=99=<70=?a`8;e3=z{::hm7>52z?05a3=<0o01>>k1;g4`>{t;8i<6=4<{<12`0<68>=01>>n2;:b2>;49l>14l84}r1112<72;q6??;9:5;f?84ak<0n;i5rs2062?6=lr78>8851154?8550m03m>5233:a>=g434995k47a29>77?c21k870==9c8;e6=:;;3265o<;<11=25233;2>=g434994k47a29>77>>21k87p}<0c:94?4|5:;2m7:6e:?04g?=m>n0q~=>8`83>7}:;83j6<>87:?04d?=0h>0q~=?b483>7}:;832697j;<13f3?79;296~;49031==98;<13e=>m4;296~;4902184k4=22a3?c0l2wx?<67:181856110:<:94=22b3?>f;2wx?=l>:1818561>0?5h5231`0>`1c3ty8=5950;0x967>?3;;;:5231c5>=g43ty8>3>2i63<0c29a2b74?128:<;63<0`7974g52=3n70=?c88f3a=z{:;2?7>52z?05d4=99=<70=?a08;e6=z{::h:7>52z?05d7=<0o01>>l7;g4`>{t;8396=4={<12e4<68>=01>>n0;:b7>{t;9i?6=4={<12e5<31l16?=m::d5g?xu490;1<716?=7i:9c0?xu48j81<7m278460?278<4k58`18yv57k90;6?u230;f>1?b349;o<4j7e9~w67?n3:1>v3<18g95510349;5i47a29~w66em3:1>v3<18f90b2909w0=>9e82421<5::2o76n3:p75dd2909w0=>9b87=`=:;9ho6h9k;|q05=b=838p1>?6c;3332=:;9kh65o<;|q04gg=838p1>?6b;6:a>;48kh1i:j4}r12;48hh14l=4}r13e`<72;q6?<7::5;f?857j;0n;i5rs23;f?6=:r78=4;51154?857ih03m>5rs22b`?6=:r78=4:548g8966e<3o5<4s49:594>0658966f:32j?63<1d69770c28:<;63<29a9770d28:<;63<29c9771228:<;63<28g9771328:<;63<28a9771428:<;63<28c9771528:<;63<28:9771628:<;63<2849771728:<;63<28694?:3y>770a28:<;63<2809770b28:<;63<2829770e28:<;63<29g9770f28:<;63<29:977222=3n7052z?0613=99=<7052z?0612=<0o01?h>9;g4`>{t;;9;6=4={<1101<68>=01?hi2;:b2>{t:o;=6=4={<1106<31l16>k?9:d5g?xu4:;l1<716>kh?:9c5?xu5n8>1<7m279j<:5e6f8yv55:l0;6?u23361>460?279jhk58`78yv4a9;0;6?u23362>1?b348m=?4j7e9~w645l3:1>v3<25395510348min47a49~w7`683:1>v3<25290<<;5n9i1i:j4}r116d<72;q6??=j:0243>;5nl<14l;4}r0e6f<72;q6??:k:5;f?84a:j0n;i5rs200e?6=:r78>9j51154?8578=03m85rs3d1e?6=:r78>9m548g897`5i3o5<5s4998n4>06589667:32j96s|2g0;>5<5s4998o4;9d9>6c4?2l=o7p}<22:94?4|5:8?n7??769>756721k>7p}=f3494?4|5:8?m7:6e:?1b70=m>n0q~==3683>7}:;;>j6<>87:?1bcc=0h?0q~7}:;;>2697j;<0e61<<6;296~;4:=31==98;<0ebff=2wx>k0?5h522g03>`1c3ty8>>:50;0x9643?3;;;:522gd;>=g23ty9j3>2i63=f0d9a2b4?:3y>772128:<;63=fg49775c2=3n707>52z?066b=99=<7055z?062?=99=<70=?6g8;e6=:;9=:65o:;<1336n8=:9c0?xu4:>=1<7=t=204e?77?>16?=9>:9c7?857?;03m85rs22e`?6=:r78:l51154?857?;03m95rs0f2b?6=;r7:oi>5903894b4<3;;;:521e2`>1?b3ty:ol750;0x94ec83>8n63>c`;9f=d5fb72k2i70?k3587=`=z{8n:i7>54z?2gf`=18;0187:?2`5d=<0o0q~?la983>6}:9jim69=m;<3`e<<3;k16=no7:c:a?xu6kl>1<7=548g8yv7djj0;6>u21baf><7634;hm54>0`:894eek3o5<4s4;hoh4;3c9>5fg?2=9i70?la68a52z?2gfc=j1h01{t9m;o6=4;{<3`e=<3mk16=i==:0243>;6l9k184k4=0aag?>f:2wx=nlm:18087dkm02=<521bc4>46f027:ool5e6f8yv7di?0;6>u21bag>15e34;hm:4;3c9>5fg12k2i7p}>cd094?4|58ihh7l7b:?2`67=<0o0q~?k1b83>1}:9jk<69km;<3g74<68>=01;6kkh14l<4}r3`fd<72:q6=nml:832?87di?0:15e34;hm84m8c9~w4eb93:1>v3>cba9f=d<58n8<7:6e:p5a7e290?w0?la787ag=:9m9;6<>87:?2`5>=<0o01{t9jh26=4<{<3`gg<>9816=no::02b<>;6kk31i:j4}r3`e1<72:q6=nmm:51a?87di<0??o521bc7>g>e3ty:oh>50;0x94edj3h3n63>d3d905fg22=oi70?k2g82421<58n;;7:6e:?2gg?=0h80q~?lb983>6}:9jij64?>;<3`e1<68h201{t9jk86=4<{<3`gd<3;k16=no;:51a?87di:0i4o5rs0agb?6=:r7:ono5b9`894b5m3>2i6s|1e3:>5<3s4;hm94;ec9>5a4b28:<;63>d1490n8:?2gg1=m>n0q~?la383>6}:9ji269=m;<3`e6<3;k16=no=:c:a?xu6kmo1<71ce34;o>i4>065894b7=3>2i63>cc595fe?20;:70?la3824d><58ii:7k8d:p5fg62908w0?lc9877g=:9jk969=m;<3`e4m2wx=i?8:18787di;0?io521e0`>460?27:h=:548g894ee>32j>6s|1b`6>5<4s4;ho:46109>5fg628:j463>cc79a2b4?:2y>5fe02=9i70?la0877g=:9jh86o6m;|q2gae=838p1;6l;h184k4}r3g53<72=q6=no>:5ga?87c:k0:<:94=0f37?2>m27:oo;58`08yv7dk<0;6>u21bfb><7634;hn>4>0`:894ed=3o5<4s4;hhl4;3c9>5fd42=9i70?lb38a52z?2gag=j1h01{t9m836=4;{<3`f6<3mk16=i=l:0243>;6l8>184k4=0a`1?>f92wx=nm;:18087dl002=<521b`1>46f027:on:5e6f8yv7dj80;6>u21bf:>15e34;hn?4;3c9>5fd62k2i7p}>cdf94?4|58io57l7b:?2`6d=<0o0q~?k2683>1}:9jh969km;<3g7g<68>=013;6:a>;6kj>14l?4}r3`g6<72:q6=nj7:832?87dj80:15e34;hn=4m8c9~w4ebk3:1>v3>ce:9f=d<58n8m7:6e:p5a41290?w0?lb087ag=:9m9j6<>87:?2`44=<0o01{t9ji96=4<{<3``2<>9816=nl?:02b<>;6kj81i:j4}r3`ec<72:q6=nj8:51a?87dj90??o521bce>g>e3ty:ohl50;0x94ec?3h3n63>d2;9084?:5y>5fd72=oi70?k3882421<58n:=7:6e:?2gf4=0h;0q~?lc083>6}:9jn=64?>;<3`ec<68h201{t9jkn6=4<{<3``3<3;k16=noi:51a?87dil0i4o5rs0afe?6=:r7:oi85b9`894b403>2i6s|1e07>5<3s4;hmk4;ec9>5a5?28:<;63>d0290n8:?2gf6=m>n0q~?lae83>6}:9jn>69=m;<3`e`<3;k16=nok:c:a?xu6kl31<79548g8yv7c::0;69u21bcf>1ce34;o?:4>065894b7n3>2i63>cb295fb320;:70?lae824d><58iij7k8d:p5fgd2908w0?ld5877g=:9jko69=m;<3`ef1n5l4=0f02?2>m2wx=i<=:18787dim0?io521e15>460?27:h=k548g894een32j=6s|1b`f>5<4s4;hh>46109>5fgd28:j463>ccg9a2b5fb42=9i70?lab877g=:9jki6o6m;|q2g`1=838p1;6l:?184k4}r3g64<72=q6=nol:5ga?87c;<0:<:94=0f3`?2>m27:ook58`38yv7djm0;6>u21bf1><7634;hmo4>0`:894eel3o5<4s4;hh?4;3c9>5fge2=9i70?la18a52z?2ga4=j1h01{t9m8;6=4;{<3`eg<3mk16=i;6l98184k4=0aa`?>f92wx=nl;:18087dk?02=<521bc3>46f027:oo:5e6f8yv7dlk0;6?u21ba5>g>e34;o>44;9d9~w4b6=3:1?v3>c`290`d<58n957??769>5fd321k:7p}>d2d94?4?s4;o?94;8e9>5a542=2o70?k33871>c34;o>n4;8e9>5a4e2=2o70?k3b871>c34;o?;4;8e9>5a522=2o70?k2`87m:512?87c8h0??<521e2:>15634;o<54;309>5a602=9:70?k078774=:9m:>69=>;<3g41<3;816=i><:512?87c9=0??<521e30>15634;o=?4;309>5a762=9:70?k118774=:9m:m69=>;<3g4`<3;816=i>k:512?87c8;0??<521e63>`1c3ty:ok650;3087c8j0:<:94=0f45?2>m27:h;k548g894b1j3>2i63>d7:90;6lm27:h57548g894b?>3>2i63>d9190;6l>=184k4=0f40?2>m27:h87548g8yv7dn>0;6?u21e2a>460?27:h:>548g8yv7dn?0;6?u21e2b>460?27:h;j548g8yv7dn<0;6?u21e2:>460?27:h;o548g8yv7dn=0;6?u21e2;>460?27:h;9548g8yv7dn:0;6?u21e24>460?27:h;:548g8yv7dn;0;6?u21e25>460?27:h;?548g8yv7dn80;6?u21e26>460?27:h8k548g8yv7dn90;6?u21e27>460?27:h8l548g8yv7dmo0;6?u21e20>460?27:h5l548g8yv7c880;6?u21e37>460?27:h56548g8yv7c890;6?u21e30>460?27:h5;548g8yv7dno0;6?u21e31>460?27:h5<548g8yv7dnl0;6?u21e32>460?27:h:h548g8yv7dnm0;6?u21e33>460?27:h:m548g8yv7dnj0;6?u21e2e>460?27:h:7548g8yv7dnk0;6?u21e2f>460?27:h:8548g8yv7dnh0;6?u21e2g>460?27:h:=548g8yv7dn00;6?u21e21>460?27:h86548g8yv7cjo0;6?u21ef0><7634;onk4j7e9~w4bfj3:1>v3>de1906d<58njn7l7b:p5ac02909w0?kd28a;6lhh1==o7;<3gf`l4=0fbf?24j27:hlo5b9`8yv7cm?0;6?u21ef1>g>e34;n>?4;9d9~w4b>03:1>v3>d``90`d<58n247k8d:p5adc2908w0?kd08:54=:9mkj6<>n8:?2`gb=m>n0q~?ka883>6}:9mn:69=m;<3ged<3;k16=io6:c:a?xu6ll?1<70;6?u21ecb>1ce34;o5:4j7e9~w4bek3:1?v3>de29=47<58nj57??a99>5add2l=o7p}>d`:94?5|58no<7:{t9mo?6=4={<3g`5u21eae><7634;om54>0`:894bej3o5<4s4;ook4;3c9>5ag?2=9i70?ka68a52z?2`f`=j1h01f;6:a>{t9m3>6=4={<3ge=<3mk16=i7::d5g?xu6lkk1<7=t=0f`a??6927:hl9511c;?87cjh0n;i5rs0fb2?6=;r7:hnk542`894bf?3>8n63>d`49f=d5aeb2k2i70?j1d87=`=z{8n287>52z?2`d1={t9mh26=4<{<3gga<>9816=io9:02b<>;6lk31i:j4}r3ge0<72:q6=imk:51a?87ci?0??o521ec6>g>e3ty:hh?50;0x94bdl3h3n63>e0f904?:3y>5ag12=oi70?k928f3a=z{8ni47>53z?2`fe=18;01;6lh?18>l4=0fb0?d?j2wx=ik?:18187ckj0i4o521d3`>1?b3ty:h4<50;0x94bf=3>nn63>d809a2b5aee20;:70?ka5824d><58ni;7k8d:p5ag42908w0?kcc877g=:9mk?69=m;<3ge6m2wx=i7>:18187ci=0?io521e;2>`1c3ty:ho850;1x94bdi33:=63>d`1955g?34;on;4j7e9~w4be<3:1?v3>dbc906d<58nj?7:7}:9mij6o6m;<3f5d<31l1v46f027:hn65e6f8yv7cj:0;6>u21ef`>15e34;on94;3c9>5ad42k2i7p}>dg294?4|58noo7l7b:?2a7d=<0o0q~?ka083>7}:9mh?69km;<3ge45<5s4;oho4m8c9>5`4f2=3n7p}>d`294?4|58ni?7:jb:?2`d6=m>n0q~?kc783>6}:9mnj64?>;<3gf7<68h201{t9mh:6=4<{<3g`d<3;k16=il=:51a?87cj80i4o5rs0ffa?6=:r7:hio5b9`894c513>2i6s|1e;e>5<5s4;on?4;ec9>5a?a2l=o7p}>db794?5|58no577>1:?2`g7=99k370?kc48f3a=z{8ni<7>53z?2`a?=<:h01;6lk:1n5l4}r3gaa<72;q6=ij6:c:a?87b:10?5h5rs0f:a?6=:r7:ho?54d`894b>m3o5<4s4;oh546109>5ad728:j463>db69a2b5ab?2=9i70?kb1877g=:9mkm6o6m;|q2``e=838p1;6m;=184k4}r3g=a<72;q6=il?:5ga?87c1m0n;i5rs0f`7?6=;r7:hi95903894bfn3;;m5521ea0>`1c3ty:hlk50;1x94bc?3>8n63>d`d906d<58nji7l7b:p5ace2909w0?kd68a;6l0i1i:j4}r3gg7<72:q6=ij9:832?87cil0:15e34;omi4m8c9~w4bbi3:1>v3>de49f=d<58o997:6e:p5a?e2909w0?kad87ag=:9m3i6h9k;|q2`f7=839p1;6lhn1==o7;<3gg4l4=0fb`?24j27:hlm5b9`8yv7cm00;6?u21ef6>g>e34;n>94;9d9~w4b>i3:1>v3>d`f90`d<58n2m7k8d:p5ae72908w0?kd58:54=:9mkh6<>n8:?2`f6=m>n0q~?ka383>6}:9mn?69=m;<3gef<3;k16=io=:c:a?xu6ll21<71ce34;o544j7e9~w4be=3:1?v3>db;9=47<58nj>7??a99>5ad22l=o7p}>def94?4|58nh57l7b:?2a4>=<0o0q~?k8g83>7}:9mk969km;<3g16=ilj:9c0?87c1103m<5rs0g3g?6=;r7:i??51154?87cjm03m9521e;4>=g63ty:i=l50;1x94c583;;;:521e``>=g334;o5;47a09~w4c7i3:1?v3>e0d9551034;ono47a59>5a?221k:7p}>e1;94?5|58o:i7??769>5adf21k?70?k958;e4=z{8o;47>53z?2a4b=99=<70?kb88;e1=:9m3865o>;|q2a51=839p1c;3332=:9mh365o;;<3g=7:9c2?xu6m9?1<7=t=0g2e?77?>16=il9:9c7?87c1903m<5rs0g23?6=;r7:i?l51154?87ck103m9521ec2>=g63ty:i<850;1x94c5i3;;;:521ea4>=g334;om=47a09~w4c6=3:1?v3>e3;9551034;oo;47a59>5a?a21k:7p}>e0694?5|58o947??769>5ae221k?70?k9d8;e4=z{8o:?7>53z?2a71=99=<70?kc58;e1=:9m3o65o>;|q2a44=839p11;297~;6m;?1==98;<3gg716=im>:9c7?87c1h03m<5rs0g3b?6=;r7:i<751154?87ck903m9521e;:>=g63ty:i=:50;1x94c603;;;:521e`6>=g334;o4k47a09~w4c7;3:1:460?27::hh58`68940a832j863>6d;901<8j4;:b0>;6>mn14l:4=04ga?>f<27::i958`68940c032j863>6e3976n4:?22fd=0h>01<8lc;:b0>;6>j?14l:4=04`2?>f<27::oh58`68940d832j863>6c;901<8m4;:b0>;6>hn14l:4=04ba?>f<27::l958`68940f032j863>6`3976n4:?2201<86c;:b0>;6>0?14l:4=04:2?>f<27::5h58`68940>832j863>69;901<874;:b0>;6>>n14l:4=044a?>f<27:::958`689400032j863>663976n4:?223d=0h>01<89c;:b0>;6>??14l:4=0452?>f<27::8h58`689401832j863>64;9m76n4:?2205=0h>01<8:4;:b0>;6>=n14l:4=047a?>f<27::9958`689403032j863>653976n4:?226d=0h>01<8;6>:?14l:4=0402?>f<27::?h58`689404832j863>63;901<8=4;:b0>;6>8n14l:4=042a?>f<27::<958`689406032j863>603976n4:?225d=0h>01<8?c;:b0>;6>9?14l:4=0432?>f<27:9kh58`689407832j863>5g;901<;i4;:b0>;6=ln14l:4=07fa?>f<27:9h958`68943b032j863>5d3976n4:?21ad=0h>01<;kc;:b0>;6>o=14l<4=04ef>2wx=i:j:18187cnh0?5h521e51>`1c3ty:hko50;0x94bai3;;;:521e`g>=g43ty:h9850;0x94ba93>2i63>d4c9a2b5a`628:<;63>dc795a`52=3n70?k748f3a=z{8nm>7>52z?2`c4=99=<70?kc18;e6=z{8n><7>52z?2`cd=<0o01{t9mli6=4={<3gbg<68>=01{t9m?96=4={<3gba<31l16=i9j:d5g?xu6lon1<716=im<:9c0?xu6l<91<7m27:h5?5e6f8yv7cnl0;6?u21edf>460?27:hn:58`18yv7c=80;6?u21ed`>1?b34;o;o4j7e9~w4bak3:1>v3>dga9551034;oo?47a29~w4b2<3:1>v3>dgd90;6l1n1i:j4}r3f47<72;q6=h>=:0243>;6lj214l=4}r3g02<72;q6=ih<:5;f?87c=m0n;i5rs0fe7?6=:r7:hk=51154?87cj?03m>5rs0f62?6=:r7:i=?548g894b?i3o5<5s4;n<<4>065894bd?32j?6s|1e6:>5<5s4;oj84;9d9>5a042l=o7p}>dg794?4|58nm97??769>5ad?21k87p}>d5c94?4|58nm:7:6e:?2`30=m>n0q~?kf783>7}:9ml=6<>87:?2`g?=0h90q~?k4983>7}:9ml?697j;<3g251==98;<3gf2f;2wx=i:k:18187cn00?5h521e4e>`1c3ty:hk750;0x94ba13;;;:521e``>=g43ty:h9l50;0x94ba?3>2i63>d7;9a2b5a`028:<;63>dcc951>?21k970?jd482421c849=0h;01;6k1;14l?4=0a;`?>f927:o5k58`3894e?n32j=63>c829;6k0>14l?4=0a;4?>f927:o5<58`3894e?;32j=63>c969;6k1214l?4=0a;=?>f927:o5o58`3894e?j32j=63>c9a965o>;<3`=d=g534>m>n47a59~w4`7?3:1=>u215:;>`1c34>i=>47a49>0g6b21k:70:m3382531<5=h8>7?>679>0g5528;=963;b209540334>i>n4>175891d5k3;::;524c0`>471=27?n?m51047?82e:00:=;94=5`1=?76>?168o<6:0351>;3j;31=<8;;<6a6c<69?=019l=f;3223=:f1194?4|58>j>76n3:?2aac=>:n0q~?i2683>7}:9=k96h9k;<6a6f<2?;1v0c13ty:j=?50;0x942>n32j?63>eeg926d84?:3y>51?a2l=o70:m288652z?20{t9o8?6=4={<37=`f;27:iik562;8yv7a::0;6?u215;g>`1c34>i>44:8e9~w4cam3:1>v3>48a9;6mmo1:>;4}r3e64<72;q6=97m:d5g?82e:00>445rs0geg?6=:r7:84o58`1894cb93<9?6s|1g03>5<5s4;?5l4j7e9>0g552<2h7p}>eg`94?4|58>2576n3:?2a`7=>;80q~?i1g83>7}:9=326h9k;<6a77<2001v01b3ty:ik750;0x942>?32j?63>ed3927751?02l=o70:m33863`=z{8om47>52z?20<0=0h901{t9o;h6=4={<37=3f;27:ih?562f8yv7a9k0;6?u215;7>`1c34>i??4:739~w4ca>3:1>v3>4819;6ml;1:>l4}r3e5<<72;q6=97=:d5g?82e:o0>4k5rs0ge0?6=:r7:84?58`1894cb93<8m6s|1g3;>5<5s4;?5<4j7e9>0g4a2<2n7p}>eg194?4|58>2<76n3:?2a`7=>:30q~?i1683>7}:9=3;6h9k;<6a6c<20m1v0>d3ty:ik?50;0x942?m32j?63>ed3926351>b2l=o70:m2g86<<=z{8om<7>52z?20=b=0h901{t9o;?6=4={<37f;27:iik560d8yv7a9:0;6?u215:`>`1c34>i>44:729~w4cbm3:1>v3>49`9f:p5c752909w0?;8c8f3a=:;6ml;1:;?5rs0gfg?6=:r7:8l658`1894ccm35<5s4;?m54j7e9>0g4d2ed`94?4|58>j;76n3:?2aac=>l30q~?i0g83>7}:9=k<6h9k;<6a6f<20o1vj:181873i?0n;i524c0`>0>b3ty:ih750;0x942f=32j?63>eeg927251g22l=o70:m2b8652z?20d2=0h901{t9o:h6=4={<37e1f;27:iik56308yv7a8k0;6?u215c0>`1c34>i>n4:889~w4cb>3:1>v3>4`29;6mmo1:?>4}r3e4<<72;q6=97::d5g?82e:j0>;>5rs0gf0?6=:r7:85o58`1894ccm3<:i6s|1g2;>5<5s4;?4l4j7e9>0g4>2<=97p}7`?121k>70=jb88;e3=:;l3?65o<;<1f<<=g4349n;i47a49>7`0e21k>70=jb08;e3=:;lh865o9;<1`2dj03m9523d;b>=g5349h:h47a59>7f0a21k>70=k4687=`=:;lhi6<>87:p7fc?2903w0=j7c8;e3=:;j;i65o:;<1f3<=g2349nm947a79>7f7121k?7p}m;3;:b1>;4m>i14l<4=2a53?>f>278o9858`48yv5d9h0;6?u23b3a>`1c349h=n47a39~w6d4m3:1>:u23b1;>=g5349h?:47a39>7f5221k970=l358;e7=:;j9865o=;<1`77:9c1?85d;903m?523b0e>=g5349h>h47a39>7f4c21k970=l2b8;e7=:;j8j65o=;<1`6<03m?523b05>=g5349h>847a39>7f4321k970=l228;e7=:;j8965o=;<1`64=g5349h?n47a39>7f5e21k970=l3`8;e7=:;j9265o=;<1`73=g6349i8;4j7e9>7f0f21k=70=l6c8;e3=:;j1<7<>{<1`7=523b17>=g4349h?>47a29>7f5521k870=l308;e6=:;j9;65o<;<1`6c523b0`>=g4349h>l47a29>7f4>21k870=l298;e6=:;j8<65o<;<1`63523b00>=g4349h>?47a29>7f4621k870=l1g8;e6=:;j9n65o<;<1`7a523b1b>=g4349h?447a29>7f5121k870=l218;e6=:;j8i65o<;<1`00mla;296~;4k::1i:j4=2g0`?>f<2wx?i<=:18185d?k03m<523e01>460?2wx?i<;:18185d?k03m?523e07>460?2wx?n9n:18;85d?k0n;i523d5:>=g2349n5847a49>7f2021k:70=l1e8;e4=:;m=;65o>;<1`53f:278o==58`0896e7:32j>63n01>lie;:b6>;4joi14l:4}r1g4`<72;q6?n?<:9c0?85c8l0:<:94}r1ab2<72kq6?n?<:9c7?85d8:03m9523b21>=g3349ij847a59>7g`321k?70=mfd8;e0=:;klh65o:;<1`57::9c5?85d8=03m;523cd4>`1c3ty8nk750;:x96e6;32j96376n5:?0fc3=0h?01>li4;:b1>;4joh1i:j4=2`eb?>f>278nkm58`48yv5c9h0;6?u23b20>=g4349o=l4>0658yv5c910;6?u23b21>=g4349o=54>0658yv5c9;0;6?u23cd6>=g4349o=?4>0658yv5c990;6?u23cd7>=g4349o==4>0658yv5d8h0;6>u23b2a>`1c349h<:47a79>7f6621k>7p}n01>m81;:b1>;4m1814l<4}r1f=g63ty8io650;1x96c>>32j863h>9;292~;4km=1i:j4=2a41?>f:278o;?58`6896e1?32j?63;<1`3<<1<7=t=2a23?>f:278o<:58`0896e0?3o5<4s49h=:47a29>7f7321k870=l178f3a=z{:o?;7>5az?0g41=0h>01>m>4;:b0>;4k=:14l?4=2a34?>f=278nko58`4896e2n32j863li8;:b7>{t;j<96=48{<1`05=03m<523b3`>=g6349h9k47a49>7f1421k:70=l178;e3=z{:kh87>55z?0ef3=m>n01?<74;:b1>;5:1914l;4=30;g?>f<279>5k58`18yv5fk90;69u23`a2>`1c34;38n47a29>177521k970?84b8;e3=z{:kh:7>54z?0ef1=m>n01<;?9;:b0>;6=9;14l84=4022?>f>2wx?llm:18585fjj0n;i5224;0>=g5348?8<47a39>177521k=70<:908;e1=:::l965o;;|q2aad=83>p1;6mm=14l=4=0gga?1f?27:ih?57`58yv5fjl0;6;u23``e>`1c34>?nh47a39>060721k?70;=138;e1=:<=hh65o;;<61f=om9;291~;4ikk1i:j4=3320?>f=279=<=58`789776l32j863=10d97de42l=o70=8j:9c6?8471=03m<5rs2d2f?6=j3ow0=l4e8;e6=:;j>i65o=;<1`366}:=;<=6h9k;<0`7ff9278h9851154?xu4l==1<7f:278h9951154?xu4l=21<7f;278h9651154?xu4l=31<7f<278h9751154?xu4l=k1<7f=278h9o51154?xu4l=h1<7f>278h9l51154?xu4imi1<7f>27:ih?56968yv5fll0;6?u2533f>=g134;ni<498g9~w6gcn3:1>v3:2309;6ml;1:4k4}r1ba7<72;q69?<::9c5?87bm80=5k5rs2cf0?6=:r7>>?958`4894cb935<5s4?9>447a79>5`c62?k97p}h:0q~=ne783>7}:=;8i65o9;<3fa4<10<1v>oj7;296~;2:;n14l84=0gf5?0?>2wx?lk6:181835;803m;521dg2>3>03ty8mho50;0x9044:32j:63>ed392=>177?21k=70?je085<6=z{:o<47>54z?0a2?=m>n01>k8e;:b1>;4m?n14l<4=2ga6?>f=2wx?ho?:1825~;4mk314l:4=2g:0?>f<278i5l58`3896c?l32j?6301>km1;:b6>;4mk914l:4=2gaf;278o;o58`1896e1j32j=63m9f;:b7>;4mh:1==98;|q0adc=83;jw0=j958;e4=:;l2i65o=;<1f<<=g3349n4>47a09>7`>221k=70=j728;e0=:;l==65o>;<1f3fj03m<523d`2>=g6349nn>47a29>7`d?21k=70=ja78;e0=:;lk365o=;<1fef03m8523d;b>=g3349h:h47a09>7f0a21k:70=k4987=`=:;lkn6<>87:p7`?4290?w0=j958f3a=:;l2i65o9;<1fegf927:i9>515`:?xu6f:27:i>h515`;?xu3im81<7f:27>>=95e6f8yv2?f;27?;9>58`0891>3k3o=0h8019699;:b6>;30?<14l<4=5:5e?>f:27?48858`0891>0032j863;86f9019693;:b6>;3?l<14l=4=5f:`?>f927?;h?58`38911aj32j?63;8269;30:h14l?4=5:0`?>f927?4>k58`3891>3832j=63;8519;30<914l84=5:7a?>f=27?49758`6891>0132j:63;d879;3im:14l:4=55f3?>f:27?;hh58`08911b=32j?63;7g4976n3:?73=>=0h9019ola;:b0>;3?:>14l=4=5:6a?>f;27?4;?58`1891>1<32j?63;877976n3:?7<0`=0h90196:d;:b7>;30f;27?4:=58`1891>0<32j?63;8639;30?:14l=4=5:63?>f;27?48658`1891>2132j?63;8469m76n3:?7<21=0h9019686;:b7>;30<;14l=4=5f3`?>f;27?mo858`189115n32j?63;a`f9a}:=;k?65o;;<6405=g634><4547a09>025321k:70:k0e8;e1=:=g43ty>>l=50;6x904f<3o=0h;0q~;<0`83>=}:=;o:6h9k;<71=a=g334?95<47a49>17c421k?70;=158;e0=z{<83i7>56z?66;2:l>14l<4=40:4?c0l27>>4958`38904e032j86s|4cc5>5<68r7?no758`1891dej32j?63;bcf9;3jj?14l=4=5``3?>f:27?n<=58`3891d7j32j=63;b1a9;3iol14l?4=5`b2?77?>1v9lm8;296~;3jk31i:j4=5``g?4al2wx8oln:18182ejk0n;i524ca`>7`b3ty?nom50;0x91del3oinh4?:3y>0gda2l=o70:mcb8045=z{=hh<7>52z?7ff7=m>n019llc;135>{t1<7`1c34>ion4:c29~w1dcj3:1?v3;72;9;k0q~:me883>6}:<>9265o;;<6b`df=27?mio58`7891d5k3<896s|4cg0>5<4s4>0dbf21k=70:m33855c=z{=hm87>523y>025>2l=o70:nd48;e0=:<1;265o;;<6;=0=g234>35l47a49>0=?d21k>70:79e8;e1=:<1:865o>;<6;62k:9c0?82?8l03m<5249c3>=g134>3=l47a79>0=4421k>70:7258;e0=:<1;?65o:;<6;50=g234>3==47a79>0=7621k>70:7038;e7=:<>ln65o<;<64bc=g234>3m>47a49>0f2b21k870:704824d>ihh4?:3y>0g`?21k870:m2g857<=z{=hno7>52z?7fc>=0h>019l=9;40f>{t6=4={<6ab=f>27?n><562:8yv2en>0;6<:t=5`e>?32j=63;88`9;3iki14l?4=5:1f;27?45832j863;7269019ol1;:b6>;3l=:14l=4=5f0f;27?h<858`1891b5k32j?63;d329jh<4?:by>022721k:70:nd18;e6=:=g534><>k47a39>0dgc21k970:nab8;e0=:<>>:65o>;<714<>;6h9k;<6420=g234><9<47a49>023421k970:8548;e7=:<>?<65o=;<641<=g534><:<47a39>021>21k970:87c8;e7=:<>=o65o=;<643c:9c1?8200:03m?5246:6>=g534><4:47a39>020e21k870:86g8;e6=:<>=865o<;<6432=g234>jn947a59>0dd421k>70:ma28;e1=z{<;h?7>511y>0dec21k:70:na58;e4=:;<6gf1=g634>jh=47a09>0g7d21k870:nfg8;e6=:=019on7;:b5>;3ih214l?4=5cb=?>f927?mnk58`38yv20000;6iu24`ag>=g434>jm947a79>0g7721k=70:88e8f3a=:=g234>jm:47a79>0dg?21k=70:na88;e3=:;3?=o1i:j4=5`34?>f=27?n=?58`7891d7;32j963;b169;3j9214l;4=5`3=?>f=27?n=o58`789111=32j>63;d1f9i=i4?:4y>0g652l=o70:m3381e4=:;<6a6<<5i8168of<27?nll58`1891d6832j>63;ab29{t0n;i524c33>=g23ty?n?850;7x91d783o{t7g734>i>k4=a19~w1d6m3:19v3;b119a2b<5=h8>7;3j;l1>l<4}r6a5c<72;:d5g?82e;;09m>524c0`>7g434>i>44=a29>0g4a2;k87p};b3294?3|5=h;97k8d:?7f64=:h?019l=c;0b1>;3j;31>l;4=5`1b?4f=2wx8o<>:18682e8?0n;i524c11>7g134>i>n4=a79>0g4>2;k=70:m2g81e3=z{=h9>7>55z?7f51=m>n019l<2;0b3>;3j;i1>l94=5`1=?4f?27?n?h52`58yv2e::0;68u24c2;>`1c34>i??4=a99>0g4d2;k370:m2881e==:;3j:81>l74=5`1g?4f127?n?752`;891d5n38j56s|4c06>5<2s4>i0g552;kj70:m2b81ed=:f>27?moh58`489115n32j963;ac69n0q~:86583>4>|5===97k8d:?731e=0h?0199:0;:b1>;3?<814l;4=5560?>f=27?;8858`789112032j963;74c9o76n5:?730c=0h?019990;:b1>;3??814l;4=554e?>f=27?;:m58`789110m32j963;792976n5:?73=2=0h?019976;:b1>;3??i14l;4=5544?>f=27?;::58`789110032j963;77:9<:;4?:0:x9111?3o<76n6:?7304=0h<0199:4;:b2>;3?<<14l84=556f>27?;8o58`489112k32j:63;74g9;3?>i14l84=554a?>f>27?;5>58`48911?:32j:63;7969;3?>>14l84=554f>27?;;658`48yv2fjo0;69u2466a>=g134>jnk4j7e9>0dd121k=70:nb68;e3=z{<8n>7>59z?7eg?=0h80196n7;:b0>;2:l81==98;<71a652493e>=g434>3<=47a39>0a7f21k87p};ac:94?g|5=ki57k8d:?7<52=0h;0196=5;:b5>;30;214l?4=5:2a?>f927?mn?58`3891b3:32j?63;d2496}:<>9j65o<;<647gf<27?;>l58`6891d513<856s|4cf0>5<4s4>025e21k>70:m2b857==z{=hn87>53z?736g=0h<0199;3j:81:?o4}r6ab0<728;308314l84=5:32?>f927?4=658`0891>>m32j=63;8169;308k14l:4=5:11?>f:27?mok58`0891>6832j>63;8109;<6;e6524b73>=g43ty?;>o50;ax91gc=32j=63;8879;30h:14l=4=5:17?>f927?moj58`3891>6k32j>63;72`9a2b<5=2;m76n1:?7<5d=0h80q~:mf083>77|5=ko976n2:?7<4?=0h;0199<6;g4`>;309<14l84=5::=?>f;27?44o58`1891>>k32j>63;88f9;308k14l=4=5:17?>f:27?4?:58`3891>6<32j>63;8079;308:14l:4=5:25?>f:27?4=<58`7891>7?32j=63;7gg90196>b;:b5>;30h;1==o7;<6`a052492b>=g534>3?u24`f6>=g434>0=7>21k>70:7078;e7=:<13265o;;<6;=g524920>=g434>3>:47a29>0=6c21k?70:70d8;e6=:<1;j65o=;<6;66=g234>jnn47a29>0ddc21k870:7118;e4=:<1;:65o<;<6;e1524924>=g534>02`a21k:70:71c8;e7=:<1k965o=;<6;e652492b>=g234>39u24`f6>=g334>0=7>21k970:7948;e1=:<13<65o;;<6;435249;`>=g434>3<>47a39>0=4021k?70:70e8;e7=:<1:n65o:;<6;e5=g434>3=947a29>0=7221k870:nbc8;e6=:=g434>30=6021k870:8fd8;e3=:<>lm65o<;<6;5g=g434>h8l47a29>0=6f21k870:70c8;e6=z{=hm?7>524y>0db221k=70:8398f3a=:<1;265o<;<6;=0=g134>35l47a79>0=?d21k?70:7028;e0=:<18<65o9;<6;4aj:9c5?82?i903m852493b>=g234>3>>47a79>0=4321k?70:7158;e1=:<1;>65o=;<6bfg=g434>3=<47a09>0=g321k?70:7038;e1=:<1:<65o;;<64b`=g334>3m?47a59>0=g421k870:le88;e6=:h65o<;<6;4dm:9c7?xu3im>1<7u2461`>=g434>0g4a2?937p};bd`94?5|5==8o76n4:?736b=0h>019l=9;40e>{tm58`489114l32j:63;b209263ij;4?:04x9114k3o;309>14l;4=5:3`?>f>27?4?<58`0891>6<32j=63;8079;30;214l<4=55ea?>f:27?;kh58`4891>f;32j:63;d54976n3:?7`7>=0h9019m:2;:b7>;309k14l84}r6a`=<72;q68:=8:9c0?82e:o0==h5rs5`f1?6=:r7?;>958`6891d513<9m6s|4cf3>5<5s4>0g4d2?;m7p};bd394?4|5==8;76n6:?7f7`=>l30q~:md783>7}:<>9>65o<;<6a6f<1;k1v9llf;296~;3?:?14l:4=5`1=?06m2wx8okk:181820;<03m8524c0:>3c>3ty?nih50;0x9114=32j:63;b3d926gih44?:3y>025?21k870:m2g855c=z{=hn47>52z?736>=0h>019l=9;401>{tf>27?n><560g8yv2?910;6>u2493:>`1c34>3<547a59>0a2321k87p};be594?4|5==8:76n3:?7f7e=>l30q~:md`83>7}:<>9=65o;;<6a6<<19o1v9lje;296~;3?:<14l;4=5`1g?06m2wx8ok?:181820;?03m;524c0e>35e3ty?;>m50;:x91>>=32j>63;8859;308i14l?4=550`?c0l27?4=l58`38yv2?1=0;69u249;6>`1c34>35i47a79>0=6021k=70:7288;e0=z{=22:7>53z?7<<1=m>n01966d;:b1>;309=14l;4}r6a4c<72:q68o?<:9c5?82e990n;i524c35>=g13tyi>k4?:3y>0ag42l=o70:ka587=`=z{=l9h7>57z?7ef0=0h8019jne;:b1>;3ihl14l:4=5fb1?>f;27?49758`7891cf=3ojo84?:5y>0de12l=o70:nc98;e3=:1v9j68;292~;30=i14l<4=5fa2?>f927>=:l58`389070832j=63;d8:9551034>on:47a09~w11313:18v3;75c9a2b<5==?:76n5:?73c?=0h90199ia;:b0>{t<>>86=4<{<6403<884?:3y>02212l=o70:8e68;e6=z{=<3j7>5az?7312=0h;019860;g4`>;301;14l=4=55e0?>f<27?45=58`18910?k32j>63;7d19{t<12=6=4l{<6;<=0n;i5249:`>=g634>34i47a09>0=>221k:70:7808;e4=:<12;65o>;<6;<7=g63ty?:5l50;6x91>?032j?63;8979n0q~:78883>f}:<12365o9;<6;=g134>34847a59>0=>621k>70:7818;e3=:<12965o9;<6;<`138oh63:38496ab<5<92?70}:<12i6h9k;<70=<<5lo169>79:3fe?8341:09hk5252;3>7ba3ty>?k>50;7x91>?k3o{t=:l:6=4:{<6;76:3g2?8341?09i<5252;0>7c634?85=4=e09~w05bl3:19v3;8979a2b<5<9257;2;0:1>im4}r65q68;6j:d5g?820lo03m85249:0>=g334>47a29>0=>321k870:8f88;e1=:<>lj65o<;|q73c4=83?p199i3;g4`>;3?o?14l=4=5c;4?>f<27?;h>58`68911a03;;m55rs41e1?6==r7?45?5e6f8905>138n963:38496`3<5<92?76}:<>9m65o:;<6g36f;27?4l85e6f891>1k32j?6s|494g>5<5=r7?4;k5e6f891>1k32j963;8449?76n3:?7<2?=0h>019961;:b0>;3?0814l:4=55bf=27?;lj58`78911>>32j963;7869;3?k>14l<4=55af:27?;o=58`08911e:32j>63;7`59;3?0314l?4=55:1?>f927?;4o58`38911ei32j=63;7c;901996f;:b5>;3?h914l?4=55b=?>f927?;ll58`38911f=32j=63;7`393}:<>io65o<;<66=a=g434?85=492`9~w1gc>3:19v3;8239;3im=1n5l4}r6be4<72;ip196<1;:b7>;30:914l=4=5:53?>f;27?4;658`1891>1132j?63;8749;30>n14l=4=5:4b?>f;27?4:k58`1891>1;32j?63;83a9;30>314l;4=5cb6?c0l27?48k58`0891>1932j>63;8769;30f:27?4:<58`0891>0;32j>63;8669;30?l14l<4=5:54?>f:27?48958`0891>2032j>63;84;9876n2:?7<0g=0h8019687;:b6>;30><14l<4=5:65?>f:27?48<58`08yv2?;90;6nu24912>`1c34>3?947a49>0=5121k>70:7368;e0=:<19265o:;<6;7g=g234>38>47a49>0=2221k>70:7468;e0=z{=njj7>54z?7<65=0h;019jm0;g4`>;30;i14l?4=5fa7?>f;2wx85==:18`82?;:0n;i524917>=g334>3?;47a59>0=5021k970:7388;e7=:<19i65o=;<6;7a=g534>38847a39>0=2021k97p};79c94?4|5==3n7k8d:?73=c=0h>0q~:7c283><}:<1i?6h9k;<6:77=g634>2:o47a09>0<1?21k:70:7f68;e7=:;31hi1i:j4=5cbb?>f;2wx84=>:182=~;31:81i:j4=5:a=?>f<27?5?858`7891?2?32j863;9769=0h;01978b;:b1>;3i8>14l?4=5:`2?>f>27?59958`7891?f<32j:63;a3c9;3if>27?m:<58`4891??132j963;a339;31j314l?4}r6:66<721q684on:d5g?82?k:03m>5249f6>=g434>2h847a79>0<3>21k870:6678;e6=:<0=865o<;<6:6gf927?5k958`3891>e032j>63;8g`9d;:b5>{t<08?6=4n{<6:05=l03m?52484a>=g534>2;547a39>0<5?21k:70:64g8;e4=:<1nj65o>;<6:b<63;97;97}:<09>6h9k;<6becf;27?58m58`1891?1132j?63;964902b?21k970:6708;e4=:<<2j65o=;<64e17<:71;?xu2;kl1<77t=55`a?>f;27?4n858`68913>m32j>63;8b;9;3>ki14l;4=41:=?04i2wx9>m?:184820ko03m>5249f7>=g534>3hn47a09>00?a21k970:8ac8;e6=:14l=4=5;07?>f:27?5>758`38913f<32j>63;7c39h03m95246cf>=g634>02d721k:70:8b08;e4=z{<9h>7>56z?73a7=0h9019;n1;:b6>;3?ho14l:4=5:e0?>f=27?4ko58`38905>83<846s|52g1>5<5s4>3::4j7e9>16?72<837p}:3c694?3|5==h>76n3:?7e5>=0h?019;63;:b6>;3i9i14l:4=41:2?0402wx848j:18182>?90n;i52484e>=g23ty?5l850;cx91?f?3o576n4:?7=30=0h>019783;:b0>;31o914l84=5:bb?>f927?4k>58`3891?6932j=63;93392994?:2y>0<312l=o70:6f68;e0=:<0?>65o:;|q7;30k<14l:4}r6:e=<72?q684o6:d5g?82>l<03m?5249`0>=g534>3i847a39>0<6121k970:6178;e7=z{=3==7>52z?7=35=m>n019792;:b1>{t=:kh6=48{<64`<=g334>24947a79>02g121k870;<92857<=z{<9ih7>56z?73fe=0h9019o82;:b0>;3=0i14l<4=5c41?>f927?;l;58`18905>13<856s|52a0>5<1s4>00g521k970:8ag8;e1=:<0:>65o:;<6:4=7?:71:?xu2;l91<7?4>553;8yv34j<0;68u246a0>=g434>j=947a49>00?321k970:n188;e1=:=:3=6;=6;|q7=db=83;31on14l<4=5:bb?>f<27?4k>58`6891?6932j863;933902bf21k870:6918;e6=:<<2h65o=;<6:=1:9c5?820ih03m>5252;0>35f3ty>?n:50;4x911c;32j?63;5`198;:b6>;2;0:1:>o4}r70a1<72;q68586:d5g?834190>>l5rs41a2?6==r7?;n:58`1891g5932j963;5879:k0q~:64g83>6}:<0?;6h9k;<6:0`=g434>>m=47a39>02gc21k970:7e58;e0=:<1o<65o=;<70=5<1;<1v8=mb;292~;3?j314l=4=5c6e?>f<27?94o58`0891g2l32j=63;7`39w0:8d68;e7=:<0;<66<<83?996s|52`1>5<3s4>070::908;e7=:=:3=6;=:;|q7=17=83?p197;2;g4`>;30m?14l<4=5;e1?>f927?59m58`3891?5j32j=6s|52g6>5<5s4>3:l4j7e9>16?72<8i7p}:3`g94?1|5==on76n3:?7=;31h814l:4=5;:`?>f>27?;lm58`18905>;3<8n6s|481:>5<5s4>2?l4j7e9>0<5621k=7p}:3c594?3|5==h976n3:?7e7g=0h>019;66;:b6>;3i;n14l?4=41:2?04j2wx9>l6:185820k>03m>524`61>=g334>>5547a39>0d2221k:70:89e8;e6=:=:326;?i;|q67g5=83;31o214l:4=57:6?>f:27?;4858`0891?ai32j=63:382924`02b121k970:6568;e4=:<7<:73e?xu2;k:1<7;t=55g`?>f;27?5om58`78913?n32j>63;9b39f:p0=3f2903w0:7578;e0=:<1=365o:;<6;3`5246;5>=g434><5947a29>0=3e2l=o7p}:3e194?4|5=2>:7k8d:?67<6==9l0q~;3}:<>i=65o<;<6b73=g434?854491d9~w05a03:1;v3;7cd9;30f>27>?4>56918yv34i10;6:u246`e>=g434>2ih47a59>00>021k870:8958;e7=:<1?>65o<;<6:ac7?:73f?xu2;j<1<78t=55g1?>f;27?59k58`78913f=32j>63;94398o0q~;0}:<>nh65o<;<6:e1j903m<5252;5>37b3ty>?l750;7x911dj32j>63;8c;9{t=:h:6=4:{<64f`=g334?85;492`9~w05ek3:1:v3;7bc9;3?h914l=4=41:=?0402wx85j7:18082?l00n;i5249g3>=g334>j<=47a59~w1>c>3:1>v3;8e59a2b<5=2n<76n3:p0=1>290>w0:7798;e3=:<1=o65o9;<6;3c83;:9:5252;3>472>27>?4>51076?834190:=8:4}r6;3g<72:q6859k:9c7?82??o03m952495`>`1c3ty>?h750;7x91>0l3o4>1448905>;3;:985252;0>472<2wx9>km:18682??o0n;i5252;:>472?27>?4751075?834100:=8;4=41:=?76==1v8=ja;291~;30>o1i:j4=41:2?76=>169>79:0362>;2;0<1=<;:;<70=3<69<>0q~;7}:<1<86h9k;<70=5<29h1v8=ma;292~;3?j214l=4=5c7a?>f<27?94758`0891g2932j=63;78d9;3?l214l?4=55fg?>f927?;ho58`38911b?32j=63;7dd9;3?=814l:4=55fa?>f:27?ml958`6891gf032j863;a`;9=m>n0q~:ma`83>6}:m:9c7?xu3j9n1<7=t=5`3a?c0l27?n=m58`7891dfn32j96s|4c6;>5<4s4>i0g2>2l=o70:mag8;e1=z{==?<7>52z?7365=0h?0199;1;g4`>{t<>996=4>7z?7365=m>n0199;f;:b2>;3?<;14l84=5567?>f=27?;8;58`789112?32j963;74;9n76n5:?730b=0h?0199:f;:b1>;3??;14l;4=554=?>f=27?;:l58`789110l32j963;76d9;3?1=14l;4=555f?>f=27?;;h58`789110;32j963;7659n584?:01x91be>3o;290914l84=43;f?>f>27>=4<58`48907>932j:63:1829;291o14l84=43;4?>f>27>=5o58`489070<32j:63:1979p19h=9;:b7>;3?kh1i:j4=5gb1?>f>27?j?m58`48yv33910;6>u24g0:>=g234>nm847a49>16>b2l=o7p}:16094?3|5<;3h76n5:?65=e=0h?018?7b;:b7>;291314l:4=43;=g234?:;:47a49>141121k>70;>978;e0=:=83>65o:;<7236:9c6?836?90n;i5rs43;5?6=1r7>=4:58`78907>;32j963:1809;291o14l;4=43;4?>f=27>=5<5e6f8yv36?h0;64u250:4>=g234?:4;47a49>14>321k>70;>828;e0=:=8=o65o:;<723f`1c3ty?ho850;dx907??32j:63:16:9;29><14l84=43;7?>f>27>=4858`489070l32j:63:16a9;29>o14l84=5fa3?c0l2wx>>14l:4=30ae?>f9279>l658`38974fk32j=63=2c;9;5:jo14l;4=30``?>f=279>nh58`78974c832j963=2e3976n5:?16a5=0h?01?;5:m?14l;4=30g2?>f=279>i958`78974c132j963=2e:9;5:mn14l;4=30ga?>f=279>ih58`78974b832j963=2d3976n5:?16`2=0h?01?;5:l?14l;4=30f2?>f=279>h958`78974b032j963=2d;9;5:hl14l?4=30b`?>f:279>n658`389755j3;;;:5223`4>=g63489n?47a29>66??21k970<<2b8;e3=:::3=65o>;<715c1i:j4=30;e?>f9279>5=58`18974?032j96s|3c0;>5<5s4894;4j7e9>175>21k97p}=1ca94?55s48:=947a59>642e21k:70<>388;e4=::89o65o>;<020d<:::9c6?846=m03m852207`>=g2348:9k47a49>643b21k>70<>618;e0=::8<:65o:;<0227<8<:9c6?846>=03m8522046>=g2348::;47a49>640021k>70<>698;e0=::8<8m:9c6?846>j03m852204g>=g2348::h47a49>640a21k>70<>718;e0=::8=:65o:;<0237<9<:9c6?846?<03m8522057>=g2348:;;47a49>641021k>70<>798;e0=::8=265o:;<023d<9m:9c6?846;>03m<52201e>=g6348:8=47a09>645b21k970<>588;e4=::8hh6<>87:?151>=0h;01??;3;:b7>;5:;314l<4=403`?>f>279=oj58`489745?32j=6s|2036>5<3s48:=94j7e9>647e21k:70<>128;e6=::8;265o:;|q156d=83=p1??;b;:b1>;59:k1i:j4=330=?>f=279=>j58`489773i32j963=23;9?ol:9c5?845j003m85222;;>=g23488>n47a59~w740;3:18v3=23`9{t::o96=4;{<00=d>7?:9c1?8441;03m?5222a1>`1c3ty?8ok50;7x912en3oh;76n2:?70f3=0h8019:ma;:b0>{t<=k96=4={<67e3f;27??k958`08915a032j>63;3g;9;3;0=14l?4=51:=?>f<27?8=;58`08915e13;;;:5rs51`3?6=mr7??k958`38915a032j=63;3g;9;3;h=14l=4=51bf;27??l=58`18915e032j=63;3`49;976n1:?77f1=99=<70:55z?77d2=0h;019=n7;:b5>;3;h214l?4=51b7?>f927??l<5e6f8yv24i<0;68u242c7>=g334>8m:47a59>06g?21k?70:;3f927?8k958`38912a>32j=6s|426f>5<4s4>88i4j7e9>063321k970:<548;e4=z{=9?o7>52z?771d=m>n019=:8;:b2>{t<:>j6=4<{<600<:9:9c5?824=>0:;=:d5g?824=g134;<8o4j7e9>523721k=70?8558;e3=:9><;65o9;<3421?03m;521653>=g134;<;947a79>521521k=70?8778;e3=:9>2;65o9;<34<1=g134;<5947a79>52?521k=70?8978;e3=:9>k;65o9;<34e17c397459a2b<58=>:76n6:?230e=0h<01<9:a;:b2>;6?f>27:;;m58`489411i32j:63>77g9;6?>o14l84=05;f>27:;5m58`48941?i32j:63>79g9;6?0o14l84=05bf>27:;lm58`48941fi32j:63>7`g952d721k=70?8b08f3a=:9>h?65o9;|q2<1b=833p1<6k1;:b1>;60=i1i:j4=0a12?>f=27:49l58`7894dd?32j863>bba9{t91h?6=4=1z?2n01<6mc;605>;60kh18>?4=0:ae?24927:4o75423894>e03>8=63>8c59067<582n47:<1:?2<`1=<:;01<6j6;605>;60l?18>?4=0:f0?24927:4i75423894>dk3>8=63>8b39067<582i:7:<1:?2;60jn18>?4=0:`f?24927:4no5423894>d13>8=63>8b:9067<582h;7:<1:?2;60j>18>?4=0:`7?24927:4n<5423894>d83>8=63>8cd9067<582ii7:<1:?27}:;o?<6h9k;<1bl>2;296~;4n<21i:j4=2c:f;2wx?o?<:18185a=00n;i523`c2>=g43ty8n<:50;0x96`2i3o7c3e2l=o70=nac8;e6=z{:h::7>52z?0b7>=m>n01>om0;:b7>{t;k;<6=4={<1e6<:9c0?xu4j831<758`18yv5e9h0;6?u23g13>`1c349j5<47a29~w6d6j3:1>v376n3:p7g7d2909w0=i358f3a=:;h3865o<;|q0f4b=838p1>h<5;g4`>;4i0>14l=4}r1a5`<72;q6?k=9:d5g?85f1<03m>5rs2`2b?6=:r78j>95e6f896g>>32j?6s|3c03>5<5s49m?44j7e9>7d?021k87p}7}:;o9o6h9k;<1b=dl=4;296~;4n=81i:j4=2c:`?>f;2wx?o<::18185a<:0n;i523`;f>=g43ty?>=850;0x96`5=3o9<:4?:3y>7c412l=o70=ifg8;e0=z{:l>o7>54z?0bc`=0h<01>h=4;g4`>;2:8=14l;4=53ea?>f=2wx8=>9:18g85ano0n;i523gd4>=g234>;oo47a39>05b421k?70:?d48;e1=:<;:865o;;<6140=g134>;ok47a59>05e121k=70:?de8;e3=z{=82h7>52z?76d6=0h?019<6f;g4`>{t<;k:6=4={<61e54k58`08yv25>m0;6?u24353>`1c34>9:h47a09~w14413:1hv3;2c39019<;3::=14l;4=500f?>f:27?>=l58`689143=32j863;2c19=76n5:?766?=m>n019<;d;:b2>;3:==14l:4}r61f5<72;q68?l>:d5g?825<:03m;5rs500b?6=;r7?>>k58`089143n32j>63;2509a2b88;:b7>;58><14l=4=3240?>f;279<:<58`189760832j?63=07;993;:b7>;58?;14l=4=326b?>f;279<8j58`189762k32j?6s|142b>5<4s4;><44j7e9>506d21k:70?:098;e7=z{8?;>7>55z?2156=0h901<;?1;g4`>;6=9o14l<4=0730?>f<27:9=858`68yv5e;80;6?u215df>`1c349j5o47a79~w6d483:1>v3>4gf9a2b<5:k3i76n6:p7g4a2909w0?;fb8f3a=:;h2865o:;|q0f7c=838p1<:ib;g4`>;4i1814l;4}r1a6a<72;q6=9hn:d5g?85f0903m85rs2`1g?6=:r7:8k75e6f896g1>32j96s|3c0a>5<5s4;?j54j7e9>7d3721k>7p}m;7k8d:?0e1`=0h?0q~=m3983>7}:9=l=6h9k;<1b<4l<7;296~;6f>2wx?o=9:181873n=0n;i523`c5>=g13ty8n>;50;0x942a;3o51`52l=o70=na38;e3=z{:h8?7>52z?20c7=m>n018<<9;:b1>{t;k996=4<{<37ac5e6f89046n32j:63:2329o;4?:4y>60e02l=o70<:c`8;e4=::;<06gf8mm:9c2?xu5<><1<7;t=3643?c0l2798:658`389720l32j=63=46a9<576n1:p61?d290nw0<;8e8;e4=::==365o<;<073a99l:9c0?843?003m>5225a`>=g6348?oi47a09>61eb21k:70<;d18;e4=::=ii65o=;<073g9jn:9c2?8431j0:<:94=36;b?>f92wx>99n:186843?103m952255g>=g3348?;n47a59>611>21k?70<;7c8f3a=z{;?2?7>55z?11<2=m>n01?;63;:b1>;5=0i14l<4=37:e?>f:27995h58`68yv42?>0;6?u2245a>=g6348>;54m8c9~w72?m3:1nv3=4ef9ho76n2:?10fb=0h801?:le;:b6>;5f;2798ih58`689721k32j=63=47g9om76n2:?10=c=99=<7p}>c6194?2|5;>o<76n3:?2g3?=m>n01?:kf;:b7>;5<0n14l<4}r077a<72:q6>9;m:9c2?843;l0n;i522503>=g33ty98<:50;6x9722j32j>63=4c799<76n3:?1042=99=<7p}=42`94?5|5;>8o7k8d:?106d=0h;01?:{t:??86=46{<0;435>=:9c6?840nj03m?522927>=g534862`>21k:70<8fd8;e0=::1:;65o:;<04e`f9279;km58`3897>7<32j=63=7gc9;5?k81i:j4}r0515<720q6>5>9:9c0?84?8;03m?5226d`>=g23483<947a59>62`f21k?70<8f88;e7=::>ln65o;;<0;45:o=:d5g?xu5><;1<77t=3:32?>f<2794=<58`18971ak32j?63=816901?9ie;:b6>;509:14l=4=35b2?c0l2wx>;;=:18:84?8?03m8522921>=g33486=6321k870<8f`8;e0=::>l265o:;<04b`5>?:9c7?840ih0n;i5rs3c54?6=1r795k858`3897?c;32j863=6g`9;5i?;14l;4=3c5a?>f=279m;>51154?xu5i:k1<76t=3;e2?>f:2795i=58`4897g0832j963=a7g9;5i:k1==98;|q1e3>=833p1?7i6;:b7>;51m914l?4=34ef?>f<279:km58`78971f032j863=7`;959z?1=c0=0h>01?7k3;:b6>;5>oh14l?4=34eg?>f;279;lm58`68971fl32j?63=7c697??769>6d1421k:7p}=a7594?g|5;3m:76n5:?1=a5=0h901?8ib;:b7>;5>oi14l:4=35b0?>f<279;l;58`18971e<32j?63=a7f95510348j;=47a59>6d0b21k:7p}=a7c94?>|5;3m:76n6:?1=a5=0h?01?8ic;:b5>;5?k:14l:4=35a5?>f;279;o:58`3897g0<3;;;:522`56>=g63ty95k;50;6x97?a>3o6}::0l?65o>;<0:`64j>:9c0?xu5i?<1<7kt=3;e0?>f>2795i?58`68970aj32j963=6ga9;5?k>14l:4=3c5f?77?>16>l9?:9c0?84f>803m?522`4f>=g5348j:n47a09>6d3b21k:7p}=9g194?4|5;3m87k8d:?1e0b=0h;0q~d}::0n:65o=;<0:gcl9>:9c1?84f>803m<522`4f>=g1348j:n47a59>6d1221k>7087:?1e0`=0h;0q~<6d183>7}::0n:6h9k;<0b26f;2795k>58`0897?c132j863=9ef9;51lh14l;4=3;f3?>f<2795k<58`7897?cn32j863=9d7946|5;=3n76n2:?13=g=0h801?979;:b6>;5?1214l<4=35;3?>f:279;5858`08971?<32j>63=7839;5?1n14l<4=35;g?>f:279;5;58`0897d5m3o63`e2l=o7052z?12ce=m>n01?o85;:b0>{t:??m6=4<{<04`24ml:9c1?841j?0i4o5rs347b?6=:r795nj58`08970?<3o5<1s482ok4j7e9>6d132=2o70l8m:5:g?xu5mm:1<7;t=3gg6?>f9279ii?5e6f897cc>32j?63=ee:9;5mkk14l;4=3gaa?>f=279io858`7897ce032j>63=ec69;5mj<14l;4=3g`a?>f=279ino58`7897cd832j>63=eb:97=4f21k:70=8d88f3a=:;18265o>;<1;7f52391b>=g53493>:47a39>7=5?21k?70=7328;e1=:;18m65o<;<1;744>32j>63<83;9n01>6=5;:b7>;40:?14l?4=2:0e?>f<2784?958`6896>4032j>63<82196=d;:b5>;40;214l=4}r151d<72oq6?5`1c3493>447a59>7=5d21k970=7248;e4=:;19>65o=;<1;7d=g43493>k47a09>7=5621k970=72e8;e1=:;18365o=;|q020>=83op1>6<6;:b7>;40;314l<4=25ge?c0l2784>m58`1896>5=32j>63<82796<8;:b7>;40:914l?4=2:1b?>f:2784>?58`3896>5l32j?63<83:9o7k8d:?022?=0h;01>66c;:b5>;4>>h14l<4=24;5?>f:278:;;58`089601j32j>63<67d9897;:b5>;4>>n14l?4=24;7?>f9278:5;58`389600n32j=63<9849731421k970=9708;e0=:;?>:d5g?850=g534935n47a29>731e21k870=9808;e6=:;?<>65o<;<152gm03m>52374:>=g3349=::47a59>731c21k?70=9828;e1=:;?2>65o;;<153c1?03m85rs2;77?6=?r78::=58`689600932j?63<8129a2b<5:=?876n2:?023d=0h?01>89e;:b6>;4>?i14l:4}r1:03<72>q6?5><:d5g?851?>03m952366f>=g5349=;447a49>731f21k970=97e8;e6=:;?=m65o=;|q0=6b=83887;:b5>;4>>?14l:4=25ee?c0l278;>l58`089600132j?63<66`963<663901>88a;:b7>;4>1:14l=4=2450?>f;278:;o58`189601m32j?63<67a988c;:b1>;4>1814l;4=24;0?>f=278::k58`7896?fj3o731021k870=9748;e7=:;?=365o<;<1;=f=g1349=:o47a79>730c21k=70=9698;e7=:;?<=65o=;<153f=g53ty859;50;5x9600?32j963<6679n01>888;:b0>;4>>h14l:4=244`?>f:2wx?4ol:1826~;4>>=14l84=2441?>f;278::758`6896>>k32j>63<66c989a;:b1>;4>?o14l;4=245g?>f=2785lm5e6f89601132j:63<6759875;:b2>;4>>l14l84=2;:2?>f<2wx?4:8:18485?8=0n;i523673>=g5349=;547a39>731f21k?70=9808;e4=:;?=h65o;;<153c7;a;293~;4?o<1i:j4=2562?>f:278:5>58`689601=32j=63<69092}:;>l26h9k;<141fk03m<52374:>=g4349=:;47a59>73>321k87p}<95094?1|5:=mj7k8d:?0227=0h801>9;2;:b6>;4>?k14l:4=245b?>f:278:;m58`089601032j?6s|3862>5<0s49722721k970=96`8;e7=:;??03m>5rs2;7g?6=?r78;k65e6f89612i32j>63<6769872;:b7>;4>1?14l;4}r1:05<72>q6?:hk:d5g?850;l03m?523746>=g2349=:o47a59>730c21k:70=9698;e1=:;?<<65o:;|q020c=83;8w0=83d8;e4=:;>9i65o>;<1400=g6349<8h47a09>723721k:70=8578;e4=:;>?j65o>;<141f=g6349=:>4j7e9>722121k:70=8498;e4=:;>>j65o>;<140f9ib;2956}:;>9n65o;;<14bf=g3349<9547a59>723521k?70=84d8;e1=:;>?;65o;;<1413=g3349<8?47a59>722321k?70=8478;e1=:;>>365o:;<140df=27854;51154?xu41=21<79t=25e0?c0l278;8<58`089600j32j963<693988e;:b0>{t;0>i6=48{<14b2=g3349=::47a39>73>421k>70=9858;e1=z{:3?57>57z?03c3=m>n01>9:4;:b6>;4>1:14l<4=244g?>f;278:5=58`18960?=32j>63<66d973>f2l=o70=6a`8;e7=:;087b;g4`>;4>f>2785;m58`3896?1i32j=63<8e09711d21k:70=;2c8f3a=:;==i65o>;<17<`03m>5235:`>=g5349?;447a39>71>f21k?70=;848;e1=:;=2:65o<;<17<663<46`93i76n4:?007b=m>n01>:87;:b7>;4<1=14l?4=26;g?>f<2788:758`68962?i32j>63<49793=76n4:?00=5=0h901>:8f;:b5>;4<>k14l=4}r11f5<72oq6?99l:9c0?8530103m<52350f>`1c349?;o47a59>71>b21k970=;768;e4=:;=2<65o=;<17=g4349?4<47a09>71>421k970=;7g8;e1=:;==j65o=;|q06dc=83op1>:78;:b7>;4<>h14l<4=261g?c0l27885k58`189620?32j>63<49593o76n3:?002?=0h901>:7a;:b7>;4<1?14l?4=26;5?>f:27885=58`389620n32j?63<46c97k8d:?06f`=0h;01>:je;:b5>;4:m;14l<4=20g3?>f:278>ol58`08964d932j>63<2b79;4:m914l?4=20g=?>f9278>il58`38964c=32j=63<5d49594?:7y>77e>21k970==c68;e0=:;;i?65o;;<11g603m95233ae>=g5349?ih47a29>77b621k870==d68;e6=:;;hi65o<;<11g45233`e>=g33499ni47a59>77b421k?70==d88;e1=:;;ni65o;;<11`0n758`68964d?32j?63<4409a2b<5:92m76n2:?06f7=0h?01>;4:j814l:4}r16=3<72>q6?9;::d5g?855km03m95232c7>=g53499ok47a49>77b721k970==d28;e6=:;;n>65o=;|q01=b=83;4:jh14l:4=267g?c0l278?4?58`08964dn32j?63<2e39j54?:00x964dl32j>63<2b5901>;4:m<14l=4=20ae?>f;278>n>58`18964d<32j?63<2b09;4:m214l;4=20ge?>f=278>i:58`78963aj3o77ec21k870==cc8;e7=:;;in65o<;<17a`:9c5?855l>03m;5233`a>=g13499o<47a79>77e421k=70==bd8;e7=:;;hh65o=;<11`7=g53ty894;50;5x964dl32j963<2b`9n01>;4:m;14l:4=20g7?>f:2wx?8hl:1826~;4:jn14l84=20`f?>f;278>nh58`68962bm32j>63<2e29;4:j>14l;4=20`6?>f=2789km5e6f8964en32j:63<2cf9;4:m?14l84=27f2?>f<2wx?878:184853=?0n;i5232c5>=g53499oh47a39>77b721k?70==d68;e4=:;;n965o;;<11`0;6a;293~;4<=21i:j4=21bg?>f:278>i858`68964ej32j=63<2e:92}:;=>i6h9k;<10f7=g43499nn47a59>77bf21k87p}<58094?1|5:>>=7k8d:?06f1=0h801>=68;:b6>;4:j:14l:4=20`1?>f:278>n<58`08964em32j?6s|34;2>5<0s49?9=4j7e9>76?121k970==c18;e7=:;;i>65o;;<11g65rs27:g?6=?r7889o5e6f8965e832j>63<2cc9;4:mh14l;4}r16=5<72>q6?9:i:d5g?8541=03m?5233`a>=g23499o<47a59>77e421k:70==bd8;e1=:;;ho65o:;|q06g2=83;8w0=<958;e4=:;:3:65o>;<10=gon:9c2?854il03m<5232c;>=g63498m947a09>76g121k:70=;<10f779:9c2?8541103m<5232;b>=g63499n44j7e9>76?d21k:70=<9d8;e4=:;:k;65o>;<10e7:;d;2956}:;:3?65o;;<170`7>:9c7?8541k03m95232cb>=g33498mh47a59>76g?21k?70=l?:9c7?854j;03m95232;5>=g334985547a59>76?f21k?70=<9b8;e1=:;:3n65o:;<10e5o=:9c6?xu4=m91<7f=2789h;51154?xu4=021<79t=2672?c0l278?l658`08964c932j963<2e59{t;<3i6=48{<170<oj:9c1?855l>03m85233`a>=g33499ni47a39>77b>21k>70==d`8;e1=z{:?257>57z?0011=m>n01>=na;:b6>;4:m<14l<4=20g6?>f;278>i758`18964cj32j>63<2e7977c72l=o70=:f`8;e7=:;;4:k814l?4=26f`?>f>2789om58`38963ei32j=63<537975b521k:70=?808f3a=:;9n:65o>;<13a15231g1>=g5349;ok47a39>75c721k?70=?dc8;e1=:;9n<65o<;<13`<63<0e39n01>>ld;:b7>;48mn14l?4=22f6?>f<27863<0e`9>k5;:b5>;48m:14l=4}r0e47<72oq6?=j=:9c0?857ll03m<5231:7>`1c349;h<47a59>75c321k970=?ce8;e4=:;9no65o=;<13a7=g4349;h:47a09>75b>21k970=?d48;e1=:;9n;65o=;|q1b56=83op1>>ke;:b7>;48m;14l<4=22;6?c0l27863<0ef976n3:?04f`=0h901>>j0;:b7>;48mh14l?4=22g3?>f:2784?:00x97`6j32j=63=f0;9?;4;:b5>;5n;914l<4=3d1=?>f:279j=j58`0897`6;32j>63=f059;5n;?14l?4=3d1f?>f9279j?j58`3897`5?32j=63<22a96c7e21k970k?m:9c0?84a9003m9522g02>=g5349:8947a29>6c4421k870k?8:9c0?84a9<03m>522g32>=g3348m6c4221k?70k6;:b6>;5n8>14l:4}r12af<72>q6?=om:d5g?84a9o03m9522gd5>=g5348m><47a49>6c4521k970f;:b5>;5n8n14l:4=22b6?c0l279jh=58`0897`5932j?63=f31963=f0;901?h=2;:b7>;5n;214l=4=3d3g?>f;279j<<58`1897`6>32j?63=f069;5n;k14l;4=3d1g?>f=279j?858`78964293o6c7a21k870k<<:9c5?84a:003m;522g2g>=g1348m=>47a79>6c7221k=70k=g53ty8=hl50;5x97`6n32j963=f0f9n01?h=0;:b0>;5n;914l:4=3d11?>f:2wx??;=:1826~;5n8l14l84=3d2`?>f;279j??58`689673<32j>63=f3092;:b1>;5n8<14l;4=3d20?>f=278>8<5e6f897`6932j:63=f1d9;5n;=14l84=200g?>f<2wx?=g5348m>=47a39>6c4521k?70?i0;293~;480o1i:j4=3dea?>f:279j?658`6897`7l32j=63=f3c9f283>2}:;9k:6h9k;<1341k>l:9c7?84a9:03m<522g32>=g4348m6c4d21k87p}<1d:94?1|5::j;7k8d:?1b4?=0h801?hja;:b6>;5n8814l:4=3d23?>f:279j<:58`0897`6832j?6s|30g4>5<0s49;m;4j7e9>6cc?21k970k?>:9c6?84a8l03m>5rs23e6?6=?r785e6f89667:32j>63=f1a9;5n;n14l;4}r12a3<72>q6?=o::d5g?84am?03m?522g2g>=g2348m=>47a59>6c7221k:70;<0eaakhl:9c2?8578903m<522gdb>=g6348mj;47a09>6c`?21k:70;<1341kk7:9c2?84amh03m<522gg`>=g6348m6ccb21k:70;<0eb1>n3;2956}::oo=65o;;<13e1kk<:9c7?84amm03m9522gd`>=g3349;<=47a59>6c`f21k?70=:9c7?8578=03m9522gg;>=g3348mil47a59>6ccd21k?70kh;:9c6?xu4:;31<7f=278>>l51154?xu49lo1<79t=22:g?c0l279jko58`0897`5;32j963=f3;9{t;8l:6=48{<13=c?:9c1?84a:003m8522g2g>=g3348m6c4e21k>7057z?04n01?hic;:b6>;5n;214l<4=3d10?>f;279j?l58`1897`5l32j>63=f3596c552l=o70==518;e7=:;;;965o=;|q1b65=83;5n9>14l?4=2377?>f>278><<58`389646832j=63<16`9d569551034;hnl47a09>5fd>21k:70?lb98;e4=:9jh<65o>;<3`f3=g534;ho>47a39>5fe521k970?lc08;e7=:9ji;65o=;<3`fc=g534;o8847a39>5fdd21k:70?lbc8;e4=z{8n8h7>517y>5a2721k970?k4082421<58iim76n3:?2gg?=0h901;6kk=14l=4=0aa2?>f;27:oo;58`1894ed=32j?63>cb69;6kj:14l=4=0aab?>f;27:ook58`1894ee<32j?63>ccf9;6l=914l?4}r3eed<72;q6=kon:d5g?87aj903m<5rs0dbf?6=:r7:jll5e6f894`e832j>6s|1gc`>5<5s4;mmn4j7e9>5cd721k87p}>f`f94?4|58ljh7k8d:?2bg6=0h>0q~?iad83>7}:9okn6h9k;<3ef5f>2wx?ho6:18085bi00n;i523dca>=g5349n5o47a39~w6cfi3:1?v37}:;j<:6h9k;<1`21m94;296~;4k?>14l;4=2a56?c0l2wx?nk6:18685dmh0n;i523bgf>=g6349h=i47a39>7a1721k870=j498;e3=z{:inm7>55z?0g`d=m>n01>mje;:b6>;4k8n14l=4=2f44?>f<278i9658`78yv5dmk0;68u23bg`>`1c349hih47a29>7f7c21k?70=k718;e0=:;l>365o;;|q0g`e=83?p1>mjd;g4`>;4klo14l:4=2a2`?>f>278h:>58`4896c3032j?6s|3b2f>5<4s49h7`>d21k870=l108;e1=z{:i;j7>53z?0g5`=m>n01>k7c;:b0>;4k8;14l;4}r1ff0<72:q6?hl::d5g?85bj>03m<523d;:>=g43ty8io850;1x96ce>3ol;0;g4`>;4j=<14l84}r1a05<72;q6?o:>:d5g?85e32j86s|3c61>5<5s49i8>4j7e9>7g2121k87p}7}:;k>>6h9k;<1a03`1c3ty8o<>50;6x96e7?32j>63n0q~=k0c83>1}:;j:<65o;;<1`4fn:9c0?85c8k0:<:94}r1`43<72;q6?n>8:d5g?85d8m03m85rs2a25<2s49h=n47a59>7`>221k?70=j718;e6=:;lk>65o;;<1`5am>9;296~;4k8i1i:j4=2a2a?>f>2wx5o<50;0x96e313o7f2421k=70=l678;e6=:;j>=6h9k;|q6647=838p18<>2;g4`>;2:8=14l=4}r3f`3<72:q69??<:9c2?87bl?0:<:94=0gg3?>f92wx9??=:1818359:0n;i525337>=g63ty>><:50;0x9046=3o17712l=o70;=168;e7=z{h:>6=4={<0`04n:?:9c5?xu2:831<7f;27>>=g234?9v3:2339b2909w0;=1b8;e3=:;h2n6h9k;|qa46<72;q69??l:d5g?8359k03m>5rs2c;b?6=:r7>>5<5s4?9=o47a79>7d362k2i7p}n0q~78d;296~;2:;l1i:j4=401a?>f;2wx?l7l:181835:l03m8523`;`>`1c3ty8m;750;0x9045m32j:634?:3y>175021k870;=358a52z?6661=0h?01>o6f;g4`>{t;h=;6=4={<7172f;27>>>75e6f8yv5fi90;6?u2531a>=g2349jm=4j7e9~w6g0;3:1>v3:22`95rs2cb1?6=:r7>>>k58`7896gf=3o5<5s4?9?h47a79>7d1>2k2i7p}76n6:?0ed0=m>n0q~763;296~;2:=81i:j4=4075?>f;2wx?lo8:181835<803m8523`c4>`1c3ty8m:m50;0x9043932j:63175c21k=70=na38f3a=z{03n6=4={<717af=278ml=5e6f8yv5f??0;6?u2531`>=g1349j;;4m8c9~w6b703:1>v3n01>m>6;:b5>;4k9k14l;4=2`ef:2wx?ohk:18185d890n;i523b22>=g33ty8il=50;4x96c?132j96301>kn8;:b1>;4mh>1i:j4}r1f<<<72;q6?h66:d5g?85b1903m>5rs2g;e?6=:r78i5o5e6f896c?l32j:6s|3d;g>5<2s49n4i47a59>7`d521k?70=j948;e6=:;l3<65o<;<1f=`k7d;296~;4m1n1i:j4=2g:4?>f:2wx?h7?:18185b0l0n;i523d;3>=g23ty8i5?50;0x96c?93o7`>52l=o70=j878;e1=z{:o3?7>52z?0a=5=m>n01>k76;:b6>{t;l2?6=4<{<1f<15rs2g;1?6=:r78i5;5e6f896c?>32j?6s|3d53>5<5s49n;=4j7e9>7`1621k?7p}n0q~=j7083>7}:;l=865o9;<1f34f=2wx9?=g13ty8mi=50;0x9044832j?63>eeg92=3172e2l=o70;=4b8;e3=z{<8??7>52z?661e=m>n018<;d;:b6>{t;hn?6=4={<710a>8>58`48yv35`1c34?99<47a39~w6gc=3:1>v3:2439;2:>895e6f89042032j:6s|5374>5<5s4?9954j7e9>173>21k97p}576n6:?2aac=>130q~;=5`83>7}:=;?i6h9k;<711ff:2wx?lj7:181835=m03m;521dff>3>f3ty>>8k50;0x9042n3o17072l=o70;=608;e7=z{:ko57>52z?6637=0h<01{t=;<96=4={<7126>;;58`08yv5flh0;6?u25346>=g134;nhh49f`9~w043<3:1>v3:2569a2b<5<8?976n6:p17222909w0;=448f3a=:=;>=65o=;|q0ec6=838p18<;6;:b2>;6mmo1:l?4}r7102<72;q69?:7:d5g?835<003m85rs407>975e6f89043i32j:6s|3`d2>5<5s4?98l47a29>5`bb2?k97p}7}:;l=<6h9k;<1f3`k8c;296~;4m>i1i:j4=2g4a?>f;2wx?h9k:18185b?m0n;i523d5f>=g53ty8i;l50;0x96c1j3o7`0d2l=o70=j6e8;e0=z{:oi=7>52z?0ag7=m>n01>km2;:b5>{t;lh96=4={<1ff7f9278i475e6f896c>j32j:6s|3d`0>5<5s49nn>4j7e9>7`df21k87p}f:2wx?n86:18185d>h0n;i523b4f>=g23ty8o;o50;0x96e1j3o7f0d2l=o70=l6d8;e3=z{0h86=4={<1`030;6?u23dc5>`1c349nmn47a79~w6cfj3:1>v3knc;:b0>;4mhh1i:j4}r1f=2<72;q6?h7<:d5g?85b1j03m?5rs2g:e?6=:r78i4;5e6f896c>k32j?6s|3d;a>5<5s49n5:4j7e9>7`?f21k=7p}7}:;l3h65o9;<1f=glia;296~;4jok1i:j4=2`ef?>f>2wx5ok50;0x96dan3o7g`b21k?70=k17824217g`d21k970=k15824217>52z?0fce=m>n01>li6;:b1>{t<1:>6=4={<6;437:9c0?xu309<1<7f=27?4=95e6f8yv2?8>0;6?u2492;>`1c34>o=947a49~w1b6;3:1?v3;81;90a7321k:7p};d0794?5|5=2;576n2:?7`43=99=<70:k178;e7=z{=n:;7>53z?7<5?=0h9019j>7;3332=:;3l831==98;<6g5db;297~;309314l;4=5f2f?77?>168i?l:9c1?xu>lm0;6?u2466e>`1c34><8n47a29~w?;65o<;|q:a2<72=g234>jm547a49>0dg>21k>7p};88:94?4|5=2257k8d:?7<0q~:79883>7}:<13j6h9k;<6;=gf;27?4u249;`>`1c34>35h47a39>0=7b21k87p};88a94?5|5=22h7k8d:?7<0196>e;:b1>{t=8o>6=4={<6;=`=g134>3v3;8169a2b<5=im=76n3:p0=412909w0:7268f3a=:<18365o;;|q7<5e=838p196?d;g4`>;3l;814l=4}r6;4a<72;q685>j:d5g?82c:?03m>5rs5f2`?6=;r7?4=h58`3891b6l3;;;:524e3f>=g53ty?h7n32j>63;d0d9551034>o>=47a39~w1b593:1?v3;81d90a4521k97p};d3194?5|5=2;j76n4:?7`75=99=<70:k258;e7=z{=n997>53z?7<5`=0h?019j=5;3332=:;3l;=1==98;<6g6=f=2wx5ko50;0x91>6i3oo?k4?:2y>0=7021k:70:k3g82421<5=n?<76n2:p0a262908w0:7168;e7=::6<>87:?7`14=0h80q~:k4283>6}:<1;<65o<;<6g06<68>=019j;4;:b6>{t>6=4<{<6;52;3l=<14l<4}r6g02<72:q685?8:9c6?82c<>0:<:94=5f7f:2wx85<>:18182?:;0n;i524906>=g43ty?4?<50;0x91>5;3o3>>4?:3y>0=432l=o70:7248;e1=z{<:=o7>53z?7<73=m>n019ol1;:b0>;3k9h14l=4}r6;51<72;q685?;:d5g?82c;l03m>5rs5:21?6=:r7?4<;5e6f891b4k32j?6s|4e16>5<4s4>3=;47a09>0a5228:<;63;d249o?:4?:2y>0=7121k970:k3682421<5=n8476n2:p0a5>2908w0:7178;e6=:87:?7`6g=0h80q~:k3c83>6}:<1;=65o;;<6g7g<68>=019j{t;3l:o14l<4}r6bfg<72;q68llm:d5g?82fjl03m>5rs5cag?6=:r7?mom5e6f891gem32j86s|4``g>5<5s4>jni4j7e9>0ddb21k>7p};acc94?5|5=kii7k8d:?7<76=0h?019ol1;:b7>{t<1;;6=4={<6;55u24931>=g634>o>44>065891b5i32j>6s|4e0a>5<4s4>3=?47a39>0a4e28:<;63;d3a9o>i4?:2y>0=7521k870:k2e82421<5=n9i76n2:p0a4a2908w0:7138;e1=:87:?7`66=0h80q~:k3083>6}:<1;965o:;<6g74<68>=019j<2;:b6>{t;3l:>14l<4}r6a7f<72;q68ol;:9c7?82ekj0=:i5rs5`0`?6=:r7?no:58`7891ddk3<=i6s|4c14>5<5s4>in947a79>0ged2?<37p};bc194?3|5=hi87k8d:?7fg1=0h;019ol0;:b6>;3?:;14l84=5`ba?>f:2wx8o=7:18182ej<03m9524ca`>30>3ty?n>850;0x91de=32j963;bba9237i?44?:3y>0gd221k=70:mcb852d=z{=hi87>55z?7fg3=m>n019lm7;:b6>;3ij:14l=4=5505?>f;27?nlk58`18yv2e;h0;6?u24c`5>=g334>ion496c9~w1d4j3:1>v3;bc49;3jk=14l=4=5c`4?>f<27?;>?58`6891dfm32j86s|a1494?4|5=h:=7k8d:?7f46=0h>0q~:m1483>7}:14l:4=5fba?>f927?ho=58`3891>3m32j>63;7c`9n8:p0de32909w0:nc58f3a=:;3ij214l;4}r6bg=<72;q68lm7:d5g?82fk003m95rs5f:f?6=:r7?h4l5e6f891b>l32j86s|4e;`>5<5s4>o5n4j7e9>0a?c21k97p};75594?2|5==m<76n1:?73`e=0h90199;8;g4`>;3?ln14l=4}rc3b?6=:r7?;k>5e6f8911bn32j?6s|a3694?4|5=23<76n3:?7<=2=m>n0q~;0}:<12;6h9k;<70=<<5lk169>79:3fa?8341:09ho5252;3>7be3ty?;h<50;0x911b<32j963;7d19a2b4?:3y>02c32l=o70:8e48;e4=z{==oi7>52z?73a`=m>n0199j1;:b0>{t<>nm6=4={<64a4f927?;k65b9`8yv20n80;6?u246d1>`1c34>v3;7g69a2b<5==m976n6:p02`22909w0:8f48f3a=:<>l=65o>;|qb7<<72;q6856<:d5g?82?0;03m>5rs41e2?6==r7?45<5e6f8905>138om63:38496ag<5<92?7f<2wx9>h=:18682?0l0n;i5252;:>7c534?85;4=e39>16?42;o970;<9181a7=z{h?36=4={<6;=4?4752d18905>>38n?63:38196`5<5<92<7;300814l=4}r70b1<727c334?85>4=e59>16?72;o?7p}n7b83>7}:<>o26h9k;<64a=f<2wx8i8k:18182c>l0n;i524e50>=g13ty?h;k50;0x91b1n3oo:k4?:3y>0a172l=o70:k728;e7=z{=n<<7>52z?7`27=m>n019j83;:b1>{t`1c34>3>l47a79~w1>5m3:1ov3;83c9n0196<8;:b0>;30:k14l:4=5:0g?>f<27?49<58`6891>4n32j863;85390196;8;:b0>{t<18i6=4l{<6;6d=g234>3?l47a49>0=5d21k>70:7438;e0=:<19m65o:;<6;04=g23ty>?l>50;7x91>5i3o{t<18h6=4={<6;6f`1c34>3?847a79~w05f93:19v3;8279a2b<5<9257;2;0:1>l94}rcb3?6=:r7?4?h5e6f891>5m32j:6s|ac294?4|5=2847k8d:?7<61=0h<0q~;0}:<19<6h9k;<70=<<5i1169>79:3c;?8341:09m55252;3>7g?3tyjni4?:3y>0=5f2l=o70:7388;e3=z{<9j?7>55z?7<6?=m>n018=69;0b=>;2;0<1>l74=41:7?4f127>?4>52`;8yvgc:3:1>v3;82a9a2b<5=28n76n6:p16g3290>w0:73c8f3a=:=:326?on;<70=3<5ih169>7<:3cb?8341909ml5rs`fe>5<5s4>38?4j7e9>0=5c21k=7p}:38a94?3|5=28h7k8d:?67;2;091>l?4=41:4?4f92wxmhk50;0x91>4n3o0=5b2l=o70;<9881eg=:=:3=6?om;<70=6<5ik169>7?:3ca?xue8=0;6?u24962>`1c34>38=47a79~w05f>3:19v3;8529a2b<5<9257;2;0:1>l>4}r`3=?6=:r7?49:5e6f891>3;32j:6s|52;g>5<2s4>38>4j7e9>16?>2;k970;<9781e7=:=:386?o=;<70=5<5i;1vo>n:18182?=g13ty>?4k50;7x91>3=3o{tj9h1<7`1c34?8544=a49>16?12;k>70;<9281e0=:=:3;6?o:;|q7`dc=838p19jne;g4`>;3lk>14l84}r6gf4<72;q68il>:d5g?82cj:03m;5rs5fa6?6=:r7?ho<5e6f891be;32j86s|4e`0>5<5s4>on>4j7e9>0ad321k:7p};8`:94?4|5=2ij7k8d:?77}:<1i865o:;<6;g4f=2wx85j>:18182?l;03m85249f2>`1c3ty?4i<50;0x91>c:3o3h>4?:3y>0=gf2l=o70:7ac8;e3=z{=2jm7>52z?7n0196m9;:b1>{t=8:<6=4={<6;f28:0243>{t=8;26=4<{<6;f263;9769=0h<019o>4;:b2>;3i;;14l84=5;f3?>f>27?5om58`4891?d132j:63;8`f9a2b<5=3:476n3:?7=5>=0h90196j7;:b7>;30k?14l:4}r6;f=<72;q685l6:9c0?82?j10n;i5rs433`?6=;r7?5?858`1891g5k32j963:11f955103ty>==o50;0x91?5>32j863:11c955103ty?5?;50;0x91?5>3o2?o4?:3y>0<5421k870:6308f3a=z{=3??7>52z?7=65=m>n0197=d;:b7>{t<09n6=4j{<6:7<=803m>5248db>=g434>2n=47a29>070:7c88;e0=:=g234>j:447a49>0d1221k>7p};92a94?c|5=38576n5:?7=6b=m>n0197:1;:b1>;31ok14l;4=5;a4?>f=27?5hh58`6891>d132j863;a3f9019o:1;:b0>;3if<27?m:;58`68yv2><=0;6?u2481:>=g134>2?54j7e9~w1?5?3:1>v3;92;9a2b<5=39n76n5:p0<4b2909w0:62e8;e0=:<08i6h9k;|q7ad5=838p196k4;:b1>;6m=;18ho<;|q7;30m<14l:4}r6;`g<72;q685jl:9c1?82?lk0n;i5rs5:ge?6=:r7?4im58`4891>ci3o5<5s4>3hn4j7e9>0=b121k>7p};8ef94?4|5=2oh7k8d:?77}:<1nn6h9k;<6;a5=g23ty?4h?50;0x91>c=32j:63;8d39a2b3h84?:3y>0=b22l=o70:7d78;e3=z{<;:87>53z?7;298>1==98;|q7=a7=838p197ja;g4`>;31ln14l<4}r73bd<72:q684kj:9c0?82>i103m<5251db>460?2wx84j;:18182>ml03m;5248gg>`1c3ty?5ho50;0x91?bm3o2ih4?:3y>0<`b2l=o70:6fg8;e3=z{=52z?7=c`=0h>0198jd;`;f>{tmk0;6ou24`23>=g134>3oh47a79>0d5421k=70:n3g8;e3=:i65o9;<6b12o03m;524`5a>=g134>2>n47a59>07}:<0n>6h9k;<6:a6f;2wx8l>?:18182>m:03m95248g3>g>e3ty?5i;50;0x91?b;3o2ii4?:3y>070:6eg8f3a=z{=3o:7>52z?7=`2=m>n019k<2;6:a>{t;3=:k14l=4=57f2?>f<27?48l58`3891>0k32j96s|4d13>5<1s4>2i847a39>0`5728:<;63;52c9{t:0243>;3=:k14l84=57f2?>f927?48l58`1891>0k32j86s|4d11>5<1s4>2i847a59>0`5528:<;63;52c901968c;:b7>{t;3=:k14l?4=57f2?>f=27?48l58`7891>0k32j=6s|4870>5<5s4>2994j7e9>0<3?21k>7p};94:94?4|5=3>576n5:?7=0>=m>n0q~:65883>7}:<0?26h9k;<6:1a=j0n;i52487g>=g33ty?58j50;0x91?2l3o29h4?:3y>0<3b2l=o70:6568;e0=z{<;;47>52z?7=0`=0h9018??8;3332=z{<;:m7>53z?7=0`=0h>019o;4;:b5>;298k1==98;|q7=0`=838p197:f;g4`>;31=<0n;i5rs5;54?6=:r7?5;?5e6f891?1=32j96s|4846>5<5s4>2:;47a49>0<022l=o7p};97494?4|5=3=:7k8d:?7=3g=0h?0q~:66983>7}:<0<265o:;<6:2=f<2wx848n:18182>>h0n;i52484a>=g13ty?5;l50;0x91?1j3o0<0d21k870;>07824210<0d21k?70:n5b8;e4=:=8;36<>87:p0<0d2909w0:66b8f3a=:<0<965o>;|q7=34=838p19794;:b7>;31?81i:j4}r6:2a<72;q6848j:d5g?82>?;03m85rs5;46?6=:r7?5:=58`7891?0:3o5<5s4>2;>4j7e9>0<1021k>7p};96794?4|5=3<:76n5:?7=23=m>n0q~:67783>7}:<0==6h9k;<6:32=1i:j4=5;4f>2wx8497:18182>?10n;i524852>=g23ty>==;50;0x91?0132j?63:117955103ty>=<950;1x91?0132j863;a7:9v3;96;9a2b<5=3=j76n1:p0<0a2909w0:6708;e6=:<0;3ik:14l84}r6f==<72;q68l>7:9c1?87b<80?i464}r6b44<72;q68l>7:d5g?82f8>03m85rs5;ag?6=0r7?m=75e6f891g6:32j863;a0d90197l7;:b0>;3i9?14l?4=5c32?>f92wx8l>k:18182f8;0n;i524`20>=g13ty?m=<50;0x91g7;3oj<>4?:3y>0d6021k970:n058a53z?7e51=0h90196i8;:b1>;298:1==98;|q7;30o=14l:4}r6;ba<72:q685hj:d5g?82>8903m;524822>=g13ty?4lk50;5x91?7:3o;319=14l=4=5;23?>f;2wx85hj:18182?n?03m85249de>g>e3ty?4k:50;0x91>a>32j:63;8g79a2b3j84?:3y>0=`12l=o70:7f68;e6=z{=2mm7>52z?7{t<1l36=4={<6;b2u248d;>=g434>2no47a09>147428:<;6s|48d4>5<5s4>2j547a79>0<`02l=o7p};9g:94?4|5=3m47k8d:?7=c0=0h>0q~:6fc83>7}:<0lo65o:;<6:bgf=2wx84h=:18182>n;0n;i5248d0>=g23ty?5k=50;0x91?a;3o2j94?:3y>0<`221k=70:6f58f3a=z{=3m97>52z?7=c3=m>n0197i6;:b2>{t=8::6=4={<6:b3>:0243>{t<0lj6=4={<6:b3`1c34>3mk47a49~w1>em3:1>v3;8`d9;30k;1i:j4}r6;f5<72;q685l<:9c7?82?j;0n;i5rs5:a5?6=:r7?4o=5e6f891>e<32j:6s|49`1>5<5s4>3n94j7e9>0=d121k=7p};8da94?4|5=2nh7k8d:?7<`>=0h>0q~:7ed83>7}:<1om6h9k;<6;b5=g23ty?4k?50;0x91>b=32j?63;8d19a2b3i>4?:3y>0=c221k?70:7e58f3a=z{=2n87>52z?7<`3=m>n0196j6;:b2>{t<1o>6=4={<6;a38o0;6?u24833>`1c34>2=<47a49~w1?7m3:1>v3;9039;319>1i:j4}r6:41<72;q684>9:9c7?82>8<0n;i5rs5;31?6=:r7?5=85e6f891?7?32j:6s|4825>5<5s4>2<:4j7e9>0<6>21k>7p}:10494?5|5=36;3332=z{<;;87>52z?7=2d=0h>018??4;3332=z{=352z?7=2d=m>n019773;:b2>{t<02>6=4;{<6:<01k03m>5248:2>=g63ty?5:j50;0x91?0m3o2;h4?:3y>0<1a2l=o70:6808;e3=z{=o257>52z?7e42=0h80152z?7e42=m>n019o>3;:b0>{t6=4={<6b53`1c34>j=?47a49~w1g603:1>v3;a0093;:b5>;319k14l;4=433b?77?>1v9kn2;296~;30j<14l=4=0g75?2bi;1v96l6;296~;30j<1i:j4=5:`f=2wx85mn:18182?kh0n;i5249a4>=g33ty?4nl50;0x91>dj3o3on4?:3y>0=eb21k970:7cb8f3a=z{=2hi7>52z?7n0196l7;:b1>{t<1im6=4={<6;gcu249a;>=g634>24447a29>147228:<;6s|49a:>5<5s4>3o547a59>0=e>2l=o7p}:10`94?5|5=3?;76n3:?7e6>=0h;018?>b;3332=z{<;;57>52z?7=11=0h>018??9;3332=z{=3?:7>52z?7=11=m>n0197;d;:b2>{t<0><6=4={<6:0``1c34>28n47a79~w1?303:1>v3;95a9;31=n14l:4}r6:14<72;q684:k:9c0?82>=80n;i5rs433g?6=:r7?5l:58`189077k3;;;:5rs5;b7?6=:r7?5l:5e6f891?f032j96s|48`2>5<5s4>2n?4j7e9>07}:<0h=6h9k;<6:e0f<2wx84l<:18182>i?03m;5248`7>`1c3ty?5l;50;0x91?f>3o2mk4?:3y>052z?7e7g=0h90152z?7e7g=m>n019o=c;:b2>{t=58`38yv2f;90;6?u24`10>=g534>j?<4j7e9~w1g493:1>v3;a219a2b<5=k9n76n5:p0d542909w0:n358f3a=:;3i;i14l=4}r6b6d<72;q68l858`1894c393>n5n5rs5c01?6=:r7?m>85e6f891g4032j96s|4`1:>5<5s4>j?l4j7e9>0d5021k?7p};a2c94?4|5=k8n7k8d:?7e6`=0h;0q~:n3b83>7}:f=2wx8l=i:18182f<90n;i524`14>=g13ty?m9>50;0x91g4?3oj?;4?:3y>0d5?21k?70:n388f3a=z{=o2h7>52z?7e14=0h90152z?7e14=m>n019o;4;:b1>{t>6=4={<6b03=g534>j844j7e9~w1g313:1>v3;a5`9a2b<5=k??76n5:p0d2e2909w0:n4b8f3a=:865o9;|q7e1e=838p19o;3;g4`>;3i=>14l84}r6b07<72;q68l:;:9c7?82f<<0n;i5rs5g:a?6=:r7?m9k58`1894c393>n5h5rs5c7`?6=:r7?m9k5e6f891g2832j96s|4`72>5<5s4>j9?4j7e9>0d2a21k?7p};a4094?4|5=k>?7k8d:?7e01=0h;0q~:n5583>7}:f=2wx8l;8:18182f=10n;i524`6e>=g13ty?m8650;0x91g3n3oj8h4?:3y>0d3721k?70:n508f3a=z{=o2j7>52z?7e0g=0h90157>52z?7e0g=m>n019o:c;:b1>{t90;6?u24`40>=g534>j:<4j7e9~w1g193:1>v3;a719a2b<5=k>n76n5:p0d042909w0:n658f3a=:;3inm=5rs5c51?6=:r7?m;85e6f891g1032j96s|4`4:>5<5s4>j:l4j7e9>0d0021k?7p};a7c94?4|5=k=n7k8d:?7e3`=0h;0q~:n6b83>7}:f=2wx8l8i:18182f?90n;i524`44>=g13ty?m:>50;0x91g1?3oj:;4?:3y>0d0?21k?70:n688f3a=z{=oj=7>52z?7e24=0h90152z?7e24=m>n019o84;:b1>{t6=4={<6b33<1<7=g534>j;44j7e9~w1g013:1>v3;a6`9a2b<5=k;3i>>14l84}r6b37<72;q68l9;:9c7?82f?<0n;i5rs5;2`?6=:r7?55<5s4>2>=4j7e9>0<4621k>7p};90g94?4|5=39=76n6:?7=4`=m>n0q~:62183>7}:<08:6h9k;<6:529?03m9524836>`1c3ty?5<;50;0x91?6>3o2=;4?:3y>0<702l=o70:6188;e0=z{<;;?7>52z?7==?=0h>018??3;3332=z{=3347>52z?7==?=m>n019760;:b1>{t<0396=4={<6:=70m0;6?u248:f>`1c34>24k47a49~w1??i3:1>v3;99d9b2909w0:68g8f3a=:<03;65o9;|q7a;6m=;18h7n;|q7e4e=838p19o=1;g4`>;3i;:14l:4}r6b67<72;q68l<<:d5g?82f9o03m>5rs5c12?6=:r7?m5<5s4>j=h4j7e9>0d7a21k=7p};a3694?4|5=k:j76n5:?7e70=j1h0q~:n1d83>7}:f=27>==k51154?xu3m0=1<7f:27:i9?54d;4?xu31l91<7m<0;6?u248g:>`1c34>2hi47a29~w1?b>3:1>v3;9e59a2b<5=3om76n6:p0=838p197k9;g4`>;31mk14l:4}r6:a<<72;q684jn:d5g?82>lm03m85rs5;g3?6=:r7?5il5e6f891?ck32j96s|48f;>5<5s4>2hn4j7e9>01083>6}:<0nn65o>;<6;a<:0243>{t=9li6=4={<6:=3{t<03>6=4={<6:=31>0;6?u248;:>`1c34>25l47a79~w1?>13:1>v3;98c9a2b<5=32n76n5:p0;310i14l84}r724g<72;q684ll:9c7?8368k0:<:94}r6:f2<72;q684ll:d5g?82>jk03m95rs5;a`?6=:r7?5ok5e6f891?ei32j?6s|48a1>5<5s4>2n54j7e9>021k=7p};9c:94?4|5=3i57k8d:?7=gg=0h?0q~:6c183>7}:<0hj65o9;<6:g7f=2wx88<=:1814~;30<914l:4=5717?c0l27?;4?58`08911>:32j>63;7c790199m4;:b0>;3?k214l:4=55a7?>f<27?;o<58`68911f?32j863;7829=0h?019969;:b1>;3?0?14l;4=55:e?>f=27?;oo58`78911e132j963;7819;3?hk14l?4=55bg?>f927?;l858`38911f:32j=63;78g9{t<1?;6=4={<6;16f:27:i9?54d;5?xu31j>1<7kh0;6?u248aa>`1c34>2o:47a29~w1?dn3:1>v3;9b79a2b<5=3h:76n6:p0;31jl1i:j4}r6:g3<72;q684m8:d5g?82>k103m85rs4326?6=;r7?5n658`3891>ej32j963:100955103ty?hl<50;0x91bf=32j=63;d`09a2bom84?:3y>0ag22l=o70:ka68;e0=z{=nj:7>52z?7`d0=m>n019jn7;:b2>{t=g134>om54j7e9~w1>3i3:1>v3;85g9w0:74d8f3a=:=:326:o8;<70=3<0i>169>7<:6c4?8341903l3o0}:<1>26h9k;<70=<<0i<169>79:6c6?8341:02g23ty24?4?:3y>0=1f2l=o70:7788;e6=z{0286=4={<6g=30=0;6?u24c6b>`1c34>i8447a79~w<>22909w0:8528f3a=:<>?965o;;|q:<3<72;q68:;::d5g?820==03m95rs8:4>5<5s4><9:4j7e9>023121k?7p}68883>7}:<>?26h9k;<641==g33ty24o4?:3y>023c2l=o70:85b8;e1=z{02h6=4={<641c0m0;6?u24642>`1c34><:=47a59~w<>b2909w0:8788f3a=:<><965o;;|q:5<5s4><;i4j7e9>021d21k?7p}69083>7}:<>=m6h9k;<643`=g33ty2594?:3y>02>42l=o70:8838;e1=z{03>6=4={<64<01?0;6?u246:4>`1c34><4;47a59~w11113:1>v3;77c9a2b<5===n76n2:p020f2909w0:86c8f3a=:<>;3??l14l<4}r642`<72;q68:8i:d5g?820?903m>5rs5545?6=:r7?;:<5e6f89110;32j>6s|4651>5<5s4><;>4j7e9>021321k87p};76794?4|5==<:7k8d:?7321=0h80q~:87783>7}:<>=<6h9k;<643=1i:j4=5552?>f;2wx8:87:181820>?0n;i52464;>=g43ty25:4?:3y>0dcd2l=o70:nec8;e1=z{0336=4={<646`100;6?u24`gb>`1c34>ji447a59~w;5;kl14l;4}r00f`<72;q6>>li:d5g?844k;03m;5rs31ab?6=:r79?n>5e6f8975d932j:6s|22a3>5<5s488o<4j7e9>66e521k:7p}=25g94?4|5;8?j7k8d:?1606=0h?0q~<=4g83>7}::;?;6h9k;<0116f>2wx>?;>:181845=;0n;i522370>=g63ty25o4?:3y>016e2l=o70:;0`8;e3=z{=>:i7>52z?704`=m>n019:=1;:b0>{t<=;m6=4={<6765:9c6?xu3;=l1<7=t=5164?c0l27??8:58`389152=32j:6s|1b7e>5<5s4;h:=4j7e9>5f0c21k?7p}>c7294?4|58i=m7k8d:?2g3b=0h90q~?l6`83>7}:9jf>2wx=n8l:18187d>m0n;i521b4:>=g43ty:o;j50;0x94e1m3oc7395f0a2l=o70?l608;e6=z{8i=j7>52z?2g26=m>n01{t9j=;6=4={<3`34:9c5?xu6k>;1<70;69u21b41>=g434;h9=47a29>526d21k?70?j86824215f0521k?70?l518;e1=:9>::65o;;<3f=c<68>=0q~?j9283>1}:9j<965o:;<3`15=g334;n;o4>0658yv7d>80;6?u21b41>`1c34;h:547a49~w4c?93:18v3>c719=76n3:?235>=0h>0154z?2g35=0h>01;6>on14l:4=0g:=?77?>1vf=27:;<>58`6894c?l3;;;:5rs0a56?6=:r7:o;=5e6f894e1032j?6s|1b47>5<5s4;h:547a79>5f022k2i7p}>c7794?4|58i=47k8d:?2g3?=0h<0q~?l4e83>7}:9j>n6h9k;<3`1df;2wx=n;8:18187d=10n;i521b7b>=g23ty:o8650;0x94e213oc4c95f3f2l=o70?l578;e6=z{8i>m7>52z?2g0d=m>n01{t9j?i6=4={<3`1f`1c34;h8k47a79~w4e2m3:1>v3>c5d9a2b<58i>:76n5:p5f2a2909w0?l518f3a=:9j?>65o:;|q2g06=838p1;6k=g334;n4l4>0658yv7bi;0;69u21b71>=g334;<<>47a59>5f0021k?70?ja3824215f3521k>70?9fc8;e1=:9j<<65o:;<3f=3<68>=0q~?j7d83>1}:9j?965o9;<34435rs0g;0?6=c749k3:18v3>c41954z?2g05=0h?01<9>2;:b0>;6k?=14l84=0g:4?77?>1vf:2wx=n;<:18187d==0n;i521b76>=g13ty:o8:50;0x94e2=3oc44952z?2343=m>n01<9>4;:b2>{t91386=4<{<34515<5s4;<=>4j7e9>527521k=7p}>88094?5|58=:>76n5:?25c2=?1k01<6l7;3332=z{:h;=7>52z?2344=m>n01>o65;:b0>{t1h:1<758`48yv7?180;6>u21633>=g234;:j<488`9>5=e128:<;6s|3c23>5<5s4;<==4j7e9>7d?321k?7p}6a083>7}:9>:m6h9k;<344`v3>71f9a2b<58=;o76n6:p5=>a2908w0?80b8;e0=:98oi6:6n;<3;g1<68>=0q~=nfd83>7}:9>:h6h9k;<1b=7=g13ty:45k50;1x9417i32j963>1d:93=g<582h?7??769~w6gal3:1>v3>71c9a2b<5:k2=76n4:p=d2=838p1<9?9;g4`>;6?9214l84}r3;7:9c6?876m<0<4l5219a1>460?2wx?lhl:181870810n;i523`;3>=g33ty2m84?:3y>52602l=o70?8078;e3=z{823n7>53z?2350=0h?01;60j:1==98;|q0ecd=838p1<9?6;g4`>;4ik;14l:4}r;b2?6=:r7:;=:5e6f89417;32j:6s|19;:>5<4s4;<<>47a49>54b>2>2j70?7cd8242152642l=o70=n9d8;e1=z{0k<6=4={<3447>:9c5?xu60021<7=t=0535?>f=27:=i8579c894>dl3;;;:5rs2`32?6=:r7:;=?5e6f896g>l32j86s|9`:94?4|58=;<7k8d:?22c`=0h<0q~?79783>6}:9?lm65o:;<32`6<00h16=5mm:0243>{t;k:>6=4={<35bcih0;6?u217df>`1c34;=ji47a79~w4>>=3:1?v3>6gf90q~7nb;296~;6>oi1i:j4=04ef?>f>2wx=57;:180871nk03m85210ag>2>f34;3o44>0658yv5e8:0;6?u217da>`1c349j5:47a59~w;69ml1;5o4=0:ab?77?>1v>oia;296~;6>ok1i:j4=2ca4?>f<2wx8?;=:1805~;6>o>14l=4=04fa?>f;27::h658`18940b:32j?63>6ea9;6>j>14l=4=04aa?>f;27::o658`18940e:32j?63>6`a9;6>0>14l=4=04;a?>f;27::5658`18940?:32j?63>66a9;6>?>14l=4=046a?>f;27::8658`189402:32j?63>65a9;6>:>14l=4=041a?>f;27::?658`189405:32j?63>60a9;6>9>14l=4=07ea?>f;27:9k658`18943a:32j?63>5da9;3:<81==98;|q22c5=838p1<8i4;g4`>;6>o=14l;4}r35b0<72;q6=;h6:9c1?871n?0i4o5rs0:73>2i6s|17gg>5<5s4;=ih4j7e9>53`621k97p};13494?56s4;=ik47a29>53c>21k870?9e28;e6=:9?no65o<;<35`2:9c0?871kk03m>5217a6>=g434;=nk47a29>53d>21k870?9b28;e6=:9?ko65o<;<35e2:9c0?8711k03m>5217;6>=g434;=4k47a29>53>>21k870?9828;e6=:9?=o65o<;<3532:9c0?871>k03m>521746>=g434;=9k47a29>533>21k870?9528;e6=:9?>o65o<;<3502:9c0?871;k03m>521716>=g434;=>k47a29>534>21k870?9228;e6=:9?;o65o<;<3552:9c0?8718k03m>521726>=g434;>jk47a29>50`>21k870?:f28;e6=:9:9c0?872lk03m>5217d;>=g334>:>;4>0658yv71ml0;6?u217ge>`1c34;=j<47a49~w40bn3:1>v3>6g29a2b<58;6?l?184k4}r35a2<72;q6=;k7:d5g?871mk03m?5rs04f5<5s4;=il4j7e9>53ce21k?7p}>6dc94?4|587}:9?oh6h9k;<34a1<31l1v<8j1;296~;6>l81i:j4=04f1?>f:2wx=;k=:181871m:0n;i5217g6>=g23ty::h=50;0x940b<3o6d7953c22l=o70?9e78;e7=z{82?97>52z?22`0=m>n01<9j3;6:a>{t9?ni6=4={<35`fmi1<7`1c34;=hk47a59~w40cm3:1>v3>6ed9a2b<58o9697j;|q22a3=838p1<8k6;g4`>;6>m314l<4}r35`3<72;q6=;j8:d5g?871l003m85rs04g3?6=:r7::i65e6f8940c132j86s|17f;>5<5s4;=h44j7e9>53bf21k97p}>85194?4|587}:9?n;6h9k;<35`6m;1i:j4=04g7?>f=2wx=;j>:181871l;0n;i5217f0>=g33ty::i<50;0x940c;3o6e6953b32l=o70?8dg87=`=z{852z?22fg=m>n01<8ld;:b6>{t9?ij6=4={<35ggjh1<7`1c34;=oh47a39~w4>393:1>v3>6bg9a2b<58=oi7:6e:p53e42909w0?9c58f3a=:9?i<65o=;|q22f2=838p1<8l5;g4`>;6>j=14l;4}r35g0<72;q6=;m9:d5g?871k>03m95rs04`2?6=:r7::n95e6f8940d032j>6s|1963>5<5s4;=o54j7e9>52bc2=3n7p}>6cf94?4|587}:9?hm6h9k;<35g4j:1i:j4=04`5?>f<2wx=;m?:181871k80n;i5217a1>=g53ty:4>h50;0x940d:3o7ea9053d?2l=o70?9bc8;e7=z{852z?22g?=m>n01<8mb;:b1>{t9?h26=4={<35fdkk1<7`1c34;v3>6c09a2b<5865o:;|q22g5=838p1<8m4;g4`>;6>k?14l:4}r35f1<72;q6=;l::d5g?871j?03m?5rs0:0`?6=:r7::o85e6f8941ci3>2i6s|17ca>5<5s4;=mn4j7e9>53ga21k97p}>6`a94?4|587}:9?kn6h9k;<35echl1i:j4=04a4?>f:2wx=5=l:181871j90n;i5216f:>1?b3ty::l;50;0x940f>3o6`;953g02l=o70?9a88;e0=z{852z?22d>=m>n01<8n9;:b0>{t9?k36=4={<35e<`1c34;=m>47a39~w40f83:1>v3>6`39a2b<58;6>h>14l<4}r3;7d<72;q6=;o;:d5g?870kj0?5h5rs04:=?6=:r7::4o5e6f8940>l32j>6s|17;b>5<5s4;=5o4j7e9>53?c21k>7p}>68`94?4|58<2o7k8d:?220q~?99b83>7}:9?3o6h9k;<35=`0o1i:j4=05g2?2>m2wx=;7<:1818711=0n;i5217;4>=g53ty::4:50;0x940>=3o685953?12l=o70?9968;e1=z{8<2:7>52z?22<1=m>n01<868;:b6>{t91936=4={<35==1n1<7`1c34;=5<47a49~w40?n3:1>v3>6829a2b<58<2=76n4:p53?72909w0?9908f3a=:9?3965o=;|q2<61=838p1<862;g4`>;6?m>184k4}r35<2<72;q6=;67:d5g?8710k03m?5rs04;5<5s4;=4l4j7e9>53>e21k?7p}>69c94?4|58<3n7k8d:?22=e=0h80q~?73783>7}:9?2h6h9k;<34`6<31l1v<871;296~;6>181i:j4=04;1?>f:2wx=;6=:1818710:0n;i5217:6>=g23ty::5=50;0x940?<3o697953>22l=o70?9878;e7=z{82897>52z?22=0=m>n01<9k2;6:a>{t9?=i6=4={<353f>i1<7`1c34;=;k47a59~w400m3:1>v3>66d9a2b<58<3<76n2:p5=532909w0?9818f3a=:9>n:697j;|q2223=838p1<886;g4`>;6>>314l<4}r3533<72;q6=;98:d5g?871?003m85rs0443?6=:r7:::65e6f89400132j86s|175;>5<5s4;=;44j7e9>531f21k97p}>82194?4|58<7}:9?=;6h9k;<3536>;1i:j4=0447?>f=2wx=;9>:181871?;0n;i521750>=g33ty:::<50;0x9400;3o666953132l=o70?8cg87=`=z{8<=57>52z?223g=m>n01<89d;:b6>{t9??h1<7j0;6?u2174g>`1c34;=:h47a39~w4>493:1>v3>67g9a2b<58=hi7:6e:p53042909w0?9658f3a=:9?<<65o=;|q2232=838p1<895;g4`>;6>?=14l;4}r3520<72;q6=;89:d5g?871>>03m95rs0452?6=:r7::;95e6f89401032j>6s|1913>5<5s4;=:54j7e9>52ec2=3n7p}>64f94?4|58<>i7k8d:?2237=0h80q~?95d83>7}:9??m6h9k;<3524?:1i:j4=0455?>f<2wx=;8?:181871>80n;i521741>=g53ty:4?h50;0x9401:3o7b390533?2l=o70?95c8;e7=z{8<>47>52z?220?=m>n01<8:b;:b1>{t9??26=4={<351d`1c34;v3>6409a2b<58<>976n2:p53352909w0?9528f3a=:9??>65o:;|q2205=838p1<8:4;g4`>;6>2i6s|176a>5<5s4;=8n4j7e9>532a21k97p}>65a94?4|587}:9?>n6h9k;<350c=l1i:j4=0464?>f:2wx=51?b3ty::9;50;0x9403>3o65;953202l=o70?9488;e0=z{852z?221>=m>n01<8;9;:b0>{t9?>36=4={<350<`1c34;=8>47a39~w40383:1>v3>6539a2b<58865o;;|q2214=838p1<8;3;g4`>;6>=>14l<4}r3;6d<72;q6=;:;:d5g?870k>0?5h5rs040=?6=:r7::>o5e6f89404l32j>6s|171b>5<5s4;=?o4j7e9>535c21k>7p}>62`94?4|58<8o7k8d:?226b=0h>0q~?93b83>7}:9?9o6h9k;<357`:o1i:j4=05`2?2>m2wx=;=<:181871;=0n;i521714>=g53ty::>:50;0x9404=3o625953512l=o70?9368;e1=z{8<8:7>52z?2261=m>n01<8<8;:b6>{t91836=4={<357=;n1<7?58`08yv71:l0;6?u2170e>`1c34;=?<47a49~w405n3:1>v3>6229a2b<58<8=76n4:p53572909w0?9308f3a=:9?9965o=;|q2<71=838p1<8<2;g4`>;6?j>184k4}r3562<72;q6=;<7:d5g?871:k03m?5rs0415<5s4;=>l4j7e9>534e21k?7p}>63c94?4|58<9n7k8d:?227e=0h80q~?72783>7}:9?8h6h9k;<34g6<31l1v<8=1;296~;6>;81i:j4=0411?>f:2wx=;<=:181871::0n;i521706>=g23ty::?=50;0x9405<3o637994?:3y>53422l=o70?9278;e7=z{82997>52z?2270=m>n01<9l2;6:a>{t9?;i6=4={<355f8i1<7`1c34;==k47a59~w406m3:1>v3>60d9a2b<58<9<76n2:p5=432909w0?9218f3a=:9>h=697j;|q2243=838p1<8>6;g4`>;6>8314l<4}r3553<72;q6=;?8:d5g?8719003m85rs0423?6=:r7::<65e6f89406132j86s|173;>5<5s4;==44j7e9>537f21k97p}>83194?4|58<:m7k8d:?23f6=<0o0q~?90g83>7}:9?;;6h9k;<35560;296~;6>8;1i:j4=0427?>f=2wx=;?>:1818719;0n;i521730>=g33ty::<<50;0x9406;3o6069?4?:3y>53732l=o70?8bg87=`=z{8<;57>52z?225g=m>n01<8?d;:b6>{t9?:j6=4={<354gk:9c6?xu6>9h1<7`1c34;=593:1>v3>61g9a2b<58=ii7:6e:p53642909w0?9058f3a=:9?:<65o=;|q2252=838p1<8?5;g4`>;6>9=14l;4}r3540<72;q6=;>9:d5g?8718>03m95rs0432?6=:r7::=95e6f89407032j>6s|1903>5<5s4;=<54j7e9>52dc2=3n7p}>5gf94?4|58?mi7k8d:?2257=0h80q~?:fd83>7}:99:1i:j4=0435?>f<2wx=;>?:181871880n;i521721>=g53ty:47ca90j:4?:3y>50`?2l=o70?:fc8;e7=z{8?m47>52z?21c?=m>n01<;ib;:b1>{t9`1c34;v3>5g09a2b<58?m976n2:p50`52909w0?:f28f3a=:965o:;|q21c5=838p1<;i4;g4`>;6=o?14l:4}r36b1<72;q6=8h::d5g?872n?03m?5rs0:2`?6=:r7:9k85e6f8941ei3>2i6s|14ga>5<5s4;>in4j7e9>50ca21k97p}>5da94?4|58?nh7k8d:?21``=0h?0q~?:ee83>7}:9f:2wx=5?l:181872n90n;i5216`:>1?b3ty:9h;50;0x943b>3o5d;9i;4?:3y>50c02l=o70?:e88;e0=z{8?n;7>52z?21`>=m>n01<;j9;:b0>{t9`1c34;>i>47a39~w43b83:1>v3>5d39a2b<58?n?76n5:p50c62909w0?:e38f3a=:9;6=l>14l<4}r3;5d<72;q6=8k;:d5g?870j>0?5h5rs07g=?6=:r7:9io5e6f8943cl32j>6s|14fb>5<5s4;>ho4j7e9>50bc21k>7p}>5e`94?4|58?oo7k8d:?21ab=0h>0q~?:db83>7}:99;296~;6=mo1i:j4=05a1?2>m2wx?k=7:18185a;h0n;i523g1`>=g23ty8n<>50;0x96`4k3ol4?:3y>7c4e2l=o70=i2e8;e7=z{:l9n7>52z?0b7e=m>n01>h=d;:b7>{t;k;36=4={<1e6a`1c349m8<47a29~w6d5;3:1>v3h;6;g4`>;4i>814l=4}r1e02<72;q6?k:7:d5g?85f?<03m95rs2d75<5s49m8o4j7e9>7d1?21k?7p}=0h90q~=i4e83>7}:;o>n6h9k;<1b3gh;e;296~;4n=l1i:j4=2c4f?>f;2wx?k;?:18185a=80n;i523`5f>=g33ty8j8?50;0x96`2:3o7c322l=o70=i578;e0=z{=;;=7>52z?7554=m>n019??3;:b1>{t<8:96=4={<62469:9c5?xu39991<7`1c34>:<;47a49~w17503:14v3;1149a2b<5=:h;76n5:?74ac=0h?019>k9;:b0>;38j314l?4=52f4?>f927?5<5s4>;o:47a79>05e12l=o7p};0b494?4|5=:h;7k8d:?74f>=0h<0q~:?db83>7}:<9nn65o9;<63`akd;296~;38mo1i:j4=52gb?>f>2wx8?7j:1818251l0n;i5243;e>=g43ty?>;k50;0x9141m3o9;=4?:3y>070a2l=o70:=528;e1=z{=8?<7>52z?7616=m>n019<;3;:b0>{t<;>:6=4={<6104im0;6?u2431b>`1c34>9?447a49~w=9l:d5g?847?k03m;5rs8`3>5<5s48;;44j7e9>651?21k=7p}6b083>7}::9=<6h9k;<0333=g13ty2n84?:3y>65142l=o70=9?:9c5?xu>j>0;6?u2214b>`1c348;:447a79~w=89:d5g?847><03m;5rs8`b>5<5s48;:94j7e9>650421k=7p}6bc83>7}::9<96h9k;<032490n;i52217e>=g13ty2ni4?:3y>653b2l=o70=;l:9c5?xu5`1c348?j;47a49~w9=i:d5g?843;l03m;5rs3c42?6=:r79m:95e6f897g0132j86s|2`5;>5<5s48j;54j7e9>6d1>21k97p}=a6594?4|5;k<57k8d:?1=f3=0h>0q~<6c583>7}::0i?6h9k;<0:g0f:2wx>:om:181840ij0n;i5226cf>=g23ty9;lm50;0x971fl3o62gb21k:70<8b38;e4=::>k965o>;<04e3:on:9c2?84em;0i4o5rs35bb?6=:r79;o>5e6f8971e:32j96s|26`3>5<5s4862d521k?7p}=78g94?4|5;=2j7k8d:?13d4=0h<0q~<89g83>7}::>k;6h9k;<04e7f=2wx>:o<:181840i=0n;i5226c5>=g23ty9;l:50;0x971f=3o62g?2l=o70<8a`8;e0=z{;=j47>52z?13d?=m>n01?9na;:b0>{t:ko:6=4<{<0aa4ok<:9c5?84em=03m;5rs3`1f?6=:r79n?m5e6f897d5m32j:6s|2c0`>5<5s48i>i4j7e9>6g4b21k>7p}=b2794?b|5;h8:7k8d:?132d=0h801?98e;:b6>;5?>l14l<4=35;4?>f:279;:j58`089710k32j>63=76c9;5>k=14l=4=34af=2wx>o=9:18g84e;>0n;i52265a>=g4348<;h47a29>621a21k870<8818;e6=::>=o65o<;<043f:9n:9c0?8400:03m>5226:1>=g4348<4<47a29>63d021k970<9b98;e3=z{;h8>7>5dz?1f65=m>n01?99f;:b6>;5?>>14l<4=3541?>f:279;:858`089710;32j>63=763976n2:?1326=0h801?99e;:b6>;5?>314l<4=354f:279;:958`08yv4e;:0;6iu22c17>`1c348<:k47a29>621321k870<8748;e6=::>==65o<;<0436:9>:9c0?840?;03m>522653>=g4348<:h47a29>621>21k870<8798;e6=::>=<65o<;|q1f7`=83np1?l<0;g4`>;5?0914l<4=35:f:279;4758`08971>i32j>63=7859;5?0814l<4=35:`?>f:279;4m58`08971>j32j>6s|2c13>562?421k870<8998;e6=::>3265o<;<04=d:78:9c0?8401<03m>5226;5>=g4348<5947a29>62?521k870<89e8;e6=::>3h65o<;<04=g<21i:j4=346a?>f92wx>;;7:181841=00n;i52277f>=g53ty9:8750;0x9702i3o633e2l=o70<95d8;e1=z{;<>n7>52z?120e=m>n01?8:e;:b1>{t:??h6=4={<051a;;j:9c5?xu>k;0;6?u226`7>`1c348j9i47a29~w71ck3:1>v3=7ef9a2b<5;=oj76n4:p62bc2909w0<8dd8f3a=::>nm65o=;|q13a>=838p1?9k9;g4`>;5?mh14l:4}r04`<<72;q6>:jn:d5g?840lk03m?5rs3;7`?6=:r7959k5e6f897?2932j96s|286f>5<5s4828k4j7e9>6<3621k87p}=95d94?4|5;3><7k8d:?1=07=0h>0q~7l3;296~;5mml1i:j4=3gga?>f:2wx5n:50;0x97cb93o52z?1a`5=m>n01?kj2;:b6>{t1j<1<7v3=ed59a2b<5;on:76n2:p=f>=838p1?kj9;g4`>;5ml214l<4}r;`=?6=:r79ihl5e6f897cbi32j>6s|9bc94?4|5;onh7k8d:?1a`e=0h80q~7lb;297~;5mmh1i:j4=3gge?>f>279ii758`48yv51=o0;6>u23743>`1c349=:>47a29>7<3421k?7p}<67294?5|5:<==7k8d:?0235=0h;01>7:3;:b5>{t;?<:6=4<{<1527=:03m?5rs8a`>5<5s49=9i4j7e9>733d21k=7p}6ce83>7}:;?=26h9k;<153=66a;296~;400k1i:j4=2::f?>f:2wx?57m:18085?1k0n;i5239;`>=g234925;47a39~w;|q:gc<72;q6?;6>:d5g?8510903m<5rs8f3>5<5s49=:84j7e9>730321k:7p}6d083>7}:;?o0n;i52374f>=g63ty2h94?:3y>730c2l=o70=96b8;e4=z{0n>6=4={<1:eff;278:5958`7896?fl3o52z?023?=m>n01>898;:b5>{t1m=1<7v3<66f9a2b<5:<873;g4`>;4>1814l?4}r;ge?6=:r78:5;5e6f8960?<32j=6s|9e`94?4|5:<121i:j4=24;3?>f<2wx5ik50;0x96?fm3o72l=o70=6ac8;e1=z{:3jm7>52z?0=dg=m>n01>7nb;:b7>{t1ml1<7>k0;69u2384`>`1c3493hn47a59>7=b>21k>70=7d28;e0=z{0o;6=4={<1:35c032j963<8e197=bd2l=o70=7de8;e3=z{:3=:7>52z?079a;g4`>{t;1no6=4={<1;`ac:32j86s|384;>5<4s492:44j7e9>7<0f21k970=7d38;e6=z{0o96=4={<14ggo758`18963f;32j86s|33`5>5<4s499n:4j7e9>77d>21k:70=:a28;e4=z{:8i;7>53z?06g>=m>n01>;4=h914l<4}r;f7?6=:r78>o=5e6f8964e:32j:6s|9d694?4|5:8hj7k8d:?06fc=0h;0q~=;eb83>7}:;=oh6h9k;<17aa:jd;297~;4f=2789h858`08yv?b=3:1>v3<2e39a2b<5:8o<76n1:p=`0=838p1>;4:m<14l?4}r;fol5e6f8964ei32j=6s|9d;94?4|5:8h=7k8d:?06f6=0h;0q~7ja;296~;4:j?1i:j4=20`0?>f92wx5hl50;0x964d;3o52z?01ce=0h801>;if;g4`>{t;=g63ty2ii4?:3y>77da2l=o70==bd8;e4=z{0on6=4={<11famo0;6?u233f0>`1c3499h?47a09~w<`72909w0==d88f3a=:;;n365o>;|q:b4<72;q6??jm:d5g?855lh03m<5rs8d1>5<5s499h84j7e9>77b321k:7p}6f283>7}:;;nn6h9k;<11`a=g13ty89k750;0x963a13ojl4?:3y>70`f2l=o70=:fc8;e6=z{0l>6=4={<16fanh4?:5y>704421k970=:bg8f3a=:;<8h65o:;<166=;=3;296~;4=;91i:j4=2710?>f<2wx5k850;0x963d83o>n4?:3y>704d2l=o70=:2e8;e3=z{:?i:7>52z?017b=0h901>;ma;g4`>{t;<8o6=4={<166a5<4s49>n44j7e9>70df21k970=:248;e6=z{:?947>52z?017>=m>n01>;=9;:b5>{t1o=1<70;6>u22g2;>`1c348m74`>21k?7p}=f1:94?5|5;l;57k8d:?1b5d=0h;01>?i9;:b5>{t:o:26=4<{<0e4dk>m:9c1?856n003m?5rs8d;>5<5s48m<84j7e9>6c6321k=7p}6f883>7}::o8:6h9k;<0e65?;2;296~;49=81i:j4=2377?>f:2wx?<:<:180856<:0n;i523067>=g23499?n47a39~w<`e2909w0;|q:bf<72;q6>k<6:d5g?84a:103m<5rs8dg>5<5s48m6c6d21k:7p}6fd83>7}::o;86h9k;<0e570n;i522g35>=g63tyj<=4?:3y>6c722l=o70f;279j?h58`789642;3o7>52z?1b47=m>n01?h>0;:b5>{ti991<7v3=f379a2b<5;l9876n1:pe51=838p1?h=b;g4`>;5n;k14l?4}rc3f<2wxm=l50;0x9642<3o772a2l=o70==508;e1=z{:8><7>52z?0606=m>n01><:1;:b7>{ti9i1<7<<58`48yv55980;69u23331>`1c349:;447a59>74>521k?70=>7d8;e3=z{:8:87>54z?052?=0h801><>5;g4`>;491814l;4=234a?>f;2wx?<96:181856?00n;i52305b>=g33tyj77712l=o70==148;e4=z{:;3>7>52z?05=4=m>n01>?73;:b2>{t;;:h6=4={<12<6u2332f>`1c3499==47a29>741e21k?7p}<21g94?5|5:8;j7k8d:?0646=0h801>?8b;:b7>{t;8=n6=4={<123``1c349;;?47a79~w46dj3:18v3>0ba955ec2k2i7S??cc9~w46dk3:1>v3>0ba9f=d<58i<97:6e:p55g12908w0??a68;e5=:99k=6<>k1:\24d055g02k2i70?l7987=`=z{>9o6=4;{<56b?>f827<:=4m8c9>36b=n;80R:=k;|q47g<72=q6;8m58`28923c2k2i709X0;k1v:=n:187812132j<6385`8a?<6o6m;<50=?`5:2T5<3s4=>?76n0:?41165f308Z25?3ty306=0h:01:;>:c:a?814?3l9>6P8369~w221290?w098a;:b4>;0?k0i4o527549b74>=7p}84483>1}:?>=14l>4=65;>g>e34=?97h=2:\400=z{>>?6=4;{<540?>f827<;84m8c9>312=n;80R::;;|q406<72=q6;:?58`2892152k2i709;3;d16>X0<:1v::=:187811m32j<6386g8a5<3s4==476n0:?42<5f308Z2273ty333=0h:01:89:c:a?814n3l9>6P83g9~w25b290?w0992;:b4>;0>:0i4o5272g9b749n7p}83783>1}:?=n14l>4=66f>g>e34=8:7h=2:\473=z{>;96=4<{<52b?d?j27<=?4>0b28Z2753ty<==4?:2y>34c=j1h01:??:02`4>X0991v:>i:180816l3h3n6380g824f6:m7p}81c83>6}:?;21n5l4=63a>46d82T<=o5rs63b>5<4s4=9;7l7b:?45d<68j:0R:?n;|q45<<72:q6;?85b9`8927>28:h<6P8189~w27?2908w09=5;`;f>;0910:4^63;?xu09>0;6>u27369f=d<5>;<6<>l0:\452=z{>;=6=4<{<517?d?j27<=;4>0b28Z2713ty<=84?:2y>374=j1h01:?::02`4>X09<1v:?;:18081593h3n63815824f6;?7p}81283>6}:?;:1n5l4=630>46d82T<=>5rs62f>5<4s4=:o7l7b:?44`<68j:0R:>j;|q46g<72:q6;?j5b9`8924e28:h<6P82c9~w24>2908w09=c;`;f>;0:00:4^60:?xu0<00;6>u275`9f=d<5>>26<>l0:\40<=z{>><6=4<{<57e?d?j27<8:4>0b28Z2203ty<>h4?:2y>37`=j1h01:X0:l1v:=?:18081493h3n63831824f69;7p}83283>7}:?:914l>4=0g0`?14;2wx;><50;1x92542k2i709<2;33g5=Y?:80q~9<4;297~;0;<0i4o52726955e73W=886s|76a94?5|5>=o6o6m;<54g?77k91U;:m4}r54a?6=;r7<;k4m8c9>32c=99i;7S98e:p5`4a2909w0?j2d87=`=:9l8m6h9k;|q2a7c=839p1`1c3ty:jih50;0x94`cm3>2i63>fed9a2b5cc72=3n70?ie08f3a=z{8ln:7>52z?2b`3=<0o01{t9ol96=4={<3eb4<31l16=kh=:d5g?xu4m:n1<7m278i>j5e6f8yv35m=0;6?u253g1>1?b34?9i94j7e9~w04b;3:1>v3:2d6965o;;<71=1m3oc8f9064dd2=3n70<>be8f3a=z{;99o7>52z?177d=<0o01?==c;g4`>{t<=i<6=4={<67g3<31l1689m8:d5g?xu31689m8:9c7?85f>h03m95rs56`1?6=:r7?8n:548g8912d=3o5<3s4>?o94>0658912d932j963;4b19h976n6:p01622909w0:;0587=`=:<=:>6h9k;|q77`6=838p19=kf;6:a>;3;l:1i:j4}r06=f<72;q6>87m:5;f?8421j0n;i5rs37:f?6=;r7994l51154?8421j03m9523`;`>=g23ty994o50;0x973>13>2i63=58c9a2b544?:5y>60?>28:<;63=58497}::=n2697j;<07`d184k4=36a1?c0l2wx>l9::18184f?=0?5h522`56>`1c3ty9m:=50;0x97g0:3>2i63=a619a2b6d0a2=3n7052z?1e27=0h;01?o80;g4`>{t:hl8j:d5g?xu5i?i1<7m279m;m5e6f8yv4>>00;6?u2284;>1?b3482:44j7e9~w7?213:1>v3=97:9551034;hnn47a59~w7?1?3:1>v3=974906h9k;|q1=01=838p1?794;3332=:9jhj65o;;|q1=35=838p1?792;6:a>;51?91i:j4}r0:13<72;q6>48=:0243>;6kk314l:4}r0:24<72;q6>48?:5;f?84>>80n;i5rs3;61?6=:r795;>51154?87dj103m95rs3;6b?6=:r7958k548g897?2n3o5<5s4829h4>065894ee?32j86s|287g>5<5s4829n4;9d9>6<3c2l=o7p}=94194?4|5;3>o7??769>5fd121k?7p}=94`94?4|5;3>m7:6e:?1=0d=m>n0q~<65383>7}::0?j6<>87:?2gg3=0h>0q~=65283>7}:;0?;697j;<1:167:0;296~;41<:1==98;<1:17;n3;296~;4=h:184k4=27b7?c0l2wx?8o?:181852i90:<:94=27b6?>f92wx?`1c3ty8=k850;0x967a>3;;;:5230d;>=g63ty:h9;50;0x94b3<3>2i63>d579a2b5a262=3n70?k428f3a=z{8n<<7>52z?2`24=0h;0152z?2`24=0h80152z?2`3`=0h;0152z?2`3`=0h80152z?2`3e=0h;0152z?2`3e=0h80152z?2`3?=0h;0152z?2`3?=0h80152z?2`30=0h;0152z?2`30=0h80152z?2`35=0h;017>52z?2`35=0h801i7>52z?2`36=0h;01j7>52z?2`36=0h801n7>52z?2`0b=0h;01o7>52z?2`0b=0h80152z?2`=b=0h;0152z?2`=b=0h80152z?2`=g=0h;0152z?2`=g=0h80152z?2`=1=0h;0152z?2`=1=0h8017>52z?2`=2=0h;0152z?2`=2=0h80152z?2`=7=0h;0152z?2`=7=0h80152z?2`2c=0h;0152z?2`2c=0h80152z?2`2d=0h;0152z?2`2d=0h80152z?2`2>=0h;0152z?2`2>=0h80152z?2`23=0h;0152z?2`23=0h80147>52z?2`0g=0h;0157>52z?2`0g=0h801h<7>52z?70f1=0h9019:l1;g4`>{t:<3>6=4={<06=f879:d5g?xu3:mk1<7:t=51f4?>f927??:658`389155032j?63;2ec955103tyj9>4?:3y>0<2>2l=o70:6498;e3=z{h??6=4={<6aec`1c34?9?447a59~w7g2k3:1;v3=a629;5i>?14l=4=3c47?>f;279m8m51154?xuf=?0;6?u22`41>`1c348j:<47a59~w7g193:1>v3=a739a2b<5;k=?76n2:pe01=838p1>onf;g4`>;4iho14l84}rc6=?6=:r78mlj5e6f896gfk32j:6s|a4c94?4|5=32o76n4:?7=n0q~o:b;296~;310:14l:4=5;:5?c0l2wx849i:18182>0:03m>5248:3>g>e3tyj9n4?:3y>0<>421k?70:6858f3a=z{=39m7>52z?7=7e=0h<0197;4;g4`>{ti:m0;6?u2480a>=g434>2>44j7e9~wd3a2909w0:7a58f3a=:<1k965o9;|qb25<72;q684l::d5g?82>j=03m;5rs`42>5<5s4>3oi4j7e9>0=ed21k87p}n6383>7}:=g43tyj:94?:3y>0d2f2l=o70:n488;e6=z{h<>6=4={<6b13?0;6?u24`41>`1c34>j:<47a29~wd002909w0:n6d8f3a=:5rs`4b>5<5s4>3>547a79>0=4>2l=o7p}:07f94?5|5=2947k8d:?7eg1=0h;019m?d;:b1>{ti?h1<7f>27?;5k5e6f8yv2?l90;6?u249f3>`1c34>3h<47a79~w1?2i3:1>v3;94c9a2b<5=3>n76n6:p0<002909w0:6668f3a=:<0<365o9;|q7=22=838p19784;g4`>;31>?14l84}r6:6=<72;q684=m:d5g?82><=03m85rs5;36?6=:r7?4k=5e6f891>a=32j=6s|381e>5<5s492?h4j7e9>7e483>7}:;8o?6h9k;<117f=g23tyj:i4?:3y>063d2l=o70:<5c8;e3=z{=9>m7>53z?770d=m>n019=88;:b0>;3;;214l84}r`04?6=:r7?;om5e6f8911el3>2i6s|a7;94?4|5==nm76n3:?73`d=m>n0q~:=0983>6}:;o8<6h9k;<617<0;6?u224;;>`1c348>5l47a09~w?65o:;|q7ff>=83;3j:81;l;4=5`1g?1f=27?n?757`7891d5n3=j963;bba93d00=7b2l=o70:l048;e0=z{=hji7>52z?7fdc=m>n019lm0;:b0>{t9ln26=4<{<3f`d5<5s4>28k4j7e9>0<3621k=7p};9g;94?4|5=3m57k8d:?7=cg=0h<0q~:6a583>7}:<0km6h9k;<6:f51v9kk7;296~;2;1o14l<4=5gg3?77?>1v9=:0;297~;3;>214l<4=511f<27??8=5b9`8yv24=>0;6>u2425;>=g434>8>547a49>063f2l=o7p};84394?4|5=2>976n5:?7<04=m>n0q~;7}:<1?>6h9k;<70=5<28l1v8:>9;290~;3mh=1i:j4=0a:1?>f;27:o4k58`6894c5n32j:6s|a7g94?4|5=:om7k8d:?74a?=0h?0q~:<4483>6}:<:>=6h9k;<601d:7:9c6?xu58?n1<7??{<033a=9m:9c6?847?103m8522155>=g2348;;947a49>651521k>70=8::9c6?847>:03m8522142>=g2348;9k47a49>653c21k>70nk03m85rs50f1?6==r7???65e6f8915513;:9:52420:>472>27???751076?824:00:=8:4}r6;b6<72;q685h;:d5g?82?n<03m;5rs4027?6=:r7>><958`389046<3o=0h?0q~o81;296~;38l:1i:j4=52gb?>f=2wxm:<50;0x9635<32j:63<5379a2b52z?052g=0h<01>?8b;g4`>{ti>>1<7=g234>3=o4j7e9~w1>6;3:1>v3;80f99b;296~;30;:1i:j4=5a3=?>f=2wx?i>k:18185d9;03m8523e2g>460?2wx?i?j:18185d8<03m8523e3f>460?2wx?i?l:18185d8=03m8523e3`>460?2wxm:;50;0x91>a13o0=`?21k=70;?fd824213j?4?:3y>0=`?2l=o70:7f`8;e3=z{h==6=4={<6;fff>27>==>51154?xu30kk1<7v3;8dc9a2b<5=2n576n4:p15`a2909w0:7e88;e3=:=9lm6<>87:p0=c52909w0:7e88f3a=:<1o<65o;;|qb3=<72;q684>m:d5g?82>8h03m95rs42e`?6=:r7?5=o58`48906al3;;;:5rs5;37?6=:r7?5=o5e6f891?7032j86s|a6;94?4|5=3:n7k8d:?7=4g=0h>0q~;?fb83>7}:<0;j65o9;<73bf<68>=0q~:61283>7}:<0;j6h9k;<6:5=`1c3ty>?io50;0x91>2m3o0=062l=o70;<98866==z{<9oj7>52z?7<32=m>n018=69;71e>{t=:o;6=4={<6;2076:40a?xu2;mn1<7?47553;8yv34lk0;6?u2497e>`1c34?8544:249~w05c13:1>v3;84f9a2b<5<9257;?f:p16b?2909w0:75b8f3a=:=:3268>j;|q67fd=838p19682;g4`>;2;0919?64}r70gf<72;q6859<:d5g?8341:0>>45rs41``?6=:r7?4::5e6f8905>;3?9m6s|52ab>5<5s4>3;<4j7e9>16?42<8>7p}:3bg94?4|5=2<97k8d:?67<5==;h0q~;7}:<1=;6h9k;<70=6<28o1v8=j6;296~;30?l1i:j4=41:7?37m2wx9>m6:18182?>90n;i5252;0>07f3ty>?i:50;0x91>2?3o0=3?2l=o70;<97866<=z{<9o:7>52z?7<0?=m>n018=66;71e>{t=:n96=4={<6;1179:406?xu2;m=1<7?48553`8yv34l90;6?u24954>`1c34?85;4:0g9~w05dn3:1>v3;8649a2b<5<92:7;?e:p16b62909w0:7508f3a=:=:3=68?n;|q0g5b=838p1>m?d;:b0>;4k9k1i:j4}r6;ag<72;q685kl:d5g?82?m>03m85rs5;3g?6=:r7?5=j5e6f891?7032j96s|483`>5<5s4>2=i4j7e9>0<7?21k>7p}=5df94?5|5;={t:?;:6=4<{<04=24m7:9c1?840l<03m?5rs37fg?6=;r79;:<5e6f897?di32j:63=7e4962?12l=o70<6c98;e3=::>n>65o9;|q7<<`=839p196>f;:b1>;30h;1n5l4=5ca3?>f:2wx9=8n:18182?9o0n;i524b24>=g23ty?;km50;0x91>7832j963;7gf9f=d0=672l=o70:leg8;e6=z{:2o=7>52z?06k1;`;f>{ti>h1<7`1c34>j<847a49~wd1c2909w0:n188f3a=:8;g4`>;3i8k14l=4}rc4a?6=:r7?m?;5e6f891g5032j:6s|a6d94?4|5=3h=7k8d:?7=f6=0h?0q~:6bg83>7}:<0i;6h9k;<6:g7kl0n;i5248ag>=g23ty?5nm50;0x91?dl3o52z?7==1=m>n019776;:b1>{t<02=6=4={<6:<3:9c6?xuf0;0;6?u248;7>`1c34>25>47a49~w1?>;3:1>v3;9819a2b<5=33m76n3:pe=5=838p197n2;g4`>;31h;14l;4}r6:e5<72;q684o>:d5g?82>1>03m>5rs`:7>5<5s492j>4j7e9>7<`521k87p}n8483>7}:;0l:6h9k;<1:b5=g43tyj4:4?:3y>7d642l=o70=n038;e6=z{h236=4={<1b44?:9c0?xuf000;6?u238de>`1c3492jh47a29~wd>f2909w0=6fe8f3a=:;0lh65o<;|qbnh03m>5rs`:`>5<5s492j44j7e9>7<`?21k87p}n8e83>7}:;0l<6h9k;<1:b3n<0n;i5238d7>=g43tyj4k4?:3y>7`1c349=?947a29~wd?42909w0=9488f3a=:;?>365o<;|qb=1<72;q6?;:8:d5g?8515rs`;6>5<5s49=884j7e9>732321k87p}n9783>7}:;?>86h9k;<1507=g43tyj554?:3y>735a2l=o70=93d8;e6=z{h326=4={<157a`1c349=?l47a29~wd?e2909w0=9388f3a=:;?9365o<;|qb=a<72;q6?;=<:d5g?851;;03m>5rs`;f>5<5s4994i4j7e9>77>d21k87p}n9g83>7}:;;2i6h9k;<11=g43tyjm<4?:3y>77?c2l=o70==9b8;e6=z{hk96=4={<11=g`1c34995547a29~wdg32909w0==968f3a=:;;3=65o<;|qbe0<72;q6??7::d5g?8551=03m>5rs`c5>5<5s4995>4j7e9>77?521k87p}na983>7}:;;3:6h9k;<11=5=g43tyjml4?:3y>77>>2l=o70==898;e6=z{hki6=4={<6:5<`1c34>2<547a79~wdgc2909w0:7e98f3a=:<1o<65o9;|qbe`<72;q68l?m:d5g?82f9h03m95rs`ce>5<5s4>j0d6221k?7p}nb083>7}:<0n;6h9k;<6:gck:0n;i5248a1>=g33tyjn>4?:3y>0`1c34>24<47a59~wdd12909w0:=428f3a=:<;>965o9;|qbf2<72;q6?l86:9c2?85f>h0n;i5rs``;>5<5s49j:447a39>7d0e2l=o7p};2eg94?4|5:k=m76n3:?777?=>1l0q~om9;296~;4i<;14l?4=2c66?c0l2wxmoo50;0x96g2932j>639hi4?:3y>7d3521k870:<2885<1=z{hhi6=4={<64ba=g534>l:9c1?8358l0n;i5rs50gg?6=:r7>>=j58`18915513<3?6s|3c2e>5<5s4?97c4a2k2i7p}nc183>7}::>o;65o>;<04a4:181840m903m?5226g1>`1c3tyjo?4?:3y>7f0c21k:70=l6d8f3a=z{hi86=4={<1`2a=g634;h:;4j7e9~wde22909w0?l648;e7=:9j<<6h9k;|qbg3<72;q6?k5<5s49m>k47a39>7c552l=o7p}nc983>7}::ko965o>;<0aa6`1c3tyjol4?:3y>53`121k:70?9f68f3a=z{hii6=4={<35b3=g634>8994j7e9~wdec2909w0:<528;e7=:<:?>6h9k;|qbg`<72;q68>;8:9c2?824=10n;i5rs`ae>5<5s4>89:47a39>063>2l=o7p};34494?4|5=9>;7l7b:?770g=0h<0q~ok0;296~;40m=14l?4=2:gc?32j>63<8e;9a2b52z?06k2;g4`>{tim>1<7f:2784i=5e6f8yvgc=3:1>v3;7g:9;3?ok1i:j4}rcg3?6=:r7?4o85e6f891>e=32j:6s|ae:94?4|5;k>i7k8d:?1e0b=0h<0q~7}::h?o6h9k;<0b1c`1c3ty?=l850;0x917f=3o7=??21k970=79e8242171cf21k970=;eg82421742721k970=>448242152z?75c`=m>n019?ie;:b2>{timh1<7v3;7`29a2b<5==2j76n6:peab=838p199n4;g4`>;3?h914l84}rcga?6=:r7?;lo5e6f8911f132j:6s|ad294?4|5==jo7k8d:?73dd=0h<0q~oj1;296~;3?h<1i:j4=55b1?>f>2wxmh<50;0x911f:3o52z?73n01996d;:b2>{til>1<7v3;9579a2b<5=3?876n6:pe`0=838p19:n3;:b5>;31i:j4}rcf3?6=:r7?8l=58`08912f=3on0q~oj9;296~;5=>214l<4=374e?c0l2wxmho50;0x91g7<32j=63;a179a2b52z?7e52=0h8019o?6;g4`>{tili1<7f927?55?5e6f8yvgbl3:1>v3;99297k8d:pe``=838p1>o8c;:b5>;4i>n1i:j4}rce4?6=:r78m:m58`0896g0m3o5<5s49j;h47a49>064>2?k;7p}nf083>7}:;h=265o>;<1b3d`1c3ty?>h<50;0x96g0j32j963;33;92<`52z?0e20=0h;01>o87;g4`>{tio>1<7f:278m:65e6f8yv25m80;6?u23`5;>=g234>8>4499d9~wd`22909w0=n728;e4=:;h=?6h9k;|qbb3<72;q6?l9<:9c1?85f?<0n;i5rs50f4?6=:r78m:;58`78915513<2h6s|ag594?4|5:k<<76n1:?0e27=m>n0q~oi8;296~;4i>:14l<4=2c46?c0l2wx8?ji:18185f?;03m852420:>3?f3tyjj44?:3y>0d4121k:70:n268f3a=z{hlj6=4={<6b63=g634?9=k4j7e9~wd`d2909w0;=1e8;e7=:=;8;6h9k;|qbba<72;q69?=;:9c2?835;<0n;i5rs`df>5<5s4?9?947a39>17512l=o7p}nfg83>7}::?h=65o>;<05f2?:181841j?03m?5227`;>`1c3tyi<<4?:3y>0=`a21k:70:6018f3a=z{k:96=4={<6;bc>:d5g?xue8<0;6?u23b2`>`1c349h:9c2?82?i;0n;i5rsc2;>5<5s4>3m<47a39>0=g42l=o7p}>ee:94?4|58oo97:6e:?2aa>=m>n0q~?jd683>7}:9ln=697j;<3f`2`1c3ty??n650;0x915d?3>2i63;3b:9a2b9ho4?:3y>07bf2=3n70:=dc8f3a=z{=9im7>52z?77g?=<0o019=ma;g4`>{t<88<6=4={<6263<31l168<<8:d5g?xu3:<91<7m27?>8=5e6f8yv430o0;6?u225:f>1?b348?4k4j7e9~w72>l3:1>v3=48a902h7k8d:p61722909w0<;1587=`=::=;>6h9k;|q0<66d;6:a>;400o1i:j4}r1:=3<72;q6?47::5;f?85>1?0n;i5rs26e4?6=:r788hh548g8962a83o5<5s49>i84;9d9>70c12l=o7p}<15494?4|5:;?97:6e:?0510=m>n0q~==3b83>7}:;;9i697j;<117fm2wx>l8<:18184f>:0n;i522`43>1?b3tyi8=4?:3y>0de62l=o70:nb68;e1=z{=kj>7>52z?73=e=0h9019on3;g4`>{tj=;1<746f027?:hk5e6f8yv21mh0;6?u247g`>g>e34>=ii4;3c9~w10bn3:1>v3;6df955g?34>=ik4j7e9~w10ej3:1>v3;6c;955g?34>=no4j7e9~w10e?3:1>v3;6c;9f=d<5=<5=87:p0a272909w0:k3g87=`=:;6h9k;|q7`14=838p19j;1;6:a>;3l=81i:j4}r6g01<72;q68i:<:5;f?82c<=0n;i5rs5f72?6=:r7?h9;548g891b3>3o5<5s4>o8:4;9d9>0a2?2l=o7p};d2494?4|5=n897:6e:?7`60=m>n0q~:k3983>7}:`1c3ty?h>k50;0x91b4l3>2i63;d2g9a2bo=94?:3y>0a742=3n70:k158f3a=z{=n::7>52z?7`43=<0o019j>6;g4`>{tm27?h1?b34>o=n4j7e9~w1b5i3:1>v3;d3;90;3l;o1i:j4}r6g75<72;q68i?548g891b4:3o5<5s4>o?>4;9d9>0a532l=o7p};d0g94?4|5=n:h7:6e:?7`4c=m>n0q~:k2183>7}:`1c3ty?h?850;0x91b5=3>2i63;d349a2bo>54?:3y>0a402=3n70:k298f3a=z{=3n=7>52z?7=`7=m>n0197kf;33e==z{=l<87>52z?7=a`=j1h0197j0;60f>{t<0o96=4={<6:a7{t{t6=4={<6;0a{t{t{t8z?7eg2=m>n019mm0;:b7>;3kj<14l=4=5a`a?>f;27?oi>58`1891ec:32j?63;ce69=0h9019mka;:b7>;3kmi14l=4=5aa7?>f;27?oo;58`1891ee?32j?63;cc;9;3kj;14l=4=5a`7?>f;27?on;58`1891ed132j?63;cb`9;3k1h14l=4=5a;`?>f;27?o5h58`1891e>932j?63;c819;3k>:14l=4=5a46?>f;27?o::58`1891e0>32j?63;c6:9;3k1:14l=4=5a;0?>f;27?o5858`1891e?032j?6s|4`ca>5<5;3kl314l?4=5aff?>f927?ohh58`3891ea932j=63;d52976n1:?7`12=0h;019j;6;:b5>;3l=214l?4=5f02?>f927?h>658`3891b4i32j=63;d2a98;:b5>;3l8k14l?4=5f2g?>f927?h?o58`3891b5k32j=63;d3g9;3l8o14l?4=5f14?>f927?h?<58`3891b5<32j=63;d349;3j0k14l=4=5`:g?>f;27?n4k58`1891df832j?63;b`09;3j1:14l=4=5`;6?>f;27?n5:58`1891d?>32j?63;b9:9;3j0;14l=4=5`:7?>f;2wx8lok:18`837:;03m>525107>=g434?;>;47a29>154?21k870;?2`8;e6=:=98h65o<;<736`52513f>=g434?;>=47a29>0dgc2l=o7p};a`a94?>|5=kjo7k8d:?7g10=0h;019m;8;:b5>;3k=k14l?4=5a7g?>f927?o9k58`3891e2832j=63;c40952z?7ea1=0h;019ok8;g4`>{t11:1<7f927?4=o5e6f8yv??93:1>v3;8179968;e5=:98336o6m;<323d=3h3n63>16:9b747}:983>65o?;<34fg<68>=0q~?>7683>1}:983:65o?;<32=71vl?=:181876180i4o521d4a>1?b3ty:;oo50;0x947>:32j<63>7cc955103ty:=:850;6x947?m32j<63>19d9f=d<58;<:7h=2:\252052z?25=c=j1h01{t9>h26=4={<32{t98=>6=4;{<32?5Q1056?xuf9=0;6?u210:a>g>e34;n:84;9d9~w41e03:1>v3>19a919:9;6m?8184k4}r34f2<72;q6=<66:9c3?870j>0:<:94}r32<6<72=q6=c453W;:4>5rs`35>5<5s4;:n?4m8c9>5`3a2=3n7p}>7d494?4|58;i?76n0:?23`0=99=<7p}>19094?2|58;jj76n0:?25g6=j1h01X69180q~o>7;296~;69hl1n5l4=0g6g?2>m2wx=:k::181876j903m=5216g6>460?2wx=<6>:187876ij03m=5210cg>g>e34;:4<4i239]54>63tyj=54?:3y>54gd2k2i70?j5887=`=z{8=n87>52z?25db=0h:01<9j4;3332=z{8;3<7>54z?25d?=0h:01;691:1j?<4^03;4>{ti831<7=g734;4>0658yv76?o0;69u210c5>=g734;:m:4m8c9>541a2o897S?>7g9~wd7f2909w0?>a78a;6?l81==98;|q252c=83>p1;69h>1n5l4=034a?`5:2T:=:k4}rc2f?6=:r7:=l=5b9`894c283>2i6s|16f4>5<5s4;:m947a19>52b028:<;6s|105g>5<3s4;:m=47a19>54g62k2i70?>7e8e67=Y98=o7p}n1b83>7}:98k;6o6m;<3f0a<31l1v<9lc;296~;69h;14l>4=05`g?77?>1v4=03:a?d?j27:=:m5f308Z470k2wxml3h3n63>e5c9054?b21k;70?8c08242154?f21k;70?>9c8af827:;o851154?xu69>91<7:t=03;1?>f827:=585b9`89470;3l9>6P>1618yvg6n3:1>v3>1979f=d<58o?87:6e:p52d22909w0?>878;e5=:9>h>6<>87:p54de290?w0?>d98;e5=:98n26o6m;<32fg3h3n63>1c;9b747}:98n=65o?;<34ga<68>=0q~?>b983>1}:98n965o?;<32`61?b3ty:;nl50;0x947c;32j<63>7b`955103ty:=o950;6x947dn32j<63>1e29f=d<58;i;7h=2:\25g152z?25f`=j1h01{t9>ij6=4={<32`5{t98h=6=4;{<32gf?5Q10`5?xuf:<0;6?u210a`>g>e34;n584;9d9~w41d13:1>v3>1bf91b;9;6m08184k4}r34g=<72;q6=c453W;:o95rs`04>5<5s4;:j>4m8c9>5`>a2=3n7p}>7b594?4|58;m876n0:?23f1=99=<7p}>1b194?2|58;m<76n0:?25c7=j1h01X69j90q~o=8;296~;69o:1n5l4=0g;g?2>m2wx=:m9:181876n803m=5216a5>460?2wx=g>e34;:o?4i239]54e53tyj>44?:3y>54cc2k2i70?j8887=`=z{8=h97>52z?25`c=0h:01<9l5;3332=z{8;h=7>54z?25`g=0h:01;69j;1j?<4^03`5>{ti;k1<7=g734;0658yv76k90;69u210g4>=g734;:i54m8c9>54e72o897S?>c19~wd4e2909w0?>e68a;6?j91==98;|q25g`=83>p1;69l?1n5l4=03ab?`5:2T:=oh4}rc1g?6=:r7:=h:5b9`894c?83>2i6s|16a1>5<5s4;:i847a19>52e528:<;6s|10`f>5<3s4;:i<47a19>54c52k2i70?>bd8e67=Y98hn7p}n2e83>7}:98o:6o6m;<3f3a<31l1v<9l0;296~;69l814l>4=05`4?77?>1v4=03gb?d?j27:=oj5f308Z47el2wxm?k50;0x947cm3h3n63>e6c9054ba21k;70?8bg8242154be21k;70?>db8af827:;ok51154?xu69k>1<7:t=03`2?>f827:=n95b9`8947e<3l9>6P>1c68yvg483:1>v3>1b49f=d<58o<87:6e:p52dc2909w0?>c68;e5=:9>ho6<>87:p54`d290?w0?=188;e5=:9;;j6o6m;<32bf<858`289446?3h3n63>1gc9b747}:9;;<65o?;<34``<68>=0q~?>f883>1}:9;;865o?;<31511?b3ty:;ij50;0x9446<32j<63>7ef955103ty:=k650;6x9446832j<63>2039f=d<58;m47h=2:\25c>52z?2646=j1h01{t9>nh6=4={<3154{t98l<6=4;{<314aj:c:a?876n>0m>?5Q10d4?xuf;<0;6?u2132g>g>e34;no84;9d9~w41cj3:1>v3>21g93:18v3>21c9;6mj8184k4}r34`d<72;q6=?>m:9c3?870lh0:<:94}r3140<72=q6=?=;:9c3?875;<0i4o521326>c453W;9<85rs`14>5<5s4;9?94m8c9>5`da2=3n7p}>7e;94?4|5888976n0:?23a?=99=<7p}>21694?2|5888=76n0:?2664=j1h01<X6:9>0q~o<8;296~;6::;1n5l4=0gag?2>m2wx=:j7:181875;;03m=5216f;>460?2wx=?><:187875:l03m=52130e>g>e34;9<>4i239]57643tyj?l4?:3y>574b2k2i70?jb887=`=z{8=o:7>52z?267`=0h:01<9k6;3332=z{88;>7>54z?267d=0h:01<<=c;`;f>;6:981j?<4^0036>{ti:h1<7=g734;0658yv75880;69u2130;>=g734;9>44m8c9>57662o897S?=009~wd5d2909w0?=298a;6?m>1==98;|q2656=83>p1<<=5;:b4>;6:;<1n5l4=0034?`5:2T:>=>4}rc0`?6=:r7:>?;5b9`894ce83>2i6s|16f0>5<5s4;9>;47a19>52b428:<;6s|10de>5<3s4;9>?47a19>57442k2i70?>fg8e67=Y98lm7p}n3d83>7}:9;896o6m;<3fea<31l1v<9k2;296~;6:;914l>4=05g6?77?>1v4=0014?d?j27:=kk5f308Z47am2wxm>h50;0x9446n3h3n63>e`c90574721k;70?8d082421577d21k;70?=1e8a;6=4={<315ff827:;i>51154?xu69o?1<7:t=0033?>f827:>=65b9`8947a=3l9>6P>1g78yvg393:1>v3>2159f=d<58oj87:6e:p52ea2909w0?=098;e5=:9>im6<>87:p5`b62909w0?jd082421<58oo>7:6e:p5f1?2909w0?l7982421<58i<57:6e:p5`e?2909w0?jc982421<58oh57:6e:p5`eb2909w0?jcd82421<58ohj7:6e:p5`ee2909w0?jcc82421<58oho7:6e:p5`da2909w0?jbg82421<58oh<7:6e:p5`e22909w0?jc482421<58oh:7:6e:p5`e52909w0?jc382421<58oh?7:6e:p5`dd2909w0?jbb82421<58oih7:6e:p5`d>2909w0?jb882421<58oim7:6e:p5`d72909w0?jb182421<58oi=7:6e:p5`d12909w0?jb782421<58oi;7:6e:p5`d42909w0?jb282421<58oi87:6e:p5`gc2909w0?jae82421<58oji7:6e:p5`gf2909w0?ja`82421<58ojn7:6e:p5`g62909w0?ja082421<58oj>7:6e:p5`g02909w0?ja682421<58oj47:6e:p5`g32909w0?ja582421<58oj97:6e:p5`?b2909w0?j9d82421<58o2j7:6e:p5`?e2909w0?j9c82421<58o2o7:6e:p5`?52909w0?j9382421<58o2?7:6e:p5`??2909w0?j9982421<58o257:6e:p5`?22909w0?j9482421<58o2:7:6e:p5`>>2909w0?j8882421<58o3m7:6e:p5`>a2909w0?j8g82421<58o2<7:6e:p5`>d2909w0?j8b82421<58o3h7:6e:p5`>72909w0?j8182421<58o3=7:6e:p5`>12909w0?j8782421<58o3;7:6e:p5`>42909w0?j8282421<58o387:6e:p5`1c2909w0?j7e82421<58o7:6e:p5`102909w0?j7682421<58o<47:6e:p5`132909w0?j7582421<58o<97:6e:p5`0b2909w0?j6d82421<58o=j7:6e:p5`0e2909w0?j6c82421<58o=o7:6e:p5`052909w0?j6382421<58o=?7:6e:p5`0?2909w0?j6982421<58o=57:6e:p5`022909w0?j6482421<58o=:7:6e:p5`3a2909w0?j5g82421<58o=<7:6e:p5`3d2909w0?j5b82421<58o>h7:6e:p5`342909w0?j5282421<58o>87:6e:p5`3>2909w0?j5882421<58o>m7:6e:p5`312909w0?j5782421<58o>;7:6e:p5`2f2909w0?j4`82421<58o?n7:6e:p5`372909w0?j5182421<58o>=7:6e:p5`2c2909w0?j4e82421<58o?i7:6e:p14?02909w0;>9682421<5<:mm7:6e:p5`202909w0?j4682421<58o?47:6e:p5`232909w0?j4582421<58o?97:6e:p14??2909w0;>9982421<5<:mn7:6e:p14g72909w0;>a182421<5<;;?7:6e:p14g42909w0;>a282421<5<;;:7:6e:p14g62909w0;>a082421<5<;;87:6e:p14g52909w0;>a382421<5<;;97:6e:p14g32909w0;>a582421<5<;;;7:6e:p14g22909w0;>a482421<5<;;47:6e:p14?>2909w0;>9882421<5<:mo7:6e:p14g12909w0;>a782421<5<;;57:6e:p14g02909w0;>a682421<5<;;m7:6e:p14?f2909w0;>9`82421<5<:mh7:6e:p14?e2909w0;>9c82421<5<:mi7:6e:p14?b2909w0;>9d82421<5<;;=7:6e:p14?d2909w0;>9b82421<5<:mj7:6e:p14?c2909w0;>9e82421<5<;;<7:6e:p14g>2909w0;>a882421<5<;;o7:6e:p14g?2909w0;>a982421<5<;;n7:6e:p14d42909w0;>b282421<5<;::7:6e:p14d62909w0;>b082421<5<;:87:6e:p14d52909w0;>b382421<5<;:97:6e:p14d32909w0;>b582421<5<;:;7:6e:p14d22909w0;>b482421<5<;:47:6e:p14d?2909w0;>b982421<5<;:n7:6e:p14d12909w0;>b782421<5<;:57:6e:p14d02909w0;>b682421<5<;:m7:6e:p14gf2909w0;>a`82421<5<;;h7:6e:p14ge2909w0;>ac82421<5<;;i7:6e:p14gb2909w0;>ad82421<5<;:=7:6e:p14gd2909w0;>ab82421<5<;;j7:6e:p14gc2909w0;>ae82421<5<;:<7:6e:p14ga2909w0;>ag82421<5<;:>7:6e:p14d72909w0;>b182421<5<;:?7:6e:p51d12909w0?j3d820g0<58o8j7?;b79~w42e83:1>v3>e2g951d734;n?k4>4c28yxh5>=i:6=4;{o332a<63g;99:4?;|l121e5290iwc?=5682?xh5>=i86=4>{o3112<53td9:9m;:182k75=>087p`=65a6>5<6sg;99:4;;|l121e1290:wc?=5686?xh5>=i<6=4>{o3112<13td9:9m7:182k75=>0<7p`=65a:>5<6sg;99:47;|l121ef290:wc?=568:?xh5>=ii6=4>{o31120i7p`=65ag>5<6sg;99:4l;|l121eb290:wc?=568g?xh5>=im6=4>{o31120m7p`=65f2>5<6sg;99:4>0:m632c:3:1=v`>245954=zf;4?:0ym57302880qc<94e694?7|f88>;7?<;|l121b2290:wc?=56820>{i:?>o:7>51zl2601=9<1vb?8;d683>4}i9;?<6<84}o050a>=83;pb<<:7;34?xh5>=n26=4>{o3112<602we>;:ka;295~h6:<=1=45rn347`g<728qe=?;8:0c8yk410:o6sa276ga?6=9rd:>8951e9~j703lo0;64c50;3xj442?3;m7p`=65g2>5<6sg;99:4=0:m632b:3:1=v`>245964=zf;4?:0ym57302;80qc<94d694?7|f88>;7<<;|l121c2290:wc?=56810>{i:?>n:7>51zl2601=:<1vb?8;e683>4}i9;?<6?84}o050`>=83;pb<<:7;04?xh5>=o26=4>{o3112<502we>;:ja;295~h6:<=1>45rn347ag<728qe=?;8:3c8yk4109o6sa276fa?6=9rd:>8952e9~j703mo0;67c50;3xj442?38m7p`=65d2>5<6sg;99:4<0:m632a:3:1=v`>245974=zf;4?:0ym57302:80qc<94g694?7|f88>;7=<;|l121`2290:wc?=56800>{i:?>m:7>51zl2601=;<1vb?8;f683>4}i9;?<6>84}o050c>=83;pb<<:7;14?xh5>=l26=4>{o3112<402we>;:ia;295~h6:<=1?45rn347bg<728qe=?;8:2c8yk4108o6sa276ea?6=9rd:>8953e9~j703no0;66c50;3xj442?39m7p`=6422>5<6sg;99:4;0:m6337:3:1=v`>245904=zf;<><>4?:0ym57302=80qc<951694?7|f88>;7:<;|l12062290:wc?=56870>{i:??;:7>51zl2601=<<1vb?8:0683>4}i9;?<6984}o0515>=83;pb<<:7;64?xh5><:26=4>{o3112<302we>;;?a;295~h6:<=1845rn3464g<728qe=?;8:5c8yk41=9i1<7?tn0063?2e3td9:8>k:182k75=>0?o6sa2773a?6=9rd:>8954e9~j7028o0;61c50;3xj442?3>m7p`=6432>5<6sg;99:4:0:m6336:3:1=v`>245914=zf;<>=>4?:0ym57302<80qc<950694?7|f88>;7;<;|l12072290:wc?=56860>{i:??::7>51zl2601==<1vb?8:1683>4}i9;?<6884}o0514>=83;pb<<:7;74?xh5><;26=4>{o3112<202we>;;>a;295~h6:<=1945rn3465g<728qe=?;8:4c8yk41=8i1<7?tn0063?3e3td9:8?k:182k75=>0>o6sa2772a?6=9rd:>8955e9~j7029o0;60c50;3xj442?3?m7p`=6402>5<6sg;99:490:m6335:3:1=v`>245924=zf;<>>>4?:0ym57302?80qc<953694?7|f88>;78<;|l12042290:wc?=56850>{i:??9:7>51zl2601=><1vb?8:2683>4}i9;?<6;84}o0517>=83;pb<<:7;44?xh5><826=4>{o3112<102we>;;=a;295~h6:<=1:45rn3466g<728qe=?;8:7c8yk41=;i1<7?tn0063?0e3td9:80=o6sa2771a?6=9rd:>8956e9~j702:o0;63c>50;3xj442?35<6sg;99:480:m6334:3:1=v`>245934=zf;<>?>4?:0ym57302>80qc<952694?7|f88>;79<;|l12052290:wc?=56840>{i:??8:7>51zl2601=?<1vb?8:3683>4}i9;?<6:84}o0516>=83;pb<<:7;54?xh5><926=4>{o3112<002we>;;08957e9~j702;o0;62c50;3xj442?3=m7p`=6462>5<6sg;99:470:m6333:3:1=v`>2459<4=zf;<>8>4?:0ym57302180qc<955694?7|f88>;76<;|l12022290:wc?=568;0>{i:???:7>51zl2601=0<1vb?8:4683>4}i9;?<6584}o0511>=83;pb<<:7;:4?xh5><>26=4>{o3112;;;a;295~h6:<=1445rn3460g<728qe=?;8:9c8yk41==i1<7?tn0063?>e3td9:8:k:182k75=>03o6sa2777a?6=9rd:>8958e9~j702=c50;3xj442?32m7p`=6472>5<6sg;99:460:m6332:3:1=v`>2459=4=zf;<>9>4?:0ym57302080qc<954694?7|f88>;77<;|l12032290:wc?=568:0>{i:??>:7>51zl2601=1<1vb?8:5683>4}i9;?<6484}o0510>=83;pb<<:7;;4?xh5>{o3112<>02we>;;:a;295~h6:<=1545rn3461g<728qe=?;8:8c8yk41=02o6sa2776a?6=9rd:>8959e9~j702=o0;650;3xj442?33m7p`=6442>5<6sg;99:4n0:m6331:3:1=v`>2459e4=zf;<>:>4?:0ym57302h80qc<957694?7|f88>;7o<;|l12002290:wc?=568b0>{i:??=:7>51zl2601=i<1vb?8:6683>4}i9;?<6l84}o0513>=83;pb<<:7;c4?xh5><<26=4>{o3112;;9a;295~h6:<=1m45rn3462g<728qe=?;8:`c8yk41=?i1<7?tn0063?ge3td9:88k:182k75=>0jo6sa2775a?6=9rd:>895ae9~j702>o0;6dc50;3xj442?3km7p`=6452>5<6sg;99:4m0:m6330:3:1=v`>2459f4=zf;<>;>4?:0ym57302k80qc<956694?7|f88>;7l<;|l12012290:wc?=568a0>{i:??<:7>51zl2601=j<1vb?8:7683>4}i9;?<6o84}o0512>=83;pb<<:7;`4?xh5><=26=4>{o3112;;8a;295~h6:<=1n45rn3463g<728qe=?;8:cc8yk41=>i1<7?tn0063?de3td9:89k:182k75=>0io6sa2774a?6=9rd:>895be9~j702?o0;6gc50;3xj442?3hm7p`=64:2>5<6sg;99:4l0:m633?:3:1=v`>2459g4=zf;<>4>4?:0ym57302j80qc<959694?7|f88>;7m<;|l120>2290:wc?=568`0>{i:??3:7>51zl2601=k<1vb?8:8683>4}i9;?<6n84}o051=>=83;pb<<:7;a4?xh5><226=4>{o3112;;7a;295~h6:<=1o45rn3460ho6sa277;a?6=9rd:>895ce9~j7020o0;6fc50;3xj442?3im7p`=64;2>5<6sg;99:4k0:m633>:3:1=v`>2459`4=zf;<>5>4?:0ym57302m80qc<958694?7|f88>;7j<;|l120?2290:wc?=568g0>{i:??2:7>51zl2601=l<1vb?8:9683>4}i9;?<6i84}o051<>=83;pb<<:7;f4?xh5><326=4>{o3112;;6a;295~h6:<=1h45rn346=g<728qe=?;8:ec8yk41=0i1<7?tn0063?be3td9:87k:182k75=>0oo6sa277:a?6=9rd:>895de9~j7021o0;6ac50;3xj442?3nm7p`=64c2>5<6sg;99:4j0:m633f:3:1=v`>2459a4=zf;<>m>4?:0ym57302l80qc<95`694?7|f88>;7k<;|l120g2290:wc?=568f0>{i:??j:7>51zl2601=m<1vb?8:a683>4}i9;?<6h84}o051d>=83;pb<<:7;g4?xh5>{o3112;;na;295~h6:<=1i45rn346eg<728qe=?;8:dc8yk41=hi1<7?tn0063?ce3td9:8ok:182k75=>0no6sa277ba?6=9rd:>895ee9~j702io0;6`c50;3xj442?3om7p`=64`2>5<6sg;99:4i0:m633e:3:1=v`>2459b4=zf;<>n>4?:0ym57302o80qc<95c694?7|f88>;7h<;|l120d2290:wc?=568e0>{i:??i:7>51zl2601=n<1vb?8:b683>4}i9;?<6k84}o051g>=83;pb<<:7;d4?xh5>{o3112;;ma;295~h6:<=1j45rn346fg<728qe=?;8:gc8yk41=ki1<7?tn0063?`e3td9:8lk:182k75=>0mo6sa277aa?6=9rd:>895fe9~j702jo0;6cc50;3xj442?3lm7p`=64a2>5<6sg;99:4>019~j702k;0;64663td9:8m<:182k75=>0:6=4>{o3112<68=1vb?8:c783>4}i9;?<6<>:;|l120e0290:wc?=568243=zf;<>o54?:0ym573028:<7p`=64a:>5<6sg;99:4>099~j702kh0;646>3td9:8mm:182k75=>0:{o3112<68j1vb?8:cd83>4}i9;?<6<>k;|l120ea290:wc?=56824`=zf;<>h=4?:0ym573028:m7p`=64f2>5<6sg;99:4>119~j702l;0;64763td9:8j<:182k75=>0:=?5rn346`1<728qe=?;8:030?xh5>6=4>{o3112<69=1vb?8:d783>4}i9;?<6h54?:0ym573028;<7p`=64f:>5<6sg;99:4>199~j702lh0;647>3td9:8jm:182k75=>0:=l5rn346`f<728qe=?;8:03a?xh5>{o3112<69j1vb?8:dd83>4}i9;?<6i=4?:0ym573028;m7p`=64g2>5<6sg;99:4>219~j702m;0;64463td9:8k<:182k75=>0:>?5rn346a1<728qe=?;8:000?xh5>6=4>{o3112<6:=1vb?8:e783>4}i9;?<6<<:;|l120c0290:wc?=568263=zf;<>i54?:0ym5730288<7p`=64g:>5<6sg;99:4>299~j702mh0;644>3td9:8km:182k75=>0:>l5rn346af<728qe=?;8:00a?xh5>{o3112<6:j1vb?8:ed83>4}i9;?<6<j=4?:0ym5730288m7p`=64d2>5<6sg;99:4>319~j702n;0;64563td9:8h<:182k75=>0:??5rn346b1<728qe=?;8:010?xh5>6=4>{o3112<6;=1vb?8:f783>4}i9;?<6<=:;|l120`0290:wc?=568273=zf;<>j54?:0ym5730289<7p`=64d:>5<6sg;99:4>399~j702nh0;645>3td9:8hm:182k75=>0:?l5rn346bf<728qe=?;8:01a?xh5>{o3112<6;j1vb?8:fd83>4}i9;?<6<=k;|l120`a290:wc?=56827`=zf;<=<=4?:0ym5730289m7p`=6722>5<6sg;99:4>419~j7018;0;64263td9:;><:182k75=>0:8?5rn34541<728qe=?;8:060?xh5>?:>6=4>{o3112<6<=1vb?890783>4}i9;?<6<::;|l12360290:wc?=568203=zf;<=<54?:0ym573028><7p`=672:>5<6sg;99:4>499~j7018h0;642>3td9:;>m:182k75=>0:8l5rn3454f<728qe=?;8:06a?xh5>?:o6=4>{o3112<64}i9;?<6<:k;|l1236a290:wc?=56820`=zf;<===4?:0ym573028>m7p`=6732>5<6sg;99:4>519~j7019;0;64363td9:;?<:182k75=>0:9?5rn34551<728qe=?;8:070?xh5>?;>6=4>{o3112<6==1vb?891783>4}i9;?<6<;:;|l12370290:wc?=568213=zf;<==54?:0ym573028?<7p`=673:>5<6sg;99:4>599~j7019h0;643>3td9:;?m:182k75=>0:9l5rn3455f<728qe=?;8:07a?xh5>?;o6=4>{o3112<6=j1vb?891d83>4}i9;?<6<;k;|l1237a290:wc?=56821`=zf;<=>=4?:0ym573028?m7p`=6702>5<6sg;99:4>619~j701:;0;64063td9:;<<:182k75=>0::?5rn34561<728qe=?;8:040?xh5>?8>6=4>{o3112<6>=1vb?892783>4}i9;?<6<8:;|l12340290:wc?=568223=zf;<=>54?:0ym573028<<7p`=670:>5<6sg;99:4>699~j701:h0;640>3td9:;0::l5rn3456f<728qe=?;8:04a?xh5>?8o6=4>{o3112<6>j1vb?892d83>4}i9;?<6<8k;|l1234a290:wc?=56822`=zf;<=?=4?:0ym5730285<6sg;99:4>719~j701;;0;64163td9:;=<:182k75=>0:;?5rn34571<728qe=?;8:050?xh5>?9>6=4>{o3112<6?=1vb?893783>4}i9;?<6<9:;|l12350290:wc?=568233=zf;<=?54?:0ym573028=<7p`=671:>5<6sg;99:4>799~j701;h0;641>3td9:;=m:182k75=>0:;l5rn3457f<728qe=?;8:05a?xh5>?9o6=4>{o3112<6?j1vb?893d83>4}i9;?<6<9k;|l1235a290:wc?=56823`=zf;<=8=4?:0ym573028=m7p`=6762>5<6sg;99:4>819~j701<;0;64>63td9:;:<:182k75=>0:4?5rn34501<728qe=?;8:0:0?xh5>?>>6=4>{o3112<60=1vb?894783>4}i9;?<6<6:;|l12320290:wc?=5682<3=zf;<=854?:0ym5730282<7p`=676:>5<6sg;99:4>899~j7014>>3td9:;:m:182k75=>0:4l5rn3450f<728qe=?;8:0:a?xh5>?>o6=4>{o3112<60j1vb?894d83>4}i9;?<6<6k;|l1232a290:wc?=5682<`=zf;<=9=4?:0ym5730282m7p`=6772>5<6sg;99:4>919~j701=;0;64?63td9:;;<:182k75=>0:5?5rn34511<728qe=?;8:0;0?xh5>??>6=4>{o3112<61=1vb?895783>4}i9;?<6<7:;|l12330290:wc?=5682=3=zf;<=954?:0ym5730283<7p`=677:>5<6sg;99:4>999~j701=h0;64?>3td9:;;m:182k75=>0:5l5rn3451f<728qe=?;8:0;a?xh5>??o6=4>{o3112<61j1vb?895d83>4}i9;?<6<7k;|l1233a290:wc?=5682=`=zf;<=:=4?:0ym5730283m7p`=6742>5<6sg;99:4>a19~j701>;0;64g63td9:;8<:182k75=>0:m?5rn34521<728qe=?;8:0c0?xh5>?<>6=4>{o3112<6i=1vb?896783>4}i9;?<65<6sg;99:4>a99~j701>h0;64g>3td9:;8m:182k75=>0:ml5rn3452f<728qe=?;8:0ca?xh5>?{o3112<6ij1vb?896d83>4}i9;?<65<6sg;99:4>b19~j701?;0;64d63td9:;9<:182k75=>0:n?5rn34531<728qe=?;8:0`0?xh5>?=>6=4>{o3112<6j=1vb?897783>4}i9;?<65<6sg;99:4>b99~j701?h0;64d>3td9:;9m:182k75=>0:nl5rn3453f<728qe=?;8:0`a?xh5>?=o6=4>{o3112<6jj1vb?897d83>4}i9;?<65<6sg;99:4>c19~j7010;0;64e63td9:;6<:182k75=>0:o?5rn345<1<728qe=?;8:0a0?xh5>?2>6=4>{o3112<6k=1vb?898783>4}i9;?<60290:wc?=5682g3=zf;<=454?:0ym573028i<7p`=67::>5<6sg;99:4>c99~j7010h0;64e>3td9:;6m:182k75=>0:ol5rn345?2o6=4>{o3112<6kj1vb?898d83>4}i9;?<6a290:wc?=5682g`=zf;<=5=4?:0ym573028im7p`=67;2>5<6sg;99:4>d19~j7011;0;64b63td9:;7<:182k75=>0:h?5rn345=1<728qe=?;8:0f0?xh5>?3>6=4>{o3112<6l=1vb?899783>4}i9;?<65<6sg;99:4>d99~j7011h0;64b>3td9:;7m:182k75=>0:hl5rn345=f<728qe=?;8:0fa?xh5>?3o6=4>{o3112<6lj1vb?899d83>4}i9;?<65<6sg;99:4>e19~j701i;0;64c63td9:;o<:182k75=>0:i?5rn345e1<728qe=?;8:0g0?xh5>?k>6=4>{o3112<6m=1vb?89a783>4}i9;?<65<6sg;99:4>e99~j701ih0;64c>3td9:;om:182k75=>0:il5rn345ef<728qe=?;8:0ga?xh5>?ko6=4>{o3112<6mj1vb?89ad83>4}i9;?<65<6sg;99:4>f19~j701j;0;64`63td9:;l<:185k77>m0;7c?=5682b7=zf;<=n94?:0ym573028l87p`=67`6>5<6sg;99:4>f59~j701j?0;64`23td9:;l8:182k75=>0:j;5rn345f=<728qe=?;8:0d4?xh5>?h26=4>{o3112<6n11vb?89b`83>4}i9;?<65<6sg;99:4>fb9~j701jl0;64`c3td9:;li:182k75=>0:jh5rn345g5<728qe=?;8:0de?xh5>?i:6=4>{o3112<5891vb?89c383>4}i9;?<6?>>;|l123e4290:wc?=568147=zf;<=o94?:0ym57302;:87p`=67a6>5<6sg;99:4=059~j701k?0;67623td9:;m8:182k75=>09<;5rn345g=<728qe=?;8:324?xh5>?i26=4>{o3112<5811vb?89c`83>4}i9;?<6?>6;|l123ee290:wc?=56814d=zf;<=on4?:0ym57302;:i7p`=67ag>5<6sg;99:4=0b9~j701kl0;676c3td9:;mi:182k75=>09?n:6=4>{o3112<5991vb?89d383>4}i9;?<6??>;|l123b4290:wc?=568157=zf;<=h94?:0ym57302;;87p`=67f6>5<6sg;99:4=159~j701l?0;67723td9:;j8:182k75=>09=;5rn345`=<728qe=?;8:334?xh5>?n26=4>{o3112<5911vb?89d`83>4}i9;?<6??6;|l123be290:wc?=56815d=zf;<=hn4?:0ym57302;;i7p`=67fg>5<6sg;99:4=1b9~j701ll0;677c3td9:;ji:182k75=>09=h5rn345a5<728qe=?;8:33e?xh5>?o:6=4>{o3112<5:91vb?89e383>4}i9;?<6?<>;|l123c4290:wc?=568167=zf;<=i94?:0ym57302;887p`=67g6>5<6sg;99:4=259~j701m?0;67423td9:;k8:182k75=>09>;5rn345a=<728qe=?;8:304?xh5>?o26=4>{o3112<5:11vb?89e`83>4}i9;?<6?<6;|l123ce290:wc?=56816d=zf;<=in4?:0ym57302;8i7p`=67gg>5<6sg;99:4=2b9~j701ml0;674c3td9:;ki:182k75=>09>h5rn345b5<728qe=?;8:30e?xh5>?l:6=4>{o3112<5;91vb?89f383>4}i9;?<6?=>;|l123`4290:wc?=568177=zf;<=j94?:0ym57302;987p`=67d6>5<6sg;99:4=359~j701n?0;67523td9:;h8:182k75=>09?;5rn345b=<728qe=?;8:314?xh5>?l26=4>{o3112<5;11vb?89f`83>4}i9;?<6?=6;|l123`e290:wc?=56817d=zf;<=jn4?:0ym57302;9i7p`=67dg>5<6sg;99:4=3b9~j701nl0;675c3td9:;hi:182k75=>09?h5rn34445<728qe=?;8:31e?xh5>>::6=4>{o3112<5<91vb?880383>4}i9;?<6?:>;|l12264290:wc?=568107=zf;<<<94?:0ym57302;>87p`=6626>5<6sg;99:4=459~j7008?0;67223td9::>8:182k75=>098;5rn3444=<728qe=?;8:364?xh5>>:26=4>{o3112<5<11vb?880`83>4}i9;?<6?:6;|l1226e290:wc?=56810d=zf;<<i7p`=662g>5<6sg;99:4=4b9~j7008l0;672c3td9::>i:182k75=>098h5rn34455<728qe=?;8:36e?xh5>>;:6=4>{o3112<5=91vb?881383>4}i9;?<6?;>;|l12274290:wc?=568117=zf;<<=94?:0ym57302;?87p`=6636>5<6sg;99:4=559~j7009?0;67323td9::?8:182k75=>099;5rn3445=<728qe=?;8:374?xh5>>;26=4>{o3112<5=11vb?881`83>4}i9;?<6?;6;|l1227e290:wc?=56811d=zf;<<=n4?:0ym57302;?i7p`=663g>5<6sg;99:4=5b9~j7009l0;673c3td9::?i:182k75=>099h5rn34465<728qe=?;8:37e?xh5>>8:6=4>{o3112<5>91vb?882383>4}i9;?<6?8>;|l12244290:wc?=568127=zf;<<>94?:0ym57302;<87p`=6606>5<6sg;99:4=659~j700:?0;67023td9::<8:182k75=>09:;5rn3446=<728qe=?;8:344?xh5>>826=4>{o3112<5>11vb?882`83>4}i9;?<6?86;|l1224e290:wc?=56812d=zf;<<>n4?:0ym57302;5<6sg;99:4=6b9~j700:l0;670c3td9::09:h5rn34475<728qe=?;8:34e?xh5>>9:6=4>{o3112<5?91vb?883383>4}i9;?<6?9>;|l12254290:wc?=568137=zf;<5<6sg;99:4=759~j700;?0;67123td9::=8:182k75=>09;;5rn3447=<728qe=?;8:354?xh5>>926=4>{o3112<5?11vb?883`83>4}i9;?<6?96;|l1225e290:wc?=56813d=zf;<5<6sg;99:4=7b9~j700;l0;671c3td9::=i:182k75=>09;h5rn34405<728qe=?;8:35e?xh5>>>:6=4>{o3112<5091vb?884383>4}i9;?<6?6>;|l12224290:wc?=5681<7=zf;<<894?:0ym57302;287p`=6666>5<6sg;99:4=859~j7007>23td9:::8:182k75=>094;5rn3440=<728qe=?;8:3:4?xh5>>>26=4>{o3112<5011vb?884`83>4}i9;?<6?66;|l1222e290:wc?=56815<6sg;99:4=8b9~j7007>c3td9:::i:182k75=>094h5rn34415<728qe=?;8:3:e?xh5>>?:6=4>{o3112<5191vb?885383>4}i9;?<6?7>;|l12234290:wc?=5681=7=zf;<<994?:0ym57302;387p`=6676>5<6sg;99:4=959~j700=?0;67?23td9::;8:182k75=>095;5rn3441=<728qe=?;8:3;4?xh5>>?26=4>{o3112<5111vb?885`83>4}i9;?<6?76;|l1223e290:wc?=5681=d=zf;<<9n4?:0ym57302;3i7p`=667g>5<6sg;99:4=9b9~j700=l0;67?c3td9::;i:182k75=>095h5rn34425<728qe=?;8:3;e?xh5>><:6=4>{o3112<5i91vb?886383>4}i9;?<6?o>;|l12204290:wc?=5681e7=zf;<<:94?:0ym57302;k87p`=6646>5<6sg;99:4=a59~j700>?0;67g23td9::88:182k75=>09m;5rn3442=<728qe=?;8:3c4?xh5>><26=4>{o3112<5i11vb?886`83>4}i9;?<6?o6;|l1220e290:wc?=5681ed=zf;<<:n4?:0ym57302;ki7p`=664g>5<6sg;99:4=ab9~j700>l0;67gc3td9::8i:182k75=>09mh5rn34435<728qe=?;8:3ce?xh5>>=:6=4>{o3112<5j91vb?887383>4}i9;?<6?l>;|l12214290:wc?=5681f7=zf;<<;94?:0ym57302;h87p`=6656>5<6sg;99:4=b59~j700??0;67d23td9::98:182k75=>09n;5rn3443=<728qe=?;8:3`4?xh5>>=26=4>{o3112<5j11vb?887`83>4}i9;?<6?l6;|l1221e290:wc?=5681fd=zf;<<;n4?:0ym57302;hi7p`=665g>5<6sg;99:4=bb9~j700?l0;67dc3td9::9i:182k75=>09nh5rn344<5<728qe=?;8:3`e?xh5>>2:6=4>{o3112<5k91vb?888383>4}i9;?<6?m>;|l122>4290:wc?=5681g7=zf;<<494?:0ym57302;i87p`=66:6>5<6sg;99:4=c59~j7000?0;67e23td9::68:182k75=>09o;5rn344<=<728qe=?;8:3a4?xh5>>226=4>{o3112<5k11vb?888`83>4}i9;?<6?m6;|l122>e290:wc?=5681gd=zf;<<4n4?:0ym57302;ii7p`=66:g>5<6sg;99:4=cb9~j7000l0;67ec3td9::6i:182k75=>09oh5rn344=5<728qe=?;8:3ae?xh5>>3:6=4>{o3112<5l91vb?889383>4}i9;?<6?j>;|l122?4290:wc?=5681`7=zf;<<594?:0ym57302;n87p`=66;6>5<6sg;99:4=d59~j7001?0;67b23td9::78:182k75=>09h;5rn344==<728qe=?;8:3f4?xh5>>326=4>{o3112<5l11vb?889`83>4}i9;?<6?j6;|l122?e290:wc?=5681`d=zf;<<5n4?:0ym57302;ni7p`=66;g>5<6sg;99:4=db9~j7001l0;67bc3td9::7i:182k75=>09hh5rn344e5<728qe=?;8:3fe?xh5>>k:6=4>{o3112<5m91vb?88a383>4}i9;?<6?k>;|l122g4290:wc?=5681a7=zf;<5<6sg;99:4=e59~j700i?0;67c23td9::o8:182k75=>09i;5rn344e=<728qe=?;8:3g4?xh5>>k26=4>{o3112<5m11vb?88a`83>4}i9;?<6?k6;|l122ge290:wc?=5681ad=zf;<5<6sg;99:4=eb9~j700il0;67cc3td9::oi:182k75=>09ih5rn344f5<728qe=?;8:3ge?xh5>>h:6=4>{o3112<5n91vb?88b383>4}i9;?<6?h>;|l122d4290:wc?=5681b7=zf;<5<6sg;99:4=f59~j700j?0;67`23td9::l8:182k75=>09j;5rn344f=<728qe=?;8:3d4?xh5>>h26=4>{o3112<5n11vb?88b`83>4}i9;?<6?h6;|l122de290:wc?=5681bd=zf;<5<6sg;99:4=fb9~j700jl0;67`c3td9::li:182k75=>09jh5rn344g5<728qe=?;8:3de?xh5>>i:6=4>{o3112<4891vb?88c383>4}i9;?<6>>>;|l122e4290:wc?=568047=zf;<5<6sg;99:4<059~j700k?0;66623td9::m8:182k75=>08<;5rn344g=<728qe=?;8:224?xh5>>i26=4>{o3112<4811vb?88c`83>4}i9;?<6>>6;|l122ee290:wc?=56804d=zf;<5<6sg;99:4<0b9~j700kl0;666c3td9::mi:182k75=>08>n:6=4>{o3112<4991vb?88d383>4}i9;?<6>?>;|l122b4290:wc?=568057=zf;<5<6sg;99:4<159~j700l?0;66723td9::j8:182k75=>08=;5rn344`=<728qe=?;8:234?xh5>>n26=4>{o3112<4911vb?88d`83>4}i9;?<6>?6;|l122be290:wc?=56805d=zf;<5<6sg;99:4<1b9~j700ll0;667c3td9::ji:182k75=>08=h5rn344a5<728qe=?;8:23e?xh5>>o:6=4>{o3112<4:91vb?88e383>4}i9;?<6><>;|l122c4290:wc?=568067=zf;<5<6sg;99:4<259~j700m?0;66423td9::k8:182k75=>08>;5rn344a=<728qe=?;8:204?xh5>>o26=4>{o3112<4:11vb?88e`83>4}i9;?<6><6;|l122ce290:wc?=56806d=zf;<5<6sg;99:4<2b9~j700ml0;664c3td9::ki:182k75=>08>h5rn344b5<728qe=?;8:20e?xh5>>l:6=4>{o3112<4;91vb?88f383>4}i9;?<6>=>;|l122`4290:wc?=568077=zf;<5<6sg;99:4<359~j700n?0;66523td9::h8:182k75=>08?;5rn344b=<728qe=?;8:214?xh5>>l26=4>{o3112<4;11vb?88f`83>4}i9;?<6>=6;|l122`e290:wc?=56807d=zf;<5<6sg;99:4<3b9~j700nl0;665c3td9::hi:182k75=>08?h5rn34;45<728qe=?;8:21e?xh5>1::6=4>{o3112<4<91vb?870383>4}i9;?<6>:>;|l12=64290:wc?=568007=zf;<3<94?:0ym57302:>87p`=6926>5<6sg;99:4<459~j70?8?0;66223td9:5>8:182k75=>088;5rn34;4=<728qe=?;8:264?xh5>1:26=4>{o3112<4<11vb?870`83>4}i9;?<6>:6;|l12=6e290:wc?=56800d=zf;<3i7p`=692g>5<6sg;99:4<4b9~j70?8l0;662c3td9:5>i:182k75=>088h5rn34;55<728qe=?;8:26e?xh5>1;:6=4>{o3112<4=91vb?871383>4}i9;?<6>;>;|l12=74290:wc?=568017=zf;<3=94?:0ym57302:?87p`=6936>5<6sg;99:4<559~j70?9?0;66323td9:5?8:182k75=>089;5rn34;5=<728qe=?;8:274?xh5>1;26=4>{o3112<4=11vb?871`83>4}i9;?<6>;6;|l12=7e290:wc?=56801d=zf;<3=n4?:0ym57302:?i7p`=693g>5<6sg;99:4<5b9~j70?9l0;663c3td9:5?i:182k75=>089h5rn34;65<728qe=?;8:27e?xh5>18:6=4>{o3112<4>91vb?872383>4}i9;?<6>8>;|l12=44290:wc?=568027=zf;<3>94?:0ym57302:<87p`=6906>5<6sg;99:4<659~j70?:?0;66023td9:5<8:182k75=>08:;5rn34;6=<728qe=?;8:244?xh5>1826=4>{o3112<4>11vb?872`83>4}i9;?<6>86;|l12=4e290:wc?=56802d=zf;<3>n4?:0ym57302:5<6sg;99:4<6b9~j70?:l0;660c3td9:508:h5rn34;75<728qe=?;8:24e?xh5>19:6=4>{o3112<4?91vb?873383>4}i9;?<6>9>;|l12=54290:wc?=568037=zf;<3?94?:0ym57302:=87p`=6916>5<6sg;99:4<759~j70?;?0;66123td9:5=8:182k75=>08;;5rn34;7=<728qe=?;8:254?xh5>1926=4>{o3112<4?11vb?873`83>4}i9;?<6>96;|l12=5e290:wc?=56803d=zf;<3?n4?:0ym57302:=i7p`=691g>5<6sg;99:4<7b9~j70?;l0;6?ua1374>61c3td9:5=i:182k75=>08;h5rn34;05<72;qe=?;8:25e?xh5>1>:6=4={o3112<4091vb?874383>7}i9;?<6>6>;|l12=24290:wc?=5680<7=zf;<3894?:2yY545c28q:8h4rn0063?5?;2we>;6;5;295~h6:<=1?5:4}o05<10=83;pb<<:7;1;1>{i:?2?;7>51zl2601=;1<0qc<985:94?7|f88>;7=77:m63>313:1=v`>24597=>89539c8yk410=i1<7?tn0063?5?j2we>;6;d;295~h6:<=1?5m4}o05<1c=83;pb<<:7;1;`>{i:?2?j7>51zl2601=;1o0qc<984294?7|f88>;7=7f:m63>293:1=v`>24597<68953808yk410<>1<7?tn0063?5>;2we>;6:5;295~h6:<=1?4:4}o05<00=83;pb<<:7;1:1>{i:?2>;7>51zl2601=;0<0qc<984:94?7|f88>;7=67:m63>213:1=v`>24597<>89538c8yk410j2we>;6:d;295~h6:<=1?4m4}o05<0c=83;pb<<:7;1:`>{i:?2>j7>51zl2601=;0o0qc<987294?7|f88>;7=6f:m63>193:1=v`>24597d68953`08yk410?>1<7?tn0063?5f;2we>;695;295~h6:<=1?l:4}o05<30=83;pb<<:7;1b1>{i:?2=;7>51zl2601=;h<0qc<987:94?7|f88>;7=n7:m63>113:1=v`>24597d>8953`c8yk410?i1<7?tn0063?5fj2we>;69d;295~h6:<=1?lm4}o05<3c=83;pb<<:7;1b`>{i:?2=j7>51zl2601=;ho0qc<986294?7|f88>;7=nf:m63>093:1=v`>24597g68953c08yk410>>1<7?tn0063?5e;2we>;685;295~h6:<=1?o:4}o05<20=838pb<<:7;1a1>{i:?2<;7>51zl2601=;k<0qc<986:94?4|f88>;7=m7:m63>013:1=v`>24597g>8953cc8yk410>i1<7?tn0063?5ej2we>;68d;296~h6:<=1?om4}o05<2c=83;pb<<:7;1a`>{i:?252zl2601=;ko0qc<989294?7|f88>;7=mf:m63>?93:1=v`>24597f68953b08yk4101>1<7;675;295~h6:<=1?n:4}o05<=0=838pb<<:7;1`1>{i:?23;7>51zl2601=;j<0qc<989:94?7|f88>;7=l7:m63>?13:1=v`>24597f>8953bc8yk4101i1<7?tn0063?5dj2we>;67d;296~h6:<=1?nm4}o05<=c=83;pb<<:7;1``>{i:?23j7>51zl2601=;jo0qc<988294?7|f88>;7=lf:m63>>93:1=v`>24597a68953e08yk4100>1<7;665;295~h6:<=1?i:4}o05<<0=83;pb<<:7;1g1>{i:?22;7>51zl2601=;m<0qc<988:94?7|f88>;7=k7:m63>>13:1>v`>24597a>8953ec8yk4100i1<7?tn0063?5cj2we>;66d;295~h6:<=1?im4}o05<{i:?22j7>51zl2601=;mo0qc<98`294?7|f88>;7=kf:m63>f93:1=v`>24597`68953d08yk410h>1<7?tn0063?5b;2we>;6n5;295~h6:<=1?h:4}o05{i:?2j;7>51zl2601=;l<0qc<98`:94?7|f88>;7=j7:m63>f13:1=v`>24597`>8953dc8yk410hi1<7?tn0063?5bj2we>;6nd;295~h6:<=1?hm4}o05{i:?2jj7>51zl2601=;lo0qc<98c294?7|f88>;7=jf:m63>e93:1=v`>24597c68953g08yk410k>1<7?tn0063?5a;2we>;6m5;295~h6:<=1?k:4}o05{i:?2i;7>51zl2601=;o<0qc<98c:94?7|f88>;7=i7:m63>e13:1=v`>24597c>8953gc8yk410ki1<7?tn0063?5aj2we>;6md;295~h6:<=1?km4}o05{i:?2ij7>51zl2601=;oo0qc<98b294?7|f88>;7=if:m63>d93:1=v`>2459056;=6sa27:`7?6=9rd:>8954108yk410j>1<7?tn0063?27;2we>;6l5;295~h6:<=18=:4}o05{i:?2h;7>51zl2601=<9<0qc<98b:94?7|f88>;7:?7:m63>d13:1=v`>245905>;56sa27:`f?6=9rd:>89541c8yk410ji1<7?tn0063?27j2we>;6ld;295~h6:<=18=m4}o05{i:?2hj7>51zl2601=<9o0qc<98e294?7|f88>;7:?f:m63>c93:1=v`>2459046:=6sa27:g7?6=9rd:>8954008yk410m>1<7?tn0063?26;2we>;6k5;295~h6:<=18<:4}o05{i:?2o;7>51zl2601=<8<0qc<98e:94?7|f88>;7:>7:m63>c13:1=v`>245904>:56sa27:gf?6=9rd:>89540c8yk410mi1<7?tn0063?26j2we>;6kd;295~h6:<=18{i:?2oj7>51zl2601=<8o0qc<98d294?4|f88>;7:>f:m63>b93:1=v`>24590769=6sa27:f7?6=9rd:>8954308yk410l>1<7?tn0063?25;2we>;6j5;295~h6:<=18?:4}o05<`0=83;pb<<:7;611>{i:?2n;7>51zl2601=<;<0qc<98d:94?7|f88>;7:=7:m63>b13:1=v`>245907>956sa27:ff?6=9rd:>89543c8yk410li1<7?tn0063?25j2we>;6jd;295~h6:<=18?m4}o05<`c=83;pb<<:7;61`>{i:?2nj7>51zl2601=<;o0qc<98g294?7|f88>;7:=f:m63>a93:1=v`>24590668=6sa27:e7?6=9rd:>8954208yk410o>1<7?tn0063?24;2we>;6i5;295~h6:<=18>:4}o05{i:?2m;7>51zl2601=<:<0qc<98g:94?7|f88>;7:<7:m63>a13:1=v`>245906>856sa27:ef?6=9rd:>89542c8yk410oi1<7?tn0063?24j2we>;6id;295~h6:<=18>m4}o05{i:?2mj7>51zl2601=<:o0qc<991294?7|f88>;7:2459016?=6sa27;37?6=9rd:>8954508yk4119>1<7?tn0063?23;2we>;7?5;295~h6:<=189:4}o05=50=83;pb<<:7;671>{i:?3;;7>51zl2601=<=<0qc<991:94?7|f88>;7:;7:m63?713:1=v`>245901>?56sa27;3f?6=9rd:>89545c8yk4119i1<7?tn0063?23j2we>;7?d;295~h6:<=189m4}o05=5c=83;pb<<:7;67`>{i:?3;j7>51zl2601=<=o0qc<990294?7|f88>;7:;f:m63?693:1=v`>2459006>=6sa27;27?6=9rd:>8954408yk4118>1<7?tn0063?22;2we>;7>5;295~h6:<=188:4}o05=40=83;pb<<:7;661>{i:?3:;7>51zl2601=<<<0qc<990:94?7|f88>;7::7:m63?613:1=v`>245900>>56sa27;2f?6=9rd:>89544c8yk4118i1<7?tn0063?22j2we>;7>d;295~h6:<=188m4}o05=4c=83;pb<<:7;66`>{i:?3:j7>51zl2601=<;7::f:m63?593:1=v`>2459036==6sa27;17?6=9rd:>8954708yk411;>1<7?tn0063?21;2we>;7=5;295~h6:<=18;:4}o05=70=83;pb<<:7;651>{i:?39;7>51zl2601=;7:97:m63?513:1=v`>245903>=56sa27;1f?6=9rd:>89547c8yk411;i1<7?tn0063?21j2we>;7=d;295~h6:<=18;m4}o05=7c=83;pb<<:7;65`>{i:?39j7>51zl2601=;7:9f:m63?493:1=v`>2459026<50;3xj442?3><=6sa27;07?6=9rd:>8954608yk411:>1<7?tn0063?20;2we>;7<5;295~h6:<=18::4}o05=60=83;pb<<:7;641>{i:?38;7>51zl2601=<><0qc<992:94?7|f88>;7:87:m63?413:1=v`>245902>o50;3xj442?3><56sa27;0f?6=9rd:>89546c8yk411:i1<7?tn0063?20j2we>;7{i:?38j7>51zl2601=<>o0qc<995294?7|f88>;7:8f:m63?393:1=v`>24590=63=6sa27;77?6=9rd:>8954908yk411=>1<7?tn0063?2?;2we>;7;5;295~h6:<=185:4}o05=10=83;pb<<:7;6;1>{i:?3?;7>51zl2601=<1<0qc<995:94?7|f88>;7:77:m63?313:1=v`>24590=>356sa27;7f?6=9rd:>89549c8yk411=i1<7?tn0063?2?j2we>;7;d;295~h6:<=185m4}o05=1c=83;pb<<:7;6;`>{i:?3?j7>51zl2601=<1o0qc<994294?7|f88>;7:7f:m63?293:1=v`>24590<62=6sa27;67?6=9rd:>8954808yk411<>1<7?tn0063?2>;2we>;7:5;295~h6:<=184:4}o05=00=83;pb<<:7;6:1>{i:?3>;7>51zl2601=<0<0qc<994:94?7|f88>;7:67:m63?213:1=v`>24590<>256sa27;6f?6=9rd:>89548c8yk411j2we>;7:d;295~h6:<=184m4}o05=0c=83;pb<<:7;6:`>{i:?3>j7>51zl2601=<0o0qc<997294?7|f88>;7:6f:m63?193:1=v`>24590d6j=6sa27;57?6=9rd:>8954`08yk411?>1<7?tn0063?2f;2we>;795;295~h6:<=18l:4}o05=30=83;pb<<:7;6b1>{i:?3=;7>51zl2601=;7:n7:m63?113:1=v`>24590d>j56sa27;5f?6=9rd:>8954`c8yk411?i1<7?tn0063?2fj2we>;79d;295~h6:<=18lm4}o05=3c=83;pb<<:7;6b`>{i:?3=j7>51zl2601=;7:nf:m63?093:1=v`>24590g6i=6sa27;47?6=9rd:>8954c08yk411>>1<7?tn0063?2e;2we>;785;295~h6:<=18o:4}o05=20=83;pb<<:7;6a1>{i:?3<;7>51zl2601=;7:m7:m63?013:1=v`>24590g>i56sa27;4f?6=9rd:>8954cc8yk411>i1<7?tn0063?2ej2we>;78d;295~h6:<=18om4}o05=2c=83;pb<<:7;6a`>{i:?351zl2601=;7:mf:m63??93:1=v`>24590f6h=6sa27;;7?6=9rd:>8954b08yk4111>1<7?tn0063?2d;2we>;775;295~h6:<=18n:4}o05==0=83;pb<<:7;6`1>{i:?33;7>51zl2601=;7:l7:m63??13:1=v`>24590f>h56sa27;;f?6=9rd:>8954bc8yk4111i1<7?tn0063?2dj2we>;77d;295~h6:<=18nm4}o05==c=83;pb<<:7;6``>{i:?33j7>51zl2601=;7:lf:m63?>93:1=v`>24590a6o=6sa27;:7?6=9rd:>8954e08yk4110>1<7?tn0063?2c;2we>;765;295~h6:<=18i:4}o05=<0=83;pb<<:7;6g1>{i:?32;7>51zl2601=;7:k7:m63?>13:1=v`>24590a>o56sa27;:f?6=9rd:>8954ec8yk4110i1<7?tn0063?2cj2we>;76d;295~h6:<=18im4}o05={i:?32j7>51zl2601=;7:kf:m63?f93:1=v`>24590`6n=6sa27;b7?6=9rd:>8954d08yk411h>1<7?tn0063?2b;2we>;7n5;295~h6:<=18h:4}o05=d0=83;pb<<:7;6f1>{i:?3j;7>51zl2601=;7:j7:m63?f13:1=v`>24590`>n56sa27;bf?6=9rd:>8954dc8yk411hi1<7?tn0063?2bj2we>;7nd;295~h6:<=18hm4}o05=dc=83;pb<<:7;6f`>{i:?3jj7>51zl2601=;7:jf:m63?e93:1=v`>24590c6m=6sa27;a7?6=9rd:>8954g08yk411k>1<7?tn0063?2a;2we>;7m5;295~h6:<=18k:4}o05=g0=83;pb<<:7;6e1>{i:?3i;7>51zl2601=;7:i7:m63?e13:1=v`>24590c>m56sa27;af?6=9rd:>8954gc8yk411ki1<7?tn0063?2aj2we>;7md;295~h6:<=18km4}o05=gc=83;pb<<:7;6e`>{i:?3ij7>51zl2601=;7:if:m63?d93:1=v`>24591568955108yk411j>1<7?tn0063?37;2we>;7l5;295~h6:<=19=:4}o05=f0=83;pb<<:7;731>{i:?3h;7>51zl2601==9<0qc<99b:94?7|f88>;7;?7:m63?d13:1=v`>245915>89551c8yk411ji1<7?tn0063?37j2we>;7ld;295~h6:<=19=m4}o05=fc=83;pb<<:7;73`>{i:?3hj7>51zl2601==9o0qc<99e294?7|f88>;7;?f:m63?c93:1=v`>24591468955008yk411m>1<7?tn0063?36;2we>;7k5;295~h6:<=19<:4}o05=a0=83;pb<<:7;721>{i:?3o;7>51zl2601==8<0qc<99e:94?7|f88>;7;>7:m63?c13:1=v`>245914>89550c8yk411mi1<7?tn0063?36j2we>;7kd;295~h6:<=19{i:?3oj7>51zl2601==8o0qc<99d294?7|f88>;7;>f:m63?b93:1=v`>24591768955308yk411l>1<7?tn0063?35;2we>;7j5;295~h6:<=19?:4}o05=`0=83;pb<<:7;711>{i:?3n;7>51zl2601==;<0qc<99d:94?7|f88>;7;=7:m63?b13:1=v`>245917>89553c8yk411li1<7?tn0063?35j2we>;7jd;295~h6:<=19?m4}o05=`c=83;pb<<:7;71`>{i:?3nj7>51zl2601==;o0qc<99g294?7|f88>;7;=f:m63?a93:1=v`>24591668955208yk411o>1<7?tn0063?34;2we>;7i5;295~h6:<=19>:4}o05=c0=83;pb<<:7;701>{i:?3m;7>51zl2601==:<0qc<99g:94?7|f88>;7;<7:m63?a13:1=v`>245916>89552c8yk411oi1<7?tn0063?34j2we>;7id;295~h6:<=19>m4}o05=cc=83;pb<<:7;70`>{i:?3mj7>51zl2601==:o0qc<9a1294?7|f88>;7;24591168955508yk41i9>1<7?tn0063?33;2we>;o?5;295~h6:<=199:4}o05e50=83;pb<<:7;771>{i:?k;;7>51zl2601===<0qc<9a1:94?7|f88>;7;;7:m63g713:1=v`>245911>89555c8yk41i9i1<7?tn0063?33j2we>;o?d;295~h6:<=199m4}o05e5c=83;pb<<:7;77`>{i:?k;j7>51zl2601===o0qc<9a0294?7|f88>;7;;f:m63g693:1=v`>2459106=6sa27c27?6=9rd:>8955408yk41i8>1<7?tn0063?32;2we>;o>5;295~h6:<=198:4}o05e40=83;pb<<:7;761>{i:?k:;7>51zl2601==<<0qc<9a0:94?7|f88>;7;:7:m63g613:1=v`>245910>56sa27c2f?6=9rd:>89554c8yk41i8i1<7?tn0063?32j2we>;o>d;295~h6:<=198m4}o05e4c=83;pb<<:7;76`>{i:?k:j7>51zl2601==;7;:f:m63g593:1=v`>24591368955708yk41i;>1<7?tn0063?31;2we>;o=5;295~h6:<=19;:4}o05e70=83;pb<<:7;751>{i:?k9;7>51zl2601==?<0qc<9a3:94?7|f88>;7;97:m63g513:1=v`>245913>89557c8yk41i;i1<7?tn0063?31j2we>;o=d;295~h6:<=19;m4}o05e7c=83;pb<<:7;75`>{i:?k9j7>51zl2601==?o0qc<9a2294?7|f88>;7;9f:m63g493:1=v`>2459126<50;3xj442?3?<=6sa27c07?6=9rd:>8955608yk41i:>1<7?tn0063?30;2we>;o<5;295~h6:<=19::4}o05e60=83;pb<<:7;741>{i:?k8;7>51zl2601==><0qc<9a2:94?7|f88>;7;87:m63g413:1=v`>245912>o50;3xj442?3?<56sa27c0f?6=9rd:>89556c8yk41i:i1<7?tn0063?30j2we>;o{i:?k8j7>51zl2601==>o0qc<9a5294?7|f88>;7;8f:m63g393:1=v`>24591=68955908yk41i=>1<7?tn0063?3?;2we>;o;5;295~h6:<=195:4}o05e10=83;pb<<:7;7;1>{i:?k?;7>51zl2601==1<0qc<9a5:94?7|f88>;7;77:m63g313:1=v`>24591=>89559c8yk41i=i1<7?tn0063?3?j2we>;o;d;295~h6:<=195m4}o05e1c=83;pb<<:7;7;`>{i:?k?j7>51zl2601==1o0qc<9a4294?7|f88>;7;7f:m63g293:1=v`>24591<68955808yk41i<>1<7?tn0063?3>;2we>;o:5;295~h6:<=194:4}o05e00=83;pb<<:7;7:1>{i:?k>;7>51zl2601==0<0qc<9a4:94?7|f88>;7;67:m63g213:1=v`>24591<>89558c8yk41ij2we>;o:d;295~h6:<=194m4}o05e0c=83;pb<<:7;7:`>{i:?k>j7>51zl2601==0o0qc<9a7294?7|f88>;7;6f:m63g193:1=v`>24591d68955`08yk41i?>1<7?tn0063?3f;2we>;o95;295~h6:<=19l:4}o05e30=83;pb<<:7;7b1>{i:?k=;7>51zl2601==h<0qc<9a7:94?7|f88>;7;n7:m63g113:1=v`>24591d>8955`c8yk41i?i1<7?tn0063?3fj2we>;o9d;295~h6:<=19lm4}o05e3c=83;pb<<:7;7b`>{i:?k=j7>51zl2601==ho0qc<9a6294?7|f88>;7;nf:m63g093:1=v`>24591g68955c08yk41i>>1<7?tn0063?3e;2we>;o85;295~h6:<=19o:4}o05e20=83;pb<<:7;7a1>{i:?k<;7>51zl2601==k<0qc<9a6:94?7|f88>;7;m7:m63g013:1=v`>24591g>8955cc8yk41i>i1<7?tn0063?3ej2we>;o8d;295~h6:<=19om4}o05e2c=83;pb<<:7;7a`>{i:?k51zl2601==ko0qc<9a9294?7|f88>;7;mf:m63g?93:1=v`>24591f68955b08yk41i1>1<7?tn0063?3d;2we>;o75;295~h6:<=19n:4}o05e=0=83;pb<<:7;7`1>{i:?k3;7>51zl2601==j<0qc<9a9:94?7|f88>;7;l7:m63g?13:1=v`>24591f>8955bc8yk41i1i1<7?tn0063?3dj2we>;o7d;295~h6:<=19nm4}o05e=c=83;pb<<:7;7``>{i:?k3j7>51zl2601==jo0qc<9a8294?7|f88>;7;lf:m63g>93:1=v`>24591a68955e08yk41i0>1<7?tn0063?3c;2we>;o65;295~h6:<=19i:4}o05e<0=83;pb<<:7;7g1>{i:?k2;7>51zl2601==m<0qc<9a8:94?7|f88>;7;k7:m63g>13:1=v`>24591a>8955ec8yk41i0i1<7?tn0063?3cj2we>;o6d;295~h6:<=19im4}o05e{i:?k2j7>51zl2601==mo0qc<9a`294?7|f88>;7;kf:m63gf93:1=v`>24591`68955d08yk41ih>1<7?tn0063?3b;2we>;on5;295~h6:<=19h:4}o05ed0=83;pb<<:7;7f1>{i:?kj;7>51zl2601==l<0qc<9a`:94?7|f88>;7;j7:m63gf13:1=v`>24591`>8955dc8yk41ihi1<7?tn0063?3bj2we>;ond;295~h6:<=19hm4}o05edc=83;pb<<:7;7f`>{i:?kjj7>51zl2601==lo0qc<9ac294?7|f88>;7;jf:m63ge93:1=v`>24591c68955g08yk41ik>1<7?tn0063?3a;2we>;om5;295~h6:<=19k:4}o05eg0=83;pb<<:7;7e1>{i:?ki;7>51zl2601==o<0qc<9ac:94?7|f88>;7;i7:m63ge13:1=v`>24591c>8955gc8yk41iki1<7?tn0063?3aj2we>;omd;295~h6:<=19km4}o05egc=83;pb<<:7;7e`>{i:?kij7>51zl2601==oo0qc<9ab294?7|f88>;7;if:m63gd93:1=v`>24592568956108yk41ij>1<7?tn0063?07;2we>;ol5;295~h6:<=1:=:4}o05ef0=83;pb<<:7;431>{i:?kh;7>51zl2601=>9<0qc<9ab:94?7|f88>;78?7:m63gd13:1=v`>245925>89561c8yk41iji1<7?tn0063?07j2we>;old;295~h6:<=1:=m4}o05efc=83;pb<<:7;43`>{i:?khj7>51zl2601=>9o0qc<9ae294?7|f88>;78?f:m63gc93:1=v`>24592468956008yk41im>1<7?tn0063?06;2we>;ok5;295~h6:<=1:<:4}o05ea0=83;pb<<:7;421>{i:?ko;7>51zl2601=>8<0qc<9ae:94?7|f88>;78>7:m63gc13:1=v`>245924>89560c8yk41imi1<7?tn0063?06j2we>;okd;295~h6:<=1:{i:?koj7>51zl2601=>8o0qc<9ad294?7|f88>;78>f:m63gb93:1=v`>24592768956308yk41il>1<7?tn0063?05;2we>;oj5;295~h6:<=1:?:4}o05e`0=83;pb<<:7;411>{i:?kn;7>51zl2601=>;<0qc<9ad:94?7|f88>;78=7:m63gb13:1=v`>245927>89563c8yk41ili1<7?tn0063?05j2we>;ojd;295~h6:<=1:?m4}o05e`c=83;pb<<:7;41`>{i:?knj7>51zl2601=>;o0qc<9ag294?7|f88>;78=f:m63ga93:1=v`>24592668956208yk41io>1<7?tn0063?04;2we>;oi5;295~h6:<=1:>:4}o05ec0=83;pb<<:7;401>{i:?km;7>51zl2601=>:<0qc<9ag:94?7|f88>;78<7:m63ga13:1=v`>245926>89562c8yk41ioi1<7?tn0063?04j2we>;oid;295~h6:<=1:>m4}o05ecc=83;pb<<:7;40`>{i:?kmj7>51zl2601=>:o0qc<9b1294?7|f88>;7824592168956508yk41j9>1<7?tn0063?03;2we>;l?5;295~h6:<=1:9:4}o05f50=83;pb<<:7;471>{i:?h;;7>51zl2601=>=<0qc<9b1:94?7|f88>;78;7:m63d713:1=v`>245921>89565c8yk41j9i1<7?tn0063?03j2we>;l?d;295~h6:<=1:9m4}o05f5c=83;pb<<:7;47`>{i:?h;j7>51zl2601=>=o0qc<9b0294?7|f88>;78;f:m63d693:1=v`>2459206=6sa27`27?6=9rd:>8956408yk41j8>1<7?tn0063?02;2we>;l>5;295~h6:<=1:8:4}o05f40=83;pb<<:7;461>{i:?h:;7>51zl2601=><<0qc<9b0:94?7|f88>;78:7:m63d613:1=v`>245920>56sa27`2f?6=9rd:>89564c8yk41j8i1<7?tn0063?02j2we>;l>d;295~h6:<=1:8m4}o05f4c=83;pb<<:7;46`>{i:?h:j7>51zl2601=>;78:f:m63d593:1=v`>24592368956708yk41j;>1<7?tn0063?01;2we>;l=5;295~h6:<=1:;:4}o05f70=83;pb<<:7;451>{i:?h9;7>51zl2601=>?<0qc<9b3:94?7|f88>;7897:m63d513:1=v`>245923>89567c8yk41j;i1<7?tn0063?01j2we>;l=d;295~h6:<=1:;m4}o05f7c=83;pb<<:7;45`>{i:?h9j7>51zl2601=>?o0qc<9b2294?7|f88>;789f:m63d493:1=v`>2459226<50;3xj442?3<<=6sa27`07?6=9rd:>8956608yk41j:>1<7?tn0063?00;2we>;l<5;295~h6:<=1:::4}o05f60=83;pb<<:7;441>{i:?h8;7>51zl2601=>><0qc<9b2:94?7|f88>;7887:m63d413:1=v`>245922>o50;3xj442?3<<56sa27`0f?6=9rd:>89566c8yk41j:i1<7?tn0063?00j2we>;l{i:?h8j7>51zl2601=>>o0qc<9b5294?7|f88>;788f:m63d393:1=v`>24592=68956908yk41j=>1<7?tn0063?0?;2we>;l;5;295~h6:<=1:5:4}o05f10=83;pb<<:7;4;1>{i:?h?;7>51zl2601=>1<0qc<9b5:94?7|f88>;7877:m63d313:1=v`>24592=>89569c8yk41j=i1<7?tn0063?0?j2we>;l;d;295~h6:<=1:5m4}o05f1c=83;pb<<:7;4;`>{i:?h?j7>51zl2601=>1o0qc<9b4294?7|f88>;787f:m63d293:1=v`>24592<68956808yk41j<>1<7?tn0063?0>;2we>;l:5;295~h6:<=1:4:4}o05f00=83;pb<<:7;4:1>{i:?h>;7>51zl2601=>0<0qc<9b4:94?7|f88>;7867:m63d213:1=v`>24592<>89568c8yk41jj2we>;l:d;295~h6:<=1:4m4}o05f0c=83;pb<<:7;4:`>{i:?h>j7>51zl2601=>0o0qc<9b7294?7|f88>;786f:m63d193:1=v`>24592d68956`08yk41j?>1<7?tn0063?0f;2we>;l95;295~h6:<=1:l:4}o05f30=83;pb<<:7;4b1>{i:?h=;7>51zl2601=>h<0qc<9b7:94?7|f88>;78n7:m63d113:1=v`>24592d>8956`c8yk41j?i1<7?tn0063?0fj2we>;l9d;295~h6:<=1:lm4}o05f3c=83;pb<<:7;4b`>{i:?h=j7>51zl2601=>ho0qc<9b6294?7|f88>;78nf:m63d093:1=v`>24592g68956c08yk41j>>1<7?tn0063?0e;2we>;l85;295~h6:<=1:o:4}o05f20=83;pb<<:7;4a1>{i:?h<;7>51zl2601=>k<0qc<9b6:94?7|f88>;78m7:m63d013:1=v`>24592g>8956cc8yk41j>i1<7?tn0063?0ej2we>;l8d;295~h6:<=1:om4}o05f2c=83;pb<<:7;4a`>{i:?h51zl2601=>ko0qc<9b9294?7|f88>;78mf:m63d?93:1=v`>24592f68956b08yk41j1>1<7?tn0063?0d;2we>;l75;295~h6:<=1:n:4}o05f=0=83;pb<<:7;4`1>{i:?h3;7>51zl2601=>j<0qc<9b9:94?7|f88>;78l7:m63d?13:1=v`>24592f>8956bc8yk41j1i1<7?tn0063?0dj2we>;l7d;295~h6:<=1:nm4}o05f=c=83;pb<<:7;4``>{i:?h3j7>51zl2601=>jo0qc<9b8294?7|f88>;78lf:m63d>93:1=v`>24592a68956e08yk41j0>1<7?tn0063?0c;2we>;l65;295~h6:<=1:i:4}o05f<0=83;pb<<:7;4g1>{i:?h2;7>51zl2601=>m<0qc<9b8:94?7|f88>;78k7:m63d>13:1=v`>24592a>8956ec8yk41j0i1<7?tn0063?0cj2we>;l6d;295~h6:<=1:im4}o05f{i:?h2j7>51zl2601=>mo0qc<9b`294?7|f88>;78kf:m63df93:1=v`>24592`68956d08yk41jh>1<7?tn0063?0b;2we>;ln5;295~h6:<=1:h:4}o05fd0=83;pb<<:7;4f1>{i:?hj;7>51zl2601=>l<0qc<9b`:94?7|f88>;78j7:m63df13:1=v`>24592`>8956dc8yk41jhi1<7?tn0063?0bj2we>;lnd;295~h6:<=1:hm4}o05fdc=83;pb<<:7;4f`>{i:?hjj7>51zl2601=>lo0qc<9bc294?7|f88>;78jf:m63de93:1=v`>24592c68956g08yk41jk>1<7?tn0063?0a;2we>;lm5;295~h6:<=1:k:4}o05fg0=83;pb<<:7;4e1>{i:?hi;7>51zl2601=>o<0qc<9bc:94?7|f88>;78i7:m63de13:1=v`>24592c>8956gc8yk41jki1<7?tn0063?0aj2we>;lmd;295~h6:<=1:km4}o05fgc=83;pb<<:7;4e`>{i:?hij7>51zl2601=>oo0qc<9bb294?7|f88>;78if:m63dd93:1=v`>24593568957108yk41jj>1<7?tn0063?17;2we>;ll5;295~h6:<=1;=:4}o05ff0=83;pb<<:7;531>{i:?hh;7>51zl2601=?9<0qc<9bb:94?7|f88>;79?7:m63dd13:1=v`>245935>89571c8yk41jji1<7?tn0063?17j2we>;lld;295~h6:<=1;=m4}o05ffc=83;pb<<:7;53`>{i:?hhj7>51zl2601=?9o0qc<9be294?7|f88>;79?f:m63dc93:1=v`>24593468957008yk41jm>1<7?tn0063?16;2we>;lk5;295~h6:<=1;<:4}o05fa0=83;pb<<:7;521>{i:?ho;7>51zl2601=?8<0qc<9be:94?7|f88>;79>7:m63dc13:1=v`>245934>89570c8yk41jmi1<7?tn0063?16j2we>;lkd;295~h6:<=1;{i:?hoj7>51zl2601=?8o0qc<9bd294?7|f88>;79>f:m63db93:1=v`>24593768957308yk41jl>1<7?tn0063?15;2we>;lj5;295~h6:<=1;?:4}o05f`0=83;pb<<:7;511>{i:?hn;7>51zl2601=?;<0qc<9bd:94?7|f88>;79=7:m63db13:1=v`>245937>89573c8yk41jli1<7?tn0063?15j2we>;ljd;295~h6:<=1;?m4}o05f`c=83;pb<<:7;51`>{i:?hnj7>51zl2601=?;o0qc<9bg294?7|f88>;79=f:m63da93:1=v`>24593668957208yk41jo>1<7?tn0063?14;2we>;li5;295~h6:<=1;>:4}o05fc0=83;pb<<:7;501>{i:?hm;7>51zl2601=?:<0qc<9bg:94?7|f88>;79<7:m63da13:1=v`>245936>89572c8yk41joi1<7?tn0063?14j2we>;lid;295~h6:<=1;>m4}o05fcc=83;pb<<:7;50`>{i:?hmj7>51zl2601=?:o0qc<9c1294?7|f88>;7924593168957508yk41k9>1<7?tn0063?13;2we>;m?5;295~h6:<=1;9:4}o05g50=83;pb<<:7;571>{i:?i;;7>51zl2601=?=<0qc<9c1:94?7|f88>;79;7:m63e713:1=v`>245931>89575c8yk41k9i1<7?tn0063?13j2we>;m?d;295~h6:<=1;9m4}o05g5c=83;pb<<:7;57`>{i:?i;j7>51zl2601=?=o0qc<9c0294?7|f88>;79;f:m63e693:1=v`>2459306=6sa27a27?6=9rd:>8957408yk41k8>1<7?tn0063?12;2we>;m>5;295~h6:<=1;8:4}o05g40=83;pb<<:7;561>{i:?i:;7>51zl2601=?<<0qc<9c0:94?7|f88>;79:7:m63e613:1=v`>245930>56sa27a2f?6=9rd:>89574c8yk41k8i1<7?tn0063?12j2we>;m>d;295~h6:<=1;8m4}o05g4c=83;pb<<:7;56`>{i:?i:j7>51zl2601=?;79:f:m63e593:1=v`>24593368957708yk41k;>1<7?tn0063?11;2we>;m=5;295~h6:<=1;;:4}o05g70=83;pb<<:7;551>{i:?i9;7>51zl2601=??<0qc<9c3:94?7|f88>;7997:m63e513:1=v`>245933>89577c8yk41k;i1<7?tn0063?11j2we>;m=d;295~h6:<=1;;m4}o05g7c=83;pb<<:7;55`>{i:?i9j7>51zl2601=??o0qc<9c2294?7|f88>;799f:m63e493:1=v`>2459326<50;3xj442?3=<=6sa27a07?6=9rd:>8957608yk41k:>1<7?tn0063?10;2we>;m<5;295~h6:<=1;::4}o05g60=83;pb<<:7;541>{i:?i8;7>51zl2601=?><0qc<9c2:94?7|f88>;7987:m63e413:1=v`>245932>o50;3xj442?3=<56sa27a0f?6=9rd:>89576c8yk41k:i1<7?tn0063?10j2we>;m{i:?i8j7>51zl2601=?>o0qc<9c5294?7|f88>;798f:m63e393:1=v`>24593=68957908yk41k=>1<7?tn0063?1?;2we>;m;5;295~h6:<=1;5:4}o05g10=83;pb<<:7;5;1>{i:?i?;7>51zl2601=?1<0qc<9c5:94?7|f88>;7977:m63e313:1=v`>24593=>89579c8yk41k=i1<7?tn0063?1?j2we>;m;d;295~h6:<=1;5m4}o05g1c=83;pb<<:7;5;`>{i:?i?j7>51zl2601=?1o0qc<9c4294?7|f88>;797f:m63e293:1=v`>24593<68957808yk41k<>1<7?tn0063?1>;2we>;m:5;295~h6:<=1;4:4}o05g00=83;pb<<:7;5:1>{i:?i>;7>51zl2601=?0<0qc<9c4:94?7|f88>;7967:m63e213:1=v`>24593<>89578c8yk41kj2we>;m:d;295~h6:<=1;4m4}o05g0c=83;pb<<:7;5:`>{i:?i>j7>51zl2601=?0o0qc<9c7294?7|f88>;796f:m63e193:1=v`>24593d68957`08yk41k?>1<7?tn0063?1f;2we>;m95;295~h6:<=1;l:4}o05g30=83;pb<<:7;5b1>{i:?i=;7>51zl2601=?h<0qc<9c7:94?7|f88>;79n7:m63e113:1=v`>24593d>8957`c8yk41k?i1<7?tn0063?1fj2we>;m9d;295~h6:<=1;lm4}o05g3c=83;pb<<:7;5b`>{i:?i=j7>51zl2601=?ho0qc<9c6294?7|f88>;79nf:m63e093:1=v`>24593g68957c08yk41k>>1<7?tn0063?1e;2we>;m85;295~h6:<=1;o:4}o05g20=83;pb<<:7;5a1>{i:?i<;7>51zl2601=?k<0qc<9c6:94?7|f88>;79m7:m63e013:1=v`>24593g>8957cc8yk41k>i1<7?tn0063?1ej2we>;m8d;295~h6:<=1;om4}o05g2c=83;pb<<:7;5a`>{i:?i51zl2601=?ko0qc<9c9294?7|f88>;79mf:m63e?93:1=v`>24593f68957b08yk41k1>1<7;m75;296~h6:<=1;n:4}o05g=0=838pb<<:7;5`1>{i:?i3;7>52zl2601=?j<0qc<9c9:94?4|f88>;79l7:m63e?13:1>v`>24593f>8957bc8yk41k1i1<7;m7d;296~h6:<=1;nm4}o05g=c=838pb<<:7;5``>{i:?i3j7>52zl2601=?jo0qc<9c8294?4|f88>;79lf:m63e>93:1>v`>24593a68957e08yk41k0>1<7?tn0063?1c;2we>;m65;295~h6:<=1;i:4}o05g<0=83;pb<<:7;5g1>{i:?i2;7>51zl2601=?m<0qc<9c8:94?7|f88>;79k7:m63e>13:1=v`>24593a>8957ec8yk41k0i1<7?tn0063?1cj2we>;m6d;295~h6:<=1;im4}o05g{i:?i2j7>51zl2601=?mo0qc<9c`294?7|f88>;79kf:m63ef93:1=v`>24593`68957d08yk41kh>1<7?tn0063?1b;2we>;mn5;295~h6:<=1;h:4}o05gd0=83;pb<<:7;5f1>{i:?ij;7>51zl2601=?l<0qc<9c`:94?7|f88>;79j7:m63ef13:1=v`>24593`>8957dc8yk41khi1<7?tn0063?1bj2we>;mnd;295~h6:<=1;hm4}o05gdc=83;pb<<:7;5f`>{i:?ijj7>51zl2601=?lo0qc<9cc294?7|f88>;79jf:m63ee93:1=v`>24593c68957g08yk41kk>1<7?tn0063?1a;2we>;mm5;295~h6:<=1;k:4}o05gg0=83;pb<<:7;5e1>{i:?ii;7>51zl2601=?o<0qc<9cc:94?7|f88>;79i7:m63ee13:1=v`>24593c>8957gc8yk41kki1<7?tn0063?1aj2we>;mmd;295~h6:<=1;km4}o05ggc=83;pb<<:7;5e`>{i:?iij7>51zl2601=?oo0qc<9cb294?7|f88>;79if:m63ed93:1=v`>2459<568958108yk41kj>1<7?tn0063?>7;2we>;ml5;295~h6:<=14=:4}o05gf0=83;pb<<:7;:31>{i:?ih;7>51zl2601=09<0qc<9cb:94?7|f88>;76?7:m63ed13:1=v`>2459<5>89581c8yk41kji1<7?tn0063?>7j2we>;mld;295~h6:<=14=m4}o05gfc=83;pb<<:7;:3`>{i:?ihj7>51zl2601=09o0qc<9ce294?7|f88>;76?f:m63ec93:1=v`>2459<468958008yk41km>1<7?tn0063?>6;2we>;mk5;295~h6:<=14<:4}o05ga0=83;pb<<:7;:21>{i:?io;7>51zl2601=08<0qc<9ce:94?7|f88>;76>7:m63ec13:1=v`>2459<4>89580c8yk41kmi1<7?tn0063?>6j2we>;mkd;295~h6:<=14{i:?ioj7>51zl2601=08o0qc<9cd294?7|f88>;76>f:m63eb93:1=v`>2459<768958308yk41kl>1<7?tn0063?>5;2we>;mj5;295~h6:<=14?:4}o05g`0=83;pb<<:7;:11>{i:?in;7>51zl2601=0;<0qc<9cd:94?7|f88>;76=7:m63eb13:1=v`>2459<7>89583c8yk41kli1<7?tn0063?>5j2we>;mjd;295~h6:<=14?m4}o05g`c=83;pb<<:7;:1`>{i:?inj7>51zl2601=0;o0qc<9cg294?7|f88>;76=f:m63ea93:1=v`>2459<668958208yk41ko>1<74;2we>;mi5;296~h6:<=14>:4}o05gc0=838pb<<:7;:01>{i:?im;7>52zl2601=0:<0qc<9cg:94?4|f88>;76<7:m63ea13:1>v`>2459<6>89582c8yk41koi1<74j2we>;mid;296~h6:<=14>m4}o05gcc=838pb<<:7;:0`>{i:?imj7>52zl2601=0:o0qc<9d1294?4|f88>;76v`>2459<168958508yk41l9>1<73;2we>;j?5;296~h6:<=149:4}o05`50=838pb<<:7;:71>{i:?n;;7>52zl2601=0=<0qc<9d1:94?4|f88>;76;7:m63b713:1>v`>2459<1>89585c8yk41l9i1<73j2we>;j?d;296~h6:<=149m4}o05`5c=838pb<<:7;:7`>{i:?n;j7>52zl2601=0=o0qc<9d0294?4|f88>;76;f:m63b693:1>v`>2459<06=6sa27f27?6=:rd:>8958408yk41l8>1<72;2we>;j>5;296~h6:<=148:4}o05`40=838pb<<:7;:61>{i:?n:;7>52zl2601=0<<0qc<9d0:94?4|f88>;76:7:m63b613:1>v`>2459<0>56sa27f2f?6=:rd:>89584c8yk41l8i1<72j2we>;j>d;296~h6:<=148m4}o05`4c=838pb<<:7;:6`>{i:?n:j7>52zl2601=0;76:f:m63b593:1>v`>2459<368958708yk41l;>1<71;2we>;j=5;296~h6:<=14;:4}o05`70=838pb<<:7;:51>{i:?n9;7>52zl2601=0?<0qc<9d3:94?4|f88>;7697:m63b513:1>v`>2459<3>89587c8yk41l;i1<71j2we>;j=d;296~h6:<=14;m4}o05`7c=838pb<<:7;:5`>{i:?n9j7>52zl2601=0?o0qc<9d2294?4|f88>;769f:m63b493:1>v`>2459<26<50;0xj442?32<=6sa27f07?6=:rd:>8958608yk41l:>1<70;2we>;j<5;296~h6:<=14::4}o05`60=838pb<<:7;:41>{i:?n8;7>52zl2601=0><0qc<9d2:94?4|f88>;7687:m63b413:1>v`>2459<2>o50;0xj442?32<56sa27f0f?6=:rd:>89586c8yk41l:i1<70j2we>;j{i:?n8j7>52zl2601=0>o0qc<9d5294?4|f88>;768f:m63b393:1>v`>2459<=68958908yk41l=>1<7?;2we>;j;5;296~h6:<=145:4}o05`10=838pb<<:7;:;1>{i:?n?;7>52zl2601=01<0qc<9d5:94?4|f88>;7677:m63b313:1>v`>2459<=>89589c8yk41l=i1<7?j2we>;j;d;296~h6:<=145m4}o05`1c=838pb<<:7;:;`>{i:?n?j7>52zl2601=01o0qc<9d4294?4|f88>;767f:m63b293:1>v`>2459<<68958808yk41l<>1<7?tn0063?>>;2we>;j:5;295~h6:<=144:4}o05`00=83;pb<<:7;::1>{i:?n>;7>51zl2601=00<0qc<9d4:94?7|f88>;7667:m63b213:1=v`>2459<<>89588c8yk41l>j2we>;j:d;295~h6:<=144m4}o05`0c=83;pb<<:7;::`>{i:?n>j7>51zl2601=00o0qc<9d7294?7|f88>;766f:m63b193:1=v`>24598958`08yk41l?>1<7?tn0063?>f;2we>;j95;295~h6:<=14l:4}o05`30=83;pb<<:7;:b1>{i:?n=;7>51zl2601=0h<0qc<9d7:94?7|f88>;76n7:m63b113:1=v`>24598958`c8yk41l?i1<7?tn0063?>fj2we>;j9d;295~h6:<=14lm4}o05`3c=83;pb<<:7;:b`>{i:?n=j7>51zl2601=0ho0qc<9d6294?7|f88>;76nf:m63b093:1=v`>24598958c08yk41l>>1<7?tn0063?>e;2we>;j85;295~h6:<=14o:4}o05`20=838pb<<:7;:a1>{i:?n<;7>52zl2601=0k<0qc<9d6:94?4|f88>;76m7:m63b013:1>v`>24598958cc8yk41l>i1<7ej2we>;j8d;296~h6:<=14om4}o05`2c=838pb<<:7;:a`>{i:?n52zl2601=0ko0qc<9d9294?4|f88>;76mf:m63b?93:1>v`>24598958b08yk41l1>1<7d;2we>;j75;296~h6:<=14n:4}o05`=0=838pb<<:7;:`1>{i:?n3;7>52zl2601=0j<0qc<9d9:94?4|f88>;76l7:m63b?13:1>v`>24598958bc8yk41l1i1<7dj2we>;j7d;296~h6:<=14nm4}o05`=c=838pb<<:7;:``>{i:?n3j7>52zl2601=0jo0qc<9d8294?4|f88>;76lf:m63b>93:1>v`>24598958e08yk41l0>1<7c;2we>;j65;296~h6:<=14i:4}o05`<0=838pb<<:7;:g1>{i:?n2;7>52zl2601=0m<0qc<9d8:94?4|f88>;76k7:m63b>13:1>v`>24598958ec8yk41l0i1<7cj2we>;j6d;296~h6:<=14im4}o05`{i:?n2j7>52zl2601=0mo0qc<9d`294?4|f88>;76kf:m63bf93:1>v`>2459<`68958d08yk41lh>1<7b;2we>;jn5;296~h6:<=14h:4}o05`d0=838pb<<:7;:f1>{i:?nj;7>51zl2601=0l<0qc<9d`:94?7|f88>;76j7:m63bf13:1=v`>2459<`>8958dc8yk41lhi1<7?tn0063?>bj2we>;jnd;295~h6:<=14hm4}o05`dc=83;pb<<:7;:f`>{i:?njj7>51zl2601=0lo0qc<9dc294?7|f88>;76jf:m63be93:1=v`>24598958g08yk41lk>1<7?tn0063?>a;2we>;jm5;295~h6:<=14k:4}o05`g0=83;pb<<:7;:e1>{i:?ni;7>51zl2601=0o<0qc<9dc:94?7|f88>;76i7:m63be13:1=v`>24598958gc8yk41lki1<7?tn0063?>aj2we>;jmd;295~h6:<=14km4}o05`gc=83;pb<<:7;:e`>{i:?nij7>51zl2601=0oo0qc<9db294?7|f88>;76if:m63bd93:1=v`>2459=568959108yk41lj>1<7?tn0063??7;2we>;jl5;295~h6:<=15=:4}o05`f0=83;pb<<:7;;31>{i:?nh;7>51zl2601=19<0qc<9db:94?7|f88>;77?7:m63bd13:1=v`>2459=5>89591c8yk41lji1<7?tn0063??7j2we>;jld;295~h6:<=15=m4}o05`fc=83;pb<<:7;;3`>{i:?nhj7>51zl2601=19o0qc<9de294?7|f88>;77?f:m63bc93:1=v`>2459=468959008yk41lm>1<7?tn0063??6;2we>;jk5;295~h6:<=15<:4}o05`a0=83;pb<<:7;;21>{i:?no;7>51zl2601=18<0qc<9de:94?7|f88>;77>7:m63bc13:1=v`>2459=4>89590c8yk41lmi1<7?tn0063??6j2we>;jkd;295~h6:<=15{i:?noj7>51zl2601=18o0qc<9dd294?7|f88>;77>f:m63bb93:1=v`>2459=768959308yk41ll>1<7?tn0063??5;2we>;jj5;295~h6:<=15?:4}o05``0=83;pb<<:7;;11>{i:?nn;7>51zl2601=1;<0qc<9dd:94?7|f88>;77=7:m63bb13:1=v`>2459=7>89593c8yk41lli1<7?tn0063??5j2we>;jjd;295~h6:<=15?m4}o05``c=83;pb<<:7;;1`>{i:?nnj7>51zl2601=1;o0qc<9dg294?7|f88>;77=f:m63ba93:1=v`>2459=668959208yk41lo>1<7?tn0063??4;2we>;ji5;295~h6:<=15>:4}o05`c0=83;pb<<:7;;01>{i:?nm;7>51zl2601=1:<0qc<9dg:94?7|f88>;77<7:m63ba13:1=v`>2459=6>89592c8yk41loi1<7?tn0063??4j2we>;jid;295~h6:<=15>m4}o05`cc=83;pb<<:7;;0`>{i:?nmj7>51zl2601=1:o0qc<9e1294?7|f88>;772459=168959508yk41m9>1<7?tn0063??3;2we>;k?5;295~h6:<=159:4}o05a50=83;pb<<:7;;71>{i:?o;;7>51zl2601=1=<0qc<9e1:94?7|f88>;77;7:m63c713:1=v`>2459=1>89595c8yk41m9i1<7?tn0063??3j2we>;k?d;295~h6:<=159m4}o05a5c=83;pb<<:7;;7`>{i:?o;j7>51zl2601=1=o0qc<9e0294?7|f88>;77;f:m63c693:1=v`>2459=06=6sa27g27?6=9rd:>8959408yk41m8>1<7?tn0063??2;2we>;k>5;295~h6:<=158:4}o05a40=83;pb<<:7;;61>{i:?o:;7>51zl2601=1<<0qc<9e0:94?7|f88>;77:7:m63c613:1=v`>2459=0>56sa27g2f?6=9rd:>89594c8yk41m8i1<7?tn0063??2j2we>;k>d;295~h6:<=158m4}o05a4c=83;pb<<:7;;6`>{i:?o:j7>51zl2601=1;77:f:m63c593:1=v`>2459=368959708yk41m;>1<7?tn0063??1;2we>;k=5;295~h6:<=15;:4}o05a70=83;pb<<:7;;51>{i:?o9;7>51zl2601=1?<0qc<9e3:94?7|f88>;7797:m63c513:1=v`>2459=3>89597c8yk41m;i1<7?tn0063??1j2we>;k=d;295~h6:<=15;m4}o05a7c=83;pb<<:7;;5`>{i:?o9j7>51zl2601=1?o0qc<9e2294?7|f88>;779f:m63c493:1=v`>2459=26<50;3xj442?33<=6sa27g07?6=9rd:>8959608yk41m:>1<7?tn0063??0;2we>;k<5;295~h6:<=15::4}o05a60=83;pb<<:7;;41>{i:?o8;7>51zl2601=1><0qc<9e2:94?7|f88>;7787:m63c413:1=v`>2459=2>o50;3xj442?33<56sa27g0f?6=9rd:>89596c8yk41m:i1<7?tn0063??0j2we>;k{i:?o8j7>51zl2601=1>o0qc<9e5294?7|f88>;778f:m63c393:1=v`>2459==68959908yk41m=>1<7?tn0063???;2we>;k;5;295~h6:<=155:4}o05a10=83;pb<<:7;;;1>{i:?o?;7>51zl2601=11<0qc<9e5:94?7|f88>;7777:m63c313:1=v`>2459==>89599c8yk41m=i1<7?tn0063???j2we>;k;d;295~h6:<=155m4}o05a1c=83;pb<<:7;;;`>{i:?o?j7>51zl2601=11o0qc<9e4294?7|f88>;777f:m63c293:1=v`>2459=<68959808yk41m<>1<7?tn0063??>;2we>;k:5;295~h6:<=154:4}o05a00=83;pb<<:7;;:1>{i:?o>;7>51zl2601=10<0qc<9e4:94?7|f88>;7767:m63c213:1=v`>2459=<>89598c8yk41mj2we>;k:d;295~h6:<=154m4}o05a0c=83;pb<<:7;;:`>{i:?o>j7>51zl2601=10o0qc<9e7294?7|f88>;776f:m63c193:1=v`>2459=d68959`08yk41m?>1<7?tn0063??f;2we>;k95;295~h6:<=15l:4}o05a30=83;pb<<:7;;b1>{i:?o=;7>51zl2601=1h<0qc<9e7:94?7|f88>;77n7:m63c113:1=v`>2459=d>8959`c8yk41m?i1<7?tn0063??fj2we>;k9d;295~h6:<=15lm4}o05a3c=83;pb<<:7;;b`>{i:?o=j7>51zl2601=1ho0qc<9e6294?7|f88>;77nf:m63c093:1=v`>2459=g68959c08yk41m>>1<7?tn0063??e;2we>;k85;295~h6:<=15o:4}o05a20=83;pb<<:7;;a1>{i:?o<;7>51zl2601=1k<0qc<9e6:94?7|f88>;77m7:m63c013:1=v`>2459=g>8959cc8yk41m>i1<7?tn0063??ej2we>;k8d;295~h6:<=15om4}o05a2c=83;pb<<:7;;a`>{i:?o51zl2601=1ko0qc<9e9294?7|f88>;77mf:m63c?93:1=v`>2459=f68959b08yk41m1>1<7?tn0063??d;2we>;k75;295~h6:<=15n:4}o05a=0=83;pb<<:7;;`1>{i:?o3;7>51zl2601=1j<0qc<9e9:94?7|f88>;77l7:m63c?13:1=v`>2459=f>8959bc8yk41m1i1<7?tn0063??dj2we>;k7d;295~h6:<=15nm4}o05a=c=83;pb<<:7;;``>{i:?o3j7>51zl2601=1jo0qc<9e8294?7|f88>;77lf:m63c>93:1=v`>2459=a68959e08yk41m0>1<7?tn0063??c;2we>;k65;295~h6:<=15i:4}o05a<0=83;pb<<:7;;g1>{i:?o2;7>51zl2601=1m<0qc<9e8:94?7|f88>;77k7:m63c>13:1=v`>2459=a>8959ec8yk41m0i1<7?tn0063??cj2we>;k6d;295~h6:<=15im4}o05a{i:?o2j7>51zl2601=1mo0qc<9e`294?7|f88>;77kf:m63cf93:1=v`>2459=`68959d08yk41mh>1<7?tn0063??b;2we>;kn5;295~h6:<=15h:4}o05ad0=83;pb<<:7;;f1>{i:?oj;7>51zl2601=1l<0qc<9e`:94?7|f88>;77j7:m63cf13:1=v`>2459=`>8959dc8yk41mhi1<7?tn0063??bj2we>;knd;295~h6:<=15hm4}o05adc=83;pb<<:7;;f`>{i:?ojj7>51zl2601=1lo0qc<9ec294?7|f88>;77jf:m63ce93:1=v`>2459=c68959g08yk41mk>1<7?tn0063??a;2we>;km5;295~h6:<=15k:4}o05ag0=83;pb<<:7;;e1>{i:?oi;7>51zl2601=1o<0qc<9ec:94?7|f88>;77i7:m63ce13:1=v`>2459=c>8959gc8yk41mki1<7?tn0063??aj2we>;kmd;295~h6:<=15km4}o05agc=83;pb<<:7;;e`>{i:?oij7>51zl2601=1oo0qc<9eb294?7|f88>;77if:m63cd93:1=v`>2459e56895a108yk41mj>1<7?tn0063?g7;2we>;kl5;295~h6:<=1m=:4}o05af0=83;pb<<:7;c31>{i:?oh;7>51zl2601=i9<0qc<9eb:94?7|f88>;7o?7:m63cd13:1=v`>2459e5>895a1c8yk41mji1<7?tn0063?g7j2we>;kld;295~h6:<=1m=m4}o05afc=83;pb<<:7;c3`>{i:?ohj7>51zl2601=i9o0qc<9ee294?7|f88>;7o?f:m63cc93:1=v`>2459e46895a008yk41mm>1<7?tn0063?g6;2we>;kk5;295~h6:<=1m<:4}o05aa0=83;pb<<:7;c21>{i:?oo;7>51zl2601=i8<0qc<9ee:94?7|f88>;7o>7:m63cc13:1=v`>2459e4>895a0c8yk41mmi1<7?tn0063?g6j2we>;kkd;295~h6:<=1m{i:?ooj7>51zl2601=i8o0qc<9ed294?7|f88>;7o>f:m63cb93:1=v`>2459e76895a308yk41ml>1<7?tn0063?g5;2we>;kj5;295~h6:<=1m?:4}o05a`0=83;pb<<:7;c11>{i:?on;7>51zl2601=i;<0qc<9ed:94?7|f88>;7o=7:m63cb13:1=v`>2459e7>895a3c8yk41mli1<7?tn0063?g5j2we>;kjd;295~h6:<=1m?m4}o05a`c=83;pb<<:7;c1`>{i:?onj7>51zl2601=i;o0qc<9eg294?7|f88>;7o=f:m63ca93:1=v`>2459e66895a208yk41mo>1<7?tn0063?g4;2we>;ki5;295~h6:<=1m>:4}o05ac0=83;pb<<:7;c01>{i:?om;7>51zl2601=i:<0qc<9eg:94?7|f88>;7o<7:m63ca13:1=v`>2459e6>895a2c8yk41moi1<7?tn0063?g4j2we>;kid;295~h6:<=1m>m4}o05acc=83;pb<<:7;c0`>{i:?omj7>51zl2601=i:o0qc<9f1294?7|f88>;7o2459e16895a508yk41n9>1<7?tn0063?g3;2we>;h?5;295~h6:<=1m9:4}o05b50=83;pb<<:7;c71>{i:?l;;7>51zl2601=i=<0qc<9f1:94?7|f88>;7o;7:m63`713:1=v`>2459e1>895a5c8yk41n9i1<7?tn0063?g3j2we>;h?d;295~h6:<=1m9m4}o05b5c=83;pb<<:7;c7`>{i:?l;j7>51zl2601=i=o0qc<9f0294?7|f88>;7o;f:m63`693:1=v`>2459e06=6sa27d27?6=9rd:>895a408yk41n8>1<7?tn0063?g2;2we>;h>5;295~h6:<=1m8:4}o05b40=83;pb<<:7;c61>{i:?l:;7>51zl2601=i<<0qc<9f0:94?7|f88>;7o:7:m63`613:1=v`>2459e0>56sa27d2f?6=9rd:>895a4c8yk41n8i1<7?tn0063?g2j2we>;h>d;295~h6:<=1m8m4}o05b4c=83;pb<<:7;c6`>{i:?l:j7>51zl2601=i;7o:f:m63`593:1=v`>2459e36895a708yk41n;>1<7?tn0063?g1;2we>;h=5;295~h6:<=1m;:4}o05b70=83;pb<<:7;c51>{i:?l9;7>51zl2601=i?<0qc<9f3:94?7|f88>;7o97:m63`513:1=v`>2459e3>895a7c8yk41n;i1<7?tn0063?g1j2we>;h=d;295~h6:<=1m;m4}o05b7c=83;pb<<:7;c5`>{i:?l9j7>51zl2601=i?o0qc<9f2294?7|f88>;7o9f:m63`493:1=v`>2459e26<50;3xj442?3k<=6sa27d07?6=9rd:>895a608yk41n:>1<7?tn0063?g0;2we>;h<5;295~h6:<=1m::4}o05b60=83;pb<<:7;c41>{i:?l8;7>51zl2601=i><0qc<9f2:94?7|f88>;7o87:m63`413:1=v`>2459e2>o50;3xj442?3k<56sa27d0f?6=9rd:>895a6c8yk41n:i1<7?tn0063?g0j2we>;h{i:?l8j7>51zl2601=i>o0qc<9f5294?7|f88>;7o8f:m63`393:1=v`>2459e=6895a908yk41n=>1<7?tn0063?g?;2we>;h;5;295~h6:<=1m5:4}o05b10=83;pb<<:7;c;1>{i:?l?;7>51zl2601=i1<0qc<9f5:94?7|f88>;7o77:m63`313:1=v`>2459e=>895a9c8yk41n=i1<7?tn0063?g?j2we>;h;d;295~h6:<=1m5m4}o05b1c=83;pb<<:7;c;`>{i:?l?j7>51zl2601=i1o0qc<9f4294?7|f88>;7o7f:m63`293:1=v`>2459e<6895a808yk41n<>1<7?tn0063?g>;2we>;h:5;295~h6:<=1m4:4}o05b00=83;pb<<:7;c:1>{i:?l>;7>51zl2601=i0<0qc<9f4:94?7|f88>;7o67:m63`213:1=v`>2459e<>895a8c8yk41nj2we>;h:d;295~h6:<=1m4m4}o05b0c=83;pb<<:7;c:`>{i:?l>j7>51zl2601=i0o0qc<9f7294?7|f88>;7o6f:m63`193:1=v`>2459ed6895a`08yk41n?>1<7?tn0063?gf;2we>;h95;295~h6:<=1ml:4}o05b30=83;pb<<:7;cb1>{i:?l=;7>51zl2601=ih<0qc<9f7:94?7|f88>;7on7:m63`113:1=v`>2459ed>895a`c8yk41n?i1<7?tn0063?gfj2we>;h9d;295~h6:<=1mlm4}o05b3c=83;pb<<:7;cb`>{i:?l=j7>51zl2601=iho0qc<9f6294?7|f88>;7onf:m63`093:1=v`>2459eg6895ac08yk41n>>1<7?tn0063?ge;2we>;h85;295~h6:<=1mo:4}o05b20=83;pb<<:7;ca1>{i:?l<;7>51zl2601=ik<0qc<9f6:94?7|f88>;7om7:m63`013:1=v`>2459eg>895acc8yk41n>i1<7?tn0063?gej2we>;h8d;295~h6:<=1mom4}o05b2c=83;pb<<:7;ca`>{i:?l51zl2601=iko0qc<9f9294?7|f88>;7omf:m63`?93:1=v`>2459ef6895ab08yk41n1>1<7?tn0063?gd;2we>;h75;295~h6:<=1mn:4}o05b=0=83;pb<<:7;c`1>{i:?l3;7>51zl2601=ij<0qc<9f9:94?7|f88>;7ol7:m63`?13:1=v`>2459ef>895abc8yk41n1i1<7?tn0063?gdj2we>;h7d;295~h6:<=1mnm4}o05b=c=83;pb<<:7;c``>{i:?l3j7>51zl2601=ijo0qc<9f8294?7|f88>;7olf:m63`>93:1=v`>2459ea6895ae08yk41n0>1<7?tn0063?gc;2we>;h65;295~h6:<=1mi:4}o05b<0=83;pb<<:7;cg1>{i:?l2;7>51zl2601=im<0qc<9f8:94?7|f88>;7ok7:m63`>13:1=v`>2459ea>895aec8yk41n0i1<7?tn0063?gcj2we>;h6d;295~h6:<=1mim4}o05b{i:?l2j7>51zl2601=imo0qc<9f`294?7|f88>;7okf:m63`f93:1=v`>2459e`6895ad08yk41nh>1<7?tn0063?gb;2we>;hn5;295~h6:<=1mh:4}o05bd0=83;pb<<:7;cf1>{i:?lj;7>51zl2601=il<0qc<9f`:94?7|f88>;7oj7:m63`f13:1=v`>2459e`>895adc8yk41nhi1<7?tn0063?gbj2we>;hnd;295~h6:<=1mhm4}o05bdc=83;pb<<:7;cf`>{i:?ljj7>51zl2601=ilo0qc<9fc294?7|f88>;7ojf:m63`e93:1=v`>2459ec6895ag08yk41nk>1<7?tn0063?ga;2we>;hm5;295~h6:<=1mk:4}o05bg0=83;pb<<:7;ce1>{i:?li;7>51zl2601=io<0qc<9fc:94?7|f88>;7oi7:m63`e13:1=v`>2459ec>895agc8yk41nki1<7?tn0063?gaj2we>;hmd;295~h6:<=1mkm4}o05bgc=83;pb<<:7;ce`>{i:?lij7>51zl2601=ioo0qc<9fb294?7|f88>;7oif:m63`d93:1=v`>2459f56895b108yk41nj>1<7?tn0063?d7;2we>;hl5;295~h6:<=1n=:4}o05bf0=83;pb<<:7;`31>{i:?lh;7>51zl2601=j9<0qc<9fb:94?7|f88>;7l?7:m63`d13:1=v`>2459f5>895b1c8yk41nji1<7?tn0063?d7j2we>;hld;295~h6:<=1n=m4}o05bfc=83;pb<<:7;`3`>{i:?lhj7>51zl2601=j9o0qc<9fe294?7|f88>;7l?f:m63`c93:1=v`>2459f46895b008yk41nm>1<7?tn0063?d6;2we>;hk5;295~h6:<=1n<:4}o05ba0=83;pb<<:7;`21>{i:?lo;7>51zl2601=j8<0qc<9fe:94?7|f88>;7l>7:m63`c13:1=v`>2459f4>895b0c8yk41nmi1<7?tn0063?d6j2we>;hkd;295~h6:<=1n{i:?loj7>51zl2601=j8o0qc<9fd294?7|f88>;7l>f:m63`b93:1=v`>2459f76895b308yk41nl>1<7?tn0063?d5;2we>;hj5;295~h6:<=1n?:4}o05b`0=83;pb<<:7;`11>{i:?ln;7>51zl2601=j;<0qc<9fd:94?7|f88>;7l=7:m63`b13:1=v`>2459f7>895b3c8yk41nli1<7?tn0063?d5j2we>;hjd;295~h6:<=1n?m4}o05b`c=83;pb<<:7;`1`>{i:?lnj7>51zl2601=j;o0qc<9fg294?7|f88>;7l=f:m63`a93:1=v`>2459f66895b208yk41no>1<7?tn0063?d4;2we>;hi5;295~h6:<=1n>:4}o05bc0=83;pb<<:7;`01>{i:?lm;7>51zl2601=j:<0qc<9fg:94?7|f88>;7l<7:m63`a13:1=v`>2459f6>895b2c8yk41noi1<7?tn0063?d4j2we>;hid;295~h6:<=1n>m4}o05bcc=83;pb<<:7;`0`>{i:?lmj7>51zl2601=j:o0qc<801294?7|f88>;7l2459f16895b508yk4089>1<7?tn0063?d3;2we>:>?5;295~h6:<=1n9:4}o04450=83;pb<<:7;`71>{i:>:;;7>51zl2601=j=<0qc<801:94?7|f88>;7l;7:m626713:1=v`>2459f1>895b5c8yk4089i1<7?tn0063?d3j2we>:>?d;295~h6:<=1n9m4}o0445c=83;pb<<:7;`7`>{i:>:;j7>51zl2601=j=o0qc<800294?7|f88>;7l;f:m626693:1=v`>2459f06=6sa26227?6=9rd:>895b408yk4088>1<7?tn0063?d2;2we>:>>5;295~h6:<=1n8:4}o04440=83;pb<<:7;`61>{i:>::;7>51zl2601=j<<0qc<800:94?7|f88>;7l:7:m626613:1=v`>2459f0>56sa2622f?6=9rd:>895b4c8yk4088i1<7?tn0063?d2j2we>:>>d;295~h6:<=1n8m4}o0444c=83;pb<<:7;`6`>{i:>::j7>51zl2601=j;7l:f:m626593:1=v`>2459f36895b708yk408;>1<7?tn0063?d1;2we>:>=5;295~h6:<=1n;:4}o04470=83;pb<<:7;`51>{i:>:9;7>51zl2601=j?<0qc<803:94?7|f88>;7l97:m626513:1=v`>2459f3>895b7c8yk408;i1<7?tn0063?d1j2we>:>=d;295~h6:<=1n;m4}o0447c=83;pb<<:7;`5`>{i:>:9j7>51zl2601=j?o0qc<802294?7|f88>;7l9f:m626493:1=v`>2459f26<50;3xj442?3h<=6sa26207?6=9rd:>895b608yk408:>1<7?tn0063?d0;2we>:><5;295~h6:<=1n::4}o04460=83;pb<<:7;`41>{i:>:8;7>51zl2601=j><0qc<802:94?7|f88>;7l87:m626413:1=v`>2459f2>o50;3xj442?3h<56sa2620f?6=9rd:>895b6c8yk408:i1<7?tn0063?d0j2we>:>{i:>:8j7>51zl2601=j>o0qc<805294?7|f88>;7l8f:m626393:1=v`>2459f=6895b908yk408=>1<7?tn0063?d?;2we>:>;5;295~h6:<=1n5:4}o04410=83;pb<<:7;`;1>{i:>:?;7>51zl2601=j1<0qc<805:94?7|f88>;7l77:m626313:1=v`>2459f=>895b9c8yk408=i1<7?tn0063?d?j2we>:>;d;295~h6:<=1n5m4}o0441c=83;pb<<:7;`;`>{i:>:?j7>51zl2601=j1o0qc<804294?7|f88>;7l7f:m626293:1=v`>2459f<6895b808yk408<>1<7?tn0063?d>;2we>:>:5;295~h6:<=1n4:4}o04400=83;pb<<:7;`:1>{i:>:>;7>51zl2601=j0<0qc<804:94?7|f88>;7l67:m626213:1=v`>2459f<>895b8c8yk408j2we>:>:d;295~h6:<=1n4m4}o0440c=83;pb<<:7;`:`>{i:>:>j7>51zl2601=j0o0qc<807294?7|f88>;7l6f:m626193:1=v`>2459fd6895b`08yk408?>1<7?tn0063?df;2we>:>95;295~h6:<=1nl:4}o04430=83;pb<<:7;`b1>{i:>:=;7>51zl2601=jh<0qc<807:94?7|f88>;7ln7:m626113:1=v`>2459fd>895b`c8yk408?i1<7?tn0063?dfj2we>:>9d;295~h6:<=1nlm4}o0443c=83;pb<<:7;`b`>{i:>:=j7>51zl2601=jho0qc<806294?7|f88>;7lnf:m626093:1=v`>2459fg6895bc08yk408>>1<7?tn0063?de;2we>:>85;295~h6:<=1no:4}o04420=83;pb<<:7;`a1>{i:>:<;7>51zl2601=jk<0qc<806:94?7|f88>;7lm7:m626013:1=v`>2459fg>895bcc8yk408>i1<7?tn0063?dej2we>:>8d;295~h6:<=1nom4}o0442c=83;pb<<:7;`a`>{i:>:51zl2601=jko0qc<809294?7|f88>;7lmf:m626?93:1=v`>2459ff6895bb08yk4081>1<7?tn0063?dd;2we>:>75;295~h6:<=1nn:4}o044=0=83;pb<<:7;``1>{i:>:3;7>51zl2601=jj<0qc<809:94?7|f88>;7ll7:m626?13:1=v`>2459ff>895bbc8yk4081i1<7?tn0063?ddj2we>:>7d;295~h6:<=1nnm4}o044=c=83;pb<<:7;```>{i:>:3j7>51zl2601=jjo0qc<808294?7|f88>;7llf:m626>93:1=v`>2459fa6895be08yk4080>1<7?tn0063?dc;2we>:>65;295~h6:<=1ni:4}o044<0=83;pb<<:7;`g1>{i:>:2;7>51zl2601=jm<0qc<808:94?7|f88>;7lk7:m626>13:1=v`>2459fa>895bec8yk4080i1<7?tn0063?dcj2we>:>6d;295~h6:<=1nim4}o044{i:>:2j7>51zl2601=jmo0qc<80`294?7|f88>;7lkf:m626f93:1=v`>2459f`6895bd08yk408h>1<7?tn0063?db;2we>:>n5;295~h6:<=1nh:4}o044d0=83;pb<<:7;`f1>{i:>:j;7>51zl2601=jl<0qc<80`:94?7|f88>;7lj7:m626f13:1=v`>2459f`>895bdc8yk408hi1<7?tn0063?dbj2we>:>nd;295~h6:<=1nhm4}o044dc=83;pb<<:7;`f`>{i:>:jj7>51zl2601=jlo0qc<80c294?7|f88>;7ljf:m626e93:1=v`>2459fc6895bg08yk408k>1<7?tn0063?da;2we>:>m5;295~h6:<=1nk:4}o044g0=83;pb<<:7;`e1>{i:>:i;7>51zl2601=jo<0qc<80c:94?7|f88>;7li7:m626e13:1=v`>2459fc>895bgc8yk408ki1<7?tn0063?daj2we>:>md;295~h6:<=1nkm4}o044gc=83;pb<<:7;`e`>{i:>:ij7>51zl2601=joo0qc<80b294?7|f88>;7lif:m626d93:1=v`>2459g56895c108yk408j>1<7?tn0063?e7;2we>:>l5;295~h6:<=1o=:4}o044f0=83;pb<<:7;a31>{i:>:h;7>51zl2601=k9<0qc<80b:94?7|f88>;7m?7:m626d13:1=v`>2459g5>895c1c8yk408ji1<7?tn0063?e7j2we>:>ld;295~h6:<=1o=m4}o044fc=83;pb<<:7;a3`>{i:>:hj7>51zl2601=k9o0qc<80e294?7|f88>;7m?f:m626c93:1=v`>2459g46895c008yk408m>1<7?tn0063?e6;2we>:>k5;295~h6:<=1o<:4}o044a0=83;pb<<:7;a21>{i:>:o;7>51zl2601=k8<0qc<80e:94?7|f88>;7m>7:m626c13:1=v`>2459g4>895c0c8yk408mi1<7?tn0063?e6j2we>:>kd;295~h6:<=1o{i:>:oj7>51zl2601=k8o0qc<80d294?7|f88>;7m>f:m626b93:1=v`>2459g76895c308yk408l>1<7?tn0063?e5;2we>:>j5;295~h6:<=1o?:4}o044`0=83;pb<<:7;a11>{i:>:n;7>51zl2601=k;<0qc<80d:94?7|f88>;7m=7:m626b13:1=v`>2459g7>895c3c8yk408li1<7?tn0063?e5j2we>:>jd;295~h6:<=1o?m4}o044`c=83;pb<<:7;a1`>{i:>:nj7>51zl2601=k;o0qc<80g294?7|f88>;7m=f:m626a93:1=v`>2459g66895c208yk408o>1<7?tn0063?e4;2we>:>i5;295~h6:<=1o>:4}o044c0=83;pb<<:7;a01>{i:>:m;7>51zl2601=k:<0qc<80g:94?7|f88>;7m<7:m626a13:1=v`>2459g6>895c2c8yk408oi1<7?tn0063?e4j2we>:>id;295~h6:<=1o>m4}o044cc=83;pb<<:7;a0`>{i:>:mj7>51zl2601=k:o0qc<811294?7|f88>;7m2459g16895c508yk4099>1<7?tn0063?e3;2we>:??5;295~h6:<=1o9:4}o04550=83;pb<<:7;a71>{i:>;;;7>51zl2601=k=<0qc<811:94?7|f88>;7m;7:m627713:1=v`>2459g1>895c5c8yk4099i1<7?tn0063?e3j2we>:??d;295~h6:<=1o9m4}o0455c=83;pb<<:7;a7`>{i:>;;j7>51zl2601=k=o0qc<810294?7|f88>;7m;f:m627693:1=v`>2459g06=6sa26327?6=9rd:>895c408yk4098>1<7?tn0063?e2;2we>:?>5;295~h6:<=1o8:4}o04540=83;pb<<:7;a61>{i:>;:;7>51zl2601=k<<0qc<810:94?7|f88>;7m:7:m627613:1=v`>2459g0>56sa2632f?6=9rd:>895c4c8yk4098i1<7?tn0063?e2j2we>:?>d;295~h6:<=1o8m4}o0454c=83;pb<<:7;a6`>{i:>;:j7>51zl2601=k;7m:f:m627593:1=v`>2459g36895c708yk409;>1<7?tn0063?e1;2we>:?=5;295~h6:<=1o;:4}o04570=83;pb<<:7;a51>{i:>;9;7>51zl2601=k?<0qc<813:94?7|f88>;7m97:m627513:1=v`>2459g3>895c7c8yk409;i1<7?tn0063?e1j2we>:?=d;295~h6:<=1o;m4}o0457c=83;pb<<:7;a5`>{i:>;9j7>51zl2601=k?o0qc<812294?7|f88>;7m9f:m627493:1=v`>2459g26<50;3xj442?3i<=6sa26307?6=9rd:>895c608yk409:>1<7?tn0063?e0;2we>:?<5;295~h6:<=1o::4}o04560=83;pb<<:7;a41>{i:>;8;7>51zl2601=k><0qc<812:94?7|f88>;7m87:m627413:1=v`>2459g2>o50;3xj442?3i<56sa2630f?6=9rd:>895c6c8yk409:i1<7?tn0063?e0j2we>:?{i:>;8j7>51zl2601=k>o0qc<815294?7|f88>;7m8f:m627393:1=v`>2459g=6895c908yk409=>1<7?tn0063?e?;2we>:?;5;295~h6:<=1o5:4}o04510=83;pb<<:7;a;1>{i:>;?;7>51zl2601=k1<0qc<815:94?7|f88>;7m77:m627313:1=v`>2459g=>895c9c8yk409=i1<7?tn0063?e?j2we>:?;d;295~h6:<=1o5m4}o0451c=83;pb<<:7;a;`>{i:>;?j7>51zl2601=k1o0qc<814294?7|f88>;7m7f:m627293:1=v`>2459g<6895c808yk409<>1<7?tn0063?e>;2we>:?:5;295~h6:<=1o4:4}o04500=83;pb<<:7;a:1>{i:>;>;7>51zl2601=k0<0qc<814:94?7|f88>;7m67:m627213:1=v`>2459g<>895c8c8yk409j2we>:?:d;295~h6:<=1o4m4}o0450c=83;pb<<:7;a:`>{i:>;>j7>51zl2601=k0o0qc<817294?7|f88>;7m6f:m627193:1=v`>2459gd6895c`08yk409?>1<7?tn0063?ef;2we>:?95;295~h6:<=1ol:4}o04530=83;pb<<:7;ab1>{i:>;=;7>51zl2601=kh<0qc<817:94?7|f88>;7mn7:m627113:1=v`>2459gd>895c`c8yk409?i1<7?tn0063?efj2we>:?9d;295~h6:<=1olm4}o0453c=83;pb<<:7;ab`>{i:>;=j7>51zl2601=kho0qc<816294?7|f88>;7mnf:m627093:1=v`>2459gg6895cc08yk409>>1<7?tn0063?ee;2we>:?85;295~h6:<=1oo:4}o04520=83;pb<<:7;aa1>{i:>;<;7>51zl2601=kk<0qc<816:94?7|f88>;7mm7:m627013:1=v`>2459gg>895ccc8yk409>i1<7?tn0063?eej2we>:?8d;295~h6:<=1oom4}o0452c=83;pb<<:7;aa`>{i:>;51zl2601=kko0qc<819294?7|f88>;7mmf:m627?93:1=v`>2459gf6895cb08yk4091>1<7?tn0063?ed;2we>:?75;295~h6:<=1on:4}o045=0=83;pb<<:7;a`1>{i:>;3;7>51zl2601=kj<0qc<819:94?7|f88>;7ml7:m627?13:1=v`>2459gf>895cbc8yk4091i1<7?tn0063?edj2we>:?7d;295~h6:<=1onm4}o045=c=83;pb<<:7;a``>{i:>;3j7>51zl2601=kjo0qc<818294?7|f88>;7mlf:m627>93:1=v`>2459ga6895ce08yk4090>1<7?tn0063?ec;2we>:?65;295~h6:<=1oi:4}o045<0=83;pb<<:7;ag1>{i:>;2;7>51zl2601=km<0qc<818:94?7|f88>;7mk7:m627>13:1=v`>2459ga>895cec8yk4090i1<7?tn0063?ecj2we>:?6d;295~h6:<=1oim4}o045{i:>;2j7>51zl2601=kmo0qc<81`294?7|f88>;7mkf:m627f93:1=v`>2459g`6895cd08yk409h>1<7?tn0063?eb;2we>:?n5;295~h6:<=1oh:4}o045d0=83;pb<<:7;af1>{i:>;j;7>51zl2601=kl<0qc<81`:94?7|f88>;7mj7:m627f13:1=v`>2459g`>895cdc8yk409hi1<7?tn0063?ebj2we>:?nd;295~h6:<=1ohm4}o045dc=83;pb<<:7;af`>{i:>;jj7>51zl2601=klo0qc<81c294?7|f88>;7mjf:m627e93:1=v`>2459gc6895cg08yk409k>1<7?tn0063?ea;2we>:?m5;295~h6:<=1ok:4}o045g0=83;pb<<:7;ae1>{i:>;i;7>51zl2601=ko<0qc<81c:94?7|f88>;7mi7:m627e13:1=v`>2459gc>895cgc8yk409ki1<7?tn0063?eaj2we>:?md;295~h6:<=1okm4}o045gc=83;pb<<:7;ae`>{i:>;ij7>51zl2601=koo0qc<81b294?7|f88>;7mif:m627d93:1=v`>2459`56895d108yk409j>1<7?tn0063?b7;2we>:?l5;295~h6:<=1h=:4}o045f0=83;pb<<:7;f31>{i:>;h;7>51zl2601=l9<0qc<81b:94?7|f88>;7j?7:m627d13:1=v`>2459`5>895d1c8yk409ji1<7?tn0063?b7j2we>:?ld;295~h6:<=1h=m4}o045fc=83;pb<<:7;f3`>{i:>;hj7>51zl2601=l9o0qc<81e294?7|f88>;7j?f:m627c93:1=v`>2459`46895d008yk409m>1<7?tn0063?b6;2we>:?k5;295~h6:<=1h<:4}o045a0=83;pb<<:7;f21>{i:>;o;7>51zl2601=l8<0qc<81e:94?7|f88>;7j>7:m627c13:1=v`>2459`4>895d0c8yk409mi1<7?tn0063?b6j2we>:?kd;295~h6:<=1h{i:>;oj7>51zl2601=l8o0qc<81d294?7|f88>;7j>f:m627b93:1=v`>2459`76895d308yk409l>1<7?tn0063?b5;2we>:?j5;295~h6:<=1h?:4}o045`0=83;pb<<:7;f11>{i:>;n;7>51zl2601=l;<0qc<81d:94?7|f88>;7j=7:m627b13:1=v`>2459`7>895d3c8yk409li1<7?tn0063?b5j2we>:?jd;295~h6:<=1h?m4}o045`c=83;pb<<:7;f1`>{i:>;nj7>51zl2601=l;o0qc<81g294?7|f88>;7j=f:m627a93:1=v`>2459`66895d208yk409o>1<7?tn0063?b4;2we>:?i5;295~h6:<=1h>:4}o045c0=83;pb<<:7;f01>{i:>;m;7>51zl2601=l:<0qc<81g:94?7|f88>;7j<7:m627a13:1=v`>2459`6>895d2c8yk409oi1<7?tn0063?b4j2we>:?id;295~h6:<=1h>m4}o045cc=83;pb<<:7;f0`>{i:>;mj7>51zl2601=l:o0qc<821294?7|f88>;7j2459`16=<50;3xj442?3n?=6sa26037?6=9rd:>895d508yk40:9>1<7?tn0063?b3;2we>:{i:>8;;7>51zl2601=l=<0qc<821:94?7|f88>;7j;7:m624713:1=v`>2459`1>=o50;3xj442?3n?56sa2603f?6=9rd:>895d5c8yk40:9i1<7?tn0063?b3j2we>:{i:>8;j7>51zl2601=l=o0qc<820294?7|f88>;7j;f:m624693:1=v`>2459`06<<50;3xj442?3n>=6sa26027?6=9rd:>895d408yk40:8>1<7?tn0063?b2;2we>:<>5;295~h6:<=1h8:4}o04640=83;pb<<:7;f61>{i:>8:;7>51zl2601=l<<0qc<820:94?7|f88>;7j:7:m624613:1=v`>2459`0>56sa2602f?6=9rd:>895d4c8yk40:8i1<7?tn0063?b2j2we>:<>d;295~h6:<=1h8m4}o0464c=83;pb<<:7;f6`>{i:>8:j7>51zl2601=l;7j:f:m624593:1=v`>2459`36?<50;3xj442?3n==6sa26017?6=9rd:>895d708yk40:;>1<7?tn0063?b1;2we>:<=5;295~h6:<=1h;:4}o04670=83;pb<<:7;f51>{i:>89;7>51zl2601=l?<0qc<823:94?7|f88>;7j97:m624513:1=v`>2459`3>?o50;3xj442?3n=56sa2601f?6=9rd:>895d7c8yk40:;i1<7?tn0063?b1j2we>:<=d;295~h6:<=1h;m4}o0467c=83;pb<<:7;f5`>{i:>89j7>51zl2601=l?o0qc<822294?7|f88>;7j9f:m624493:1=v`>2459`26><50;3xj442?3n<=6sa26007?6=9rd:>895d608yk40::>1<7?tn0063?b0;2we>:<<5;295~h6:<=1h::4}o04660=83;pb<<:7;f41>{i:>88;7>51zl2601=l><0qc<822:94?7|f88>;7j87:m624413:1=v`>2459`2>>o50;3xj442?3n<56sa2600f?6=9rd:>895d6c8yk40::i1<7?tn0063?b0j2we>:<{i:>88j7>51zl2601=l>o0qc<825294?7|f88>;7j8f:m624393:1=v`>2459`=69<50;3xj442?3n3=6sa26077?6=9rd:>895d908yk40:=>1<7?tn0063?b?;2we>:<;5;295~h6:<=1h5:4}o04610=83;pb<<:7;f;1>{i:>8?;7>51zl2601=l1<0qc<825:94?7|f88>;7j77:m624313:1=v`>2459`=>9o50;3xj442?3n356sa2607f?6=9rd:>895d9c8yk40:=i1<7?tn0063?b?j2we>:<;d;295~h6:<=1h5m4}o0461c=83;pb<<:7;f;`>{i:>8?j7>51zl2601=l1o0qc<824294?7|f88>;7j7f:m624293:1=v`>2459`<68<50;3xj442?3n2=6sa26067?6=9rd:>895d808yk40:<>1<7?tn0063?b>;2we>:<:5;295~h6:<=1h4:4}o04600=83;pb<<:7;f:1>{i:>8>;7>51zl2601=l0<0qc<824:94?7|f88>;7j67:m624213:1=v`>2459`<>8o50;3xj442?3n256sa2606f?6=9rd:>895d8c8yk40:j2we>:<:d;295~h6:<=1h4m4}o0460c=83;pb<<:7;f:`>{i:>8>j7>51zl2601=l0o0qc<827294?7|f88>;7j6f:m624193:1=v`>2459`d6;<50;3xj442?3nj=6sa26057?6=9rd:>895d`08yk40:?>1<7?tn0063?bf;2we>:<95;295~h6:<=1hl:4}o04630=83;pb<<:7;fb1>{i:>8=;7>51zl2601=lh<0qc<827:94?7|f88>;7jn7:m624113:1=v`>2459`d>;o50;3xj442?3nj56sa2605f?6=9rd:>895d`c8yk40:?i1<7?tn0063?bfj2we>:<9d;295~h6:<=1hlm4}o0463c=83;pb<<:7;fb`>{i:>8=j7>51zl2601=lho0qc<826294?7|f88>;7jnf:m624093:1=v`>2459`g6:<50;3xj442?3ni=6sa26047?6=9rd:>895dc08yk40:>>1<7?tn0063?be;2we>:<85;295~h6:<=1ho:4}o04620=83;pb<<:7;fa1>{i:>8<;7>51zl2601=lk<0qc<826:94?7|f88>;7jm7:m624013:1=v`>2459`g>:o50;3xj442?3ni56sa2604f?6=9rd:>895dcc8yk40:>i1<7?tn0063?bej2we>:<8d;295~h6:<=1hom4}o0462c=83;pb<<:7;fa`>{i:>851zl2601=lko0qc<829294?7|f88>;7jmf:m624?93:1=v`>2459`f65<50;3xj442?3nh=6sa260;7?6=9rd:>895db08yk40:1>1<7?tn0063?bd;2we>:<75;295~h6:<=1hn:4}o046=0=83;pb<<:7;f`1>{i:>83;7>51zl2601=lj<0qc<829:94?7|f88>;7jl7:m624?13:1=v`>2459`f>5o50;3xj442?3nh56sa260;f?6=9rd:>895dbc8yk40:1i1<7?tn0063?bdj2we>:<7d;295~h6:<=1hnm4}o046=c=83;pb<<:7;f``>{i:>83j7>51zl2601=ljo0qc<828294?7|f88>;7jlf:m624>93:1=v`>2459`a64<50;3xj442?3no=6sa260:7?6=9rd:>895de08yk40:0>1<7?tn0063?bc;2we>:<65;295~h6:<=1hi:4}o046<0=83;pb<<:7;fg1>{i:>82;7>51zl2601=lm<0qc<828:94?7|f88>;7jk7:m624>13:1=v`>2459`a>4o50;3xj442?3no56sa260:f?6=9rd:>895dec8yk40:0i1<7?tn0063?bcj2we>:<6d;295~h6:<=1him4}o046{i:>82j7>51zl2601=lmo0qc<82`294?7|f88>;7jkf:m624f93:1=v`>2459``6l<50;3xj442?3nn=6sa260b7?6=9rd:>895dd08yk40:h>1<7?tn0063?bb;2we>:{i:>8j;7>51zl2601=ll<0qc<82`:94?7|f88>;7jj7:m624f13:1=v`>2459``>lo50;3xj442?3nn56sa260bf?6=9rd:>895ddc8yk40:hi1<7?tn0063?bbj2we>:{i:>8jj7>51zl2601=llo0qc<82c294?7|f88>;7jjf:m624e93:1=v`>2459`c6o<50;3xj442?3nm=6sa260a7?6=9rd:>895dg08yk40:k>1<7?tn0063?ba;2we>:{i:>8i;7>51zl2601=lo<0qc<82c:94?7|f88>;7ji7:m624e13:1=v`>2459`c>oo50;3xj442?3nm56sa260af?6=9rd:>895dgc8yk40:ki1<7?tn0063?baj2we>:{i:>8ij7>51zl2601=loo0qc<82b294?7|f88>;7jif:m624d93:1=v`>2459a56n<50;3xj442?3o;=6sa260`7?6=9rd:>895e108yk40:j>1<7?tn0063?c7;2we>:{i:>8h;7>51zl2601=m9<0qc<82b:94?7|f88>;7k?7:m624d13:1=v`>2459a5>no50;3xj442?3o;56sa260`f?6=9rd:>895e1c8yk40:ji1<7?tn0063?c7j2we>:{i:>8hj7>51zl2601=m9o0qc<82e294?7|f88>;7k?f:m624c93:1=v`>2459a46i<50;3xj442?3o:=6sa260g7?6=9rd:>895e008yk40:m>1<7?tn0063?c6;2we>:{i:>8o;7>51zl2601=m8<0qc<82e:94?7|f88>;7k>7:m624c13:1=v`>2459a4>io50;3xj442?3o:56sa260gf?6=9rd:>895e0c8yk40:mi1<7?tn0063?c6j2we>:{i:>8oj7>51zl2601=m8o0qc<82d294?7|f88>;7k>f:m624b93:1=v`>2459a76h<50;3xj442?3o9=6sa260f7?6=9rd:>895e308yk40:l>1<7?tn0063?c5;2we>:{i:>8n;7>51zl2601=m;<0qc<82d:94?7|f88>;7k=7:m624b13:1=v`>2459a7>ho50;3xj442?3o956sa260ff?6=9rd:>895e3c8yk40:li1<7?tn0063?c5j2we>:{i:>8nj7>51zl2601=m;o0qc<82g294?7|f88>;7k=f:m624a93:1=v`>2459a66k<50;3xj442?3o8=6sa260e7?6=9rd:>895e208yk40:o>1<7?tn0063?c4;2we>::4}o046c0=83;pb<<:7;g01>{i:>8m;7>51zl2601=m:<0qc<82g:94?7|f88>;7k<7:m624a13:1=v`>2459a6>ko50;3xj442?3o856sa260ef?6=9rd:>895e2c8yk40:oi1<7?tn0063?c4j2we>:m4}o046cc=83;pb<<:7;g0`>{i:>8mj7>51zl2601=m:o0qc<831294?7|f88>;7k2459a16895e508yk40;9>1<7?tn0063?c3;2we>:=?5;295~h6:<=1i9:4}o04750=83;pb<<:7;g71>{i:>9;;7>51zl2601=m=<0qc<831:94?7|f88>;7k;7:m625713:1=v`>2459a1>895e5c8yk40;9i1<7?tn0063?c3j2we>:=?d;295~h6:<=1i9m4}o0475c=83;pb<<:7;g7`>{i:>9;j7>51zl2601=m=o0qc<830294?7|f88>;7k;f:m625693:1=v`>2459a06=6sa26127?6=9rd:>895e408yk40;8>1<7?tn0063?c2;2we>:=>5;295~h6:<=1i8:4}o04740=83;pb<<:7;g61>{i:>9:;7>51zl2601=m<<0qc<830:94?7|f88>;7k:7:m625613:1=v`>2459a0>56sa2612f?6=9rd:>895e4c8yk40;8i1<7?tn0063?c2j2we>:=>d;295~h6:<=1i8m4}o0474c=83;pb<<:7;g6`>{i:>9:j7>51zl2601=m;7k:f:m625593:1=v`>2459a36895e708yk40;;>1<7?tn0063?c1;2we>:==5;295~h6:<=1i;:4}o04770=83;pb<<:7;g51>{i:>99;7>51zl2601=m?<0qc<833:94?7|f88>;7k97:m625513:1=v`>2459a3>895e7c8yk40;;i1<7?tn0063?c1j2we>:==d;295~h6:<=1i;m4}o0477c=83;pb<<:7;g5`>{i:>99j7>51zl2601=m?o0qc<832294?7|f88>;7k9f:m625493:1=v`>2459a26<50;3xj442?3o<=6sa26107?6=9rd:>895e608yk40;:>1<7?tn0063?c0;2we>:=<5;295~h6:<=1i::4}o04760=83;pb<<:7;g41>{i:>98;7>51zl2601=m><0qc<832:94?7|f88>;7k87:m625413:1=v`>2459a2>o50;3xj442?3o<56sa2610f?6=9rd:>895e6c8yk40;:i1<7?tn0063?c0j2we>:={i:>98j7>51zl2601=m>o0qc<835294?7|f88>;7k8f:m625393:1=v`>2459a=6895e908yk40;=>1<7?tn0063?c?;2we>:=;5;295~h6:<=1i5:4}o04710=83;pb<<:7;g;1>{i:>9?;7>51zl2601=m1<0qc<835:94?7|f88>;7k77:m625313:1=v`>2459a=>895e9c8yk40;=i1<7?tn0063?c?j2we>:=;d;295~h6:<=1i5m4}o0471c=83;pb<<:7;g;`>{i:>9?j7>51zl2601=m1o0qc<834294?7|f88>;7k7f:m625293:1=v`>2459a<6895e808yk40;<>1<7?tn0063?c>;2we>:=:5;295~h6:<=1i4:4}o04700=83;pb<<:7;g:1>{i:>9>;7>51zl2601=m0<0qc<834:94?7|f88>;7k67:m625213:1=v`>2459a<>895e8c8yk40;j2we>:=:d;295~h6:<=1i4m4}o0470c=83;pb<<:7;g:`>{i:>9>j7>51zl2601=m0o0qc<837294?7|f88>;7k6f:m625193:1=v`>2459ad6895e`08yk40;?>1<7?tn0063?cf;2we>:=95;295~h6:<=1il:4}o04730=83;pb<<:7;gb1>{i:>9=;7>51zl2601=mh<0qc<837:94?7|f88>;7kn7:m625113:1=v`>2459ad>895e`c8yk40;?i1<7?tn0063?cfj2we>:=9d;295~h6:<=1ilm4}o0473c=83;pb<<:7;gb`>{i:>9=j7>51zl2601=mho0qc<836294?7|f88>;7knf:m625093:1=v`>2459ag6895ec08yk40;>>1<7?tn0063?ce;2we>:=85;295~h6:<=1io:4}o04720=83;pb<<:7;ga1>{i:>9<;7>51zl2601=mk<0qc<836:94?7|f88>;7km7:m625013:1=v`>2459ag>895ecc8yk40;>i1<7?tn0063?cej2we>:=8d;295~h6:<=1iom4}o0472c=83;pb<<:7;ga`>{i:>951zl2601=mko0qc<839294?7|f88>;7kmf:m625?93:1=v`>2459af6895eb08yk40;1>1<7?tn0063?cd;2we>:=75;295~h6:<=1in:4}o047=0=83;pb<<:7;g`1>{i:>93;7>51zl2601=mj<0qc<839:94?7|f88>;7kl7:m625?13:1=v`>2459af>895ebc8yk40;1i1<7?tn0063?cdj2we>:=7d;295~h6:<=1inm4}o047=c=83;pb<<:7;g``>{i:>93j7>51zl2601=mjo0qc<838294?7|f88>;7klf:m625>93:1=v`>2459aa6895ee08yk40;0>1<7?tn0063?cc;2we>:=65;295~h6:<=1ii:4}o047<0=83;pb<<:7;gg1>{i:>92;7>51zl2601=mm<0qc<838:94?7|f88>;7kk7:m625>13:1=v`>2459aa>895eec8yk40;0i1<7?tn0063?ccj2we>:=6d;295~h6:<=1iim4}o047{i:>92j7>51zl2601=mmo0qc<83`294?7|f88>;7kkf:m625f93:1=v`>2459a`6895ed08yk40;h>1<7?tn0063?cb;2we>:=n5;295~h6:<=1ih:4}o047d0=83;pb<<:7;gf1>{i:>9j;7>51zl2601=ml<0qc<83`:94?7|f88>;7kj7:m625f13:1=v`>2459a`>895edc8yk40;hi1<7?tn0063?cbj2we>:=nd;295~h6:<=1ihm4}o047dc=83;pb<<:7;gf`>{i:>9jj7>51zl2601=mlo0qc<83c294?7|f88>;7kjf:m625e93:1=v`>2459ac6895eg08yk40;k>1<7?tn0063?ca;2we>:=m5;295~h6:<=1ik:4}o047g0=83;pb<<:7;ge1>{i:>9i;7>51zl2601=mo<0qc<83c:94?7|f88>;7ki7:m625e13:1=v`>2459ac>895egc8yk40;ki1<7?tn0063?caj2we>:=md;295~h6:<=1ikm4}o047gc=83;pb<<:7;ge`>{i:>9ij7>51zl2601=moo0qc<83b294?7|f88>;7kif:m625d93:1=v`>2459b56895f108yk40;j>1<7?tn0063?`7;2we>:=l5;295~h6:<=1j=:4}o047f0=83;pb<<:7;d31>{i:>9h;7>51zl2601=n9<0qc<83b:94?7|f88>;7h?7:m625d13:1=v`>2459b5>895f1c8yk40;ji1<7?tn0063?`7j2we>:=ld;295~h6:<=1j=m4}o047fc=83;pb<<:7;d3`>{i:>9hj7>51zl2601=n9o0qc<83e294?7|f88>;7h?f:m625c93:1=v`>2459b46895f008yk40;m>1<7?tn0063?`6;2we>:=k5;295~h6:<=1j<:4}o047a0=83;pb<<:7;d21>{i:>9o;7>51zl2601=n8<0qc<83e:94?7|f88>;7h>7:m625c13:1=v`>2459b4>895f0c8yk40;mi1<7?tn0063?`6j2we>:=kd;295~h6:<=1j{i:>9oj7>51zl2601=n8o0qc<83d294?7|f88>;7h>f:m625b93:1=v`>2459b76895f308yk40;l>1<7?tn0063?`5;2we>:=j5;295~h6:<=1j?:4}o047`0=83;pb<<:7;d11>{i:>9n;7>51zl2601=n;<0qc<83d:94?7|f88>;7h=7:m625b13:1=v`>2459b7>895f3c8yk40;li1<7?tn0063?`5j2we>:=jd;295~h6:<=1j?m4}o047`c=83;pb<<:7;d1`>{i:>9nj7>51zl2601=n;o0qc<83g294?7|f88>;7h=f:m625a93:1=v`>2459b66895f208yk40;o>1<7?tn0063?`4;2we>:=i5;295~h6:<=1j>:4}o047c0=83;pb<<:7;d01>{i:>9m;7>51zl2601=n:<0qc<83g:94?7|f88>;7h<7:m625a13:1=v`>2459b6>895f2c8yk40;oi1<7?tn0063?`4j2we>:=id;295~h6:<=1j>m4}o047cc=83;pb<<:7;d0`>{i:>9mj7>51zl2601=n:o0qc<841294?7|f88>;7h2459b16895f508yk40<9>1<7?tn0063?`3;2we>::?5;295~h6:<=1j9:4}o04050=83;pb<<:7;d71>{i:>>;;7>51zl2601=n=<0qc<841:94?7|f88>;7h;7:m622713:1=v`>2459b1>895f5c8yk40<9i1<7?tn0063?`3j2we>::?d;295~h6:<=1j9m4}o0405c=83;pb<<:7;d7`>{i:>>;j7>51zl2601=n=o0qc<840294?7|f88>;7h;f:m622693:1=v`>2459b06=6sa26627?6=9rd:>895f408yk40<8>1<7?tn0063?`2;2we>::>5;295~h6:<=1j8:4}o04040=83;pb<<:7;d61>{i:>>:;7>51zl2601=n<<0qc<840:94?7|f88>;7h:7:m622613:1=v`>2459b0>56sa2662f?6=9rd:>895f4c8yk40<8i1<7?tn0063?`2j2we>::>d;295~h6:<=1j8m4}o0404c=83;pb<<:7;d6`>{i:>>:j7>51zl2601=n;7h:f:m622593:1=v`>2459b36895f708yk40<;>1<7?tn0063?`1;2we>::=5;295~h6:<=1j;:4}o04070=83;pb<<:7;d51>{i:>>9;7>51zl2601=n?<0qc<843:94?7|f88>;7h97:m622513:1=v`>2459b3>895f7c8yk40<;i1<7?tn0063?`1j2we>::=d;295~h6:<=1j;m4}o0407c=83;pb<<:7;d5`>{i:>>9j7>51zl2601=n?o0qc<842294?7|f88>;7h9f:m622493:1=v`>2459b26<50;3xj442?3l<=6sa26607?6=9rd:>895f608yk40<:>1<7?tn0063?`0;2we>::<5;295~h6:<=1j::4}o04060=83;pb<<:7;d41>{i:>>8;7>51zl2601=n><0qc<842:94?7|f88>;7h87:m622413:1=v`>2459b2>o50;3xj442?3l<56sa2660f?6=9rd:>895f6c8yk40<:i1<7?tn0063?`0j2we>::{i:>>8j7>51zl2601=n>o0qc<845294?7|f88>;7h8f:m622393:1=v`>2459b=6895f908yk40<=>1<7?tn0063?`?;2we>::;5;295~h6:<=1j5:4}o04010=83;pb<<:7;d;1>{i:>>?;7>51zl2601=n1<0qc<845:94?7|f88>;7h77:m622313:1=v`>2459b=>895f9c8yk40<=i1<7?tn0063?`?j2we>::;d;295~h6:<=1j5m4}o0401c=83;pb<<:7;d;`>{i:>>?j7>51zl2601=n1o0qc<844294?7|f88>;7h7f:m622293:1=v`>2459b<6895f808yk40<<>1<7?tn0063?`>;2we>:::5;295~h6:<=1j4:4}o04000=83;pb<<:7;d:1>{i:>>>;7>51zl2601=n0<0qc<844:94?7|f88>;7h67:m622213:1=v`>2459b<>895f8c8yk40<j2we>:::d;295~h6:<=1j4m4}o0400c=83;pb<<:7;d:`>{i:>>>j7>51zl2601=n0o0qc<847294?7|f88>;7h6f:m622193:1=v`>2459bd6895f`08yk401<7?tn0063?`f;2we>::95;295~h6:<=1jl:4}o04030=83;pb<<:7;db1>{i:>>=;7>51zl2601=nh<0qc<847:94?7|f88>;7hn7:m622113:1=v`>2459bd>895f`c8yk40::9d;295~h6:<=1jlm4}o0403c=83;pb<<:7;db`>{i:>>=j7>51zl2601=nho0qc<846294?7|f88>;7hnf:m622093:1=v`>2459bg6895fc08yk40<>>1<7?tn0063?`e;2we>::85;295~h6:<=1jo:4}o04020=83;pb<<:7;da1>{i:>><;7>51zl2601=nk<0qc<846:94?7|f88>;7hm7:m622013:1=v`>2459bg>895fcc8yk40<>i1<7?tn0063?`ej2we>::8d;295~h6:<=1jom4}o0402c=83;pb<<:7;da`>{i:>>51zl2601=nko0qc<849294?7|f88>;7hmf:m622?93:1=v`>2459bf6895fb08yk40<1>1<7?tn0063?`d;2we>::75;295~h6:<=1jn:4}o040=0=83;pb<<:7;d`1>{i:>>3;7>51zl2601=nj<0qc<849:94?7|f88>;7hl7:m622?13:1=v`>2459bf>895fbc8yk40<1i1<7?tn0063?`dj2we>::7d;295~h6:<=1jnm4}o040=c=83;pb<<:7;d``>{i:>>3j7>51zl2601=njo0qc<848294?7|f88>;7hlf:m622>93:1=v`>2459ba6895fe08yk40<0>1<7?tn0063?`c;2we>::65;295~h6:<=1ji:4}o040<0=83;pb<<:7;dg1>{i:>>2;7>51zl2601=nm<0qc<848:94?7|f88>;7hk7:m622>13:1=v`>2459ba>895fec8yk40<0i1<7?tn0063?`cj2we>::6d;295~h6:<=1jim4}o040{i:>>2j7>51zl2601=nmo0qc<84`294?7|f88>;7hkf:m622f93:1=v`>2459b`6895fd08yk401<7?tn0063?`b;2we>::n5;295~h6:<=1jh:4}o040d0=83;pb<<:7;df1>{i:>>j;7>51zl2601=nl<0qc<84`:94?7|f88>;7hj7:m622f13:1=v`>2459b`>895fdc8yk40::nd;295~h6:<=1jhm4}o040dc=83;pb<<:7;df`>{i:>>jj7>51zl2601=nlo0qc<84c294?7|f88>;7hjf:m622e93:1=v`>2459bc6895fg08yk401<7?tn0063?`a;2we>::m5;295~h6:<=1jk:4}o040g0=83;pb<<:7;de1>{i:>>i;7>51zl2601=no<0qc<84c:94?7|f88>;7hi7:m622e13:1=v`>2459bc>895fgc8yk40::md;295~h6:<=1jkm4}o040gc=83;pb<<:7;de`>{i:>>ij7>51zl2601=noo0qc<84b294?7|f88>;7hif:m622d93:1=v`>245955673td9;9m=:182k75=>0:<=?4}o040f5=83;pb<<:7;3347=zf;=?o94?:0ym573028:;?6sa266`1?6=9rd:>8951127?xh5?=i=6=4>{o3112<689?0qc<84b594?7|f88>;7??079~j713k10;6467?2we>::l9;295~h6:<=1==>7;|l131ef290:wc?=568245?{i:>>hh7>51zl2601=99:h7p`=75af>5<6sg;99:4>01f8yk404}i9;?<6<>?f:m622c93:1=v`>245955773td9;9j=:182k75=>0:<8951137?xh5?=n=6=4>{o3112<688?0qc<84e594?7|f88>;7??179~j713l10;6466?2we>::k9;295~h6:<=1==?7;|l131bf290:wc?=568244?{i:>>oh7>51zl2601=99;h7p`=75ff>5<6sg;99:4>00f8yk404}i9;?<6<>>f:m622b93:1=v`>245955473td9;9k=:182k75=>0:8951107?xh5?=o=6=4>{o3112<68;?0qc<84d594?7|f88>;7??279~j713m10;6465?2we>::j9;295~h6:<=1==<7;|l131cf290:wc?=568247?l5rn357af<728qe=?;8:021f>{i:>>nh7>51zl2601=998h7p`=75gf>5<6sg;99:4>03f8yk404}i9;?<6<>=f:m622a93:1=v`>245955573td9;9h=:182k75=>0:<>?4}o040c5=83;pb<<:7;3377=zf;=?j94?:0ym573028:8?6sa266e1?6=9rd:>8951117?xh5?=l=6=4>{o3112<68:?0qc<84g594?7|f88>;7??379~j713n10;6464?2we>::i9;295~h6:<=1===7;|l131`f290:wc?=568246?{i:>>mh7>51zl2601=999h7p`=75df>5<6sg;99:4>02f8yk404}i9;?<6<>245955273td9;8>=:182k75=>0:<9?4}o04155=83;pb<<:7;3307=zf;=><94?:0ym573028:??6sa26731?6=9rd:>8951167?xh5?<:=6=4>{o3112<68=?0qc<851594?7|f88>;7??479~j712810;6463?2we>:;?9;295~h6:<=1==:7;|l1306f290:wc?=568241?{i:>?;h7>51zl2601=99>h7p`=742f>5<6sg;99:4>05f8yk40=9l1<7?tn0063?774}i9;?<6<>;f:m623693:1=v`>245955373td9;8?=:182k75=>0:<8?4}o04145=83;pb<<:7;3317=zf;=>=94?:0ym573028:>?6sa26721?6=9rd:>8951177?xh5?<;=6=4>{o3112<68;7??579~j712910;6462?2we>:;>9;295~h6:<=1==;7;|l1307f290:wc?=568240?{i:>?:h7>51zl2601=99?h7p`=743f>5<6sg;99:4>04f8yk40=8l1<7?tn0063?77=l1vb?9:2183>4}i9;?<6<>:f:m623593:1=v`>245955073td9;8<=:182k75=>0:<;?4}o04175=83;pb<<:7;3327=zf;=>>94?:0ym573028:=?6sa26711?6=9rd:>8951147?xh5?<8=6=4>{o3112<68??0qc<853594?7|f88>;7??679~j712:10;6461?2we>:;=9;295~h6:<=1==87;|l1304f290:wc?=568243?{i:>?9h7>51zl2601=995<6sg;99:4>07f8yk40=;l1<7?tn0063?77>l1vb?9:3183>4}i9;?<6<>9f:m623493:1=v`>245955173td9;8==:182k75=>0:<:?4}o04165=83;pb<<:7;3337=zf;=>?94?:0ym573028:8951157?xh5?<9=6=4>{o3112<68>?0qc<852594?7|f88>;7??779~j712;10;6460?2we>:;<9;295~h6:<=1==97;|l1305f290:wc?=568242?l50;3xj442?3;;;l5rn3567f<728qe=?;8:024f>{i:>?8h7>51zl2601=99=h7p`=741f>5<6sg;99:4>06f8yk40=:l1<7?tn0063?77?l1vb?9:4183>4}i9;?<6<>8f:m623393:1=v`>245955>73td9;8:=:182k75=>0:<5?4}o04115=83;pb<<:7;33<7=zf;=>894?:0ym573028:3?6sa26771?6=9rd:>89511:7?xh5?<>=6=4>{o3112<681?0qc<855594?7|f88>;7??879~j712<10;646??2we>:;;9;295~h6:<=1==67;|l1302f290:wc?=56824=?{i:>??h7>51zl2601=992h7p`=746f>5<6sg;99:4>09f8yk40==l1<7?tn0063?770l1vb?9:5183>4}i9;?<6<>7f:m623293:1=v`>245955?73td9;8;=:182k75=>0:<4?4}o04105=83;pb<<:7;33=7=zf;=>994?:0ym573028:2?6sa26761?6=9rd:>89511;7?xh5?{o3112<680?0qc<854594?7|f88>;7??979~j712=10;646>?2we>:;:9;295~h6:<=1==77;|l1303f290:wc?=56824{i:>?>h7>51zl2601=993h7p`=747f>5<6sg;99:4>08f8yk40=4}i9;?<6<>6f:m623193:1=v`>245955g73td9;88=:182k75=>0::94?:0ym573028:j?6sa26751?6=9rd:>89511c7?xh5?<<=6=4>{o3112<68h?0qc<857594?7|f88>;7??a79~j712>10;646f?2we>:;99;295~h6:<=1==o7;|l1300f290:wc?=56824d?{i:>?=h7>51zl2601=99kh7p`=744f>5<6sg;99:4>0`f8yk40=?l1<7?tn0063?77il1vb?9:7183>4}i9;?<6<>nf:m623093:1=v`>245955d73td9;89=:182k75=>0:;94?:0ym573028:i?6sa26741?6=9rd:>89511`7?xh5?<==6=4>{o3112<68k?0qc<856594?7|f88>;7??b79~j712?10;646e?2we>:;89;295~h6:<=1==l7;|l1301f290:wc?=56824g?{i:>?51zl2601=99hh7p`=745f>5<6sg;99:4>0cf8yk40=>l1<7?tn0063?77jl1vb?9:8183>4}i9;?<6<>mf:m623?93:1=v`>245955e73td9;86=:182k75=>0:494?:0ym573028:h?6sa267;1?6=9rd:>89511a7?xh5?<2=6=4>{o3112<68j?0qc<859594?7|f88>;7??c79~j712010;646d?2we>:;79;295~h6:<=1==m7;|l130>f290:wc?=56824f?{i:>?3h7>51zl2601=99ih7p`=74:f>5<6sg;99:4>0bf8yk40=1l1<7?tn0063?77kl1vb?9:9183>4}i9;?<6<>lf:m623>93:1=v`>245955b73td9;87=:182k75=>0:594?:0ym573028:o?6sa267:1?6=9rd:>89511f7?xh5?<3=6=4>{o3112<68m?0qc<858594?7|f88>;7??d79~j712110;646c?2we>:;69;295~h6:<=1==j7;|l130?f290:wc?=56824a?{i:>?2h7>51zl2601=99nh7p`=74;f>5<6sg;99:4>0ef8yk40=0l1<7?tn0063?77ll1vb?9:a183>4}i9;?<6<>kf:m623f93:1=v`>245955c73td9;8o=:182k75=>0:m94?:0ym573028:n?6sa267b1?6=9rd:>89511g7?xh5?{o3112<68l?0qc<85`594?7|f88>;7??e79~j712i10;646b?2we>:;n9;295~h6:<=1==k7;|l130gf290:wc?=56824`?{i:>?jh7>51zl2601=99oh7p`=74cf>5<6sg;99:4>0df8yk40=hl1<7?tn0063?77ml1vb?9:b183>4}i9;?<6<>jf:m623e93:1=v`>245955`73td9;8l=:182k75=>0:n94?:0ym573028:m?6sa267a1?6=9rd:>89511d7?xh5?{o3112<68o?0qc<85c594?7|f88>;7??f79~j712j10;646a?2we>:;m9;295~h6:<=1==h7;|l130df290:wc?=56824c?{i:>?ih7>51zl2601=99lh7p`=74`f>5<6sg;99:4>0gf8yk40=kl1<7?tn0063?77nl1vb?9:c183>4}i9;?<6<>if:m623d93:1=v`>245954673td9;8m=:182k75=>0:==?4}o041f5=83;pb<<:7;3247=zf;=>o94?:0ym573028;;?6sa267`1?6=9rd:>8951027?xh5?{o3112<699?0qc<85b594?7|f88>;7?>079~j712k10;6477?2we>:;l9;295~h6:<=1=<>7;|l130ef290:wc?=568255?{i:>?hh7>51zl2601=98:h7p`=74af>5<6sg;99:4>11f8yk40=jl1<7?tn0063?768l1vb?9:d183>4}i9;?<6245954773td9;8j=:182k75=>0:=h94?:0ym573028;:?6sa267g1?6=9rd:>8951037?xh5?{o3112<698?0qc<85e594?7|f88>;7?>179~j712l10;6476?2we>:;k9;295~h6:<=1={i:>?oh7>51zl2601=98;h7p`=74ff>5<6sg;99:4>10f8yk40=ml1<7?tn0063?769l1vb?9:e183>4}i9;?<6f:m623b93:1=v`>245954473td9;8k=:182k75=>0:=??4}o041`5=83;pb<<:7;3267=zf;=>i94?:0ym573028;9?6sa267f1?6=9rd:>8951007?xh5?{o3112<69;?0qc<85d594?7|f88>;7?>279~j712m10;6475?2we>:;j9;295~h6:<=1=<<7;|l130cf290:wc?=568257?l5rn356af<728qe=?;8:031f>{i:>?nh7>51zl2601=988h7p`=74gf>5<6sg;99:4>13f8yk40=ll1<7?tn0063?76:l1vb?9:f183>4}i9;?<6245954573td9;8h=:182k75=>0:=>?4}o041c5=83;pb<<:7;3277=zf;=>j94?:0ym573028;8?6sa267e1?6=9rd:>8951017?xh5?{o3112<69:?0qc<85g594?7|f88>;7?>379~j712n10;6474?2we>:;i9;295~h6:<=1=<=7;|l130`f290:wc?=568256?{i:>?mh7>51zl2601=989h7p`=74df>5<6sg;99:4>12f8yk40=ol1<7?tn0063?76;l1vb?990183>4}i9;?<6245954273td9;;>=:182k75=>0:=9?4}o04255=83;pb<<:7;3207=zf;==<94?:0ym573028;??6sa26431?6=9rd:>8951067?xh5??:=6=4>{o3112<69=?0qc<861594?7|f88>;7?>479~j711810;6473?2we>:8?9;295~h6:<=1=<:7;|l1336f290:wc?=568251?{i:><;h7>51zl2601=98>h7p`=772f>5<6sg;99:4>15f8yk40>9l1<7?tn0063?764}i9;?<6245954373td9;;?=:182k75=>0:=8?4}o04245=83;pb<<:7;3217=zf;===94?:0ym573028;>?6sa26421?6=9rd:>8951077?xh5??;=6=4>{o3112<69;7?>579~j711910;6472?2we>:8>9;295~h6:<=1=<;7;|l1337f290:wc?=568250?{i:><:h7>51zl2601=98?h7p`=773f>5<6sg;99:4>14f8yk40>8l1<7?tn0063?76=l1vb?992183>4}i9;?<6245954073td9;;<=:182k75=>0:=;?4}o04275=83;pb<<:7;3227=zf;==>94?:0ym573028;=?6sa26411?6=9rd:>8951047?xh5??8=6=4>{o3112<69??0qc<863594?7|f88>;7?>679~j711:10;6471?2we>:8=9;295~h6:<=1=<87;|l1334f290:wc?=568253?{i:><9h7>51zl2601=985<6sg;99:4>17f8yk40>;l1<7?tn0063?76>l1vb?993183>4}i9;?<6245954173td9;;==:182k75=>0:=:?4}o04265=83;pb<<:7;3237=zf;==?94?:0ym573028;8951057?xh5??9=6=4>{o3112<69>?0qc<862594?7|f88>;7?>779~j711;10;6470?2we>:8<9;295~h6:<=1=<97;|l1335f290:wc?=568252?l50;3xj442?3;:;l5rn3557f<728qe=?;8:034f>{i:><8h7>51zl2601=98=h7p`=771f>5<6sg;99:4>16f8yk40>:l1<7?tn0063?76?l1vb?994183>4}i9;?<6245954>73td9;;:=:182k75=>0:=5?4}o04215=83;pb<<:7;32<7=zf;==894?:0ym573028;3?6sa26471?6=9rd:>89510:7?xh5??>=6=4>{o3112<691?0qc<865594?7|f88>;7?>879~j711<10;647??2we>:8;9;295~h6:<=1=<67;|l1332f290:wc?=56825=?{i:>51zl2601=982h7p`=776f>5<6sg;99:4>19f8yk40>=l1<7?tn0063?760l1vb?995183>4}i9;?<6245954?73td9;;;=:182k75=>0:=4?4}o04205=83;pb<<:7;32=7=zf;==994?:0ym573028;2?6sa26461?6=9rd:>89510;7?xh5???=6=4>{o3112<690?0qc<864594?7|f88>;7?>979~j711=10;647>?2we>:8:9;295~h6:<=1=<77;|l1333f290:wc?=56825{i:><>h7>51zl2601=983h7p`=777f>5<6sg;99:4>18f8yk40>4}i9;?<6245954g73td9;;8=:182k75=>0:=l?4}o04235=83;pb<<:7;32e7=zf;==:94?:0ym573028;j?6sa26451?6=9rd:>89510c7?xh5??<=6=4>{o3112<69h?0qc<867594?7|f88>;7?>a79~j711>10;647f?2we>:899;295~h6:<=1={i:><=h7>51zl2601=98kh7p`=774f>5<6sg;99:4>1`f8yk40>?l1<7?tn0063?76il1vb?997183>4}i9;?<6245954d73td9;;9=:182k75=>0:=o?4}o04225=83;pb<<:7;32f7=zf;==;94?:0ym573028;i?6sa26441?6=9rd:>89510`7?xh5??==6=4>{o3112<69k?0qc<866594?7|f88>;7?>b79~j711?10;647e?2we>:889;295~h6:<=1={i:><51zl2601=98hh7p`=775f>5<6sg;99:4>1cf8yk40>>l1<7?tn0063?76jl1vb?998183>4}i9;?<6245954e73td9;;6=:182k75=>0:=n?4}o042=5=83;pb<<:7;32g7=zf;==494?:0ym573028;h?6sa264;1?6=9rd:>89510a7?xh5??2=6=4>{o3112<69j?0qc<869594?7|f88>;7?>c79~j711010;647d?2we>:879;295~h6:<=1=f290:wc?=56825f?{i:><3h7>51zl2601=98ih7p`=77:f>5<6sg;99:4>1bf8yk40>1l1<7?tn0063?76kl1vb?999183>4}i9;?<693:1=v`>245954b73td9;;7=:182k75=>0:=i?4}o042<5=83;pb<<:7;32`7=zf;==594?:0ym573028;o?6sa264:1?6=9rd:>89510f7?xh5??3=6=4>{o3112<69m?0qc<868594?7|f88>;7?>d79~j711110;647c?2we>:869;295~h6:<=1={i:><2h7>51zl2601=98nh7p`=77;f>5<6sg;99:4>1ef8yk40>0l1<7?tn0063?76ll1vb?99a183>4}i9;?<6245954c73td9;;o=:182k75=>0:=h?4}o042d5=83;pb<<:7;32a7=zf;==m94?:0ym573028;n?6sa264b1?6=9rd:>89510g7?xh5??k=6=4>{o3112<69l?0qc<86`594?7|f88>;7?>e79~j711i10;647b?2we>:8n9;296~h6:<=1={i:>52zl2601=98oh7p`=77cf>5<5sg;99:4>1df8yk40>hl1<77}i9;?<6245954`73td9;;l=:182k75=>0:=k?4}o042g5=83;pb<<:7;32b7=zf;==n94?:0ym573028;m?6sa264a1?6=9rd:>89510d7?xh5??h=6=4>{o3112<69o?0qc<86c594?7|f88>;7?>f79~j711j10;647a?2we>:8m9;295~h6:<=1={i:>51zl2601=98lh7p`=77`f>5<6sg;99:4>1gf8yk40>kl1<7?tn0063?76nl1vb?99c183>4}i9;?<6245957673td9;;m=:182k75=>0:>=?4}o042f5=83;pb<<:7;3147=zf;==o94?:0ym5730288;?6sa264`1?6=9rd:>8951327?xh5??i=6=46{o3112<6:9?0qc<86b594?7|f88>;7?=079~j711k10;64ua1374>447?2we>:8l9;295~h6:<=1=?>7;|l133ef2902wc?=568265?{i:>51zl2601=9;:h7p`=77af>5<6sg;99:4>21f8yk40>jl1<77tn0063?758l1vb?99d183>4}i9;?<6<245957773td9;;j=:182k75=>0:>8951337?xh5??n=6=46{o3112<6:8?0qc<86e594?4|f88>;7?=179~j711l10;6?ua1374>446?2we>:8k9;296~h6:<=1=??7;|l133bf2909wc?=568264?{i:>52zl2601=9;;h7p`=77ff>5<5sg;99:4>20f8yk40>ml1<7?tn0063?759l1vb?99e183>4}i9;?<6<<>f:m620b93:1=v`>245957473td9;;k=:182k75=>0:>??4}o042`5=83;pb<<:7;3167=zf;==i94?:0ym57302889?6sa264f1?6=9rd:>8951307?xh5??o=6=4>{o3112<6:;?0qc<86d594?7|f88>;7?=279~j711m10;6445?2we>:8j9;295~h6:<=1=?<7;|l133cf290:wc?=568267?l5rn355af<728qe=?;8:001f>{i:>51zl2601=9;8h7p`=77gf>5<6sg;99:4>23f8yk40>ll1<7?tn0063?75:l1vb?99f183>4}i9;?<6<<=f:m620a93:1=v`>245957573td9;;h=:182k75=>0:>>?4}o042c5=83;pb<<:7;3177=zf;==j94?:0ym57302888?6sa264e1?6=9rd:>8951317?xh5??l=6=4>{o3112<6::?0qc<86g594?7|f88>;7?=379~j711n10;6444?2we>:8i9;295~h6:<=1=?=7;|l133`f290:wc?=568266?{i:>51zl2601=9;9h7p`=77df>5<6sg;99:4>22f8yk40>ol1<7?tn0063?75;l1vb?980183>4}i9;?<6<<245957273td9;:>=:182k75=>0:>9?4}o04355=83;pb<<:7;3107=zf;=<<94?:0ym5730288??6sa26531?6=9rd:>8951367?xh5?>:=6=4>{o3112<6:=?0qc<871594?7|f88>;7?=479~j710810;6443?2we>:9?9;295~h6:<=1=?:7;|l1326f290:wc?=568261?{i:>=;h7>51zl2601=9;>h7p`=762f>5<6sg;99:4>25f8yk40?9l1<7?tn0063?754}i9;?<6<<;f:m621693:1=v`>245957373td9;:?=:182k75=>0:>8?4}o04345=83;pb<<:7;3117=zf;=<=94?:0ym5730288>?6sa26521?6=9rd:>8951377?xh5?>;=6=4>{o3112<6:;7?=579~j710910;6442?2we>:9>9;295~h6:<=1=?;7;|l1327f290:wc?=568260?{i:>=:h7>51zl2601=9;?h7p`=763f>5<6sg;99:4>24f8yk40?8l1<7?tn0063?75=l1vb?982183>4}i9;?<6<<:f:m621593:1=v`>245957073td9;:<=:182k75=>0:>;?4}o04375=83;pb<<:7;3127=zf;=<>94?:0ym5730288=?6sa26511?6=9rd:>8951347?xh5?>8=6=4>{o3112<6:??0qc<873594?7|f88>;7?=679~j710:10;6441?2we>:9=9;295~h6:<=1=?87;|l1324f290:wc?=568263?{i:>=9h7>51zl2601=9;5<6sg;99:4>27f8yk40?;l1<7?tn0063?75>l1vb?983183>4}i9;?<6<<9f:m621493:1=v`>245957173td9;:==:182k75=>0:>:?4}o04365=83;pb<<:7;3137=zf;=8951357?xh5?>9=6=4>{o3112<6:>?0qc<872594?7|f88>;7?=779~j710;10;6440?2we>:9<9;295~h6:<=1=?97;|l1325f290:wc?=568262?l50;3xj442?3;9;l5rn3547f<728qe=?;8:004f>{i:>=8h7>51zl2601=9;=h7p`=761f>5<6sg;99:4>26f8yk40?:l1<7?tn0063?75?l1vb?984183>4}i9;?<6<<8f:m621393:1=v`>245957>73td9;::=:182k75=>0:>5?4}o04315=83;pb<<:7;31<7=zf;=<894?:0ym57302883?6sa26571?6=9rd:>89513:7?xh5?>>=6=4>{o3112<6:1?0qc<875594?7|f88>;7?=879~j710<10;644??2we>:9;9;295~h6:<=1=?67;|l1322f290:wc?=56826=?{i:>=?h7>51zl2601=9;2h7p`=766f>5<6sg;99:4>29f8yk40?=l1<7?tn0063?750l1vb?985183>4}i9;?<6<<7f:m621293:1=v`>245957?73td9;:;=:182k75=>0:>4?4}o04305=83;pb<<:7;31=7=zf;=<994?:0ym57302882?6sa26561?6=9rd:>89513;7?xh5?>?=6=4>{o3112<6:0?0qc<874594?7|f88>;7?=979~j710=10;644>?2we>:9:9;295~h6:<=1=?77;|l1323f290:wc?=56826{i:>=>h7>51zl2601=9;3h7p`=767f>5<6sg;99:4>28f8yk40?4}i9;?<6<<6f:m621193:1=v`>245957g73td9;:8=:182k75=>0:>l?4}o04335=83;pb<<:7;31e7=zf;=<:94?:0ym5730288j?6sa26551?6=9rd:>89513c7?xh5?><=6=4>{o3112<6:h?0qc<877594?7|f88>;7?=a79~j710>10;644f?2we>:999;295~h6:<=1=?o7;|l1320f290:wc?=56826d?{i:>==h7>51zl2601=9;kh7p`=764f>5<6sg;99:4>2`f8yk40??l1<7?tn0063?75il1vb?987183>4}i9;?<6<245957d73td9;:9=:182k75=>0:>o?4}o04325=83;pb<<:7;31f7=zf;=<;94?:0ym5730288i?6sa26541?6=9rd:>89513`7?xh5?>==6=4>{o3112<6:k?0qc<876594?7|f88>;7?=b79~j710?10;644e?2we>:989;295~h6:<=1=?l7;|l1321f290:wc?=56826g?{i:>=51zl2601=9;hh7p`=765f>5<6sg;99:4>2cf8yk40?>l1<7?tn0063?75jl1vb?988183>4}i9;?<6<245957e73td9;:6=:182k75=>0:>n?4}o043=5=83;pb<<:7;31g7=zf;=<494?:0ym5730288h?6sa265;1?6=9rd:>89513a7?xh5?>2=6=4>{o3112<6:j?0qc<879594?7|f88>;7?=c79~j710010;644d?2we>:979;295~h6:<=1=?m7;|l132>f290:wc?=56826f?{i:>=3h7>51zl2601=9;ih7p`=76:f>5<6sg;99:4>2bf8yk40?1l1<7?tn0063?75kl1vb?989183>4}i9;?<6<93:1=v`>245957b73td9;:7=:182k75=>0:>i?4}o043<5=83;pb<<:7;31`7=zf;=<594?:0ym5730288o?6sa265:1?6=9rd:>89513f7?xh5?>3=6=4>{o3112<6:m?0qc<878594?7|f88>;7?=d79~j710110;644c?2we>:969;295~h6:<=1=?j7;|l132?f290:wc?=56826a?{i:>=2h7>51zl2601=9;nh7p`=76;f>5<6sg;99:4>2ef8yk40?0l1<7?tn0063?75ll1vb?98a183>4}i9;?<6<245957c73td9;:o=:182k75=>0:>h?4}o043d5=83;pb<<:7;31a7=zf;=89513g7?xh5?>k=6=4>{o3112<6:l?0qc<87`594?7|f88>;7?=e79~j710i10;644b?2we>:9n9;295~h6:<=1=?k7;|l132gf290:wc?=56826`?{i:>=jh7>51zl2601=9;oh7p`=76cf>5<6sg;99:4>2df8yk40?hl1<7?tn0063?75ml1vb?98b183>4}i9;?<6<245957`73td9;:l=:182k75=>0:>k?4}o043g5=83;pb<<:7;31b7=zf;=89513d7?xh5?>h=6=4>{o3112<6:o?0qc<87c594?7|f88>;7?=f79~j710j10;644a?2we>:9m9;295~h6:<=1=?h7;|l132df290:wc?=56826c?{i:>=ih7>51zl2601=9;lh7p`=76`f>5<6sg;99:4>2gf8yk40?kl1<7?tn0063?75nl1vb?98c183>4}i9;?<6<245956673td9;:m=:182k75=>0:?=?4}o043f5=83;pb<<:7;3047=zf;=8951227?xh5?>i=6=4>{o3112<6;9?0qc<87b594?7|f88>;7?<079~j710k10;6457?2we>:9l9;295~h6:<=1=>>7;|l132ef290:wc?=568275?{i:>=hh7>51zl2601=9::h7p`=76af>5<6sg;99:4>31f8yk40?jl1<7?tn0063?748l1vb?98d183>4}i9;?<6<=?f:m621c93:1=v`>245956773td9;:j=:182k75=>0:?8951237?xh5?>n=6=4>{o3112<6;8?0qc<87e594?7|f88>;7?<179~j710l10;6456?2we>:9k9;295~h6:<=1=>?7;|l132bf290:wc?=568274?{i:>=oh7>51zl2601=9:;h7p`=76ff>5<6sg;99:4>30f8yk40?ml1<7?tn0063?749l1vb?98e183>4}i9;?<6<=>f:m621b93:1=v`>245956473td9;:k=:182k75=>0:???4}o043`5=83;pb<<:7;3067=zf;=8951207?xh5?>o=6=4>{o3112<6;;?0qc<87d594?7|f88>;7?<279~j710m10;6455?2we>:9j9;295~h6:<=1=><7;|l132cf290:wc?=568277?l5rn354af<728qe=?;8:011f>{i:>=nh7>51zl2601=9:8h7p`=76gf>5<6sg;99:4>33f8yk40?ll1<7?tn0063?74:l1vb?98f183>4}i9;?<6<==f:m621a93:1=v`>245956573td9;:h=:182k75=>0:?>?4}o043c5=83;pb<<:7;3077=zf;=8951217?xh5?>l=6=4>{o3112<6;:?0qc<87g594?7|f88>;7?<379~j710n10;6454?2we>:9i9;295~h6:<=1=>=7;|l132`f290:wc?=568276?{i:>=mh7>51zl2601=9:9h7p`=76df>5<6sg;99:4>32f8yk40?ol1<7?tn0063?74;l1vb?970183>4}i9;?<6<=793:1=v`>245956273td9;5>=:182k75=>0:?9?4}o04<55=83;pb<<:7;3007=zf;=3<94?:0ym5730289??6sa26:31?6=9rd:>8951267?xh5?1:=6=4>{o3112<6;=?0qc<881594?7|f88>;7?<479~j71?810;6453?2we>:6?9;295~h6:<=1=>:7;|l13=6f290:wc?=568271?{i:>2;h7>51zl2601=9:>h7p`=792f>5<6sg;99:4>35f8yk4009l1<7?tn0063?744}i9;?<6<=;f:m62>693:1=v`>245956373td9;5?=:182k75=>0:?8?4}o04<45=83;pb<<:7;3017=zf;=3=94?:0ym5730289>?6sa26:21?6=9rd:>8951277?xh5?1;=6=4>{o3112<6;;7?<579~j71?910;6452?2we>:6>9;295~h6:<=1=>;7;|l13=7f290:wc?=568270?{i:>2:h7>51zl2601=9:?h7p`=793f>5<6sg;99:4>34f8yk4008l1<7?tn0063?74=l1vb?972183>4}i9;?<6<=:f:m62>593:1=v`>245956073td9;5<=:182k75=>0:?;?4}o04<75=83;pb<<:7;3027=zf;=3>94?:0ym5730289=?6sa26:11?6=9rd:>8951247?xh5?18=6=4>{o3112<6;??0qc<883594?7|f88>;7?<679~j71?:10;6451?2we>:6=9;295~h6:<=1=>87;|l13=4f290:wc?=568273?{i:>29h7>51zl2601=9:5<6sg;99:4>37f8yk400;l1<7?tn0063?74>l1vb?973183>4}i9;?<6<=9f:m62>493:1=v`>245956173td9;5==:182k75=>0:?:?4}o04<65=83;pb<<:7;3037=zf;=3?94?:0ym57302898951257?xh5?19=6=4>{o3112<6;>?0qc<882594?7|f88>;7?<779~j71?;10;6450?2we>:6<9;295~h6:<=1=>97;|l13=5f290:wc?=568272?l50;3xj442?3;8;l5rn35;7f<728qe=?;8:014f>{i:>28h7>51zl2601=9:=h7p`=791f>5<6sg;99:4>36f8yk400:l1<7?tn0063?74?l1vb?974183>4}i9;?<6<=8f:m62>393:1=v`>245956>73td9;5:=:182k75=>0:?5?4}o04<15=83;pb<<:7;30<7=zf;=3894?:0ym57302893?6sa26:71?6=9rd:>89512:7?xh5?1>=6=4>{o3112<6;1?0qc<885594?7|f88>;7?<879~j71?<10;645??2we>:6;9;295~h6:<=1=>67;|l13=2f290:wc?=56827=?{i:>2?h7>51zl2601=9:2h7p`=796f>5<6sg;99:4>39f8yk400=l1<7?tn0063?740l1vb?975183>4}i9;?<6<=7f:m62>293:1=v`>245956?73td9;5;=:182k75=>0:?4?4}o04<05=83;pb<<:7;30=7=zf;=3994?:0ym57302892?6sa26:61?6=9rd:>89512;7?xh5?1?=6=4>{o3112<6;0?0qc<884594?7|f88>;7?<979~j71?=10;645>?2we>:6:9;295~h6:<=1=>77;|l13=3f290:wc?=56827{i:>2>h7>51zl2601=9:3h7p`=797f>5<6sg;99:4>38f8yk4004}i9;?<6<=6f:m62>193:1=v`>245956g73td9;58=:182k75=>0:?l?4}o04<35=83;pb<<:7;30e7=zf;=3:94?:0ym5730289j?6sa26:51?6=9rd:>89512c7?xh5?1<=6=4>{o3112<6;h?0qc<887594?7|f88>;7?10;645f?2we>:699;295~h6:<=1=>o7;|l13=0f290:wc?=56827d?{i:>2=h7>51zl2601=9:kh7p`=794f>5<6sg;99:4>3`f8yk400?l1<7?tn0063?74il1vb?977183>4}i9;?<6<=nf:m62>093:1=v`>245956d73td9;59=:182k75=>0:?o?4}o04<25=83;pb<<:7;30f7=zf;=3;94?:0ym5730289i?6sa26:41?6=9rd:>89512`7?xh5?1==6=4>{o3112<6;k?0qc<886594?7|f88>;7?45e?2we>:689;295~h6:<=1=>l7;|l13=1f290:wc?=56827g?{i:>251zl2601=9:hh7p`=795f>5<6sg;99:4>3cf8yk400>l1<7?tn0063?74jl1vb?978183>4}i9;?<6<=mf:m62>?93:1=v`>245956e73td9;56=:182k75=>0:?n?4}o04<=5=83;pb<<:7;30g7=zf;=3494?:0ym5730289h?6sa26:;1?6=9rd:>89512a7?xh5?12=6=4>{o3112<6;j?0qc<889594?7|f88>;7?45d?2we>:679;295~h6:<=1=>m7;|l13=>f290:wc?=56827f?{i:>23h7>51zl2601=9:ih7p`=79:f>5<6sg;99:4>3bf8yk4001l1<7?tn0063?74kl1vb?979183>4}i9;?<6<=lf:m62>>93:1=v`>245956b73td9;57=:182k75=>0:?i?4}o04<<5=83;pb<<:7;30`7=zf;=3594?:0ym5730289o?6sa26::1?6=9rd:>89512f7?xh5?13=6=4>{o3112<6;m?0qc<888594?7|f88>;7?45c?2we>:669;295~h6:<=1=>j7;|l13=?f290:wc?=56827a?{i:>22h7>51zl2601=9:nh7p`=79;f>5<6sg;99:4>3ef8yk4000l1<7?tn0063?74ll1vb?97a183>4}i9;?<6<=kf:m62>f93:1=v`>245956c73td9;5o=:182k75=>0:?h?4}o0489512g7?xh5?1k=6=4>{o3112<6;l?0qc<88`594?7|f88>;7?45b?2we>:6n9;295~h6:<=1=>k7;|l13=gf290:wc?=56827`?{i:>2jh7>51zl2601=9:oh7p`=79cf>5<6sg;99:4>3df8yk400hl1<7?tn0063?74ml1vb?97b183>4}i9;?<6<=jf:m62>e93:1=v`>245956`73td9;5l=:182k75=>0:?k?4}o0489512d7?xh5?1h=6=4>{o3112<6;o?0qc<88c594?7|f88>;7?45a?2we>:6m9;295~h6:<=1=>h7;|l13=df290:wc?=56827c?{i:>2ih7>51zl2601=9:lh7p`=79`f>5<6sg;99:4>3gf8yk400kl1<7?tn0063?74nl1vb?97c183>4}i9;?<6<=if:m62>d93:1=v`>245951673td9;5m=:182k75=>0:8=?4}o04;?6sa26:`1?6=9rd:>8951527?xh5?1i=6=4>{o3112<6<9?0qc<88b594?7|f88>;7?;079~j71?k10;6427?2we>:6l9;295~h6:<=1=9>7;|l13=ef290:wc?=568205?{i:>2hh7>51zl2601=9=:h7p`=79af>5<6sg;99:4>41f8yk400jl1<7?tn0063?738l1vb?97d183>4}i9;?<6<:?f:m62>c93:1=v`>245951773td9;5j=:182k75=>0:8:?6sa26:g1?6=9rd:>8951537?xh5?1n=6=4>{o3112<6<8?0qc<88e594?7|f88>;7?;179~j71?l10;6426?2we>:6k9;295~h6:<=1=9?7;|l13=bf290:wc?=568204?{i:>2oh7>51zl2601=9=;h7p`=79ff>5<6sg;99:4>40f8yk400ml1<7?tn0063?739l1vb?97e183>4}i9;?<6<:>f:m62>b93:1=v`>245951473td9;5k=:182k75=>0:8??4}o04<`5=83;pb<<:7;3767=zf;=3i94?:0ym573028>9?6sa26:f1?6=9rd:>8951507?xh5?1o=6=4>{o3112<6<;?0qc<88d594?7|f88>;7?;279~j71?m10;6425?2we>:6j9;295~h6:<=1=9<7;|l13=cf290:wc?=568207?l5rn35;af<728qe=?;8:061f>{i:>2nh7>51zl2601=9=8h7p`=79gf>5<6sg;99:4>43f8yk400ll1<7?tn0063?73:l1vb?97f183>4}i9;?<6<:=f:m62>a93:1=v`>245951573td9;5h=:182k75=>0:8>?4}o048?6sa26:e1?6=9rd:>8951517?xh5?1l=6=4>{o3112<6<:?0qc<88g594?7|f88>;7?;379~j71?n10;6424?2we>:6i9;295~h6:<=1=9=7;|l13=`f290:wc?=568206?{i:>2mh7>51zl2601=9=9h7p`=79df>5<6sg;99:4>42f8yk400ol1<7?tn0063?73;l1vb?960183>4}i9;?<6<:245951273td9;4>=:182k75=>0:89?4}o04=55=83;pb<<:7;3707=zf;=2<94?:0ym573028>??6sa26;31?6=9rd:>8951567?xh5?0:=6=4>{o3112<6<=?0qc<891594?7|f88>;7?;479~j71>810;6423?2we>:7?9;295~h6:<=1=9:7;|l13<6f290:wc?=568201?{i:>3;h7>51zl2601=9=>h7p`=782f>5<6sg;99:4>45f8yk4019l1<7?tn0063?734}i9;?<6<:;f:m62?693:1=v`>245951373td9;4?=:182k75=>0:88?4}o04=45=83;pb<<:7;3717=zf;=2=94?:0ym573028>>?6sa26;21?6=9rd:>8951577?xh5?0;=6=4>{o3112<6<;7?;579~j71>910;6422?2we>:7>9;295~h6:<=1=9;7;|l13<7f290:wc?=568200?{i:>3:h7>51zl2601=9=?h7p`=783f>5<6sg;99:4>44f8yk4018l1<7?tn0063?73=l1vb?962183>4}i9;?<6<::f:m62?593:1=v`>245951073td9;4<=:182k75=>0:8;?4}o04=75=83;pb<<:7;3727=zf;=2>94?:0ym573028>=?6sa26;11?6=9rd:>8951547?xh5?08=6=4>{o3112<6;7?;679~j71>:10;6421?2we>:7=9;295~h6:<=1=987;|l13<4f290:wc?=568203?{i:>39h7>51zl2601=9=5<6sg;99:4>47f8yk401;l1<7?tn0063?73>l1vb?963183>4}i9;?<6<:9f:m62?493:1=v`>245951173td9;4==:182k75=>0:8:?4}o04=65=83;pb<<:7;3737=zf;=2?94?:0ym573028>8951557?xh5?09=6=4>{o3112<6<>?0qc<892594?7|f88>;7?;779~j71>;10;6420?2we>:7<9;295~h6:<=1=997;|l13<5f290:wc?=568202?l50;3xj442?3;?;l5rn35:7f<728qe=?;8:064f>{i:>38h7>51zl2601=9==h7p`=781f>5<6sg;99:4>46f8yk401:l1<7?tn0063?73?l1vb?964183>4}i9;?<6<:8f:m62?393:1=v`>245951>73td9;4:=:182k75=>0:85?4}o04=15=83;pb<<:7;37<7=zf;=2894?:0ym573028>3?6sa26;71?6=9rd:>89515:7?xh5?0>=6=4>{o3112<6<1?0qc<895594?7|f88>;7?;879~j71><10;642??2we>:7;9;295~h6:<=1=967;|l13<2f290:wc?=56820=?{i:>3?h7>51zl2601=9=2h7p`=786f>5<6sg;99:4>49f8yk401=l1<7?tn0063?730l1vb?965183>4}i9;?<6<:7f:m62?293:1=v`>245951?73td9;4;=:182k75=>0:84?4}o04=05=83;pb<<:7;37=7=zf;=2994?:0ym573028>2?6sa26;61?6=9rd:>89515;7?xh5?0?=6=4>{o3112<6<0?0qc<894594?7|f88>;7?;979~j71>=10;642>?2we>:7:9;295~h6:<=1=977;|l13<3f290:wc?=56820{i:>3>h7>51zl2601=9=3h7p`=787f>5<6sg;99:4>48f8yk4014}i9;?<6<:6f:m62?193:1=v`>245951g73td9;48=:182k75=>0:8l?4}o04=35=83;pb<<:7;37e7=zf;=2:94?:0ym573028>j?6sa26;51?6=9rd:>89515c7?xh5?0<=6=4>{o3112<6;7?;a79~j71>>10;642f?2we>:799;295~h6:<=1=9o7;|l13<0f290:wc?=56820d?{i:>3=h7>51zl2601=9=kh7p`=784f>5<6sg;99:4>4`f8yk401?l1<7?tn0063?73il1vb?967183>4}i9;?<6<:nf:m62?093:1=v`>245951d73td9;49=:182k75=>0:8o?4}o04=25=83;pb<<:7;37f7=zf;=2;94?:0ym573028>i?6sa26;41?6=9rd:>89515`7?xh5?0==6=4>{o3112<6;7?;b79~j71>?10;642e?2we>:789;295~h6:<=1=9l7;|l13<1f290:wc?=56820g?{i:>351zl2601=9=hh7p`=785f>5<6sg;99:4>4cf8yk401>l1<7?tn0063?73jl1vb?968183>4}i9;?<6<:mf:m62??93:1=v`>245951e73td9;46=:182k75=>0:8n?4}o04==5=83;pb<<:7;37g7=zf;=2494?:0ym573028>h?6sa26;;1?6=9rd:>89515a7?xh5?02=6=4>{o3112<6;7?;c79~j71>010;642d?2we>:779;295~h6:<=1=9m7;|l13<>f290:wc?=56820f?{i:>33h7>51zl2601=9=ih7p`=78:f>5<6sg;99:4>4bf8yk4011l1<7?tn0063?73kl1vb?969183>4}i9;?<6<:lf:m62?>93:1=v`>245951b73td9;47=:182k75=>0:8i?4}o04=<5=83;pb<<:7;37`7=zf;=2594?:0ym573028>o?6sa26;:1?6=9rd:>89515f7?xh5?03=6=4>{o3112<6;7?;d79~j71>110;642c?2we>:769;295~h6:<=1=9j7;|l13{i:>32h7>51zl2601=9=nh7p`=78;f>5<6sg;99:4>4ef8yk4010l1<7?tn0063?73ll1vb?96a183>4}i9;?<6<:kf:m62?f93:1=v`>245951c73td9;4o=:182k75=>0:8h?4}o04=d5=83;pb<<:7;37a7=zf;=2m94?:0ym573028>n?6sa26;b1?6=9rd:>89515g7?xh5?0k=6=4>{o3112<6;7?;e79~j71>i10;642b?2we>:7n9;295~h6:<=1=9k7;|l13{i:>3jh7>51zl2601=9=oh7p`=78cf>5<6sg;99:4>4df8yk401hl1<7?tn0063?73ml1vb?96b183>4}i9;?<6<:jf:m62?e93:1=v`>245951`73td9;4l=:182k75=>0:8k?4}o04=g5=83;pb<<:7;37b7=zf;=2n94?:0ym573028>m?6sa26;a1?6=9rd:>89515d7?xh5?0h=6=4>{o3112<6;7?;f79~j71>j10;642a?2we>:7m9;295~h6:<=1=9h7;|l13{i:>3ih7>51zl2601=9=lh7p`=78`f>5<6sg;99:4>4gf8yk401kl1<7?tn0063?73nl1vb?96c183>4}i9;?<6<:if:m62?d93:1=v`>245950673td9;4m=:182k75=>0:9=?4}o04=f5=83;pb<<:7;3647=zf;=2o94?:0ym573028?;?6sa26;`1?6=9rd:>8951427?xh5?0i=6=4>{o3112<6=9?0qc<89b594?7|f88>;7?:079~j71>k10;6437?2we>:7l9;295~h6:<=1=8>7;|l13{i:>3hh7>51zl2601=9<:h7p`=78af>5<6sg;99:4>51f8yk401jl1<7?tn0063?728l1vb?96d183>4}i9;?<6<;?f:m62?c93:1=v`>245950773td9;4j=:182k75=>0:98951437?xh5?0n=6=4>{o3112<6=8?0qc<89e594?7|f88>;7?:179~j71>l10;6436?2we>:7k9;295~h6:<=1=8?7;|l13=l5rn35:`f<728qe=?;8:072f>{i:>3oh7>51zl2601=9<;h7p`=78ff>5<6sg;99:4>50f8yk401ml1<7?tn0063?729l1vb?96e183>4}i9;?<6<;>f:m62?b93:1=v`>245950473td9;4k=:182k75=>0:9??4}o04=`5=83;pb<<:7;3667=zf;=2i94?:0ym573028?9?6sa26;f1?6=9rd:>8951407?xh5?0o=6=4>{o3112<6=;?0qc<89d594?7|f88>;7?:279~j71>m10;6435?2we>:7j9;295~h6:<=1=8<7;|l13>l5rn35:af<728qe=?;8:071f>{i:>3nh7>51zl2601=9<8h7p`=78gf>5<6sg;99:4>53f8yk401ll1<7?tn0063?72:l1vb?96f183>4}i9;?<6<;=f:m62?a93:1=v`>245950573td9;4h=:182k75=>0:9>?4}o04=c5=83;pb<<:7;3677=zf;=2j94?:0ym573028?8?6sa26;e1?6=9rd:>8951417?xh5?0l=6=4>{o3112<6=:?0qc<89g594?7|f88>;7?:379~j71>n10;6434?2we>:7i9;295~h6:<=1=8=7;|l13<`f290:wc?=568216??l5rn35:bf<728qe=?;8:070f>{i:>3mh7>51zl2601=9<9h7p`=78df>5<6sg;99:4>52f8yk401ol1<7?tn0063?72;l1vb?9n0183>4}i9;?<6<;245950273td9;l>=:182k75=>0:99?4}o04e55=83;pb<<:7;3607=zf;=j<94?:0ym573028???6sa26c31?6=9rd:>8951467?xh5?h:=6=4>{o3112<6==?0qc<8a1594?7|f88>;7?:479~j71f810;6433?2we>:o?9;295~h6:<=1=8:7;|l13d6f290:wc?=568211?8l5rn35b4f<728qe=?;8:077f>{i:>k;h7>51zl2601=9<>h7p`=7`2f>5<6sg;99:4>55f8yk40i9l1<7?tn0063?724}i9;?<6<;;f:m62g693:1=v`>245950373td9;l?=:182k75=>0:98?4}o04e45=83;pb<<:7;3617=zf;=j=94?:0ym573028?>?6sa26c21?6=9rd:>8951477?xh5?h;=6=4>{o3112<6=;7?:579~j71f910;6432?2we>:o>9;295~h6:<=1=8;7;|l13d7f290:wc?=568210?9l5rn35b5f<728qe=?;8:076f>{i:>k:h7>51zl2601=95<6sg;99:4>54f8yk40i8l1<7?tn0063?72=l1vb?9n2183>4}i9;?<6<;:f:m62g593:1=v`>245950073td9;l<=:182k75=>0:9;?4}o04e75=83;pb<<:7;3627=zf;=j>94?:0ym573028?=?6sa26c11?6=9rd:>8951447?xh5?h8=6=4>{o3112<6=??0qc<8a3594?7|f88>;7?:679~j71f:10;6431?2we>:o=9;295~h6:<=1=887;|l13d4f290:wc?=568213?:l5rn35b6f<728qe=?;8:075f>{i:>k9h7>51zl2601=9<5<6sg;99:4>57f8yk40i;l1<7?tn0063?72>l1vb?9n3183>4}i9;?<6<;9f:m62g493:1=v`>245950173td9;l==:182k75=>0:9:?4}o04e65=83;pb<<:7;3637=zf;=j?94?:0ym573028?8951457?xh5?h9=6=4>{o3112<6=>?0qc<8a2594?7|f88>;7?:779~j71f;10;6430?2we>:o<9;295~h6:<=1=897;|l13d5f290:wc?=568212?l50;3xj442?3;>;l5rn35b7f<728qe=?;8:074f>{i:>k8h7>51zl2601=9<=h7p`=7`1f>5<6sg;99:4>56f8yk40i:l1<7?tn0063?72?l1vb?9n4183>4}i9;?<6<;8f:m62g393:1=v`>245950>73td9;l:=:182k75=>0:95?4}o04e15=83;pb<<:7;36<7=zf;=j894?:0ym573028?3?6sa26c71?6=9rd:>89514:7?xh5?h>=6=4>{o3112<6=1?0qc<8a5594?7|f88>;7?:879~j71f<10;643??2we>:o;9;295~h6:<=1=867;|l13d2f290:wc?=56821=?4l5rn35b0f<728qe=?;8:07;f>{i:>k?h7>51zl2601=9<2h7p`=7`6f>5<6sg;99:4>59f8yk40i=l1<7?tn0063?720l1vb?9n5183>4}i9;?<6<;7f:m62g293:1=v`>245950?73td9;l;=:182k75=>0:94?4}o04e05=83;pb<<:7;36=7=zf;=j994?:0ym573028?2?6sa26c61?6=9rd:>89514;7?xh5?h?=6=4>{o3112<6=0?0qc<8a4594?7|f88>;7?:979~j71f=10;643>?2we>:o:9;295~h6:<=1=877;|l13d3f290:wc?=568215l5rn35b1f<728qe=?;8:07:f>{i:>k>h7>51zl2601=9<3h7p`=7`7f>5<6sg;99:4>58f8yk40i4}i9;?<6<;6f:m62g193:1=v`>245950g73td9;l8=:182k75=>0:9l?4}o04e35=83;pb<<:7;36e7=zf;=j:94?:0ym573028?j?6sa26c51?6=9rd:>89514c7?xh5?h<=6=4>{o3112<6=h?0qc<8a7594?7|f88>;7?:a79~j71f>10;643f?2we>:o99;295~h6:<=1=8o7;|l13d0f290:wc?=56821d?ml5rn35b2f<728qe=?;8:07bf>{i:>k=h7>51zl2601=95<6sg;99:4>5`f8yk40i?l1<7?tn0063?72il1vb?9n7183>4}i9;?<6<;nf:m62g093:1=v`>245950d73td9;l9=:182k75=>0:9o?4}o04e25=83;pb<<:7;36f7=zf;=j;94?:0ym573028?i?6sa26c41?6=9rd:>89514`7?xh5?h==6=4>{o3112<6=k?0qc<8a6594?7|f88>;7?:b79~j71f?10;643e?2we>:o89;295~h6:<=1=8l7;|l13d1f290:wc?=56821g?nl5rn35b3f<728qe=?;8:07af>{i:>k51zl2601=95<6sg;99:4>5cf8yk40i>l1<7?tn0063?72jl1vb?9n8183>4}i9;?<6<;mf:m62g?93:1=v`>245950e73td9;l6=:182k75=>0:9n?4}o04e=5=83;pb<<:7;36g7=zf;=j494?:0ym573028?h?6sa26c;1?6=9rd:>89514a7?xh5?h2=6=4>{o3112<6=j?0qc<8a9594?7|f88>;7?:c79~j71f010;643d?2we>:o79;295~h6:<=1=8m7;|l13d>f290:wc?=56821f?ol5rn35b{i:>k3h7>51zl2601=95<6sg;99:4>5bf8yk40i1l1<7?tn0063?72kl1vb?9n9183>4}i9;?<6<;lf:m62g>93:1=v`>245950b73td9;l7=:182k75=>0:9i?4}o04e<5=83;pb<<:7;36`7=zf;=j594?:0ym573028?o?6sa26c:1?6=9rd:>89514f7?xh5?h3=6=4>{o3112<6=m?0qc<8a8594?7|f88>;7?:d79~j71f110;643c?2we>:o69;295~h6:<=1=8j7;|l13d?f290:wc?=56821a?hl5rn35b=f<728qe=?;8:07gf>{i:>k2h7>51zl2601=95<6sg;99:4>5ef8yk40i0l1<7?tn0063?72ll1vb?9na183>4}i9;?<6<;kf:m62gf93:1=v`>245950c73td9;lo=:182k75=>0:9h?4}o04ed5=83;pb<<:7;36a7=zf;=jm94?:0ym573028?n?6sa26cb1?6=9rd:>89514g7?xh5?hk=6=4>{o3112<6=l?0qc<8a`594?7|f88>;7?:e79~j71fi10;643b?2we>:on9;295~h6:<=1=8k7;|l13dgf290:wc?=56821`?il5rn35bef<728qe=?;8:07ff>{i:>kjh7>51zl2601=95<6sg;99:4>5df8yk40ihl1<7?tn0063?72ml1vb?9nb183>4}i9;?<6<;jf:m62ge93:1=v`>245950`73td9;ll=:182k75=>0:9k?4}o04eg5=83;pb<<:7;36b7=zf;=jn94?:0ym573028?m?6sa26ca1?6=9rd:>89514d7?xh5?hh=6=4>{o3112<6=o?0qc<8ac594?7|f88>;7?:f79~j71fj10;643a?2we>:om9;295~h6:<=1=8h7;|l13ddf290:wc?=56821c?jl5rn35bff<728qe=?;8:07ef>{i:>kih7>51zl2601=95<6sg;99:4>5gf8yk40ikl1<7?tn0063?72nl1vb?9nc183>4}i9;?<6<;if:m62gd93:1=v`>245953673td9;lm=:182k75=>0::=?4}o04ef5=83;pb<<:7;3547=zf;=jo94?:0ym573028<;?6sa26c`1?6=9rd:>8951727?xh5?hi=6=4>{o3112<6>9?0qc<8ab594?7|f88>;7?9079~j71fk10;6407?2we>:ol9;295~h6:<=1=;>7;|l13def290:wc?=568225?{i:>khh7>51zl2601=9?:h7p`=7`af>5<6sg;99:4>61f8yk40ijl1<7?tn0063?718l1vb?9nd183>4}i9;?<6<8?f:m62gc93:1=v`>245953773td9;lj=:182k75=>0::8951737?xh5?hn=6=4>{o3112<6>8?0qc<8ae594?7|f88>;7?9179~j71fl10;6406?2we>:ok9;295~h6:<=1=;?7;|l13dbf290:wc?=568224?{i:>koh7>51zl2601=9?;h7p`=7`ff>5<6sg;99:4>60f8yk40iml1<7?tn0063?719l1vb?9ne183>4}i9;?<6<8>f:m62gb93:1=v`>245953473td9;lk=:182k75=>0::??4}o04e`5=83;pb<<:7;3567=zf;=ji94?:0ym573028<9?6sa26cf1?6=9rd:>8951707?xh5?ho=6=4>{o3112<6>;?0qc<8ad594?7|f88>;7?9279~j71fm10;6405?2we>:oj9;295~h6:<=1=;<7;|l13dcf290:wc?=568227?l5rn35baf<728qe=?;8:041f>{i:>knh7>51zl2601=9?8h7p`=7`gf>5<6sg;99:4>63f8yk40ill1<7?tn0063?71:l1vb?9nf183>4}i9;?<6<8=f:m62ga93:1=v`>245953573td9;lh=:182k75=>0::>?4}o04ec5=83;pb<<:7;3577=zf;=jj94?:0ym573028<8?6sa26ce1?6=9rd:>8951717?xh5?hl=6=4>{o3112<6>:?0qc<8ag594?7|f88>;7?9379~j71fn10;6404?2we>:oi9;295~h6:<=1=;=7;|l13d`f290:wc?=568226?{i:>kmh7>51zl2601=9?9h7p`=7`df>5<6sg;99:4>62f8yk40iol1<7?tn0063?71;l1vb?9m0183>4}i9;?<6<8245953273td9;o>=:182k75=>0::9?4}o04f55=83;pb<<:7;3507=zf;=i<94?:0ym5730288951767?xh5?k:=6=4>{o3112<6>=?0qc<8b1594?7|f88>;7?9479~j71e810;6403?2we>:l?9;295~h6:<=1=;:7;|l13g6f290:wc?=568221?{i:>h;h7>51zl2601=9?>h7p`=7c2f>5<6sg;99:4>65f8yk40j9l1<7?tn0063?714}i9;?<6<8;f:m62d693:1=v`>245953373td9;o?=:182k75=>0::8?4}o04f45=83;pb<<:7;3517=zf;=i=94?:0ym573028<>?6sa26`21?6=9rd:>8951777?xh5?k;=6=4>{o3112<6>;7?9579~j71e910;6402?2we>:l>9;295~h6:<=1=;;7;|l13g7f290:wc?=568220?{i:>h:h7>51zl2601=9??h7p`=7c3f>5<6sg;99:4>64f8yk40j8l1<7?tn0063?71=l1vb?9m2183>4}i9;?<6<8:f:m62d593:1=v`>245953073td9;o<=:182k75=>0::;?4}o04f75=83;pb<<:7;3527=zf;=i>94?:0ym573028<=?6sa26`11?6=9rd:>8951747?xh5?k8=6=4>{o3112<6>??0qc<8b3594?7|f88>;7?9679~j71e:10;6401?2we>:l=9;295~h6:<=1=;87;|l13g4f290:wc?=568223?{i:>h9h7>51zl2601=9?5<6sg;99:4>67f8yk40j;l1<7?tn0063?71>l1vb?9m3183>4}i9;?<6<89f:m62d493:1=v`>245953173td9;o==:182k75=>0:::?4}o04f65=83;pb<<:7;3537=zf;=i?94?:0ym573028<8951757?xh5?k9=6=4>{o3112<6>>?0qc<8b2594?7|f88>;7?9779~j71e;10;6400?2we>:l<9;295~h6:<=1=;97;|l13g5f290:wc?=568222?l50;3xj442?3;=;l5rn35a7f<728qe=?;8:044f>{i:>h8h7>51zl2601=9?=h7p`=7c1f>5<6sg;99:4>66f8yk40j:l1<7?tn0063?71?l1vb?9m4183>4}i9;?<6<88f:m62d393:1=v`>245953>73td9;o:=:182k75=>0::5?4}o04f15=83;pb<<:7;35<7=zf;=i894?:0ym573028<3?6sa26`71?6=9rd:>89517:7?xh5?k>=6=4>{o3112<6>1?0qc<8b5594?7|f88>;7?9879~j71e<10;640??2we>:l;9;295~h6:<=1=;67;|l13g2f290:wc?=56822=?{i:>h?h7>51zl2601=9?2h7p`=7c6f>5<6sg;99:4>69f8yk40j=l1<7?tn0063?710l1vb?9m5183>4}i9;?<6<87f:m62d293:1=v`>245953?73td9;o;=:182k75=>0::4?4}o04f05=83;pb<<:7;35=7=zf;=i994?:0ym573028<2?6sa26`61?6=9rd:>89517;7?xh5?k?=6=4>{o3112<6>0?0qc<8b4594?7|f88>;7?9979~j71e=10;640>?2we>:l:9;295~h6:<=1=;77;|l13g3f290:wc?=56822{i:>h>h7>51zl2601=9?3h7p`=7c7f>5<6sg;99:4>68f8yk40j4}i9;?<6<86f:m62d193:1=v`>245953g73td9;o8=:182k75=>0::l?4}o04f35=83;pb<<:7;35e7=zf;=i:94?:0ym57302889517c7?xh5?k<=6=4>{o3112<6>h?0qc<8b7594?7|f88>;7?9a79~j71e>10;640f?2we>:l99;295~h6:<=1=;o7;|l13g0f290:wc?=56822d?{i:>h=h7>51zl2601=9?kh7p`=7c4f>5<6sg;99:4>6`f8yk40j?l1<7?tn0063?71il1vb?9m7183>4}i9;?<6<8nf:m62d093:1=v`>245953d73td9;o9=:182k75=>0::o?4}o04f25=83;pb<<:7;35f7=zf;=i;94?:0ym57302889517`7?xh5?k==6=4>{o3112<6>k?0qc<8b6594?7|f88>;7?9b79~j71e?10;640e?2we>:l89;295~h6:<=1=;l7;|l13g1f290:wc?=56822g?{i:>h51zl2601=9?hh7p`=7c5f>5<6sg;99:4>6cf8yk40j>l1<7?tn0063?71jl1vb?9m8183>4}i9;?<6<8mf:m62d?93:1=v`>245953e73td9;o6=:182k75=>0::n?4}o04f=5=83;pb<<:7;35g7=zf;=i494?:0ym57302889517a7?xh5?k2=6=4>{o3112<6>j?0qc<8b9594?7|f88>;7?9c79~j71e010;640d?2we>:l79;295~h6:<=1=;m7;|l13g>f290:wc?=56822f?{i:>h3h7>51zl2601=9?ih7p`=7c:f>5<6sg;99:4>6bf8yk40j1l1<7?tn0063?71kl1vb?9m9183>4}i9;?<6<8lf:m62d>93:1=v`>245953b73td9;o7=:182k75=>0::i?4}o04f<5=83;pb<<:7;35`7=zf;=i594?:0ym57302889517f7?xh5?k3=6=4>{o3112<6>m?0qc<8b8594?7|f88>;7?9d79~j71e110;640c?2we>:l69;295~h6:<=1=;j7;|l13g?f290:wc?=56822a?{i:>h2h7>51zl2601=9?nh7p`=7c;f>5<6sg;99:4>6ef8yk40j0l1<7?tn0063?71ll1vb?9ma183>4}i9;?<6<8kf:m62df93:1=v`>245953c73td9;oo=:182k75=>0::h?4}o04fd5=83;pb<<:7;35a7=zf;=im94?:0ym57302889517g7?xh5?kk=6=4>{o3112<6>l?0qc<8b`594?7|f88>;7?9e79~j71ei10;640b?2we>:ln9;295~h6:<=1=;k7;|l13ggf290:wc?=56822`?{i:>hjh7>51zl2601=9?oh7p`=7ccf>5<6sg;99:4>6df8yk40jhl1<7?tn0063?71ml1vb?9mb183>4}i9;?<6<8jf:m62de93:1=v`>245953`73td9;ol=:182k75=>0::k?4}o04fg5=83;pb<<:7;35b7=zf;=in94?:0ym57302889517d7?xh5?kh=6=4>{o3112<6>o?0qc<8bc594?7|f88>;7?9f79~j71ej10;640a?2we>:lm9;295~h6:<=1=;h7;|l13gdf290:wc?=56822c?{i:>hih7>51zl2601=9?lh7p`=7c`f>5<6sg;99:4>6gf8yk40jkl1<7?tn0063?71nl1vb?9mc183>4}i9;?<6<8if:m62dd93:1=v`>245952673td9;om=:182k75=>0:;=?4}o04ff5=83;pb<<:7;3447=zf;=io94?:0ym573028=;?6sa26``1?6=9rd:>8951627?xh5?ki=6=4>{o3112<6?9?0qc<8bb594?7|f88>;7?8079~j71ek10;6417?2we>:ll9;295~h6:<=1=:>7;|l13gef290:wc?=568235?{i:>hhh7>51zl2601=9>:h7p`=7caf>5<6sg;99:4>71f8yk40jjl1<7?tn0063?708l1vb?9md183>4}i9;?<6<9?f:m62dc93:1=v`>245952773td9;oj=:182k75=>0:;8951637?xh5?kn=6=4>{o3112<6?8?0qc<8be594?7|f88>;7?8179~j71el10;6416?2we>:lk9;295~h6:<=1=:?7;|l13gbf290:wc?=568234?{i:>hoh7>51zl2601=9>;h7p`=7cff>5<6sg;99:4>70f8yk40jml1<7?tn0063?709l1vb?9me183>4}i9;?<6<9>f:m62db93:1=v`>245952473td9;ok=:182k75=>0:;??4}o04f`5=83;pb<<:7;3467=zf;=ii94?:0ym573028=9?6sa26`f1?6=9rd:>8951607?xh5?ko=6=4>{o3112<6?;?0qc<8bd594?7|f88>;7?8279~j71em10;6415?2we>:lj9;295~h6:<=1=:<7;|l13gcf290:wc?=568237?l5rn35aaf<728qe=?;8:051f>{i:>hnh7>51zl2601=9>8h7p`=7cgf>5<6sg;99:4>73f8yk40jll1<7?tn0063?70:l1vb?9mf183>4}i9;?<6<9=f:m62da93:1=v`>245952573td9;oh=:182k75=>0:;>?4}o04fc5=83;pb<<:7;3477=zf;=ij94?:0ym573028=8?6sa26`e1?6=9rd:>8951617?xh5?kl=6=4>{o3112<6?:?0qc<8bg594?7|f88>;7?8379~j71en10;6414?2we>:li9;295~h6:<=1=:=7;|l13g`f290:wc?=568236?{i:>hmh7>51zl2601=9>9h7p`=7cdf>5<6sg;99:4>72f8yk40jol1<7?tn0063?70;l1vb?9l0183>4}i9;?<6<9245952273td9;n>=:182k75=>0:;9?4}o04g55=83;pb<<:7;3407=zf;=h<94?:0ym573028=??6sa26a31?6=9rd:>8951667?xh5?j:=6=4>{o3112<6?=?0qc<8c1594?7|f88>;7?8479~j71d810;6413?2we>:m?9;295~h6:<=1=::7;|l13f6f290:wc?=568231?{i:>i;h7>51zl2601=9>>h7p`=7b2f>5<6sg;99:4>75f8yk40k9l1<7?tn0063?704}i9;?<6<9;f:m62e693:1=v`>245952373td9;n?=:182k75=>0:;8?4}o04g45=83;pb<<:7;3417=zf;=h=94?:0ym573028=>?6sa26a21?6=9rd:>8951677?xh5?j;=6=4>{o3112<6?;7?8579~j71d910;6412?2we>:m>9;295~h6:<=1=:;7;|l13f7f290:wc?=568230?{i:>i:h7>51zl2601=9>?h7p`=7b3f>5<6sg;99:4>74f8yk40k8l1<7?tn0063?70=l1vb?9l2183>4}i9;?<6<9:f:m62e593:1=v`>245952073td9;n<=:182k75=>0:;;?4}o04g75=83;pb<<:7;3427=zf;=h>94?:0ym573028==?6sa26a11?6=9rd:>8951647?xh5?j8=6=4>{o3112<6???0qc<8c3594?7|f88>;7?8679~j71d:10;6411?2we>:m=9;295~h6:<=1=:87;|l13f4f290:wc?=568233?{i:>i9h7>51zl2601=9>5<6sg;99:4>77f8yk40k;l1<7?tn0063?70>l1vb?9l3183>4}i9;?<6<99f:m62e493:1=v`>245952173td9;n==:182k75=>0:;:?4}o04g65=83;pb<<:7;3437=zf;=h?94?:0ym573028=8951657?xh5?j9=6=4>{o3112<6?>?0qc<8c2594?7|f88>;7?8779~j71d;10;6410?2we>:m<9;295~h6:<=1=:97;|l13f5f290:wc?=568232?l50;3xj442?3;<;l5rn35`7f<728qe=?;8:054f>{i:>i8h7>51zl2601=9>=h7p`=7b1f>5<6sg;99:4>76f8yk40k:l1<7?tn0063?70?l1vb?9l4183>4}i9;?<6<98f:m62e393:1=v`>245952>73td9;n:=:182k75=>0:;5?4}o04g15=83;pb<<:7;34<7=zf;=h894?:0ym573028=3?6sa26a71?6=9rd:>89516:7?xh5?j>=6=4>{o3112<6?1?0qc<8c5594?7|f88>;7?8879~j71d<10;641??2we>:m;9;295~h6:<=1=:67;|l13f2f290:wc?=56823=?{i:>i?h7>51zl2601=9>2h7p`=7b6f>5<6sg;99:4>79f8yk40k=l1<7?tn0063?700l1vb?9l5183>4}i9;?<6<97f:m62e293:1=v`>245952?73td9;n;=:182k75=>0:;4?4}o04g05=83;pb<<:7;34=7=zf;=h994?:0ym573028=2?6sa26a61?6=9rd:>89516;7?xh5?j?=6=4>{o3112<6?0?0qc<8c4594?7|f88>;7?8979~j71d=10;641>?2we>:m:9;295~h6:<=1=:77;|l13f3f290:wc?=56823{i:>i>h7>51zl2601=9>3h7p`=7b7f>5<6sg;99:4>78f8yk40k4}i9;?<6<96f:m62e193:1=v`>245952g73td9;n8=:182k75=>0:;l?4}o04g35=83;pb<<:7;34e7=zf;=h:94?:0ym573028=j?6sa26a51?6=9rd:>89516c7?xh5?j<=6=4>{o3112<6?h?0qc<8c7594?7|f88>;7?8a79~j71d>10;641f?2we>:m99;295~h6:<=1=:o7;|l13f0f290:wc?=56823d?{i:>i=h7>51zl2601=9>kh7p`=7b4f>5<6sg;99:4>7`f8yk40k?l1<7?tn0063?70il1vb?9l7183>4}i9;?<6<9nf:m62e093:1=v`>245952d73td9;n9=:182k75=>0:;o?4}o04g25=83;pb<<:7;34f7=zf;=h;94?:0ym573028=i?6sa26a41?6=9rd:>89516`7?xh5?j==6=4>{o3112<6?k?0qc<8c6594?7|f88>;7?8b79~j71d?10;641e?2we>:m89;295~h6:<=1=:l7;|l13f1f290:wc?=56823g?{i:>i51zl2601=9>hh7p`=7b5f>5<6sg;99:4>7cf8yk40k>l1<7?tn0063?70jl1vb?9l8183>4}i9;?<6<9mf:m62e?93:1=v`>245952e73td9;n6=:182k75=>0:;n?4}o04g=5=83;pb<<:7;34g7=zf;=h494?:0ym573028=h?6sa26a;1?6=9rd:>89516a7?xh5?j2=6=4>{o3112<6?j?0qc<8c9594?7|f88>;7?8c79~j71d010;641d?2we>:m79;295~h6:<=1=:m7;|l13f>f290:wc?=56823f?{i:>i3h7>51zl2601=9>ih7p`=7b:f>5<6sg;99:4>7bf8yk40k1l1<7?tn0063?70kl1vb?9l9183>4}i9;?<6<9lf:m62e>93:1=v`>245952b73td9;n7=:182k75=>0:;i?4}o04g<5=83;pb<<:7;34`7=zf;=h594?:0ym573028=o?6sa26a:1?6=9rd:>89516f7?xh5?j3=6=4>{o3112<6?m?0qc<8c8594?7|f88>;7?8d79~j71d110;641c?2we>:m69;295~h6:<=1=:j7;|l13f?f290:wc?=56823a?{i:>i2h7>51zl2601=9>nh7p`=7b;f>5<6sg;99:4>7ef8yk40k0l1<7?tn0063?70ll1vb?9la183>4}i9;?<6<9kf:m62ef93:1=v`>245952c73td9;no=:182k75=>0:;h?4}o04gd5=83;pb<<:7;34a7=zf;=hm94?:0ym573028=n?6sa26ab1?6=9rd:>89516g7?xh5?jk=6=4>{o3112<6?l?0qc<8c`594?7|f88>;7?8e79~j71di10;641b?2we>:mn9;295~h6:<=1=:k7;|l13fgf290:wc?=56823`?{i:>ijh7>51zl2601=9>oh7p`=7bcf>5<6sg;99:4>7df8yk40khl1<7?tn0063?70ml1vb?9lb183>4}i9;?<6<9jf:m62ee93:1=v`>245952`73td9;nl=:182k75=>0:;k?4}o04gg5=83;pb<<:7;34b7=zf;=hn94?:0ym573028=m?6sa26aa1?6=9rd:>89516d7?xh5?jh=6=4>{o3112<6?o?0qc<8cc594?7|f88>;7?8f79~j71dj10;641a?2we>:mm9;295~h6:<=1=:h7;|l13fdf290:wc?=56823c?{i:>iih7>51zl2601=9>lh7p`=7b`f>5<6sg;99:4>7gf8yk40kkl1<7?tn0063?70nl1vb?9lc183>4}i9;?<6<9if:m62ed93:1=v`>24595=673td9;nm=:182k75=>0:4=?4}o04gf5=83;pb<<:7;3;47=zf;=ho94?:0ym5730282;?6sa26a`1?6=9rd:>8951927?xh5?ji=6=4>{o3112<609?0qc<8cb594?7|f88>;7?7079~j71dk10;64>7?2we>:ml9;295~h6:<=1=5>7;|l13fef290:wc?=5682<5?{i:>ihh7>51zl2601=91:h7p`=7baf>5<6sg;99:4>81f8yk40kjl1<7?tn0063?7?8l1vb?9ld183>4}i9;?<6<6?f:m62ec93:1=v`>24595=773td9;nj=:182k75=>0:48951937?xh5?jn=6=4>{o3112<608?0qc<8ce594?7|f88>;7?7179~j71dl10;64>6?2we>:mk9;295~h6:<=1=5?7;|l13fbf290:wc?=5682<4?{i:>ioh7>51zl2601=91;h7p`=7bff>5<6sg;99:4>80f8yk40kml1<7?tn0063?7?9l1vb?9le183>4}i9;?<6<6>f:m62eb93:1=v`>24595=473td9;nk=:182k75=>0:4??4}o04g`5=83;pb<<:7;3;67=zf;=hi94?:0ym57302829?6sa26af1?6=9rd:>8951907?xh5?jo=6=4>{o3112<60;?0qc<8cd594?7|f88>;7?7279~j71dm10;64>5?2we>:mj9;295~h6:<=1=5<7;|l13fcf290:wc?=5682<7?l5rn35`af<728qe=?;8:0:1f>{i:>inh7>51zl2601=918h7p`=7bgf>5<6sg;99:4>83f8yk40kll1<7?tn0063?7?:l1vb?9lf183>4}i9;?<6<6=f:m62ea93:1=v`>24595=573td9;nh=:182k75=>0:4>?4}o04gc5=83;pb<<:7;3;77=zf;=hj94?:0ym57302828?6sa26ae1?6=9rd:>8951917?xh5?jl=6=4>{o3112<60:?0qc<8cg594?7|f88>;7?7379~j71dn10;64>4?2we>:mi9;295~h6:<=1=5=7;|l13f`f290:wc?=5682<6?{i:>imh7>51zl2601=919h7p`=7bdf>5<6sg;99:4>82f8yk40kol1<7?tn0063?7?;l1vb?9k0183>4}i9;?<6<624595=273td9;i>=:182k75=>0:49?4}o04`55=83;pb<<:7;3;07=zf;=o<94?:0ym5730282??6sa26f31?6=9rd:>8951967?xh5?m:=6=4>{o3112<60=?0qc<8d1594?7|f88>;7?7479~j71c810;64>3?2we>:j?9;295~h6:<=1=5:7;|l13a6f290:wc?=5682<1?{i:>n;h7>51zl2601=91>h7p`=7e2f>5<6sg;99:4>85f8yk40l9l1<7?tn0063?7?4}i9;?<6<6;f:m62b693:1=v`>24595=373td9;i?=:182k75=>0:48?4}o04`45=83;pb<<:7;3;17=zf;=o=94?:0ym5730282>?6sa26f21?6=9rd:>8951977?xh5?m;=6=4>{o3112<60;7?7579~j71c910;64>2?2we>:j>9;295~h6:<=1=5;7;|l13a7f290:wc?=5682<0?{i:>n:h7>51zl2601=91?h7p`=7e3f>5<6sg;99:4>84f8yk40l8l1<7?tn0063?7?=l1vb?9k2183>4}i9;?<6<6:f:m62b593:1=v`>24595=073td9;i<=:182k75=>0:4;?4}o04`75=83;pb<<:7;3;27=zf;=o>94?:0ym5730282=?6sa26f11?6=9rd:>8951947?xh5?m8=6=4>{o3112<60??0qc<8d3594?7|f88>;7?7679~j71c:10;64>1?2we>:j=9;295~h6:<=1=587;|l13a4f290:wc?=5682<3?{i:>n9h7>51zl2601=915<6sg;99:4>87f8yk40l;l1<7?tn0063?7?>l1vb?9k3183>4}i9;?<6<69f:m62b493:1=v`>24595=173td9;i==:182k75=>0:4:?4}o04`65=83;pb<<:7;3;37=zf;=o?94?:0ym57302828951957?xh5?m9=6=4>{o3112<60>?0qc<8d2594?7|f88>;7?7779~j71c;10;64>0?2we>:j<9;295~h6:<=1=597;|l13a5f290:wc?=5682<2?l50;3xj442?3;3;l5rn35g7f<728qe=?;8:0:4f>{i:>n8h7>51zl2601=91=h7p`=7e1f>5<6sg;99:4>86f8yk40l:l1<7?tn0063?7??l1vb?9k4183>4}i9;?<6<68f:m62b393:1=v`>24595=>73td9;i:=:182k75=>0:45?4}o04`15=83;pb<<:7;3;<7=zf;=o894?:0ym57302823?6sa26f71?6=9rd:>89519:7?xh5?m>=6=4>{o3112<601?0qc<8d5594?7|f88>;7?7879~j71c<10;64>??2we>:j;9;295~h6:<=1=567;|l13a2f290:wc?=5682<=?{i:>n?h7>51zl2601=912h7p`=7e6f>5<6sg;99:4>89f8yk40l=l1<7?tn0063?7?0l1vb?9k5183>4}i9;?<6<67f:m62b293:1=v`>24595=?73td9;i;=:182k75=>0:44?4}o04`05=83;pb<<:7;3;=7=zf;=o994?:0ym57302822?6sa26f61?6=9rd:>89519;7?xh5?m?=6=4>{o3112<600?0qc<8d4594?7|f88>;7?7979~j71c=10;64>>?2we>:j:9;295~h6:<=1=577;|l13a3f290:wc?=5682<{i:>n>h7>51zl2601=913h7p`=7e7f>5<6sg;99:4>88f8yk40l4}i9;?<6<66f:m62b193:1=v`>24595=g73td9;i8=:182k75=>0:4l?4}o04`35=83;pb<<:7;3;e7=zf;=o:94?:0ym5730282j?6sa26f51?6=9rd:>89519c7?xh5?m<=6=4>{o3112<60h?0qc<8d7594?7|f88>;7?7a79~j71c>10;64>f?2we>:j99;295~h6:<=1=5o7;|l13a0f290:wc?=5682{i:>n=h7>51zl2601=91kh7p`=7e4f>5<6sg;99:4>8`f8yk40l?l1<7?tn0063?7?il1vb?9k7183>4}i9;?<6<6nf:m62b093:1=v`>24595=d73td9;i9=:182k75=>0:4o?4}o04`25=83;pb<<:7;3;f7=zf;=o;94?:0ym5730282i?6sa26f41?6=9rd:>89519`7?xh5?m==6=4>{o3112<60k?0qc<8d6594?7|f88>;7?7b79~j71c?10;64>e?2we>:j89;295~h6:<=1=5l7;|l13a1f290:wc?=5682{i:>n51zl2601=91hh7p`=7e5f>5<6sg;99:4>8cf8yk40l>l1<7?tn0063?7?jl1vb?9k8183>4}i9;?<6<6mf:m62b?93:1=v`>24595=e73td9;i6=:182k75=>0:4n?4}o04`=5=83;pb<<:7;3;g7=zf;=o494?:0ym5730282h?6sa26f;1?6=9rd:>89519a7?xh5?m2=6=4>{o3112<60j?0qc<8d9594?7|f88>;7?7c79~j71c010;64>d?2we>:j79;295~h6:<=1=5m7;|l13a>f290:wc?=5682{i:>n3h7>51zl2601=91ih7p`=7e:f>5<6sg;99:4>8bf8yk40l1l1<7?tn0063?7?kl1vb?9k9183>4}i9;?<6<6lf:m62b>93:1=v`>24595=b73td9;i7=:182k75=>0:4i?4}o04`<5=83;pb<<:7;3;`7=zf;=o594?:0ym5730282o?6sa26f:1?6=9rd:>89519f7?xh5?m3=6=4>{o3112<60m?0qc<8d8594?7|f88>;7?7d79~j71c110;64>c?2we>:j69;295~h6:<=1=5j7;|l13a?f290:wc?=5682{i:>n2h7>51zl2601=91nh7p`=7e;f>5<6sg;99:4>8ef8yk40l0l1<7?tn0063?7?ll1vb?9ka183>4}i9;?<6<6kf:m62bf93:1=v`>24595=c73td9;io=:182k75=>0:4h?4}o04`d5=83;pb<<:7;3;a7=zf;=om94?:0ym5730282n?6sa26fb1?6=9rd:>89519g7?xh5?mk=6=4>{o3112<60l?0qc<8d`594?7|f88>;7?7e79~j71ci10;64>b?2we>:jn9;295~h6:<=1=5k7;|l13agf290:wc?=5682<`?{i:>njh7>51zl2601=91oh7p`=7ecf>5<6sg;99:4>8df8yk40lhl1<7?tn0063?7?ml1vb?9kb183>4}i9;?<6<6jf:m62be93:1=v`>24595=`73td9;il=:182k75=>0:4k?4}o04`g5=83;pb<<:7;3;b7=zf;=on94?:0ym5730282m?6sa26fa1?6=9rd:>89519d7?xh5?mh=6=4>{o3112<60o?0qc<8dc594?7|f88>;7?7f79~j71cj10;64>a?2we>:jm9;295~h6:<=1=5h7;|l13adf290:wc?=5682{i:>nih7>51zl2601=91lh7p`=7e`f>5<6sg;99:4>8gf8yk40lkl1<7?tn0063?7?nl1vb?9kc183>4}i9;?<6<6if:m62bd93:1=v`>24595<673td9;im=:182k75=>0:5=?4}o04`f5=83;pb<<:7;3:47=zf;=oo94?:0ym5730283;?6sa26f`1?6=9rd:>8951827?xh5?mi=6=4>{o3112<619?0qc<8db594?7|f88>;7?6079~j71ck10;64?7?2we>:jl9;295~h6:<=1=4>7;|l13aef290:wc?=5682=5?{i:>nhh7>51zl2601=90:h7p`=7eaf>5<6sg;99:4>91f8yk40ljl1<7?tn0063?7>8l1vb?9kd183>4}i9;?<6<7?f:m62bc93:1=v`>24595<773td9;ij=:182k75=>0:58951837?xh5?mn=6=4>{o3112<618?0qc<8de594?7|f88>;7?6179~j71cl10;64?6?2we>:jk9;295~h6:<=1=4?7;|l13abf290:wc?=5682=4?{i:>noh7>51zl2601=90;h7p`=7eff>5<6sg;99:4>90f8yk40lml1<7?tn0063?7>9l1vb?9ke183>4}i9;?<6<7>f:m62bb93:1=v`>24595<473td9;ik=:182k75=>0:5??4}o04``5=83;pb<<:7;3:67=zf;=oi94?:0ym57302839?6sa26ff1?6=9rd:>8951807?xh5?mo=6=4>{o3112<61;?0qc<8dd594?7|f88>;7?6279~j71cm10;64?5?2we>:jj9;295~h6:<=1=4<7;|l13acf290:wc?=5682=7?l5rn35gaf<728qe=?;8:0;1f>{i:>nnh7>51zl2601=908h7p`=7egf>5<6sg;99:4>93f8yk40lll1<7?tn0063?7>:l1vb?9kf183>4}i9;?<6<7=f:m62ba93:1=v`>24595<573td9;ih=:182k75=>0:5>?4}o04`c5=83;pb<<:7;3:77=zf;=oj94?:0ym57302838?6sa26fe1?6=9rd:>8951817?xh5?ml=6=4>{o3112<61:?0qc<8dg594?7|f88>;7?6379~j71cn10;64?4?2we>:ji9;295~h6:<=1=4=7;|l13a`f290:wc?=5682=6?{i:>nmh7>51zl2601=909h7p`=7edf>5<6sg;99:4>92f8yk40lol1<7?tn0063?7>;l1vb?9j0183>4}i9;?<6<724595<273td9;h>=:182k75=>0:59?4}o04a55=83;pb<<:7;3:07=zf;=n<94?:0ym5730283??6sa26g31?6=9rd:>8951867?xh5?l:=6=4>{o3112<61=?0qc<8e1594?7|f88>;7?6479~j71b810;64?3?2we>:k?9;295~h6:<=1=4:7;|l13`6f290:wc?=5682=1?{i:>o;h7>51zl2601=90>h7p`=7d2f>5<6sg;99:4>95f8yk40m9l1<7?tn0063?7>4}i9;?<6<7;f:m62c693:1=v`>24595<373td9;h?=:182k75=>0:58?4}o04a45=83;pb<<:7;3:17=zf;=n=94?:0ym5730283>?6sa26g21?6=9rd:>8951877?xh5?l;=6=4>{o3112<61;7?6579~j71b910;64?2?2we>:k>9;295~h6:<=1=4;7;|l13`7f290:wc?=5682=0?{i:>o:h7>51zl2601=90?h7p`=7d3f>5<6sg;99:4>94f8yk40m8l1<7?tn0063?7>=l1vb?9j2183>4}i9;?<6<7:f:m62c593:1=v`>24595<073td9;h<=:182k75=>0:5;?4}o04a75=83;pb<<:7;3:27=zf;=n>94?:0ym5730283=?6sa26g11?6=9rd:>8951847?xh5?l8=6=4>{o3112<61??0qc<8e3594?7|f88>;7?6679~j71b:10;64?1?2we>:k=9;295~h6:<=1=487;|l13`4f290:wc?=5682=3?{i:>o9h7>51zl2601=905<6sg;99:4>97f8yk40m;l1<7?tn0063?7>>l1vb?9j3183>4}i9;?<6<79f:m62c493:1=v`>24595<173td9;h==:182k75=>0:5:?4}o04a65=83;pb<<:7;3:37=zf;=n?94?:0ym57302838951857?xh5?l9=6=4>{o3112<61>?0qc<8e2594?7|f88>;7?6779~j71b;10;64?0?2we>:k<9;295~h6:<=1=497;|l13`5f290:wc?=5682=2?l50;3xj442?3;2;l5rn35f7f<728qe=?;8:0;4f>{i:>o8h7>51zl2601=90=h7p`=7d1f>5<6sg;99:4>96f8yk40m:l1<7?tn0063?7>?l1vb?9j4183>4}i9;?<6<78f:m62c393:1=v`>24595<>73td9;h:=:182k75=>0:55?4}o04a15=83;pb<<:7;3:<7=zf;=n894?:0ym57302833?6sa26g71?6=9rd:>89518:7?xh5?l>=6=4>{o3112<611?0qc<8e5594?7|f88>;7?6879~j71b<10;64???2we>:k;9;295~h6:<=1=467;|l13`2f290:wc?=5682==?{i:>o?h7>51zl2601=902h7p`=7d6f>5<6sg;99:4>99f8yk40m=l1<7?tn0063?7>0l1vb?9j5183>4}i9;?<6<77f:m62c293:1=v`>245950:54?4}o04a05=83;pb<<:7;3:=7=zf;=n994?:0ym57302832?6sa26g61?6=9rd:>89518;7?xh5?l?=6=4>{o3112<610?0qc<8e4594?7|f88>;7?6979~j71b=10;64?>?2we>:k:9;295~h6:<=1=477;|l13`3f290:wc?=5682={i:>o>h7>51zl2601=903h7p`=7d7f>5<6sg;99:4>98f8yk40m1l1vb?9j6183>4}i9;?<6<76f:m62c193:1=v`>245950:5l?4}o04a35=83;pb<<:7;3:e7=zf;=n:94?:0ym5730283j?6sa26g51?6=9rd:>89518c7?xh5?l<=6=4>{o3112<61h?0qc<8e7594?7|f88>;7?6a79~j71b>10;64?f?2we>:k99;295~h6:<=1=4o7;|l13`0f290:wc?=5682=d?{i:>o=h7>51zl2601=90kh7p`=7d4f>5<6sg;99:4>9`f8yk40m?l1<7?tn0063?7>il1vb?9j7183>4}i9;?<6<7nf:m62c093:1=v`>245950:5o?4}o04a25=83;pb<<:7;3:f7=zf;=n;94?:0ym5730283i?6sa26g41?6=9rd:>89518`7?xh5?l==6=4>{o3112<61k?0qc<8e6594?7|f88>;7?6b79~j71b?10;64?e?2we>:k89;295~h6:<=1=4l7;|l13`1f290:wc?=5682=g?{i:>o51zl2601=90hh7p`=7d5f>5<6sg;99:4>9cf8yk40m>l1<7?tn0063?7>jl1vb?9j8183>4}i9;?<6<7mf:m62c?93:1=v`>245950:5n?4}o04a=5=83;pb<<:7;3:g7=zf;=n494?:0ym5730283h?6sa26g;1?6=9rd:>89518a7?xh5?l2=6=4>{o3112<61j?0qc<8e9594?7|f88>;7?6c79~j71b010;64?d?2we>:k79;295~h6:<=1=4m7;|l13`>f290:wc?=5682=f?{i:>o3h7>51zl2601=90ih7p`=7d:f>5<6sg;99:4>9bf8yk40m1l1<7?tn0063?7>kl1vb?9j9183>4}i9;?<6<7lf:m62c>93:1=v`>245950:5i?4}o04a<5=83;pb<<:7;3:`7=zf;=n594?:0ym5730283o?6sa26g:1?6=9rd:>89518f7?xh5?l3=6=4>{o3112<61m?0qc<8e8594?7|f88>;7?6d79~j71b110;64?c?2we>:k69;295~h6:<=1=4j7;|l13`?f290:wc?=5682=a?{i:>o2h7>51zl2601=90nh7p`=7d;f>5<6sg;99:4>9ef8yk40m0l1<7?tn0063?7>ll1vb?9ja183>4}i9;?<6<7kf:m62cf93:1=v`>245950:5h?4}o04ad5=83;pb<<:7;3:a7=zf;=nm94?:0ym5730283n?6sa26gb1?6=9rd:>89518g7?xh5?lk=6=4>{o3112<61l?0qc<8e`594?7|f88>;7?6e79~j71bi10;64?b?2we>:kn9;295~h6:<=1=4k7;|l13`gf290:wc?=5682=`?{i:>ojh7>51zl2601=90oh7p`=7dcf>5<6sg;99:4>9df8yk40mhl1<7?tn0063?7>ml1vb?9jb183>4}i9;?<6<7jf:m62ce93:1=v`>24595<`73td9;hl=:182k75=>0:5k?4}o04ag5=83;pb<<:7;3:b7=zf;=nn94?:0ym5730283m?6sa26ga1?6=9rd:>89518d7?xh5?lh=6=4>{o3112<61o?0qc<8ec594?7|f88>;7?6f79~j71bj10;64?a?2we>:km9;295~h6:<=1=4h7;|l13`df290:wc?=5682=c?{i:>oih7>51zl2601=90lh7p`=7d`f>5<6sg;99:4>9gf8yk40mkl1<7?tn0063?7>nl1vb?9jc183>4}i9;?<6<7if:m62cd93:1=v`>24595d673td9;hm=:182k75=>0:m=?4}o04af5=83;pb<<:7;3b47=zf;=no94?:0ym573028k;?6sa26g`1?6=9rd:>8951`27?xh5?li=6=4>{o3112<6i9?0qc<8eb594?7|f88>;7?n079~j71bk10;64g7?2we>:kl9;295~h6:<=1=l>7;|l13`ef290:wc?=5682e5?{i:>ohh7>51zl2601=9h:h7p`=7daf>5<6sg;99:4>a1f8yk40mjl1<7?tn0063?7f8l1vb?9jd183>4}i9;?<624595d773td9;hj=:182k75=>0:m8951`37?xh5?ln=6=4>{o3112<6i8?0qc<8ee594?7|f88>;7?n179~j71bl10;64g6?2we>:kk9;295~h6:<=1=l?7;|l13`bf290:wc?=5682e4?{i:>ooh7>51zl2601=9h;h7p`=7dff>5<6sg;99:4>a0f8yk40mml1<7?tn0063?7f9l1vb?9je183>4}i9;?<6f:m62cb93:1=v`>24595d473td9;hk=:182k75=>0:m??4}o04a`5=83;pb<<:7;3b67=zf;=ni94?:0ym573028k9?6sa26gf1?6=9rd:>8951`07?xh5?lo=6=4>{o3112<6i;?0qc<8ed594?7|f88>;7?n279~j71bm10;64g5?2we>:kj9;295~h6:<=1=l<7;|l13`cf290:wc?=5682e7?l5rn35faf<728qe=?;8:0c1f>{i:>onh7>51zl2601=9h8h7p`=7dgf>5<6sg;99:4>a3f8yk40mll1<7?tn0063?7f:l1vb?9jf183>4}i9;?<624595d573td9;hh=:182k75=>0:m>?4}o04ac5=83;pb<<:7;3b77=zf;=nj94?:0ym573028k8?6sa26ge1?6=9rd:>8951`17?xh5?ll=6=4>{o3112<6i:?0qc<8eg594?7|f88>;7?n379~j71bn10;64g4?2we>:ki9;295~h6:<=1=l=7;|l13``f290:wc?=5682e6?{i:>omh7>51zl2601=9h9h7p`=7ddf>5<6sg;99:4>a2f8yk40mol1<7?tn0063?7f;l1vb?9i0183>4}i9;?<624595d273td9;k>=:182k75=>0:m9?4}o04b55=83;pb<<:7;3b07=zf;=m<94?:0ym573028k??6sa26d31?6=9rd:>8951`67?xh5?o:=6=4>{o3112<6i=?0qc<8f1594?7|f88>;7?n479~j71a810;64g3?2we>:h?9;295~h6:<=1=l:7;|l13c6f290:wc?=5682e1?{i:>l;h7>51zl2601=9h>h7p`=7g2f>5<6sg;99:4>a5f8yk40n9l1<7?tn0063?7f4}i9;?<624595d373td9;k?=:182k75=>0:m8?4}o04b45=83;pb<<:7;3b17=zf;=m=94?:0ym573028k>?6sa26d21?6=9rd:>8951`77?xh5?o;=6=4>{o3112<6i;7?n579~j71a910;64g2?2we>:h>9;295~h6:<=1=l;7;|l13c7f290:wc?=5682e0?{i:>l:h7>51zl2601=9h?h7p`=7g3f>5<6sg;99:4>a4f8yk40n8l1<7?tn0063?7f=l1vb?9i2183>4}i9;?<624595d073td9;k<=:182k75=>0:m;?4}o04b75=83;pb<<:7;3b27=zf;=m>94?:0ym573028k=?6sa26d11?6=9rd:>8951`47?xh5?o8=6=4>{o3112<6i??0qc<8f3594?7|f88>;7?n679~j71a:10;64g1?2we>:h=9;295~h6:<=1=l87;|l13c4f290:wc?=5682e3?{i:>l9h7>51zl2601=9h5<6sg;99:4>a7f8yk40n;l1<7?tn0063?7f>l1vb?9i3183>4}i9;?<624595d173td9;k==:182k75=>0:m:?4}o04b65=83;pb<<:7;3b37=zf;=m?94?:0ym573028k8951`57?xh5?o9=6=4>{o3112<6i>?0qc<8f2594?7|f88>;7?n779~j71a;10;64g0?2we>:h<9;295~h6:<=1=l97;|l13c5f290:wc?=5682e2?l50;3xj442?3;j;l5rn35e7f<728qe=?;8:0c4f>{i:>l8h7>51zl2601=9h=h7p`=7g1f>5<6sg;99:4>a6f8yk40n:l1<7?tn0063?7f?l1vb?9i4183>4}i9;?<624595d>73td9;k:=:182k75=>0:m5?4}o04b15=83;pb<<:7;3b<7=zf;=m894?:0ym573028k3?6sa26d71?6=9rd:>8951`:7?xh5?o>=6=4>{o3112<6i1?0qc<8f5594?7|f88>;7?n879~j71a<10;64g??2we>:h;9;295~h6:<=1=l67;|l13c2f290:wc?=5682e=?{i:>l?h7>51zl2601=9h2h7p`=7g6f>5<6sg;99:4>a9f8yk40n=l1<7?tn0063?7f0l1vb?9i5183>4}i9;?<624595d?73td9;k;=:182k75=>0:m4?4}o04b05=83;pb<<:7;3b=7=zf;=m994?:0ym573028k2?6sa26d61?6=9rd:>8951`;7?xh5?o?=6=4>{o3112<6i0?0qc<8f4594?7|f88>;7?n979~j71a=10;64g>?2we>:h:9;295~h6:<=1=l77;|l13c3f290:wc?=5682e{i:>l>h7>51zl2601=9h3h7p`=7g7f>5<6sg;99:4>a8f8yk40n4}i9;?<624595dg73td9;k8=:182k75=>0:ml?4}o04b35=83;pb<<:7;3be7=zf;=m:94?:0ym573028kj?6sa26d51?6=9rd:>8951`c7?xh5?o<=6=4>{o3112<6ih?0qc<8f7594?7|f88>;7?na79~j71a>10;64gf?2we>:h99;295~h6:<=1=lo7;|l13c0f290:wc?=5682ed?{i:>l=h7>51zl2601=9hkh7p`=7g4f>5<6sg;99:4>a`f8yk40n?l1<7?tn0063?7fil1vb?9i7183>4}i9;?<624595dd73td9;k9=:182k75=>0:mo?4}o04b25=83;pb<<:7;3bf7=zf;=m;94?:0ym573028ki?6sa26d41?6=9rd:>8951``7?xh5?o==6=4>{o3112<6ik?0qc<8f6594?7|f88>;7?nb79~j71a?10;64ge?2we>:h89;295~h6:<=1=ll7;|l13c1f290:wc?=5682eg?{i:>l51zl2601=9hhh7p`=7g5f>5<6sg;99:4>acf8yk40n>l1<7?tn0063?7fjl1vb?9i8183>4}i9;?<624595de73td9;k6=:182k75=>0:mn?4}o04b=5=83;pb<<:7;3bg7=zf;=m494?:0ym573028kh?6sa26d;1?6=9rd:>8951`a7?xh5?o2=6=4>{o3112<6ij?0qc<8f9594?7|f88>;7?nc79~j71a010;64gd?2we>:h79;295~h6:<=1=lm7;|l13c>f290:wc?=5682ef?{i:>l3h7>51zl2601=9hih7p`=7g:f>5<6sg;99:4>abf8yk40n1l1<7?tn0063?7fkl1vb?9i9183>4}i9;?<693:1=v`>24595db73td9;k7=:182k75=>0:mi?4}o04b<5=83;pb<<:7;3b`7=zf;=m594?:0ym573028ko?6sa26d:1?6=9rd:>8951`f7?xh5?o3=6=4>{o3112<6im?0qc<8f8594?7|f88>;7?nd79~j71a110;64gc?2we>:h69;295~h6:<=1=lj7;|l13c?f290:wc?=5682ea?{i:>l2h7>51zl2601=9hnh7p`=7g;f>5<6sg;99:4>aef8yk40n0l1<7?tn0063?7fll1vb?9ia183>4}i9;?<624595dc73td9;ko=:182k75=>0:mh?4}o04bd5=83;pb<<:7;3ba7=zf;=mm94?:0ym573028kn?6sa26db1?6=9rd:>8951`g7?xh5?ok=6=4>{o3112<6il?0qc<8f`594?7|f88>;7?ne79~j71ai10;64gb?2we>:hn9;295~h6:<=1=lk7;|l13cgf290:wc?=5682e`?{i:>ljh7>51zl2601=9hoh7p`=7gcf>5<6sg;99:4>adf8yk40nhl1<7?tn0063?7fml1vb?9ib183>4}i9;?<624595d`73td9;kl=:182k75=>0:mk?4}o04bg5=83;pb<<:7;3bb7=zf;=mn94?:0ym573028km?6sa26da1?6=9rd:>8951`d7?xh5?oh=6=4>{o3112<6io?0qc<8fc594?7|f88>;7?nf79~j71aj10;64ga?2we>:hm9;295~h6:<=1=lh7;|l13cdf290:wc?=5682ec?{i:>lih7>51zl2601=9hlh7p`=7g`f>5<6sg;99:4>agf8yk40nkl1<7?tn0063?7fnl1vb?9ic183>4}i9;?<624595g673td9;km=:182k75=>0:n=?4}o04bf5=83;pb<<:7;3a47=zf;=mo94?:0ym573028h;?6sa26d`1?6=9rd:>8951c27?xh5?oi=6=4>{o3112<6j9?0qc<8fb594?7|f88>;7?m079~j71ak10;64d7?2we>:hl9;295~h6:<=1=o>7;|l13cef290:wc?=5682f5?{i:>lhh7>51zl2601=9k:h7p`=7gaf>5<6sg;99:4>b1f8yk40njl1<7?tn0063?7e8l1vb?9id183>4}i9;?<624595g773td9;kj=:182k75=>0:n8951c37?xh5?on=6=4>{o3112<6j8?0qc<8fe594?7|f88>;7?m179~j71al10;64d6?2we>:hk9;295~h6:<=1=o?7;|l13cbf290:wc?=5682f4?{i:>loh7>51zl2601=9k;h7p`=7gff>5<6sg;99:4>b0f8yk40nml1<7?tn0063?7e9l1vb?9ie183>4}i9;?<6f:m62`b93:1=v`>24595g473td9;kk=:182k75=>0:n??4}o04b`5=83;pb<<:7;3a67=zf;=mi94?:0ym573028h9?6sa26df1?6=9rd:>8951c07?xh5?oo=6=4>{o3112<6j;?0qc<8fd594?7|f88>;7?m279~j71am10;64d5?2we>:hj9;295~h6:<=1=o<7;|l13ccf290:wc?=5682f7?l5rn35eaf<728qe=?;8:0`1f>{i:>lnh7>51zl2601=9k8h7p`=7ggf>5<6sg;99:4>b3f8yk40nll1<7?tn0063?7e:l1vb?9if183>4}i9;?<624595g573td9;kh=:182k75=>0:n>?4}o04bc5=83;pb<<:7;3a77=zf;=mj94?:0ym573028h8?6sa26de1?6=9rd:>8951c17?xh5?ol=6=4>{o3112<6j:?0qc<8fg594?7|f88>;7?m379~j71an10;64d4?2we>:hi9;295~h6:<=1=o=7;|l13c`f290:wc?=5682f6?{i:>lmh7>51zl2601=9k9h7p`=7gdf>5<6sg;99:4>b2f8yk40nol1<7?tn0063?7e;l1vb?6?0183>4}i9;?<624595g273td94=>=:182k75=>0:n9?4}o0;455=83;pb<<:7;3a07=zf;2;<94?:0ym573028h??6sa29231?6=9rd:>8951c67?xh509:=6=4>{o3112<6j=?0qc<701594?7|f88>;7?m479~j7>7810;64d3?2we>5>?9;295~h6:<=1=o:7;|l1<56f290:wc?=5682f1?{i:1:;h7>51zl2601=9k>h7p`=812f>5<6sg;99:4>b5f8yk4?89l1<7?tn0063?7e4}i9;?<624595g373td94=?=:182k75=>0:n8?4}o0;445=83;pb<<:7;3a17=zf;2;=94?:0ym573028h>?6sa29221?6=9rd:>8951c77?xh509;=6=4>{o3112<6j;7?m579~j7>7910;64d2?2we>5>>9;295~h6:<=1=o;7;|l1<57f290:wc?=5682f0?{i:1::h7>51zl2601=9k?h7p`=813f>5<6sg;99:4>b4f8yk4?88l1<7?tn0063?7e=l1vb?6?2183>4}i9;?<624595g073td94=<=:182k75=>0:n;?4}o0;475=83;pb<<:7;3a27=zf;2;>94?:0ym573028h=?6sa29211?6=9rd:>8951c47?xh5098=6=4>{o3112<6j??0qc<703594?7|f88>;7?m679~j7>7:10;64d1?2we>5>=9;295~h6:<=1=o87;|l1<54f290:wc?=5682f3?{i:1:9h7>51zl2601=9k5<6sg;99:4>b7f8yk4?8;l1<7?tn0063?7e>l1vb?6?3183>4}i9;?<624595g173td94===:182k75=>0:n:?4}o0;465=83;pb<<:7;3a37=zf;2;?94?:0ym573028h8951c57?xh5099=6=4>{o3112<6j>?0qc<702594?7|f88>;7?m779~j7>7;10;64d0?2we>5><9;295~h6:<=1=o97;|l1<55f290:wc?=5682f2?l50;3xj442?3;i;l5rn3:37f<728qe=?;8:0`4f>{i:1:8h7>51zl2601=9k=h7p`=811f>5<6sg;99:4>b6f8yk4?8:l1<7?tn0063?7e?l1vb?6?4183>4}i9;?<624595g>73td94=:=:182k75=>0:n5?4}o0;415=83;pb<<:7;3a<7=zf;2;894?:0ym573028h3?6sa29271?6=9rd:>8951c:7?xh509>=6=4>{o3112<6j1?0qc<705594?7|f88>;7?m879~j7>7<10;64d??2we>5>;9;295~h6:<=1=o67;|l1<52f290:wc?=5682f=?{i:1:?h7>51zl2601=9k2h7p`=816f>5<6sg;99:4>b9f8yk4?8=l1<7?tn0063?7e0l1vb?6?5183>4}i9;?<624595g?73td94=;=:182k75=>0:n4?4}o0;405=83;pb<<:7;3a=7=zf;2;994?:0ym573028h2?6sa29261?6=9rd:>8951c;7?xh509?=6=4>{o3112<6j0?0qc<704594?7|f88>;7?m979~j7>7=10;64d>?2we>5>:9;295~h6:<=1=o77;|l1<53f290:wc?=5682f{i:1:>h7>51zl2601=9k3h7p`=817f>5<6sg;99:4>b8f8yk4?84}i9;?<624595gg73td94=8=:182k75=>0:nl?4}o0;435=83;pb<<:7;3ae7=zf;2;:94?:0ym573028hj?6sa29251?6=9rd:>8951cc7?xh509<=6=4>{o3112<6jh?0qc<707594?7|f88>;7?ma79~j7>7>10;64df?2we>5>99;295~h6:<=1=oo7;|l1<50f290:wc?=5682fd?{i:1:=h7>51zl2601=9kkh7p`=814f>5<6sg;99:4>b`f8yk4?8?l1<7?tn0063?7eil1vb?6?7183>4}i9;?<624595gd73td94=9=:182k75=>0:no?4}o0;425=83;pb<<:7;3af7=zf;2;;94?:0ym573028hi?6sa29241?6=9rd:>8951c`7?xh509==6=4>{o3112<6jk?0qc<706594?7|f88>;7?mb79~j7>7?10;64de?2we>5>89;295~h6:<=1=ol7;|l1<51f290:wc?=5682fg?{i:1:51zl2601=9khh7p`=815f>5<6sg;99:4>bcf8yk4?8>l1<7?tn0063?7ejl1vb?6?8183>4}i9;?<624595ge73td94=6=:182k75=>0:nn?4}o0;4=5=83;pb<<:7;3ag7=zf;2;494?:0ym573028hh?6sa292;1?6=9rd:>8951ca7?xh5092=6=4>{o3112<6jj?0qc<709594?7|f88>;7?mc79~j7>7010;64dd?2we>5>79;295~h6:<=1=om7;|l1<5>f290:wc?=5682ff?{i:1:3h7>51zl2601=9kih7p`=81:f>5<6sg;99:4>bbf8yk4?81l1<7?tn0063?7ekl1vb?6?9183>4}i9;?<693:1=v`>24595gb73td94=7=:182k75=>0:ni?4}o0;4<5=83;pb<<:7;3a`7=zf;2;594?:0ym573028ho?6sa292:1?6=9rd:>8951cf7?xh5093=6=4>{o3112<6jm?0qc<708594?7|f88>;7?md79~j7>7110;64dc?2we>5>69;295~h6:<=1=oj7;|l1<5?f290:wc?=5682fa?{i:1:2h7>51zl2601=9knh7p`=81;f>5<6sg;99:4>bef8yk4?80l1<7?tn0063?7ell1vb?6?a183>4}i9;?<624595gc73td94=o=:182k75=>0:nh?4}o0;4d5=83;pb<<:7;3aa7=zf;2;m94?:0ym573028hn?6sa292b1?6=9rd:>8951cg7?xh509k=6=4>{o3112<6jl?0qc<70`594?7|f88>;7?me79~j7>7i10;64db?2we>5>n9;295~h6:<=1=ok7;|l1<5gf290:wc?=5682f`?{i:1:jh7>51zl2601=9koh7p`=81cf>5<6sg;99:4>bdf8yk4?8hl1<7?tn0063?7eml1vb?6?b183>4}i9;?<624595g`73td94=l=:182k75=>0:nk?4}o0;4g5=83;pb<<:7;3ab7=zf;2;n94?:0ym573028hm?6sa292a1?6=9rd:>8951cd7?xh509h=6=4>{o3112<6jo?0qc<70c594?7|f88>;7?mf79~j7>7j10;64da?2we>5>m9;295~h6:<=1=oh7;|l1<5df290:wc?=5682fc?{i:1:ih7>51zl2601=9klh7p`=81`f>5<6sg;99:4>bgf8yk4?8kl1<7?tn0063?7enl1vb?6?c183>4}i9;?<624595f673td94=m=:182k75=>0:o=?4}o0;4f5=83;pb<<:7;3`47=zf;2;o94?:0ym573028i;?6sa292`1?6=9rd:>8951b27?xh509i=6=4>{o3112<6k9?0qc<70b594?7|f88>;7?l079~j7>7k10;64e7?2we>5>l9;295~h6:<=1=n>7;|l1<5ef290:wc?=5682g5?{i:1:hh7>51zl2601=9j:h7p`=81af>5<6sg;99:4>c1f8yk4?8jl1<7?tn0063?7d8l1vb?6?d183>4}i9;?<624595f773td94=j=:182k75=>0:o8951b37?xh509n=6=4>{o3112<6k8?0qc<70e594?7|f88>;7?l179~j7>7l10;64e6?2we>5>k9;295~h6:<=1=n?7;|l1<5bf290:wc?=5682g4?{i:1:oh7>51zl2601=9j;h7p`=81ff>5<6sg;99:4>c0f8yk4?8ml1<7?tn0063?7d9l1vb?6?e183>4}i9;?<6f:m6=6b93:1=v`>24595f473td94=k=:182k75=>0:o??4}o0;4`5=83;pb<<:7;3`67=zf;2;i94?:0ym573028i9?6sa292f1?6=9rd:>8951b07?xh509o=6=4>{o3112<6k;?0qc<70d594?7|f88>;7?l279~j7>7m10;64e5?2we>5>j9;295~h6:<=1=n<7;|l1<5cf290:wc?=5682g7?l5rn3:3af<728qe=?;8:0a1f>{i:1:nh7>51zl2601=9j8h7p`=81gf>5<6sg;99:4>c3f8yk4?8ll1<7?tn0063?7d:l1vb?6?f183>4}i9;?<624595f573td94=h=:182k75=>0:o>?4}o0;4c5=83;pb<<:7;3`77=zf;2;j94?:0ym573028i8?6sa292e1?6=9rd:>8951b17?xh509l=6=4>{o3112<6k:?0qc<70g594?7|f88>;7?l379~j7>7n10;64e4?2we>5>i9;295~h6:<=1=n=7;|l1<5`f290:wc?=5682g6?{i:1:mh7>51zl2601=9j9h7p`=81df>5<6sg;99:4>c2f8yk4?8ol1<7?tn0063?7d;l1vb?6>0183>4}i9;?<624595f273td94<>=:182k75=>0:o9?4}o0;555=83;pb<<:7;3`07=zf;2:<94?:0ym573028i??6sa29331?6=9rd:>8951b67?xh508:=6=4>{o3112<6k=?0qc<711594?7|f88>;7?l479~j7>6810;64e3?2we>5??9;295~h6:<=1=n:7;|l1<46f290:wc?=5682g1?{i:1;;h7>51zl2601=9j>h7p`=802f>5<6sg;99:4>c5f8yk4?99l1<7?tn0063?7d1183>4}i9;?<624595f373td940:o8?4}o0;545=83;pb<<:7;3`17=zf;2:=94?:0ym573028i>?6sa29321?6=9rd:>8951b77?xh508;=6=4>{o3112<6k;7?l579~j7>6910;64e2?2we>5?>9;295~h6:<=1=n;7;|l1<47f290:wc?=5682g0?{i:1;:h7>51zl2601=9j?h7p`=803f>5<6sg;99:4>c4f8yk4?98l1<7?tn0063?7d=l1vb?6>2183>4}i9;?<624595f073td94<<=:182k75=>0:o;?4}o0;575=83;pb<<:7;3`27=zf;2:>94?:0ym573028i=?6sa29311?6=9rd:>8951b47?xh5088=6=4>{o3112<6k??0qc<713594?7|f88>;7?l679~j7>6:10;64e1?2we>5?=9;295~h6:<=1=n87;|l1<44f290:wc?=5682g3?{i:1;9h7>51zl2601=9j5<6sg;99:4>c7f8yk4?9;l1<7?tn0063?7d>l1vb?6>3183>4}i9;?<624595f173td94<==:182k75=>0:o:?4}o0;565=83;pb<<:7;3`37=zf;2:?94?:0ym573028i8951b57?xh5089=6=4>{o3112<6k>?0qc<712594?7|f88>;7?l779~j7>6;10;64e0?2we>5?<9;295~h6:<=1=n97;|l1<45f290:wc?=5682g2?l50;3xj442?3;h;l5rn3:27f<728qe=?;8:0a4f>{i:1;8h7>51zl2601=9j=h7p`=801f>5<6sg;99:4>c6f8yk4?9:l1<7?tn0063?7d?l1vb?6>4183>4}i9;?<624595f>73td94<:=:182k75=>0:o5?4}o0;515=83;pb<<:7;3`<7=zf;2:894?:0ym573028i3?6sa29371?6=9rd:>8951b:7?xh508>=6=4>{o3112<6k1?0qc<715594?7|f88>;7?l879~j7>6<10;64e??2we>5?;9;295~h6:<=1=n67;|l1<42f290:wc?=5682g=?{i:1;?h7>51zl2601=9j2h7p`=806f>5<6sg;99:4>c9f8yk4?9=l1<7?tn0063?7d0l1vb?6>5183>4}i9;?<624595f?73td94<;=:182k75=>0:o4?4}o0;505=83;pb<<:7;3`=7=zf;2:994?:0ym573028i2?6sa29361?6=9rd:>8951b;7?xh508?=6=4>{o3112<6k0?0qc<714594?7|f88>;7?l979~j7>6=10;64e>?2we>5?:9;295~h6:<=1=n77;|l1<43f290:wc?=5682g{i:1;>h7>51zl2601=9j3h7p`=807f>5<6sg;99:4>c8f8yk4?96183>4}i9;?<624595fg73td94<8=:182k75=>0:ol?4}o0;535=83;pb<<:7;3`e7=zf;2::94?:0ym573028ij?6sa29351?6=9rd:>8951bc7?xh508<=6=4>{o3112<6kh?0qc<717594?7|f88>;7?la79~j7>6>10;64ef?2we>5?99;295~h6:<=1=no7;|l1<40f290:wc?=5682gd?{i:1;=h7>51zl2601=9jkh7p`=804f>5<6sg;99:4>c`f8yk4?9?l1<7?tn0063?7dil1vb?6>7183>4}i9;?<624595fd73td94<9=:182k75=>0:oo?4}o0;525=83;pb<<:7;3`f7=zf;2:;94?:0ym573028ii?6sa29341?6=9rd:>8951b`7?xh508==6=4>{o3112<6kk?0qc<716594?7|f88>;7?lb79~j7>6?10;64ee?2we>5?89;295~h6:<=1=nl7;|l1<41f290:wc?=5682gg?{i:1;51zl2601=9jhh7p`=805f>5<6sg;99:4>ccf8yk4?9>l1<7?tn0063?7djl1vb?6>8183>4}i9;?<624595fe73td94<6=:182k75=>0:on?4}o0;5=5=83;pb<<:7;3`g7=zf;2:494?:0ym573028ih?6sa293;1?6=9rd:>8951ba7?xh5082=6=4>{o3112<6kj?0qc<719594?7|f88>;7?lc79~j7>6010;64ed?2we>5?79;295~h6:<=1=nm7;|l1<4>f290:wc?=5682gf?{i:1;3h7>51zl2601=9jih7p`=80:f>5<6sg;99:4>cbf8yk4?91l1<7?tn0063?7dkl1vb?6>9183>4}i9;?<693:1=v`>24595fb73td94<7=:182k75=>0:oi?4}o0;5<5=83;pb<<:7;3``7=zf;2:594?:0ym573028io?6sa293:1?6=9rd:>8951bf7?xh5083=6=4>{o3112<6km?0qc<718594?7|f88>;7?ld79~j7>6110;64ec?2we>5?69;295~h6:<=1=nj7;|l1<4?f290:wc?=5682ga?{i:1;2h7>51zl2601=9jnh7p`=80;f>5<6sg;99:4>cef8yk4?90l1<7?tn0063?7dll1vb?6>a183>4}i9;?<624595fc73td940:oh?4}o0;5d5=83;pb<<:7;3`a7=zf;2:m94?:0ym573028in?6sa293b1?6=9rd:>8951bg7?xh508k=6=4>{o3112<6kl?0qc<71`594?7|f88>;7?le79~j7>6i10;64eb?2we>5?n9;295~h6:<=1=nk7;|l1<4gf290:wc?=5682g`?{i:1;jh7>51zl2601=9joh7p`=80cf>5<6sg;99:4>cdf8yk4?9hl1<7?tn0063?7dml1vb?6>b183>4}i9;?<624595f`73td940:ok?4}o0;5g5=83;pb<<:7;3`b7=zf;2:n94?:0ym573028im?6sa293a1?6=9rd:>8951bd7?xh508h=6=4>{o3112<6ko?0qc<71c594?7|f88>;7?lf79~j7>6j10;64ea?2we>5?m9;295~h6:<=1=nh7;|l1<4df290:wc?=5682gc?{i:1;ih7>51zl2601=9jlh7p`=80`f>5<6sg;99:4>cgf8yk4?9kl1<7?tn0063?7dnl1vb?6>c183>4}i9;?<624595a673td940:h=?4}o0;5f5=83;pb<<:7;3g47=zf;2:o94?:0ym573028n;?6sa293`1?6=9rd:>8951e27?xh508i=6=4>{o3112<6l9?0qc<71b594?7|f88>;7?k079~j7>6k10;64b7?2we>5?l9;295~h6:<=1=i>7;|l1<4ef290:wc?=5682`5?{i:1;hh7>51zl2601=9m:h7p`=80af>5<6sg;99:4>d1f8yk4?9jl1<7?tn0063?7c8l1vb?6>d183>4}i9;?<624595a773td940:h8951e37?xh508n=6=4>{o3112<6l8?0qc<71e594?7|f88>;7?k179~j7>6l10;64b6?2we>5?k9;295~h6:<=1=i?7;|l1<4bf290:wc?=5682`4?{i:1;oh7>51zl2601=9m;h7p`=80ff>5<6sg;99:4>d0f8yk4?9ml1<7?tn0063?7c9l1vb?6>e183>4}i9;?<6f:m6=7b93:1=v`>24595a473td940:h??4}o0;5`5=83;pb<<:7;3g67=zf;2:i94?:0ym573028n9?6sa293f1?6=9rd:>8951e07?xh508o=6=4>{o3112<6l;?0qc<71d594?7|f88>;7?k279~j7>6m10;64b5?2we>5?j9;295~h6:<=1=i<7;|l1<4cf290:wc?=5682`7?l5rn3:2af<728qe=?;8:0f1f>{i:1;nh7>51zl2601=9m8h7p`=80gf>5<6sg;99:4>d3f8yk4?9ll1<7?tn0063?7c:l1vb?6>f183>4}i9;?<624595a573td940:h>?4}o0;5c5=83;pb<<:7;3g77=zf;2:j94?:0ym573028n8?6sa293e1?6=9rd:>8951e17?xh508l=6=4>{o3112<6l:?0qc<71g594?7|f88>;7?k379~j7>6n10;64b4?2we>5?i9;295~h6:<=1=i=7;|l1<4`f290:wc?=5682`6?{i:1;mh7>51zl2601=9m9h7p`=80df>5<6sg;99:4>d2f8yk4?9ol1<7?tn0063?7c;l1vb?6=0183>4}i9;?<624595a273td94?>=:182k75=>0:h9?4}o0;655=83;pb<<:7;3g07=zf;29<94?:0ym573028n??6sa29031?6=9rd:>8951e67?xh50;:=6=4>{o3112<6l=?0qc<721594?7|f88>;7?k479~j7>5810;64b3?2we>5=l50;3xj442?3;o8l5rn3:14f<728qe=?;8:0f7f>{i:18;h7>51zl2601=9m>h7p`=832f>5<6sg;99:4>d5f8yk4?:9l1<7?tn0063?7c4}i9;?<624595a373td94??=:182k75=>0:h8?4}o0;645=83;pb<<:7;3g17=zf;29=94?:0ym573028n>?6sa29021?6=9rd:>8951e77?xh50;;=6=4>{o3112<6l;7?k579~j7>5910;64b2?2we>5<>9;295~h6:<=1=i;7;|l1<77f290:wc?=5682`0?{i:18:h7>51zl2601=9m?h7p`=833f>5<6sg;99:4>d4f8yk4?:8l1<7?tn0063?7c=l1vb?6=2183>4}i9;?<624595a073td94?<=:182k75=>0:h;?4}o0;675=83;pb<<:7;3g27=zf;29>94?:0ym573028n=?6sa29011?6=9rd:>8951e47?xh50;8=6=4>{o3112<6l??0qc<723594?7|f88>;7?k679~j7>5:10;64b1?2we>5<=9;295~h6:<=1=i87;|l1<74f290:wc?=5682`3??l50;3xj442?3;o:l5rn3:16f<728qe=?;8:0f5f>{i:189h7>51zl2601=9m5<6sg;99:4>d7f8yk4?:;l1<7?tn0063?7c>l1vb?6=3183>4}i9;?<624595a173td94?==:182k75=>0:h:?4}o0;665=83;pb<<:7;3g37=zf;29?94?:0ym573028n8951e57?xh50;9=6=4>{o3112<6l>?0qc<722594?7|f88>;7?k779~j7>5;10;64b0?2we>5<<9;295~h6:<=1=i97;|l1<75f290:wc?=5682`2?>l50;3xj442?3;o;l5rn3:17f<728qe=?;8:0f4f>{i:188h7>51zl2601=9m=h7p`=831f>5<6sg;99:4>d6f8yk4?::l1<7?tn0063?7c?l1vb?6=4183>4}i9;?<624595a>73td94?:=:182k75=>0:h5?4}o0;615=83;pb<<:7;3g<7=zf;29894?:0ym573028n3?6sa29071?6=9rd:>8951e:7?xh50;>=6=4>{o3112<6l1?0qc<725594?7|f88>;7?k879~j7>5<10;64b??2we>5<;9;295~h6:<=1=i67;|l1<72f290:wc?=5682`=?9l50;3xj442?3;o4l5rn3:10f<728qe=?;8:0f;f>{i:18?h7>51zl2601=9m2h7p`=836f>5<6sg;99:4>d9f8yk4?:=l1<7?tn0063?7c0l1vb?6=5183>4}i9;?<624595a?73td94?;=:182k75=>0:h4?4}o0;605=83;pb<<:7;3g=7=zf;29994?:0ym573028n2?6sa29061?6=9rd:>8951e;7?xh50;?=6=4>{o3112<6l0?0qc<724594?7|f88>;7?k979~j7>5=10;64b>?2we>5<:9;295~h6:<=1=i77;|l1<73f290:wc?=5682`8l50;3xj442?3;o5l5rn3:11f<728qe=?;8:0f:f>{i:18>h7>51zl2601=9m3h7p`=837f>5<6sg;99:4>d8f8yk4?:4}i9;?<624595ag73td94?8=:182k75=>0:hl?4}o0;635=83;pb<<:7;3ge7=zf;29:94?:0ym573028nj?6sa29051?6=9rd:>8951ec7?xh50;<=6=4>{o3112<6lh?0qc<727594?7|f88>;7?ka79~j7>5>10;64bf?2we>5<99;295~h6:<=1=io7;|l1<70f290:wc?=5682`d?;l50;3xj442?3;oml5rn3:12f<728qe=?;8:0fbf>{i:18=h7>51zl2601=9mkh7p`=834f>5<6sg;99:4>d`f8yk4?:?l1<7?tn0063?7cil1vb?6=7183>4}i9;?<624595ad73td94?9=:182k75=>0:ho?4}o0;625=83;pb<<:7;3gf7=zf;29;94?:0ym573028ni?6sa29041?6=9rd:>8951e`7?xh50;==6=4>{o3112<6lk?0qc<726594?7|f88>;7?kb79~j7>5?10;64be?2we>5<89;295~h6:<=1=il7;|l1<71f290:wc?=5682`g?:l50;3xj442?3;onl5rn3:13f<728qe=?;8:0faf>{i:1851zl2601=9mhh7p`=835f>5<6sg;99:4>dcf8yk4?:>l1<7?tn0063?7cjl1vb?6=8183>4}i9;?<624595ae73td94?6=:182k75=>0:hn?4}o0;6=5=83;pb<<:7;3gg7=zf;29494?:0ym573028nh?6sa290;1?6=9rd:>8951ea7?xh50;2=6=4>{o3112<6lj?0qc<729594?7|f88>;7?kc79~j7>5010;64bd?2we>5<79;295~h6:<=1=im7;|l1<7>f290:wc?=5682`f?5l50;3xj442?3;ool5rn3:1{i:183h7>51zl2601=9mih7p`=83:f>5<6sg;99:4>dbf8yk4?:1l1<7?tn0063?7ckl1vb?6=9183>4}i9;?<693:1=v`>24595ab73td94?7=:182k75=>0:hi?4}o0;6<5=83;pb<<:7;3g`7=zf;29594?:0ym573028no?6sa290:1?6=9rd:>8951ef7?xh50;3=6=4>{o3112<6lm?0qc<728594?7|f88>;7?kd79~j7>5110;64bc?2we>5<69;295~h6:<=1=ij7;|l1<7?f290:wc?=5682`a?4l50;3xj442?3;ohl5rn3:1=f<728qe=?;8:0fgf>{i:182h7>51zl2601=9mnh7p`=83;f>5<6sg;99:4>def8yk4?:0l1<7?tn0063?7cll1vb?6=a183>4}i9;?<624595ac73td94?o=:182k75=>0:hh?4}o0;6d5=83;pb<<:7;3ga7=zf;29m94?:0ym573028nn?6sa290b1?6=9rd:>8951eg7?xh50;k=6=4>{o3112<6ll?0qc<72`594?7|f88>;7?ke79~j7>5i10;64bb?2we>5ll50;3xj442?3;oil5rn3:1ef<728qe=?;8:0fff>{i:18jh7>51zl2601=9moh7p`=83cf>5<6sg;99:4>ddf8yk4?:hl1<7?tn0063?7cml1vb?6=b183>4}i9;?<624595a`73td94?l=:182k75=>0:hk?4}o0;6g5=83;pb<<:7;3gb7=zf;29n94?:0ym573028nm?6sa290a1?6=9rd:>8951ed7?xh50;h=6=4>{o3112<6lo?0qc<72c594?7|f88>;7?kf79~j7>5j10;64ba?2we>5ol50;3xj442?3;ojl5rn3:1ff<728qe=?;8:0fef>{i:18ih7>51zl2601=9mlh7p`=83`f>5<6sg;99:4>dgf8yk4?:kl1<7?tn0063?7cnl1vb?6=c183>4}i9;?<624595`673td94?m=:182k75=>0:i=?4}o0;6f5=83;pb<<:7;3f47=zf;29o94?:0ym573028o;?6sa290`1?6=9rd:>8951d27?xh50;i=6=4>{o3112<6m9?0qc<72b594?7|f88>;7?j079~j7>5k10;64c7?2we>57;|l1<7ef290:wc?=5682a5?nl50;3xj442?3;n{i:18hh7>51zl2601=9l:h7p`=83af>5<6sg;99:4>e1f8yk4?:jl1<7?tn0063?7b8l1vb?6=d183>4}i9;?<624595`773td94?j=:182k75=>0:i8951d37?xh50;n=6=4>{o3112<6m8?0qc<72e594?7|f88>;7?j179~j7>5l10;64c6?2we>5il50;3xj442?3;n=l5rn3:1`f<728qe=?;8:0g2f>{i:18oh7>51zl2601=9l;h7p`=83ff>5<6sg;99:4>e0f8yk4?:ml1<7?tn0063?7b9l1vb?6=e183>4}i9;?<6f:m6=4b93:1=v`>24595`473td94?k=:182k75=>0:i??4}o0;6`5=83;pb<<:7;3f67=zf;29i94?:0ym573028o9?6sa290f1?6=:rd:>8951d07?xh50;o=6=4={o3112<6m;?0qc<72d594?7|f88>;7?j279~j7>5m10;64c5?2we>5hl50;3xj442?3;n>l5rn3:1af<728qe=?;8:0g1f>{i:18nh7>51zl2601=9l8h7p`=83gf>5<6sg;99:4>e3f8yk4?:ll1<7?tn0063?7b:l1vb?6=f183>4}i9;?<624595`573td94?h=:182k75=>0:i>?4}o0;6c5=83;pb<<:7;3f77=zf;29j94?:0ym573028o8?6sa290e1?6=9rd:>8951d17?xh50;l=6=4>{o3112<6m:?0qc<72g594?7|f88>;7?j379~j7>5n10;64c4?2we>5kl50;3xj442?3;n?l5rn3:1bf<728qe=?;8:0g0f>{i:18mh7>51zl2601=9l9h7p`=83df>5<6sg;99:4>e2f8yk4?:ol1<7?tn0063?7b;l1vb?6<0183>4}i9;?<624595`273td94>>=:182k75=>0:i9?4}o0;755=83;pb<<:7;3f07=zf;28<94?:0ym573028o??6sa29131?6=9rd:>8951d67?xh50::=6=4>{o3112<6m=?0qc<731594?7|f88>;7?j479~j7>4810;64c3?2we>5=?9;295~h6:<=1=h:7;|l1<66f290:wc?=5682a1?{i:19;h7>51zl2601=9l>h7p`=822f>5<6sg;99:4>e5f8yk4?;9l1<7?tn0063?7b4}i9;?<624595`373td94>?=:182k75=>0:i8?4}o0;745=83;pb<<:7;3f17=zf;28=94?:0ym573028o>?6sa29121?6=9rd:>8951d77?xh50:;=6=4>{o3112<6m;7?j579~j7>4910;64c2?2we>5=>9;295~h6:<=1=h;7;|l1<67f290:wc?=5682a0?{i:19:h7>51zl2601=9l?h7p`=823f>5<6sg;99:4>e4f8yk4?;8l1<7?tn0063?7b=l1vb?6<2183>4}i9;?<624595`073td94><=:182k75=>0:i;?4}o0;775=83;pb<<:7;3f27=zf;28>94?:0ym573028o=?6sa29111?6=9rd:>8951d47?xh50:8=6=4>{o3112<6m??0qc<733594?7|f88>;7?j679~j7>4:10;64c1?2we>5==9;295~h6:<=1=h87;|l1<64f290:wc?=5682a3?{i:199h7>51zl2601=9l5<6sg;99:4>e7f8yk4?;;l1<7?tn0063?7b>l1vb?6<3183>4}i9;?<624595`173td94>==:182k75=>0:i:?4}o0;765=83;pb<<:7;3f37=zf;28?94?:0ym573028o8951d57?xh50:9=6=4>{o3112<6m>?0qc<732594?7|f88>;7?j779~j7>4;10;64c0?2we>5=<9;295~h6:<=1=h97;|l1<65f290:wc?=5682a2?l50;3xj442?3;n;l5rn3:07f<728qe=?;8:0g4f>{i:198h7>51zl2601=9l=h7p`=821f>5<6sg;99:4>e6f8yk4?;:l1<7?tn0063?7b?l1vb?6<4183>4}i9;?<624595`>73td94>:=:182k75=>0:i5?4}o0;715=83;pb<<:7;3f<7=zf;28894?:0ym573028o3?6sa29171?6=9rd:>8951d:7?xh50:>=6=4>{o3112<6m1?0qc<735594?7|f88>;7?j879~j7>4<10;64c??2we>5=;9;295~h6:<=1=h67;|l1<62f290:wc?=5682a=?{i:19?h7>51zl2601=9l2h7p`=826f>5<6sg;99:4>e9f8yk4?;=l1<7?tn0063?7b0l1vb?6<5183>4}i9;?<624595`?73td94>;=:182k75=>0:i4?4}o0;705=83;pb<<:7;3f=7=zf;28994?:0ym573028o2?6sa29161?6=9rd:>8951d;7?xh50:?=6=4>{o3112<6m0?0qc<734594?7|f88>;7?j979~j7>4=10;64c>?2we>5=:9;295~h6:<=1=h77;|l1<63f290:wc?=5682a{i:19>h7>51zl2601=9l3h7p`=827f>5<6sg;99:4>e8f8yk4?;4}i9;?<624595`g73td94>8=:182k75=>0:il?4}o0;735=83;pb<<:7;3fe7=zf;28:94?:0ym573028oj?6sa29151?6=9rd:>8951dc7?xh50:<=6=4>{o3112<6mh?0qc<737594?7|f88>;7?ja79~j7>4>10;64cf?2we>5=99;295~h6:<=1=ho7;|l1<60f290:wc?=5682ad?{i:19=h7>51zl2601=9lkh7p`=824f>5<6sg;99:4>e`f8yk4?;?l1<7?tn0063?7bil1vb?6<7183>4}i9;?<624595`d73td94>9=:182k75=>0:io?4}o0;725=83;pb<<:7;3ff7=zf;28;94?:0ym573028oi?6sa29141?6=9rd:>8951d`7?xh50:==6=4>{o3112<6mk?0qc<736594?7|f88>;7?jb79~j7>4?10;64ce?2we>5=89;295~h6:<=1=hl7;|l1<61f290:wc?=5682ag?{i:1951zl2601=9lhh7p`=825f>5<6sg;99:4>ecf8yk4?;>l1<7?tn0063?7bjl1vb?6<8183>4}i9;?<624595`e73td94>6=:182k75=>0:in?4}o0;7=5=83;pb<<:7;3fg7=zf;28494?:0ym573028oh?6sa291;1?6=9rd:>8951da7?xh50:2=6=4>{o3112<6mj?0qc<739594?7|f88>;7?jc79~j7>4010;64cd?2we>5=79;295~h6:<=1=hm7;|l1<6>f290:wc?=5682af?{i:193h7>51zl2601=9lih7p`=82:f>5<6sg;99:4>ebf8yk4?;1l1<7?tn0063?7bkl1vb?6<9183>4}i9;?<693:1=v`>24595`b73td94>7=:182k75=>0:ii?4}o0;7<5=83;pb<<:7;3f`7=zf;28594?:0ym573028oo?6sa291:1?6=9rd:>8951df7?xh50:3=6=4>{o3112<6mm?0qc<738594?7|f88>;7?jd79~j7>4110;64cc?2we>5=69;295~h6:<=1=hj7;|l1<6?f290:wc?=5682aa?{i:192h7>51zl2601=9lnh7p`=82;f>5<6sg;99:4>eef8yk4?;0l1<7?tn0063?7bll1vb?64}i9;?<624595`c73td94>o=:182k75=>0:ih?4}o0;7d5=83;pb<<:7;3fa7=zf;28m94?:0ym573028on?6sa291b1?6=9rd:>8951dg7?xh50:k=6=4>{o3112<6ml?0qc<73`594?7|f88>;7?je79~j7>4i10;64cb?2we>5=n9;295~h6:<=1=hk7;|l1<6gf290:wc?=5682a`?{i:19jh7>51zl2601=9loh7p`=82cf>5<6sg;99:4>edf8yk4?;hl1<7?tn0063?7bml1vb?64}i9;?<624595``73td94>l=:182k75=>0:ik?4}o0;7g5=83;pb<<:7;3fb7=zf;28n94?:0ym573028om?6sa291a1?6=9rd:>8951dd7?xh50:h=6=4>{o3112<6mo?0qc<73c594?7|f88>;7?jf79~j7>4j10;64ca?2we>5=m9;295~h6:<=1=hh7;|l1<6df290:wc?=5682ac?{i:19ih7>51zl2601=9llh7p`=82`f>5<6sg;99:4>egf8yk4?;kl1<7?tn0063?7bnl1vb?64}i9;?<624595c673td94>m=:182k75=>0:j=?4}o0;7f5=83;pb<<:7;3e47=zf;28o94?:0ym573028l;?6sa291`1?6=9rd:>8951g27?xh50:i=6=4>{o3112<6n9?0qc<73b594?7|f88>;7?i079~j7>4k10;64`7?2we>5=l9;295~h6:<=1=k>7;|l1<6ef290:wc?=5682b5?{i:19hh7>51zl2601=9o:h7p`=82af>5<6sg;99:4>f1f8yk4?;jl1<7?tn0063?7a8l1vb?64}i9;?<624595c773td94>j=:182k75=>0:j8951g37?xh50:n=6=4>{o3112<6n8?0qc<73e594?7|f88>;7?i179~j7>4l10;64`6?2we>5=k9;295~h6:<=1=k?7;|l1<6bf290:wc?=5682b4?{i:19oh7>51zl2601=9o;h7p`=82ff>5<6sg;99:4>f0f8yk4?;ml1<7?tn0063?7a9l1vb?64}i9;?<6f:m6=5b93:1=v`>24595c473td94>k=:182k75=>0:j??4}o0;7`5=83;pb<<:7;3e67=zf;28i94?:0ym573028l9?6sa291f1?6=9rd:>8951g07?xh50:o=6=4>{o3112<6n;?0qc<73d594?7|f88>;7?i279~j7>4m10;64`5?2we>5=j9;295~h6:<=1=k<7;|l1<6cf290:wc?=5682b7?l5rn3:0af<728qe=?;8:0d1f>{i:19nh7>51zl2601=9o8h7p`=82gf>5<6sg;99:4>f3f8yk4?;ll1<7?tn0063?7a:l1vb?64}i9;?<624595c573td94>h=:182k75=>0:j>?4}o0;7c5=83;pb<<:7;3e77=zf;28j94?:0ym573028l8?6sa291e1?6=9rd:>8951g17?xh50:l=6=4>{o3112<6n:?0qc<73g594?7|f88>;7?i379~j7>4n10;64`4?2we>5=i9;295~h6:<=1=k=7;|l1<6`f290:wc?=5682b6?{i:19mh7>51zl2601=9o9h7p`=82df>5<6sg;99:4>f2f8yk4?;ol1<7?tn0063?7a;l1vb?6;0183>4}i9;?<624595c273td949>=:182k75=>0:j9?4}o0;055=83;pb<<:7;3e07=zf;2?<94?:0ym573028l??6sa29631?6=9rd:>8951g67?xh50=:=6=4>{o3112<6n=?0qc<741594?7|f88>;7?i479~j7>3810;64`3?2we>5:?9;295~h6:<=1=k:7;|l1<16f290:wc?=5682b1?{i:1>;h7>51zl2601=9o>h7p`=852f>5<6sg;99:4>f5f8yk4?<9l1<7?tn0063?7a4}i9;?<624595c373td949?=:182k75=>0:j8?4}o0;045=83;pb<<:7;3e17=zf;2?=94?:0ym573028l>?6sa29621?6=9rd:>8951g77?xh50=;=6=4>{o3112<6n;7?i579~j7>3910;64`2?2we>5:>9;295~h6:<=1=k;7;|l1<17f290:wc?=5682b0?{i:1>:h7>51zl2601=9o?h7p`=853f>5<6sg;99:4>f4f8yk4?<8l1<7?tn0063?7a=l1vb?6;2183>4}i9;?<624595c073td949<=:182k75=>0:j;?4}o0;075=83;pb<<:7;3e27=zf;2?>94?:0ym573028l=?6sa29611?6=9rd:>8951g47?xh50=8=6=4>{o3112<6n??0qc<743594?7|f88>;7?i679~j7>3:10;64`1?2we>5:=9;295~h6:<=1=k87;|l1<14f290:wc?=5682b3?{i:1>9h7>51zl2601=9o5<6sg;99:4>f7f8yk4?<;l1<7?tn0063?7a>l1vb?6;3183>4}i9;?<624595c173td949==:182k75=>0:j:?4}o0;065=83;pb<<:7;3e37=zf;2??94?:0ym573028l8951g57?xh50=9=6=4>{o3112<6n>?0qc<742594?7|f88>;7?i779~j7>3;10;64`0?2we>5:<9;295~h6:<=1=k97;|l1<15f290:wc?=5682b2?l50;3xj442?3;m;l5rn3:77f<728qe=?;8:0d4f>{i:1>8h7>51zl2601=9o=h7p`=851f>5<6sg;99:4>f6f8yk4?<:l1<7?tn0063?7a?l1vb?6;4183>4}i9;?<624595c>73td949:=:182k75=>0:j5?4}o0;015=83;pb<<:7;3e<7=zf;2?894?:0ym573028l3?6sa29671?6=9rd:>8951g:7?xh50=>=6=4>{o3112<6n1?0qc<745594?7|f88>;7?i879~j7>3<10;64`??2we>5:;9;295~h6:<=1=k67;|l1<12f290:wc?=5682b=?{i:1>?h7>51zl2601=9o2h7p`=856f>5<6sg;99:4>f9f8yk4?<=l1<7?tn0063?7a0l1vb?6;5183>4}i9;?<624595c?73td949;=:182k75=>0:j4?4}o0;005=83;pb<<:7;3e=7=zf;2?994?:0ym573028l2?6sa29661?6=9rd:>8951g;7?xh50=?=6=4>{o3112<6n0?0qc<744594?7|f88>;7?i979~j7>3=10;64`>?2we>5::9;295~h6:<=1=k77;|l1<13f290:wc?=5682b{i:1>>h7>51zl2601=9o3h7p`=857f>5<6sg;99:4>f8f8yk4?<4}i9;?<624595cg73td9498=:182k75=>0:jl?4}o0;035=83;pb<<:7;3ee7=zf;2?:94?:0ym573028lj?6sa29651?6=9rd:>8951gc7?xh50=<=6=4>{o3112<6nh?0qc<747594?7|f88>;7?ia79~j7>3>10;64`f?2we>5:99;295~h6:<=1=ko7;|l1<10f290:wc?=5682bd?{i:1>=h7>51zl2601=9okh7p`=854f>5<6sg;99:4>f`f8yk4?4}i9;?<624595cd73td9499=:182k75=>0:jo?4}o0;025=83;pb<<:7;3ef7=zf;2?;94?:0ym573028li?6sa29641?6=9rd:>8951g`7?xh50===6=4>{o3112<6nk?0qc<746594?7|f88>;7?ib79~j7>3?10;64`e?2we>5:89;295~h6:<=1=kl7;|l1<11f290:wc?=5682bg?{i:1>51zl2601=9ohh7p`=855f>5<6sg;99:4>fcf8yk4?<>l1<7?tn0063?7ajl1vb?6;8183>4}i9;?<624595ce73td9496=:182k75=>0:jn?4}o0;0=5=83;pb<<:7;3eg7=zf;2?494?:0ym573028lh?6sa296;1?6=9rd:>8951ga7?xh50=2=6=4>{o3112<6nj?0qc<749594?7|f88>;7?ic79~j7>3010;64`d?2we>5:79;295~h6:<=1=km7;|l1<1>f290:wc?=5682bf?{i:1>3h7>51zl2601=9oih7p`=85:f>5<6sg;99:4>fbf8yk4?<1l1<7?tn0063?7akl1vb?6;9183>4}i9;?<693:1=v`>24595cb73td9497=:182k75=>0:ji?4}o0;0<5=83;pb<<:7;3e`7=zf;2?594?:0ym573028lo?6sa296:1?6=9rd:>8951gf7?xh50=3=6=4>{o3112<6nm?0qc<748594?7|f88>;7?id79~j7>3110;64`c?2we>5:69;295~h6:<=1=kj7;|l1<1?f290:wc?=5682ba?{i:1>2h7>51zl2601=9onh7p`=85;f>5<6sg;99:4>fef8yk4?<0l1<7?tn0063?7all1vb?6;a183>4}i9;?<624595cc73td949o=:182k75=>0:jh?4}o0;0d5=83;pb<<:7;3ea7=zf;2?m94?:0ym573028ln?6sa296b1?6=9rd:>8951gg7?xh50=k=6=4>{o3112<6nl?0qc<74`594?7|f88>;7?ie79~j7>3i10;64`b?2we>5:n9;295~h6:<=1=kk7;|l1<1gf290:wc?=5682b`?{i:1>jh7>51zl2601=9ooh7p`=85cf>5<6sg;99:4>fdf8yk4?4}i9;?<624595c`73td949l=:182k75=>0:jk?4}o0;0g5=83;pb<<:7;3eb7=zf;2?n94?:0ym573028lm?6sa296a1?6=9rd:>8951gd7?xh50=h=6=4>{o3112<6no?0qc<74c594?7|f88>;7?if79~j7>3j10;64`a?2we>5:m9;295~h6:<=1=kh7;|l1<1df290:wc?=5682bc?{i:1>ih7>51zl2601=9olh7p`=85`f>5<6sg;99:4>fgf8yk4?4}i9;?<6245965673td949m=:182k75=>09<=?4}o0;0f5=83;pb<<:7;0347=zf;2?o94?:0ym57302;:;?6sa296`1?6=9rd:>8952127?xh50=i=6=4>{o3112<589?0qc<74b594?7|f88>;73k10;6767?2we>5:l9;295~h6:<=1>=>7;|l1<1ef290:wc?=568145?{i:1>hh7>51zl2601=:9:h7p`=85af>5<6sg;99:4=01f8yk4?4}i9;?<6?>?f:m6=2c93:1=v`>245965773td949j=:182k75=>09<8952137?xh50=n=6=4>{o3112<588?0qc<74e594?7|f88>;73l10;6766?2we>5:k9;295~h6:<=1>=?7;|l1<1bf290:wc?=568144?{i:1>oh7>51zl2601=:9;h7p`=85ff>5<6sg;99:4=00f8yk4?4}i9;?<6?>>f:m6=2b93:1=v`>245965473td949k=:182k75=>098952107?xh50=o=6=4>{o3112<58;?0qc<74d594?7|f88>;73m10;6765?2we>5:j9;295~h6:<=1>=<7;|l1<1cf290:wc?=568147?l5rn3:7af<728qe=?;8:321f>{i:1>nh7>51zl2601=:98h7p`=85gf>5<6sg;99:4=03f8yk4?4}i9;?<6?>=f:m6=2a93:1=v`>245965573td949h=:182k75=>09<>?4}o0;0c5=83;pb<<:7;0377=zf;2?j94?:0ym57302;:8?6sa296e1?6=9rd:>8952117?xh50=l=6=4>{o3112<58:?0qc<74g594?7|f88>;73n10;6764?2we>5:i9;295~h6:<=1>==7;|l1<1`f290:wc?=568146?{i:1>mh7>51zl2601=:99h7p`=85df>5<6sg;99:4=02f8yk4?4}i9;?<6?>245965273td948>=:182k75=>09<9?4}o0;155=83;pb<<:7;0307=zf;2><94?:0ym57302;:??6sa29731?6=9rd:>8952167?xh50<:=6=4>{o3112<58=?0qc<751594?7|f88>;72810;6763?2we>5;?9;295~h6:<=1>=:7;|l1<06f290:wc?=568141?{i:1?;h7>51zl2601=:9>h7p`=842f>5<6sg;99:4=05f8yk4?=9l1<7?tn0063?474}i9;?<6?>;f:m6=3693:1=v`>245965373td948?=:182k75=>09<8?4}o0;145=83;pb<<:7;0317=zf;2>=94?:0ym57302;:>?6sa29721?6=9rd:>8952177?xh50<;=6=4>{o3112<58;72910;6762?2we>5;>9;295~h6:<=1>=;7;|l1<07f290:wc?=568140?{i:1?:h7>51zl2601=:9?h7p`=843f>5<6sg;99:4=04f8yk4?=8l1<7?tn0063?47=l1vb?6:2183>4}i9;?<6?>:f:m6=3593:1=v`>245965073td948<=:182k75=>09<;?4}o0;175=83;pb<<:7;0327=zf;2>>94?:0ym57302;:=?6sa29711?6=9rd:>8952147?xh50<8=6=4>{o3112<58??0qc<753594?7|f88>;72:10;6761?2we>5;=9;295~h6:<=1>=87;|l1<04f290:wc?=568143?{i:1?9h7>51zl2601=:95<6sg;99:4=07f8yk4?=;l1<7?tn0063?47>l1vb?6:3183>4}i9;?<6?>9f:m6=3493:1=v`>245965173td948==:182k75=>09<:?4}o0;165=83;pb<<:7;0337=zf;2>?94?:0ym57302;:8952157?xh50<9=6=4>{o3112<58>?0qc<752594?7|f88>;72;10;6760?2we>5;<9;295~h6:<=1>=97;|l1<05f290:wc?=568142?l50;3xj442?38;;l5rn3:67f<728qe=?;8:324f>{i:1?8h7>51zl2601=:9=h7p`=841f>5<6sg;99:4=06f8yk4?=:l1<7?tn0063?47?l1vb?6:4183>4}i9;?<6?>8f:m6=3393:1=v`>245965>73td948:=:182k75=>09<5?4}o0;115=83;pb<<:7;03<7=zf;2>894?:0ym57302;:3?6sa29771?6=9rd:>89521:7?xh50<>=6=4>{o3112<581?0qc<755594?7|f88>;72<10;676??2we>5;;9;295~h6:<=1>=67;|l1<02f290:wc?=56814=?{i:1??h7>51zl2601=:92h7p`=846f>5<6sg;99:4=09f8yk4?==l1<7?tn0063?470l1vb?6:5183>4}i9;?<6?>7f:m6=3293:1=v`>245965?73td948;=:182k75=>09<4?4}o0;105=83;pb<<:7;03=7=zf;2>994?:0ym57302;:2?6sa29761?6=9rd:>89521;7?xh50{o3112<580?0qc<754594?7|f88>;72=10;676>?2we>5;:9;295~h6:<=1>=77;|l1<03f290:wc?=56814{i:1?>h7>51zl2601=:93h7p`=847f>5<6sg;99:4=08f8yk4?=4}i9;?<6?>6f:m6=3193:1=v`>245965g73td9488=:182k75=>09:94?:0ym57302;:j?6sa29751?6=9rd:>89521c7?xh50<<=6=4>{o3112<58h?0qc<757594?7|f88>;72>10;676f?2we>5;99;295~h6:<=1>=o7;|l1<00f290:wc?=56814d?{i:1?=h7>51zl2601=:9kh7p`=844f>5<6sg;99:4=0`f8yk4?=?l1<7?tn0063?47il1vb?6:7183>4}i9;?<6?>nf:m6=3093:1=v`>245965d73td9489=:182k75=>09;94?:0ym57302;:i?6sa29741?6=9rd:>89521`7?xh50<==6=4>{o3112<58k?0qc<756594?7|f88>;72?10;676e?2we>5;89;295~h6:<=1>=l7;|l1<01f290:wc?=56814g?{i:1?51zl2601=:9hh7p`=845f>5<6sg;99:4=0cf8yk4?=>l1<7?tn0063?47jl1vb?6:8183>4}i9;?<6?>mf:m6=3?93:1=v`>245965e73td9486=:182k75=>09494?:0ym57302;:h?6sa297;1?6=9rd:>89521a7?xh50<2=6=4>{o3112<58j?0qc<759594?7|f88>;72010;676d?2we>5;79;295~h6:<=1>=m7;|l1<0>f290:wc?=56814f?{i:1?3h7>51zl2601=:9ih7p`=84:f>5<6sg;99:4=0bf8yk4?=1l1<7?tn0063?47kl1vb?6:9183>4}i9;?<6?>lf:m6=3>93:1=v`>245965b73td9487=:182k75=>09594?:0ym57302;:o?6sa297:1?6=9rd:>89521f7?xh50<3=6=4>{o3112<58m?0qc<758594?7|f88>;72110;676c?2we>5;69;295~h6:<=1>=j7;|l1<0?f290:wc?=56814a?{i:1?2h7>51zl2601=:9nh7p`=84;f>5<6sg;99:4=0ef8yk4?=0l1<7?tn0063?47ll1vb?6:a183>4}i9;?<6?>kf:m6=3f93:1=v`>245965c73td948o=:182k75=>09m94?:0ym57302;:n?6sa297b1?6=9rd:>89521g7?xh50{o3112<58l?0qc<75`594?7|f88>;72i10;676b?2we>5;n9;295~h6:<=1>=k7;|l1<0gf290:wc?=56814`?{i:1?jh7>51zl2601=:9oh7p`=84cf>5<6sg;99:4=0df8yk4?=hl1<7?tn0063?47ml1vb?6:b183>4}i9;?<6?>jf:m6=3e93:1=v`>245965`73td948l=:182k75=>09n94?:0ym57302;:m?6sa297a1?6=9rd:>89521d7?xh50{o3112<58o?0qc<75c594?7|f88>;72j10;676a?2we>5;m9;295~h6:<=1>=h7;|l1<0df290:wc?=56814c?{i:1?ih7>51zl2601=:9lh7p`=84`f>5<6sg;99:4=0gf8yk4?=kl1<7?tn0063?47nl1vb?6:c183>4}i9;?<6?>if:m6=3d93:1=v`>245964673td948m=:182k75=>09==?4}o0;1f5=83;pb<<:7;0247=zf;2>o94?:0ym57302;;;?6sa297`1?6=9rd:>8952027?xh50{o3112<599?0qc<75b594?7|f88>;7<>079~j7>2k10;6777?2we>5;l9;295~h6:<=1><>7;|l1<0ef290:wc?=568155?{i:1?hh7>51zl2601=:8:h7p`=84af>5<6sg;99:4=11f8yk4?=jl1<7?tn0063?468l1vb?6:d183>4}i9;?<6???f:m6=3c93:1=v`>245964773td948j=:182k75=>09=h94?:0ym57302;;:?6sa297g1?6=9rd:>8952037?xh50{o3112<598?0qc<75e594?7|f88>;7<>179~j7>2l10;6776?2we>5;k9;295~h6:<=1>{i:1?oh7>51zl2601=:8;h7p`=84ff>5<6sg;99:4=10f8yk4?=ml1<7?tn0063?469l1vb?6:e183>4}i9;?<6??>f:m6=3b93:1=v`>245964473td948k=:182k75=>09=??4}o0;1`5=83;pb<<:7;0267=zf;2>i94?:0ym57302;;9?6sa297f1?6=9rd:>8952007?xh50{o3112<59;?0qc<75d594?7|f88>;7<>279~j7>2m10;6775?2we>5;j9;295~h6:<=1><<7;|l1<0cf290:wc?=568157?l5rn3:6af<728qe=?;8:331f>{i:1?nh7>51zl2601=:88h7p`=84gf>5<5sg;99:4=13f8yk4?=ll1<7?tn0063?46:l1vb?6:f183>4}i9;?<6??=f:m6=3a93:1=v`>245964573td948h=:182k75=>09=>?4}o0;1c5=83;pb<<:7;0277=zf;2>j94?:0ym57302;;8?6sa297e1?6=9rd:>8952017?xh50{o3112<59:?0qc<75g594?7|f88>;7<>379~j7>2n10;6774?2we>5;i9;295~h6:<=1><=7;|l1<0`f290:wc?=568156?{i:1?mh7>51zl2601=:89h7p`=84df>5<6sg;99:4=12f8yk4?=ol1<7?tn0063?46;l1vb?690183>4}i9;?<6??245964273td94;>=:182k75=>09=9?4}o0;255=83;pb<<:7;0207=zf;2=<94?:0ym57302;;??6sa29431?6=9rd:>8952067?xh50?:=6=4>{o3112<59=?0qc<761594?7|f88>;7<>479~j7>1810;6773?2we>58?9;295~h6:<=1><:7;|l1<36f290:wc?=568151?{i:1<;h7>51zl2601=:8>h7p`=872f>5<6sg;99:4=15f8yk4?>9l1<7?tn0063?464}i9;?<6??;f:m6=0693:1=v`>245964373td94;?=:182k75=>09=8?4}o0;245=83;pb<<:7;0217=zf;2==94?:0ym57302;;>?6sa29421?6=9rd:>8952077?xh50?;=6=4>{o3112<59;7<>579~j7>1910;6772?2we>58>9;295~h6:<=1><;7;|l1<37f290:wc?=568150?{i:1<:h7>51zl2601=:8?h7p`=873f>5<6sg;99:4=14f8yk4?>8l1<7?tn0063?46=l1vb?692183>4}i9;?<6??:f:m6=0593:1=v`>245964073td94;<=:182k75=>09=;?4}o0;275=83;pb<<:7;0227=zf;2=>94?:0ym57302;;=?6sa29411?6=9rd:>8952047?xh50?8=6=4>{o3112<59??0qc<763594?4|f88>;7<>679~j7>1:10;6771?2we>58=9;295~h6:<=1><87;|l1<34f290:wc?=568153?{i:1<9h7>51zl2601=:85<6sg;99:4=17f8yk4?>;l1<7l1vb?693183>4}i9;?<6??9f:m6=0493:1=v`>245964173td94;==:182k75=>09=:?4}o0;265=83;pb<<:7;0237=zf;2=?94?:0ym57302;;8952057?xh50?9=6=4>{o3112<59>?0qc<762594?7|f88>;7<>779~j7>1;10;6770?2we>58<9;295~h6:<=1><97;|l1<35f290:wc?=568152?l50;3xj442?38:;l5rn3:57f<728qe=?;8:334f>{i:1<8h7>51zl2601=:8=h7p`=871f>5<6sg;99:4=16f8yk4?>:l1<7?tn0063?46?l1vb?694183>4}i9;?<6??8f:m6=0393:1=v`>245964>73td94;:=:182k75=>09=5?4}o0;215=83;pb<<:7;02<7=zf;2=894?:0ym57302;;3?6sa29471?6=9rd:>89520:7?xh50?>=6=4>{o3112<591?0qc<765594?7|f88>;7<>879~j7>1<10;677??2we>58;9;295~h6:<=1><67;|l1<32f290:wc?=56815=?{i:151zl2601=:82h7p`=876f>5<6sg;99:4=19f8yk4?>=l1<7?tn0063?460l1vb?695183>4}i9;?<6??7f:m6=0293:1=v`>245964?73td94;;=:182k75=>09=4?4}o0;205=83;pb<<:7;02=7=zf;2=994?:0ym57302;;2?6sa29461?6=:rd:>89520;7?xh50??=6=4>{o3112<590?0qc<764594?7|f88>;7<>979~j7>1=10;677>?2we>58:9;295~h6:<=1><77;|l1<33f290:wc?=56815{i:1<>h7>51zl2601=:83h7p`=877f>5<5sg;99:4=18f8yk4?>4}i9;?<6??6f:m6=0193:1=v`>245964g73td94;8=:182k75=>09=l?4}o0;235=83;pb<<:7;02e7=zf;2=:94?:0ym57302;;j?6sa29451?6=9rd:>89520c7?xh50?<=6=4>{o3112<59h?0qc<767594?4|f88>;7<>a79~j7>1>10;677f?2we>5899;295~h6:<=1>{i:1<=h7>51zl2601=:8kh7p`=874f>5<6sg;99:4=1`f8yk4?>?l1<7?tn0063?46il1vb?697183>7}i9;?<6??nf:m6=0093:1=v`>245964d73td94;9=:182k75=>09=o?4}o0;225=83;pb<<:7;02f7=zf;2=;94?:0ym57302;;i?6sa29441?6=9rd:>89520`7?xh50?==6=4>{o3112<59k?0qc<766594?7|f88>;7<>b79~j7>1?10;677e?2we>5889;296~h6:<=1>{i:1<51zl2601=:8hh7p`=875f>5<6sg;99:4=1cf8yk4?>>l1<7?tn0063?46jl1vb?698183>4}i9;?<6??mf:m6=0?93:1=v`>245964e73td94;6=:181k75=>09=n?4}o0;2=5=83;pb<<:7;02g7=zf;2=494?:0ym57302;;h?6sa294;1?6=9rd:>89520a7?xh50?2=6=4>{o3112<59j?0qc<769594?7|f88>;7<>c79~j7>1010;677d?2we>5879;295~h6:<=1>f290:wc?=56815f?{i:1<3h7>51zl2601=:8ih7p`=87:f>5<6sg;99:4=1bf8yk4?>1l1<7?tn0063?46kl1vb?699183>4}i9;?<6??lf:m6=0>93:1=v`>245964b73td94;7=:182k75=>09=i?4}o0;2<5=83;pb<<:7;02`7=zf;2=594?:0ym57302;;o?6sa294:1?6=9rd:>89520f7?xh50?3=6=4>{o3112<59m?0qc<768594?7|f88>;7<>d79~j7>1110;677c?2we>5869;295~h6:<=1>{i:1<2h7>51zl2601=:8nh7p`=87;f>5<6sg;99:4=1ef8yk4?>0l1<7?tn0063?46ll1vb?69a183>4}i9;?<6??kf:m6=0f93:1=v`>245964c73td94;o=:181k75=>09=h?4}o0;2d5=83;pb<<:7;02a7=zf;2=m94?:0ym57302;;n?6sa294b1?6=9rd:>89520g7?xh50?k=6=4>{o3112<59l?0qc<76`594?7|f88>;7<>e79~j7>1i10;677b?2we>58n9;295~h6:<=1>{i:151zl2601=:8oh7p`=87cf>5<6sg;99:4=1df8yk4?>hl1<7?tn0063?46ml1vb?69b183>4}i9;?<6??jf:m6=0e93:1=v`>245964`73td94;l=:182k75=>09=k?4}o0;2g5=83;pb<<:7;02b7=zf;2=n94?:0ym57302;;m?6sa294a1?6=9rd:>89520d7?xh50?h=6=4>{o3112<59o?0qc<76c594?7|f88>;7<>f79~j7>1j10;677a?2we>58m9;295~h6:<=1>{i:152zl2601=:8lh7p`=87`f>5<6sg;99:4=1gf8yk4?>kl1<7?tn0063?46nl1vb?69c183>4}i9;?<6??if:m6=0d93:1=v`>245967673td94;m=:182k75=>09>=?4}o0;2f5=83;pb<<:7;0147=zf;2=o94?:0ym57302;8;?6sa294`1?6=9rd:>8952327?xh50?i=6=4>{o3112<5:9?0qc<76b594?7|f88>;7<=079~j7>1k10;6747?2we>58l9;295~h6:<=1>?>7;|l1<3ef290:wc?=568165?{i:151zl2601=:;:h7p`=87af>5<6sg;99:4=21f8yk4?>jl1<7?tn0063?458l1vb?69d183>4}i9;?<6?245967773td94;j=:182k75=>09>8952337?xh50?n=6=4>{o3112<5:8?0qc<76e594?7|f88>;7<=179~j7>1l10;6746?2we>58k9;295~h6:<=1>??7;|l1<3bf290:wc?=568164?{i:151zl2601=:;;h7p`=87ff>5<6sg;99:4=20f8yk4?>ml1<7?tn0063?459l1vb?69e183>4}i9;?<6?<>f:m6=0b93:1=v`>245967473td94;k=:182k75=>09>??4}o0;2`5=83;pb<<:7;0167=zf;2=i94?:0ym57302;89?6sa294f1?6=9rd:>8952307?xh50?o=6=4>{o3112<5:;?0qc<76d594?7|f88>;7<=279~j7>1m10;6745?2we>58j9;295~h6:<=1>?<7;|l1<3cf290:wc?=568167?l5rn3:5af<728qe=?;8:301f>{i:151zl2601=:;8h7p`=87gf>5<6sg;99:4=23f8yk4?>ll1<7?tn0063?45:l1vb?69f183>4}i9;?<6?<=f:m6=0a93:1=v`>245967573td94;h=:182k75=>09>>?4}o0;2c5=83;pb<<:7;0177=zf;2=j94?:0ym57302;88?6sa294e1?6=9rd:>8952317?xh50?l=6=4>{o3112<5::?0qc<76g594?7|f88>;7<=379~j7>1n10;6744?2we>58i9;295~h6:<=1>?=7;|l1<3`f290:wc?=568166?{i:151zl2601=:;9h7p`=87df>5<6sg;99:4=22f8yk4?>ol1<7?tn0063?45;l1vb?680183>4}i9;?<6?<245967273td94:>=:182k75=>09>9?4}o0;355=83;pb<<:7;0107=zf;2<<94?:0ym57302;8??6sa29531?6=9rd:>8952367?xh50>:=6=4>{o3112<5:=?0qc<771594?7|f88>;7<=479~j7>0810;6743?2we>59?9;295~h6:<=1>?:7;|l1<26f290:wc?=568161?{i:1=;h7>51zl2601=:;>h7p`=862f>5<6sg;99:4=25f8yk4??9l1<7?tn0063?454}i9;?<6?<;f:m6=1693:1=v`>245967373td94:?=:182k75=>09>8?4}o0;345=83;pb<<:7;0117=zf;2<=94?:0ym57302;8>?6sa29521?6=9rd:>8952377?xh50>;=6=4>{o3112<5:;7<=579~j7>0910;6742?2we>59>9;295~h6:<=1>?;7;|l1<27f290:wc?=568160?{i:1=:h7>51zl2601=:;?h7p`=863f>5<6sg;99:4=24f8yk4??8l1<7?tn0063?45=l1vb?682183>4}i9;?<6?<:f:m6=1593:1=v`>245967073td94:<=:182k75=>09>;?4}o0;375=83;pb<<:7;0127=zf;2<>94?:0ym57302;8=?6sa29511?6=9rd:>8952347?xh50>8=6=4>{o3112<5:??0qc<773594?7|f88>;7<=679~j7>0:10;6741?2we>59=9;295~h6:<=1>?87;|l1<24f290:wc?=568163?{i:1=9h7>51zl2601=:;5<6sg;99:4=27f8yk4??;l1<7?tn0063?45>l1vb?683183>4}i9;?<6?<9f:m6=1493:1=v`>245967173td94:==:182k75=>09>:?4}o0;365=83;pb<<:7;0137=zf;28952357?xh50>9=6=4>{o3112<5:>?0qc<772594?7|f88>;7<=779~j7>0;10;6740?2we>59<9;295~h6:<=1>?97;|l1<25f290:wc?=568162?l50;3xj442?389;l5rn3:47f<728qe=?;8:304f>{i:1=8h7>51zl2601=:;=h7p`=861f>5<6sg;99:4=26f8yk4??:l1<7?tn0063?45?l1vb?684183>4}i9;?<6?<8f:m6=1393:1=v`>245967>73td94::=:182k75=>09>5?4}o0;315=83;pb<<:7;01<7=zf;2<894?:0ym57302;83?6sa29571?6=9rd:>89523:7?xh50>>=6=4>{o3112<5:1?0qc<775594?7|f88>;7<=879~j7>0<10;674??2we>59;9;295~h6:<=1>?67;|l1<22f290:wc?=56816=?{i:1=?h7>51zl2601=:;2h7p`=866f>5<6sg;99:4=29f8yk4??=l1<7?tn0063?450l1vb?685183>4}i9;?<6?<7f:m6=1293:1=v`>245967?73td94:;=:182k75=>09>4?4}o0;305=83;pb<<:7;01=7=zf;2<994?:0ym57302;82?6sa29561?6=9rd:>89523;7?xh50>?=6=4>{o3112<5:0?0qc<774594?7|f88>;7<=979~j7>0=10;674>?2we>59:9;295~h6:<=1>?77;|l1<23f290:wc?=56816{i:1=>h7>51zl2601=:;3h7p`=867f>5<6sg;99:4=28f8yk4??4}i9;?<6?<6f:m6=1193:1=v`>245967g73td94:8=:182k75=>09>l?4}o0;335=83;pb<<:7;01e7=zf;2<:94?:0ym57302;8j?6sa29551?6=9rd:>89523c7?xh50><=6=4>{o3112<5:h?0qc<777594?7|f88>;7<=a79~j7>0>10;674f?2we>5999;295~h6:<=1>?o7;|l1<20f290:wc?=56816d?{i:1==h7>51zl2601=:;kh7p`=864f>5<6sg;99:4=2`f8yk4???l1<7?tn0063?45il1vb?687183>4}i9;?<6?245967d73td94:9=:182k75=>09>o?4}o0;325=83;pb<<:7;01f7=zf;2<;94?:0ym57302;8i?6sa29541?6=9rd:>89523`7?xh50>==6=4>{o3112<5:k?0qc<776594?7|f88>;7<=b79~j7>0?10;674e?2we>5989;295~h6:<=1>?l7;|l1<21f290:wc?=56816g?{i:1=51zl2601=:;hh7p`=865f>5<6sg;99:4=2cf8yk4??>l1<7?tn0063?45jl1vb?688183>4}i9;?<6?245967e73td94:6=:182k75=>09>n?4}o0;3=5=83;pb<<:7;01g7=zf;2<494?:0ym57302;8h?6sa295;1?6=9rd:>89523a7?xh50>2=6=4>{o3112<5:j?0qc<779594?7|f88>;7<=c79~j7>0010;674d?2we>5979;295~h6:<=1>?m7;|l1<2>f290:wc?=56816f?{i:1=3h7>51zl2601=:;ih7p`=86:f>5<6sg;99:4=2bf8yk4??1l1<7?tn0063?45kl1vb?689183>4}i9;?<6?93:1=v`>245967b73td94:7=:182k75=>09>i?4}o0;3<5=83;pb<<:7;01`7=zf;2<594?:0ym57302;8o?6sa295:1?6=9rd:>89523f7?xh50>3=6=4>{o3112<5:m?0qc<778594?7|f88>;7<=d79~j7>0110;674c?2we>5969;295~h6:<=1>?j7;|l1<2?f290:wc?=56816a?{i:1=2h7>51zl2601=:;nh7p`=86;f>5<6sg;99:4=2ef8yk4??0l1<7?tn0063?45ll1vb?68a183>4}i9;?<6?245967c73td94:o=:182k75=>09>h?4}o0;3d5=83;pb<<:7;01a7=zf;289523g7?xh50>k=6=4>{o3112<5:l?0qc<77`594?7|f88>;7<=e79~j7>0i10;674b?2we>59n9;295~h6:<=1>?k7;|l1<2gf290:wc?=56816`?{i:1=jh7>51zl2601=:;oh7p`=86cf>5<6sg;99:4=2df8yk4??hl1<7?tn0063?45ml1vb?68b183>4}i9;?<6?245967`73td94:l=:182k75=>09>k?4}o0;3g5=83;pb<<:7;01b7=zf;289523d7?xh50>h=6=4>{o3112<5:o?0qc<77c594?7|f88>;7<=f79~j7>0j10;674a?2we>59m9;295~h6:<=1>?h7;|l1<2df290:wc?=56816c?{i:1=ih7>51zl2601=:;lh7p`=86`f>5<6sg;99:4=2gf8yk4??kl1<7?tn0063?45nl1vb?68c183>4}i9;?<6?245966673td94:m=:182k75=>09?=?4}o0;3f5=83;pb<<:7;0047=zf;28952227?xh50>i=6=4>{o3112<5;9?0qc<77b594?7|f88>;7<<079~j7>0k10;6757?2we>59l9;295~h6:<=1>>>7;|l1<2ef290:wc?=568175?{i:1=hh7>51zl2601=:::h7p`=86af>5<6sg;99:4=31f8yk4??jl1<7?tn0063?448l1vb?68d183>4}i9;?<6?=?f:m6=1c93:1=v`>245966773td94:j=:182k75=>09?8952237?xh50>n=6=4>{o3112<5;8?0qc<77e594?7|f88>;7<<179~j7>0l10;6756?2we>59k9;295~h6:<=1>>?7;|l1<2bf290:wc?=568174?{i:1=oh7>51zl2601=::;h7p`=86ff>5<6sg;99:4=30f8yk4??ml1<7?tn0063?449l1vb?68e183>4}i9;?<6?=>f:m6=1b93:1=v`>245966473td94:k=:182k75=>09???4}o0;3`5=83;pb<<:7;0067=zf;28952207?xh50>o=6=4>{o3112<5;;?0qc<77d594?7|f88>;7<<279~j7>0m10;6755?2we>59j9;295~h6:<=1>><7;|l1<2cf290:wc?=568177?l5rn3:4af<728qe=?;8:311f>{i:1=nh7>51zl2601=::8h7p`=86gf>5<6sg;99:4=33f8yk4??ll1<7?tn0063?44:l1vb?68f183>4}i9;?<6?==f:m6=1a93:1=v`>245966573td94:h=:182k75=>09?>?4}o0;3c5=83;pb<<:7;0077=zf;28952217?xh50>l=6=4>{o3112<5;:?0qc<77g594?7|f88>;7<<379~j7>0n10;6754?2we>59i9;295~h6:<=1>>=7;|l1<2`f290:wc?=568176?{i:1=mh7>51zl2601=::9h7p`=86df>5<6sg;99:4=32f8yk4??ol1<7?tn0063?44;l1vb?670183>4}i9;?<6?=793:1=v`>245966273td945>=:182k75=>09?9?4}o0;<55=83;pb<<:7;0007=zf;23<94?:0ym57302;9??6sa29:31?6=9rd:>8952267?xh501:=6=4>{o3112<5;=?0qc<781594?7|f88>;7<<479~j7>?810;6753?2we>56?9;295~h6:<=1>>:7;|l1<=6f290:wc?=568171?{i:12;h7>51zl2601=::>h7p`=892f>5<6sg;99:4=35f8yk4?09l1<7?tn0063?444}i9;?<6?=;f:m6=>693:1=v`>245966373td945?=:182k75=>09?8?4}o0;<45=83;pb<<:7;0017=zf;23=94?:0ym57302;9>?6sa29:21?6=9rd:>8952277?xh501;=6=4>{o3112<5;;7<<579~j7>?910;6752?2we>56>9;295~h6:<=1>>;7;|l1<=7f290:wc?=568170?{i:12:h7>51zl2601=::?h7p`=893f>5<6sg;99:4=34f8yk4?08l1<7?tn0063?44=l1vb?672183>4}i9;?<6?=:f:m6=>593:1=v`>245966073td945<=:182k75=>09?;?4}o0;<75=83;pb<<:7;0027=zf;23>94?:0ym57302;9=?6sa29:11?6=9rd:>8952247?xh5018=6=4>{o3112<5;??0qc<783594?7|f88>;7<<679~j7>?:10;6751?2we>56=9;295~h6:<=1>>87;|l1<=4f290:wc?=568173?{i:129h7>51zl2601=::5<6sg;99:4=37f8yk4?0;l1<7?tn0063?44>l1vb?673183>4}i9;?<6?=9f:m6=>493:1=v`>245966173td945==:182k75=>09?:?4}o0;<65=83;pb<<:7;0037=zf;23?94?:0ym57302;98952257?xh5019=6=4>{o3112<5;>?0qc<782594?7|f88>;7<<779~j7>?;10;6750?2we>56<9;295~h6:<=1>>97;|l1<=5f290:wc?=568172?l50;3xj442?388;l5rn3:;7f<728qe=?;8:314f>{i:128h7>51zl2601=::=h7p`=891f>5<6sg;99:4=36f8yk4?0:l1<7?tn0063?44?l1vb?674183>4}i9;?<6?=8f:m6=>393:1=v`>245966>73td945:=:182k75=>09?5?4}o0;<15=83;pb<<:7;00<7=zf;23894?:0ym57302;93?6sa29:71?6=9rd:>89522:7?xh501>=6=4>{o3112<5;1?0qc<785594?7|f88>;7<<879~j7>?<10;675??2we>56;9;295~h6:<=1>>67;|l1<=2f290:wc?=56817=?{i:12?h7>51zl2601=::2h7p`=896f>5<6sg;99:4=39f8yk4?0=l1<7?tn0063?440l1vb?675183>4}i9;?<6?=7f:m6=>293:1=v`>245966?73td945;=:182k75=>09?4?4}o0;<05=83;pb<<:7;00=7=zf;23994?:0ym57302;92?6sa29:61?6=9rd:>89522;7?xh501?=6=4>{o3112<5;0?0qc<784594?7|f88>;7<<979~j7>?=10;675>?2we>56:9;295~h6:<=1>>77;|l1<=3f290:wc?=56817{i:12>h7>51zl2601=::3h7p`=897f>5<6sg;99:4=38f8yk4?04}i9;?<6?=6f:m6=>193:1=v`>245966g73td9458=:182k75=>09?l?4}o0;<35=83;pb<<:7;00e7=zf;23:94?:0ym57302;9j?6sa29:51?6=9rd:>89522c7?xh501<=6=4>{o3112<5;h?0qc<787594?7|f88>;7<?>10;675f?2we>5699;295~h6:<=1>>o7;|l1<=0f290:wc?=56817d?{i:12=h7>51zl2601=::kh7p`=894f>5<6sg;99:4=3`f8yk4?0?l1<7?tn0063?44il1vb?677183>4}i9;?<6?=nf:m6=>093:1=v`>245966d73td9459=:182k75=>09?o?4}o0;<25=83;pb<<:7;00f7=zf;23;94?:0ym57302;9i?6sa29:41?6=9rd:>89522`7?xh501==6=4>{o3112<5;k?0qc<786594?7|f88>;7<??10;675e?2we>5689;295~h6:<=1>>l7;|l1<=1f290:wc?=56817g?{i:1251zl2601=::hh7p`=895f>5<6sg;99:4=3cf8yk4?0>l1<7?tn0063?44jl1vb?678183>4}i9;?<6?=mf:m6=>?93:1=v`>245966e73td9456=:182k75=>09?n?4}o0;<=5=83;pb<<:7;00g7=zf;23494?:0ym57302;9h?6sa29:;1?6=9rd:>89522a7?xh5012=6=4>{o3112<5;j?0qc<789594?7|f88>;7<?010;675d?2we>5679;295~h6:<=1>>m7;|l1<=>f290:wc?=56817f?{i:123h7>51zl2601=::ih7p`=89:f>5<6sg;99:4=3bf8yk4?01l1<7?tn0063?44kl1vb?679183>4}i9;?<6?=lf:m6=>>93:1=v`>245966b73td9457=:182k75=>09?i?4}o0;<<5=83;pb<<:7;00`7=zf;23594?:0ym57302;9o?6sa29::1?6=9rd:>89522f7?xh5013=6=4>{o3112<5;m?0qc<788594?7|f88>;7<?110;675c?2we>5669;295~h6:<=1>>j7;|l1<=?f290:wc?=56817a?{i:122h7>51zl2601=::nh7p`=89;f>5<6sg;99:4=3ef8yk4?00l1<7?tn0063?44ll1vb?67a183>4}i9;?<6?=kf:m6=>f93:1=v`>245966c73td945o=:182k75=>09?h?4}o0;89522g7?xh501k=6=4>{o3112<5;l?0qc<78`594?7|f88>;7<?i10;675b?2we>56n9;295~h6:<=1>>k7;|l1<=gf290:wc?=56817`?{i:12jh7>51zl2601=::oh7p`=89cf>5<6sg;99:4=3df8yk4?0hl1<7?tn0063?44ml1vb?67b183>4}i9;?<6?=jf:m6=>e93:1=v`>245966`73td945l=:182k75=>09?k?4}o0;89522d7?xh501h=6=4>{o3112<5;o?0qc<78c594?7|f88>;7<?j10;675a?2we>56m9;295~h6:<=1>>h7;|l1<=df290:wc?=56817c?{i:12ih7>51zl2601=::lh7p`=89`f>5<6sg;99:4=3gf8yk4?0kl1<7?tn0063?44nl1vb?67c183>4}i9;?<6?=if:m6=>d93:1=v`>245961673td945m=:182k75=>098=?4}o0;;?6sa29:`1?6=9rd:>8952527?xh501i=6=4>{o3112<5<9?0qc<78b594?7|f88>;7<;079~j7>?k10;6727?2we>56l9;295~h6:<=1>9>7;|l1<=ef290:wc?=568105?{i:12hh7>51zl2601=:=:h7p`=89af>5<6sg;99:4=41f8yk4?0jl1<7?tn0063?438l1vb?67d183>4}i9;?<6?:?f:m6=>c93:1=v`>245961773td945j=:182k75=>098:?6sa29:g1?6=9rd:>8952537?xh501n=6=4>{o3112<5<8?0qc<78e594?7|f88>;7<;179~j7>?l10;6726?2we>56k9;295~h6:<=1>9?7;|l1<=bf290:wc?=568104?{i:12oh7>51zl2601=:=;h7p`=89ff>5<6sg;99:4=40f8yk4?0ml1<7?tn0063?439l1vb?67e183>4}i9;?<6?:>f:m6=>b93:1=v`>245961473td945k=:182k75=>098??4}o0;<`5=83;pb<<:7;0767=zf;23i94?:0ym57302;>9?6sa29:f1?6=9rd:>8952507?xh501o=6=4>{o3112<5<;?0qc<78d594?7|f88>;7<;279~j7>?m10;6725?2we>56j9;295~h6:<=1>9<7;|l1<=cf290:wc?=568107?l5rn3:;af<728qe=?;8:361f>{i:12nh7>51zl2601=:=8h7p`=89gf>5<6sg;99:4=43f8yk4?0ll1<7?tn0063?43:l1vb?67f183>4}i9;?<6?:=f:m6=>a93:1=v`>245961573td945h=:182k75=>098>?4}o0;8?6sa29:e1?6=9rd:>8952517?xh501l=6=4>{o3112<5<:?0qc<78g594?7|f88>;7<;379~j7>?n10;6724?2we>56i9;295~h6:<=1>9=7;|l1<=`f290:wc?=568106?{i:12mh7>51zl2601=:=9h7p`=89df>5<6sg;99:4=42f8yk4?0ol1<7?tn0063?43;l1vb?660183>4}i9;?<6?:245961273td944>=:182k75=>0989?4}o0;=55=83;pb<<:7;0707=zf;22<94?:0ym57302;>??6sa29;31?6=9rd:>8952567?xh500:=6=4>{o3112<5<=?0qc<791594?7|f88>;7<;479~j7>>810;6723?2we>57?9;295~h6:<=1>9:7;|l1<<6f290:wc?=568101?{i:13;h7>51zl2601=:=>h7p`=882f>5<6sg;99:4=45f8yk4?19l1<7?tn0063?434}i9;?<6?:;f:m6=?693:1=v`>245961373td944?=:182k75=>0988?4}o0;=45=83;pb<<:7;0717=zf;22=94?:0ym57302;>>?6sa29;21?6=9rd:>8952577?xh500;=6=4>{o3112<5<;7<;579~j7>>910;6722?2we>57>9;295~h6:<=1>9;7;|l1<<7f290:wc?=568100?{i:13:h7>51zl2601=:=?h7p`=883f>5<6sg;99:4=44f8yk4?18l1<7?tn0063?43=l1vb?662183>4}i9;?<6?::f:m6=?593:1=v`>245961073td944<=:182k75=>098;?4}o0;=75=83;pb<<:7;0727=zf;22>94?:0ym57302;>=?6sa29;11?6=9rd:>8952547?xh5008=6=4>{o3112<5;7<;679~j7>>:10;6721?2we>57=9;295~h6:<=1>987;|l1<<4f290:wc?=568103?{i:139h7>51zl2601=:=5<6sg;99:4=47f8yk4?1;l1<7?tn0063?43>l1vb?663183>4}i9;?<6?:9f:m6=?493:1=v`>245961173td944==:182k75=>098:?4}o0;=65=83;pb<<:7;0737=zf;22?94?:0ym57302;>8952557?xh5009=6=4>{o3112<5<>?0qc<792594?7|f88>;7<;779~j7>>;10;6720?2we>57<9;295~h6:<=1>997;|l1<<5f290:wc?=568102?l50;3xj442?38?;l5rn3::7f<728qe=?;8:364f>{i:138h7>51zl2601=:==h7p`=881f>5<6sg;99:4=46f8yk4?1:l1<74}i9;?<6?:8f:m6=?393:1=v`>245961>73td944:=:182k75=>0985?4}o0;=15=83;pb<<:7;07<7=zf;22894?:0ym57302;>3?6sa29;71?6=9rd:>89525:7?xh500>=6=4>{o3112<5<1?0qc<795594?7|f88>;7<;879~j7>><10;672??2we>57;9;295~h6:<=1>967;|l1<<2f290:wc?=56810=?{i:13?h7>51zl2601=:=2h7p`=886f>5<6sg;99:4=49f8yk4?1=l1<7?tn0063?430l1vb?665183>4}i9;?<6?:7f:m6=?293:1=v`>245961?73td944;=:182k75=>0984?4}o0;=05=83;pb<<:7;07=7=zf;22994?:0ym57302;>2?6sa29;61?6=9rd:>89525;7?xh500?=6=4>{o3112<5<0?0qc<794594?7|f88>;7<;979~j7>>=10;672>?2we>57:9;295~h6:<=1>977;|l1<<3f290:wc?=56810{i:13>h7>51zl2601=:=3h7p`=887f>5<6sg;99:4=48f8yk4?14}i9;?<6?:6f:m6=?193:1=v`>245961g73td9448=:182k75=>098l?4}o0;=35=83;pb<<:7;07e7=zf;22:94?:0ym57302;>j?6sa29;51?6=9rd:>89525c7?xh500<=6=4>{o3112<5;7<;a79~j7>>>10;672f?2we>5799;295~h6:<=1>9o7;|l1<<0f290:wc?=56810d?{i:13=h7>51zl2601=:=kh7p`=884f>5<6sg;99:4=4`f8yk4?1?l1<7?tn0063?43il1vb?667183>4}i9;?<6?:nf:m6=?093:1=v`>245961d73td9449=:182k75=>098o?4}o0;=25=83;pb<<:7;07f7=zf;22;94?:0ym57302;>i?6sa29;41?6=9rd:>89525`7?xh500==6=4>{o3112<5;7<;b79~j7>>?10;672e?2we>5789;295~h6:<=1>9l7;|l1<<1f290:wc?=56810g?{i:1351zl2601=:=hh7p`=885f>5<6sg;99:4=4cf8yk4?1>l1<7?tn0063?43jl1vb?668183>4}i9;?<6?:mf:m6=??93:1=v`>245961e73td9446=:182k75=>098n?4}o0;==5=83;pb<<:7;07g7=zf;22494?:0ym57302;>h?6sa29;;1?6=9rd:>89525a7?xh5002=6=4>{o3112<5;7<;c79~j7>>010;672d?2we>5779;295~h6:<=1>9m7;|l1<<>f290:wc?=56810f?{i:133h7>51zl2601=:=ih7p`=88:f>5<6sg;99:4=4bf8yk4?11l1<7?tn0063?43kl1vb?669183>4}i9;?<6?:lf:m6=?>93:1=v`>245961b73td9447=:182k75=>098i?4}o0;=<5=83;pb<<:7;07`7=zf;22594?:0ym57302;>o?6sa29;:1?6=9rd:>89525f7?xh5003=6=4>{o3112<5;7<;d79~j7>>110;672c?2we>5769;295~h6:<=1>9j7;|l1<{i:132h7>51zl2601=:=nh7p`=88;f>5<6sg;99:4=4ef8yk4?10l1<7?tn0063?43ll1vb?66a183>4}i9;?<6?:kf:m6=?f93:1=v`>245961c73td944o=:182k75=>098h?4}o0;=d5=83;pb<<:7;07a7=zf;22m94?:0ym57302;>n?6sa29;b1?6=9rd:>89525g7?xh500k=6=4>{o3112<5;7<;e79~j7>>i10;672b?2we>57n9;295~h6:<=1>9k7;|l1<{i:13jh7>51zl2601=:=oh7p`=88cf>5<6sg;99:4=4df8yk4?1hl1<7?tn0063?43ml1vb?66b183>4}i9;?<6?:jf:m6=?e93:1=v`>245961`73td944l=:182k75=>098k?4}o0;=g5=83;pb<<:7;07b7=zf;22n94?:0ym57302;>m?6sa29;a1?6=9rd:>89525d7?xh500h=6=4>{o3112<5;7<;f79~j7>>j10;672a?2we>57m9;295~h6:<=1>9h7;|l1<{i:13ih7>51zl2601=:=lh7p`=88`f>5<6sg;99:4=4gf8yk4?1kl1<7?tn0063?43nl1vb?66c183>4}i9;?<6?:if:m6=?d93:1=v`>245960673td944m=:182k75=>099=?4}o0;=f5=83;pb<<:7;0647=zf;22o94?:0ym57302;?;?6sa29;`1?6=9rd:>8952427?xh500i=6=4>{o3112<5=9?0qc<79b594?7|f88>;7<:079~j7>>k10;6737?2we>57l9;295~h6:<=1>8>7;|l1<{i:13hh7>51zl2601=:<:h7p`=88af>5<6sg;99:4=51f8yk4?1jl1<7?tn0063?428l1vb?66d183>4}i9;?<6?;?f:m6=?c93:1=v`>245960773td944j=:182k75=>0998952437?xh500n=6=4>{o3112<5=8?0qc<79e594?7|f88>;7<:179~j7>>l10;6736?2we>57k9;295~h6:<=1>8?7;|l1<=l5rn3::`f<728qe=?;8:372f>{i:13oh7>51zl2601=:<;h7p`=88ff>5<6sg;99:4=50f8yk4?1ml1<7?tn0063?429l1vb?66e183>4}i9;?<6?;>f:m6=?b93:1=v`>245960473td944k=:182k75=>099??4}o0;=`5=83;pb<<:7;0667=zf;22i94?:0ym57302;?9?6sa29;f1?6=9rd:>8952407?xh500o=6=4>{o3112<5=;?0qc<79d594?7|f88>;7<:279~j7>>m10;6735?2we>57j9;295~h6:<=1>8<7;|l1<>l5rn3::af<728qe=?;8:371f>{i:13nh7>51zl2601=:<8h7p`=88gf>5<6sg;99:4=53f8yk4?1ll1<7?tn0063?42:l1vb?66f183>4}i9;?<6?;=f:m6=?a93:1=v`>245960573td944h=:182k75=>099>?4}o0;=c5=83;pb<<:7;0677=zf;22j94?:0ym57302;?8?6sa29;e1?6=9rd:>8952417?xh500l=6=4>{o3112<5=:?0qc<79g594?7|f88>;7<:379~j7>>n10;6734?2we>57i9;295~h6:<=1>8=7;|l1<<`f290:wc?=568116??l5rn3::bf<728qe=?;8:370f>{i:13mh7>51zl2601=:<9h7p`=88df>5<6sg;99:4=52f8yk4?1ol1<7?tn0063?42;l1vb?6n0183>4}i9;?<6?;245960273td94l>=:182k75=>0999?4}o0;e55=83;pb<<:7;0607=zf;2j<94?:0ym57302;???6sa29c31?6=9rd:>8952467?xh50h:=6=4>{o3112<5==?0qc<7a1594?7|f88>;7<:479~j7>f810;6733?2we>5o?9;295~h6:<=1>8:7;|l18l5rn3:b4f<728qe=?;8:377f>{i:1k;h7>51zl2601=:<>h7p`=8`2f>5<6sg;99:4=55f8yk4?i9l1<7?tn0063?424}i9;?<6?;;f:m6=g693:1=v`>245960373td94l?=:182k75=>0998?4}o0;e45=83;pb<<:7;0617=zf;2j=94?:0ym57302;?>?6sa29c21?6=9rd:>8952477?xh50h;=6=4>{o3112<5=;7<:579~j7>f910;6732?2we>5o>9;295~h6:<=1>8;7;|l19l5rn3:b5f<728qe=?;8:376f>{i:1k:h7>51zl2601=:5<6sg;99:4=54f8yk4?i8l1<7?tn0063?42=l1vb?6n2183>4}i9;?<6?;:f:m6=g593:1=v`>245960073td94l<=:182k75=>099;?4}o0;e75=83;pb<<:7;0627=zf;2j>94?:0ym57302;?=?6sa29c11?6=9rd:>8952447?xh50h8=6=4>{o3112<5=??0qc<7a3594?7|f88>;7<:679~j7>f:10;6731?2we>5o=9;295~h6:<=1>887;|l1:l5rn3:b6f<728qe=?;8:375f>{i:1k9h7>51zl2601=:<5<6sg;99:4=57f8yk4?i;l1<7?tn0063?42>l1vb?6n3183>4}i9;?<6?;9f:m6=g493:1=v`>245960173td94l==:182k75=>099:?4}o0;e65=83;pb<<:7;0637=zf;2j?94?:0ym57302;?8952457?xh50h9=6=4>{o3112<5=>?0qc<7a2594?7|f88>;7<:779~j7>f;10;6730?2we>5o<9;295~h6:<=1>897;|l1l50;3xj442?38>;l5rn3:b7f<728qe=?;8:374f>{i:1k8h7>51zl2601=:<=h7p`=8`1f>5<6sg;99:4=56f8yk4?i:l1<7?tn0063?42?l1vb?6n4183>4}i9;?<6?;8f:m6=g393:1=v`>245960>73td94l:=:182k75=>0995?4}o0;e15=83;pb<<:7;06<7=zf;2j894?:0ym57302;?3?6sa29c71?6=9rd:>89524:7?xh50h>=6=4>{o3112<5=1?0qc<7a5594?7|f88>;7<:879~j7>f<10;673??2we>5o;9;295~h6:<=1>867;|l14l5rn3:b0f<728qe=?;8:37;f>{i:1k?h7>51zl2601=:<2h7p`=8`6f>5<6sg;99:4=59f8yk4?i=l1<7?tn0063?420l1vb?6n5183>4}i9;?<6?;7f:m6=g293:1=v`>245960?73td94l;=:182k75=>0994?4}o0;e05=83;pb<<:7;06=7=zf;2j994?:0ym57302;?2?6sa29c61?6=9rd:>89524;7?xh50h?=6=4>{o3112<5=0?0qc<7a4594?7|f88>;7<:979~j7>f=10;673>?2we>5o:9;295~h6:<=1>877;|l15l5rn3:b1f<728qe=?;8:37:f>{i:1k>h7>51zl2601=:<3h7p`=8`7f>5<6sg;99:4=58f8yk4?i4}i9;?<6?;6f:m6=g193:1=v`>245960g73td94l8=:182k75=>099l?4}o0;e35=83;pb<<:7;06e7=zf;2j:94?:0ym57302;?j?6sa29c51?6=9rd:>89524c7?xh50h<=6=4>{o3112<5=h?0qc<7a7594?7|f88>;7<:a79~j7>f>10;673f?2we>5o99;295~h6:<=1>8o7;|l1ml5rn3:b2f<728qe=?;8:37bf>{i:1k=h7>51zl2601=:5<6sg;99:4=5`f8yk4?i?l1<7?tn0063?42il1vb?6n7183>4}i9;?<6?;nf:m6=g093:1=v`>245960d73td94l9=:182k75=>099o?4}o0;e25=83;pb<<:7;06f7=zf;2j;94?:0ym57302;?i?6sa29c41?6=9rd:>89524`7?xh50h==6=4>{o3112<5=k?0qc<7a6594?7|f88>;7<:b79~j7>f?10;673e?2we>5o89;295~h6:<=1>8l7;|l1nl5rn3:b3f<728qe=?;8:37af>{i:1k51zl2601=:5<6sg;99:4=5cf8yk4?i>l1<7?tn0063?42jl1vb?6n8183>4}i9;?<6?;mf:m6=g?93:1=v`>245960e73td94l6=:182k75=>099n?4}o0;e=5=83;pb<<:7;06g7=zf;2j494?:0ym57302;?h?6sa29c;1?6=9rd:>89524a7?xh50h2=6=4>{o3112<5=j?0qc<7a9594?7|f88>;7<:c79~j7>f010;673d?2we>5o79;295~h6:<=1>8m7;|l1f290:wc?=56811f?ol5rn3:b{i:1k3h7>51zl2601=:5<6sg;99:4=5bf8yk4?i1l1<7?tn0063?42kl1vb?6n9183>4}i9;?<6?;lf:m6=g>93:1=v`>245960b73td94l7=:182k75=>099i?4}o0;e<5=83;pb<<:7;06`7=zf;2j594?:0ym57302;?o?6sa29c:1?6=9rd:>89524f7?xh50h3=6=4>{o3112<5=m?0qc<7a8594?7|f88>;7<:d79~j7>f110;673c?2we>5o69;295~h6:<=1>8j7;|l1hl5rn3:b=f<728qe=?;8:37gf>{i:1k2h7>51zl2601=:5<6sg;99:4=5ef8yk4?i0l1<7?tn0063?42ll1vb?6na183>4}i9;?<6?;kf:m6=gf93:1=v`>245960c73td94lo=:182k75=>099h?4}o0;ed5=83;pb<<:7;06a7=zf;2jm94?:0ym57302;?n?6sa29cb1?6=9rd:>89524g7?xh50hk=6=4>{o3112<5=l?0qc<7a`594?7|f88>;7<:e79~j7>fi10;673b?2we>5on9;295~h6:<=1>8k7;|l1il5rn3:bef<728qe=?;8:37ff>{i:1kjh7>51zl2601=:5<6sg;99:4=5df8yk4?ihl1<7?tn0063?42ml1vb?6nb183>4}i9;?<6?;jf:m6=ge93:1=v`>245960`73td94ll=:182k75=>099k?4}o0;eg5=83;pb<<:7;06b7=zf;2jn94?:0ym57302;?m?6sa29ca1?6=9rd:>89524d7?xh50hh=6=4>{o3112<5=o?0qc<7ac594?7|f88>;7<:f79~j7>fj10;673a?2we>5om9;295~h6:<=1>8h7;|l1jl5rn3:bff<728qe=?;8:37ef>{i:1kih7>51zl2601=:5<6sg;99:4=5gf8yk4?ikl1<7?tn0063?42nl1vb?6nc183>4}i9;?<6?;if:m6=gd93:1=v`>245963673td94lm=:182k75=>09:=?4}o0;ef5=83;pb<<:7;0547=zf;2jo94?:0ym57302;<;?6sa29c`1?6=9rd:>8952727?xh50hi=6=4>{o3112<5>9?0qc<7ab594?7|f88>;7<9079~j7>fk10;6707?2we>5ol9;295~h6:<=1>;>7;|l1{i:1khh7>51zl2601=:?:h7p`=8`af>5<6sg;99:4=61f8yk4?ijl1<7?tn0063?418l1vb?6nd183>4}i9;?<6?8?f:m6=gc93:1=v`>245963773td94lj=:182k75=>09:8952737?xh50hn=6=4>{o3112<5>8?0qc<7ae594?7|f88>;7<9179~j7>fl10;6706?2we>5ok9;295~h6:<=1>;?7;|l1{i:1koh7>51zl2601=:?;h7p`=8`ff>5<6sg;99:4=60f8yk4?iml1<7?tn0063?419l1vb?6ne183>4}i9;?<6?8>f:m6=gb93:1=v`>245963473td94lk=:182k75=>09:??4}o0;e`5=83;pb<<:7;0567=zf;2ji94?:0ym57302;<9?6sa29cf1?6=9rd:>8952707?xh50ho=6=4>{o3112<5>;?0qc<7ad594?7|f88>;7<9279~j7>fm10;6705?2we>5oj9;295~h6:<=1>;<7;|l1l5rn3:baf<728qe=?;8:341f>{i:1knh7>51zl2601=:?8h7p`=8`gf>5<6sg;99:4=63f8yk4?ill1<7?tn0063?41:l1vb?6nf183>4}i9;?<6?8=f:m6=ga93:1=v`>245963573td94lh=:182k75=>09:>?4}o0;ec5=83;pb<<:7;0577=zf;2jj94?:0ym57302;<8?6sa29ce1?6=9rd:>8952717?xh50hl=6=4>{o3112<5>:?0qc<7ag594?7|f88>;7<9379~j7>fn10;6704?2we>5oi9;295~h6:<=1>;=7;|l1{i:1kmh7>51zl2601=:?9h7p`=8`df>5<6sg;99:4=62f8yk4?iol1<7?tn0063?41;l1vb?6m0183>4}i9;?<6?8245963273td94o>=:182k75=>09:9?4}o0;f55=83;pb<<:7;0507=zf;2i<94?:0ym57302;8952767?xh50k:=6=4>{o3112<5>=?0qc<7b1594?7|f88>;7<9479~j7>e810;6703?2we>5l?9;295~h6:<=1>;:7;|l1{i:1h;h7>51zl2601=:?>h7p`=8c2f>5<6sg;99:4=65f8yk4?j9l1<7?tn0063?414}i9;?<6?8;f:m6=d693:1=v`>245963373td94o?=:182k75=>09:8?4}o0;f45=83;pb<<:7;0517=zf;2i=94?:0ym57302;<>?6sa29`21?6=9rd:>8952777?xh50k;=6=4>{o3112<5>;7<9579~j7>e910;6702?2we>5l>9;295~h6:<=1>;;7;|l1{i:1h:h7>51zl2601=:??h7p`=8c3f>5<6sg;99:4=64f8yk4?j8l1<7?tn0063?41=l1vb?6m2183>4}i9;?<6?8:f:m6=d593:1=v`>245963073td94o<=:182k75=>09:;?4}o0;f75=83;pb<<:7;0527=zf;2i>94?:0ym57302;<=?6sa29`11?6=9rd:>8952747?xh50k8=6=4>{o3112<5>??0qc<7b3594?7|f88>;7<9679~j7>e:10;6701?2we>5l=9;295~h6:<=1>;87;|l1{i:1h9h7>51zl2601=:?5<6sg;99:4=67f8yk4?j;l1<7?tn0063?41>l1vb?6m3183>4}i9;?<6?89f:m6=d493:1=v`>245963173td94o==:182k75=>09::?4}o0;f65=83;pb<<:7;0537=zf;2i?94?:0ym57302;<8952757?xh50k9=6=4>{o3112<5>>?0qc<7b2594?7|f88>;7<9779~j7>e;10;6700?2we>5l<9;295~h6:<=1>;97;|l1l50;3xj442?38=;l5rn3:a7f<728qe=?;8:344f>{i:1h8h7>51zl2601=:?=h7p`=8c1f>5<6sg;99:4=66f8yk4?j:l1<7?tn0063?41?l1vb?6m4183>4}i9;?<6?88f:m6=d393:1=v`>245963>73td94o:=:182k75=>09:5?4}o0;f15=83;pb<<:7;05<7=zf;2i894?:0ym57302;<3?6sa29`71?6=9rd:>89527:7?xh50k>=6=4>{o3112<5>1?0qc<7b5594?7|f88>;7<9879~j7>e<10;670??2we>5l;9;295~h6:<=1>;67;|l1{i:1h?h7>51zl2601=:?2h7p`=8c6f>5<6sg;99:4=69f8yk4?j=l1<7?tn0063?410l1vb?6m5183>4}i9;?<6?87f:m6=d293:1=v`>245963?73td94o;=:182k75=>09:4?4}o0;f05=83;pb<<:7;05=7=zf;2i994?:0ym57302;<2?6sa29`61?6=9rd:>89527;7?xh50k?=6=4>{o3112<5>0?0qc<7b4594?7|f88>;7<9979~j7>e=10;670>?2we>5l:9;295~h6:<=1>;77;|l1{i:1h>h7>51zl2601=:?3h7p`=8c7f>5<6sg;99:4=68f8yk4?j4}i9;?<6?86f:m6=d193:1=v`>245963g73td94o8=:182k75=>09:l?4}o0;f35=83;pb<<:7;05e7=zf;2i:94?:0ym57302;89527c7?xh50k<=6=4>{o3112<5>h?0qc<7b7594?7|f88>;7<9a79~j7>e>10;670f?2we>5l99;295~h6:<=1>;o7;|l1{i:1h=h7>51zl2601=:?kh7p`=8c4f>5<6sg;99:4=6`f8yk4?j?l1<7?tn0063?41il1vb?6m7183>4}i9;?<6?8nf:m6=d093:1=v`>245963d73td94o9=:182k75=>09:o?4}o0;f25=83;pb<<:7;05f7=zf;2i;94?:0ym57302;89527`7?xh50k==6=4>{o3112<5>k?0qc<7b6594?7|f88>;7<9b79~j7>e?10;670e?2we>5l89;295~h6:<=1>;l7;|l1{i:1h51zl2601=:?hh7p`=8c5f>5<6sg;99:4=6cf8yk4?j>l1<7?tn0063?41jl1vb?6m8183>4}i9;?<6?8mf:m6=d?93:1=v`>245963e73td94o6=:182k75=>09:n?4}o0;f=5=83;pb<<:7;05g7=zf;2i494?:0ym57302;89527a7?xh50k2=6=4>{o3112<5>j?0qc<7b9594?7|f88>;7<9c79~j7>e010;670d?2we>5l79;295~h6:<=1>;m7;|l1f290:wc?=56812f?{i:1h3h7>51zl2601=:?ih7p`=8c:f>5<6sg;99:4=6bf8yk4?j1l1<7?tn0063?41kl1vb?6m9183>4}i9;?<6?8lf:m6=d>93:1=v`>245963b73td94o7=:182k75=>09:i?4}o0;f<5=83;pb<<:7;05`7=zf;2i594?:0ym57302;89527f7?xh50k3=6=4>{o3112<5>m?0qc<7b8594?7|f88>;7<9d79~j7>e110;670c?2we>5l69;295~h6:<=1>;j7;|l1{i:1h2h7>51zl2601=:?nh7p`=8c;f>5<6sg;99:4=6ef8yk4?j0l1<7?tn0063?41ll1vb?6ma183>4}i9;?<6?8kf:m6=df93:1=v`>245963c73td94oo=:182k75=>09:h?4}o0;fd5=83;pb<<:7;05a7=zf;2im94?:0ym57302;89527g7?xh50kk=6=4>{o3112<5>l?0qc<7b`594?7|f88>;7<9e79~j7>ei10;670b?2we>5ln9;295~h6:<=1>;k7;|l1{i:1hjh7>51zl2601=:?oh7p`=8ccf>5<6sg;99:4=6df8yk4?jhl1<7?tn0063?41ml1vb?6mb183>4}i9;?<6?8jf:m6=de93:1=v`>245963`73td94ol=:182k75=>09:k?4}o0;fg5=83;pb<<:7;05b7=zf;2in94?:0ym57302;89527d7?xh50kh=6=4>{o3112<5>o?0qc<7bc594?7|f88>;7<9f79~j7>ej10;670a?2we>5lm9;295~h6:<=1>;h7;|l1{i:1hih7>51zl2601=:?lh7p`=8c`f>5<6sg;99:4=6gf8yk4?jkl1<7?tn0063?41nl1vb?6mc183>4}i9;?<6?8if:m6=dd93:1=v`>245962673td94om=:182k75=>09;=?4}o0;ff5=83;pb<<:7;0447=zf;2io94?:0ym57302;=;?6sa29``1?6=9rd:>8952627?xh50ki=6=4>{o3112<5?9?0qc<7bb594?7|f88>;7<8079~j7>ek10;6717?2we>5ll9;295~h6:<=1>:>7;|l1{i:1hhh7>51zl2601=:>:h7p`=8caf>5<6sg;99:4=71f8yk4?jjl1<7?tn0063?408l1vb?6md183>4}i9;?<6?9?f:m6=dc93:1=v`>245962773td94oj=:182k75=>09;8952637?xh50kn=6=4>{o3112<5?8?0qc<7be594?7|f88>;7<8179~j7>el10;6716?2we>5lk9;295~h6:<=1>:?7;|l1{i:1hoh7>51zl2601=:>;h7p`=8cff>5<6sg;99:4=70f8yk4?jml1<7?tn0063?409l1vb?6me183>4}i9;?<6?9>f:m6=db93:1=v`>245962473td94ok=:182k75=>09;??4}o0;f`5=83;pb<<:7;0467=zf;2ii94?:0ym57302;=9?6sa29`f1?6=9rd:>8952607?xh50ko=6=4>{o3112<5?;?0qc<7bd594?7|f88>;7<8279~j7>em10;6715?2we>5lj9;295~h6:<=1>:<7;|l1l5rn3:aaf<728qe=?;8:351f>{i:1hnh7>51zl2601=:>8h7p`=60f5>5<6sg;;:i4?;o3112<5?;n0qc<91e594?7|f8:=h7>4n0063?40:l1vb?8>d983>4}i99715n2we>;?k9;295~h68?n1<6`>245962573td9:m0;7c?=5681367{i:?;oh7>51zl243b=82d:>8952617?xh5>8nn6=4>{o332a<73g;99:4=7278yk419ml1<7?tn025`?6;7<8379~j706m90;65=i9;?<6?9<7:m637b93:1=v`>07f94>h6:<=1>:=7;|l124c5290:wc??6e83?k75=>09;>74}o055`5=83;pb<>9d;28j442?389h7p`=60g5>5<6sg;;:i4?;o3112<5?:n0qc<91d594?7|f8:=h7>4n0063?40;l1vb?84}i99714n2we>;=j9;295~h68?n1<6`>245962273td9:>kn:182k77>m0;7c?=5681317{i:?9nh7>51zl243b=82d:>8952667?xh5>:on6=4>{o332a<73g;99:4=7578yk41;ll1<7?tn025`?6;7<8479~j704n90;65=i9;?<6?9;7:m635a93:1=v`>07f94>h6:<=1>::7;|l126`5290:wc??6e83?k75=>09;974}o057c5=83;pb<>9d;28j442?38<8l5rn340b1<728qe==8k:19m57302;=?n6sa271e1?6=9rd:<;j50:l2601=:>>h7p`=62d5>5<6sg;;:i4?;o3112<5?=n0qc<93g594?7|f8:=h7>4n0063?404}i99713n2we>;=i9;295~h68?n1<6`>245962373td9:9=7:182k75=>09;8?4}o0;f`c=839pb<<:7;0417=zf;2iik4?:2ym57302;=>?6sa276ag?6=9rd:>8952677?xh5>=ho6=4>{o3112<5?3=o?=mm8`CDG}5?j7<6;on;6<;jf39~443l3:1<7<512d`b?72mo90qv?=4e83>5<5289moh4>5dd7?x}6:=n1<7>52;30bfb=95<72;0:?kml:07fb3=zs88?h7>50;0956`dj3;>ik94}z310a<729096<=ic`821``?3tq:>9j50;296?74nj31=8ki9:x572c290;6?4>3ga;>43bnh1vw<<;d;294?4=9:lh;7?:eg`8y~7525f94?6=:3;8jn;514ge`>{|9;>o6=4?:3827ce328?njh5r{007`?6=8381=>hl3;36ac`45ak;0:9k>?;|y261b=83:1>7?7<6;oi;6<;i039~443l3:1<7<512dab?72n990qv?=4e83>5<5289mnh4>5g27?x}6:=n1<7>52;30bgb=95<72;0:?kll:07e43=zs88?h7>50;0956`ej3;>j=94}z310a<729096<=ib`821c6?3tq:>9j50;296?74nk31=8h?9:x572c290;6?4>3g`;>43a8h1vw<<;d;294?4=9:li;7?:f1`8y~7525f94?6=:3;8jo;514d3`>{|9;>o6=4?:3827cd328?mhm3;36b5`426090:9k??;|y261b=83:1>7?;193950`692wp=?:k:183>7<6<8296<;i139~443l3:1<7<5153;7?72n890qv?=4e83>5<528>:494>5g37?x}6:=n1<7>52;37013=95<72;0:89:;:07e53=zs88?h7>50;095123;3;>j<94}z310a<729096<:;43821c7?3tq:>9j50;296?73<=;1=8h>9:x572c290;6?4>455f>43a9h1vw<<;d;294?4=9=>25f94?6=:3;?8:l514d2`>{|9;>o6=4?:382011f28?m=h5r{007`?6=8381=9:89;36b4`423?10:9k7?;465950`592wp=?:k:183>7<6<===6<;i239~443l3:1<7<515641?72n;90qv?=4e83>5<528>?;94>5g07?x}6:=n1<7>52;37025=95<72;0:899=:07e63=zs88?h7>50;09512093;>j?94}z310a<729096<:;71821c4?3tq:>9j50;296?73454f>43a:h1vw<<;d;294?4=9=>=h7?:f3`8y~7525f94?6=:3;?8;l514d1`>{|9;>o6=4?:382010f28?m>h5r{007`?6=8381=99<8;36b7`420;00:9k=?;|y261b=83:1>7?;72c950`492wp=?:k:183>7<6<>9i6<;i339~443l3:1<7<51550g?72n:90qv?=4e83>5<528>5g17?x}6:=n1<7>52;3736c=95<72;0:8:=i:07e73=zs88?h7>50;09511213;>j>94}z310a<729096<:85`821c5?3tq:>9j50;296?73?467`>43a;h1vw<<;d;294?4=9==>h7?:f2`8y~7525f94?6=:3;?;8h514d0`>{|9;>o6=4?:382020728?m?h5r{007`?6=8381=99j9;36b6`420nl0:9k:?;|y261b=83:1>7?;827950`392wp=?:k:183>7<6<1386<;i439~443l3:1<7<515::6?72n=90qv?=4e83>5<528>35<4>5g67?x}6:=n1<7>52;37<<6=95<72;0:856i:07e03=zs88?h7>50;0951>?m3;>j994}z310a<729096<:78e821c2?3tq:>9j50;296?7301i1=8h;9:x572c290;6?4>49:a>43ah7pu>25f94?6=:3;?4:h514d7`>{|9;>o6=4?:3820=>728?m8h5r{007`?6=8381=9671;36b1`42?0;0:9k;?;|y261b=83:1>7?;891950`292wp=?:k:183>7<6<12?6<;i539~443l3:1<7<515:;1?72n<90qv?=4e83>5<528>i>54>5g77?x}6:=n1<7>52;37f7?=996st136g>5<72;0:8o50;0951d5j3;>j894}z310a<729096<:m2b821c3?3tq:>9j50;296?73j;n1=8h:9:x572c290;6?4>4c0f>43a=h1vw<<;d;294?4=9=h9j7?:f4`8y~7525f94?6=:3;?n4?514d6`>{|9;>o6=4?:3820g?528?m9h5r{007`?6=8381=9l63;36b0`42e1=0:9k8?;|y261b=83:1>7?;b87950`192wp=?:k:183>7<65<528>i554>5g47?x}6:=n1<7>52;37f5<72;0:8o7n:07e23=zs88?h7>50;0951d>j3;>j;94}z310a<729096<:m9b821c0?3tq:>9j50;296?73j0n1=8h99:x572c290;6?4>4c;f>43a>h1vw<<;d;294?4=9=h2j7?:f7`8y~7525f94?6=:3;?nl?514d5`>{|9;>o6=4?:3820gg528?m:h5r{007`?6=8381=9ln3;36b3`42ei=0:9k9?;|y261b=83:1>7?;b`7950`092wp=?:k:183>7<690qv?=4e83>5<528>im54>5g57?x}6:=n1<7>52;37fd?=95<72;0:8oon:07e33=zs88?h7>50;0951dfj3;>j:94}z310a<729096<:mab821c1?3tq:>9j50;296?73jhn1=8h89:x572c290;6?4>4d2;>43a?h1vw<<;d;294?4=9=o8j7?:f6`8y~7525f94?6=:3;>=:k514d4`>{|9;>o6=4?:382141c28?m;h5r{007`?6=8381=8?8c;36b2`436?k0:9k6?;|y261b=83:1>7?:16c950`?92wp=?:k:183>7<6=8=26<;i839~443l3:1<7<514345<528?:;:4>5g:7?x}6:=n1<7>52;36520=95<72;0:9<9::07e<3=zs88?h7>50;09507?i3;>j594}z310a<729096<;>88821c>?3tq:>9j50;296?729121=8h79:x572c290;6?4>50:4>43a0h1vw<<;d;294?4=9<;3:7?:f9`8y~7525f94?6=:3;>=5:514d;`>{|9;>o6=4?:38214>428?m4h5r{007`?6=8381=8?72;36b=`436080:9k7?;|y261b=83:1>7?:160950`>92wp=?:k:183>7<6=8=:6<;i939~443l3:1<7<514344?72n090qv?=4e83>5<528?::k4>5g;7?x}6:=n1<7>52;3653c=95<72;0:9<8k:07e=3=zs88?h7>50;095071k3;>j494}z310a<729096<;>6c821c??3tq:>9j50;296?729?k1=8h69:x572c290;6?4>504:>43a1h1vw<<;d;294?4=9<;=47?:f8`8y~7525f94?6=:3;>=;8514d:`>{|9;>o6=4?:382140228?m5h5r{007`?6=8381=8?66;36b<`4361<0:9ko?;|y261b=83:1>7?:186950`f92wp=?:k:183>7<6=8386<;ia39~443l3:1<7<5143:6?72nh90qv?=4e83>5<528?:5<4>5gc7?x}6:=n1<7>52;365<6=95<72;0:9<6i:07ee3=zs88?h7>50;09507?m3;>jl94}z310a<729096<;>8e821cg?3tq:>9j50;296?729?81=8hn9:x572c290;6?4>5070>43aih1vw<<;d;294?4=9<;hn7?:f``8y~7525f94?6=:3;>=i9514db`>{|9;>o6=4?:382177728?mmh5r{007`?6=8381=8;7f;36bd`432im0:9kl?;|y261b=83:1>7?:5`a950`e92wp=?:k:183>7<6=5<528?>m44>5g`7?x}6:=n1<7>52;361d>=95<72;0:98o8:07ef3=zs88?h7>50;09501>83;>jo94}z310a<729096<;8ad821cd?3tq:>9j50;296?72?hn1=8hm9:x572c290;6?4>56c`>43ajh1vw<<;d;294?4=9<=jn7?:fc`8y~7525f94?6=:3;>;l7514da`>{|9;>o6=4?:38212g?28?mnh5r{007`?6=8381=8763;36bg`43>j80:9km?;|y261b=83:1>7?:9c2950`d92wp=?:k:183>7<6=0km6<;ic39~443l3:1<7<514;ba?72nj90qv?=4e83>5<528?2mi4>5ga7?x}6:=n1<7>52;36=de=95<72;0:94om:07eg3=zs88?h7>50;0950g3:3;>jn94}z310a<729096<=id0821ce?3tq:>9j50;296?739>l1=8hl9:x572c290;6?4>4565>43akh1vw<<;d;294?4=9=>25f94?6=:3;?;86514d``>{|9;>o6=4?:3820=?328?moh5r{007`?6=8381=968c;36bf`42e;90:9kj?;|y261b=83:1>7?;b9d950`c92wp=?:k:183>7<6=8=m6<;id39~443l3:1<7<5143;f?72nm90qv?=4e83>5<528?:;>4>5gf7?x}6:=n1<7>52;365<1=95<72;0:9<8<:07e`3=zs88?h7>50;095055j3;>ji94}z310a<729096<;<3b821cb?3tq:>9j50;296?72;:n1=8hk9:x572c290;6?4>5`60>43alh1vw<<;d;294?4=9:k7<6>::?6:o4}z310g<729096<8<0c8;7>{|9;>i6=4?:382267521k0qv?=4c83>5<528<8=4461:x572e290;6?4>6203><>404:>02j6st136a>5<72;0::>7<6>:9h6o:4}z310g<729096<8<428af>{|9;>i6=4?:382262f2j80qv?=4c83>5<528<89<4l9:x572e290;6?4>627;>a6404=o0o;6st136a>5<72;0::>89:eg8y~757<6>:=?6hm4}z310g<729096<8<7c8e7>{|9;>i6=4?:38226>52ok0qv?=4c83>5<528<8444>009~443j3:1<7<5171:4?7702wp=?:m:183>7<6>:3<6<>i;|y261d=83:1>7?938g9540404i<0:=i5r{007f?6=8381=;=nc;310>{|9;>i6=4?:38226d4288i7pu>25`94?6=:3;=?oo51208y~7562ae>4203tq:>9l50;296?71;m<1=9k4}z310g<729096<850;09535b<3;>o6st136a>5<72;0::>km:040?x}6:=h1<7>52;357c4=9?k0qv?=4c83>5<528<8j44>709~443j3:1<7<517634?7002wp=?:m:183>7<6>=:<6<9i;|y261d=83:1>7?941g95=04039<0:4i5r{007f?6=8381=;:>c;3:0>{|9;>i6=4?:3822144283i7pu>25`94?6=:3;=8?o51`08y~75847?m0:x572e290;6?4>651e>4d03tq:>9l50;296?71<=<1=ok4}z310g<729096<8;4e82g0=zs88?n7>50;095322<3;ho6st136a>5<72;0::9;m:0f6?x}6:=h1<7>52;35034=9mo0qv?=4c83>5<528e49~443j3:1<7<517644?7bk2wp=?:m:183>7<6>==<67?946g95cg4030<09<<5r{007f?6=8381=;:7c;03<>{|9;>i6=4?:38221?42;:m7pu>25`94?6=:3;=84o52048y~75j47<=4:x572e290;6?4>65ce>74e3tq:>9l50;296?71><4}z310g<729096<8;be817<=zs88?n7>50;09532d<38?<6st136a>5<72;0::9mm:364?x}6:=h1<7>52;350a4=:=o0qv?=4c83>5<5287<6>=o<6?8<;|y261d=83:1>7?94dg963g403n<09;<5r{007f?6=8381=;:ic;04<>{|9;>i6=4?:38220642;=m7pu>25`94?6=:3;=9=o52948y~75643e>7?e3tq:>9l50;296?71=;<1>l<4}z310g<729096<8:2e81e<=zs88?n7>50;095334<38i<6st136a>5<72;0::8=m:3`4?x}6:=h1<7>52;35114=:ko0qv?=4c83>5<528<>844=c69~443j3:1<7<517764?4c82wp=?:m:183>7<6>7?954;96ag402=m09hh5r{007f?6=8381=;;91;0f7>{|9;>i6=4?:38220012;o37pu>25`94?6=:3;=9;o52da8y~756454>7`f3tq:>9l50;296?71=>h1>kh4}z310g<729096<8:818041=zs88?n7>50;09533?<39;46st136a>5<72;0::867:22g?x}6:=h1<7>52;351=b=;880qv?=4c83>5<528<>5<4<179~443j3:1<7<5177:1?56j2wp=?:m:183>7<6><3j6>7?958g9772402i;08>45r{007f?6=8381=;;n7;11a>{|9;>i6=4?:38220ge2:997pu>25`94?6=:3;=9lh53258y~7564``>6223tq:>9l50;296?71=j;1?9o4}z310g<729096<8:c4800`=zs88?n7>50;09533d139>?6st136a>5<72;0::8mj:27;?x}6:=h1<7>52;351a4=;5<528<>h;4<609~443j3:1<7<5177gf?51>2wp=?:m:183>7<6>8n;|y261d=83:1>7?95d1973`402m108;95r{007f?6=8381=;;jc;14<>{|9;>i6=4?:38220`72:=o7pu>25`94?6=:3;=9k;53908y~756721>6?73tq:>9l50;296?71>9<1?4:4}z310g<729096<890`80=<=zs88?n7>50;095307n392i6st136a>5<72;0::;?<:2c1?x}6:=h1<7>52;35241=;h=0qv?=4c83>5<528<==n47<6>?8?6>l:;|y261d=83:1>7?963;97gg401:m08nh5r{007f?6=8381=;8<1;1`7>{|9;>i6=4?:38223512:i37pu>25`94?6=:3;=:>o53ba8y~756764>6bf3tq:>9l50;296?71>=h1?ih4}z310g<729096<895180a1=zs88?n7>50;095302<39n46st136a>5<72;0::;;7:2gg?x}6:=h1<7>52;3520b=;o80qv?=4c83>5<528<=:<47<6>??;|y261d=83:1>7?967g9052401?;0?<45r{007f?6=8381=;887;63a>{|9;>i6=4?:382231e2=;97pu>25`94?6=:3;=::h54058y~7567:`>1423tq:>9l50;296?71>0;18?o4}z310g<729096<8994876`=zs88?n7>50;09530>13>8?6st136a>5<72;0::;7j:51;?x}6:=h1<7>52;352d4=<:i0qv?=4c83>5<528<=m;4;409~443j3:1<7<5174bf?23>2wp=?:m:183>7<6>?km69:n;|y261d=83:1>7?96c1901`401j10?995r{007f?6=8381=;8mc;66<>{|9;>i6=4?:38223e72=?o7pu>25`94?6=:3;=:n;54708y~75?1vw<<;b;294?4=9?67f1>1173tq:>9l50;296?71>m<18::4}z310g<729096<89d`873<=zs88?n7>50;09530cn3>5<72;0::;k<:5:1?x}6:=h1<7>52;352`1=<1=0qv?=4c83>5<528<=io4;8c9~443j3:1<7<5174e6?2><2wp=?:m:183>7<6>?l2697k;|y261d=83:1>7?971290d04008>0?mk5r{007f?6=8381=;9?e;6a<>{|9;>i6=4?:38222722=i:7pu>25`94?6=:3;=;6612>1c23tq:>9l50;296?71?:218hk4}z310g<729096<883g87b2=zs88?n7>50;095313>3?;<6st136a>5<72;0::::k:42:?x}6:=h1<7>52;35302==880qv?=4c83>5<528<<9o4:1c9~443j3:1<7<517556?35<2wp=?:m:183>7<6>><2687?97629160400?>0>?k5r{007f?6=8381=;98e;77<>{|9;>i6=4?:38222>2225`94?6=:3;=;5m554c8y~75:1vw<<;b;294?4=9?=2m7;9c:x572e290;6?4>66c2>0123tq:>9l50;296?71?h<19:o4}z310g<729096<88ae86<7=zs88?n7>50;09531e:3?3;6st136a>5<72;0:::l6:4:e?x}6:=h1<7>52;353f6==0<0qv?=4c83>5<528<7<6>>n>68om;|y261d=83:1>7?97ea91g4400m80>n:5r{007f?6=8381=;9j6;7ag>{|9;>i6=4?:38222cc225`94?6=:3;=;k<55b:8y~756922>0b03tq:>9l50;296?7109<19im4}z310g<729096<870c86a4=zs88?n7>50;0953>683?n:6st136a>5<72;0::5?::4ga?x}6:=h1<7>52;35<4g==o:0qv?=4c83>5<528<3=k4:f49~443j3:1<7<517:12?3ak2wp=?:m:183>7<6>18i6;>>;|y261d=83:1>7?9822925040?;<0={|9;>i6=4?:3822=5a2?;>7pu>25`94?6=:3;=49:560c8y~756970>34>3tq:>9l50;296?710<21:?k4}z310g<729096<875g8570=zs88?n7>50;0953>1:3<846st136a>5<72;0::58::71a?x}6:=h1<7>52;35<3>=>:o0qv?=4c83>5<528<3:o49409~443j3:1<7<517:5a?03<2wp=?:m:183>7<6>1=>6;:m;|y261d=83:1>7?986a920440?0:0=945r{007f?6=8381=;67a;454>{|9;>i6=4?:3822=?62?<<7pu>25`94?6=:3;=446567g8y~7569cg>3>43tq:>9l50;296?710k>1:5o4}z310g<729096<87bc85=4=zs88?n7>50;0953>d:3<246st136a>5<72;0::5m6:7;e?x}6:=h1<7>52;35h<0qv?=4c83>5<528<3h:49ae9~443j3:1<7<517:ga?0e<2wp=?:m:183>7<6>1o>6;lm;|y261d=83:1>7?98da92f440?n:0=o45r{007f?6=8381=;6ia;4g4>{|9;>i6=4?:3822<662?n<7pu>25`94?6=:3;=5=656eg8y~75683g>3`43tq:>9l50;296?711;>1:ko4}z310g<729096<862c8444=zs88?n7>50;0953?4:3=;46st136a>5<72;0::4=6:62e?x}6:=h1<7>52;35=16=?8<0qv?=4c83>5<528<28:481e9~443j3:1<7<517;7a?15<2wp=?:m:183>7<6>0?>6:=;;|y261d=83:1>7?994;936>40>=m0{|9;>i6=4?:3822<012>>>7pu>25`94?6=:3;=5;l575c8y~75685;>2303tq:>9l50;296?711>n1;8m4}z310g<729096<86808425=zs88?n7>50;0953??>3==96st136a>5<72;0::46n:64:?x}6:=h1<7>52;35==`=??o0qv?=4c83>5<528<25>48739~443j3:1<7<517;:7<6>03h6:9m;|y261d=83:1>7?99`393=640>i<0<495r{007f?6=8381=;7na;5;=>{|9;>i6=4?:38222o7pu>25`94?6=:3;=5o=57808y~7568a3>2?a3tq:>9l50;296?711j?1;l:4}z310g<729096<86c884e==zs88?n7>50;0953?dm3=jh6st136a>5<72;0::4j=:6`2?x}6:=h1<7>52;35=a1=?k<0qv?=4c83>5<528<2ho48b`9~443j3:1<7<517;f4?1en2wp=?:m:183>7<6>0o?6:m<;|y261d=83:1>7?99d;93f>40>mm0{|9;>i6=4?:3822<`12>n>7pu>25`94?6=:3;=5kl57ec8y~756`2;>2c03tq:>9l50;296?71i9n1;hm4}z310g<729096<8n1084b5=zs88?n7>50;0953g6>3=m96st136a>5<72;0::l?n:6d:?x}6:=h1<7>52;35e4`=?oo0qv?=4c83>5<528>47039~443j3:1<7<517c17?2wp=?:m:183>7<6>h8h65>m;|y261d=83:1>7?9a239<4640f;<03=95r{007f?6=8381=;o{|9;>i6=4?:3822d5b21;o7pu>25`94?6=:3;=m9=58308y~756`73>=4a3tq:>9l50;296?71i:4}z310g<729096<8n588;7==zs88?n7>50;0953g2m328h6st136a>5<72;0::l8=:962?x}6:=h1<7>52;35e31=0=<0qv?=4c83>5<5283n2wp=?:m:183>7<6>h=<65;7;|y261d=83:1>7?9a6g9<3740f0<03:l5r{007f?6=8381=;o7c;:47>{|9;>i6=4?:3822d?421=h7pu>25`94?6=:3;=m4o58978y~756`ce>=?d3tq:>9l50;296?71ik<14l=4}z310g<729096<8nbe8;ed=zs88?n7>50;0953gd<32i=6st136a>5<72;0::lmm:9`;?x}6:=h1<7>52;35ea4=0kl0qv?=4c83>5<528dl2wp=?:m:183>7<6>ho<65j;;|y261d=83:1>7?9adg940fn<03i?5r{007f?6=8381=;oic;:f=>{|9;>i6=4?:3822g6421l;7pu>25`94?6=:3;=n=o58g58y~75891vw<<;b;294?4=9?h:977?4:x572e290;6?4>6c3:><6?3tq:>9l50;296?71j8o15=j4}z310g<729096<8m238:54=zs88?n7>50;0953d5?33::6st136a>5<72;0::o52;35f66=18l0qv?=4c83>5<5287<6>k9o647?9b509=6740e{|9;>i6=4?:3822g2a209n7pu>25`94?6=:3;=n8:59518y~75<>1vw<<;b;294?4=9?h>h77;c:x572e290;6?4>6c42><373tq:>9l50;296?71j?<158;4}z310g<729096<8m6`8:1<=zs88?n7>50;0953d1n33>i6st136a>5<72;0::o9<:841?x}6:=h1<7>52;35f2>=1?=0qv?=4c83>5<5287<6>k2>649;;|y261d=83:1>7?9b9c9=2?40e0l02;i5r{007f?6=8381=;l63;;;6>{|9;>i6=4?:3822g?f202i7pu>25`94?6=:3;=nl?59868y~751m1vw<<;b;294?4=9?hjj77n6:x572e290;6?4>6c`5>9l50;296?71jkn15o64}z310g<729096<8mc58:g4=zs88?n7>50;0953ddj33hm6st136a>5<72;0::oj=:8f0?x}6:=h1<7>52;35fa?=1mi0qv?=4c83>5<5287<6>kon64h8;|y261d=83:1>7?9bg79e5640enj0j<45r{007f?6=8381=;m?3;c26>{|9;>i6=4?:3822f6f2h;i7pu>25`94?6=:3;=o6b05>d5a3tq:>9l50;296?71k;n1m964}z310g<729096<8l358b14=zs88?n7>50;0953e4j3k>m6st136a>5<72;0::n:=:`40?x}6:=h1<7>52;35g1?=i?i0qv?=4c83>5<5287<6>j?n6l6:;|y261d=83:1>7?9c779e=e40d>j0j5>5r{007f?6=8381=;m83;c:e>{|9;>i6=4?:3822f1f2hk:7pu>25`94?6=:3;=o5?5a`:8y~756b;5>ddc3tq:>9l50;296?71k0n1mn:4}z310g<729096<8la58bgg=zs88?n7>50;0953efj3ko>6st136a>5<72;0::nl=:`f:?x}6:=h1<7>52;35gg?=il:0qv?=4c83>5<5287<6>jin6lh:;|y261d=83:1>7?9ce79ece40dlj0i<>5r{007f?6=8381=;mj3;`3e>{|9;>i6=4?:3822fcf2k;:7pu>25`94?6=:3;=ok?5b0:8y~756e25>g4c3tq:>9l50;296?71l9n1n>:4}z310g<729096<8k158a7g=zs88?n7>50;0953b6j3h?>6st136a>5<72;0::i<=:c6:?x}6:=h1<7>52;35`7?=j<:0qv?=4c83>5<5287<6>m9n6o88;|y261d=83:1>7?9d579f2640c{|9;>i6=4?:3822a3f2k2i7pu>25`94?6=:3;=h;?5b868y~756e55>gga3tq:>9l50;296?71l>n1no64}z310g<729096<8k858ag4=zs88?n7>50;0953b?j3hhm6st136a>5<72;0::i7=:cf0?x}6:=h1<7>52;35`5<5287<6>mkn6oh8;|y261d=83:1>7?9dc79g5640cjj0h<45r{007f?6=8381=;jl3;a26>{|9;>i6=4?:3822aef2j;i7pu>25`94?6=:3;=hi?5c368y~756eg5>f5a3tq:>9l50;296?71lln1o964}z310g<729096<8kf58`14=zs88?n7>50;0953baj3i>m6st136a>5<72;0::h>=:b40?x}6:=h1<7>52;35a5?=k?i0qv?=4c83>5<5287<6>l;n6n68;|y261d=83:1>7?9e379g<640b:j0h545r{007f?6=8381=;k<3;ab6>{|9;>i6=4?:3822`5f2jki7pu>25`94?6=:3;=i9?5cc68y~756d75>fee3tq:>9l50;296?71m50;0953c1j3in:6st136a>5<72;0::h9=:bge?x}6:=h1<7>52;35a2?=ko20qv?=4c83>5<5287<6>l2n6i?<;|y261d=83:1>7?9e879`4e40b1j0o>85r{007f?6=8381=;kn3;f1a>{|9;>i6=4?:3822`gf2m9<7pu>25`94?6=:3;=io?5d2g8y~756da5>a343tq:>9l50;296?71mjn1h8o4}z310g<729096<8jd58g24=zs88?n7>50;0953ccj3n=46st136a>5<72;0::hk=:e4e?x}6:=h1<7>52;35a`?=l><0qv?=4c83>5<5287<6>lln6i6m;|y261d=83:1>7?9f179`<440a8j0o545r{007f?6=8381=;h>3;fb4>{|9;>i6=4?:3822c7f2mk<7pu>25`94?6=:3;=j??5d`g8y~756g15>ae43tq:>9l50;296?71n:n1hno4}z310g<729096<8i458g`4=zs88?n7>50;0953`3j3no46st136a>5<72;0::k;=:efe?x}6:=h1<7>52;35b0?=ll<0qv?=4c83>5<5287<6>o7?9f679a5440a?j0n<45r{007f?6=8381=;h73;g24>{|9;>i6=4?:3822c>f2l;<7pu>25`94?6=:3;=j4?5e0g8y~756gc5>`543tq:>9l50;296?71nhn1i>o4}z310g<729096<8ib58f04=zs88?n7>50;0953`ej3o?46st136a>5<72;0::km=:d6e?x}6:=h1<7>52;35bf?=m<<0qv?=4c83>5<5287<6>onn6h8m;|y261d=83:1>7?9fd79a2440amj0n;45r{007f?6=8381=;hi3;g;4>{|9;>i6=4?:3822c`f2l2<7pu>25`94?6=:3;<<=?5e9g8y~75:;j7k6c:x572e290;6?4>7135>`g43tq:>9l50;296?7088n1ilo4}z310g<729096<9?258ff4=zs88?n7>50;095265j3oi46st136a>5<72;0:;===:d`e?x}6:=h1<7>52;3446?=mo<0qv?=4c83>5<528=;?n4jf89~443j3:1<7<51620b?cak2wp=?:m:183>7<6?9>96k89;|y261d=83:1>7?80579b3?417:3;d43>{|9;>i6=4?:382353f2o=n7pu>25`94?6=:3;<<;?5f978y~75:=j7h63:x572e290;6?4>7155>c?d3tq:>9l50;296?708>n1jl;4}z310g<729096<9?858ee`=zs88?n7>50;09526?j3li;6st136a>5<72;0:;=7=:ga3?x}6:=h1<7>52;3445<528=;m=4id39~443j3:1<7<5162b3?`cj2wp=?:m:183>7<6?9kn6kk;;|y261d=83:1>7?80c79b`b417jj0mj;5r{007f?6=8381=:>l3;deb>{|9;>i6=4?:38235ef28:;46st136a>5<72;0:;=j>:0225>{|9;>i6=4?:38235b?28::m6st136a>5<72;0:;=ji:0217>{|9;>i6=4?:38235c128:9o6st136a>5<72;0:;=kk:0201>{|9;>i6=4?:38235`328:8i6st136a>5<72;0:;=hm:0273>{|9;>i6=4?:382346528:><6st136a>5<72;0:;<>6:026=>{|9;>i6=4?:382347728:=>6st136a>5<72;0:;{|9;>i6=4?:382347b28:<<6st136a>5<72;0:;<<<:0241>{|9;>i6=4?:382344>28:5<72;0:;<{|9;>i6=4?:382345328:3:6st136a>5<72;0:;<=n:02;g>{|9;>i6=4?:382342728:2>6st136a>5<72;0:;<:::02:3>{|9;>i6=4?:382342e28:2h6st136a>5<72;0:;<;>:02b7>{|9;>i6=4?:382343128:j46st136a>5<72;0:;<;l:02ba>{|9;>i6=4?:382340528:i86st136a>5<72;0:;<88:02a=>{|9;>i6=4?:382340c28:ij6st136a>5<72;0:;<9<:02`1>{|9;>i6=4?:382341?28:hm6st136a>5<72;0:;<9j:02g4>{|9;>i6=4?:38234>328:o:6st136a>5<72;0:;<66:02gf>{|9;>i6=4?:38234>a28:n=6st136a>5<72;0:;<7::02f3>{|9;>i6=4?:38234?f28:no6st136a>5<72;0:;{|9;>i6=4?:38234g128:m46st136a>5<72;0:;{|9;>i6=4?:38234d628;;?6st136a>5<72;0:;{|9;>i6=4?:38234dd28;;i6st136a>5<72;0:;{|9;>i6=4?:38234e?28;:m6st136a>5<72;0:;{|9;>i6=4?:38234b428;996st136a>5<72;0:;{|9;>i6=4?:38234bb28;8<6st136a>5<72;0:;{|9;>i6=4?:38234cf28;8o6st136a>5<72;0:;{|9;>i6=4?:38234`228;?;6st136a>5<72;0:;{|9;>i6=4?:382376728;>>6st136a>5<72;0:;?>9:036<>{|9;>i6=4?:382376d28;>i6st136a>5<72;0:;??>:0357>{|9;>i6=4?:382377028;=56st136a>5<72;0:;??k:035b>{|9;>i6=4?:382374528;<86st136a>5<72;0:;?<7:034e>{|9;>i6=4?:382374c28;5<72;0:;?=::03;3>{|9;>i6=4?:382375f28;3o6st136a>5<72;0:;?:=:03:0>{|9;>i6=4?:382372028;256st136a>5<72;0:;?:i:03b5>{|9;>i6=4?:382373328;j:6st136a>5<72;0:;?;l:03ba>{|9;>i6=4?:382370628;i?6st136a>5<72;0:;?86:03af>{|9;>i6=4?:382370b28;h<6st136a>5<72;0:;?99:03`<>{|9;>i6=4?:382371e28;hh6st136a>5<72;0:;?6<:03g1>{|9;>i6=4?:38237>?28;om6st136a>5<72;0:;?7?:03f6>{|9;>i6=4?:38237?228;n;6st136a>5<72;0:;?7k:03fb>{|9;>i6=4?:38237g528;m86st136a>5<72;0:;?on:03eg>{|9;>i6=4?:38237ga288;=6st136a>5<72;0:;?l::0033>{|9;>i6=4?:38237de288;h6st136a>5<72;0:;?m?:0026>{|9;>i6=4?:38237e1288:46st136a>5<72;0:;?ml:002a>{|9;>i6=4?:38237b62889?6st136a>5<72;0:;?j8:001=>{|9;>i6=4?:38237bc2889j6st136a>5<72;0:;?k=:0000>{|9;>i6=4?:38237c?2888m6st136a>5<72;0:;?kj:0074>{|9;>i6=4?:38237`4288?96st136a>5<72;0:;?h6:007f>{|9;>i6=4?:38237`a288>=6st136a>5<72;0:;>>;:0062>{|9;>i6=4?:382366f288>o6st136a>5<72;0:;>??:0056>{|9;>i6=4?:3823672288=;6st136a>5<72;0:;>?m:005`>{|9;>i6=4?:38236462885<72;0:;><9:004<>{|9;>i6=4?:382364d2885<72;0:;>==:00;0>{|9;>i6=4?:3823650288356st136a>5<72;0:;>=k:00;b>{|9;>i6=4?:3823624288296st136a>5<72;0:;>:7:00:e>{|9;>i6=4?:382362b288j<6st136a>5<72;0:;>;;:00b2>{|9;>i6=4?:382363>288jn6st136a>5<72;0:;>;i:00a5>{|9;>i6=4?:3823602288i;6st136a>5<72;0:;>8n:00ag>{|9;>i6=4?:3823617288h>6st136a>5<72;0:;>99:00`<>{|9;>i6=4?:382361e288hh6st136a>5<72;0:;>6>:00g7>{|9;>i6=4?:38236>0288o56st136a>5<72;0:;>6l:00ga>{|9;>i6=4?:38236?5288n86st136a>5<72;0:;>77:00fe>{|9;>i6=4?:38236?c288nj6st136a>5<72;0:;>o<:00e1>{|9;>i6=4?:38236g>288mn6st136a>5<72;0:;>ok:00eb>{|9;>i6=4?:38236d6289;?6st136a>5<72;0:;>l9:013<>{|9;>i6=4?:38236df289;o6st136a>5<72;0:;>li:0125>{|9;>i6=4?:38236e4289:96st136a>5<72;0:;>m7:012e>{|9;>i6=4?:38236ed289:i6st136a>5<72;0:;>j>:0117>{|9;>i6=4?:38236b22899;6st136a>5<72;0:;>jn:011g>{|9;>i6=4?:38236bb2898<6st136a>5<72;0:;>k<:0101>{|9;>i6=4?:38236c0289856st136a>5<72;0:;>kl:010a>{|9;>i6=4?:38236`7289?>6st136a>5<72;0:;>h::0173>{|9;>i6=4?:38236`>289?n6st136a>5<72;0:;>hj:0164>{|9;>i6=4?:3823165289>86st136a>5<72;0:;9>8:016=>{|9;>i6=4?:382316e289>h6st136a>5<72;0:;9??:0156>{|9;>i6=4?:3823173289=:6st136a>5<72;0:;9?6:015f>{|9;>i6=4?:382317c289=j6st136a>5<72;0:;9<=:0140>{|9;>i6=4?:3823141289<46st136a>5<72;0:;9{|9;>i6=4?:382314a2893=6st136a>5<72;0:;9=;:01;2>{|9;>i6=4?:382315?2893m6st136a>5<72;0:;9=k:01;b>{|9;>i6=4?:38231262892?6st136a>5<72;0:;9:9:01:<>{|9;>i6=4?:382312f2892o6st136a>5<72;0:;9:i:01b5>{|9;>i6=4?:3823134289j96st136a>5<72;0:;9;7:01be>{|9;>i6=4?:382313d289ji6st136a>5<72;0:;98>:01a7>{|9;>i6=4?:3823102289i;6st136a>5<72;0:;98n:01ag>{|9;>i6=4?:382310b289h<6st136a>5<72;0:;99<:01`1>{|9;>i6=4?:3823110289h56st136a>5<72;0:;99l:01`a>{|9;>i6=4?:38231>7289o>6st136a>5<72;0:;96::01g3>{|9;>i6=4?:38231>>289on6st136a>5<72;0:;96j:01f4>{|9;>i6=4?:38231?5289n86st136a>5<72;0:;978:01f=>{|9;>i6=4?:38231?e289nh6st136a>5<72;0:;9o?:01e6>{|9;>i6=4?:38231g3289m:6st136a>5<72;0:;9o6:01ef>{|9;>i6=4?:38231gc289mj6st136a>5<72;0:;9l=:0630>{|9;>i6=4?:38231d128>;46st136a>5<72;0:;9lm:063`>{|9;>i6=4?:38231da28>:=6st136a>5<72;0:;9m;:0622>{|9;>i6=4?:38231e?28>:m6st136a>5<72;0:;9ml:062a>{|9;>i6=4?:38231b628>9?6st136a>5<72;0:;9j::0613>{|9;>i6=4?:38231bf28>9o6st136a>5<72;0:;9jj:0604>{|9;>i6=4?:38231c428>896st136a>5<72;0:;9k8:060=>{|9;>i6=4?:38231cd28>8i6st136a>5<72;0:;9h?:0676>{|9;>i6=4?:38231`228>?;6st136a>5<72;0:;9h6:067f>{|9;>i6=4?:38231`b28>><6st136a>5<72;0:;8>=:0660>{|9;>i6=4?:382306128>>46st136a>5<72;0:;8>m:066`>{|9;>i6=4?:382306a28>==6st136a>5<72;0:;8?<:0651>{|9;>i6=4?:382307?28>=m6st136a>5<72;0:;8?l:065a>{|9;>i6=4?:382304728><>6st136a>5<72;0:;8<::0643>{|9;>i6=4?:382304>28>5<72;0:;8{|9;>i6=4?:382305528>386st136a>5<72;0:;8=9:06;<>{|9;>i6=4?:382305f28>3o6st136a>5<72;0:;8=j:06:4>{|9;>i6=4?:382302428>296st136a>5<72;0:;8:8:06:=>{|9;>i6=4?:382302d28>2i6st136a>5<72;0:;8;?:06b6>{|9;>i6=4?:382303228>j;6st136a>5<72;0:;8;6:06bf>{|9;>i6=4?:382303b28>i<6st136a>5<72;0:;88=:06a0>{|9;>i6=4?:382300028>i56st136a>5<72;0:;88m:06a`>{|9;>i6=4?:382301728>h>6st136a>5<72;0:;89;:06`2>{|9;>i6=4?:382301>28>hn6st136a>5<72;0:;89k:06`b>{|9;>i6=4?:38230>628>o?6st136a>5<72;0:;869:06g<>{|9;>i6=4?:38230>f28>oo6st136a>5<72;0:;86j:06f4>{|9;>i6=4?:38230?428>n96st136a>5<72;0:;878:06f=>{|9;>i6=4?:38230?e28>nh6st136a>5<72;0:;8o?:06e6>{|9;>i6=4?:38230g328>m:6st136a>5<72;0:;8o7:06ee>{|9;>i6=4?:38230gd28>mi6st136a>5<72;0:;8l?:0736>{|9;>i6=4?:38230d228?;;6st136a>5<72;0:;8l6:073f>{|9;>i6=4?:38230dc28?;j6st136a>5<72;0:;8m=:0720>{|9;>i6=4?:38230e128?:46st136a>5<72;0:;8mn:072g>{|9;>i6=4?:38230ea28?9=6st136a>5<72;0:;8j<:0711>{|9;>i6=4?:38230b028?956st136a>5<72;0:;8jl:071a>{|9;>i6=4?:38230c728?8>6st136a>5<72;0:;8k;:0702>{|9;>i6=4?:38230c>28?8n6st136a>5<72;0:;8kk:070b>{|9;>i6=4?:38230`628???6st136a>5<72;0:;8h9:077<>{|9;>i6=4?:38230`f28??o6st136a>5<72;0:;8hj:0764>{|9;>i6=4?:382336428?>96st136a>5<72;0:;;>8:076=>{|9;>i6=4?:382336e28?>h6st136a>5<72;0:;;??:0756>{|9;>i6=4?:382337328?=:6st136a>5<72;0:;;?7:075e>{|9;>i6=4?:382337c28?=j6st136a>5<72;0:;;<>:0747>{|9;>i6=4?:382334228?<;6st136a>5<72;0:;;{|9;>i6=4?:382334b28?3<6st136a>5<72;0:;;==:07;0>{|9;>i6=4?:382335028?356st136a>5<72;0:;;=m:07;`>{|9;>i6=4?:382335a28?2=6st136a>5<72;0:;;:;:07:2>{|9;>i6=4?:382332?28?2m6st136a>5<72;0:;;:l:07:a>{|9;>i6=4?:382333628?j?6st136a>5<72;0:;;;::07b3>{|9;>i6=4?:382333>28?jn6st136a>5<72;0:;;;j:07a4>{|9;>i6=4?:382330528?i86st136a>5<72;0:;;89:07a<>{|9;>i6=4?:382330e28?ih6st136a>5<72;0:;;8i:07`5>{|9;>i6=4?:382331428?h96st136a>5<72;0:;;97:07`e>{|9;>i6=4?:382331d28?hi6st136a>5<72;0:;;6?:07g6>{|9;>i6=4?:38233>228?o;6st136a>5<72;0:;;66:07gf>{|9;>i6=4?:38233>c28?oj6st136a>5<72;0:;;7=:07f0>{|9;>i6=4?:38233?128?n46st136a>5<72;0:;;7n:07fg>{|9;>i6=4?:38233?a28?m=6st136a>5<72;0:;;o<:07e1>{|9;>i6=4?:38233g028?m56st136a>5<72;0:;;ol:07ea>{|9;>i6=4?:38233d728<;>6st136a>5<72;0:;;l;:0432>{|9;>i6=4?:38233d>28<;n6st136a>5<72;0:;;lk:043b>{|9;>i6=4?:38233e628<:?6st136a>5<72;0:;;m9:042<>{|9;>i6=4?:38233ef28<:o6st136a>5<72;0:;;mj:0414>{|9;>i6=4?:38233b428<996st136a>5<72;0:;;j8:041=>{|9;>i6=4?:38233bd28<9i6st136a>5<72;0:;;k?:0406>{|9;>i6=4?:38233c228<8;6st136a>5<72;0:;;k6:040f>{|9;>i6=4?:38233cb285<72;0:;;h=:0470>{|9;>i6=4?:38233`0285<72;0:;;hm:047`>{|9;>i6=4?:382326728<>>6st136a>5<72;0:;:>;:0462>{|9;>i6=4?:382326>28<>n6st136a>5<72;0:;:>k:046b>{|9;>i6=4?:382327528<=86st136a>5<72;0:;:?9:045<>{|9;>i6=4?:382327e28<=h6st136a>5<72;0:;:?i:0445>{|9;>i6=4?:382324328<<:6st136a>5<72;0:;:<7:044e>{|9;>i6=4?:382324c28<5<72;0:;:=>:04;7>{|9;>i6=4?:382325128<346st136a>5<72;0:;:=n:04;g>{|9;>i6=4?:382325a28<2=6st136a>5<72;0:;::<:04:1>{|9;>i6=4?:382322?28<2m6st136a>5<72;0:;::l:04:a>{|9;>i6=4?:3823236285<72;0:;:;::04b3>{|9;>i6=4?:382323f285<72;0:;:;j:04a4>{|9;>i6=4?:3823204285<72;0:;:88:04a=>{|9;>i6=4?:382320d285<72;0:;:9?:04`6>{|9;>i6=4?:3823212285<72;0:;:96:04`f>{|9;>i6=4?:382321b285<72;0:;:6=:04g0>{|9;>i6=4?:38232>0285<72;0:;:6m:04g`>{|9;>i6=4?:38232?7286st136a>5<72;0:;:7;:04f2>{|9;>i6=4?:38232??285<72;0:;:7l:04fa>{|9;>i6=4?:38232g6285<72;0:;:o::04e3>{|9;>i6=4?:38232g>285<72;0:;:oj:0534>{|9;>i6=4?:38232d528=;86st136a>5<72;0:;:l9:053<>{|9;>i6=4?:38232de28=;h6st136a>5<72;0:;:li:0525>{|9;>i6=4?:38232e428=:96st136a>5<72;0:;:m7:052e>{|9;>i6=4?:38232ed28=:i6st136a>5<72;0:;:j?:0516>{|9;>i6=4?:38232b228=9;6st136a>5<72;0:;:j6:051f>{|9;>i6=4?:38232bc28=9j6st136a>5<72;0:;:k=:0500>{|9;>i6=4?:38232c128=846st136a>5<72;0:;:kn:050g>{|9;>i6=4?:38232ca28=?=6st136a>5<72;0:;:h<:0571>{|9;>i6=4?:38232`028=?56st136a>5<72;0:;:hl:057a>{|9;>i6=4?:3823=6728=>>6st136a>5<72;0:;5>;:0562>{|9;>i6=4?:3823=6>28=>n6st136a>5<72;0:;5>k:056b>{|9;>i6=4?:3823=7628==?6st136a>5<72;0:;5?9:055<>{|9;>i6=4?:3823=7f28==o6st136a>5<72;0:;5?j:0544>{|9;>i6=4?:3823=4428=<96st136a>5<72;0:;5<8:054=>{|9;>i6=4?:3823=4e28=5<72;0:;5=?:05;6>{|9;>i6=4?:3823=5328=3:6st136a>5<72;0:;5=7:05;e>{|9;>i6=4?:3823=5c28=3j6st136a>5<72;0:;5:>:05:7>{|9;>i6=4?:3823=2228=2;6st136a>5<72;0:;5:n:05:g>{|9;>i6=4?:3823=2b28=j<6st136a>5<72;0:;5;=:05b0>{|9;>i6=4?:3823=3028=j56st136a>5<72;0:;5;m:05b`>{|9;>i6=4?:3823=3a28=i=6st136a>5<72;0:;58;:05a2>{|9;>i6=4?:3823=0?28=im6st136a>5<72;0:;58l:05aa>{|9;>i6=4?:3823=1628=h?6st136a>5<72;0:;59::05`3>{|9;>i6=4?:3823=1>28=hn6st136a>5<72;0:;59j:05g4>{|9;>i6=4?:3823=>528=o86st136a>5<72;0:;569:05g<>{|9;>i6=4?:3823=>e28=oh6st136a>5<72;0:;56i:05f5>{|9;>i6=4?:3823=?428=n96st136a>5<72;0:;577:05fe>{|9;>i6=4?:3823=?d28=ni6st136a>5<72;0:;5o?:05e6>{|9;>i6=4?:3823=g228=m;6st136a>5<72;0:;5o6:05ef>{|9;>i6=4?:3823=gc28=mj6st136a>5<72;0:;5l=:0:30>{|9;>i6=4?:3823=d1282;46st136a>5<72;0:;5ln:0:3g>{|9;>i6=4?:3823=da282:=6st136a>5<72;0:;5m<:0:21>{|9;>i6=4?:3823=e0282:56st136a>5<72;0:;5ml:0:2a>{|9;>i6=4?:3823=b72829>6st136a>5<72;0:;5j;:0:12>{|9;>i6=4?:3823=b>2829n6st136a>5<72;0:;5jk:0:1b>{|9;>i6=4?:3823=c62828?6st136a>5<72;0:;5k9:0:0<>{|9;>i6=4?:3823=cf2828o6st136a>5<72;0:;5kj:0:74>{|9;>i6=4?:3823=`4282?96st136a>5<72;0:;5h8:0:7=>{|9;>i6=4?:3823=`e282?h6st136a>5<72;0:;4>?:0:66>{|9;>i6=4?:3823<63282>:6st136a>5<72;0:;4>7:0:6e>{|9;>i6=4?:3823<6c282>j6st136a>5<72;0:;4?>:0:57>{|9;>i6=4?:3823<72282=;6st136a>5<72;0:;4?n:0:5g>{|9;>i6=4?:3823<7b282<<6st136a>5<72;0:;4<=:0:40>{|9;>i6=4?:3823<40282<56st136a>5<72;0:;4{|9;>i6=4?:3823<52282356st136a>5<72;0:;4=l:0::4>{|9;>i6=4?:3823<242822;6st136a>5<72;0:;4:n:0::a>{|9;>i6=4?:3823<36282j;6st136a>5<72;0:;4;7:0:a4>{|9;>i6=4?:3823<3a282i56st136a>5<72;0:;489:0:`6>{|9;>i6=4?:3823<0c282hn6st136a>5<72;0:;49;:0:g0>{|9;>i6=4?:3823<1e282oh6st136a>5<72;0:;46=:0:f2>{|9;>i6=4?:3823<>>282nj6st136a>5<72;0:;47?:0:e<>{|9;>i6=4?:38235<72;0:;47j:0;3e>{|9;>i6=4?:38235<72;0:;4ol:0;2g>{|9;>i6=4?:38235<72;0:;4ln:0;1a>{|9;>i6=4?:38235<72;0:;4m7:0;74>{|9;>i6=4?:38235<72;0:;4j9:0;64>{|9;>i6=4?:3823;6st136a>5<72;0:;4k;:0;6a>{|9;>i6=4?:38235<72;0:;4h=:0;5g>{|9;>i6=4?:3823<`>2835<72;0:;l>?:0;4e>{|9;>i6=4?:3823d602833=6st136a>5<72;0:;l>j:0;;<>{|9;>i6=4?:3823d722833j6st136a>5<72;0:;l?l:0;:2>{|9;>i6=4?:3823d442832h6st136a>5<72;0:;l{|9;>i6=4?:3823d56283jh6st136a>5<72;0:;l=7:0;a0>{|9;>i6=4?:3823d5a283in6st136a>5<72;0:;l:9:0;`6>{|9;>i6=4?:3823d2c283h56st136a>5<72;0:;l;;:0;g4>{|9;>i6=4?:3823d3e283o;6st136a>5<72;0:;l8=:0;ga>{|9;>i6=4?:3823d0>283n96st136a>5<72;0:;l9?:0;fg>{|9;>i6=4?:3823d10283m?6st136a>5<72;0:;l9j:0;ee>{|9;>i6=4?:3823d>228k;=6st136a>5<72;0:;l6l:0c3<>{|9;>i6=4?:3823d?428k;j6st136a>5<72;0:;l7n:0c22>{|9;>i6=4?:3823dg628k:h6st136a>5<72;0:;lo7:0c10>{|9;>i6=4?:3823dga28k9n6st136a>5<72;0:;ll9:0c06>{|9;>i6=4?:3823ddc28k856st136a>5<72;0:;lm;:0c74>{|9;>i6=4?:3823dee28k?;6st136a>5<72;0:;lj=:0c7a>{|9;>i6=4?:3823db>28k>96st136a>5<72;0:;lk?:0c6g>{|9;>i6=4?:3823dc028k=96st136a>5<72;0:;lkj:0c5a>{|9;>i6=4?:3823d`228k<;6st136a>5<72;0:;lhl:0c;4>{|9;>i6=4?:3823g6428k356st136a>5<72;0:;o>n:0c:6>{|9;>i6=4?:3823g7628k2n6st136a>5<72;0:;o?7:0cb0>{|9;>i6=4?:3823g7a28kjh6st136a>5<72;0:;o<9:0ca2>{|9;>i6=4?:3823g4c28kij6st136a>5<72;0:;o=;:0c`<>{|9;>i6=4?:3823g5e28ko=6st136a>5<72;0:;o:=:0cge>{|9;>i6=4?:3823g2>28kn?6st136a>5<72;0:;o;?:0cfg>{|9;>i6=4?:3823g3028km96st136a>5<72;0:;o;j:0cea>{|9;>i6=4?:3823g0228h;;6st136a>5<72;0:;o8l:0`24>{|9;>i6=4?:3823g1428h:56st136a>5<72;0:;o9n:0`16>{|9;>i6=4?:3823g>628h9n6st136a>5<72;0:;o67:0`06>{|9;>i6=4?:3823g>a28h856st136a>5<72;0:;o79:0`74>{|9;>i6=4?:3823g?c28h?;6st136a>5<72;0:;oo;:0`7a>{|9;>i6=4?:3823gge28h>96st136a>5<72;0:;ol=:0`6g>{|9;>i6=4?:3823gd>28h=?6st136a>5<72;0:;om?:0`5e>{|9;>i6=4?:3823ge028h<=6st136a>5<72;0:;omj:0`4<>{|9;>i6=4?:3823gb228h5<72;0:;ojl:0`;2>{|9;>i6=4?:3823gc428h3h6st136a>5<72;0:;okn:0`:0>{|9;>i6=4?:3823g`628h2n6st136a>5<72;0:;oh7:0`b6>{|9;>i6=4?:3823g`a28hj56st136a>5<72;0:;n>9:0`a4>{|9;>i6=4?:3823f6c28hi;6st136a>5<72;0:;n?;:0`aa>{|9;>i6=4?:3823f7e28hh96st136a>5<72;0:;n<=:0``g>{|9;>i6=4?:3823f4>28ho?6st136a>5<72;0:;n=?:0`ge>{|9;>i6=4?:3823f5028hn=6st136a>5<72;0:;n=j:0`f<>{|9;>i6=4?:3823f2228hnj6st136a>5<72;0:;n:l:0`e2>{|9;>i6=4?:3823f3428hmh6st136a>5<72;0:;n;n:0a30>{|9;>i6=4?:3823f0628i;n6st136a>5<72;0:;n87:0a26>{|9;>i6=4?:3823f0a28i:56st136a>5<72;0:;n99:0a14>{|9;>i6=4?:3823f1c28i9;6st136a>5<72;0:;n6;:0a1a>{|9;>i6=4?:3823f>e28i896st136a>5<72;0:;n7=:0a0g>{|9;>i6=4?:3823f?>28i??6st136a>5<72;0:;no?:0a7e>{|9;>i6=4?:3823fg028i>=6st136a>5<72;0:;noj:0a6e>{|9;>i6=4?:3823fd228i=?6st136a>5<72;0:;nll:0a5g>{|9;>i6=4?:3823fe428i<96st136a>5<72;0:;nmn:0a4a>{|9;>i6=4?:3823fb628i3;6st136a>5<72;0:;nj7:0a:4>{|9;>i6=4?:3823fba28i256st136a>5<72;0:;nk9:0ab6>{|9;>i6=4?:3823fcc28ijn6st136a>5<72;0:;nh;:0aa0>{|9;>i6=4?:3823f`e28iih6st136a>5<72;0:;i>=:0a`2>{|9;>i6=4?:3823a6>28ihj6st136a>5<72;0:;i??:0ag<>{|9;>i6=4?:3823a7028ioj6st136a>5<72;0:;i?j:0af<>{|9;>i6=4?:3823a4228im=6st136a>5<72;0:;i{|9;>i6=4?:3823a5428n;?6st136a>5<72;0:;i=n:0f3g>{|9;>i6=4?:3823a2628n:?6st136a>5<72;0:;i:7:0f2e>{|9;>i6=4?:3823a2a28n9=6st136a>5<72;0:;i;9:0f1<>{|9;>i6=4?:3823a3c28n9j6st136a>5<72;0:;i8;:0f02>{|9;>i6=4?:3823a0e28n8h6st136a>5<72;0:;i9=:0f70>{|9;>i6=4?:3823a1>28n?n6st136a>5<72;0:;i6?:0f66>{|9;>i6=4?:3823a>028n>56st136a>5<72;0:;i6j:0f54>{|9;>i6=4?:3823a?228n=;6st136a>5<72;0:;i7l:0f5a>{|9;>i6=4?:3823ag428n<96st136a>5<72;0:;ion:0f4g>{|9;>i6=4?:3823ad628n3?6st136a>5<72;0:;il7:0f;e>{|9;>i6=4?:3823ada28n2=6st136a>5<72;0:;im9:0f:<>{|9;>i6=4?:3823aec28n2j6st136a>5<72;0:;ij;:0fb2>{|9;>i6=4?:3823abe28njh6st136a>5<72;0:;ik=:0fa0>{|9;>i6=4?:3823ac>28nin6st136a>5<72;0:;ih?:0f`6>{|9;>i6=4?:3823a`028nh56st136a>5<72;0:;ihj:0fg4>{|9;>i6=4?:3823`6228no;6st136a>5<72;0:;h>l:0fga>{|9;>i6=4?:3823`7428nn;6st136a>5<72;0:;h?n:0fe4>{|9;>i6=4?:3823`4628nm56st136a>5<72;0:;h<7:0g36>{|9;>i6=4?:3823`4a28o;n6st136a>5<72;0:;h=9:0g20>{|9;>i6=4?:3823`5c28o:h6st136a>5<72;0:;h:;:0g12>{|9;>i6=4?:3823`2e28o9j6st136a>5<72;0:;h;=:0g0<>{|9;>i6=4?:3823`3>28o?=6st136a>5<72;0:;h8?:0g7e>{|9;>i6=4?:3823`0028o>?6st136a>5<72;0:;h8j:0g6g>{|9;>i6=4?:3823`1228o=96st136a>5<72;0:;h9l:0g5a>{|9;>i6=4?:3823`>428o<;6st136a>5<72;0:;h6n:0g;4>{|9;>i6=4?:3823`?628o356st136a>5<72;0:;h77:0g:6>{|9;>i6=4?:3823`?a28o2n6st136a>5<72;0:;ho9:0gb0>{|9;>i6=4?:3823`gc28ojh6st136a>5<72;0:;hl;:0ga0>{|9;>i6=4?:3823`de28oin6st136a>5<72;0:;hm=:0g`6>{|9;>i6=4?:3823`e>28oh56st136a>5<72;0:;hj?:0gg4>{|9;>i6=4?:3823`b028oo;6st136a>5<72;0:;hjj:0gga>{|9;>i6=4?:3823`c228on96st136a>5<72;0:;hkl:0gfg>{|9;>i6=4?:3823``428om?6st136a>5<72;0:;hhn:0gee>{|9;>i6=4?:3823c6628l;=6st136a>5<72;0:;k>7:0d3<>{|9;>i6=4?:3823c6a28l;j6st136a>5<72;0:;k?9:0d22>{|9;>i6=4?:3823c7c28l:h6st136a>5<72;0:;k<;:0d10>{|9;>i6=4?:3823c4e28l9n6st136a>5<72;0:;k==:0d06>{|9;>i6=4?:3823c5>28l856st136a>5<72;0:;k:?:0d74>{|9;>i6=4?:3823c2028l?;6st136a>5<72;0:;k:j:0d7a>{|9;>i6=4?:3823c3228l>96st136a>5<72;0:;k;l:0d6g>{|9;>i6=4?:3823c0428l=?6st136a>5<72;0:;k8n:0d5e>{|9;>i6=4?:3823c1628l<=6st136a>5<72;0:;k97:0d4<>{|9;>i6=4?:3823c1a28l5<72;0:;k69:0d;2>{|9;>i6=4?:3823c>c28l3h6st136a>5<72;0:;k7;:0d:0>{|9;>i6=4?:3823c?e28l2h6st136a>5<72;0:;ko=:0db2>{|9;>i6=4?:3823cg>28ljj6st136a>5<72;0:;kl?:0da<>{|9;>i6=4?:3823cd028lh=6st136a>5<72;0:;klj:0d`e>{|9;>i6=4?:3823ce228lo?6st136a>5<72;0:;kml:0dgg>{|9;>i6=4?:3823cb428ln96st136a>5<72;0:;kjn:0dfa>{|9;>i6=4?:3823cc628lm;6st136a>5<72;0:;kk7:3234>{|9;>i6=4?:3823cca2;:;56st136a>5<72;0:;kh9:3226>{|9;>i6=4?:3823c`c2;::n6st136a>5<72;0:4=>;:3210>{|9;>i6=4?:382<56e2;:9h6st136a>5<72;0:4=?=:3202>{|9;>i6=4?:382<57>2;:8j6st136a>5<72;0:4={|9;>i6=4?:382<5402;:>=6st136a>5<72;0:4={|9;>i6=4?:382<5522;:=?6st136a>5<72;0:4==l:325e>{|9;>i6=4?:382<5242;:<=6st136a>5<72;0:4=:n:324<>{|9;>i6=4?:382<5362;:5<72;0:4=;7:32;2>{|9;>i6=4?:382<53a2;:3h6st136a>5<72;0:4=89:32:0>{|9;>i6=4?:382<50c2;:2n6st136a>5<72;0:4=9;:32b6>{|9;>i6=4?:382<51e2;:j56st136a>5<72;0:4=6=:32a4>{|9;>i6=4?:382<5>>2;:i;6st136a>5<72;0:4=7?:32aa>{|9;>i6=4?:382<5?02;:h96st136a>5<72;0:4=7j:32`g>{|9;>i6=4?:382<5g22;:o?6st136a>5<72;0:4=ol:32ge>{|9;>i6=4?:382<5d42;:n=6st136a>5<72;0:4=ln:32f<>{|9;>i6=4?:382<5e62;:nj6st136a>5<72;0:4=m7:32e2>{|9;>i6=4?:382<5ea2;:mh6st136a>5<72;0:4=j9:3330>{|9;>i6=4?:382<5bc2;;;n6st136a>5<72;0:4=k;:3326>{|9;>i6=4?:382<5ce2;;:56st136a>5<72;0:4=h=:3314>{|9;>i6=4?:382<5`>2;;9;6st136a>5<72;0:4<>?:331a>{|9;>i6=4?:382<4602;;896st136a>5<72;0:4<>j:330g>{|9;>i6=4?:382<4722;;??6st136a>5<72;0:4{|9;>i6=4?:382<4442;;>=6st136a>5<72;0:4<{|9;>i6=4?:382<4562;;>j6st136a>5<72;0:4<=7:3352>{|9;>i6=4?:382<45a2;;=h6st136a>5<72;0:4<:9:3340>{|9;>i6=4?:382<42c2;;5<72;0:4<;;:33;6>{|9;>i6=4?:382<43e2;;356st136a>5<72;0:4<8=:33:4>{|9;>i6=4?:382<40>2;;2;6st136a>5<72;0:4<9?:33:a>{|9;>i6=4?:382<4102;;j96st136a>5<72;0:4<9j:33bg>{|9;>i6=4?:382<4>22;;i?6st136a>5<72;0:4<6l:33ae>{|9;>i6=4?:382<4?42;;h=6st136a>5<72;0:4<7n:33`<>{|9;>i6=4?:382<4g62;;hj6st136a>5<72;0:4{|9;>i6=4?:382<4ga2;;oh6st136a>5<72;0:4{|9;>i6=4?:382<4dc2;;nn6st136a>5<72;0:4{|9;>i6=4?:382<4ee2;;m56st136a>5<72;0:4{|9;>i6=4?:382<4b>2;8;;6st136a>5<72;0:4{|9;>i6=4?:382<4c02;8:96st136a>5<72;0:4{|9;>i6=4?:382<4`22;89?6st136a>5<72;0:4{|9;>i6=4?:382<7642;88=6st136a>5<72;0:4?>n:300<>{|9;>i6=4?:382<7762;88j6st136a>5<72;0:4??7:3072>{|9;>i6=4?:382<77a2;8?h6st136a>5<72;0:4?<9:3060>{|9;>i6=4?:382<74c2;8>h6st136a>5<72;0:4?=;:3052>{|9;>i6=4?:382<75e2;8=h6st136a>5<72;0:4?:=:3040>{|9;>i6=4?:382<72>2;85<72;0:4?;?:30;6>{|9;>i6=4?:382<7302;8356st136a>5<72;0:4?;j:30:4>{|9;>i6=4?:382<7022;82;6st136a>5<72;0:4?8l:30:a>{|9;>i6=4?:382<7142;8j96st136a>5<72;0:4?9n:30bg>{|9;>i6=4?:382<7>62;8i?6st136a>5<72;0:4?67:30ae>{|9;>i6=4?:382<7>a2;8h=6st136a>5<72;0:4?79:30`<>{|9;>i6=4?:382<7?c2;8hj6st136a>5<72;0:4?o;:30g2>{|9;>i6=4?:382<7ge2;8oh6st136a>5<72;0:4?l=:30f0>{|9;>i6=4?:382<7d>2;8nn6st136a>5<72;0:4?m?:30e6>{|9;>i6=4?:382<7e02;8m56st136a>5<72;0:4?mj:3134>{|9;>i6=4?:382<7b22;9;;6st136a>5<72;0:4?jl:313a>{|9;>i6=4?:382<7c42;9:96st136a>5<72;0:4?kn:312g>{|9;>i6=4?:382<7`62;99?6st136a>5<72;0:4?h7:311e>{|9;>i6=4?:382<7`a2;98=6st136a>5<72;0:4>>9:310<>{|9;>i6=4?:382<66c2;98j6st136a>5<72;0:4>?;:3172>{|9;>i6=4?:382<67e2;9?h6st136a>5<72;0:4><=:3160>{|9;>i6=4?:382<64>2;9>n6st136a>5<72;0:4>=?:3156>{|9;>i6=4?:382<6502;9=56st136a>5<72;0:4>=j:3144>{|9;>i6=4?:382<6222;9<;6st136a>5<72;0:4>:l:314a>{|9;>i6=4?:382<6342;9396st136a>5<72;0:4>;n:31;g>{|9;>i6=4?:382<6062;92?6st136a>5<72;0:4>87:31:e>{|9;>i6=4?:382<60a2;9j=6st136a>5<72;0:4>99:31b<>{|9;>i6=4?:382<61c2;9jj6st136a>5<72;0:4>6;:31a2>{|9;>i6=4?:382<6>e2;9ih6st136a>5<72;0:4>7=:31`0>{|9;>i6=4?:382<6?>2;9hn6st136a>5<72;0:4>o?:31g6>{|9;>i6=4?:382<6g02;9o56st136a>5<72;0:4>oj:31f4>{|9;>i6=4?:382<6d22;9n;6st136a>5<72;0:4>ll:31fa>{|9;>i6=4?:382<6e42;9m96st136a>5<72;0:4>mn:31eg>{|9;>i6=4?:382<6b62;>;?6st136a>5<72;0:4>j7:363e>{|9;>i6=4?:382<6ba2;>:=6st136a>5<72;0:4>k9:3747>{|9;>i6=4?:382<0262;2956st136a>5<72;0:4;7l:3;;0>{|9;>i6=4?:382<3g42;33h6st136a>5<72;0:4;on:3;:2>{|9;>i6=4?:382<3d62;32j6st136a>5<72;0:4;l7:3;b2>{|9;>i6=4?:382<3da2;3jh6st136a>5<72;0:4;m9:3;a2>{|9;>i6=4?:382<3ec2;3ij6st136a>5<72;0:4;j;:3;`<>{|9;>i6=4?:382<3be2;3hj6st136a>5<72;0:4;k=:3;g2>{|9;>i6=4?:382<3c>2;3oh6st136a>5<72;0:4;h?:3;f0>{|9;>i6=4?:382<3`02;3nn6st136a>5<72;0:4;hj:3;e6>{|9;>i6=4?:382<2622;3m56st136a>5<72;0:4:>l:3c34>{|9;>i6=4?:382<2742;k;;6st136a>5<72;0:4:?n:3c24>{|9;>i6=4?:382<2462;k:56st136a>5<72;0:4:<7:3c16>{|9;>i6=4?:382<24a2;k956st136a>5<72;0:4:=9:3c04>{|9;>i6=4?:382<25c2;k8;6st136a>5<72;0:4::;:3c0a>{|9;>i6=4?:382<22e2;k?96st136a>5<72;0:4:;=:3c7g>{|9;>i6=4?:382<23>2;k>?6st136a>5<72;0:4:8?:3c6g>{|9;>i6=4?:382<2002;k=96st136a>5<72;0:4:8j:3c5a>{|9;>i6=4?:382<2122;k<;6st136a>5<72;0:4:9l:3c;4>{|9;>i6=4?:382<2>42;k356st136a>5<72;0:4:6n:3c:4>{|9;>i6=4?:382<2?62;k256st136a>5<72;0:4:77:3cb4>{|9;>i6=4?:382<2?a2;kj;6st136a>5<72;0:4:o9:3cba>{|9;>i6=4?:382<2gc2;ki96st136a>5<72;0:4:l;:3cag>{|9;>i6=4?:382<2de2;kh?6st136a>5<72;0:4:m=:3c`e>{|9;>i6=4?:382<2e>2;ko=6st136a>5<72;0:4:j?:3cg<>{|9;>i6=4?:382<2b02;koj6st136a>5<72;0:4:jj:3cf2>{|9;>i6=4?:382<2c22;knh6st136a>5<72;0:4:kl:3ce0>{|9;>i6=4?:382<2`42;kmn6st136a>5<72;0:4:hn:3`36>{|9;>i6=4?:382<=662;h;56st136a>5<72;0:45>7:3`24>{|9;>i6=4?:382<=6a2;h:;6st136a>5<72;0:45?9:3`2a>{|9;>i6=4?:382<=7c2;h996st136a>5<72;0:45<;:3`1g>{|9;>i6=4?:382<=4e2;h8?6st136a>5<72;0:45==:3`0e>{|9;>i6=4?:382<=5>2;h?=6st136a>5<72;0:45:?:3`7<>{|9;>i6=4?:382<=202;h?j6st136a>5<72;0:45:j:3`62>{|9;>i6=4?:382<=322;h>h6st136a>5<72;0:45;l:3`50>{|9;>i6=4?:382<=042;h=n6st136a>5<72;0:458n:3`46>{|9;>i6=4?:382<=162;h<56st136a>5<72;0:4597:3`;4>{|9;>i6=4?:382<=1a2;h3;6st136a>5<72;0:4569:3`;a>{|9;>i6=4?:382<=>c2;h296st136a>5<72;0:457;:3`:g>{|9;>i6=4?:382<=?e2;hj?6st136a>5<72;0:45o=:3`be>{|9;>i6=4?:382<=g>2;hi=6st136a>5<72;0:45l?:3`a<>{|9;>i6=4?:382<=d02;hij6st136a>5<72;0:45lj:3``2>{|9;>i6=4?:382<=e22;hhh6st136a>5<72;0:45ml:3`g0>{|9;>i6=4?:382<=b42;hon6st136a>5<72;0:45jn:3`f6>{|9;>i6=4?:382<=c62;hn56st136a>5<72;0:45k7:3`e4>{|9;>i6=4?:382<=ca2;hm;6st136a>5<72;0:45h9:3`ea>{|9;>i6=4?:382<=`c2;i;96st136a>5<72;0:44>;:3a3g>{|9;>i6=4?:382<<6e2;i:?6st136a>5<72;0:44?=:3a2e>{|9;>i6=4?:382<<7>2;i9=6st136a>5<72;0:44{|9;>i6=4?:382<<402;i9j6st136a>5<72;0:44{|9;>i6=4?:382<<522;i8h6st136a>5<72;0:44=l:3a70>{|9;>i6=4?:382<<242;i?n6st136a>5<72;0:44:n:3a66>{|9;>i6=4?:382<<362;i>56st136a>5<72;0:44;7:3a56>{|9;>i6=4?:382<<3a2;i=n6st136a>5<72;0:4489:3a40>{|9;>i6=4?:382<<0c2;i5<72;0:449;:3a;2>{|9;>i6=4?:382<<1e2;i3j6st136a>5<72;0:446=:3a:2>{|9;>i6=4?:382<<>>2;i2j6st136a>5<72;0:447?:3ab2>{|9;>i6=4?:382<5<72;0:447j:3aa0>{|9;>i6=4?:382<5<72;0:44ol:3a`6>{|9;>i6=4?:382<5<72;0:44ln:3ag4>{|9;>i6=4?:382<5<72;0:44m7:3aga>{|9;>i6=4?:382<5<72;0:44j9:3afg>{|9;>i6=4?:382<5<72;0:44k;:3aee>{|9;>i6=4?:382<5<72;0:44h=:3f3<>{|9;>i6=4?:382<<`>2;n;j6st136a>5<72;0:4l>?:3f22>{|9;>i6=4?:3825<72;0:4l>j:3f10>{|9;>i6=4?:3825<72;0:4l?l:3f06>{|9;>i6=4?:3825<72;0:4l{|9;>i6=4?:3825<72;0:4l=7:3f7a>{|9;>i6=4?:38296st136a>5<72;0:4l:9:3f6g>{|9;>i6=4?:3825<72;0:4l;;:3f5e>{|9;>i6=4?:3825<72;0:4l8=:3f4<>{|9;>i6=4?:3822;n5<72;0:4l9?:3f;2>{|9;>i6=4?:3825<72;0:4l9j:3f:0>{|9;>i6=4?:38222;n2n6st136a>5<72;0:4l6l:3fb6>{|9;>i6=4?:3825<72;0:4l7n:3fa4>{|9;>i6=4?:3825<72;0:4lo7:3faa>{|9;>i6=4?:3825<72;0:4ll9:3f`g>{|9;>i6=4?:3825<72;0:4lm;:3fge>{|9;>i6=4?:3825<72;0:4lj=:3ff<>{|9;>i6=4?:3822;nnj6st136a>5<72;0:4lk?:3fe2>{|9;>i6=4?:3825<72;0:4lkj:3g30>{|9;>i6=4?:3825<72;0:4lhl:3g26>{|9;>i6=4?:3825<72;0:4o>n:3g14>{|9;>i6=4?:3825<72;0:4o?7:3g1a>{|9;>i6=4?:3825<72;0:4o<9:3g0g>{|9;>i6=4?:3825<72;0:4o=;:3g7e>{|9;>i6=4?:382=6st136a>5<72;0:4o:=:3g6<>{|9;>i6=4?:3822;o>j6st136a>5<72;0:4o;?:3g52>{|9;>i6=4?:3825<72;0:4o;j:3g4<>{|9;>i6=4?:3825<72;0:4o8l:3g;e>{|9;>i6=4?:3825<72;0:4o9n:3g:g>{|9;>i6=4?:38262;oj96st136a>5<72;0:4o67:3gba>{|9;>i6=4?:382a2;oi96st136a>5<72;0:4o79:3gaa>{|9;>i6=4?:3825<72;0:4oo;:3gg4>{|9;>i6=4?:3825<72;0:4ol=:3gf6>{|9;>i6=4?:3822;onn6st136a>5<72;0:4om?:3ge0>{|9;>i6=4?:3825<72;0:4omm:3d35>{|9;>i6=4?:3825<72;0:4oj;:3d3e>{|9;>i6=4?:3825<72;0:4ojk:3d27>{|9;>i6=4?:3825<72;0:4ok9:3d2g>{|9;>i6=4?:3825<72;0:4oki:3d11>{|9;>i6=4?:3825<72;0:4oh7:3d1a>{|9;>i6=4?:3826st136a>5<72;0:4n>>:3d03>{|9;>i6=4?:3825<72;0:4n>n:3d74>{|9;>i6=4?:3825<72;0:4n?<:3d7=>{|9;>i6=4?:3825<72;0:4n?l:3d66>{|9;>i6=4?:382n6st136a>5<72;0:4n{|9;>i6=4?:3825<72;0:4n=7:3d42>{|9;>i6=4?:3825<72;0:4n:9:3d;<>{|9;>i6=4?:3825<72;0:4n;;:3d:e>{|9;>i6=4?:3825<72;0:4n8=:3dbg>{|9;>i6=4?:3822;li96st136a>5<72;0:4n9?:3daa>{|9;>i6=4?:3825<72;0:4n9j:3dg4>{|9;>i6=4?:38252;lo86st136a>5<72;0:4n69:3dg<>{|9;>i6=4?:382e2;loh6st136a>5<72;0:4n6i:3df5>{|9;>i6=4?:3825<72;0:4n77:3dfe>{|9;>i6=4?:3825<72;0:4no>:3de7>{|9;>i6=4?:3825<72;0:4non:3deg>{|9;>i6=4?:3825<72;0:4nl<:2231>{|9;>i6=4?:3825<72;0:4nll:223a>{|9;>i6=4?:3825<72;0:4nm::2223>{|9;>i6=4?:3825<72;0:4nmj:2214>{|9;>i6=4?:3825<72;0:4njn:221a>{|9;>i6=4?:3825<72;0:4nk7:2274>{|9;>i6=4?:3825<72;0:4nh9:2266>{|9;>i6=4?:382n6st136a>5<72;0:4i>;:2250>{|9;>i6=4?:3825<72;0:4i?=:2242>{|9;>i6=4?:3822::5<72;0:4i{|9;>i6=4?:3825<72;0:4i{|9;>i6=4?:3825<72;0:4i=l:22be>{|9;>i6=4?:3825<72;0:4i:n:22a<>{|9;>i6=4?:3825<72;0:4i;7:22`e>{|9;>i6=4?:3825<72;0:4i89:22gg>{|9;>i6=4?:3825<72;0:4i9;:22fa>{|9;>i6=4?:3825<72;0:4i6=:22eg>{|9;>i6=4?:382>2:;;?6st136a>5<72;0:4i7?:233e>{|9;>i6=4?:3825<72;0:4i7j:232<>{|9;>i6=4?:3825<72;0:4iol:2312>{|9;>i6=4?:3825<72;0:4iln:2300>{|9;>i6=4?:3825<72;0:4im7:2376>{|9;>i6=4?:3825<72;0:4ij9:2364>{|9;>i6=4?:382;6st136a>5<72;0:4ik;:236a>{|9;>i6=4?:3825<72;0:4ih=:2344>{|9;>i6=4?:3822:;<56st136a>5<72;0:4h>?:23;6>{|9;>i6=4?:382<`602:;3n6st136a>5<72;0:4h>j:23:0>{|9;>i6=4?:382<`722:;2n6st136a>5<72;0:4h?l:23b6>{|9;>i6=4?:382<`442:;j56st136a>5<72;0:4h{|9;>i6=4?:382<`562:;i;6st136a>5<72;0:4h=7:23aa>{|9;>i6=4?:382<`5a2:;h96st136a>5<72;0:4h:9:23`g>{|9;>i6=4?:382<`2c2:;o?6st136a>5<72;0:4h;;:23ge>{|9;>i6=4?:382<`3e2:;n=6st136a>5<72;0:4h8=:23f<>{|9;>i6=4?:382<`0>2:;nj6st136a>5<72;0:4h9?:23e2>{|9;>i6=4?:382<`102:;mh6st136a>5<72;0:4h9j:2030>{|9;>i6=4?:382<`>22:8;h6st136a>5<72;0:4h6l:2020>{|9;>i6=4?:382<`?42:8:n6st136a>5<72;0:4h7n:2016>{|9;>i6=4?:382<`g62:8956st136a>5<72;0:4ho7:2004>{|9;>i6=4?:382<`ga2:88;6st136a>5<72;0:4hl9:200a>{|9;>i6=4?:382<`dc2:8?96st136a>5<72;0:4hm;:207g>{|9;>i6=4?:382<`ee2:8>?6st136a>5<72;0:4hj=:206e>{|9;>i6=4?:382<`b>2:8==6st136a>5<72;0:4hk?:205<>{|9;>i6=4?:382<`c02:8=j6st136a>5<72;0:4hkj:2042>{|9;>i6=4?:382<``22:85<72;0:4hh6:20;5>{|9;>i6=4?:382<``c2:8396st136a>5<72;0:4k>=:20;e>{|9;>i6=4?:3825<72;0:4k>m:20:7>{|9;>i6=4?:3825<72;0:4k?;:20:g>{|9;>i6=4?:3825<72;0:4k<=:20be>{|9;>i6=4?:3822:8i=6st136a>5<72;0:4k=?:20a<>{|9;>i6=4?:3825<72;0:4k=7:20`4>{|9;>i6=4?:3825<72;0:4k:>:20`=>{|9;>i6=4?:3825<72;0:4k:n:20g6>{|9;>i6=4?:3825<72;0:4k;9:20ga>{|9;>i6=4?:3825<72;0:4k8;:20fg>{|9;>i6=4?:3825<72;0:4k9=:20eg>{|9;>i6=4?:3825<72;0:4k9n:2130>{|9;>i6=4?:3825<72;0:4k6<:213`>{|9;>i6=4?:382?2:9:>6st136a>5<72;0:4k6l:2122>{|9;>i6=4?:3825<72;0:4k7::212b>{|9;>i6=4?:3825<72;0:4k7j:211<>{|9;>i6=4?:3825<72;0:4ko8:2105>{|9;>i6=4?:3825<72;0:4kl?:210e>{|9;>i6=4?:3825<72;0:4kl6:2177>{|9;>i6=4?:3825<72;0:4km=:217g>{|9;>i6=4?:382=6st136a>5<72;0:4kmm:2161>{|9;>i6=4?:382m6st136a>5<72;0:4kj;:216a>{|9;>i6=4?:3822:9=?6st136a>5<72;0:4kjk:2153>{|9;>i6=4?:3825<72;0:4kk9:2144>{|9;>i6=4?:3825<72;0:4kki:214=>{|9;>i6=4?:3825<72;0:4kh7:21;6>{|9;>i6=4?:3825<72;0:5=>;:21;a>{|9;>i6=4?:382=56e2:9296st136a>5<72;0:5=?=:21:g>{|9;>i6=4?:382=57>2:9j?6st136a>5<72;0:5={|9;>i6=4?:382=5402:9i=6st136a>5<72;0:5={|9;>i6=4?:382=5522:9ij6st136a>5<72;0:5==l:21`2>{|9;>i6=4?:382=5242:9hh6st136a>5<72;0:5=:n:21g0>{|9;>i6=4?:382=5362:9on6st136a>5<72;0:5=;7:21f6>{|9;>i6=4?:382=53a2:9n56st136a>5<72;0:5=89:21e4>{|9;>i6=4?:382=50c2:9m;6st136a>5<72;0:5=9;:2634>{|9;>i6=4?:382=51?2:>;86st136a>5<72;0:5=9l:263<>{|9;>i6=4?:382=5>62:>;h6st136a>5<72;0:5=6::2625>{|9;>i6=4?:382=5>f2:>::6st136a>5<72;0:5=6j:262e>{|9;>i6=4?:382=5?42:>:j6st136a>5<72;0:5=78:2617>{|9;>i6=4?:382=5?d2:>946st136a>5<72;0:5=o?:261g>{|9;>i6=4?:382=5g22:>8=6st136a>5<72;0:5=o6:2601>{|9;>i6=4?:382=5gb2:>8m6st136a>5<72;0:5=l=:260a>{|9;>i6=4?:382=5d02:>??6st136a>5<72;0:5=lm:2673>{|9;>i6=4?:382=5e72:>?o6st136a>5<72;0:5=m;:2664>{|9;>i6=4?:382=5e>2:>>96st136a>5<72;0:5=mk:266=>{|9;>i6=4?:382=5b52:>>i6st136a>5<72;0:5=j9:2656>{|9;>i6=4?:382=5bf2:>=:6st136a>5<72;0:5=ji:265f>{|9;>i6=4?:382=5c42:>=j6st136a>5<72;0:5=k7:2640>{|9;>i6=4?:382=5cd2:><46st136a>5<72;0:5=h>:264`>{|9;>i6=4?:382=5`22:>3=6st136a>5<72;0:5=hn:26;2>{|9;>i6=4?:382=5`b2:>3m6st136a>5<72;0:5<><:26;b>{|9;>i6=4?:382=4602:>2?6st136a>5<72;0:5<>l:26:<>{|9;>i6=4?:382=4772:>2o6st136a>5<72;0:5{|9;>i6=4?:382=47>2:>j96st136a>5<72;0:5{|9;>i6=4?:382=4452:>ji6st136a>5<72;0:5<<8:26a7>{|9;>i6=4?:382=44e2:>i;6st136a>5<72;0:5<=?:26ag>{|9;>i6=4?:382=4502:>h96st136a>5<72;0:5<=j:26`a>{|9;>i6=4?:382=4222:>o;6st136a>5<72;0:5<:l:26f4>{|9;>i6=4?:382=4342:>n56st136a>5<72;0:5<;n:26e6>{|9;>i6=4?:382=4062:>mn6st136a>5<72;0:5<87:2730>{|9;>i6=4?:382=40a2:?;h6st136a>5<72;0:5<99:2722>{|9;>i6=4?:382=41c2:?:j6st136a>5<72;0:5<6;:271<>{|9;>i6=4?:382=4>e2:?8=6st136a>5<72;0:5<7=:270e>{|9;>i6=4?:382=4?>2:???6st136a>5<72;0:5{|9;>i6=4?:382=4g02:?>96st136a>5<72;0:5{|9;>i6=4?:382=4d22:?=;6st136a>5<72;0:5{|9;>i6=4?:382=4e42:?<56st136a>5<72;0:5{|9;>i6=4?:382=4b62:?356st136a>5<72;0:5{|9;>i6=4?:382=4c62:?256st136a>5<72;0:5{|9;>i6=4?:382=4`62:?j56st136a>5<72;0:5{|9;>i6=4?:382=4`b2:?i:6st136a>5<72;0:5?>9:27aa>{|9;>i6=4?:382=76e2:?h?6st136a>5<72;0:5??<:27`f>{|9;>i6=4?:382=77e2:?o?6st136a>5<72;0:5?{|9;>i6=4?:382=74?2:?n<6st136a>5<72;0:5?=?:27f<>{|9;>i6=4?:382=7522:?nh6st136a>5<72;0:5?=k:27e1>{|9;>i6=4?:382=7222:?mh6st136a>5<72;0:5?:n:2436>{|9;>i6=4?:382=7352:<;m6st136a>5<72;0:5?;n:2426>{|9;>i6=4?:382=73a2:<:;6st136a>5<72;0:5?88:242b>{|9;>i6=4?:382=70b2:<9:6st136a>5<72;0:5?9<:241f>{|9;>i6=4?:382=71e2:<8?6st136a>5<72;0:5?6=:240e>{|9;>i6=4?:382=7>02:<8j6st136a>5<72;0:5?6i:2473>{|9;>i6=4?:382=7?02:5<72;0:5?7i:2463>{|9;>i6=4?:382=7g02:<>j6st136a>5<72;0:5?oi:2453>{|9;>i6=4?:382=7d02:<=j6st136a>5<72;0:5?ll:2440>{|9;>i6=4?:382=7e32:<5<72;0:5?ml:24;0>{|9;>i6=4?:382=7b62:<356st136a>5<72;0:5?j6:24:5>{|9;>i6=4?:382=7c62:<256st136a>5<72;0:5?k9:24:a>{|9;>i6=4?:382=7cb2:5<72;0:5?h9:24ba>{|9;>i6=4?:382=7`e2:5<72;0:5>><:24af>{|9;>i6=4?:382=66e2:5<72;0:5>??:24`<>{|9;>i6=4?:382=67?2:5<72;0:5>{|9;>i6=4?:382=6422:5<72;0:5>{|9;>i6=4?:382=6522:5<72;0:5>=n:24e6>{|9;>i6=4?:382=6262:5<72;0:5>:7:2530>{|9;>i6=4?:382=62a2:=;h6st136a>5<72;0:5>;9:2522>{|9;>i6=4?:382=63c2:=:j6st136a>5<72;0:5>8;:251<>{|9;>i6=4?:382=60e2:=8=6st136a>5<72;0:5>9=:250e>{|9;>i6=4?:382=61>2:=??6st136a>5<72;0:5>6?:257g>{|9;>i6=4?:382=6>02:=>96st136a>5<72;0:5>6j:256a>{|9;>i6=4?:382=6?22:==;6st136a>5<72;0:5>7l:2544>{|9;>i6=4?:382=6g42:=<56st136a>5<72;0:5>on:25;6>{|9;>i6=4?:382=6d62:=3n6st136a>5<72;0:5>l7:25:0>{|9;>i6=4?:382=6da2:=2h6st136a>5<72;0:5>m9:25b2>{|9;>i6=4?:382=6ec2:=jj6st136a>5<72;0:5>j;:25a<>{|9;>i6=4?:382=6be2:=h=6st136a>5<72;0:5>k=:25`e>{|9;>i6=4?:382=6c>2:=o?6st136a>5<72;0:5>h?:25gg>{|9;>i6=4?:382=6`02:=n96st136a>5<72;0:5>hj:25fa>{|9;>i6=4?:382=1622:=m;6st136a>5<72;0:59>l:2:34>{|9;>i6=4?:382=1742:2;56st136a>5<72;0:59?n:2:26>{|9;>i6=4?:382=1462:2:n6st136a>5<72;0:59<7:2:10>{|9;>i6=4?:382=14a2:29h6st136a>5<72;0:59=9:2:02>{|9;>i6=4?:382=15c2:28j6st136a>5<72;0:59:;:2:7<>{|9;>i6=4?:382=12e2:2>=6st136a>5<72;0:59;=:2:6e>{|9;>i6=4?:382=13>2:2=?6st136a>5<72;0:598?:2:5g>{|9;>i6=4?:382=1002:2<96st136a>5<72;0:598j:2:4a>{|9;>i6=4?:382=1122:23;6st136a>5<72;0:599l:2::4>{|9;>i6=4?:382=1>42:2256st136a>5<72;0:596n:2:b6>{|9;>i6=4?:382=1?62:2jn6st136a>5<72;0:5977:2:a0>{|9;>i6=4?:382=1?a2:2ih6st136a>5<72;0:59o9:2:`2>{|9;>i6=4?:382=1gc2:2hj6st136a>5<72;0:59l;:2:g<>{|9;>i6=4?:382=1de2:2n=6st136a>5<72;0:59m=:2:fe>{|9;>i6=4?:382=1e>2:2m?6st136a>5<72;0:59j?:2:eg>{|9;>i6=4?:382=1b02:3;96st136a>5<72;0:59jj:2;3a>{|9;>i6=4?:382=1c22:3:;6st136a>5<72;0:59kl:2;14>{|9;>i6=4?:382=1`42:3956st136a>5<72;0:59hn:2;06>{|9;>i6=4?:382=0662:38n6st136a>5<72;0:58>7:2;70>{|9;>i6=4?:382=06a2:3?h6st136a>5<72;0:58?9:2;62>{|9;>i6=4?:382=07c2:3>j6st136a>5<72;0:58<;:2;5<>{|9;>i6=4?:382=04e2:3<=6st136a>5<72;0:58==:2;4e>{|9;>i6=4?:382=05>2:33?6st136a>5<72;0:58:?:2;;g>{|9;>i6=4?:382=0202:3296st136a>5<72;0:58:j:2;:a>{|9;>i6=4?:382=0322:3j;6st136a>5<72;0:58;l:2;a4>{|9;>i6=4?:382=0042:3i56st136a>5<72;0:588n:2;`6>{|9;>i6=4?:382=0162:3hn6st136a>5<72;0:5897:2;g0>{|9;>i6=4?:382=01a2:3oh6st136a>5<72;0:5869:2;f2>{|9;>i6=4?:382=0>c2:3nj6st136a>5<72;0:587;:2;e<>{|9;>i6=4?:382=0?e2:k;=6st136a>5<72;0:58o=:2c3e>{|9;>i6=4?:382=0g>2:k:?6st136a>5<72;0:58l?:2c2g>{|9;>i6=4?:382=0d02:k996st136a>5<72;0:58lj:2c1a>{|9;>i6=4?:382=0e22:k8;6st136a>5<72;0:58ml:2c74>{|9;>i6=4?:382=0b42:k?56st136a>5<72;0:58jn:2c66>{|9;>i6=4?:382=0c62:k>n6st136a>5<72;0:58k7:2c50>{|9;>i6=4?:382=0ca2:k=h6st136a>5<72;0:58h9:2c42>{|9;>i6=4?:382=0`c2:k5<72;0:5;>;:2c;<>{|9;>i6=4?:382=36e2:k2=6st136a>5<72;0:5;?=:2c:e>{|9;>i6=4?:382=37>2:kj?6st136a>5<72;0:5;{|9;>i6=4?:382=3402:ki96st136a>5<72;0:5;{|9;>i6=4?:382=3522:kh;6st136a>5<72;0:5;=l:2cg4>{|9;>i6=4?:382=3242:ko56st136a>5<72;0:5;:n:2cf6>{|9;>i6=4?:382=3362:knn6st136a>5<72;0:5;;7:2ce0>{|9;>i6=4?:382=33a2:kmh6st136a>5<72;0:5;89:2`32>{|9;>i6=4?:382=30c2:h;j6st136a>5<72;0:5;9;:2`2<>{|9;>i6=4?:382=31e2:h9=6st136a>5<72;0:5;6=:2`1e>{|9;>i6=4?:382=3>>2:h8?6st136a>5<72;0:5;7?:2`0g>{|9;>i6=4?:382=3?02:h?96st136a>5<72;0:5;7j:2`7a>{|9;>i6=4?:382=3g22:h>;6st136a>5<72;0:5;ol:2`54>{|9;>i6=4?:382=3d42:h=56st136a>5<72;0:5;ln:2`46>{|9;>i6=4?:382=3e62:h5<72;0:5;m7:2`;0>{|9;>i6=4?:382=3ea2:h3h6st136a>5<72;0:5;j9:2`:2>{|9;>i6=4?:382=3bc2:h2h6st136a>5<72;0:5;k;:2`b0>{|9;>i6=4?:382=3ce2:hjn6st136a>5<72;0:5;h=:2`a6>{|9;>i6=4?:382=3`>2:hi56st136a>5<72;0:5:>?:2``4>{|9;>i6=4?:382=2602:hh;6st136a>5<72;0:5:>j:2``a>{|9;>i6=4?:382=2722:ho96st136a>5<72;0:5:?l:2`gg>{|9;>i6=4?:382=2442:hn?6st136a>5<72;0:5:{|9;>i6=4?:382=2562:hm=6st136a>5<72;0:5:=7:2`e<>{|9;>i6=4?:382=25a2:hmj6st136a>5<72;0:5::9:2a32>{|9;>i6=4?:382=22c2:i;h6st136a>5<72;0:5:;;:2a20>{|9;>i6=4?:382=23e2:i:n6st136a>5<72;0:5:8=:2a16>{|9;>i6=4?:382=20>2:i956st136a>5<72;0:5:9?:2a04>{|9;>i6=4?:382=2102:i8;6st136a>5<72;0:5:9j:2a0a>{|9;>i6=4?:382=2>22:i?96st136a>5<72;0:5:6l:2a7g>{|9;>i6=4?:382=2?42:i>?6st136a>5<72;0:5:7n:2a6e>{|9;>i6=4?:382=2g62:i==6st136a>5<72;0:5:o7:2a5<>{|9;>i6=4?:382=2ga2:i=j6st136a>5<72;0:5:l9:2a42>{|9;>i6=4?:382=2dc2:i5<72;0:5:m;:2a;0>{|9;>i6=4?:382=2ee2:i3n6st136a>5<72;0:5:j=:2a:6>{|9;>i6=4?:382=2b>2:i256st136a>5<72;0:5:k?:2ab4>{|9;>i6=4?:382=2c02:ij;6st136a>5<72;0:5:kj:2aba>{|9;>i6=4?:382=2`22:ii96st136a>5<72;0:5:hl:2aag>{|9;>i6=4?:382==642:ih?6st136a>5<72;0:55>n:2a`e>{|9;>i6=4?:382==762:io=6st136a>5<72;0:55?7:2ag<>{|9;>i6=4?:382==7a2:ioj6st136a>5<72;0:55<9:2af2>{|9;>i6=4?:382==4c2:inh6st136a>5<72;0:55=;:2ae0>{|9;>i6=4?:382==5e2:imn6st136a>5<72;0:55:=:2f36>{|9;>i6=4?:382==2>2:n;56st136a>5<72;0:55;?:2f24>{|9;>i6=4?:382==302:n:;6st136a>5<72;0:55;j:2f2a>{|9;>i6=4?:382==022:n996st136a>5<72;0:558l:2f1g>{|9;>i6=4?:382==142:n8?6st136a>5<72;0:559n:2f0e>{|9;>i6=4?:382==>62:n?=6st136a>5<72;0:5567:2f7<>{|9;>i6=4?:382==>a2:n?j6st136a>5<72;0:5579:2f62>{|9;>i6=4?:382==?c2:n>h6st136a>5<72;0:55o;:2f50>{|9;>i6=4?:382==ge2:n=n6st136a>5<72;0:55l=:2f46>{|9;>i6=4?:382==d>2:n<56st136a>5<72;0:55m?:2f;4>{|9;>i6=4?:382==e02:n3;6st136a>5<72;0:55mj:2f;a>{|9;>i6=4?:382==b22:n296st136a>5<72;0:55jl:2f:g>{|9;>i6=4?:382==c42:nj?6st136a>5<72;0:55kn:2fbe>{|9;>i6=4?:382==`62:ni=6st136a>5<72;0:55h7:2fa<>{|9;>i6=4?:382==`a2:nij6st136a>5<72;0:54>9:2f`2>{|9;>i6=4?:382=<6c2:nhh6st136a>5<72;0:54?;:2fg0>{|9;>i6=4?:382=<7e2:non6st136a>5<72;0:54<=:2ff6>{|9;>i6=4?:382=<4>2:nn56st136a>5<72;0:54=?:2fe4>{|9;>i6=4?:382=<502:nm;6st136a>5<72;0:54=j:2fea>{|9;>i6=4?:382=<222:o;96st136a>5<72;0:54:l:2g3g>{|9;>i6=4?:382=<342:o:?6st136a>5<72;0:54;n:2g2e>{|9;>i6=4?:382=<062:o9=6st136a>5<72;0:5487:2g1<>{|9;>i6=4?:382=<0a2:o9j6st136a>5<72;0:5499:2g02>{|9;>i6=4?:382=<1c2:o8h6st136a>5<72;0:546;:2g70>{|9;>i6=4?:382=<>e2:o?n6st136a>5<72;0:547=:2g66>{|9;>i6=4?:382=2:o>56st136a>5<72;0:54o?:2g54>{|9;>i6=4?:382=5<72;0:54oj:2g5a>{|9;>i6=4?:382=5<72;0:54ll:2g4g>{|9;>i6=4?:382=5<72;0:54mn:2g;e>{|9;>i6=4?:382=5<72;0:54j7:2g:<>{|9;>i6=4?:382=5<72;0:54k9:2gb2>{|9;>i6=4?:382=5<72;0:54h;:2ga0>{|9;>i6=4?:382=<`e2:oin6st136a>5<72;0:5l>=:2g`6>{|9;>i6=4?:382=d6>2:oh56st136a>5<72;0:5l??:2gg4>{|9;>i6=4?:382=d702:oo;6st136a>5<72;0:5l?j:2gga>{|9;>i6=4?:382=d422:on96st136a>5<72;0:5l{|9;>i6=4?:382=d542:om?6st136a>5<72;0:5l=n:2gee>{|9;>i6=4?:382=d262:l;=6st136a>5<72;0:5l:7:2d3<>{|9;>i6=4?:382=d2a2:l;j6st136a>5<72;0:5l;9:2d22>{|9;>i6=4?:382=d3c2:l:h6st136a>5<72;0:5l8;:2d10>{|9;>i6=4?:382=d0e2:l9n6st136a>5<72;0:5l9=:2d06>{|9;>i6=4?:382=d1>2:l856st136a>5<72;0:5l6?:2d74>{|9;>i6=4?:382=d>02:l?;6st136a>5<72;0:5l6j:2d7a>{|9;>i6=4?:382=d?22:l>96st136a>5<72;0:5l7l:2d6g>{|9;>i6=4?:382=dg42:l=?6st136a>5<72;0:5lon:2d5e>{|9;>i6=4?:382=dd62:l<=6st136a>5<72;0:5ll7:2d4<>{|9;>i6=4?:382=dda2:l5<72;0:5lm9:2d;2>{|9;>i6=4?:382=dec2:l3h6st136a>5<72;0:5lj;:2d:0>{|9;>i6=4?:382=dbe2:l2n6st136a>5<72;0:5lk=:2db6>{|9;>i6=4?:382=dc>2:lj56st136a>5<72;0:5lh?:2da4>{|9;>i6=4?:382=d`02:li;6st136a>5<72;0:5lhj:2daa>{|9;>i6=4?:382=g622:lh96st136a>5<72;0:5o>l:2d`g>{|9;>i6=4?:382=g742:lo?6st136a>5<72;0:5o?n:2dge>{|9;>i6=4?:382=g462:ln=6st136a>5<72;0:5o<7:2df<>{|9;>i6=4?:382=g4a2:lnj6st136a>5<72;0:5o=9:2de2>{|9;>i6=4?:382=g5c2:lmh6st136a>5<72;0:5o:;:5230>{|9;>i6=4?:382=g2e2=:;n6st136a>5<72;0:5o;=:5226>{|9;>i6=4?:382=g3>2=::56st136a>5<72;0:5o8?:5214>{|9;>i6=4?:382=g002=:9;6st136a>5<72;0:5o8j:521a>{|9;>i6=4?:382=g122=:896st136a>5<72;0:5o9l:520g>{|9;>i6=4?:382=g>42=:??6st136a>5<72;0:5o6n:527e>{|9;>i6=4?:382=g?62=:>=6st136a>5<72;0:5o77:526<>{|9;>i6=4?:382=g?a2=:>j6st136a>5<72;0:5oo9:5252>{|9;>i6=4?:382=ggc2=:=h6st136a>5<72;0:5ol;:5240>{|9;>i6=4?:382=gde2=:5<72;0:5om=:52;6>{|9;>i6=4?:382=ge>2=:356st136a>5<72;0:5oj?:52:4>{|9;>i6=4?:382=gb02=:2;6st136a>5<72;0:5ojj:52:a>{|9;>i6=4?:382=gc22=:j96st136a>5<72;0:5okl:52bg>{|9;>i6=4?:382=g`42=:i?6st136a>5<72;0:5ohn:52ae>{|9;>i6=4?:382=f662=:h=6st136a>5<72;0:5n>7:52`<>{|9;>i6=4?:382=f6a2=:hj6st136a>5<72;0:5n?9:52g2>{|9;>i6=4?:382=f7c2=:oh6st136a>5<72;0:5n<;:52f0>{|9;>i6=4?:382=f4e2=:nn6st136a>5<72;0:5n==:52e6>{|9;>i6=4?:382=f5>2=:m56st136a>5<72;0:5n:?:5334>{|9;>i6=4?:382=f202=;;;6st136a>5<72;0:5n:j:533a>{|9;>i6=4?:382=f322=;:96st136a>5<72;0:5n;l:532g>{|9;>i6=4?:382=f042=;9?6st136a>5<72;0:5n8n:531e>{|9;>i6=4?:382=f162=;8=6st136a>5<72;0:5n97:530<>{|9;>i6=4?:382=f1a2=;8j6st136a>5<72;0:5n69:5372>{|9;>i6=4?:382=f>c2=;?h6st136a>5<72;0:5n7;:5360>{|9;>i6=4?:382=f?e2=;>n6st136a>5<72;0:5no=:5356>{|9;>i6=4?:382=fg>2=;=56st136a>5<72;0:5nl?:5344>{|9;>i6=4?:382=fd02=;<;6st136a>5<72;0:5nlj:534a>{|9;>i6=4?:382=fe22=;396st136a>5<72;0:5nml:53;g>{|9;>i6=4?:382=fb42=;2?6st136a>5<72;0:5njn:53:e>{|9;>i6=4?:382=fc62=;j=6st136a>5<72;0:5nk7:53b<>{|9;>i6=4?:382=fca2=;jj6st136a>5<72;0:5nh9:53a2>{|9;>i6=4?:382=f`c2=;ih6st136a>5<72;0:5i>;:53`0>{|9;>i6=4?:382=a6e2=;hn6st136a>5<72;0:5i?=:53g6>{|9;>i6=4?:382=a7>2=;o56st136a>5<72;0:5i{|9;>i6=4?:382=a402=;n;6st136a>5<72;0:5i{|9;>i6=4?:382=a522=;m96st136a>5<72;0:5i=l:53eg>{|9;>i6=4?:382=a242=8;?6st136a>5<72;0:5i:n:503e>{|9;>i6=4?:382=a362=8:=6st136a>5<72;0:5i;7:502<>{|9;>i6=4?:382=a3a2=8:j6st136a>5<72;0:5i89:5012>{|9;>i6=4?:382=a0c2=89h6st136a>5<72;0:5i9;:5000>{|9;>i6=4?:382=a1e2=88n6st136a>5<72;0:5i6=:5076>{|9;>i6=4?:382=a>>2=8?56st136a>5<72;0:5i7?:5064>{|9;>i6=4?:382=a?02=8>;6st136a>5<72;0:5i7j:506a>{|9;>i6=4?:382=ag22=8=96st136a>5<72;0:5iol:505g>{|9;>i6=4?:382=ad42=85<72;0:5iln:504e>{|9;>i6=4?:382=ae62=83=6st136a>5<72;0:5im7:50;<>{|9;>i6=4?:382=aea2=83j6st136a>5<72;0:5ij9:50:2>{|9;>i6=4?:382=abc2=82h6st136a>5<72;0:5ik;:50b0>{|9;>i6=4?:382=ace2=8jn6st136a>5<72;0:5ih=:50a6>{|9;>i6=4?:382=a`>2=8i56st136a>5<72;0:5h>?:50`4>{|9;>i6=4?:382=`602=8h;6st136a>5<72;0:5h>j:50`a>{|9;>i6=4?:382=`722=8o96st136a>5<72;0:5h?l:50gg>{|9;>i6=4?:382=`442=8n?6st136a>5<72;0:5h{|9;>i6=4?:382=`562=8m=6st136a>5<72;0:5h=7:50e<>{|9;>i6=4?:382=`5a2=8mj6st136a>5<72;0:5h:9:5132>{|9;>i6=4?:382=`2c2=9;h6st136a>5<72;0:5h;;:5120>{|9;>i6=4?:382=`3e2=9:n6st136a>5<72;0:5h8=:5116>{|9;>i6=4?:382=`0>2=9956st136a>5<72;0:5h9?:5104>{|9;>i6=4?:382=`102=98;6st136a>5<72;0:5h9j:510a>{|9;>i6=4?:382=`>22=9?96st136a>5<72;0:5h6l:517g>{|9;>i6=4?:382=`?42=9>?6st136a>5<72;0:5h7n:516e>{|9;>i6=4?:382=`g62=9==6st136a>5<72;0:5ho7:515<>{|9;>i6=4?:382=`ga2=9=j6st136a>5<72;0:5hl9:5142>{|9;>i6=4?:382=`dc2=95<72;0:5hm;:51;0>{|9;>i6=4?:382=`ee2=93n6st136a>5<72;0:5hj=:51:6>{|9;>i6=4?:382=`b>2=9256st136a>5<72;0:5hk?:51b4>{|9;>i6=4?:382=`c02=9j;6st136a>5<72;0:5hkj:51ba>{|9;>i6=4?:382=``22=9i96st136a>5<72;0:5hhl:51ag>{|9;>i6=4?:382=c642=9h?6st136a>5<72;0:5k>n:51`e>{|9;>i6=4?:382=c762=9o=6st136a>5<72;0:5k?7:51g<>{|9;>i6=4?:382=c7a2=9oj6st136a>5<72;0:5k<9:51f2>{|9;>i6=4?:382=c4c2=9nh6st136a>5<72;0:5k=;:51e0>{|9;>i6=4?:382=c5e2=9mn6st136a>5<72;0:5k:=:5636>{|9;>i6=4?:382=c2>2=>;56st136a>5<72;0:5k;?:5624>{|9;>i6=4?:382=c302=>:;6st136a>5<72;0:5k;j:562a>{|9;>i6=4?:382=c022=>996st136a>5<72;0:5k8l:561g>{|9;>i6=4?:382=c142=>8?6st136a>5<72;0:5k9n:560e>{|9;>i6=4?:382=c>62=>?=6st136a>5<72;0:5k67:567<>{|9;>i6=4?:382=c>a2=>?j6st136a>5<72;0:5k79:5662>{|9;>i6=4?:382=c?c2=>>h6st136a>5<72;0:5ko;:5650>{|9;>i6=4?:382=cge2=>=n6st136a>5<72;0:5kl=:5646>{|9;>i6=4?:382=cd>2=><56st136a>5<72;0:5km?:56;4>{|9;>i6=4?:382=ce02=>3;6st136a>5<72;0:5kmj:56;a>{|9;>i6=4?:382=cb22=>296st136a>5<72;0:5kjl:56:g>{|9;>i6=4?:382=cc42=>j?6st136a>5<72;0:5kkn:56be>{|9;>i6=4?:382=c`62=>i=6st136a>5<72;0:5kh7:56a<>{|9;>i6=4?:382=c`a2=>ij6st136a>5<72;0:m=>9:56`2>{|9;>i6=4?:382e56c2=>hh6st136a>5<72;0:m=?;:56g0>{|9;>i6=4?:382e57e2=>on6st136a>5<72;0:m=<=:56f6>{|9;>i6=4?:382e54>2=>n56st136a>5<72;0:m==?:56e4>{|9;>i6=4?:382e5502=>m;6st136a>5<72;0:m==j:56ea>{|9;>i6=4?:382e5222=?;96st136a>5<72;0:m=:l:573g>{|9;>i6=4?:382e5342=?:?6st136a>5<72;0:m=;n:572e>{|9;>i6=4?:382e5062=?9=6st136a>5<72;0:m=87:571<>{|9;>i6=4?:382e50a2=?9j6st136a>5<72;0:m=99:5702>{|9;>i6=4?:382e51c2=?8j6st136a>5<72;0:m=6;:577<>{|9;>i6=4?:382e5>e2=?>=6st136a>5<72;0:m=7=:576e>{|9;>i6=4?:382e5?>2=?=?6st136a>5<72;0:m=o?:575g>{|9;>i6=4?:382e5g02=?<96st136a>5<72;0:m=oj:574a>{|9;>i6=4?:382e5d22=?3;6st136a>5<72;0:m=ll:57:4>{|9;>i6=4?:382e5e42=?256st136a>5<72;0:m=mn:57b6>{|9;>i6=4?:382e5b62=?jn6st136a>5<72;0:m=j7:57a0>{|9;>i6=4?:382e5bc2=?i56st136a>5<72;0:m=k>:57a`>{|9;>i6=4?:382e5c12=?h>6st136a>5<72;0:m=kn:57`2>{|9;>i6=4?:382e5ca2=?hn6st136a>5<72;0:m=h<:57`b>{|9;>i6=4?:382e5`?2=?o86st136a>5<72;0:m=hl:57g<>{|9;>i6=4?:382e4662=?oh6st136a>5<72;0:m<>::57f5>{|9;>i6=4?:382e46f2=?n:6st136a>5<72;0:m<>j:57fe>{|9;>i6=4?:382e4742=?nj6st136a>5<72;0:m{|9;>i6=4?:382e47d2=?m46st136a>5<72;0:m<{|9;>i6=4?:382e4422=<;=6st136a>5<72;0:m<<6:5431>{|9;>i6=4?:382e44c2=<;56st136a>5<72;0:m<=>:543`>{|9;>i6=4?:382e4522=<:=6st136a>5<72;0:m<=n:5422>{|9;>i6=4?:382e45b2=<:m6st136a>5<72;0:m<:<:542b>{|9;>i6=4?:382e4202=<9?6st136a>5<72;0:m<:l:541<>{|9;>i6=4?:382e4372=<9o6st136a>5<72;0:m<;::5405>{|9;>i6=4?:382e43>2=<896st136a>5<72;0:m<;j:540e>{|9;>i6=4?:382e4052=<8i6st136a>5<72;0:m<88:5477>{|9;>i6=4?:382e40e2=5<72;0:m<9?:547g>{|9;>i6=4?:382e4132=<><6st136a>5<72;0:m<96:5461>{|9;>i6=4?:382e41c2=<>56st136a>5<72;0:m<6=:546a>{|9;>i6=4?:382e4>12=<=>6st136a>5<72;0:m<6m:5453>{|9;>i6=4?:382e4>a2=<=n6st136a>5<72;0:m<7;:5444>{|9;>i6=4?:382e4??2=<<86st136a>5<72;0:m<7k:544=>{|9;>i6=4?:382e4g62=<5<72;0:m{|9;>i6=4?:382e4gf2=<3:6st136a>5<72;0:m{|9;>i6=4?:382e4d42=<3j6st136a>5<72;0:m{|9;>i6=4?:382e4dd2=<246st136a>5<72;0:m:54:`>{|9;>i6=4?:382e4e22=5<72;0:m{|9;>i6=4?:382e4eb2=5<72;0:m{|9;>i6=4?:382e4b02=5<72;0:m{|9;>i6=4?:382e4c72=5<72;0:m{|9;>i6=4?:382e4c>2=5<72;0:m{|9;>i6=4?:382e4`52=5<72;0:m{|9;>i6=4?:382e4`e2=5<72;0:m?>?:54gg>{|9;>i6=4?:382e7632=5<72;0:m?>6:54f1>{|9;>i6=4?:382e76c2=5<72;0:m??=:54fa>{|9;>i6=4?:382e7712=6st136a>5<72;0:m??m:54e3>{|9;>i6=4?:382e77a2=5<72;0:m?<;:5534>{|9;>i6=4?:382e74?2==;86st136a>5<72;0:m?{|9;>i6=4?:382e7562==;h6st136a>5<72;0:m?=9:5526>{|9;>i6=4?:382e75f2==::6st136a>5<72;0:m?=i:552f>{|9;>i6=4?:382e7242==:j6st136a>5<72;0:m?:7:5510>{|9;>i6=4?:382e72c2==956st136a>5<72;0:m?;<:551b>{|9;>i6=4?:382e73>2==896st136a>5<72;0:m?;j:550e>{|9;>i6=4?:382e7032==?<6st136a>5<72;0:m?8n:5572>{|9;>i6=4?:382e70a2==?n6st136a>5<72;0:m?9::5565>{|9;>i6=4?:382e71e2==>;6st136a>5<72;0:m?6?:556g>{|9;>i6=4?:382e7>12===>6st136a>5<72;0:m?6l:555<>{|9;>i6=4?:382e7?62===h6st136a>5<72;0:m?78:5547>{|9;>i6=4?:382e7?c2==<56st136a>5<72;0:m?o=:554a>{|9;>i6=4?:382e7g?2==386st136a>5<72;0:m?oj:55;e>{|9;>i6=4?:382e7d42==3j6st136a>5<72;0:m?l6:55:1>{|9;>i6=4?:382e7da2==2n6st136a>5<72;0:m?m;:55b4>{|9;>i6=4?:382e7ef2==j:6st136a>5<72;0:m?j?:55bg>{|9;>i6=4?:382e7b22==i=6st136a>5<72;0:m?jm:55a3>{|9;>i6=4?:382e7c62==ih6st136a>5<72;0:m?k9:55`6>{|9;>i6=4?:382e7cd2==h46st136a>5<72;0:m?h=:55`a>{|9;>i6=4?:382e7`02==o?6st136a>5<72;0:m?hk:55g=>{|9;>i6=4?:382e6642==oj6st136a>5<72;0:m>>7:55f0>{|9;>i6=4?:382e66b2==nm6st136a>5<72;0:m>?;:55e4>{|9;>i6=4?:382e67>2==m96st136a>5<72;0:m>?i:55ef>{|9;>i6=4?:382e6422=2;=6st136a>5<72;0:m>{|9;>i6=4?:382e6572=2;o6st136a>5<72;0:m>=9:5:26>{|9;>i6=4?:382e65e2=2:;6st136a>5<72;0:m>=i:5:2f>{|9;>i6=4?:382e6232=29<6st136a>5<72;0:m>:l:5:1<>{|9;>i6=4?:382e6362=29h6st136a>5<72;0:m>;6:5:01>{|9;>i6=4?:382e63b2=28m6st136a>5<72;0:m>89:5:76>{|9;>i6=4?:382e60e2=2?;6st136a>5<72;0:m>9<:5:7b>{|9;>i6=4?:382e61?2=2>86st136a>5<72;0:m>6?:5:6g>{|9;>i6=4?:382e6>02=2=96st136a>5<72;0:m>6j:5:5a>{|9;>i6=4?:382e6?22=2<;6st136a>5<72;0:m>7l:5:;4>{|9;>i6=4?:382e6g42=2356st136a>5<72;0:m>on:5::6>{|9;>i6=4?:382e6d62=22n6st136a>5<72;0:m>l7:5:b0>{|9;>i6=4?:382e6da2=2jh6st136a>5<72;0:m>m9:5:a2>{|9;>i6=4?:382e6ec2=2ij6st136a>5<72;0:m>j;:5:`<>{|9;>i6=4?:382e6be2=2o=6st136a>5<72;0:m>k=:5:ge>{|9;>i6=4?:382e6c>2=2n?6st136a>5<72;0:m>h?:5:fg>{|9;>i6=4?:382e6`02=2m96st136a>5<72;0:m>hj:5:ea>{|9;>i6=4?:382e1622=3;;6st136a>5<72;0:m9>l:5;24>{|9;>i6=4?:382e1742=3:56st136a>5<72;0:m9?n:5;16>{|9;>i6=4?:382e1462=39n6st136a>5<72;0:m9<7:5;00>{|9;>i6=4?:382e14a2=38h6st136a>5<72;0:m9=9:5;72>{|9;>i6=4?:382e15c2=3?j6st136a>5<72;0:m9:;:5;6<>{|9;>i6=4?:382e12e2=3==6st136a>5<72;0:m9;=:5;5e>{|9;>i6=4?:382e13>2=35<72;0:m98?:5;4g>{|9;>i6=4?:382e1002=3396st136a>5<72;0:m98j:5;;a>{|9;>i6=4?:382e1122=32;6st136a>5<72;0:m99l:5;b4>{|9;>i6=4?:382e1>42=3j56st136a>5<72;0:m96n:5;a6>{|9;>i6=4?:382e1?62=3in6st136a>5<72;0:m977:5;`0>{|9;>i6=4?:382e1?a2=3hh6st136a>5<72;0:m9o9:5;g2>{|9;>i6=4?:382e1gc2=3oj6st136a>5<72;0:m9l;:5;f<>{|9;>i6=4?:382e1de2=3m=6st136a>5<72;0:m9m=:5;ee>{|9;>i6=4?:382e1e>2=k;?6st136a>5<72;0:m9j?:5c3g>{|9;>i6=4?:382e1b32=k:<6st136a>5<72;0:m9jn:5c22>{|9;>i6=4?:382e1bb2=k:m6st136a>5<72;0:m9k<:5c2b>{|9;>i6=4?:382e1c>2=k996st136a>5<72;0:m9kk:5c1=>{|9;>i6=4?:382e1`62=k9h6st136a>5<72;0:m9h9:5c06>{|9;>i6=4?:382e1`d2=k846st136a>5<72;0:m8>?:5c0g>{|9;>i6=4?:382e0632=k?<6st136a>5<72;0:m8>6:5c71>{|9;>i6=4?:382e06a2=k?n6st136a>5<72;0:m8?<:5c7b>{|9;>i6=4?:382e0702=k>?6st136a>5<72;0:m8?l:5c6<>{|9;>i6=4?:382e0452=k>i6st136a>5<72;0:m8<9:5c56>{|9;>i6=4?:382e04f2=k=:6st136a>5<72;0:m8{|9;>i6=4?:382e0522=k<=6st136a>5<72;0:m8=6:5c41>{|9;>i6=4?:382e05c2=k<56st136a>5<72;0:m8:=:5c4a>{|9;>i6=4?:382e02?2=k386st136a>5<72;0:m8:l:5c;<>{|9;>i6=4?:382e0372=k3o6st136a>5<72;0:m8;::5c:5>{|9;>i6=4?:382e03e2=k2;6st136a>5<72;0:m8;i:5c:f>{|9;>i6=4?:382e0042=k2j6st136a>5<72;0:m887:5cb0>{|9;>i6=4?:382e00b2=kjm6st136a>5<72;0:m89=:5cba>{|9;>i6=4?:382e0112=ki>6st136a>5<72;0:m89m:5ca3>{|9;>i6=4?:382e0>62=kih6st136a>5<72;0:m86::5c`5>{|9;>i6=4?:382e0>>2=kh96st136a>5<72;0:m86j:5c`e>{|9;>i6=4?:382e0?32=ko<6st136a>5<72;0:m877:5cg0>{|9;>i6=4?:382e0?d2=ko46st136a>5<72;0:m8o>:5cg`>{|9;>i6=4?:382e0g02=kn?6st136a>5<72;0:m8om:5cf3>{|9;>i6=4?:382e0ga2=knn6st136a>5<72;0:m8l;:5ce4>{|9;>i6=4?:382e0df2=km:6st136a>5<72;0:m8lj:5cee>{|9;>i6=4?:382e0e52=kmi6st136a>5<72;0:m8m8:5`37>{|9;>i6=4?:382e0ec2=h;56st136a>5<72;0:m8j>:5`3`>{|9;>i6=4?:382e0b22=h:=6st136a>5<72;0:m8jn:5`22>{|9;>i6=4?:382e0c72=h:o6st136a>5<72;0:m8k;:5`14>{|9;>i6=4?:382e0c?2=h986st136a>5<72;0:m8kk:5`1=>{|9;>i6=4?:382e0`42=h9j6st136a>5<72;0:m8h8:5`07>{|9;>i6=4?:382e0`b2=h8m6st136a>5<72;0:m;>::5`75>{|9;>i6=4?:382e36d2=h?46st136a>5<72;0:m;?<:5`7b>{|9;>i6=4?:382e37f2=h>:6st136a>5<72;0:m;<>:5`6`>{|9;>i6=4?:382e34?2=h=86st136a>5<72;0:m;{|9;>i6=4?:382e3512=h<>6st136a>5<72;0:m;=k:5`4=>{|9;>i6=4?:382e3232=h3<6st136a>5<72;0:m;:m:5`;3>{|9;>i6=4?:382e3352=h3i6st136a>5<72;0:m;;6:5`:1>{|9;>i6=4?:382e3072=h2o6st136a>5<72;0:m;88:5`b7>{|9;>i6=4?:382e30b2=hjm6st136a>5<72;0:m;9::5`a5>{|9;>i6=4?:382e31d2=hi46st136a>5<72;0:m;6<:5`ab>{|9;>i6=4?:382e3>f2=hh:6st136a>5<72;0:m;7>:5```>{|9;>i6=4?:382e3??2=ho86st136a>5<72;0:m;7i:5`gf>{|9;>i6=4?:382e3g12=hn>6st136a>5<72;0:m;ok:5`f=>{|9;>i6=4?:382e3d32=hm<6st136a>5<72;0:m;lm:5`e3>{|9;>i6=4?:382e3e52=hmi6st136a>5<72;0:m;m6:5a31>{|9;>i6=4?:382e3b72=i;o6st136a>5<72;0:m;j8:5a27>{|9;>i6=4?:382e3bb2=i:m6st136a>5<72;0:m;k::5a15>{|9;>i6=4?:382e3cd2=i946st136a>5<72;0:m;h<:5a1b>{|9;>i6=4?:382e3`f2=i846st136a>5<72;0:m:>>:5a75>{|9;>i6=4?:382e26?2=i?m6st136a>5<72;0:m:>i:5a67>{|9;>i6=4?:382e2712=i>o6st136a>5<72;0:m:?k:5a51>{|9;>i6=4?:382e2432=i=i6st136a>5<72;0:m:{|9;>i6=4?:382e2552=i5<72;0:m:=6:5a;1>{|9;>i6=4?:382e2272=i3o6st136a>5<72;0:m::8:5a:7>{|9;>i6=4?:382e22b2=i2m6st136a>5<72;0:m:;::5ab5>{|9;>i6=4?:382e23d2=ij46st136a>5<72;0:m:8<:5abb>{|9;>i6=4?:382e20f2=ii:6st136a>5<72;0:m:9>:5aa`>{|9;>i6=4?:382e21?2=ih86st136a>5<72;0:m:9i:5a`f>{|9;>i6=4?:382e2>12=io>6st136a>5<72;0:m:6k:5ag=>{|9;>i6=4?:382e2?32=in<6st136a>5<72;0:m:7m:5af3>{|9;>i6=4?:382e2g52=ini6st136a>5<72;0:m:o6:5ae1>{|9;>i6=4?:382e2d72=imo6st136a>5<72;0:m:l8:5f37>{|9;>i6=4?:382e2db2=n;m6st136a>5<72;0:m:m::5f25>{|9;>i6=4?:382e2ed2=n:46st136a>5<72;0:m:j<:5f2b>{|9;>i6=4?:382e2bf2=n9:6st136a>5<72;0:m:k>:5f1`>{|9;>i6=4?:382e2c?2=n886st136a>5<72;0:m:ki:5f0f>{|9;>i6=4?:382e2`12=n?>6st136a>5<72;0:m:hk:5f7=>{|9;>i6=4?:382e=632=n><6st136a>5<72;0:m5>m:5f63>{|9;>i6=4?:382e=752=n>i6st136a>5<72;0:m5?6:5f51>{|9;>i6=4?:382e=472=n=o6st136a>5<72;0:m5<8:5f47>{|9;>i6=4?:382e=4b2=n5<72;0:m5=::5f;5>{|9;>i6=4?:382e=5d2=n346st136a>5<72;0:m5:<:5f;b>{|9;>i6=4?:382e=2f2=n2:6st136a>5<72;0:m5;>:5f:`>{|9;>i6=4?:382e=3?2=nj86st136a>5<72;0:m5;i:5fbf>{|9;>i6=4?:382e=012=ni>6st136a>5<72;0:m58k:5fa=>{|9;>i6=4?:382e=132=nh<6st136a>5<72;0:m59m:5f`3>{|9;>i6=4?:382e=>52=nhi6st136a>5<72;0:m566:5fg3>{|9;>i6=4?:382e=?72=nn<6st136a>5<72;0:m578:5ff=>{|9;>i6=4?:382e=?b2=nm>6st136a>5<72;0:m5o::5fef>{|9;>i6=4?:382e=gd2=o;86st136a>5<72;0:m5l<:5g3`>{|9;>i6=4?:382e=df2=o::6st136a>5<72;0:m5lj:5g2e>{|9;>i6=4?:382e=e32=o9<6st136a>5<72;0:m5m7:5g10>{|9;>i6=4?:382e=ec2=o956st136a>5<72;0:m5j<:5g1b>{|9;>i6=4?:382e=b02=o8?6st136a>5<72;0:m5jm:5g03>{|9;>i6=4?:382e=c72=o8o6st136a>5<72;0:m5k9:5g76>{|9;>i6=4?:382e=cf2=o?:6st136a>5<72;0:m5kj:5g7e>{|9;>i6=4?:382e=`42=o?j6st136a>5<72;0:m5h6:5g61>{|9;>i6=4?:382e=`c2=o>56st136a>5<72;0:m4>>:5g6`>{|9;>i6=4?:382e<612=o=>6st136a>5<72;0:m4>l:5g5<>{|9;>i6=4?:382e<772=o=o6st136a>5<72;0:m4?;:5g44>{|9;>i6=4?:382e<7>2=o<96st136a>5<72;0:m4?i:5g4f>{|9;>i6=4?:382e<442=o5<72;0:m4<8:5g;7>{|9;>i6=4?:382e<4d2=o346st136a>5<72;0:m4==:5g;a>{|9;>i6=4?:382e<512=o2>6st136a>5<72;0:m4=n:5g:2>{|9;>i6=4?:382e<5a2=o2n6st136a>5<72;0:m4:::5gb5>{|9;>i6=4?:382e<2>2=oj96st136a>5<72;0:m4;?:5gbg>{|9;>i6=4?:382e<302=oi?6st136a>5<72;0:m4;j:5gae>{|9;>i6=4?:382e<022=oh?6st136a>5<72;0:m48l:5g`g>{|9;>i6=4?:382e<142=oo96st136a>5<72;0:m49n:5gga>{|9;>i6=4?:382e<>62=on;6st136a>5<72;0:m467:5ge4>{|9;>i6=4?:382e<>a2=om56st136a>5<72;0:m479:5d34>{|9;>i6=4?:382e5<72;0:m4o;:5d3a>{|9;>i6=4?:382e5<72;0:m4l=:5d2g>{|9;>i6=4?:382e2=l9?6st136a>5<72;0:m4m?:5d1e>{|9;>i6=4?:382e5<72;0:m4mj:5d0e>{|9;>i6=4?:382e5<72;0:m4jl:5d7<>{|9;>i6=4?:382e5<72;0:m4kn:5d62>{|9;>i6=4?:382e<`62=l>h6st136a>5<72;0:m4h7:5d50>{|9;>i6=4?:382e<`a2=l=n6st136a>5<72;0:ml>9:5d46>{|9;>i6=4?:382ed6c2=l<56st136a>5<72;0:ml?;:5d;4>{|9;>i6=4?:382ed7e2=l3;6st136a>5<72;0:ml<=:5d;a>{|9;>i6=4?:382ed4>2=l296st136a>5<72;0:ml=?:5d:g>{|9;>i6=4?:382ed502=lj?6st136a>5<72;0:ml=j:5dbe>{|9;>i6=4?:382ed222=li?6st136a>5<72;0:ml:6:5da3>{|9;>i6=4?:382ed2c2=lin6st136a>5<72;0:ml;=:5d`4>{|9;>i6=4?:382ed312=lh86st136a>5<72;0:ml;m:5d`=>{|9;>i6=4?:382ed3a2=lhh6st136a>5<72;0:ml8;:5dg6>{|9;>i6=4?:382ed0?2=lo:6st136a>5<72;0:ml8k:5dgf>{|9;>i6=4?:382ed162=loj6st136a>5<72;0:ml99:5df0>{|9;>i6=4?:382ed1f2=ln46st136a>5<72;0:ml9i:5df`>{|9;>i6=4?:382ed>42=lm=6st136a>5<72;0:ml67:5de2>{|9;>i6=4?:382ed>d2=lmm6st136a>5<72;0:ml7>:5deb>{|9;>i6=4?:382ed?22<:;?6st136a>5<72;0:ml7n:423<>{|9;>i6=4?:382ed?b2<:;o6st136a>5<72;0:mlo<:4225>{|9;>i6=4?:382edg02<::96st136a>5<72;0:mlol:422e>{|9;>i6=4?:382edd72<::i6st136a>5<72;0:mll::4217>{|9;>i6=4?:382edd>2<:9;6st136a>5<72;0:mllj:421g>{|9;>i6=4?:382ede52<:8<6st136a>5<72;0:mlm8:4201>{|9;>i6=4?:382edee2<:856st136a>5<72;0:mlj?:420a>{|9;>i6=4?:382edb32<:?>6st136a>5<72;0:mlj6:4273>{|9;>i6=4?:382edbc2<:?n6st136a>5<72;0:mlk=:4264>{|9;>i6=4?:382edc>2<:>;6st136a>5<72;0:mlh?:426a>{|9;>i6=4?:382ed`02<:=96st136a>5<72;0:mlhj:425g>{|9;>i6=4?:382eg622<:5<72;0:mo>l:424e>{|9;>i6=4?:382eg742<:3=6st136a>5<72;0:mo?n:42;<>{|9;>i6=4?:382eg462<:3j6st136a>5<72;0:mo<7:42:2>{|9;>i6=4?:382eg4a2<:2h6st136a>5<72;0:mo=9:42b0>{|9;>i6=4?:382eg5c2<:jn6st136a>5<72;0:mo:;:42a6>{|9;>i6=4?:382eg2e2<:i56st136a>5<72;0:mo;=:42`4>{|9;>i6=4?:382eg3>2<:h;6st136a>5<72;0:mo8?:42`a>{|9;>i6=4?:382eg002<:o96st136a>5<72;0:mo8j:42gg>{|9;>i6=4?:382eg122<:n?6st136a>5<72;0:mo9l:42fe>{|9;>i6=4?:382eg>42<:m=6st136a>5<72;0:mo6n:42e<>{|9;>i6=4?:382eg?62<:mj6st136a>5<72;0:mo77:4332>{|9;>i6=4?:382eg?a2<;;h6st136a>5<72;0:moo9:4320>{|9;>i6=4?:382eggc2<;:n6st136a>5<72;0:mol;:4316>{|9;>i6=4?:382egde2<;956st136a>5<72;0:mom=:4304>{|9;>i6=4?:382ege>2<;8;6st136a>5<72;0:moj?:430a>{|9;>i6=4?:382egb02<;?96st136a>5<72;0:mojj:437g>{|9;>i6=4?:382egc22<;>?6st136a>5<72;0:mokl:436e>{|9;>i6=4?:382eg`42<;==6st136a>5<72;0:mohn:435<>{|9;>i6=4?:382ef662<;=j6st136a>5<72;0:mn>7:4342>{|9;>i6=4?:382ef6a2<;5<72;0:mn?9:43;0>{|9;>i6=4?:382ef7c2<;3n6st136a>5<72;0:mn<;:43:6>{|9;>i6=4?:382ef4e2<;256st136a>5<72;0:mn==:43b4>{|9;>i6=4?:382ef5>2<;j;6st136a>5<72;0:mn:?:43ba>{|9;>i6=4?:382ef202<;i96st136a>5<72;0:mn:j:43aa>{|9;>i6=4?:382ef322<;h;6st136a>5<72;0:mn;l:43g4>{|9;>i6=4?:382ef042<;o56st136a>5<72;0:mn8n:43f4>{|9;>i6=4?:382ef162<;n;6st136a>5<72;0:mn97:43fa>{|9;>i6=4?:382ef1a2<;m96st136a>5<72;0:mn69:43eg>{|9;>i6=4?:382ef>c2<8;?6st136a>5<72;0:mn7;:403e>{|9;>i6=4?:382ef?e2<8:=6st136a>5<72;0:mno=:402<>{|9;>i6=4?:382efg>2<8:j6st136a>5<72;0:mnl?:4012>{|9;>i6=4?:382efd02<89h6st136a>5<72;0:mnlj:4000>{|9;>i6=4?:382efe22<88n6st136a>5<72;0:mnml:4076>{|9;>i6=4?:382efb42<8?56st136a>5<72;0:mnjn:4064>{|9;>i6=4?:382efc62<8>;6st136a>5<72;0:mnk7:406a>{|9;>i6=4?:382efca2<8=96st136a>5<72;0:mnh9:405g>{|9;>i6=4?:382ef`c2<85<72;0:mi>;:404e>{|9;>i6=4?:382ea6e2<83=6st136a>5<72;0:mi?=:40;<>{|9;>i6=4?:382ea7>2<83j6st136a>5<72;0:mi{|9;>i6=4?:382ea402<82h6st136a>5<72;0:mi{|9;>i6=4?:382ea522<8jn6st136a>5<72;0:mi=l:40a6>{|9;>i6=4?:382ea242<8i56st136a>5<72;0:mi:n:40`6>{|9;>i6=4?:382ea362<8hn6st136a>5<72;0:mi;7:40g0>{|9;>i6=4?:382ea3a2<8oh6st136a>5<72;0:mi89:40f2>{|9;>i6=4?:382ea0c2<8nj6st136a>5<72;0:mi9;:40e<>{|9;>i6=4?:382ea1e2<9;=6st136a>5<72;0:mi6=:413e>{|9;>i6=4?:382ea>>2<9:?6st136a>5<72;0:mi7?:412g>{|9;>i6=4?:382ea?02<9996st136a>5<72;0:mi7j:411a>{|9;>i6=4?:382eag22<98;6st136a>5<72;0:miol:4174>{|9;>i6=4?:382ead42<9?56st136a>5<72;0:miln:4164>{|9;>i6=4?:382eae62<9>;6st136a>5<72;0:mim7:416a>{|9;>i6=4?:382eaea2<9=96st136a>5<72;0:mij9:415g>{|9;>i6=4?:382eabc2<95<72;0:mik;:414e>{|9;>i6=4?:382eace2<93=6st136a>5<72;0:mih=:41;<>{|9;>i6=4?:382ea`>2<93j6st136a>5<72;0:mh>?:41:2>{|9;>i6=4?:382e`602<92h6st136a>5<72;0:mh>j:41b0>{|9;>i6=4?:382e`722<9jn6st136a>5<72;0:mh?l:41a6>{|9;>i6=4?:382e`442<9i56st136a>5<72;0:mh{|9;>i6=4?:382e`562<9h56st136a>5<72;0:mh=7:41g4>{|9;>i6=4?:382e`5a2<9o;6st136a>5<72;0:mh:9:41ga>{|9;>i6=4?:382e`2c2<9n96st136a>5<72;0:mh;;:41fg>{|9;>i6=4?:382e`3e2<9m?6st136a>5<72;0:mh8=:41ee>{|9;>i6=4?:382e`0>2<>;?6st136a>5<72;0:mh9?:463e>{|9;>i6=4?:382e`102<>:=6st136a>5<72;0:mh9j:462<>{|9;>i6=4?:382e`>22<>:j6st136a>5<72;0:mh6l:4612>{|9;>i6=4?:382e`?42<>9h6st136a>5<72;0:mh7n:4600>{|9;>i6=4?:382e`g62<>8n6st136a>5<72;0:mho7:4676>{|9;>i6=4?:382e`ga2<>?56st136a>5<72;0:mhl9:4664>{|9;>i6=4?:382e`dc2<>>;6st136a>5<72;0:mhm;:466a>{|9;>i6=4?:382e`ee2<>=96st136a>5<72;0:mhj=:465g>{|9;>i6=4?:382e`b>2<>5<72;0:mhjj:464<>{|9;>i6=4?:382e`c52<>5<72;0:mhk8:46;5>{|9;>i6=4?:382e`ce2<>396st136a>5<72;0:mhh?:46;e>{|9;>i6=4?:382e``32<>3i6st136a>5<72;0:mhh6:46:7>{|9;>i6=4?:382e``c2<>2;6st136a>5<72;0:mk>=:46:g>{|9;>i6=4?:382ec612<>j<6st136a>5<72;0:mk>m:46b1>{|9;>i6=4?:382ec6a2<>j56st136a>5<72;0:mk?;:46ba>{|9;>i6=4?:382ec7?2<>i>6st136a>5<72;0:mk?k:46a3>{|9;>i6=4?:382ec462<>in6st136a>5<72;0:mk<9:46`4>{|9;>i6=4?:382ec4f2<>h86st136a>5<72;0:mk{|9;>i6=4?:382ec542<>hh6st136a>5<72;0:mk=7:46g6>{|9;>i6=4?:382ec5d2<>o:6st136a>5<72;0:mk:>:46gf>{|9;>i6=4?:382ec222<>oj6st136a>5<72;0:mk:n:46f0>{|9;>i6=4?:382ec2b2<>n46st136a>5<72;0:mk;<:46f`>{|9;>i6=4?:382ec302<>m=6st136a>5<72;0:mk;l:46e2>{|9;>i6=4?:382ec072<>mm6st136a>5<72;0:mk8;:46ea>{|9;>i6=4?:382ec0e25<72;0:mk9=:473a>{|9;>i6=4?:382ec1>25<72;0:mk6?:472g>{|9;>i6=4?:382ec>025<72;0:mk6l:471<>{|9;>i6=4?:382ec?725<72;0:mk7::4705>{|9;>i6=4?:382ec?>25<72;0:mk7j:470e>{|9;>i6=4?:382ecg525<72;0:mko9:4776>{|9;>i6=4?:382ecgc25<72;0:mkl;:4764>{|9;>i6=4?:382ecde2;6st136a>5<72;0:mkm=:476a>{|9;>i6=4?:382ece025<72;0:mkmm:4753>{|9;>i6=4?:382ecb725<72;0:mkj;:4744>{|9;>i6=4?:382ecb>25<72;0:mkjk:474=>{|9;>i6=4?:382ecc625<72;0:mkk7:47;0>{|9;>i6=4?:382ecca25<72;0:mkh9:47:6>{|9;>i6=4?:382ec`c25<72;0:n=>;:47b0>{|9;>i6=4?:382f56e25<72;0:n=?=:47a2>{|9;>i6=4?:382f57>25<72;0:n={|9;>i6=4?:382f54025<72;0:n={|9;>i6=4?:382f55225<72;0:n==l:47f0>{|9;>i6=4?:382f52425<72;0:n=:n:47e6>{|9;>i6=4?:382f53625<72;0:n=;7:4434>{|9;>i6=4?:382f53a2<<;;6st136a>5<72;0:n=89:443a>{|9;>i6=4?:382f50c2<<:96st136a>5<72;0:n=9;:442g>{|9;>i6=4?:382f51e2<<9?6st136a>5<72;0:n=6=:441e>{|9;>i6=4?:382f5>>2<<8=6st136a>5<72;0:n=7?:440<>{|9;>i6=4?:382f5?02<5<72;0:n=7j:447e>{|9;>i6=4?:382f5g22<<>?6st136a>5<72;0:n=ol:446g>{|9;>i6=4?:382f5d42<<=96st136a>5<72;0:n=ln:445a>{|9;>i6=4?:382f5e62<<<96st136a>5<72;0:n=m7:444g>{|9;>i6=4?:382f5ea2<<3?6st136a>5<72;0:n=j9:44;e>{|9;>i6=4?:382f5bc2<<2=6st136a>5<72;0:n=k;:44:<>{|9;>i6=4?:382f5ce2<<2j6st136a>5<72;0:n=h=:44b2>{|9;>i6=4?:382f5`>2<5<72;0:n<>?:44a0>{|9;>i6=4?:382f4602<5<72;0:n<>j:44`6>{|9;>i6=4?:382f4722<5<72;0:n{|9;>i6=4?:382f4442<5<72;0:n<{|9;>i6=4?:382f4562<5<72;0:n<=7:44fa>{|9;>i6=4?:382f45a2<5<72;0:n<:9:44eg>{|9;>i6=4?:382f42c2<=;?6st136a>5<72;0:n<;;:453e>{|9;>i6=4?:382f43e2<=:=6st136a>5<72;0:n<8=:452<>{|9;>i6=4?:382f40>2<=:j6st136a>5<72;0:n<9?:4512>{|9;>i6=4?:382f4102<=9h6st136a>5<72;0:n<9j:4500>{|9;>i6=4?:382f4>22<=8n6st136a>5<72;0:n<6l:4576>{|9;>i6=4?:382f4?42<=?56st136a>5<72;0:n<7n:4564>{|9;>i6=4?:382f4g62<=>;6st136a>5<72;0:n{|9;>i6=4?:382f4ga2<==56st136a>5<72;0:n{|9;>i6=4?:382f4dc2<=5<72;0:n{|9;>i6=4?:382f4ee2<=3h6st136a>5<72;0:n{|9;>i6=4?:382f4b>2<=2j6st136a>5<72;0:n?>6:45g4>{|9;>i6=4?:382f75>2<2;=6st136a>5<72;0:n?86:4:06>{|9;>i6=4?:382f7?>2<2=?6st136a>5<72;0:n?m6:4::0>{|9;>i6=4?:382f7`>2<2h96st136a>5<72;0:n><6:4:e2>{|9;>i6=4?:382f63>2<39;6st136a>5<72;0:n>8?:4;1a>{|9;>i6=4?:382f6002<3896st136a>5<72;0:n>8j:4;0g>{|9;>i6=4?:382f6122<3??6st136a>5<72;0:n>9l:4;7e>{|9;>i6=4?:382f6>42<3>=6st136a>5<72;0:n>6n:4;6<>{|9;>i6=4?:382f6?62<3>j6st136a>5<72;0:n>77:4;52>{|9;>i6=4?:382f6?c2<3=n6st136a>5<72;0:n>o<:4;45>{|9;>i6=4?:382f6g>2<3<;6st136a>5<72;0:n>oj:4;4g>{|9;>i6=4?:382f6d32<33>6st136a>5<72;0:n>ln:4;;<>{|9;>i6=4?:382f6da2<33h6st136a>5<72;0:n>m::4;:7>{|9;>i6=4?:382f6ee2<3256st136a>5<72;0:n>j?:4;:a>{|9;>i6=4?:382f6b12<3j86st136a>5<72;0:n>jl:4;be>{|9;>i6=4?:382f6c62<3jj6st136a>5<72;0:n>k8:4;a1>{|9;>i6=4?:382f6cc2<3in6st136a>5<72;0:n>h=:4;`4>{|9;>i6=4?:382f6`?2<3h:6st136a>5<72;0:n>hj:4;`g>{|9;>i6=4?:382f1642<3o=6st136a>5<72;0:n9>6:4;g3>{|9;>i6=4?:382f16a2<3oh6st136a>5<72;0:n9?;:4;f6>{|9;>i6=4?:382f17f2<3n46st136a>5<72;0:n9{|9;>i6=4?:382f1422<3m?6st136a>5<72;0:n9{|9;>i6=4?:382f1562<3mj6st136a>5<72;0:n9=9:4c30>{|9;>i6=4?:382f15d25<72;0:n9:=:4c24>{|9;>i6=4?:382f12025<72;0:n9:k:4c2f>{|9;>i6=4?:382f13425<72;0:n9;7:4c12>{|9;>i6=4?:382f13b25<72;0:n98;:4c06>{|9;>i6=4?:382f10>25<72;0:n98i:4c0`>{|9;>i6=4?:382f11225<72;0:n99n:4c7<>{|9;>i6=4?:382f1>725<72;0:n969:4c60>{|9;>i6=4?:382f1>e256st136a>5<72;0:n97>:4c6b>{|9;>i6=4?:382f1?025<72;0:n97l:4c5e>{|9;>i6=4?:382f1g525<72;0:n9o7:4c42>{|9;>i6=4?:382f1gc25<72;0:n9l<:4c;5>{|9;>i6=4?:382f1d>25<72;0:n9lj:4c;g>{|9;>i6=4?:382f1e326st136a>5<72;0:n9mn:4c:<>{|9;>i6=4?:382f1ea25<72;0:n9j::4cb7>{|9;>i6=4?:382f1be25<72;0:n9k?:4cba>{|9;>i6=4?:382f1c125<72;0:n9kl:4cae>{|9;>i6=4?:382f1`625<72;0:n9h8:4c`1>{|9;>i6=4?:382f1`c25<72;0:n8>=:4cg4>{|9;>i6=4?:382f06?25<72;0:n8>j:4cgg>{|9;>i6=4?:382f07525<72;0:n8?9:4cf0>{|9;>i6=4?:382f07e25<72;0:n8?i:4cf`>{|9;>i6=4?:382f04326st136a>5<72;0:n8<7:4ce2>{|9;>i6=4?:382f04c25<72;0:n8=>:4ceb>{|9;>i6=4?:382f05125<72;0:n8=n:4`3<>{|9;>i6=4?:382f05a25<72;0:n8:<:4`25>{|9;>i6=4?:382f02?25<72;0:n8:l:4`2e>{|9;>i6=4?:382f03625<72;0:n8;::4`17>{|9;>i6=4?:382f03f25<72;0:n8;j:4`1g>{|9;>i6=4?:382f00425<72;0:n888:4`01>{|9;>i6=4?:382f00d25<72;0:n89?:4`0a>{|9;>i6=4?:382f01225<72;0:n896:4`73>{|9;>i6=4?:382f01c25<72;0:n86=:4`64>{|9;>i6=4?:382f0>1286st136a>5<72;0:n86m:4`6=>{|9;>i6=4?:382f0>a2h6st136a>5<72;0:n87;:4`56>{|9;>i6=4?:382f0??25<72;0:n87k:4`5f>{|9;>i6=4?:382f0g625<72;0:n8o9:4`40>{|9;>i6=4?:382f0gf25<72;0:n8oi:4`4`>{|9;>i6=4?:382f0d425<72;0:n8l7:4`;2>{|9;>i6=4?:382f0dd25<72;0:n8m>:4`;b>{|9;>i6=4?:382f0e225<72;0:n8mn:4`:<>{|9;>i6=4?:382f0eb25<72;0:n8j<:4`b5>{|9;>i6=4?:382f0b025<72;0:n8jl:4`be>{|9;>i6=4?:382f0c725<72;0:n8k;:4`a6>{|9;>i6=4?:382f0c>25<72;0:n8kk:4`af>{|9;>i6=4?:382f0`525<72;0:n8h9:4``0>{|9;>i6=4?:382f0`e25<72;0:n8hi:4```>{|9;>i6=4?:382f36326st136a>5<72;0:n;>7:4`g2>{|9;>i6=4?:382f36c25<72;0:n;?>:4`gb>{|9;>i6=4?:382f37125<72;0:n;?n:4`f<>{|9;>i6=4?:382f37a25<72;0:n;<<:4`e5>{|9;>i6=4?:382f34?25<72;0:n;{|9;>i6=4?:382f35625<72;0:n;=::4a37>{|9;>i6=4?:382f35f25<72;0:n;=j:4a3g>{|9;>i6=4?:382f32425<72;0:n;:8:4a21>{|9;>i6=4?:382f32d25<72;0:n;;?:4a2a>{|9;>i6=4?:382f33225<72;0:n;;6:4a13>{|9;>i6=4?:382f33b25<72;0:n;8=:4a04>{|9;>i6=4?:382f30025<72;0:n;8m:4a0=>{|9;>i6=4?:382f30a25<72;0:n;9;:4a76>{|9;>i6=4?:382f31?25<72;0:n;9k:4a7f>{|9;>i6=4?:382f3>625<72;0:n;69:4a60>{|9;>i6=4?:382f3>f246st136a>5<72;0:n;6i:4a6`>{|9;>i6=4?:382f3?425<72;0:n;77:4a52>{|9;>i6=4?:382f3?d25<72;0:n;o>:4a5b>{|9;>i6=4?:382f3g225<72;0:n;on:4a4<>{|9;>i6=4?:382f3gb25<72;0:n;l<:4a;5>{|9;>i6=4?:382f3d025<72;0:n;ll:4a;e>{|9;>i6=4?:382f3e725<72;0:n;m::4a:7>{|9;>i6=4?:382f3e>25<72;0:n;mj:4a:g>{|9;>i6=4?:382f3b525<72;0:n;j9:4ab0>{|9;>i6=4?:382f3be25<72;0:n;ji:4ab`>{|9;>i6=4?:382f3c326st136a>5<72;0:n;k7:4aa2>{|9;>i6=4?:382f3cd25<72;0:n;h>:4aab>{|9;>i6=4?:382f3`225<72;0:n;h6:4a`3>{|9;>i6=4?:382f3`b25<72;0:n:>=:4ag4>{|9;>i6=4?:382f26125<72;0:n:>m:4ag=>{|9;>i6=4?:382f26a25<72;0:n:?<:4af5>{|9;>i6=4?:382f27?25<72;0:n:?l:4afe>{|9;>i6=4?:382f24725<72;0:n:<::4ae7>{|9;>i6=4?:382f24>25<72;0:n:{|9;>i6=4?:382f25525<72;0:n:=9:4f30>{|9;>i6=4?:382f25f25<72;0:n:=i:4f3`>{|9;>i6=4?:382f22425<72;0:n::8:4f21>{|9;>i6=4?:382f22e25<72;0:n:;?:4f2a>{|9;>i6=4?:382f23326st136a>5<72;0:n:;6:4f13>{|9;>i6=4?:382f23c25<72;0:n:8=:4f04>{|9;>i6=4?:382f20125<72;0:n:8m:4f0=>{|9;>i6=4?:382f20a25<72;0:n:9;:4f76>{|9;>i6=4?:382f21?25<72;0:n:9k:4f7f>{|9;>i6=4?:382f2>625<72;0:n:69:4f60>{|9;>i6=4?:382f2>f246st136a>5<72;0:n:6i:4f6`>{|9;>i6=4?:382f2?425<72;0:n:77:4f52>{|9;>i6=4?:382f2?d25<72;0:n:o>:4f5b>{|9;>i6=4?:382f2g225<72;0:n:on:4f4<>{|9;>i6=4?:382f2gb25<72;0:n:l=:4f;4>{|9;>i6=4?:382f2d025<72;0:n:lm:4f;=>{|9;>i6=4?:382f2e725<72;0:n:m;:4f:6>{|9;>i6=4?:382f2e>25<72;0:n:mk:4f:f>{|9;>i6=4?:382f2b525<72;0:n:j9:4fb0>{|9;>i6=4?:382f2be25<72;0:n:ji:4fb`>{|9;>i6=4?:382f2c326st136a>5<72;0:n:k7:4fa2>{|9;>i6=4?:382f2cc25<72;0:n:h>:4fab>{|9;>i6=4?:382f2`125<72;0:n:hn:4f`<>{|9;>i6=4?:382f2`a25<72;0:n5><:4fg5>{|9;>i6=4?:382f=6?25<72;0:n5>l:4fge>{|9;>i6=4?:382f=7625<72;0:n5?::4ff7>{|9;>i6=4?:382f=7>25<72;0:n5?j:4ffg>{|9;>i6=4?:382f=4525<72;0:n5<8:4fe1>{|9;>i6=4?:382f=4e25<72;0:n5=?:4fea>{|9;>i6=4?:382f=5326st136a>5<72;0:n5=6:4g33>{|9;>i6=4?:382f=5c25<72;0:n5:=:4g24>{|9;>i6=4?:382f=2125<72;0:n5:m:4g2=>{|9;>i6=4?:382f=2a25<72;0:n5;;:4g16>{|9;>i6=4?:382f=3?25<72;0:n5;k:4g1f>{|9;>i6=4?:382f=0625<72;0:n589:4g00>{|9;>i6=4?:382f=0f25<72;0:n58i:4g0`>{|9;>i6=4?:382f=1425<72;0:n597:4g72>{|9;>i6=4?:382f=1d25<72;0:n56>:4g7b>{|9;>i6=4?:382f=>22?6st136a>5<72;0:n56n:4g6<>{|9;>i6=4?:382f=>b2o6st136a>5<72;0:n57<:4g55>{|9;>i6=4?:382f=?025<72;0:n57l:4g5e>{|9;>i6=4?:382f=g725<72;0:n5o;:4g46>{|9;>i6=4?:382f=g>25<72;0:n5ok:4g4f>{|9;>i6=4?:382f=d525<72;0:n5l9:4g;0>{|9;>i6=4?:382f=de25<72;0:n5li:4g;`>{|9;>i6=4?:382f=e326st136a>5<72;0:n5m7:4g:2>{|9;>i6=4?:382f=ec25<72;0:n5j>:4g:b>{|9;>i6=4?:382f=b125<72;0:n5jn:4gb<>{|9;>i6=4?:382f=ba25<72;0:n5k<:4ga5>{|9;>i6=4?:382f=c?25<72;0:n5kl:4gae>{|9;>i6=4?:382f=`625<72;0:n5h::4g`7>{|9;>i6=4?:382f=`f25<72;0:n5hj:4g`g>{|9;>i6=4?:382f<6425<72;0:n4>8:4gg1>{|9;>i6=4?:382f<6e25<72;0:n4??:4gga>{|9;>i6=4?:382f<7326st136a>5<72;0:n4?6:4gf3>{|9;>i6=4?:382f<7c25<72;0:n4<=:4ge4>{|9;>i6=4?:382f<4125<72;0:n4{|9;>i6=4?:382f<4a25<72;0:n4=;:4d36>{|9;>i6=4?:382f<5?25<72;0:n4=k:4d3f>{|9;>i6=4?:382f<2625<72;0:n4:9:4d20>{|9;>i6=4?:382f<2f25<72;0:n4:i:4d2`>{|9;>i6=4?:382f<3425<72;0:n4;7:4d12>{|9;>i6=4?:382f<3d25<72;0:n48>:4d1b>{|9;>i6=4?:382f<0225<72;0:n48n:4d0<>{|9;>i6=4?:382f<0b25<72;0:n49<:4d75>{|9;>i6=4?:382f<1025<72;0:n49l:4d7e>{|9;>i6=4?:382f<>725<72;0:n46::4d67>{|9;>i6=4?:382f<>>2;6st136a>5<72;0:n46j:4d6g>{|9;>i6=4?:382f5<72;0:n478:4d51>{|9;>i6=4?:382f5<72;0:n4o?:4d5a>{|9;>i6=4?:382f6st136a>5<72;0:n4o6:4d43>{|9;>i6=4?:382f5<72;0:n4l=:4d;4>{|9;>i6=4?:382f5<72;0:n4lm:4d;=>{|9;>i6=4?:382f5<72;0:n4m;:4d:6>{|9;>i6=4?:382f5<72;0:n4mk:4d:f>{|9;>i6=4?:382f5<72;0:n4j9:4db0>{|9;>i6=4?:382f5<72;0:n4ji:4db`>{|9;>i6=4?:382f5<72;0:n4k7:4da2>{|9;>i6=4?:382f5<72;0:n4h>:4dab>{|9;>i6=4?:382f<`225<72;0:n4hn:4d`<>{|9;>i6=4?:382f<`b25<72;0:nl><:4dg5>{|9;>i6=4?:382fd6025<72;0:nl>l:4dge>{|9;>i6=4?:382fd7725<72;0:nl?::4df7>{|9;>i6=4?:382fd7>25<72;0:nl?j:4dfg>{|9;>i6=4?:382fd4125<72;0:nl{|9;>i6=4?:382fd512?:;86st136a>5<72;0:nl=l:723e>{|9;>i6=4?:382fd232?::>6st136a>5<72;0:nl:l:722e>{|9;>i6=4?:382fd332?:9>6st136a>5<72;0:nl;n:721<>{|9;>i6=4?:382fd052?:8<6st136a>5<72;0:nl8n:720<>{|9;>i6=4?:382fd152?:?<6st136a>5<72;0:nl97:7272>{|9;>i6=4?:382fd>72?:?i6st136a>5<72;0:nl67:7262>{|9;>i6=4?:382fd?72?:>i6st136a>5<72;0:nl79:7250>{|9;>i6=4?:382fd?d2?:=m6st136a>5<72;0:nlo<:7245>{|9;>i6=4?:382fdge2?:<56st136a>5<72;0:nll?:724a>{|9;>i6=4?:382fdd02?:3;6st136a>5<72;0:nllj:72:4>{|9;>i6=4?:382fde22?:256st136a>5<72;0:nlml:72b6>{|9;>i6=4?:382fdb42?:jn6st136a>5<72;0:nljn:72a0>{|9;>i6=4?:382fdc62?:ih6st136a>5<72;0:nlk7:72`2>{|9;>i6=4?:382fdca2?:hj6st136a>5<72;0:nlh9:72g<>{|9;>i6=4?:382fd`c2?:n=6st136a>5<72;0:no>;:72fe>{|9;>i6=4?:382fg6e2?:m?6st136a>5<72;0:no?=:72eg>{|9;>i6=4?:382fg7>2?;;96st136a>5<72;0:no{|9;>i6=4?:382fg402?;:;6st136a>5<72;0:no{|9;>i6=4?:382fg522?;956st136a>5<72;0:no=l:7306>{|9;>i6=4?:382fg242?;8n6st136a>5<72;0:no:n:7370>{|9;>i6=4?:382fg362?;?h6st136a>5<72;0:no;7:7362>{|9;>i6=4?:382fg3a2?;>j6st136a>5<72;0:no89:735<>{|9;>i6=4?:382fg0c2?;<=6st136a>5<72;0:no9;:734e>{|9;>i6=4?:382fg1e2?;3?6st136a>5<72;0:no6=:73;g>{|9;>i6=4?:382fg>>2?;296st136a>5<72;0:no7?:73:a>{|9;>i6=4?:382fg?02?;j;6st136a>5<72;0:no7j:73a4>{|9;>i6=4?:382fgg22?;i56st136a>5<72;0:nool:73`6>{|9;>i6=4?:382fgd42?;hn6st136a>5<72;0:noln:73g0>{|9;>i6=4?:382fge62?;oh6st136a>5<72;0:nom7:73f2>{|9;>i6=4?:382fgea2?;nj6st136a>5<72;0:noj9:73e<>{|9;>i6=4?:382fgbc2?8;=6st136a>5<72;0:nok;:703e>{|9;>i6=4?:382fgce2?8:?6st136a>5<72;0:noh=:702g>{|9;>i6=4?:382fg`>2?8996st136a>5<72;0:nn>?:701a>{|9;>i6=4?:382ff602?88;6st136a>5<72;0:nn>j:7074>{|9;>i6=4?:382ff722?8?56st136a>5<72;0:nn?l:7066>{|9;>i6=4?:382ff442?8>n6st136a>5<72;0:nn{|9;>i6=4?:382ff562?8=h6st136a>5<72;0:nn=7:7042>{|9;>i6=4?:382ff5a2?85<72;0:nn:9:70;2>{|9;>i6=4?:382ff2c2?83h6st136a>5<72;0:nn;;:70:0>{|9;>i6=4?:382ff3e2?82h6st136a>5<72;0:nn8=:70b2>{|9;>i6=4?:382ff0>2?8jj6st136a>5<72;0:nn9?:70a<>{|9;>i6=4?:382ff102?8h=6st136a>5<72;0:nn9j:70`e>{|9;>i6=4?:382ff>22?8o?6st136a>5<72;0:nn6l:70gg>{|9;>i6=4?:382ff?42?8n96st136a>5<72;0:nn7n:70fa>{|9;>i6=4?:382ffg62?8m96st136a>5<72;0:nno7:70eg>{|9;>i6=4?:382ffga2?9;?6st136a>5<72;0:nnl9:713e>{|9;>i6=4?:382ffdc2?9:=6st136a>5<72;0:nnm;:712<>{|9;>i6=4?:382ffee2?9:j6st136a>5<72;0:nnj=:7112>{|9;>i6=4?:382ffb>2?99h6st136a>5<72;0:nnk?:7100>{|9;>i6=4?:382ffc02?98n6st136a>5<72;0:nnkj:7176>{|9;>i6=4?:382ff`22?9?56st136a>5<72;0:nnhl:7164>{|9;>i6=4?:382fa642?9>;6st136a>5<72;0:ni>n:716a>{|9;>i6=4?:382fa762?9=96st136a>5<72;0:ni?7:715g>{|9;>i6=4?:382fa7a2?95<72;0:ni<9:714e>{|9;>i6=4?:382fa4c2?93=6st136a>5<72;0:ni=;:71;<>{|9;>i6=4?:382fa5e2?93j6st136a>5<72;0:ni:=:71:2>{|9;>i6=4?:382fa2>2?92h6st136a>5<72;0:ni;?:71b0>{|9;>i6=4?:382fa302?9jn6st136a>5<72;0:ni;j:71a6>{|9;>i6=4?:382fa022?9i56st136a>5<72;0:ni8l:71`4>{|9;>i6=4?:382fa142?9h;6st136a>5<72;0:ni9n:71`a>{|9;>i6=4?:382fa>62?9o96st136a>5<72;0:ni67:71gg>{|9;>i6=4?:382fa>a2?9n?6st136a>5<72;0:ni79:71fe>{|9;>i6=4?:382fa?c2?9m=6st136a>5<72;0:nio;:71e<>{|9;>i6=4?:382fage2?9mj6st136a>5<72;0:nil=:7632>{|9;>i6=4?:382fad>2?>;h6st136a>5<72;0:nim?:7620>{|9;>i6=4?:382fae02?>:n6st136a>5<72;0:nimj:7616>{|9;>i6=4?:382fab22?>956st136a>5<72;0:nijl:7604>{|9;>i6=4?:382fac42?>8;6st136a>5<72;0:nikn:760a>{|9;>i6=4?:382fa`62?>?96st136a>5<72;0:nih7:767g>{|9;>i6=4?:382fa`a2?>>?6st136a>5<72;0:nh>9:766e>{|9;>i6=4?:382f`6c2?>==6st136a>5<72;0:nh?;:765<>{|9;>i6=4?:382f`7e2?>=j6st136a>5<72;0:nh<=:7642>{|9;>i6=4?:382f`4>2?>5<72;0:nh=?:76;0>{|9;>i6=4?:382f`502?>3n6st136a>5<72;0:nh=j:76:6>{|9;>i6=4?:382f`222?>256st136a>5<72;0:nh:l:76b4>{|9;>i6=4?:382f`342?>j;6st136a>5<72;0:nh;n:76ba>{|9;>i6=4?:382f`062?>i96st136a>5<72;0:nh87:76ag>{|9;>i6=4?:382f`0a2?>h?6st136a>5<72;0:nh99:76`e>{|9;>i6=4?:382f`1c2?>o=6st136a>5<72;0:nh6;:76ge>{|9;>i6=4?:382f`>e2?>n?6st136a>5<72;0:nh7=:76fg>{|9;>i6=4?:382f`?>2?>m96st136a>5<72;0:nho?:76ea>{|9;>i6=4?:382f`g02??;;6st136a>5<72;0:nhoj:7724>{|9;>i6=4?:382f`d22??:56st136a>5<72;0:nhll:7716>{|9;>i6=4?:382f`e42??9n6st136a>5<72;0:nhmn:7700>{|9;>i6=4?:382f`b62??8h6st136a>5<72;0:nhj7:7772>{|9;>i6=4?:382f`ba2???j6st136a>5<72;0:nhk9:776<>{|9;>i6=4?:382f`cc2??==6st136a>5<72;0:nhh;:775e>{|9;>i6=4?:382f``e2??5<72;0:nk>=:774g>{|9;>i6=4?:382fc6>2??396st136a>5<72;0:nk??:77;a>{|9;>i6=4?:382fc702??2;6st136a>5<72;0:nk?j:77b4>{|9;>i6=4?:382fc422??j56st136a>5<72;0:nk{|9;>i6=4?:382fc542??in6st136a>5<72;0:nk=n:77`0>{|9;>i6=4?:382fc262??hh6st136a>5<72;0:nk:7:77g2>{|9;>i6=4?:382fc2a2??oj6st136a>5<72;0:nk;9:77f<>{|9;>i6=4?:382fc3c2??m=6st136a>5<72;0:nk8;:77ee>{|9;>i6=4?:382fc0e2?<;?6st136a>5<72;0:nk9=:743g>{|9;>i6=4?:382fc1>2?<:96st136a>5<72;0:nk6?:742a>{|9;>i6=4?:382fc>02?<9;6st136a>5<72;0:nk6j:7404>{|9;>i6=4?:382fc?22?<856st136a>5<72;0:nk7l:7476>{|9;>i6=4?:382fcg42?5<72;0:nkon:7460>{|9;>i6=4?:382fcd62?<>h6st136a>5<72;0:nkl7:7452>{|9;>i6=4?:382fcda2?<=j6st136a>5<72;0:nkm9:744<>{|9;>i6=4?:382fcec2?<3=6st136a>5<72;0:nkj;:74;e>{|9;>i6=4?:382fcbe2?<2?6st136a>5<72;0:nkk=:74:g>{|9;>i6=4?:382fcc>2?5<72;0:nkh?:74ba>{|9;>i6=4?:382fc`02?5<72;0:nkhj:74`4>{|9;>i6=4?:382g5622?5<72;0:o=>l:74g6>{|9;>i6=4?:382g5742?5<72;0:o=?n:74f0>{|9;>i6=4?:382g5462?5<72;0:o=<7:74e2>{|9;>i6=4?:382g54a2?5<72;0:o==9:753<>{|9;>i6=4?:382g55c2?=:=6st136a>5<72;0:o=:;:752e>{|9;>i6=4?:382g52e2?=9?6st136a>5<72;0:o=;=:751g>{|9;>i6=4?:382g53>2?=896st136a>5<72;0:o=8?:750a>{|9;>i6=4?:382g5002?=?;6st136a>5<72;0:o=8j:7564>{|9;>i6=4?:382g5122?=>56st136a>5<72;0:o=9l:7556>{|9;>i6=4?:382g5>42?==n6st136a>5<72;0:o=6n:7540>{|9;>i6=4?:382g5?62?=5<72;0:o=77:75;2>{|9;>i6=4?:382g5?a2?=3j6st136a>5<72;0:o=o9:75:<>{|9;>i6=4?:382g5gc2?=j=6st136a>5<72;0:o=l;:75be>{|9;>i6=4?:382g5de2?=i?6st136a>5<72;0:o=m=:75ag>{|9;>i6=4?:382g5e>2?=h96st136a>5<72;0:o=j?:75`a>{|9;>i6=4?:382g5b02?=o;6st136a>5<72;0:o=jj:75ga>{|9;>i6=4?:382g5c22?=n;6st136a>5<72;0:o=k6:75ff>{|9;>i6=4?:382g5cb2?=m<6st136a>5<72;0:o=h=:75e0>{|9;>i6=4?:382g5`12?=m46st136a>5<72;0:o=hm:75e`>{|9;>i6=4?:382g5`a2?2;=6st136a>5<72;0:o<>;:7:32>{|9;>i6=4?:382g46?2?2;m6st136a>5<72;0:o<>k:7:3b>{|9;>i6=4?:382g4762?2:?6st136a>5<72;0:o{|9;>i6=4?:382g47f2?2:o6st136a>5<72;0:o{|9;>i6=4?:382g4452?2986st136a>5<72;0:o<<8:7:1=>{|9;>i6=4?:382g44e2?29h6st136a>5<72;0:o<{|9;>i6=4?:382g4532?28:6st136a>5<72;0:o<=7:7:0e>{|9;>i6=4?:382g45d2?28i6st136a>5<72;0:o<:>:7:77>{|9;>i6=4?:382g4222?2?;6st136a>5<72;0:o<:6:7:7f>{|9;>i6=4?:382g42b2?2><6st136a>5<72;0:o<;=:7:60>{|9;>i6=4?:382g4312?2>46st136a>5<72;0:o<;m:7:6`>{|9;>i6=4?:382g43a2?2==6st136a>5<72;0:o<8<:7:51>{|9;>i6=4?:382g40?2?2=m6st136a>5<72;0:o<8l:7:5a>{|9;>i6=4?:382g4172?2<>6st136a>5<72;0:o<9::7:43>{|9;>i6=4?:382g41>2?25<72;0:o<9k:7:4b>{|9;>i6=4?:382g4>62?23?6st136a>5<72;0:o<69:7:;<>{|9;>i6=4?:382g4>f2?23o6st136a>5<72;0:o<6j:7::4>{|9;>i6=4?:382g4?42?2296st136a>5<72;0:o<78:7::=>{|9;>i6=4?:382g4?e2?22h6st136a>5<72;0:o{|9;>i6=4?:382g4g32?2j:6st136a>5<72;0:o{|9;>i6=4?:382g4gc2?2jj6st136a>5<72;0:o:7:a7>{|9;>i6=4?:382g4d22?2i;6st136a>5<72;0:o{|9;>i6=4?:382g4db2?2h<6st136a>5<72;0:o{|9;>i6=4?:382g4e02?2h56st136a>5<72;0:o{|9;>i6=4?:382g4ea2?2o=6st136a>5<72;0:o{|9;>i6=4?:382g4b?2?2om6st136a>5<72;0:o{|9;>i6=4?:382g4c62?2n?6st136a>5<72;0:o{|9;>i6=4?:382g4c>2?2nn6st136a>5<72;0:o{|9;>i6=4?:382g4`52?2m86st136a>5<72;0:o{|9;>i6=4?:382g4`e2?2mh6st136a>5<72;0:o{|9;>i6=4?:382g7642?3;96st136a>5<72;0:o?>7:7;3e>{|9;>i6=4?:382g76d2?3;i6st136a>5<72;0:o???:7;26>{|9;>i6=4?:382g7722?3:;6st136a>5<72;0:o??6:7;2f>{|9;>i6=4?:382g77c2?3:j6st136a>5<72;0:o?<=:7;10>{|9;>i6=4?:382g7412?3946st136a>5<72;0:o?{|9;>i6=4?:382g74a2?38=6st136a>5<72;0:o?=<:7;01>{|9;>i6=4?:382g7502?3856st136a>5<72;0:o?=l:7;0a>{|9;>i6=4?:382g7272?3?>6st136a>5<72;0:o?:::7;73>{|9;>i6=4?:382g72e2?3?h6st136a>5<72;0:o?:i:7;65>{|9;>i6=4?:382g7332?3>:6st136a>5<72;0:o?;7:7;6e>{|9;>i6=4?:382g73c2?3>j6st136a>5<72;0:o?8<:7;51>{|9;>i6=4?:382g7002?3=56st136a>5<72;0:o?8l:7;5a>{|9;>i6=4?:382g7152?3<86st136a>5<72;0:o?99:7;4<>{|9;>i6=4?:382g71e2?35<72;0:o?6>:7;;7>{|9;>i6=4?:382g7>22?33;6st136a>5<72;0:o?6n:7;;g>{|9;>i6=4?:382g7?72?32>6st136a>5<72;0:o?7;:7;:2>{|9;>i6=4?:382g7?>2?32n6st136a>5<72;0:o?7i:7;b5>{|9;>i6=4?:382g7g42?3j96st136a>5<72;0:o?o7:7;be>{|9;>i6=4?:382g7gb2?3i<6st136a>5<72;0:o?l=:7;a0>{|9;>i6=4?:382g7d02?3i56st136a>5<72;0:o?lk:7;ab>{|9;>i6=4?:382g7e62?3h?6st136a>5<72;0:o?m9:7;`<>{|9;>i6=4?:382g7ed2?3hi6st136a>5<72;0:o?j?:7;g6>{|9;>i6=4?:382g7b22?3o;6st136a>5<72;0:o?jm:7;g`>{|9;>i6=4?:382g7ba2?3n=6st136a>5<72;0:o?k;:7;f2>{|9;>i6=4?:382g7cf2?3no6st136a>5<72;0:o?kj:7;e4>{|9;>i6=4?:382g7`42?3m96st136a>5<72;0:o?h6:7;ef>{|9;>i6=4?:382g7`c2?3mj6st136a>5<72;0:o>>=:7c30>{|9;>i6=4?:382g66?2?k;m6st136a>5<72;0:o>>l:7c3a>{|9;>i6=4?:382g6762?k:?6st136a>5<72;0:o>?8:7c2=>{|9;>i6=4?:382g67e2?k:h6st136a>5<72;0:o>{|9;>i6=4?:382g6432?k9:6st136a>5<72;0:o><6:7c1f>{|9;>i6=4?:382g64c2?k9j6st136a>5<72;0:o>==:7c00>{|9;>i6=4?:382g6512?k846st136a>5<72;0:o>=m:7c0`>{|9;>i6=4?:382g6262?k??6st136a>5<72;0:o>:::7c73>{|9;>i6=4?:382g62f2?k?o6st136a>5<72;0:o>;?:7c66>{|9;>i6=4?:382g6332?k>:6st136a>5<72;0:o>;6:7c6f>{|9;>i6=4?:382g63a2?k==6st136a>5<72;0:o>8<:7c51>{|9;>i6=4?:382g60?2?k=m6st136a>5<72;0:o>8j:7c44>{|9;>i6=4?:382g6152?k<86st136a>5<72;0:o>98:7c4=>{|9;>i6=4?:382g61c2?k5<72;0:o>6>:7c;7>{|9;>i6=4?:382g6>12?k346st136a>5<72;0:o>6l:7c;a>{|9;>i6=4?:382g6?72?k2>6st136a>5<72;0:o>7::7c:3>{|9;>i6=4?:382g6?e2?k2h6st136a>5<72;0:o>7i:7cb5>{|9;>i6=4?:382g6g32?kj:6st136a>5<72;0:o>on:7cbg>{|9;>i6=4?:382g6gb2?ki<6st136a>5<72;0:o>l<:7ca1>{|9;>i6=4?:382g6d>2?kin6st136a>5<72;0:o>lk:7cab>{|9;>i6=4?:382g6e52?kh86st136a>5<72;0:o>m7:7c`e>{|9;>i6=4?:382g6ed2?khi6st136a>5<72;0:o>j>:7cg7>{|9;>i6=4?:382g6b02?ko56st136a>5<72;0:o>jm:7cg`>{|9;>i6=4?:382g6ba2?kn=6st136a>5<72;0:o>k;:7cf2>{|9;>i6=4?:382g6c?2?knm6st136a>5<72;0:o>kk:7cfb>{|9;>i6=4?:382g6`52?km86st136a>5<72;0:o>h9:7ce<>{|9;>i6=4?:382g6`f2?kmo6st136a>5<72;0:o>hi:7`35>{|9;>i6=4?:382g1632?h;:6st136a>5<72;0:o9>7:7`3e>{|9;>i6=4?:382g16d2?h;i6st136a>5<72;0:o9?>:7`27>{|9;>i6=4?:382g1712?h:46st136a>5<72;0:o9?n:7`2g>{|9;>i6=4?:382g17b2?h9<6st136a>5<72;0:o9<<:7`11>{|9;>i6=4?:382g14?2?h9m6st136a>5<72;0:o9{|9;>i6=4?:382g1572?h8>6st136a>5<72;0:o9=::7`03>{|9;>i6=4?:382g15f2?h8o6st136a>5<72;0:o9=j:7`74>{|9;>i6=4?:382g1252?h?86st136a>5<72;0:o9:8:7`7=>{|9;>i6=4?:382g12d2?h?i6st136a>5<72;0:o9;?:7`66>{|9;>i6=4?:382g1332?h>:6st136a>5<72;0:o9;6:7`6f>{|9;>i6=4?:382g13b2?h=<6st136a>5<72;0:o98=:7`50>{|9;>i6=4?:382g1012?h=46st136a>5<72;0:o98m:7`5`>{|9;>i6=4?:382g1172?h<>6st136a>5<72;0:o99;:7`42>{|9;>i6=4?:382g11?2?h5<72;0:o99k:7`4b>{|9;>i6=4?:382g1>52?h386st136a>5<72;0:o969:7`;<>{|9;>i6=4?:382g1>f2?h3o6st136a>5<72;0:o96i:7`:5>{|9;>i6=4?:382g1?32?h2:6st136a>5<72;0:o977:7`:e>{|9;>i6=4?:382g1?d2?h2i6st136a>5<72;0:o9o>:7`b7>{|9;>i6=4?:382g1g12?hj46st136a>5<72;0:o9on:7`bg>{|9;>i6=4?:382g1gb2?hi<6st136a>5<72;0:o9l<:7`a1>{|9;>i6=4?:382g1d02?him6st136a>5<72;0:o9lj:7``7>{|9;>i6=4?:382g1e22?hho6st136a>5<72;0:o9ml:7`g1>{|9;>i6=4?:382g1b42?hoi6st136a>5<72;0:o9jn:7`f3>{|9;>i6=4?:382g1c62?hm<6st136a>5<72;0:o9k7:7`e=>{|9;>i6=4?:382g1ca2?i;>6st136a>5<72;0:o9h9:7a3f>{|9;>i6=4?:382g1`c2?i:86st136a>5<72;0:o8>;:7a2`>{|9;>i6=4?:382g06e2?i9:6st136a>5<72;0:o8?=:7a1b>{|9;>i6=4?:382g07>2?i846st136a>5<72;0:o8{|9;>i6=4?:382g0402?i?m6st136a>5<72;0:o8{|9;>i6=4?:382g0522?i>o6st136a>5<72;0:o8=l:7a51>{|9;>i6=4?:382g0242?i=i6st136a>5<72;0:o8:n:7a43>{|9;>i6=4?:382g0362?i3<6st136a>5<72;0:o8;7:7a;=>{|9;>i6=4?:382g03a2?i2>6st136a>5<72;0:o889:7a:f>{|9;>i6=4?:382g00c2?ij86st136a>5<72;0:o89;:7ab`>{|9;>i6=4?:382g01e2?ii:6st136a>5<72;0:o86=:7aab>{|9;>i6=4?:382g0>>2?ih46st136a>5<72;0:o87?:7ag5>{|9;>i6=4?:382g0?02?iom6st136a>5<72;0:o87j:7af7>{|9;>i6=4?:382g0g22?ino6st136a>5<72;0:o8ol:7ae1>{|9;>i6=4?:382g0d42?imi6st136a>5<72;0:o8ln:7f33>{|9;>i6=4?:382g0e62?n:<6st136a>5<72;0:o8m7:7f2=>{|9;>i6=4?:382g0ea2?n9>6st136a>5<72;0:o8j9:7f1f>{|9;>i6=4?:382g0bc2?n886st136a>5<72;0:o8k;:7f0`>{|9;>i6=4?:382g0ce2?n?:6st136a>5<72;0:o8h=:7f7b>{|9;>i6=4?:382g0`>2?n>46st136a>5<72;0:o;>?:7f55>{|9;>i6=4?:382g3602?n=m6st136a>5<72;0:o;>j:7f47>{|9;>i6=4?:382g3722?n5<72;0:o;?l:7f;1>{|9;>i6=4?:382g3442?n3i6st136a>5<72;0:o;{|9;>i6=4?:382g3562?nj<6st136a>5<72;0:o;=7:7fb=>{|9;>i6=4?:382g35a2?ni>6st136a>5<72;0:o;:9:7faf>{|9;>i6=4?:382g32c2?nh86st136a>5<72;0:o;;;:7f``>{|9;>i6=4?:382g33e2?no:6st136a>5<72;0:o;8=:7fgb>{|9;>i6=4?:382g30>2?nn46st136a>5<72;0:o;9?:7fe5>{|9;>i6=4?:382g3102?nmm6st136a>5<72;0:o;9j:7g37>{|9;>i6=4?:382g3>22?o;o6st136a>5<72;0:o;6l:7g21>{|9;>i6=4?:382g3?42?o:i6st136a>5<72;0:o;7n:7g13>{|9;>i6=4?:382g3g62?o8<6st136a>5<72;0:o;o7:7g0=>{|9;>i6=4?:382g3ga2?o?>6st136a>5<72;0:o;l9:7g7f>{|9;>i6=4?:382g3dc2?o>86st136a>5<72;0:o;m;:7g6`>{|9;>i6=4?:382g3ee2?o=:6st136a>5<72;0:o;j=:7g5b>{|9;>i6=4?:382g3b>2?o<46st136a>5<72;0:o;k?:7g;5>{|9;>i6=4?:382g3c02?o3m6st136a>5<72;0:o;kj:7g:7>{|9;>i6=4?:382g3`22?o2o6st136a>5<72;0:o;hl:7gb1>{|9;>i6=4?:382g2642?oji6st136a>5<72;0:o:>n:7ga3>{|9;>i6=4?:382g2762?oh<6st136a>5<72;0:o:?7:7g`=>{|9;>i6=4?:382g27a2?oo>6st136a>5<72;0:o:<9:7ggf>{|9;>i6=4?:382g24c2?on86st136a>5<72;0:o:=;:7gf`>{|9;>i6=4?:382g25e2?om:6st136a>5<72;0:o::=:7geb>{|9;>i6=4?:382g22>2?l;46st136a>5<72;0:o:;?:7d25>{|9;>i6=4?:382g2302?l:m6st136a>5<72;0:o:;j:7d17>{|9;>i6=4?:382g2022?l9o6st136a>5<72;0:o:8l:7d01>{|9;>i6=4?:382g2142?l8i6st136a>5<72;0:o:9n:7d73>{|9;>i6=4?:382g2>62?l><6st136a>5<72;0:o:67:7d6=>{|9;>i6=4?:382g2>a2?l=>6st136a>5<72;0:o:79:7d5f>{|9;>i6=4?:382g2?c2?l<86st136a>5<72;0:o:o;:7d4`>{|9;>i6=4?:382g2ge2?l3:6st136a>5<72;0:o:l=:7d;b>{|9;>i6=4?:382g2d>2?l246st136a>5<72;0:o:m?:7db5>{|9;>i6=4?:382g2e02?ljm6st136a>5<72;0:o:mj:7da7>{|9;>i6=4?:382g2b22?lio6st136a>5<72;0:o:jl:7d`1>{|9;>i6=4?:382g2c42?lhi6st136a>5<72;0:o:kn:7dg3>{|9;>i6=4?:382g2`62?ln<6st136a>5<72;0:o:h7:7df=>{|9;>i6=4?:382g2`a2?lm>6st136a>5<72;0:o5>9:7def>{|9;>i6=4?:382g=6c2>:;86st136a>5<72;0:o5?;:623`>{|9;>i6=4?:382g=7e2>:::6st136a>5<72;0:o5<=:622b>{|9;>i6=4?:382g=4>2>:946st136a>5<72;0:o5=?:6205>{|9;>i6=4?:382g=502>:8m6st136a>5<72;0:o5=j:6277>{|9;>i6=4?:382g=222>:?o6st136a>5<72;0:o5:l:6261>{|9;>i6=4?:382g=342>:>i6st136a>5<72;0:o5;n:6253>{|9;>i6=4?:382g=062>:<<6st136a>5<72;0:o587:624=>{|9;>i6=4?:382g=0a2>:3>6st136a>5<72;0:o599:62;f>{|9;>i6=4?:382g=1c2>:286st136a>5<72;0:o56;:62:`>{|9;>i6=4?:382g=>e2>:j:6st136a>5<72;0:o57=:62bb>{|9;>i6=4?:382g=?>2>:i46st136a>5<72;0:o5o?:62`5>{|9;>i6=4?:382g=g02>:hm6st136a>5<72;0:o5oj:62g7>{|9;>i6=4?:382g=d22>:oo6st136a>5<72;0:o5ll:62f1>{|9;>i6=4?:382g=e42>:ni6st136a>5<72;0:o5mn:62e3>{|9;>i6=4?:382g=b62>;;<6st136a>5<72;0:o5j7:633=>{|9;>i6=4?:382g=ba2>;:>6st136a>5<72;0:o5k9:632f>{|9;>i6=4?:382g=cc2>;986st136a>5<72;0:o5h;:631`>{|9;>i6=4?:382g=`e2>;8:6st136a>5<72;0:o4>=:630b>{|9;>i6=4?:382g<6>2>;?46st136a>5<72;0:o4??:6365>{|9;>i6=4?:382g<702>;>m6st136a>5<72;0:o4?j:6357>{|9;>i6=4?:382g<422>;=o6st136a>5<72;0:o4{|9;>i6=4?:382g<542>;5<72;0:o4=n:63;3>{|9;>i6=4?:382g<262>;2<6st136a>5<72;0:o4:7:63:=>{|9;>i6=4?:382g<2a2>;j>6st136a>5<72;0:o4;<:63b2>{|9;>i6=4?:382g<302>;jm6st136a>5<72;0:o4;l:63bb>{|9;>i6=4?:382g<072>;i?6st136a>5<72;0:o48::63a<>{|9;>i6=4?:382g<0>2>;io6st136a>5<72;0:o48j:63`5>{|9;>i6=4?:382g<152>;h96st136a>5<72;0:o498:63`e>{|9;>i6=4?:382g<1e2>;hi6st136a>5<72;0:o46?:63g7>{|9;>i6=4?:382g<>32>;o;6st136a>5<72;0:o466:63gg>{|9;>i6=4?:382g<>c2>;n<6st136a>5<72;0:o47=:63f1>{|9;>i6=4?:382g;n56st136a>5<72;0:o47m:63fa>{|9;>i6=4?:382g;m>6st136a>5<72;0:o4o;:63e3>{|9;>i6=4?:382g;mn6st136a>5<72;0:o4ok:6034>{|9;>i6=4?:382g8;86st136a>5<72;0:o4l9:603=>{|9;>i6=4?:382g8;h6st136a>5<72;0:o4li:6026>{|9;>i6=4?:382g8::6st136a>5<72;0:o4m7:602f>{|9;>i6=4?:382g8:j6st136a>5<72;0:o4j>:6010>{|9;>i6=4?:382g8946st136a>5<72;0:o4jn:601`>{|9;>i6=4?:382g88=6st136a>5<72;0:o4k<:6002>{|9;>i6=4?:382g88m6st136a>5<72;0:o4kl:600b>{|9;>i6=4?:382g<`72>8??6st136a>5<72;0:o4h;:6073>{|9;>i6=4?:382g<`>2>8?o6st136a>5<72;0:o4hk:6064>{|9;>i6=4?:382gd652>8>96st136a>5<72;0:ol>9:606=>{|9;>i6=4?:382gd6e2>8>i6st136a>5<72;0:ol>i:6056>{|9;>i6=4?:382gd732>8=;6st136a>5<72;0:ol?7:605f>{|9;>i6=4?:382gd7c2>8<<6st136a>5<72;0:ol<>:6040>{|9;>i6=4?:382gd412>8<56st136a>5<72;0:ol{|9;>i6=4?:382gd4a2>83>6st136a>5<72;0:ol=<:60;2>{|9;>i6=4?:382gd5?2>83n6st136a>5<72;0:ol=l:60;b>{|9;>i6=4?:382gd262>8286st136a>5<72;0:ol:::60:<>{|9;>i6=4?:382gd2f2>82h6st136a>5<72;0:ol:j:60b5>{|9;>i6=4?:382gd342>8j:6st136a>5<72;0:ol;8:60be>{|9;>i6=4?:382gd3d2>8jj6st136a>5<72;0:ol8?:60a7>{|9;>i6=4?:382gd022>8i46st136a>5<72;0:ol86:60ag>{|9;>i6=4?:382gd0b2>8h=6st136a>5<72;0:ol9=:60`1>{|9;>i6=4?:382gd102>8hm6st136a>5<72;0:ol9m:60`a>{|9;>i6=4?:382gd>72>8o?6st136a>5<72;0:ol6;:60g3>{|9;>i6=4?:382gd>>2>8oo6st136a>5<72;0:ol6k:60f4>{|9;>i6=4?:382gd?62>8n86st136a>5<72;0:ol79:60f=>{|9;>i6=4?:382gd?f2>8nh6st136a>5<72;0:ol7i:60e6>{|9;>i6=4?:382gdg42>8m:6st136a>5<72;0:olo7:60ef>{|9;>i6=4?:382gdgd2>8mj6st136a>5<72;0:oll>:6130>{|9;>i6=4?:382gdd22>9;46st136a>5<72;0:olln:613`>{|9;>i6=4?:382gddb2>9:=6st136a>5<72;0:olm<:6122>{|9;>i6=4?:382gde02>9:m6st136a>5<72;0:olml:612b>{|9;>i6=4?:382gdb72>99?6st136a>5<72;0:olj::611<>{|9;>i6=4?:382gdb>2>99o6st136a>5<72;0:oljk:6104>{|9;>i6=4?:382gdc52>9896st136a>5<72;0:olk9:610=>{|9;>i6=4?:382gdcf2>98h6st136a>5<72;0:olki:6176>{|9;>i6=4?:382gd`42>9?:6st136a>5<72;0:olh8:617e>{|9;>i6=4?:382gd`d2>9?j6st136a>5<72;0:oo>?:6167>{|9;>i6=4?:382gg632>9>;6st136a>5<72;0:oo>6:616g>{|9;>i6=4?:382gg6c2>9=<6st136a>5<72;0:oo?>:6150>{|9;>i6=4?:382gg712>9=56st136a>5<72;0:oo?n:615`>{|9;>i6=4?:382gg7b2>9<=6st136a>5<72;0:oo<<:6142>{|9;>i6=4?:382gg402>95<72;0:oo{|9;>i6=4?:382gg572>93?6st136a>5<72;0:oo=;:61;3>{|9;>i6=4?:382gg5?2>93n6st136a>5<72;0:oo=k:61:4>{|9;>i6=4?:382gg262>9286st136a>5<72;0:oo:::61:<>{|9;>i6=4?:382gg2f2>92h6st136a>5<72;0:oo:j:61b5>{|9;>i6=4?:382gg352>9j96st136a>5<72;0:oo;8:61be>{|9;>i6=4?:382gg3e2>9ji6st136a>5<72;0:oo;i:61a6>{|9;>i6=4?:382gg032>9i;6st136a>5<72;0:oo87:61af>{|9;>i6=4?:382gg0d2>9ij6st136a>5<72;0:oo9>:61`0>{|9;>i6=4?:382gg122>9h46st136a>5<72;0:oo96:61`g>{|9;>i6=4?:382gg1b2>9o=6st136a>5<72;0:oo6=:61g1>{|9;>i6=4?:382gg>12>9o56st136a>5<72;0:oo6m:61ga>{|9;>i6=4?:382gg>a2>9n>6st136a>5<72;0:oo7<:61f2>{|9;>i6=4?:382gg??2>9nn6st136a>5<72;0:oo7l:61fb>{|9;>i6=4?:382ggg62>9m86st136a>5<72;0:ooo8:61ee>{|9;>i6=4?:382gggc2>>;<6st136a>5<72;0:ool=:6631>{|9;>i6=4?:382ggd?2>>;n6st136a>5<72;0:oolj:6625>{|9;>i6=4?:382gge42>>::6st136a>5<72;0:oom6:662g>{|9;>i6=4?:382ggea2>>9>6st136a>5<72;0:ooj;:6613>{|9;>i6=4?:382ggbf2>>9h6st136a>5<72;0:ook?:6607>{|9;>i6=4?:382ggc22>>846st136a>5<72;0:ookm:660a>{|9;>i6=4?:382gg`62>>?86st136a>5<72;0:ooh7:667`>{|9;>i6=4?:382gg`a2>>>:6st136a>5<72;0:on>9:666b>{|9;>i6=4?:382gf6c2>>=46st136a>5<72;0:on?;:6645>{|9;>i6=4?:382gf7e2>>5<72;0:on<=:66;7>{|9;>i6=4?:382gf4>2>>3o6st136a>5<72;0:on=?:66:1>{|9;>i6=4?:382gf502>>2i6st136a>5<72;0:on=j:66b3>{|9;>i6=4?:382gf222>>i<6st136a>5<72;0:on:l:66a=>{|9;>i6=4?:382gf342>>h>6st136a>5<72;0:on;n:66`f>{|9;>i6=4?:382gf062>>o86st136a>5<72;0:on87:66g`>{|9;>i6=4?:382gf0a2>>n:6st136a>5<72;0:on99:66fb>{|9;>i6=4?:382gf1c2>>m46st136a>5<72;0:on6;:6735>{|9;>i6=4?:382gf>e2>?;m6st136a>5<72;0:on7=:6727>{|9;>i6=4?:382gf?>2>?:o6st136a>5<72;0:ono?:6711>{|9;>i6=4?:382gfg02>?9i6st136a>5<72;0:onoj:6703>{|9;>i6=4?:382gfd22>??<6st136a>5<72;0:onll:677=>{|9;>i6=4?:382gfe42>?>>6st136a>5<72;0:onmn:676f>{|9;>i6=4?:382gfb62>?=86st136a>5<72;0:onj7:675`>{|9;>i6=4?:382gfba2>?<:6st136a>5<72;0:onk9:674b>{|9;>i6=4?:382gfcc2>?346st136a>5<72;0:onh;:67:5>{|9;>i6=4?:382gf`e2>?2m6st136a>5<72;0:oi>=:67b7>{|9;>i6=4?:382ga6>2>?jo6st136a>5<72;0:oi??:67a1>{|9;>i6=4?:382ga702>?ii6st136a>5<72;0:oi?j:67`3>{|9;>i6=4?:382ga422>?o<6st136a>5<72;0:oi{|9;>i6=4?:382ga542>?n>6st136a>5<72;0:oi=n:67ff>{|9;>i6=4?:382ga262>?m86st136a>5<72;0:oi:7:67e`>{|9;>i6=4?:382ga2a2><;:6st136a>5<72;0:oi;9:643b>{|9;>i6=4?:382ga3c2><:46st136a>5<72;0:oi8;:6415>{|9;>i6=4?:382ga0e2><9m6st136a>5<72;0:oi9=:6407>{|9;>i6=4?:382ga1>2><8o6st136a>5<72;0:oi6?:6471>{|9;>i6=4?:382ga>02>5<72;0:oi6j:6463>{|9;>i6=4?:382ga?22><=<6st136a>5<72;0:oi7l:6453>{|9;>i6=4?:382gag42><=i6st136a>5<72;0:oion:6441>{|9;>i6=4?:382gc622>=o<6st136a>5<72;0:ok>l:65g3>{|9;>i6=4?:382gc742>=oi6st136a>5<72;0:ok?n:65f1>{|9;>i6=4?:382gc462>=no6st136a>5<72;0:ok<7:65e7>{|9;>i6=4?:382gc4a2>=mm6st136a>5<72;0:ok=9:6:35>{|9;>i6=4?:382gc5c2>2;46st136a>5<72;0:ok:;:6:3b>{|9;>i6=4?:382gc2e2>2::6st136a>5<72;0:ok;=:6:2`>{|9;>i6=4?:382gc3>2>2986st136a>5<72;0:ok8?:6:1f>{|9;>i6=4?:382gc002>28>6st136a>5<72;0:ok8j:6:0=>{|9;>i6=4?:382gc122>2?<6st136a>5<72;0:ok9l:6:73>{|9;>i6=4?:382gc>42>2?i6st136a>5<72;0:ok6n:6:61>{|9;>i6=4?:382gc?62>2>o6st136a>5<72;0:ok77:6:57>{|9;>i6=4?:382gc?a2>2=m6st136a>5<72;0:oko9:6:45>{|9;>i6=4?:382gcgc2>2<46st136a>5<72;0:okl;:6:4b>{|9;>i6=4?:382gcde2>23:6st136a>5<72;0:okm=:6:;`>{|9;>i6=4?:382gce>2>2286st136a>5<72;0:okj?:6::f>{|9;>i6=4?:382gcb02>2j>6st136a>5<72;0:okjj:6:b=>{|9;>i6=4?:382gcc22>2i<6st136a>5<72;0:okkl:6:a3>{|9;>i6=4?:382gc`42>2ii6st136a>5<72;0:okhn:6:`1>{|9;>i6=4?:382`5662>2hi6st136a>5<72;0:h=>7:6:g3>{|9;>i6=4?:382`56a2>2n<6st136a>5<72;0:h=?9:6:f=>{|9;>i6=4?:382`57c2>2m>6st136a>5<72;0:h=<;:6:ef>{|9;>i6=4?:382`54e2>3;86st136a>5<72;0:h===:6;3`>{|9;>i6=4?:382`55>2>3::6st136a>5<72;0:h=:?:6;2b>{|9;>i6=4?:382`5202>3946st136a>5<72;0:h=:j:6;05>{|9;>i6=4?:382`5322>38m6st136a>5<72;0:h=;l:6;77>{|9;>i6=4?:382`5042>3?m6st136a>5<72;0:h=8n:6;67>{|9;>i6=4?:382`5162>3>o6st136a>5<72;0:h=97:6;51>{|9;>i6=4?:382`51a2>3=i6st136a>5<72;0:h=69:6;43>{|9;>i6=4?:382`5>c2>33<6st136a>5<72;0:h=7;:6;;=>{|9;>i6=4?:382`5?e2>32>6st136a>5<72;0:h=o=:6;:f>{|9;>i6=4?:382`5g>2>3j86st136a>5<72;0:h=l?:6;b`>{|9;>i6=4?:382`5d02>3i:6st136a>5<72;0:h=lj:6;ab>{|9;>i6=4?:382`5e22>3h46st136a>5<72;0:h=ml:6;g5>{|9;>i6=4?:382`5b42>3o46st136a>5<72;0:h=jn:6;gb>{|9;>i6=4?:382`5c62>3n:6st136a>5<72;0:h=k7:6;f`>{|9;>i6=4?:382`5ca2>3m86st136a>5<72;0:h=h9:6;ef>{|9;>i6=4?:382`5`c2>k;>6st136a>5<72;0:h<>;:6c3=>{|9;>i6=4?:382`46e2>k:<6st136a>5<72;0:h{|9;>i6=4?:382`47>2>k:i6st136a>5<72;0:h<{|9;>i6=4?:382`4402>k9o6st136a>5<72;0:h<{|9;>i6=4?:382`4522>k8m6st136a>5<72;0:h<=l:6c75>{|9;>i6=4?:382`4242>k?46st136a>5<72;0:h<:n:6c7b>{|9;>i6=4?:382`4362>k>:6st136a>5<72;0:h<;7:6c6`>{|9;>i6=4?:382`43a2>k=:6st136a>5<72;0:h<89:6c5b>{|9;>i6=4?:382`40c2>k<46st136a>5<72;0:h<9;:6c;5>{|9;>i6=4?:382`41e2>k3m6st136a>5<72;0:h<6=:6c:7>{|9;>i6=4?:382`4>>2>k2o6st136a>5<72;0:h<7?:6cb1>{|9;>i6=4?:382`4?02>kji6st136a>5<72;0:h<7j:6ca3>{|9;>i6=4?:382`4g22>kh<6st136a>5<72;0:h{|9;>i6=4?:382`4d42>ko>6st136a>5<72;0:h{|9;>i6=4?:382`4e62>kn86st136a>5<72;0:h{|9;>i6=4?:382`4ea2>km:6st136a>5<72;0:h{|9;>i6=4?:382`4bc2>h;86st136a>5<72;0:h{|9;>i6=4?:382`4ce2>h:>6st136a>5<72;0:h{|9;>i6=4?:382`4`42>h:m6st136a>5<72;0:h{|9;>i6=4?:382`4`d2>h9?6st136a>5<72;0:h?>>:6`1<>{|9;>i6=4?:382`7622>h9o6st136a>5<72;0:h?>n:6`05>{|9;>i6=4?:382`76b2>h896st136a>5<72;0:h??<:6`0e>{|9;>i6=4?:382`7702>h8i6st136a>5<72;0:h??l:6`77>{|9;>i6=4?:382`7472>h?;6st136a>5<72;0:h?<::6`7g>{|9;>i6=4?:382`74>2>h><6st136a>5<72;0:h?{|9;>i6=4?:382`7552>h>56st136a>5<72;0:h?=8:6`6a>{|9;>i6=4?:382`75e2>h=>6st136a>5<72;0:h?:?:6`53>{|9;>i6=4?:382`7232>h=n6st136a>5<72;0:h?:6:6`44>{|9;>i6=4?:382`72c2>h<86st136a>5<72;0:h?;=:6`4=>{|9;>i6=4?:382`7312>h5<72;0:h?;m:6`;6>{|9;>i6=4?:382`73a2>h3:6st136a>5<72;0:h?8;:6`;f>{|9;>i6=4?:382`70?2>h3j6st136a>5<72;0:h?8k:6`:0>{|9;>i6=4?:382`7162>h246st136a>5<72;0:h?99:6`:`>{|9;>i6=4?:382`71f2>hj=6st136a>5<72;0:h?9i:6`b2>{|9;>i6=4?:382`7>42>hjm6st136a>5<72;0:h?67:6`bb>{|9;>i6=4?:382`7>d2>hi?6st136a>5<72;0:h?7?:6`a3>{|9;>i6=4?:382`7?22>hio6st136a>5<72;0:h?76:6``4>{|9;>i6=4?:382`7?b2>hh96st136a>5<72;0:h?o=:6``=>{|9;>i6=4?:382`7g02>hhi6st136a>5<72;0:h?om:6`g6>{|9;>i6=4?:382`7d72>ho;6st136a>5<72;0:h?l;:6`gf>{|9;>i6=4?:382`7d>2>hn<6st136a>5<72;0:h?lk:6`f0>{|9;>i6=4?:382`7e52>hn56st136a>5<72;0:h?m9:6`f`>{|9;>i6=4?:382`7ee2>hm>6st136a>5<72;0:h?mi:6`e2>{|9;>i6=4?:382`7b32>hmn6st136a>5<72;0:h?j7:6`eb>{|9;>i6=4?:382`7bc2>i;86st136a>5<72;0:h?k>:6a3<>{|9;>i6=4?:382`7c12>i;h6st136a>5<72;0:h?kn:6a25>{|9;>i6=4?:382`7ca2>i::6st136a>5<72;0:h?h<:6a2e>{|9;>i6=4?:382`7`?2>i:j6st136a>5<72;0:h?hl:6a17>{|9;>i6=4?:382`6662>i946st136a>5<72;0:h>>::6a1g>{|9;>i6=4?:382`66f2>i8=6st136a>5<72;0:h>>j:6a01>{|9;>i6=4?:382`6742>i8m6st136a>5<72;0:h>?8:6a0a>{|9;>i6=4?:382`67d2>i??6st136a>5<72;0:h>{|9;>i6=4?:382`6422>i?o6st136a>5<72;0:h><6:6a64>{|9;>i6=4?:382`64c2>i>86st136a>5<72;0:h>==:6a6=>{|9;>i6=4?:382`6512>i>h6st136a>5<72;0:h>=m:6a56>{|9;>i6=4?:382`65a2>i=:6st136a>5<72;0:h>:;:6a5f>{|9;>i6=4?:382`62?2>i=j6st136a>5<72;0:h>:k:6a40>{|9;>i6=4?:382`6362>i<46st136a>5<72;0:h>;9:6a4`>{|9;>i6=4?:382`63f2>i3=6st136a>5<72;0:h>;i:6a;2>{|9;>i6=4?:382`6042>i3m6st136a>5<72;0:h>87:6a;b>{|9;>i6=4?:382`60d2>i2?6st136a>5<72;0:h>9>:6a:<>{|9;>i6=4?:382`6122>i2o6st136a>5<72;0:h>96:6ab4>{|9;>i6=4?:382`61b2>ij96st136a>5<72;0:h>6=:6ab=>{|9;>i6=4?:382`6>12>ijh6st136a>5<72;0:h>6m:6aa6>{|9;>i6=4?:382`6>a2>ii:6st136a>5<72;0:h>7<:6aae>{|9;>i6=4?:382`6??2>iij6st136a>5<72;0:h>7l:6a`7>{|9;>i6=4?:382`6g72>ih;6st136a>5<72;0:h>o::6a`g>{|9;>i6=4?:382`6g>2>io<6st136a>5<72;0:h>ok:6ag0>{|9;>i6=4?:382`6d52>io56st136a>5<72;0:h>l9:6ag`>{|9;>i6=4?:382`6df2>in=6st136a>5<72;0:h>li:6af2>{|9;>i6=4?:382`6e42>inm6st136a>5<72;0:h>m8:6afa>{|9;>i6=4?:382`6ed2>im?6st136a>5<72;0:h>j?:6ae3>{|9;>i6=4?:382`6b32>imn6st136a>5<72;0:h>j6:6f34>{|9;>i6=4?:382`6bc2>n;86st136a>5<72;0:h>k>:6f3<>{|9;>i6=4?:382`6c12>n;h6st136a>5<72;0:h>kn:6f25>{|9;>i6=4?:382`6cb2>n:96st136a>5<72;0:h>h<:6f2e>{|9;>i6=4?:382`6`02>n:i6st136a>5<72;0:h>hm:6f16>{|9;>i6=4?:382`1672>n9;6st136a>5<72;0:h9>;:6f1f>{|9;>i6=4?:382`16?2>n9j6st136a>5<72;0:h9>k:6f00>{|9;>i6=4?:382`1762>n846st136a>5<72;0:h9?::6f0g>{|9;>i6=4?:382`17f2>n?=6st136a>5<72;0:h9?j:6f71>{|9;>i6=4?:382`1452>n?56st136a>5<72;0:h9<8:6f7a>{|9;>i6=4?:382`14e2>n>>6st136a>5<72;0:h9{|9;>i6=4?:382`1532>n>n6st136a>5<72;0:h9=7:6f6b>{|9;>i6=4?:382`15c2>n=86st136a>5<72;0:h9:<:6f5e>{|9;>i6=4?:382`12>2>n<<6st136a>5<72;0:h9:j:6f41>{|9;>i6=4?:382`1332>n5<72;0:h9;n:6f;5>{|9;>i6=4?:382`13a2>n3:6st136a>5<72;0:h98::6f;g>{|9;>i6=4?:382`10e2>n2>6st136a>5<72;0:h99?:6f:3>{|9;>i6=4?:382`1112>n2h6st136a>5<72;0:h99l:6fb7>{|9;>i6=4?:382`1>62>nj46st136a>5<72;0:h968:6fba>{|9;>i6=4?:382`1>c2>ni86st136a>5<72;0:h97;:6fa`>{|9;>i6=4?:382`1?e2>nh:6st136a>5<72;0:h9o=:6f`b>{|9;>i6=4?:382`1g>2>no46st136a>5<72;0:h9l?:6ff5>{|9;>i6=4?:382`1d02>nnm6st136a>5<72;0:h9lj:6fe7>{|9;>i6=4?:382`1e22>nmo6st136a>5<72;0:h9ml:6g31>{|9;>i6=4?:382`1b42>o;i6st136a>5<72;0:h9jn:6g23>{|9;>i6=4?:382`1c62>o9<6st136a>5<72;0:h9k7:6g1=>{|9;>i6=4?:382`1ca2>o8>6st136a>5<72;0:h9h9:6g0f>{|9;>i6=4?:382`1`c2>o?86st136a>5<72;0:h8>;:6g7`>{|9;>i6=4?:382`06e2>o>:6st136a>5<72;0:h8?=:6g6b>{|9;>i6=4?:382`07>2>o=46st136a>5<72;0:h8{|9;>i6=4?:382`0402>o5<72;0:h8{|9;>i6=4?:382`0522>o3o6st136a>5<72;0:h8=l:6g:1>{|9;>i6=4?:382`0242>o2i6st136a>5<72;0:h8:n:6gb3>{|9;>i6=4?:382`0362>oi<6st136a>5<72;0:h8;7:6ga=>{|9;>i6=4?:382`03a2>oh>6st136a>5<72;0:h889:6g`f>{|9;>i6=4?:382`00c2>oo86st136a>5<72;0:h89;:6gg`>{|9;>i6=4?:382`01e2>on:6st136a>5<72;0:h86=:6gfb>{|9;>i6=4?:382`0>>2>om46st136a>5<72;0:h87?:6d35>{|9;>i6=4?:382`0?02>l;m6st136a>5<72;0:h87j:6d27>{|9;>i6=4?:382`0g22>l:o6st136a>5<72;0:h8ol:6d11>{|9;>i6=4?:382`0d42>l9i6st136a>5<72;0:h8ln:6d03>{|9;>i6=4?:382`0e62>l?<6st136a>5<72;0:h8m7:6d7=>{|9;>i6=4?:382`0ea2>l>>6st136a>5<72;0:h8j9:6d6f>{|9;>i6=4?:382`0bc2>l=86st136a>5<72;0:h8k;:6d5`>{|9;>i6=4?:382`0ce2>l<:6st136a>5<72;0:h8h=:6d4b>{|9;>i6=4?:382`0`>2>l346st136a>5<72;0:h;>?:6d:5>{|9;>i6=4?:382`3602>l2m6st136a>5<72;0:h;>j:6db7>{|9;>i6=4?:382`3722>ljo6st136a>5<72;0:h;?l:6da1>{|9;>i6=4?:382`3442>lii6st136a>5<72;0:h;{|9;>i6=4?:382`3562>lo<6st136a>5<72;0:h;=7:6dg3>{|9;>i6=4?:382`35a2>loi6st136a>5<72;0:h;:9:6df1>{|9;>i6=4?:382`2g621;?<6st136a>5<72;0:h:o7:9373>{|9;>i6=4?:382`2ga21;?i6st136a>5<72;0:h:l9:9361>{|9;>i6=4?:382`2dc21;>o6st136a>5<72;0:h:m;:9357>{|9;>i6=4?:382`2ee21;=m6st136a>5<72;0:h:j=:9345>{|9;>i6=4?:382`2b>21;<46st136a>5<72;0:h:k?:934b>{|9;>i6=4?:382`2c021;3:6st136a>5<72;0:h:kj:93;`>{|9;>i6=4?:382`2`221;286st136a>5<72;0:h:hl:93:f>{|9;>i6=4?:382`=6421;j>6st136a>5<72;0:h5>n:93b=>{|9;>i6=4?:382`=7621;i<6st136a>5<72;0:h5?7:93a3>{|9;>i6=4?:382`=7a21;ii6st136a>5<72;0:h5<9:93`1>{|9;>i6=4?:382`=4c21;ho6st136a>5<72;0:h5=;:93g7>{|9;>i6=4?:382`=5e21;om6st136a>5<72;0:h5:=:93f5>{|9;>i6=4?:382`=2>21;n46st136a>5<72;0:h5;?:93fb>{|9;>i6=4?:382`=3021;m:6st136a>5<72;0:h5;j:93e`>{|9;>i6=4?:382`=02218;86st136a>5<72;0:h58l:903f>{|9;>i6=4?:382`=14218:>6st136a>5<72;0:h59n:902=>{|9;>i6=4?:382`=>62189<6st136a>5<72;0:h567:9013>{|9;>i6=4?:382`=>a2189i6st136a>5<72;0:h579:9001>{|9;>i6=4?:382`=?c2188i6st136a>5<72;0:h5o;:9073>{|9;>i6=4?:382`=ge218><6st136a>5<72;0:h5l=:906=>{|9;>i6=4?:382`=d>218=>6st136a>5<72;0:h5m?:905f>{|9;>i6=4?:382`=e0218<86st136a>5<72;0:h5mj:904`>{|9;>i6=4?:382`=b22183:6st136a>5<72;0:h5jl:90;b>{|9;>i6=4?:382`=c4218246st136a>5<72;0:h5kn:90b5>{|9;>i6=4?:382`=`6218jm6st136a>5<72;0:h5h7:90a7>{|9;>i6=4?:382`=`a218im6st136a>5<72;0:h4>9:90`7>{|9;>i6=4?:382`<6c218ho6st136a>5<72;0:h4?;:90g1>{|9;>i6=4?:382`<7e218oi6st136a>5<72;0:h4<=:90f3>{|9;>i6=4?:382`<4>218m<6st136a>5<72;0:h4=?:90e=>{|9;>i6=4?:382`<50219;>6st136a>5<72;0:h4=j:913f>{|9;>i6=4?:382`<22219:86st136a>5<72;0:h4:l:912`>{|9;>i6=4?:382`<342199:6st136a>5<72;0:h4;n:911b>{|9;>i6=4?:382`<06219846st136a>5<72;0:h487:9175>{|9;>i6=4?:382`<0a219?46st136a>5<72;0:h499:917b>{|9;>i6=4?:382`<1c219>:6st136a>5<72;0:h46;:916`>{|9;>i6=4?:382`<>e219=86st136a>5<72;0:h47=:915f>{|9;>i6=4?:382`219<>6st136a>5<72;0:h4o?:914=>{|9;>i6=4?:382`5<72;0:h4oj:91;3>{|9;>i6=4?:382`5<72;0:h4ll:91:1>{|9;>i6=4?:382`5<72;0:h4mn:91b3>{|9;>i6=4?:382`5<72;0:h4j7:91a=>{|9;>i6=4?:382`6st136a>5<72;0:h4k9:91`f>{|9;>i6=4?:382`5<72;0:h4h;:91g`>{|9;>i6=4?:382`<`e219n:6st136a>5<72;0:hl>=:91fb>{|9;>i6=4?:382`d6>219m46st136a>5<72;0:hl??:9635>{|9;>i6=4?:382`d7021>;m6st136a>5<72;0:hl?j:9627>{|9;>i6=4?:382`d4221>:o6st136a>5<72;0:hl{|9;>i6=4?:382`d5421>9i6st136a>5<72;0:hl=n:9601>{|9;>i6=4?:382`d2621>8o6st136a>5<72;0:hl:7:9677>{|9;>i6=4?:382`d2a21>?m6st136a>5<72;0:hl;<:967a>{|9;>i6=4?:382`d3021>>>6st136a>5<72;0:hl;l:9663>{|9;>i6=4?:382`d0721>>n6st136a>5<72;0:hl8::9654>{|9;>i6=4?:382`d0>21>=86st136a>5<72;0:hl8j:965=>{|9;>i6=4?:382`d1521>=h6st136a>5<72;0:hl98:9646>{|9;>i6=4?:382`d1e21><:6st136a>5<72;0:hl6?:964f>{|9;>i6=4?:382`d>321>5<72;0:hl66:96;0>{|9;>i6=4?:382`d>c21>346st136a>5<72;0:hl7=:96;`>{|9;>i6=4?:382`d?121>2=6st136a>5<72;0:hl7m:96:2>{|9;>i6=4?:382`d?a21>2m6st136a>5<72;0:hlo;:96:b>{|9;>i6=4?:382`dg?21>j?6st136a>5<72;0:hlok:96b<>{|9;>i6=4?:382`dd621>jo6st136a>5<72;0:hll9:96a5>{|9;>i6=4?:382`ddf21>i96st136a>5<72;0:hlli:96ae>{|9;>i6=4?:382`de421>ii6st136a>5<72;0:hlm7:96`7>{|9;>i6=4?:382`ded21>h;6st136a>5<72;0:hlj>:96`g>{|9;>i6=4?:382`db221>o<6st136a>5<72;0:hljn:96g1>{|9;>i6=4?:382`dbb21>o56st136a>5<72;0:hlk<:96ga>{|9;>i6=4?:382`dc021>n>6st136a>5<72;0:hlkl:96f3>{|9;>i6=4?:382`d`721>nn6st136a>5<72;0:hlh;:96fb>{|9;>i6=4?:382`d`>21>m86st136a>5<72;0:hlhk:96e<>{|9;>i6=4?:382`g6521>mh6st136a>5<72;0:ho>9:9735>{|9;>i6=4?:382`g6e21?;:6st136a>5<72;0:ho>i:973e>{|9;>i6=4?:382`g7321?;j6st136a>5<72;0:ho?7:9727>{|9;>i6=4?:382`g7c21?:46st136a>5<72;0:ho<>:972g>{|9;>i6=4?:382`g4121?9=6st136a>5<72;0:ho{|9;>i6=4?:382`g4a21?9m6st136a>5<72;0:ho=<:971a>{|9;>i6=4?:382`g5?21?8?6st136a>5<72;0:ho=l:9703>{|9;>i6=4?:382`g2621?8o6st136a>5<72;0:ho:::9774>{|9;>i6=4?:382`g2f21??96st136a>5<72;0:ho:j:977=>{|9;>i6=4?:382`g3421??i6st136a>5<72;0:ho;8:9766>{|9;>i6=4?:382`g3d21?>;6st136a>5<72;0:ho8?:976f>{|9;>i6=4?:382`g0221?=<6st136a>5<72;0:ho86:9750>{|9;>i6=4?:382`g0b21?=56st136a>5<72;0:ho9=:975`>{|9;>i6=4?:382`g1021?<>6st136a>5<72;0:ho9m:9742>{|9;>i6=4?:382`g>721?5<72;0:ho6;:974b>{|9;>i6=4?:382`g>>21?386st136a>5<72;0:ho6k:97;<>{|9;>i6=4?:382`g?621?3o6st136a>5<72;0:ho79:97:5>{|9;>i6=4?:382`g?f21?296st136a>5<72;0:ho7i:97:e>{|9;>i6=4?:382`gg421?2i6st136a>5<72;0:hoo7:97b7>{|9;>i6=4?:382`ggd21?j;6st136a>5<72;0:hol>:97bg>{|9;>i6=4?:382`gd221?i<6st136a>5<72;0:holn:97a1>{|9;>i6=4?:382`gdb21?i56st136a>5<72;0:hom<:97aa>{|9;>i6=4?:382`ge021?h>6st136a>5<72;0:homl:97`3>{|9;>i6=4?:382`gb721?hn6st136a>5<72;0:hoj::97g4>{|9;>i6=4?:382`gb>21?o86st136a>5<72;0:hojk:97g<>{|9;>i6=4?:382`gc521?oh6st136a>5<72;0:hok9:97f5>{|9;>i6=4?:382`gcf21?n96st136a>5<72;0:hoki:97fe>{|9;>i6=4?:382`g`421?ni6st136a>5<72;0:hoh8:97e6>{|9;>i6=4?:382`g`d21?m;6st136a>5<72;0:hn>?:97ef>{|9;>i6=4?:382`f6321?mj6st136a>5<72;0:hn>6:9430>{|9;>i6=4?:382`f6c21<;46st136a>5<72;0:hn?>:943g>{|9;>i6=4?:382`f7121<:=6st136a>5<72;0:hn?n:9421>{|9;>i6=4?:382`f7b21<:56st136a>5<72;0:hn<<:942a>{|9;>i6=4?:382`f4021<9>6st136a>5<72;0:hn{|9;>i6=4?:382`f5721<9n6st136a>5<72;0:hn=;:941b>{|9;>i6=4?:382`f5?21<8?6st136a>5<72;0:hn=k:940<>{|9;>i6=4?:382`f2621<8o6st136a>5<72;0:hn:::9474>{|9;>i6=4?:382`f2f215<72;0:hn:j:947=>{|9;>i6=4?:382`f35215<72;0:hn;8:9466>{|9;>i6=4?:382`f3e21<>:6st136a>5<72;0:hn;i:946e>{|9;>i6=4?:382`f0321<>j6st136a>5<72;0:hn87:9457>{|9;>i6=4?:382`f0d21<=;6st136a>5<72;0:hn9>:945g>{|9;>i6=4?:382`f1221<<<6st136a>5<72;0:hn96:9440>{|9;>i6=4?:382`f1b21<<56st136a>5<72;0:hn6=:944`>{|9;>i6=4?:382`f>121<3=6st136a>5<72;0:hn6m:94;2>{|9;>i6=4?:382`f>a21<3m6st136a>5<72;0:hn7<:94;a>{|9;>i6=4?:382`f??21<2?6st136a>5<72;0:hn7l:94:3>{|9;>i6=4?:382`fg621<2o6st136a>5<72;0:hno8:94b6>{|9;>i6=4?:382`fgc215<72;0:hnl=:94b`>{|9;>i6=4?:382`fd?215<72;0:hnlj:94a=>{|9;>i6=4?:382`fe4215<72;0:hnm6:94`0>{|9;>i6=4?:382`fea215<72;0:hnj;:94`b>{|9;>i6=4?:382`fbf215<72;0:hnk?:94gf>{|9;>i6=4?:382`fc2215<72;0:hnkm:94f2>{|9;>i6=4?:382`f`6215<72;0:hnh7:94e1>{|9;>i6=4?:382`f`a215<72;0:hi>9:9533>{|9;>i6=4?:382`a6c21=:<6st136a>5<72;0:hi?;:952=>{|9;>i6=4?:382`a7e21=9>6st136a>5<72;0:hi<=:951f>{|9;>i6=4?:382`a4>21=886st136a>5<72;0:hi=?:950`>{|9;>i6=4?:382`a5021=?:6st136a>5<72;0:hi=j:957b>{|9;>i6=4?:382`a2221=>46st136a>5<72;0:hi:l:9555>{|9;>i6=4?:382`a3421==m6st136a>5<72;0:hi;n:9547>{|9;>i6=4?:382`a0621=5<72;0:hi87:95;1>{|9;>i6=4?:382`a0a21=3i6st136a>5<72;0:hi99:95:3>{|9;>i6=4?:382`a1c21=j<6st136a>5<72;0:hi6;:95b=>{|9;>i6=4?:382`a>e21=i>6st136a>5<72;0:hi7=:95af>{|9;>i6=4?:382`a?>21=h86st136a>5<72;0:hio?:95``>{|9;>i6=4?:382`ag021=o:6st136a>5<72;0:hioj:95gb>{|9;>i6=4?:382`ad221=n46st136a>5<72;0:hill:95e5>{|9;>i6=4?:382`ae421=mm6st136a>5<72;0:himn:9:37>{|9;>i6=4?:382`ab6212;o6st136a>5<72;0:hij7:9:21>{|9;>i6=4?:382`aba212:i6st136a>5<72;0:hik9:9:13>{|9;>i6=4?:382`acc2128<6st136a>5<72;0:hih;:9:0=>{|9;>i6=4?:382`a`e212?>6st136a>5<72;0:hh>=:9:7f>{|9;>i6=4?:382``6>212>86st136a>5<72;0:hh??:9:6`>{|9;>i6=4?:382``70212=:6st136a>5<72;0:hh?j:9:5b>{|9;>i6=4?:382``42212<46st136a>5<72;0:hh{|9;>i6=4?:382``542123m6st136a>5<72;0:hh=n:9::7>{|9;>i6=4?:382``262122o6st136a>5<72;0:hh:7:9:b1>{|9;>i6=4?:382``2a212ji6st136a>5<72;0:hh;9:9:a3>{|9;>i6=4?:382``3c212h<6st136a>5<72;0:hh8;:9:`=>{|9;>i6=4?:382``0e212o>6st136a>5<72;0:hh9=:9:gf>{|9;>i6=4?:382``1>212n86st136a>5<72;0:hh6?:9:f`>{|9;>i6=4?:382``>0212m:6st136a>5<72;0:hh6j:9:eb>{|9;>i6=4?:382``?2213;46st136a>5<72;0:hh7l:9;3b>{|9;>i6=4?:382``g4213::6st136a>5<72;0:hhon:9;2`>{|9;>i6=4?:382a56221k<46st136a>5<72;0:i=>l:9c4b>{|9;>i6=4?:382a57421k3:6st136a>5<72;0:i=?n:9c;`>{|9;>i6=4?:382a54621k286st136a>5<72;0:i=<7:9c:f>{|9;>i6=4?:382a54a21kj>6st136a>5<72;0:i==9:9cb=>{|9;>i6=4?:382a55c21ki<6st136a>5<72;0:i=:;:9ca3>{|9;>i6=4?:382a52e21kii6st136a>5<72;0:i=;=:9c`1>{|9;>i6=4?:382a53>21kho6st136a>5<72;0:i=8?:9cg7>{|9;>i6=4?:382a50021kom6st136a>5<72;0:i=8j:9cf5>{|9;>i6=4?:382a51221kn46st136a>5<72;0:i=9l:9cfb>{|9;>i6=4?:382a5>421km:6st136a>5<72;0:i=6n:9ce`>{|9;>i6=4?:382a5?621h;86st136a>5<72;0:i=77:9`3f>{|9;>i6=4?:382a5?a21h:>6st136a>5<72;0:i=o9:9`2=>{|9;>i6=4?:382a5gc21h9<6st136a>5<72;0:i=l;:9`13>{|9;>i6=4?:382a5de21h9i6st136a>5<72;0:i=m=:9`01>{|9;>i6=4?:382a5e>21h8o6st136a>5<72;0:i=j?:9`77>{|9;>i6=4?:382a5b021h?m6st136a>5<72;0:i=jj:9`65>{|9;>i6=4?:382a5c221h>46st136a>5<72;0:i=kl:9`6b>{|9;>i6=4?:382a5`421h=:6st136a>5<72;0:i=hn:9`5`>{|9;>i6=4?:382a46621h<:6st136a>5<72;0:i<>7:9`4b>{|9;>i6=4?:382a46a21h346st136a>5<72;0:i{|9;>i6=4?:382a47c21h2m6st136a>5<72;0:i<<;:9`b7>{|9;>i6=4?:382a44e21hjo6st136a>5<72;0:i<==:9`a1>{|9;>i6=4?:382a45>21hii6st136a>5<72;0:i<:?:9``3>{|9;>i6=4?:382a42021ho<6st136a>5<72;0:i<:j:9`g=>{|9;>i6=4?:382a43221hn>6st136a>5<72;0:i<;l:9`ff>{|9;>i6=4?:382a40421hm>6st136a>5<72;0:i<8n:9`ef>{|9;>i6=4?:382a41621i;86st136a>5<72;0:i<97:9a3`>{|9;>i6=4?:382a41a21i::6st136a>5<72;0:i<69:9a2b>{|9;>i6=4?:382a4>c21i946st136a>5<72;0:i<7;:9a05>{|9;>i6=4?:382a4?e21i8m6st136a>5<72;0:i{|9;>i6=4?:382a4g>21i?o6st136a>5<72;0:i{|9;>i6=4?:382a4d021i>i6st136a>5<72;0:i{|9;>i6=4?:382a4e221i<<6st136a>5<72;0:i{|9;>i6=4?:382a4b421i3<6st136a>5<72;0:i{|9;>i6=4?:382a4c621i3i6st136a>5<72;0:i{|9;>i6=4?:382a4ca21i2o6st136a>5<72;0:i{|9;>i6=4?:382a4`c21ijm6st136a>5<72;0:i?>;:9aa5>{|9;>i6=4?:382a76e21ii46st136a>5<72;0:i??=:9aab>{|9;>i6=4?:382a77>21ih:6st136a>5<72;0:i?{|9;>i6=4?:382a74021io:6st136a>5<72;0:i?{|9;>i6=4?:382a75221in46st136a>5<72;0:i?=l:9ae5>{|9;>i6=4?:382a72421imm6st136a>5<72;0:i?:n:9f37>{|9;>i6=4?:382a73621n;o6st136a>5<72;0:i?;7:9f21>{|9;>i6=4?:382a73a21n:i6st136a>5<72;0:i?89:9f13>{|9;>i6=4?:382a70c21n8<6st136a>5<72;0:i?9;:9f0=>{|9;>i6=4?:382a71e21n?>6st136a>5<72;0:i?6=:9f7f>{|9;>i6=4?:382a7>>21n>86st136a>5<72;0:i?7?:9f6`>{|9;>i6=4?:382a7?021n=:6st136a>5<72;0:i?7j:9f5`>{|9;>i6=4?:382a7g221n<86st136a>5<72;0:i?ol:9f4f>{|9;>i6=4?:382a7d421n3>6st136a>5<72;0:i?l8:9f;2>{|9;>i6=4?:382a7de21n3m6st136a>5<72;0:i?m?:9f;b>{|9;>i6=4?:382a7e321n2?6st136a>5<72;0:i?m6:9f:<>{|9;>i6=4?:382a7ec21n2o6st136a>5<72;0:i?j=:9fb5>{|9;>i6=4?:382a7b121nj96st136a>5<72;0:i?jm:9fbe>{|9;>i6=4?:382a7ba21nji6st136a>5<72;0:i?k;:9fa7>{|9;>i6=4?:382a7c?21ni;6st136a>5<72;0:i?kk:9fag>{|9;>i6=4?:382a7`621nh<6st136a>5<72;0:i?h9:9f`1>{|9;>i6=4?:382a7`f21nh56st136a>5<72;0:i?hi:9f`a>{|9;>i6=4?:382a66421no>6st136a>5<72;0:i>>7:9fg3>{|9;>i6=4?:382a66d21non6st136a>5<72;0:i>?>:9ff4>{|9;>i6=4?:382a67221nn86st136a>5<72;0:i>?n:9ff=>{|9;>i6=4?:382a67b21nnh6st136a>5<72;0:i><<:9fe6>{|9;>i6=4?:382a64021nm:6st136a>5<72;0:i>{|9;>i6=4?:382a65721nmj6st136a>5<72;0:i>=::9g30>{|9;>i6=4?:382a65>21o;46st136a>5<72;0:i>=j:9g3`>{|9;>i6=4?:382a62521o:=6st136a>5<72;0:i>:8:9g22>{|9;>i6=4?:382a62e21o:m6st136a>5<72;0:i>;?:9g2b>{|9;>i6=4?:382a63321o9?6st136a>5<72;0:i>;6:9g1<>{|9;>i6=4?:382a63c21o9o6st136a>5<72;0:i>8=:9g05>{|9;>i6=4?:382a60>21o8m6st136a>5<72;0:i>9?:9g77>{|9;>i6=4?:382a61021o?o6st136a>5<72;0:i>9j:9g61>{|9;>i6=4?:382a6>221o>i6st136a>5<72;0:i>6l:9g53>{|9;>i6=4?:382a6?421o<<6st136a>5<72;0:i>7n:9g4=>{|9;>i6=4?:382a6g621o3>6st136a>5<72;0:i>o7:9g;f>{|9;>i6=4?:382a6ga21o286st136a>5<72;0:i>l9:9g:`>{|9;>i6=4?:382a6dc21oj:6st136a>5<72;0:i>m;:9gbb>{|9;>i6=4?:382a6ee21oi46st136a>5<72;0:i>j=:9g`5>{|9;>i6=4?:382a6b>21ohm6st136a>5<72;0:i>k?:9gg7>{|9;>i6=4?:382a6c021ooo6st136a>5<72;0:i>kj:9gf1>{|9;>i6=4?:382a6`221ono6st136a>5<72;0:i>hl:9ge7>{|9;>i6=4?:382a16421omm6st136a>5<72;0:i9>n:9d35>{|9;>i6=4?:382a17621l;46st136a>5<72;0:i9?7:9d3b>{|9;>i6=4?:382a17a21l::6st136a>5<72;0:i9<9:9d2`>{|9;>i6=4?:382a14c21l986st136a>5<72;0:i9=;:9d1f>{|9;>i6=4?:382a15e21l8>6st136a>5<72;0:i9:=:9d0=>{|9;>i6=4?:382a12>21l?<6st136a>5<72;0:i9;?:9d73>{|9;>i6=4?:382a13021l?i6st136a>5<72;0:i9;j:9d61>{|9;>i6=4?:382a10221l>o6st136a>5<72;0:i98l:9d57>{|9;>i6=4?:382a11421l=m6st136a>5<72;0:i998:9d5a>{|9;>i6=4?:382a11e21l<>6st136a>5<72;0:i96?:9d43>{|9;>i6=4?:382a1>321l5<72;0:i966:9d;4>{|9;>i6=4?:382a1>c21l386st136a>5<72;0:i97=:9d;=>{|9;>i6=4?:382a1?121l3h6st136a>5<72;0:i97m:9d:6>{|9;>i6=4?:382a1?a21l2:6st136a>5<72;0:i9o;:9d:f>{|9;>i6=4?:382a1g?21l2j6st136a>5<72;0:i9ok:9db0>{|9;>i6=4?:382a1d621lj46st136a>5<72;0:i9l9:9db`>{|9;>i6=4?:382a1df21li=6st136a>5<72;0:i9li:9da2>{|9;>i6=4?:382a1e421lim6st136a>5<72;0:i9m7:9dab>{|9;>i6=4?:382a1ed21lh?6st136a>5<72;0:i9j>:9d`<>{|9;>i6=4?:382a1b221lho6st136a>5<72;0:i9jn:9dg5>{|9;>i6=4?:382a1bb21lo96st136a>5<72;0:i9k<:9dge>{|9;>i6=4?:382a1c021loi6st136a>5<72;0:i9kl:9df7>{|9;>i6=4?:382a1`721ln;6st136a>5<72;0:i9h::9dfg>{|9;>i6=4?:382a1`>21lm<6st136a>5<72;0:i9hj:9de1>{|9;>i6=4?:382a06521lm56st136a>5<72;0:i8>8:9dea>{|9;>i6=4?:382a06e20:;>6st136a>5<72;0:i8??:8233>{|9;>i6=4?:382a07320:;n6st136a>5<72;0:i8?6:8224>{|9;>i6=4?:382a07c20::86st136a>5<72;0:i8<=:822=>{|9;>i6=4?:382a04>20:9<6st136a>5<72;0:i8=?:8213>{|9;>i6=4?:382a05020:9i6st136a>5<72;0:i8=j:8201>{|9;>i6=4?:382a02220:8o6st136a>5<72;0:i8:l:8277>{|9;>i6=4?:382a03420:?m6st136a>5<72;0:i8;n:8265>{|9;>i6=4?:382a00620:>46st136a>5<72;0:i887:826b>{|9;>i6=4?:382a00a20:=:6st136a>5<72;0:i899:825`>{|9;>i6=4?:382a01c20:<86st136a>5<72;0:i86;:824f>{|9;>i6=4?:382a0>e20:3>6st136a>5<72;0:i87=:82;=>{|9;>i6=4?:382a0?>20:2<6st136a>5<72;0:i8o?:82:3>{|9;>i6=4?:382a0g020:2i6st136a>5<72;0:i8oj:82b1>{|9;>i6=4?:382a0d220:ji6st136a>5<72;0:i8ll:82a1>{|9;>i6=4?:382a0e420:io6st136a>5<72;0:i8mn:82`7>{|9;>i6=4?:382a0b620:hm6st136a>5<72;0:i8j7:82g5>{|9;>i6=4?:382a0ba20:o46st136a>5<72;0:i8k9:82gb>{|9;>i6=4?:382a0cc20:n:6st136a>5<72;0:i8h;:82f`>{|9;>i6=4?:382a0`e20:m86st136a>5<72;0:i;>=:82ef>{|9;>i6=4?:382a36>20;;>6st136a>5<72;0:i;??:833=>{|9;>i6=4?:382a37020;:<6st136a>5<72;0:i;?j:8323>{|9;>i6=4?:382a34220;:i6st136a>5<72;0:i;{|9;>i6=4?:382a35420;9o6st136a>5<72;0:i;=8:8304>{|9;>i6=4?:382a35c20;8:6st136a>5<72;0:i;:=:830f>{|9;>i6=4?:382a32020;?<6st136a>5<72;0:i;:l:8371>{|9;>i6=4?:382a33620;?m6st136a>5<72;0:i;;9:837b>{|9;>i6=4?:382a33e20;>86st136a>5<72;0:i;8?:836=>{|9;>i6=4?:382a30220;>i6st136a>5<72;0:i;8n:8357>{|9;>i6=4?:382a30a20;=46st136a>5<72;0:i;9;:835`>{|9;>i6=4?:382a31>20;<>6st136a>5<72;0:i;9j:8343>{|9;>i6=4?:382a3>420;5<72;0:i;67:83;5>{|9;>i6=4?:382a3>c20;3:6st136a>5<72;0:i;7=:83;f>{|9;>i6=4?:382a3?020;2<6st136a>5<72;0:i;7l:83:1>{|9;>i6=4?:382a3g620;2m6st136a>5<72;0:i;o9:83:b>{|9;>i6=4?:382a3ge20;j86st136a>5<72;0:i;l?:83b=>{|9;>i6=4?:382a3d220;ji6st136a>5<72;0:i;ln:83a7>{|9;>i6=4?:382a3da20;i46st136a>5<72;0:i;m;:83a`>{|9;>i6=4?:382a3e>20;h>6st136a>5<72;0:i;mj:83`3>{|9;>i6=4?:382a3b420;ho6st136a>5<72;0:i;j7:83g5>{|9;>i6=4?:382a3bc20;o:6st136a>5<72;0:i;k=:83gf>{|9;>i6=4?:382a3c020;n<6st136a>5<72;0:i;kl:83f1>{|9;>i6=4?:382a3`620;nm6st136a>5<72;0:i;h9:83fb>{|9;>i6=4?:382a3`e20;m86st136a>5<72;0:i:>?:83e=>{|9;>i6=4?:382a26220;mi6st136a>5<72;0:i:>n:8037>{|9;>i6=4?:382a26a208;46st136a>5<72;0:i:?;:803`>{|9;>i6=4?:382a27>208:>6st136a>5<72;0:i:?j:8023>{|9;>i6=4?:382a244208:o6st136a>5<72;0:i:<7:8015>{|9;>i6=4?:382a24c2089:6st136a>5<72;0:i:=>:801e>{|9;>i6=4?:382a2512089j6st136a>5<72;0:i:=m:8000>{|9;>i6=4?:382a2242088o6st136a>5<72;0:i::7:8075>{|9;>i6=4?:382a22c208?:6st136a>5<72;0:i:;>:807e>{|9;>i6=4?:382a23>208>>6st136a>5<72;0:i:8?:806=>{|9;>i6=4?:382a202208>i6st136a>5<72;0:i:8n:8057>{|9;>i6=4?:382a20a208=46st136a>5<72;0:i:9;:805`>{|9;>i6=4?:382a21>208<>6st136a>5<72;0:i:9j:8043>{|9;>i6=4?:382a2>42085<72;0:i:67:80;5>{|9;>i6=4?:382a2>c2083:6st136a>5<72;0:i:7=:80;f>{|9;>i6=4?:382a2?02082<6st136a>5<72;0:i:7l:80:1>{|9;>i6=4?:382a2g62082m6st136a>5<72;0:i:o9:80:b>{|9;>i6=4?:382a2ge208j86st136a>5<72;0:i:l?:80b=>{|9;>i6=4?:382a2d2208ji6st136a>5<72;0:i:ln:80a7>{|9;>i6=4?:382a2da208i46st136a>5<72;0:i:m;:80a`>{|9;>i6=4?:382a2e>208h>6st136a>5<72;0:i:mj:80`3>{|9;>i6=4?:382a2b4208ho6st136a>5<72;0:i:j7:80g5>{|9;>i6=4?:382a2bc208o:6st136a>5<72;0:i:k=:80gf>{|9;>i6=4?:382a2c0208n<6st136a>5<72;0:i:kl:80f1>{|9;>i6=4?:382a2`6208nm6st136a>5<72;0:i:h9:80fb>{|9;>i6=4?:382a2`e208m86st136a>5<72;0:i5>?:80e=>{|9;>i6=4?:382a=61208mj6st136a>5<72;0:i5>j:8133>{|9;>i6=4?:382a=71209;j6st136a>5<72;0:i5?j:8123>{|9;>i6=4?:382a=41209:j6st136a>5<72;0:i5{|9;>i6=4?:382a=542099o6st136a>5<72;0:i5=7:8105>{|9;>i6=4?:382a=27209856st136a>5<72;0:i5:::810a>{|9;>i6=4?:382a=2>209?>6st136a>5<72;0:i5;>:817e>{|9;>i6=4?:382a=3>209>>6st136a>5<72;0:i5;j:8163>{|9;>i6=4?:382a=03209>h6st136a>5<72;0:i58n:8157>{|9;>i6=4?:382a=17209=56st136a>5<72;0:i598:8144>{|9;>i6=4?:382a=1b209<;6st136a>5<72;0:i56::814a>{|9;>i6=4?:382a=>d209396st136a>5<72;0:i57;:81;`>{|9;>i6=4?:382a=?e209286st136a>5<72;0:i5o=:81:f>{|9;>i6=4?:382a=g>209j>6st136a>5<72;0:i5l>:81be>{|9;>i6=4?:382a=d?209i=6st136a>5<72;0:i5li:81a<>{|9;>i6=4?:382a=e1209ij6st136a>5<72;0:i5mk:81`2>{|9;>i6=4?:382a=b2209hi6st136a>5<72;0:i5jk:81g2>{|9;>i6=4?:382a=c2209oi6st136a>5<72;0:i5kk:81f2>{|9;>i6=4?:382a=`2209ni6st136a>5<72;0:i5hk:81e2>{|9;>i6=4?:382a<62209mi6st136a>5<72;0:i4>k:8632>{|9;>i6=4?:382a<7220>;i6st136a>5<72;0:i4?k:8622>{|9;>i6=4?:382a<4220>:i6st136a>5<72;0:i4{|9;>i6=4?:382a<5220>9i6st136a>5<72;0:i4=k:8602>{|9;>i6=4?:382a<2220>8i6st136a>5<72;0:i4:k:8672>{|9;>i6=4?:382a<3320>?h6st136a>5<72;0:i4;l:8661>{|9;>i6=4?:382a<0420>>o6st136a>5<72;0:i48n:8657>{|9;>i6=4?:382a<1620>=m6st136a>5<72;0:i497:8645>{|9;>i6=4?:382a<1d20><96st136a>5<72;0:i46=:864f>{|9;>i6=4?:382a<>f20>3?6st136a>5<72;0:i47>:86;e>{|9;>i6=4?:382a2=6st136a>5<72;0:i4o?:86:=>{|9;>i6=4?:382a2h6st136a>5<72;0:i4o7:86b5>{|9;>i6=4?:382aj46st136a>5<72;0:i4l8:86a4>{|9;>i6=4?:382ai;6st136a>5<72;0:i4m::86aa>{|9;>i6=4?:382ah96st136a>5<72;0:i4j<:86`g>{|9;>i6=4?:382ao?6st136a>5<72;0:i4k>:86ge>{|9;>i6=4?:382an=6st136a>5<72;0:i4kk:86f2>{|9;>i6=4?:382a<`220>ni6st136a>5<72;0:i4hk:86e2>{|9;>i6=4?:382ad6520>mn6st136a>5<72;0:il>8:8734>{|9;>i6=4?:382ad6b20?;;6st136a>5<72;0:il?::873a>{|9;>i6=4?:382ad7d20?:96st136a>5<72;0:il<<:872g>{|9;>i6=4?:382ad4f20?9?6st136a>5<72;0:il=>:871e>{|9;>i6=4?:382ad5?20?8=6st136a>5<72;0:il=i:870<>{|9;>i6=4?:382ad2120?8j6st136a>5<72;0:il:k:8772>{|9;>i6=4?:382ad3320??h6st136a>5<72;0:il;m:8760>{|9;>i6=4?:382ad0520?>n6st136a>5<72;0:il8n:8757>{|9;>i6=4?:382ad1520?=n6st136a>5<72;0:il98:8744>{|9;>i6=4?:382ad1a20?<46st136a>5<72;0:il68:87;4>{|9;>i6=4?:382ad>a20?346st136a>5<72;0:il78:87:4>{|9;>i6=4?:382ad?a20?246st136a>5<72;0:ilo8:87b4>{|9;>i6=4?:382adga20?j46st136a>5<72;0:ill8:87a4>{|9;>i6=4?:382adda20?i46st136a>5<72;0:ilm8:87`4>{|9;>i6=4?:382adea20?h46st136a>5<72;0:ilj9:87`b>{|9;>i6=4?:382adbf20?o?6st136a>5<72;0:ilk>:87ge>{|9;>i6=4?:382adc220?oi6st136a>5<72;0:ilkk:87f2>{|9;>i6=4?:382ad`520?nn6st136a>5<72;0:ilhn:87e7>{|9;>i6=4?:382ag6520?mn6st136a>5<72;0:io>7:8435>{|9;>i6=4?:382ag7720<;56st136a>5<72;0:io?8:8424>{|9;>i6=4?:382ag7a20<:46st136a>5<72;0:io<9:842b>{|9;>i6=4?:382ag4b20<9;6st136a>5<72;0:io=::841a>{|9;>i6=4?:382ag5c20<8:6st136a>5<72;0:io:::840a>{|9;>i6=4?:382ag2d205<72;0:io;<:847g>{|9;>i6=4?:382ag3f20<>?6st136a>5<72;0:io8=:846f>{|9;>i6=4?:382ag0020<=<6st136a>5<72;0:io8l:8451>{|9;>i6=4?:382ag1620<=m6st136a>5<72;0:io96:8446>{|9;>i6=4?:382ag>620<5<72;0:io69:844b>{|9;>i6=4?:382ag>b20<3;6st136a>5<72;0:io7<:84;g>{|9;>i6=4?:382ag??20<2=6st136a>5<72;0:io7k:84:2>{|9;>i6=4?:382agg520<2n6st136a>5<72;0:ioo8:84b4>{|9;>i6=4?:382aggd205<72;0:iol>:84be>{|9;>i6=4?:382agd1205<72;0:iolm:84a0>{|9;>i6=4?:382age7205<72;0:iom::84aa>{|9;>i6=4?:382aged205<72;0:ioj>:84`e>{|9;>i6=4?:382agb?205<72;0:iojk:84g2>{|9;>i6=4?:382agc5205<72;0:iok8:84f4>{|9;>i6=4?:382agcd205<72;0:ioh>:84fe>{|9;>i6=4?:382ag`1205<72;0:iohm:84e0>{|9;>i6=4?:382af67205<72;0:in>::84ea>{|9;>i6=4?:382af6f20=;?6st136a>5<72;0:in?>:853e>{|9;>i6=4?:382af7120=;j6st136a>5<72;0:in?m:8520>{|9;>i6=4?:382af4720=:56st136a>5<72;0:in<::852a>{|9;>i6=4?:382af4d20=996st136a>5<72;0:in=>:851e>{|9;>i6=4?:382af5120=9j6st136a>5<72;0:in=m:8500>{|9;>i6=4?:382af2720=856st136a>5<72;0:in:::850a>{|9;>i6=4?:382af2f20=??6st136a>5<72;0:in;>:857e>{|9;>i6=4?:382af3120=?j6st136a>5<72;0:in;m:8560>{|9;>i6=4?:382af0520=>n6st136a>5<72;0:in88:8554>{|9;>i6=4?:382af0d20==96st136a>5<72;0:in9>:855e>{|9;>i6=4?:382af1120==j6st136a>5<72;0:in9m:8540>{|9;>i6=4?:382af>720=<56st136a>5<72;0:in6::854a>{|9;>i6=4?:382af>c20=3:6st136a>5<72;0:in7=:85;f>{|9;>i6=4?:382af?020=2<6st136a>5<72;0:in7l:85:1>{|9;>i6=4?:382afg620=2m6st136a>5<72;0:ino9:85:b>{|9;>i6=4?:382afge20=j86st136a>5<72;0:inl?:85b=>{|9;>i6=4?:382afd220=ji6st136a>5<72;0:inln:85a7>{|9;>i6=4?:382afe520=in6st136a>5<72;0:inmn:85`7>{|9;>i6=4?:382afb520=hn6st136a>5<72;0:injn:85g7>{|9;>i6=4?:382afc620=om6st136a>5<72;0:ink9:85gb>{|9;>i6=4?:382afcb20=n;6st136a>5<72;0:inh::85fa>{|9;>i6=4?:382af`f20=m?6st136a>5<72;0:ii>?:85e=>{|9;>i6=4?:382aa6?202;=6st136a>5<72;0:ii??:8:3=>{|9;>i6=4?:382aa70202:<6st136a>5<72;0:ii?i:8:2<>{|9;>i6=4?:382aa41202:j6st136a>5<72;0:ii{|9;>i6=4?:382aa552029n6st136a>5<72;0:ii=6:8:06>{|9;>i6=4?:382aa262028m6st136a>5<72;0:ii:8:8:74>{|9;>i6=4?:382aa2d202?96st136a>5<72;0:ii;>:8:7e>{|9;>i6=4?:382aa31202?j6st136a>5<72;0:ii;l:8:61>{|9;>i6=4?:382aa05202>n6st136a>5<72;0:ii87:8:55>{|9;>i6=4?:382aa0c202=:6st136a>5<72;0:ii9>:8:5e>{|9;>i6=4?:382aa12202=i6st136a>5<72;0:ii96:8:46>{|9;>i6=4?:382aa1c202<:6st136a>5<72;0:ii6;:8:4`>{|9;>i6=4?:382aa>d202396st136a>5<72;0:ii7;:8:;`>{|9;>i6=4?:382aa?d202296st136a>5<72;0:iio;:8::`>{|9;>i6=4?:382aagd202j96st136a>5<72;0:iil;:8:b`>{|9;>i6=4?:382aadd202i96st136a>5<72;0:iim;:8:a`>{|9;>i6=4?:382aaed202h96st136a>5<72;0:iij;:8:``>{|9;>i6=4?:382aabd202o96st136a>5<72;0:iik;:8:g`>{|9;>i6=4?:382aacd202n96st136a>5<72;0:iih;:8:f`>{|9;>i6=4?:382aa`d202m96st136a>5<72;0:ih>;:8:e`>{|9;>i6=4?:382a`6d203;96st136a>5<72;0:ih?;:8;3`>{|9;>i6=4?:382a`7d203:96st136a>5<72;0:ih<;:8;2`>{|9;>i6=4?:382a`4d203996st136a>5<72;0:ih=;:8;1`>{|9;>i6=4?:382a`5d203896st136a>5<72;0:ih:;:8;0`>{|9;>i6=4?:382a`2d203?96st136a>5<72;0:ih;;:8;7`>{|9;>i6=4?:382a`3d203>96st136a>5<72;0:ih8;:8;6`>{|9;>i6=4?:382a`0?203==6st136a>5<72;0:ih8l:8;51>{|9;>i6=4?:382a`17203=56st136a>5<72;0:ih9;:8;5`>{|9;>i6=4?:382a`1>203<>6st136a>5<72;0:ih9j:8;43>{|9;>i6=4?:382a`>42035<72;0:ih67:8;;5>{|9;>i6=4?:382a`>c2033:6st136a>5<72;0:ih7=:8;;f>{|9;>i6=4?:382a`?02032<6st136a>5<72;0:ih7l:8;:1>{|9;>i6=4?:382a`g62032m6st136a>5<72;0:iho9:8;:b>{|9;>i6=4?:382a`ge203j86st136a>5<72;0:ihl?:8;b=>{|9;>i6=4?:382a`d2203ji6st136a>5<72;0:ihln:8;a7>{|9;>i6=4?:382a`da203i46st136a>5<72;0:ihm;:8;a`>{|9;>i6=4?:382a`e>203h>6st136a>5<72;0:ihmj:8;`3>{|9;>i6=4?:382a`b4203ho6st136a>5<72;0:ihj7:8;g5>{|9;>i6=4?:382a`bc203o:6st136a>5<72;0:ihk>:8;ge>{|9;>i6=4?:382a`c?203n=6st136a>5<72;0:ihkk:8;f2>{|9;>i6=4?:382a``2203ni6st136a>5<72;0:ihhm:8;e0>{|9;>i6=4?:382ac67203m56st136a>5<72;0:ik>8:8c34>{|9;>i6=4?:382ac6d20k;96st136a>5<72;0:ik?;:8c3`>{|9;>i6=4?:382ac7f20k:?6st136a>5<72;0:ik?i:8c2<>{|9;>i6=4?:382ac4320k:h6st136a>5<72;0:ik{|9;>i6=4?:382ac5620k9m6st136a>5<72;0:ik=7:8c05>{|9;>i6=4?:382ac2720k856st136a>5<72;0:ik:7:8c75>{|9;>i6=4?:382ac3720k?56st136a>5<72;0:ik;8:8c64>{|9;>i6=4?:382ac3a20k>46st136a>5<72;0:ik88:8c54>{|9;>i6=4?:382ac0a20k=46st136a>5<72;0:ik98:8c44>{|9;>i6=4?:382ac1a20k<46st136a>5<72;0:ik68:8c;4>{|9;>i6=4?:382ac>a20k346st136a>5<72;0:ik78:8c:4>{|9;>i6=4?:382ac?a20k246st136a>5<72;0:iko8:8cb4>{|9;>i6=4?:382acga20kj46st136a>5<72;0:ikl8:8ca4>{|9;>i6=4?:382acda20ki46st136a>5<72;0:ikm8:8c`4>{|9;>i6=4?:382acea20kh46st136a>5<72;0:ikj8:8cg4>{|9;>i6=4?:382acba20ko46st136a>5<72;0:ikk8:8cf4>{|9;>i6=4?:382acca20kn46st136a>5<72;0:ikh8:8ce4>{|9;>i6=4?:382ac`a20km46st136a>5<72;0:j=>8:8`34>{|9;>i6=4?:382b56a20h;46st136a>5<72;0:j=?8:8`24>{|9;>i6=4?:382b57a20h:46st136a>5<72;0:j=<8:8`14>{|9;>i6=4?:382b54a20h946st136a>5<72;0:j==8:8`04>{|9;>i6=4?:382b55a20h846st136a>5<72;0:j=:8:8`74>{|9;>i6=4?:382b52a20h?46st136a>5<72;0:j=;8:8`64>{|9;>i6=4?:382b53e20h>86st136a>5<72;0:j=8<:8`6g>{|9;>i6=4?:382b50?20h==6st136a>5<72;0:j=8j:8`53>{|9;>i6=4?:382b51520h=n6st136a>5<72;0:j=99:8`5b>{|9;>i6=4?:382b51c20h<:6st136a>5<72;0:j=6<:8`4g>{|9;>i6=4?:382b5>f20h3?6st136a>5<72;0:j=7=:8`;f>{|9;>i6=4?:382b5?f20h2?6st136a>5<72;0:j=o=:8`:f>{|9;>i6=4?:382b5g>20hj>6st136a>5<72;0:j=l>:8`be>{|9;>i6=4?:382b5d>20hi>6st136a>5<72;0:j=m>:8`ae>{|9;>i6=4?:382b5e>20hh>6st136a>5<72;0:j=j>:8``e>{|9;>i6=4?:382b5b>20ho>6st136a>5<72;0:j=k>:8`ge>{|9;>i6=4?:382b5c>20hn>6st136a>5<72;0:j=h>:8`fe>{|9;>i6=4?:382b5`>20hm>6st136a>5<72;0:j<>>:8`ee>{|9;>i6=4?:382b46>20i;>6st136a>5<72;0:j:8a3e>{|9;>i6=4?:382b47>20i:>6st136a>5<72;0:j<<>:8a2e>{|9;>i6=4?:382b44>20i9>6st136a>5<72;0:j<=>:8a1e>{|9;>i6=4?:382b45>20i8>6st136a>5<72;0:j<:>:8a0e>{|9;>i6=4?:382b42>20i?>6st136a>5<72;0:j<;>:8a7e>{|9;>i6=4?:382b43>20i>>6st136a>5<72;0:j<8>:8a6e>{|9;>i6=4?:382b40>20i=>6st136a>5<72;0:j<9>:8a5e>{|9;>i6=4?:382b41>20i<>6st136a>5<72;0:j<6>:8a4e>{|9;>i6=4?:382b4>>20i3>6st136a>5<72;0:j<7>:8a;e>{|9;>i6=4?:382b4?>20i2>6st136a>5<72;0:j:8a:e>{|9;>i6=4?:382b4g>20ij>6st136a>5<72;0:j{|9;>i6=4?:382b4d220iji6st136a>5<72;0:j{|9;>i6=4?:382b4e720ii56st136a>5<72;0:j{|9;>i6=4?:382b4e?20ih=6st136a>5<72;0:j{|9;>i6=4?:382b4b520ihn6st136a>5<72;0:j{|9;>i6=4?:382b4bb20io;6st136a>5<72;0:j{|9;>i6=4?:382b4c?20in=6st136a>5<72;0:j{|9;>i6=4?:382b4`320inh6st136a>5<72;0:j{|9;>i6=4?:382b76420imo6st136a>5<72;0:j?>n:8f37>{|9;>i6=4?:382b77620n;m6st136a>5<72;0:j??8:8f24>{|9;>i6=4?:382b77d20n:96st136a>5<72;0:j?<=:8f2f>{|9;>i6=4?:382b74?20n9=6st136a>5<72;0:j?{|9;>i6=4?:382b75320n9h6st136a>5<72;0:j?=m:8f00>{|9;>i6=4?:382b72420n8o6st136a>5<72;0:j?:6:8f76>{|9;>i6=4?:382b72b20n?;6st136a>5<72;0:j?;9:8f7b>{|9;>i6=4?:382b73e20n>86st136a>5<72;0:j?;i:8f6<>{|9;>i6=4?:382b70220n>i6st136a>5<72;0:j?8m:8f50>{|9;>i6=4?:382b71620n=m6st136a>5<72;0:j?98:8f44>{|9;>i6=4?:382b71e20n<86st136a>5<72;0:j?6>:8f4e>{|9;>i6=4?:382b7>020n3<6st136a>5<72;0:j?6j:8f;3>{|9;>i6=4?:382b7?520n3n6st136a>5<72;0:j?79:8f;b>{|9;>i6=4?:382b7?f20n2?6st136a>5<72;0:j?7j:8f:3>{|9;>i6=4?:382b7g120n2j6st136a>5<72;0:j?oj:8fb3>{|9;>i6=4?:382b7d120njj6st136a>5<72;0:j?ll:8fa1>{|9;>i6=4?:382b7e520nin6st136a>5<72;0:j?mn:8f`7>{|9;>i6=4?:382b7b520nhn6st136a>5<72;0:j?jn:8fg7>{|9;>i6=4?:382b7c520non6st136a>5<72;0:j?kn:8ff7>{|9;>i6=4?:382b7`520nnn6st136a>5<72;0:j?hn:8fe7>{|9;>i6=4?:382b66520nmn6st136a>5<72;0:j>>n:8g37>{|9;>i6=4?:382b67520o;n6st136a>5<72;0:j>?n:8g27>{|9;>i6=4?:382b64520o:n6st136a>5<72;0:j>{|9;>i6=4?:382b65520o9n6st136a>5<72;0:j>=n:8g07>{|9;>i6=4?:382b62520o8n6st136a>5<72;0:j>:n:8g77>{|9;>i6=4?:382b63520o?n6st136a>5<72;0:j>;n:8g67>{|9;>i6=4?:382b60520o>n6st136a>5<72;0:j>8n:8g57>{|9;>i6=4?:382b61520o=n6st136a>5<72;0:j>9n:8g47>{|9;>i6=4?:382b6>520o5<72;0:j>6n:8g;7>{|9;>i6=4?:382b6?520o3n6st136a>5<72;0:j>7n:8g:7>{|9;>i6=4?:382b6g520o2n6st136a>5<72;0:j>on:8gb7>{|9;>i6=4?:382b6d520ojn6st136a>5<72;0:j>ln:8ga7>{|9;>i6=4?:382b6e720oi56st136a>5<72;0:j>m7:8g`5>{|9;>i6=4?:382b6b720oh56st136a>5<72;0:j>j7:8gg5>{|9;>i6=4?:382b6c720oo56st136a>5<72;0:j>k7:8gf5>{|9;>i6=4?:382b6`720on56st136a>5<72;0:j>h7:8ge5>{|9;>i6=4?:382b16720om56st136a>5<72;0:j9>7:8d35>{|9;>i6=4?:382b17720l;56st136a>5<72;0:j9?7:8d25>{|9;>i6=4?:382b14720l:56st136a>5<72;0:j9<7:8d15>{|9;>i6=4?:382b15720l956st136a>5<72;0:j9=7:8d05>{|9;>i6=4?:382b12720l856st136a>5<72;0:j9:7:8d75>{|9;>i6=4?:382b12a20l?46st136a>5<72;0:j9;9:8d7b>{|9;>i6=4?:382b13c20l>:6st136a>5<72;0:j98;:8d6`>{|9;>i6=4?:382b10e20l=86st136a>5<72;0:j99=:8d5f>{|9;>i6=4?:382b11>20l<>6st136a>5<72;0:j96?:8d4=>{|9;>i6=4?:382b1>020l3<6st136a>5<72;0:j96i:8d;<>{|9;>i6=4?:382b1?020l2<6st136a>5<72;0:j97i:8d:<>{|9;>i6=4?:382b1g020lj<6st136a>5<72;0:j9oi:8db<>{|9;>i6=4?:382b1d220lji6st136a>5<72;0:j9lm:8da0>{|9;>i6=4?:382b1e620lim6st136a>5<72;0:j9m8:8d`4>{|9;>i6=4?:382b1ec20lh:6st136a>5<72;0:j9j<:8d`g>{|9;>i6=4?:382b1b>20lo>6st136a>5<72;0:j9ji:8dg<>{|9;>i6=4?:382b1c220loi6st136a>5<72;0:j9km:8df0>{|9;>i6=4?:382b1`620lnm6st136a>5<72;0:j9h8:8de4>{|9;>i6=4?:382b1`c20lm:6st136a>5<72;0:j8><:8deg>{|9;>i6=4?:382b06e2h:;86st136a>5<72;0:j8>i:`23<>{|9;>i6=4?:382b0742h:;o6st136a>5<72;0:j8?m:`220>{|9;>i6=4?:382b0452h::n6st136a>5<72;0:j8{|9;>i6=4?:382b0572h:956st136a>5<72;0:j8=9:`21b>{|9;>i6=4?:382b05d2h:896st136a>5<72;0:j8:=:`20f>{|9;>i6=4?:382b02f2h:??6st136a>5<72;0:j8:i:`27<>{|9;>i6=4?:382b0302h:><6st136a>5<72;0:j8;i:`26<>{|9;>i6=4?:382b0002h:=<6st136a>5<72;0:j88k:`252>{|9;>i6=4?:382b0162h:=m6st136a>5<72;0:j89::`25a>{|9;>i6=4?:382b01e2h:<86st136a>5<72;0:j86>:`24e>{|9;>i6=4?:382b0>02h:3<6st136a>5<72;0:j86j:`2;3>{|9;>i6=4?:382b0?32h:3h6st136a>5<72;0:j87l:`2:1>{|9;>i6=4?:382b0g52h:2n6st136a>5<72;0:j8o6:`2b6>{|9;>i6=4?:382b0gb2h:j;6st136a>5<72;0:j8l9:`2bb>{|9;>i6=4?:382b0db2h:i;6st136a>5<72;0:j8m::`2aa>{|9;>i6=4?:382b0ef2h:h?6st136a>5<72;0:j8j?:`2`=>{|9;>i6=4?:382b0b12h:hj6st136a>5<72;0:j8jj:`2g3>{|9;>i6=4?:382b0c12h:oj6st136a>5<72;0:j8kj:`2f3>{|9;>i6=4?:382b0`12h:nj6st136a>5<72;0:j8hj:`2e3>{|9;>i6=4?:382b3612h:mj6st136a>5<72;0:j;>j:`333>{|9;>i6=4?:382b3712h;;j6st136a>5<72;0:j;?j:`323>{|9;>i6=4?:382b3412h;:j6st136a>5<72;0:j;{|9;>i6=4?:382b3512h;9j6st136a>5<72;0:j;=j:`303>{|9;>i6=4?:382b3212h;8j6st136a>5<72;0:j;:j:`373>{|9;>i6=4?:382b3312h;?j6st136a>5<72;0:j;;m:`360>{|9;>i6=4?:382b3072h;>56st136a>5<72;0:j;8::`36a>{|9;>i6=4?:382b30f2h;=?6st136a>5<72;0:j;8i:`35<>{|9;>i6=4?:382b3132h;=h6st136a>5<72;0:j;96:`346>{|9;>i6=4?:382b31c2h;<:6st136a>5<72;0:j;6>:`34e>{|9;>i6=4?:382b3>02h;3<6st136a>5<72;0:j;6k:`3;2>{|9;>i6=4?:382b3?62h;3m6st136a>5<72;0:j;78:`3:4>{|9;>i6=4?:382b3?c2h;2:6st136a>5<72;0:j;o<:`3:g>{|9;>i6=4?:382b3g>2h;j>6st136a>5<72;0:j;l?:`3b=>{|9;>i6=4?:382b3d?2h;i=6st136a>5<72;0:j;li:`3a<>{|9;>i6=4?:382b3e12h;ij6st136a>5<72;0:j;ml:`3`1>{|9;>i6=4?:382b3b62h;hm6st136a>5<72;0:j;j8:`3g4>{|9;>i6=4?:382b3bc2h;o:6st136a>5<72;0:j;k<:`3gg>{|9;>i6=4?:382b3c>2h;n>6st136a>5<72;0:j;h?:`3f=>{|9;>i6=4?:382b3`?2h;m=6st136a>5<72;0:j;hj:`3e3>{|9;>i6=4?:382b2642h;mo6st136a>5<72;0:j:>m:`030>{|9;>i6=4?:382b2772h8;56st136a>5<72;0:j:?;:`03`>{|9;>i6=4?:382b27?2h8:=6st136a>5<72;0:j:?j:`023>{|9;>i6=4?:382b2422h8:i6st136a>5<72;0:j:{|9;>i6=4?:382b2562h89m6st136a>5<72;0:j:=9:`01b>{|9;>i6=4?:382b25d2h8896st136a>5<72;0:j::=:`00f>{|9;>i6=4?:382b2212h88j6st136a>5<72;0:j::n:`077>{|9;>i6=4?:382b2362h8?m6st136a>5<72;0:j:;7:`065>{|9;>i6=4?:382b23a2h8>46st136a>5<72;0:j:89:`06b>{|9;>i6=4?:382b20c2h8=:6st136a>5<72;0:j:9;:`05`>{|9;>i6=4?:382b21e2h8<86st136a>5<72;0:j:6=:`04f>{|9;>i6=4?:382b2>f2h83?6st136a>5<72;0:j:7=:`0;f>{|9;>i6=4?:382b2?f2h82?6st136a>5<72;0:j:o=:`0:f>{|9;>i6=4?:382b2g02h8j<6st136a>5<72;0:j:oj:`0b3>{|9;>i6=4?:382b2d22h8ji6st136a>5<72;0:j:ll:`0a1>{|9;>i6=4?:382b2e42h8io6st136a>5<72;0:j:mn:`0`7>{|9;>i6=4?:382b2b62h8hm6st136a>5<72;0:j:j7:`0g5>{|9;>i6=4?:382b2ba2h8o46st136a>5<72;0:j:k9:`0gb>{|9;>i6=4?:382b2cc2h8n:6st136a>5<72;0:j:h;:`0f`>{|9;>i6=4?:382b2`e2h8m86st136a>5<72;0:j5>=:`0ef>{|9;>i6=4?:382b=6>2h9;>6st136a>5<72;0:j5>k:`132>{|9;>i6=4?:382b=762h9;m6st136a>5<72;0:j5?::`13a>{|9;>i6=4?:382b=7>2h9:>6st136a>5<72;0:j5?k:`122>{|9;>i6=4?:382b=462h9:m6st136a>5<72;0:j5<::`12a>{|9;>i6=4?:382b=4>2h99>6st136a>5<72;0:j5{|9;>i6=4?:382b=562h99m6st136a>5<72;0:j5=::`11a>{|9;>i6=4?:382b=5>2h98>6st136a>5<72;0:j5=k:`102>{|9;>i6=4?:382b=262h98m6st136a>5<72;0:j5:7:`175>{|9;>i6=4?:382b=2b2h9?;6st136a>5<72;0:j5;::`17a>{|9;>i6=4?:382b=3e2h9>86st136a>5<72;0:j58>:`16e>{|9;>i6=4?:382b=012h9>j6st136a>5<72;0:j58j:`153>{|9;>i6=4?:382b=112h9=j6st136a>5<72;0:j59j:`143>{|9;>i6=4?:382b=>12h95<72;0:j56j:`1;3>{|9;>i6=4?:382b=?12h93j6st136a>5<72;0:j57m:`1:0>{|9;>i6=4?:382b=g72h9256st136a>5<72;0:j5o;:`1:`>{|9;>i6=4?:382b=g?2h9j=6st136a>5<72;0:j5ol:`1b1>{|9;>i6=4?:382b=d72h9j56st136a>5<72;0:j5l;:`1b`>{|9;>i6=4?:382b=d>2h9i>6st136a>5<72;0:j5lk:`1a2>{|9;>i6=4?:382b=e52h9in6st136a>5<72;0:j5m6:`1`6>{|9;>i6=4?:382b=eb2h9h;6st136a>5<72;0:j5j<:`1`g>{|9;>i6=4?:382b=b?2h9o=6st136a>5<72;0:j5jk:`1g2>{|9;>i6=4?:382b=c32h9oh6st136a>5<72;0:j5km:`1f0>{|9;>i6=4?:382b=`52h9nn6st136a>5<72;0:j5h6:`1e6>{|9;>i6=4?:382b<672h9m56st136a>5<72;0:j4>8:`634>{|9;>i6=4?:382b<6b2h>;;6st136a>5<72;0:j4?::`63a>{|9;>i6=4?:382b<7d2h>:96st136a>5<72;0:j4<<:`62g>{|9;>i6=4?:382b<4f2h>9?6st136a>5<72;0:j4=>:`61e>{|9;>i6=4?:382b<5?2h>8=6st136a>5<72;0:j4=i:`60<>{|9;>i6=4?:382b<212h>8j6st136a>5<72;0:j4:k:`672>{|9;>i6=4?:382b<362h>?m6st136a>5<72;0:j4;::`67a>{|9;>i6=4?:382b<3>2h>>>6st136a>5<72;0:j4;k:`662>{|9;>i6=4?:382b<062h>>m6st136a>5<72;0:j48::`66a>{|9;>i6=4?:382b<0>2h>=>6st136a>5<72;0:j48k:`652>{|9;>i6=4?:382b<162h>=m6st136a>5<72;0:j49::`65a>{|9;>i6=4?:382b<1>2h><>6st136a>5<72;0:j49k:`642>{|9;>i6=4?:382b<>62h>5<72;0:j46::`64a>{|9;>i6=4?:382b<>>2h>3>6st136a>5<72;0:j46k:`6;2>{|9;>i6=4?:382b3m6st136a>5<72;0:j47::`6;a>{|9;>i6=4?:382b2h>2>6st136a>5<72;0:j47k:`6:2>{|9;>i6=4?:382b2m6st136a>5<72;0:j4o::`6:a>{|9;>i6=4?:382b2h>j>6st136a>5<72;0:j4ok:`6b2>{|9;>i6=4?:382bjm6st136a>5<72;0:j4l::`6ba>{|9;>i6=4?:382b2h>i>6st136a>5<72;0:j4lk:`6a2>{|9;>i6=4?:382bim6st136a>5<72;0:j4m8:`6`4>{|9;>i6=4?:382bh:6st136a>5<72;0:j4j<:`6`g>{|9;>i6=4?:382b2h>o>6st136a>5<72;0:j4ji:`6g<>{|9;>i6=4?:382boi6st136a>5<72;0:j4km:`6f0>{|9;>i6=4?:382b<`62h>nm6st136a>5<72;0:j4h8:`6e4>{|9;>i6=4?:382b<`c2h>m:6st136a>5<72;0:jl><:`6eg>{|9;>i6=4?:382bd6>2h?;>6st136a>5<72;0:jl>j:`733>{|9;>i6=4?:382bd732h?;h6st136a>5<72;0:jl?6:`726>{|9;>i6=4?:382bd462h?:m6st136a>5<72;0:jl<9:`72b>{|9;>i6=4?:382bd4b2h?9;6st136a>5<72;0:jl=;:`71`>{|9;>i6=4?:382bd5d2h?896st136a>5<72;0:jl:=:`70f>{|9;>i6=4?:382bd2f2h???6st136a>5<72;0:jl;?:`77=>{|9;>i6=4?:382bd312h??j6st136a>5<72;0:jl;l:`761>{|9;>i6=4?:382bd052h?>n6st136a>5<72;0:jl87:`755>{|9;>i6=4?:382bd0b2h?=;6st136a>5<72;0:jl9;:`75`>{|9;>i6=4?:382bd1f2h?5<72;0:jl6?:`74=>{|9;>i6=4?:382bd>12h?5<72;0:jl6j:`7;3>{|9;>i6=4?:382bd?12h?3j6st136a>5<72;0:jl7l:`7:1>{|9;>i6=4?:382bdg32h?2h6st136a>5<72;0:jlol:`7b1>{|9;>i6=4?:382bdd32h?jh6st136a>5<72;0:jlll:`7a1>{|9;>i6=4?:382bde32h?ih6st136a>5<72;0:jlml:`7`1>{|9;>i6=4?:382bdb32h?hh6st136a>5<72;0:jljl:`7g1>{|9;>i6=4?:382bdc32h?oh6st136a>5<72;0:jlkl:`7f1>{|9;>i6=4?:382bd`32h?nh6st136a>5<72;0:jlh6:`7e6>{|9;>i6=4?:382bg662h?mm6st136a>5<72;0:jo>6:`436>{|9;>i6=4?:382bg762h<;m6st136a>5<72;0:jo?6:`426>{|9;>i6=4?:382bg462h<:m6st136a>5<72;0:jo<6:`416>{|9;>i6=4?:382bg4b2h<9;6st136a>5<72;0:jo=9:`41b>{|9;>i6=4?:382bg5b2h<8;6st136a>5<72;0:jo:9:`40b>{|9;>i6=4?:382bg2b2h5<72;0:jo;9:`47b>{|9;>i6=4?:382bg3b2h<>;6st136a>5<72;0:jo89:`46b>{|9;>i6=4?:382bg0b2h<=;6st136a>5<72;0:jo99:`45b>{|9;>i6=4?:382bg1b2h<<;6st136a>5<72;0:jo69:`44b>{|9;>i6=4?:382bg>b2h<3;6st136a>5<72;0:jo7<:`4;g>{|9;>i6=4?:382bg?f2h<2?6st136a>5<72;0:joo?:`4:=>{|9;>i6=4?:382bgg12h<2j6st136a>5<72;0:jool:`4b1>{|9;>i6=4?:382bgd52h5<72;0:jol7:`4a5>{|9;>i6=4?:382bgdb2h5<72;0:jom;:`4a`>{|9;>i6=4?:382bgef2h5<72;0:joj?:`4`=>{|9;>i6=4?:382bgb12h5<72;0:jojl:`4g1>{|9;>i6=4?:382bgc52h5<72;0:jok8:`4f4>{|9;>i6=4?:382bgcc2h5<72;0:joh<:`4fg>{|9;>i6=4?:382bg`>2h6st136a>5<72;0:johi:`4e<>{|9;>i6=4?:382bf622h5<72;0:jn>m:`530>{|9;>i6=4?:382bf762h=;m6st136a>5<72;0:jn?8:`524>{|9;>i6=4?:382bf7c2h=::6st136a>5<72;0:jn<<:`52g>{|9;>i6=4?:382bf4>2h=9>6st136a>5<72;0:jn{|9;>i6=4?:382bf532h=9h6st136a>5<72;0:jn=n:`507>{|9;>i6=4?:382bf5a2h=846st136a>5<72;0:jn:8:`574>{|9;>i6=4?:382bf2d2h=?96st136a>5<72;0:jn;;:`57`>{|9;>i6=4?:382bf3f2h=>?6st136a>5<72;0:jn8=:`56f>{|9;>i6=4?:382bf0?2h===6st136a>5<72;0:jn9?:`55=>{|9;>i6=4?:382bf112h==j6st136a>5<72;0:jn9l:`541>{|9;>i6=4?:382bf>52h=5<72;0:jn67:`5;5>{|9;>i6=4?:382bf>b2h=3;6st136a>5<72;0:jn7;:`5;`>{|9;>i6=4?:382bf?f2h=2?6st136a>5<72;0:jno?:`5:=>{|9;>i6=4?:382bfg12h=2j6st136a>5<72;0:jnol:`5b1>{|9;>i6=4?:382bfd32h=jh6st136a>5<72;0:jnll:`5a1>{|9;>i6=4?:382bfe52h=in6st136a>5<72;0:jnmn:`5`7>{|9;>i6=4?:382bfb52h=hn6st136a>5<72;0:jnjn:`5g7>{|9;>i6=4?:382bfc52h=on6st136a>5<72;0:jnkn:`5f7>{|9;>i6=4?:382bf`52h=nn6st136a>5<72;0:jnhn:`5e7>{|9;>i6=4?:382ba652h=mn6st136a>5<72;0:ji>n:`:37>{|9;>i6=4?:382ba752h2;n6st136a>5<72;0:ji?n:`:27>{|9;>i6=4?:382ba7a2h2:46st136a>5<72;0:ji<8:`:14>{|9;>i6=4?:382ba4a2h2946st136a>5<72;0:ji=8:`:04>{|9;>i6=4?:382ba5a2h2846st136a>5<72;0:ji:8:`:74>{|9;>i6=4?:382ba2a2h2?46st136a>5<72;0:ji;;:`:7`>{|9;>i6=4?:382ba3d2h2>96st136a>5<72;0:ji8;:`:6`>{|9;>i6=4?:382ba0d2h2=96st136a>5<72;0:ji9;:`:5`>{|9;>i6=4?:382ba1d2h2<96st136a>5<72;0:ji6;:`:4`>{|9;>i6=4?:382ba>d2h2396st136a>5<72;0:ji7;:`:;`>{|9;>i6=4?:382ba?d2h2296st136a>5<72;0:jio;:`::`>{|9;>i6=4?:382bagd2h2j96st136a>5<72;0:jil;:`:b`>{|9;>i6=4?:382badf2h2i?6st136a>5<72;0:jim?:`:a=>{|9;>i6=4?:382bae12h2ij6st136a>5<72;0:jiml:`:`1>{|9;>i6=4?:382bab52h2hn6st136a>5<72;0:jij7:`:g5>{|9;>i6=4?:382babb2h2o;6st136a>5<72;0:jik;:`:g`>{|9;>i6=4?:382bacf2h2n?6st136a>5<72;0:jih?:`:f=>{|9;>i6=4?:382ba`12h2nj6st136a>5<72;0:jihl:`:e1>{|9;>i6=4?:382b`662h2mm6st136a>5<72;0:jh>8:`;34>{|9;>i6=4?:382b`6c2h3;:6st136a>5<72;0:jh?<:`;3g>{|9;>i6=4?:382b`7>2h3:>6st136a>5<72;0:jh?i:`;2<>{|9;>i6=4?:382b`422h3:i6st136a>5<72;0:jh{|9;>i6=4?:382b`562h39m6st136a>5<72;0:jh=8:`;04>{|9;>i6=4?:382b`5c2h38:6st136a>5<72;0:jh:<:`;0g>{|9;>i6=4?:382b`2>2h3?>6st136a>5<72;0:jh:j:`;73>{|9;>i6=4?:382b`332h3?h6st136a>5<72;0:jh;6:`;66>{|9;>i6=4?:382b`062h3>m6st136a>5<72;0:jh89:`;6b>{|9;>i6=4?:382b`0b2h3=;6st136a>5<72;0:jh9;:`;5`>{|9;>i6=4?:382b`1d2h3<96st136a>5<72;0:jh6=:`;4f>{|9;>i6=4?:382b`>f2h33?6st136a>5<72;0:jh7?:`;;=>{|9;>i6=4?:382b`?12h33j6st136a>5<72;0:jh7l:`;:1>{|9;>i6=4?:382b`g52h32n6st136a>5<72;0:jho7:`;b5>{|9;>i6=4?:382b`gb2h3j;6st136a>5<72;0:jhl;:`;b`>{|9;>i6=4?:382b`df2h3i?6st136a>5<72;0:jhm?:`;a=>{|9;>i6=4?:382b`e12h3ij6st136a>5<72;0:jhmj:`;`3>{|9;>i6=4?:382b`b12h3hj6st136a>5<72;0:jhjl:`;g1>{|9;>i6=4?:382b`c32h3oh6st136a>5<72;0:jhkl:`;f1>{|9;>i6=4?:382b``32h3nh6st136a>5<72;0:jhhl:`;e1>{|9;>i6=4?:382bc632h3mh6st136a>5<72;0:jk>l:`c31>{|9;>i6=4?:382bc732hk;h6st136a>5<72;0:jk?l:`c21>{|9;>i6=4?:382bc432hk:h6st136a>5<72;0:jk{|9;>i6=4?:382bc532hk9h6st136a>5<72;0:jk=6:`c06>{|9;>i6=4?:382bc262hk8m6st136a>5<72;0:jk:6:`c76>{|9;>i6=4?:382bc362hk?m6st136a>5<72;0:jk;6:`c66>{|9;>i6=4?:382bc062hk>m6st136a>5<72;0:jk86:`c56>{|9;>i6=4?:382bc0b2hk=;6st136a>5<72;0:jk99:`c5b>{|9;>i6=4?:382bc1b2hk<;6st136a>5<72;0:jk69:`c4b>{|9;>i6=4?:382bc>b2hk3;6st136a>5<72;0:jk79:`c;b>{|9;>i6=4?:382bc?b2hk2;6st136a>5<72;0:jko9:`c:b>{|9;>i6=4?:382bcgb2hkj;6st136a>5<72;0:jkl9:`cbb>{|9;>i6=4?:382bcdb2hki;6st136a>5<72;0:jkm9:`cab>{|9;>i6=4?:382bceb2hkh;6st136a>5<72;0:jkj<:`c`g>{|9;>i6=4?:382bcbf2hko?6st136a>5<72;0:jkk?:`cg=>{|9;>i6=4?:382bcc12hkoj6st136a>5<72;0:jkkl:`cf1>{|9;>i6=4?:382bc`52hknn6st136a>5<72;0:jkh7:`ce5>{|9;>i6=4?:382bc`b2hkm;6st136a>5<72;09<=>;:`ce`>{|9;>i6=4?:381456f2hh;?6st136a>5<72;09<=??:``3=>{|9;>i6=4?:38145712hh;j6st136a>5<72;09<=?l:``21>{|9;>i6=4?:38145452hh:n6st136a>5<72;09<=<8:``14>{|9;>i6=4?:381454e2hh986st136a>5<72;09<==<:``1g>{|9;>i6=4?:381455e2hh886st136a>5<72;09<=:<:``0g>{|9;>i6=4?:381452e2hh?86st136a>5<72;09<=;<:``7g>{|9;>i6=4?:38145302hh><6st136a>5<72;09<=;i:``6<>{|9;>i6=4?:38145002hh=<6st136a>5<72;09<=8i:``5<>{|9;>i6=4?:38145102hh<<6st136a>5<72;09<=9i:``4<>{|9;>i6=4?:38145>02hh3<6st136a>5<72;09<=6i:``;<>{|9;>i6=4?:38145?02hh2<6st136a>5<72;09<=7i:``:<>{|9;>i6=4?:38145g22hh2i6st136a>5<72;09<=ok:``b2>{|9;>i6=4?:38145d22hhji6st136a>5<72;09<=lk:``a2>{|9;>i6=4?:38145e22hhii6st136a>5<72;09<=m6:```6>{|9;>i6=4?:38145b62hhhm6st136a>5<72;09<=j6:``g6>{|9;>i6=4?:38145c62hhom6st136a>5<72;09<=k6:``f6>{|9;>i6=4?:38145`62hhnm6st136a>5<72;09<=h::``fa>{|9;>i6=4?:38145`c2hhm:6st136a>5<72;09<<>::``ea>{|9;>i6=4?:381446c2hi;:6st136a>5<72;09<{|9;>i6=4?:381447>2hi:>6st136a>5<72;09<<<>:`a2e>{|9;>i6=4?:381444>2hi9>6st136a>5<72;09<<{|9;>i6=4?:38144532hi9h6st136a>5<72;09<<=l:`a01>{|9;>i6=4?:38144272hi856st136a>5<72;09<<:7:`a75>{|9;>i6=4?:38144372hi?56st136a>5<72;09<<;7:`a65>{|9;>i6=4?:38144072hi>56st136a>5<72;09<<87:`a55>{|9;>i6=4?:38144172hi=56st136a>5<72;09<<97:`a45>{|9;>i6=4?:38144>72hi<56st136a>5<72;09<<67:`a;5>{|9;>i6=4?:38144?72hi356st136a>5<72;09<<77:`a:5>{|9;>i6=4?:38144g72hi256st136a>5<72;09<{|9;>i6=4?:38144d72hij56st136a>5<72;09<{|9;>i6=4?:38144e72hii56st136a>5<72;09<{|9;>i6=4?:38144eb2hih;6st136a>5<72;09<{|9;>i6=4?:38144bb2hio;6st136a>5<72;09<{|9;>i6=4?:38144cc2hin:6st136a>5<72;09<{|9;>i6=4?:38144`d2him96st136a>5<72;09?:`ae=>{|9;>i6=4?:381476?2hn;=6st136a>5<72;09j:`f33>{|9;>i6=4?:38147712hn;j6st136a>5<72;09{|9;>i6=4?:38147452hn:n6st136a>5<72;09{|9;>i6=4?:38147552hn9n6st136a>5<72;09{|9;>i6=4?:381475b2hn8;6st136a>5<72;09{|9;>i6=4?:381472f2hn??6st136a>5<72;09{|9;>i6=4?:381473f2hn>?6st136a>5<72;09{|9;>i6=4?:38147012hn>j6st136a>5<72;09{|9;>i6=4?:38147152hn=n6st136a>5<72;09{|9;>i6=4?:38147>52hn5<72;09{|9;>i6=4?:38147>b2hn3;6st136a>5<72;09{|9;>i6=4?:38147?e2hn286st136a>5<72;09{|9;>i6=4?:38147ge2hnj86st136a>5<72;09{|9;>i6=4?:38147d?2hni=6st136a>5<72;09{|9;>i6=4?:38147e32hnih6st136a>5<72;09{|9;>i6=4?:38147b32hnhh6st136a>5<72;09{|9;>i6=4?:38147c72hno56st136a>5<72;09{|9;>i6=4?:38147cc2hnn:6st136a>5<72;09{|9;>i6=4?:38147`e2hnm86st136a>5<72;09<>>=:`fef>{|9;>i6=4?:381466f2ho;?6st136a>5<72;09<>?>:`g3e>{|9;>i6=4?:38146712ho;j6st136a>5<72;09<>?j:`g23>{|9;>i6=4?:38146412ho:j6st136a>5<72;09<>{|9;>i6=4?:38146512ho9j6st136a>5<72;09<>=j:`g03>{|9;>i6=4?:38146222ho8i6st136a>5<72;09<>:k:`g72>{|9;>i6=4?:38146352ho?n6st136a>5<72;09<>;n:`g67>{|9;>i6=4?:38146052ho>n6st136a>5<72;09<>8n:`g57>{|9;>i6=4?:38146152ho=n6st136a>5<72;09<>9n:`g47>{|9;>i6=4?:38146>52ho5<72;09<>69:`g4b>{|9;>i6=4?:38146>b2ho3;6st136a>5<72;09<>79:`g;b>{|9;>i6=4?:38146?b2ho2;6st136a>5<72;09<>o9:`g:b>{|9;>i6=4?:38146gb2hoj;6st136a>5<72;09<>l9:`gbb>{|9;>i6=4?:38146db2hoi;6st136a>5<72;09<>m9:`gab>{|9;>i6=4?:38146eb2hoh;6st136a>5<72;09<>j9:`g`b>{|9;>i6=4?:38146bc2hoo:6st136a>5<72;09<>k::`gga>{|9;>i6=4?:38146cd2hon96st136a>5<72;09<>h;:`gf`>{|9;>i6=4?:38146`e2hom86st136a>5<72;09<9>=:`gef>{|9;>i6=4?:381416f2hl;?6st136a>5<72;09<9?=:`d3f>{|9;>i6=4?:381417f2hl:?6st136a>5<72;09<9<=:`d2f>{|9;>i6=4?:38141402hl9<6st136a>5<72;09<9{|9;>i6=4?:38141502hl8<6st136a>5<72;09<9=i:`d0<>{|9;>i6=4?:38141212hl8j6st136a>5<72;09<9:j:`d73>{|9;>i6=4?:38141312hl?j6st136a>5<72;09<9;l:`d61>{|9;>i6=4?:38141042hl>o6st136a>5<72;09<98m:`d50>{|9;>i6=4?:38141142hl=o6st136a>5<72;09<99m:`d40>{|9;>i6=4?:38141>42hl5<72;09<96m:`d;0>{|9;>i6=4?:38141?42hl3o6st136a>5<72;09<97m:`d:0>{|9;>i6=4?:38141g52hl2n6st136a>5<72;09<9o9:`d:b>{|9;>i6=4?:38141gb2hlj;6st136a>5<72;09<9l::`dba>{|9;>i6=4?:38141dc2hli:6st136a>5<72;09<9m::`daa>{|9;>i6=4?:38141ec2hlh:6st136a>5<72;09<9j;:`d``>{|9;>i6=4?:38141be2hlo86st136a>5<72;09<9k<:`dgg>{|9;>i6=4?:38141ce2hln86st136a>5<72;09<9h=:`dff>{|9;>i6=4?:38141`f2hlm?6st136a>5<72;09<8>=:`def>{|9;>i6=4?:381406f2k:;?6st136a>5<72;09<8?=:c23f>{|9;>i6=4?:381407f2k::?6st136a>5<72;09<8<=:c22f>{|9;>i6=4?:38140402k:9<6st136a>5<72;09<8{|9;>i6=4?:38140532k:9h6st136a>5<72;09<8=l:c201>{|9;>i6=4?:38140252k:8n6st136a>5<72;09<8:n:c277>{|9;>i6=4?:38140352k:?n6st136a>5<72;09<8;7:c265>{|9;>i6=4?:38140072k:>56st136a>5<72;09<887:c255>{|9;>i6=4?:38140172k:=56st136a>5<72;09<899:c25b>{|9;>i6=4?:381401b2k:<;6st136a>5<72;09<869:c24b>{|9;>i6=4?:38140>b2k:3;6st136a>5<72;09<879:c2;b>{|9;>i6=4?:38140?d2k:296st136a>5<72;09<8o;:c2:`>{|9;>i6=4?:38140gf2k:j?6st136a>5<72;09<8l=:c2bf>{|9;>i6=4?:38140d?2k:i=6st136a>5<72;09<8m?:c2a=>{|9;>i6=4?:38140e32k:ih6st136a>5<72;09<8ml:c2`1>{|9;>i6=4?:38140b62k:hm6st136a>5<72;09<8j6:c2g6>{|9;>i6=4?:38140ba2k:o46st136a>5<72;09<8k8:c2f4>{|9;>i6=4?:38140cd2k:n96st136a>5<72;09<8h;:c2f`>{|9;>i6=4?:38140`d2k:m96st136a>5<72;09<;>;:c2e`>{|9;>i6=4?:381436d2k;;96st136a>5<72;09<;?;:c33`>{|9;>i6=4?:381437?2k;:=6st136a>5<72;09<;{|9;>i6=4?:38143402k;9<6st136a>5<72;09<;{|9;>i6=4?:38143532k;9h6st136a>5<72;09<;=l:c301>{|9;>i6=4?:38143242k;8o6st136a>5<72;09<;:m:c370>{|9;>i6=4?:381432a2k;?46st136a>5<72;09<;;8:c364>{|9;>i6=4?:381433b2k;>;6st136a>5<72;09<;89:c36b>{|9;>i6=4?:381430c2k;=:6st136a>5<72;09<;9::c35a>{|9;>i6=4?:381431d2k;<96st136a>5<72;09<;6;:c34`>{|9;>i6=4?:38143>e2k;386st136a>5<72;09<;7<:c3;g>{|9;>i6=4?:38143?f2k;2?6st136a>5<72;09<;o=:c3:f>{|9;>i6=4?:38143g>2k;j>6st136a>5<72;09<;l>:c3be>{|9;>i6=4?:38143d?2k;i=6st136a>5<72;09<;m?:c3a=>{|9;>i6=4?:38143e02k;h<6st136a>5<72;09<;mi:c3`<>{|9;>i6=4?:38143b12k;hj6st136a>5<72;09<;jj:c3g3>{|9;>i6=4?:38143c12k;oj6st136a>5<72;09<;kj:c3f3>{|9;>i6=4?:38143`22k;ni6st136a>5<72;09<;hk:c3e2>{|9;>i6=4?:38142622k;mi6st136a>5<72;09<:>l:c031>{|9;>i6=4?:38142732k8;h6st136a>5<72;09<:?l:c021>{|9;>i6=4?:38142442k8:o6st136a>5<72;09<:{|9;>i6=4?:38142562k89m6st136a>5<72;09<:=7:c005>{|9;>i6=4?:381425a2k8846st136a>5<72;09<::::c00a>{|9;>i6=4?:381422c2k8?:6st136a>5<72;09<:;;:c07`>{|9;>i6=4?:381423e2k8>86st136a>5<72;09<:8<:c06g>{|9;>i6=4?:38142002k8=<6st136a>5<72;09<:8i:c05<>{|9;>i6=4?:38142102k8<<6st136a>5<72;09<:9i:c04<>{|9;>i6=4?:38142>02k83<6st136a>5<72;09<:6l:c0;1>{|9;>i6=4?:38142?32k83h6st136a>5<72;09<:76:c0:6>{|9;>i6=4?:38142g62k82m6st136a>5<72;09<:o6:c0b6>{|9;>i6=4?:38142d62k8jm6st136a>5<72;09<:l6:c0a6>{|9;>i6=4?:38142e62k8im6st136a>5<72;09<:m7:c0`5>{|9;>i6=4?:38142ea2k8h46st136a>5<72;09<:j9:c0`b>{|9;>i6=4?:38142bb2k8o;6st136a>5<72;09<:k9:c0gb>{|9;>i6=4?:38142cc2k8n:6st136a>5<72;09<:h::c0fa>{|9;>i6=4?:38142`e2k8m86st136a>5<72;09<5>=:c0ef>{|9;>i6=4?:3814=6>2k9;>6st136a>5<72;09<5?>:c13e>{|9;>i6=4?:3814=7>2k9:>6st136a>5<72;09<5{|9;>i6=4?:3814=4?2k99=6st136a>5<72;09<5{|9;>i6=4?:3814=522k99i6st136a>5<72;09<5=l:c101>{|9;>i6=4?:3814=232k98h6st136a>5<72;09<5:l:c171>{|9;>i6=4?:3814=342k9?o6st136a>5<72;09<5;m:c160>{|9;>i6=4?:3814=062k9>m6st136a>5<72;09<587:c155>{|9;>i6=4?:3814=0a2k9=46st136a>5<72;09<598:c144>{|9;>i6=4?:3814=1a2k9<46st136a>5<72;09<56;:c14`>{|9;>i6=4?:3814=>d2k9396st136a>5<72;09<57;:c1;`>{|9;>i6=4?:3814=?e2k9286st136a>5<72;09<5o=:c1:f>{|9;>i6=4?:3814=g>2k9j>6st136a>5<72;09<5ok:c1b2>{|9;>i6=4?:3814=d22k9ji6st136a>5<72;09<5lk:c1a2>{|9;>i6=4?:3814=e52k9in6st136a>5<72;09<5mn:c1`7>{|9;>i6=4?:3814=b62k9hm6st136a>5<72;09<5j7:c1g5>{|9;>i6=4?:3814=c72k9o56st136a>5<72;09<5k7:c1f5>{|9;>i6=4?:3814=`72k9n56st136a>5<72;09<5h8:c1e4>{|9;>i6=4?:3814=`b2k9m;6st136a>5<72;09<4>9:c1eb>{|9;>i6=4?:3814<6c2k>;:6st136a>5<72;09<4?::c63a>{|9;>i6=4?:3814<7d2k>:96st136a>5<72;09<4<<:c62g>{|9;>i6=4?:3814<4e2k>986st136a>5<72;09<4==:c61f>{|9;>i6=4?:3814<5f2k>8?6st136a>5<72;09<4:>:c60e>{|9;>i6=4?:3814<2?2k>?=6st136a>5<72;09<4;?:c67=>{|9;>i6=4?:3814<302k>><6st136a>5<72;09<4;i:c66<>{|9;>i6=4?:3814<012k>>j6st136a>5<72;09<48n:c657>{|9;>i6=4?:3814<152k>=n6st136a>5<72;09<49n:c647>{|9;>i6=4?:3814<>52k>5<72;09<466:c6;6>{|9;>i6=4?:38143m6st136a>5<72;09<476:c6:6>{|9;>i6=4?:38142m6st136a>5<72;09<4o7:c6b5>{|9;>i6=4?:3814j56st136a>5<72;09<4l8:c6a4>{|9;>i6=4?:3814i;6st136a>5<72;09<4m9:c6ab>{|9;>i6=4?:3814h;6st136a>5<72;09<4j9:c6`b>{|9;>i6=4?:3814o;6st136a>5<72;09<4k::c6ga>{|9;>i6=4?:3814n96st136a>5<72;09<4h;:c6f`>{|9;>i6=4?:3814<`d2k>m96st136a>5<72;09;:c6e`>{|9;>i6=4?:3814d6d2k?;96st136a>5<72;09{|9;>i6=4?:3814d7>2k?:>6st136a>5<72;09:c72e>{|9;>i6=4?:3814d4>2k?9>6st136a>5<72;09:c71e>{|9;>i6=4?:3814d5>2k?8>6st136a>5<72;09:c70e>{|9;>i6=4?:3814d2>2k??>6st136a>5<72;09{|9;>i6=4?:3814d302k?><6st136a>5<72;09{|9;>i6=4?:3814d002k?=<6st136a>5<72;09{|9;>i6=4?:3814d102k?<<6st136a>5<72;09{|9;>i6=4?:3814d>12k?5<72;09{|9;>i6=4?:3814d?22k?3i6st136a>5<72;09{|9;>i6=4?:3814dg22k?2i6st136a>5<72;09{|9;>i6=4?:3814dd22k?ji6st136a>5<72;09{|9;>i6=4?:3814de42k?io6st136a>5<72;09{|9;>i6=4?:3814db42k?ho6st136a>5<72;09{|9;>i6=4?:3814dc42k?oo6st136a>5<72;09{|9;>i6=4?:3814d`52k?nn6st136a>5<72;09{|9;>i6=4?:3814g662k?mm6st136a>5<72;096:c436>{|9;>i6=4?:3814g762k<;m6st136a>5<72;09{|9;>i6=4?:3814g462k<:m6st136a>5<72;09{|9;>i6=4?:3814g4a2k<946st136a>5<72;09{|9;>i6=4?:3814g5a2k<846st136a>5<72;09{|9;>i6=4?:3814g2a2k5<72;09{|9;>i6=4?:3814g3b2k<>;6st136a>5<72;09{|9;>i6=4?:3814g0c2k<=:6st136a>5<72;09{|9;>i6=4?:3814g1c2k<<:6st136a>5<72;09{|9;>i6=4?:3814g>c2k<3:6st136a>5<72;09{|9;>i6=4?:3814g?e2k<286st136a>5<72;09{|9;>i6=4?:3814gge2k5<72;09{|9;>i6=4?:3814gde2k5<72;09{|9;>i6=4?:3814ge>2k6st136a>5<72;09:c4`e>{|9;>i6=4?:3814gb?2k5<72;09{|9;>i6=4?:3814gc02k5<72;09{|9;>i6=4?:3814g`12k5<72;09{|9;>i6=4?:3814f612k5<72;09j:c533>{|9;>i6=4?:3814f722k=;i6st136a>5<72;09{|9;>i6=4?:3814f422k=:i6st136a>5<72;09{|9;>i6=4?:3814f532k=9h6st136a>5<72;09{|9;>i6=4?:3814f242k=8o6st136a>5<72;09{|9;>i6=4?:3814f362k=?m6st136a>5<72;09{|9;>i6=4?:3814f3c2k=>:6st136a>5<72;09{|9;>i6=4?:3814f0c2k==:6st136a>5<72;09{|9;>i6=4?:3814f1d2k=<96st136a>5<72;09{|9;>i6=4?:3814f>f2k=3?6st136a>5<72;09{|9;>i6=4?:3814f?f2k=2?6st136a>5<72;09{|9;>i6=4?:3814fgf2k=j?6st136a>5<72;09:c5be>{|9;>i6=4?:3814fd>2k=i>6st136a>5<72;09:c5ae>{|9;>i6=4?:3814fe>2k=h>6st136a>5<72;09{|9;>i6=4?:3814fb?2k=o=6st136a>5<72;09{|9;>i6=4?:3814fc02k=n<6st136a>5<72;09{|9;>i6=4?:3814f`02k=m<6st136a>5<72;09{|9;>i6=4?:3814a612k=mj6st136a>5<72;09j:c:33>{|9;>i6=4?:3814a722k2;i6st136a>5<72;09{|9;>i6=4?:3814a432k2:h6st136a>5<72;09{|9;>i6=4?:3814a532k29h6st136a>5<72;09{|9;>i6=4?:3814a242k28o6st136a>5<72;09{|9;>i6=4?:3814a352k2?n6st136a>5<72;09{|9;>i6=4?:3814a072k2>56st136a>5<72;09{|9;>i6=4?:3814a0d2k2=96st136a>5<72;09{|9;>i6=4?:3814a1d2k2<96st136a>5<72;09{|9;>i6=4?:3814a>d2k2396st136a>5<72;09{|9;>i6=4?:3814a?d2k2296st136a>5<72;09{|9;>i6=4?:3814agd2k2j96st136a>5<72;09{|9;>i6=4?:3814add2k2i96st136a>5<72;09{|9;>i6=4?:3814aed2k2h96st136a>5<72;09{|9;>i6=4?:3814abd2k2o96st136a>5<72;09{|9;>i6=4?:3814acd2k2n96st136a>5<72;09{|9;>i6=4?:3814a`d2k2m96st136a>5<72;09;:c:e`>{|9;>i6=4?:3814`6d2k3;96st136a>5<72;09{|9;>i6=4?:3814`7d2k3:96st136a>5<72;09{|9;>i6=4?:3814`4d2k3996st136a>5<72;09{|9;>i6=4?:3814`5d2k3896st136a>5<72;09{|9;>i6=4?:3814`2d2k3?96st136a>5<72;09{|9;>i6=4?:3814`3d2k3>96st136a>5<72;09{|9;>i6=4?:3814`0d2k3=96st136a>5<72;09{|9;>i6=4?:3814`1e2k3<86st136a>5<72;09{|9;>i6=4?:3814`>f2k33?6st136a>5<72;09:c;;e>{|9;>i6=4?:3814`??2k32=6st136a>5<72;09{|9;>i6=4?:3814`g02k3j<6st136a>5<72;09{|9;>i6=4?:3814`d12k3jj6st136a>5<72;09{|9;>i6=4?:3814`e32k3ih6st136a>5<72;09{|9;>i6=4?:3814`b42k3ho6st136a>5<72;09{|9;>i6=4?:3814`c52k3on6st136a>5<72;09{|9;>i6=4?:3814`ca2k3n46st136a>5<72;09{|9;>i6=4?:3814``c2k3m:6st136a>5<72;09>:c;ee>{|9;>i6=4?:3814c6>2kk;>6st136a>5<72;09:cc3e>{|9;>i6=4?:3814c7>2kk:>6st136a>5<72;09{|9;>i6=4?:3814c402kk9<6st136a>5<72;09{|9;>i6=4?:3814c502kk8<6st136a>5<72;09{|9;>i6=4?:3814c212kk8j6st136a>5<72;09{|9;>i6=4?:3814c322kk?i6st136a>5<72;09{|9;>i6=4?:3814c052kk>n6st136a>5<72;09{|9;>i6=4?:3814c152kk=n6st136a>5<72;09{|9;>i6=4?:3814c>52kk5<72;09{|9;>i6=4?:3814c?52kk3n6st136a>5<72;09{|9;>i6=4?:3814cg52kk2n6st136a>5<72;09{|9;>i6=4?:3814cd52kkjn6st136a>5<72;09{|9;>i6=4?:3814ce52kkin6st136a>5<72;09{|9;>i6=4?:3814cb52kkhn6st136a>5<72;09{|9;>i6=4?:3814cc52kkon6st136a>5<72;09{|9;>i6=4?:3814c`52kknn6st136a>5<72;09{|9;>i6=4?:38155652kkmn6st136a>5<72;09==>n:c`37>{|9;>i6=4?:38155752kh;n6st136a>5<72;09==?n:c`27>{|9;>i6=4?:38155452kh:n6st136a>5<72;09=={|9;>i6=4?:38155552kh9n6st136a>5<72;09===n:c`07>{|9;>i6=4?:38155252kh8n6st136a>5<72;09==:n:c`77>{|9;>i6=4?:38155352kh?n6st136a>5<72;09==;n:c`67>{|9;>i6=4?:38155052kh>n6st136a>5<72;09==8n:c`57>{|9;>i6=4?:381550a2kh=46st136a>5<72;09==98:c`44>{|9;>i6=4?:381551a2kh<46st136a>5<72;09==68:c`;4>{|9;>i6=4?:38155>a2kh346st136a>5<72;09==78:c`:4>{|9;>i6=4?:38155?a2kh246st136a>5<72;09==o8:c`b4>{|9;>i6=4?:38155ga2khj46st136a>5<72;09==l8:c`a4>{|9;>i6=4?:38155da2khi46st136a>5<72;09==m8:c``4>{|9;>i6=4?:38155ea2khh46st136a>5<72;09==j8:c`g4>{|9;>i6=4?:38155ba2kho46st136a>5<72;09==k8:c`f4>{|9;>i6=4?:38155ca2khn46st136a>5<72;09==h8:c`e4>{|9;>i6=4?:38155`a2khm46st136a>5<72;09=<>8:ca34>{|9;>i6=4?:381546a2ki;46st136a>5<72;09={|9;>i6=4?:381547a2ki:46st136a>5<72;09=<<8:ca14>{|9;>i6=4?:381544a2ki946st136a>5<72;09=<=8:ca04>{|9;>i6=4?:381545a2ki846st136a>5<72;09=<:8:ca74>{|9;>i6=4?:381542a2ki?46st136a>5<72;09=<;8:ca64>{|9;>i6=4?:381543a2ki>46st136a>5<72;09=<88:ca54>{|9;>i6=4?:381540b2ki=;6st136a>5<72;09=<99:ca5b>{|9;>i6=4?:381541c2ki<:6st136a>5<72;09=<6<:ca4g>{|9;>i6=4?:38154>f2ki3?6st136a>5<72;09=<7>:ca;e>{|9;>i6=4?:38154?>2ki2>6st136a>5<72;09={|9;>i6=4?:38154g12ki2j6st136a>5<72;09={|9;>i6=4?:38154d32kijh6st136a>5<72;09={|9;>i6=4?:38154e42kiio6st136a>5<72;09={|9;>i6=4?:38154b72kih56st136a>5<72;09={|9;>i6=4?:38154ba2kio46st136a>5<72;09={|9;>i6=4?:38154cd2kin96st136a>5<72;09={|9;>i6=4?:38154`f2kim?6st136a>5<72;09=?>=:caef>{|9;>i6=4?:381576>2kn;>6st136a>5<72;09=?>i:cf3<>{|9;>i6=4?:38157712kn;j6st136a>5<72;09=??k:cf22>{|9;>i6=4?:38157422kn:i6st136a>5<72;09=?{|9;>i6=4?:38157552kn9n6st136a>5<72;09=?=6:cf06>{|9;>i6=4?:38157272kn856st136a>5<72;09=?:7:cf75>{|9;>i6=4?:381572a2kn?46st136a>5<72;09=?;::cf7a>{|9;>i6=4?:381573d2kn>96st136a>5<72;09=?8<:cf6g>{|9;>i6=4?:381570e2kn=86st136a>5<72;09=?9=:cf5f>{|9;>i6=4?:381571?2kn<=6st136a>5<72;09=?9i:cf4<>{|9;>i6=4?:38157>12kn5<72;09=?6j:cf;3>{|9;>i6=4?:38157?22kn3i6st136a>5<72;09=?7m:cf:0>{|9;>i6=4?:38157g52kn2n6st136a>5<72;09=?o6:cfb6>{|9;>i6=4?:38157d62knjm6st136a>5<72;09=?l7:cfa5>{|9;>i6=4?:38157db2kni;6st136a>5<72;09=?m::cfaa>{|9;>i6=4?:38157ed2knh96st136a>5<72;09=?j;:cf``>{|9;>i6=4?:38157be2kno86st136a>5<72;09=?k>:cfge>{|9;>i6=4?:38157c?2knn=6st136a>5<72;09=?ki:cff<>{|9;>i6=4?:38157`02knm<6st136a>5<72;09=?hj:cfe3>{|9;>i6=4?:38156632knmh6st136a>5<72;09=>>m:cg30>{|9;>i6=4?:38156752ko;n6st136a>5<72;09=>?n:cg27>{|9;>i6=4?:38156462ko:m6st136a>5<72;09=><8:cg14>{|9;>i6=4?:381564b2ko9;6st136a>5<72;09=>=::cg1a>{|9;>i6=4?:381565c2ko8:6st136a>5<72;09=>:;:cg0`>{|9;>i6=4?:381562f2ko??6st136a>5<72;09=>;>:cg7e>{|9;>i6=4?:381563?2ko>=6st136a>5<72;09=>8?:cg6=>{|9;>i6=4?:38156002ko=<6st136a>5<72;09=>8k:cg52>{|9;>i6=4?:38156132ko=h6st136a>5<72;09=>9m:cg40>{|9;>i6=4?:38156>42ko5<72;09=>6n:cg;7>{|9;>i6=4?:38156?72ko356st136a>5<72;09=>78:cg:4>{|9;>i6=4?:38156?b2ko2;6st136a>5<72;09=>o9:cg:b>{|9;>i6=4?:38156gc2koj:6st136a>5<72;09=>l<:cgbg>{|9;>i6=4?:38156df2koi?6st136a>5<72;09=>m>:cgae>{|9;>i6=4?:38156e>2koh>6st136a>5<72;09=>j?:cg`=>{|9;>i6=4?:38156b12kohj6st136a>5<72;09=>jk:cgg2>{|9;>i6=4?:38156c32kooh6st136a>5<72;09=>kl:cgf1>{|9;>i6=4?:38156`42kono6st136a>5<72;09=>h6:cge6>{|9;>i6=4?:38151672kom56st136a>5<72;09=9>8:cd34>{|9;>i6=4?:381516a2kl;46st136a>5<72;09=9?9:cd3b>{|9;>i6=4?:381517d2kl:96st136a>5<72;09=9<<:cd2g>{|9;>i6=4?:381514f2kl9?6st136a>5<72;09=9==:cd1f>{|9;>i6=4?:381515>2kl8>6st136a>5<72;09=9=i:cd0<>{|9;>i6=4?:38151212kl8j6st136a>5<72;09=9:k:cd72>{|9;>i6=4?:38151322kl?i6st136a>5<72;09=9;l:cd61>{|9;>i6=4?:38151052kl>n6st136a>5<72;09=986:cd56>{|9;>i6=4?:38151172kl=56st136a>5<72;09=997:cd45>{|9;>i6=4?:381511a2kl<46st136a>5<72;09=96::cd4a>{|9;>i6=4?:38151>d2kl396st136a>5<72;09=97<:cd;g>{|9;>i6=4?:38151?e2kl286st136a>5<72;09=9o=:cd:f>{|9;>i6=4?:38151g?2klj=6st136a>5<72;09=9oi:cdb<>{|9;>i6=4?:38151d12kljj6st136a>5<72;09=9lj:cda3>{|9;>i6=4?:38151e22klii6st136a>5<72;09=9mm:cd`0>{|9;>i6=4?:38151b52klhn6st136a>5<72;09=9j6:cdg6>{|9;>i6=4?:38151c62klom6st136a>5<72;09=9k7:cdf5>{|9;>i6=4?:38151cb2kln;6st136a>5<72;09=9h::cdfa>{|9;>i6=4?:38151`d2klm96st136a>5<72;09=8>;:cde`>{|9;>i6=4?:381506e2j:;86st136a>5<72;09=8?>:b23e>{|9;>i6=4?:381507?2j::=6st136a>5<72;09=8?i:b22<>{|9;>i6=4?:38150402j:9<6st136a>5<72;09=8{|9;>i6=4?:38150532j:9h6st136a>5<72;09=8=m:b200>{|9;>i6=4?:38150252j:8n6st136a>5<72;09=8:n:b277>{|9;>i6=4?:38150362j:?m6st136a>5<72;09=8;8:b264>{|9;>i6=4?:381503b2j:>;6st136a>5<72;09=88::b26a>{|9;>i6=4?:381500c2j:=:6st136a>5<72;09=89;:b25`>{|9;>i6=4?:381501f2j:5<72;09=86>:b24e>{|9;>i6=4?:38150>?2j:3=6st136a>5<72;09=87?:b2;=>{|9;>i6=4?:38150?02j:2<6st136a>5<72;09=87k:b2:2>{|9;>i6=4?:38150g32j:2h6st136a>5<72;09=8om:b2b0>{|9;>i6=4?:38150d42j:jo6st136a>5<72;09=8ln:b2a7>{|9;>i6=4?:38150e72j:i56st136a>5<72;09=8m8:b2`4>{|9;>i6=4?:38150eb2j:h;6st136a>5<72;09=8j9:b2`b>{|9;>i6=4?:38150bc2j:o:6st136a>5<72;09=8k<:b2gg>{|9;>i6=4?:38150cf2j:n?6st136a>5<72;09=8h>:b2fe>{|9;>i6=4?:38150`>2j:m>6st136a>5<72;09=;>?:b2e=>{|9;>i6=4?:38153612j:mj6st136a>5<72;09=;>k:b332>{|9;>i6=4?:38153732j;;h6st136a>5<72;09=;?l:b321>{|9;>i6=4?:38153442j;:o6st136a>5<72;09=;<6:b316>{|9;>i6=4?:38153572j;956st136a>5<72;09=;=8:b304>{|9;>i6=4?:381535a2j;846st136a>5<72;09=;:9:b30b>{|9;>i6=4?:381532d2j;?96st136a>5<72;09=;;<:b37g>{|9;>i6=4?:381533f2j;>?6st136a>5<72;09=;8=:b36f>{|9;>i6=4?:381530>2j;=>6st136a>5<72;09=;8i:b35<>{|9;>i6=4?:38153112j;=j6st136a>5<72;09=;9k:b342>{|9;>i6=4?:38153>22j;5<72;09=;6l:b3;1>{|9;>i6=4?:38153?52j;3n6st136a>5<72;09=;76:b3:6>{|9;>i6=4?:38153g72j;256st136a>5<72;09=;o7:b3b5>{|9;>i6=4?:38153ga2j;j46st136a>5<72;09=;l::b3ba>{|9;>i6=4?:38153dd2j;i96st136a>5<72;09=;m<:b3ag>{|9;>i6=4?:38153ee2j;h86st136a>5<72;09=;j=:b3`f>{|9;>i6=4?:38153b?2j;o=6st136a>5<72;09=;ji:b3g<>{|9;>i6=4?:38153c12j;oj6st136a>5<72;09=;kj:b3f3>{|9;>i6=4?:38153`22j;ni6st136a>5<72;09=;hm:b3e0>{|9;>i6=4?:38152652j;mn6st136a>5<72;09=:>6:b036>{|9;>i6=4?:38152762j8;m6st136a>5<72;09=:?7:b025>{|9;>i6=4?:381527b2j8:;6st136a>5<72;09=:<::b02a>{|9;>i6=4?:381524d2j8996st136a>5<72;09=:=;:b01`>{|9;>i6=4?:381525e2j8886st136a>5<72;09=::>:b00e>{|9;>i6=4?:381522?2j8?=6st136a>5<72;09=::i:b07<>{|9;>i6=4?:38152302j8><6st136a>5<72;09=:;j:b063>{|9;>i6=4?:38152032j8>h6st136a>5<72;09=:8m:b050>{|9;>i6=4?:38152152j8=n6st136a>5<72;09=:9n:b047>{|9;>i6=4?:38152>62j85<72;09=:68:b0;4>{|9;>i6=4?:38152>b2j83;6st136a>5<72;09=:7::b0;a>{|9;>i6=4?:38152?c2j82:6st136a>5<72;09=:o;:b0:`>{|9;>i6=4?:38152gf2j8j?6st136a>5<72;09=:l>:b0be>{|9;>i6=4?:38152d?2j8i=6st136a>5<72;09=:m?:b0a=>{|9;>i6=4?:38152e02j8h<6st136a>5<72;09=:mk:b0`2>{|9;>i6=4?:38152b32j8hh6st136a>5<72;09=:jm:b0g0>{|9;>i6=4?:38152c42j8oo6st136a>5<72;09=:kn:b0f7>{|9;>i6=4?:38152`52j8nn6st136a>5<72;09=:h6:b0e6>{|9;>i6=4?:38152`b2j8m;6st136a>5<72;09=5>9:b0eb>{|9;>i6=4?:3815=6c2j9;:6st136a>5<72;09=5?=:b13f>{|9;>i6=4?:3815=7f2j9:?6st136a>5<72;09=5<>:b12e>{|9;>i6=4?:3815=4>2j99>6st136a>5<72;09=5=?:b11=>{|9;>i6=4?:3815=5?2j98=6st136a>5<72;09=5=i:b10<>{|9;>i6=4?:3815=202j9?<6st136a>5<72;09=5:j:b173>{|9;>i6=4?:3815=312j9?j6st136a>5<72;09=5;k:b162>{|9;>i6=4?:3815=022j9>i6st136a>5<72;09=58l:b151>{|9;>i6=4?:3815=132j9=h6st136a>5<72;09=59m:b140>{|9;>i6=4?:3815=>52j95<72;09=56n:b1;7>{|9;>i6=4?:3815=?52j93n6st136a>5<72;09=57n:b1:7>{|9;>i6=4?:3815=g52j92n6st136a>5<72;09=5o8:b1b4>{|9;>i6=4?:3815=gb2j9j;6st136a>5<72;09=5l<:b1bg>{|9;>i6=4?:3815=df2j9i?6st136a>5<72;09=5m=:b1af>{|9;>i6=4?:3815=e>2j9h>6st136a>5<72;09=5mk:b1`2>{|9;>i6=4?:3815=b52j9hn6st136a>5<72;09=5j8:b1g4>{|9;>i6=4?:3815=bd2j9o96st136a>5<72;09=5k>:b1ge>{|9;>i6=4?:3815=c12j9oj6st136a>5<72;09=5km:b1f0>{|9;>i6=4?:3815=`72j9n56st136a>5<72;09=5h::b1fa>{|9;>i6=4?:3815=`f2j9m?6st136a>5<72;09=5hi:b1e<>{|9;>i6=4?:3815<632j9mh6st136a>5<72;09=4>6:b636>{|9;>i6=4?:3815<6b2j>;;6st136a>5<72;09=4?<:b63g>{|9;>i6=4?:3815<7?2j>:=6st136a>5<72;09=4{|9;>i6=4?:3815<4?2j>9=6st136a>5<72;09=4=?:b61=>{|9;>i6=4?:3815<522j>9i6st136a>5<72;09=4=k:b602>{|9;>i6=4?:3815<242j>8o6st136a>5<72;09=4:m:b670>{|9;>i6=4?:3815<342j>?o6st136a>5<72;09=4;m:b660>{|9;>i6=4?:3815<062j>>m6st136a>5<72;09=489:b66b>{|9;>i6=4?:3815<0b2j>=;6st136a>5<72;09=49=:b65f>{|9;>i6=4?:3815<1f2j>5<72;09=49i:b64<>{|9;>i6=4?:3815<>12j>5<72;09=46j:b6;3>{|9;>i6=4?:38153o6st136a>5<72;09=47n:b6:7>{|9;>i6=4?:38152n6st136a>5<72;09=4on:b6b7>{|9;>i6=4?:3815jn6st136a>5<72;09=4ln:b6a7>{|9;>i6=4?:3815in6st136a>5<72;09=4m8:b6`4>{|9;>i6=4?:3815h;6st136a>5<72;09=4j9:b6`b>{|9;>i6=4?:3815o86st136a>5<72;09=4k=:b6gf>{|9;>i6=4?:3815n?6st136a>5<72;09=4h=:b6ff>{|9;>i6=4?:3815<`f2j>m?6st136a>5<72;09=l>=:b6ef>{|9;>i6=4?:3815d6f2j?;?6st136a>5<72;09=l?=:b73f>{|9;>i6=4?:3815d7f2j?:?6st136a>5<72;09=l<=:b72f>{|9;>i6=4?:3815d4f2j?9?6st136a>5<72;09=l==:b71f>{|9;>i6=4?:3815d5f2j?8?6st136a>5<72;09=l:=:b70f>{|9;>i6=4?:3815d2f2j???6st136a>5<72;09=l;=:b77f>{|9;>i6=4?:3815d3f2j?>?6st136a>5<72;09=l;j:b763>{|9;>i6=4?:3815d012j?>j6st136a>5<72;09=l8j:b753>{|9;>i6=4?:3815d112j?=j6st136a>5<72;09=l9k:b742>{|9;>i6=4?:3815d>42j?5<72;09=l6m:b7;0>{|9;>i6=4?:3815d?52j?3n6st136a>5<72;09=l77:b7:5>{|9;>i6=4?:3815dg72j?256st136a>5<72;09=lo7:b7b5>{|9;>i6=4?:3815dgc2j?j:6st136a>5<72;09=ll;:b7b`>{|9;>i6=4?:3815dde2j?i86st136a>5<72;09=lm>:b7ae>{|9;>i6=4?:3815de?2j?h=6st136a>5<72;09=lmj:b7`3>{|9;>i6=4?:3815db22j?hi6st136a>5<72;09=ljm:b7g0>{|9;>i6=4?:3815dc52j?on6st136a>5<72;09=lk7:b7f5>{|9;>i6=4?:3815dca2j?n46st136a>5<72;09=lh::b7fa>{|9;>i6=4?:3815d`d2j?m96st136a>5<72;09=o>=:b7ef>{|9;>i6=4?:3815g6>2j<;>6st136a>5<72;09=o>i:b43<>{|9;>i6=4?:3815g712j<;j6st136a>5<72;09=o?l:b421>{|9;>i6=4?:3815g472j<:56st136a>5<72;09=o<7:b415>{|9;>i6=4?:3815g572j<956st136a>5<72;09=o=7:b405>{|9;>i6=4?:3815g272j<856st136a>5<72;09=o:7:b475>{|9;>i6=4?:3815g2c2j5<72;09=o;::b47a>{|9;>i6=4?:3815g3c2j<>:6st136a>5<72;09=o8;:b46`>{|9;>i6=4?:3815g0e2j<=86st136a>5<72;09=o9<:b45g>{|9;>i6=4?:3815g1e2j<<86st136a>5<72;09=o6=:b44f>{|9;>i6=4?:3815g>f2j<3?6st136a>5<72;09=o7>:b4;e>{|9;>i6=4?:3815g?>2j<2>6st136a>5<72;09=oo?:b4:=>{|9;>i6=4?:3815gg?2j5<72;09=ooi:b4b<>{|9;>i6=4?:3815gd02j5<72;09=olj:b4a3>{|9;>i6=4?:3815ge32j5<72;09=omm:b4`0>{|9;>i6=4?:3815gb42j5<72;09=ojn:b4g7>{|9;>i6=4?:3815gc62j5<72;09=ok6:b4f6>{|9;>i6=4?:3815g`72j5<72;09=oh7:b4e5>{|9;>i6=4?:3815g`a2j5<72;09=n>8:b534>{|9;>i6=4?:3815f6b2j=;;6st136a>5<72;09=n?9:b53b>{|9;>i6=4?:3815f7c2j=::6st136a>5<72;09=n<::b52a>{|9;>i6=4?:3815f4d2j=996st136a>5<72;09=n=;:b51`>{|9;>i6=4?:3815f5e2j=886st136a>5<72;09=n:?:b50=>{|9;>i6=4?:3815f2?2j=?=6st136a>5<72;09=n:i:b57<>{|9;>i6=4?:3815f302j=><6st136a>5<72;09=n;i:b56<>{|9;>i6=4?:3815f012j=>j6st136a>5<72;09=n8l:b551>{|9;>i6=4?:3815f132j==h6st136a>5<72;09=n9l:b541>{|9;>i6=4?:3815f>52j=5<72;09=n66:b5;6>{|9;>i6=4?:3815f?72j=356st136a>5<72;09=n77:b5:5>{|9;>i6=4?:3815fg72j=256st136a>5<72;09=no7:b5b5>{|9;>i6=4?:3815fgc2j=j:6st136a>5<72;09=nl>:b5be>{|9;>i6=4?:3815fd>2j=i>6st136a>5<72;09=nm>:b5ae>{|9;>i6=4?:3815fe>2j=h>6st136a>5<72;09=nj>:b5`e>{|9;>i6=4?:3815fb12j=hj6st136a>5<72;09=njj:b5g3>{|9;>i6=4?:3815fc12j=oj6st136a>5<72;09=nkk:b5f2>{|9;>i6=4?:3815f`32j=nh6st136a>5<72;09=nhl:b5e1>{|9;>i6=4?:3815a632j=mh6st136a>5<72;09=i>m:b:30>{|9;>i6=4?:3815a742j2;o6st136a>5<72;09=i?n:b:27>{|9;>i6=4?:3815a452j2:n6st136a>5<72;09=i<6:b:16>{|9;>i6=4?:3815a562j29m6st136a>5<72;09=i=7:b:05>{|9;>i6=4?:3815a272j2856st136a>5<72;09=i:8:b:74>{|9;>i6=4?:3815a2c2j2?:6st136a>5<72;09=i;;:b:7`>{|9;>i6=4?:3815a3d2j2>96st136a>5<72;09=i8<:b:6g>{|9;>i6=4?:3815a0f2j2=?6st136a>5<72;09=i9=:b:5f>{|9;>i6=4?:3815a1>2j2<>6st136a>5<72;09=i6>:b:4e>{|9;>i6=4?:3815a>?2j23=6st136a>5<72;09=i7?:b:;=>{|9;>i6=4?:3815a?02j22<6st136a>5<72;09=i7i:b::<>{|9;>i6=4?:3815ag12j22j6st136a>5<72;09=ioj:b:b3>{|9;>i6=4?:3815ad22j2ji6st136a>5<72;09=ilk:b:a2>{|9;>i6=4?:3815ae32j2ih6st136a>5<72;09=im6:b:`6>{|9;>i6=4?:3815ab62j2hm6st136a>5<72;09=ij7:b:g5>{|9;>i6=4?:3815ac72j2o56st136a>5<72;09=ik7:b:f5>{|9;>i6=4?:3815aca2j2n46st136a>5<72;09=ih::b:fa>{|9;>i6=4?:3815a`c2j2m:6st136a>5<72;09=h>::b:ea>{|9;>i6=4?:3815`6d2j3;96st136a>5<72;09=h?=:b;3f>{|9;>i6=4?:3815`7>2j3:>6st136a>5<72;09=h{|9;>i6=4?:3815`4?2j39=6st136a>5<72;09=h=?:b;1=>{|9;>i6=4?:3815`5?2j38=6st136a>5<72;09=h=k:b;02>{|9;>i6=4?:3815`222j38i6st136a>5<72;09=h:6:b;76>{|9;>i6=4?:3815`362j3?m6st136a>5<72;09=h;6:b;66>{|9;>i6=4?:3815`062j3>m6st136a>5<72;09=h86:b;56>{|9;>i6=4?:3815`0b2j3=;6st136a>5<72;09=h99:b;5b>{|9;>i6=4?:3815`1b2j3<;6st136a>5<72;09=h6::b;4a>{|9;>i6=4?:3815`>d2j3396st136a>5<72;09=h7;:b;;`>{|9;>i6=4?:3815`?d2j3296st136a>5<72;09=ho<:b;:g>{|9;>i6=4?:3815`ge2j3j86st136a>5<72;09=hl=:b;bf>{|9;>i6=4?:3815`df2j3i?6st136a>5<72;09=hm>:b;ae>{|9;>i6=4?:3815`e>2j3h>6st136a>5<72;09=hj?:b;`=>{|9;>i6=4?:3815`b?2j3o=6st136a>5<72;09=hji:b;g<>{|9;>i6=4?:3815`c22j3oi6st136a>5<72;09=hkl:b;f1>{|9;>i6=4?:3815``32j3nh6st136a>5<72;09=hhm:b;e0>{|9;>i6=4?:3815c652j3mn6st136a>5<72;09=k>n:bc37>{|9;>i6=4?:3815c762jk;m6st136a>5<72;09=k?6:bc26>{|9;>i6=4?:3815c472jk:56st136a>5<72;09=k<7:bc15>{|9;>i6=4?:3815c4a2jk946st136a>5<72;09=k=8:bc04>{|9;>i6=4?:3815c5b2jk8;6st136a>5<72;09=k:9:bc0b>{|9;>i6=4?:3815c2c2jk?:6st136a>5<72;09=k;::bc7a>{|9;>i6=4?:3815c3d2jk>96st136a>5<72;09=k8>:bc6e>{|9;>i6=4?:3815c0>2jk=>6st136a>5<72;09=k9?:bc5=>{|9;>i6=4?:3815c1?2jk<=6st136a>5<72;09=k6?:bc4=>{|9;>i6=4?:3815c>02jk3<6st136a>5<72;09=k6k:bc;2>{|9;>i6=4?:3815c?22jk3i6st136a>5<72;09=k7k:bc:2>{|9;>i6=4?:3815cg32jk2h6st136a>5<72;09=kon:bcb7>{|9;>i6=4?:3815cd62jkjm6st136a>5<72;09=kl7:bca5>{|9;>i6=4?:3815ce72jki56st136a>5<72;09=km7:bc`5>{|9;>i6=4?:3815cb72jkh56st136a>5<72;09=kj::bc`a>{|9;>i6=4?:3815cbc2jko:6st136a>5<72;09=kk>:bcge>{|9;>i6=4?:3815cc>2jkn>6st136a>5<72;09=kkl:bcf1>{|9;>i6=4?:3815cca2jknh6st136a>5<72;09=kh=:bce4>{|9;>i6=4?:3815c`12jkmh6st136a>5<72;09=kh6:b`34>{|9;>i6=4?:3815c`c2jh;h6st136a>5<72;09>=>?:b`24>{|9;>i6=4?:38165632jh:h6st136a>5<72;09>=>8:b`14>{|9;>i6=4?:381656e2jh9h6st136a>5<72;09>=>j:b`04>{|9;>i6=4?:38165752jh8h6st136a>5<72;09>=?::b`74>{|9;>i6=4?:381657>2jh?h6st136a>5<72;09>=?l:b`64>{|9;>i6=4?:38165472jh>h6st136a>5<72;09>=<<:b`54>{|9;>i6=4?:38165402jh=h6st136a>5<72;09>={|9;>i6=4?:381654b2jh5<72;09>==>:b`;4>{|9;>i6=4?:38165522jh3h6st136a>5<72;09>==7:b`:4>{|9;>i6=4?:381655d2jh2h6st136a>5<72;09>==i:b`b4>{|9;>i6=4?:38165242jhjh6st136a>5<72;09>=:9:b`a4>{|9;>i6=4?:381652f2jhih6st136a>5<72;09>=:k:b``4>{|9;>i6=4?:38165362jhhh6st136a>5<72;09>=;;:b`g4>{|9;>i6=4?:381653?2jhoh6st136a>5<72;09>=;m:b`f4>{|9;>i6=4?:381653a2jhn46st136a>5<72;09>=8=:b`ff>{|9;>i6=4?:38165022jhni6st136a>5<72;09>=87:b`e5>{|9;>i6=4?:381650e2jhm86st136a>5<72;09>=8j:b`e3>{|9;>i6=4?:38165162jhmm6st136a>5<72;09>=9;:b`e`>{|9;>i6=4?:38165102ji;<6st136a>5<72;09>=9n:ba37>{|9;>i6=4?:381651c2ji;:6st136a>5<72;09>=6?:ba3=>{|9;>i6=4?:38165>42ji;o6st136a>5<72;09>=69:ba3b>{|9;>i6=4?:38165>>2ji:>6st136a>5<72;09>=6l:ba21>{|9;>i6=4?:38165>a2ji:46st136a>5<72;09>=7=:ba2f>{|9;>i6=4?:38165?22ji:i6st136a>5<72;09>=77:ba15>{|9;>i6=4?:38165?e2ji986st136a>5<72;09>=7j:ba13>{|9;>i6=4?:38165g62ji9m6st136a>5<72;09>=o;:ba1`>{|9;>i6=4?:38165ge2ji8:6st136a>5<72;09>=l=:ba0`>{|9;>i6=4?:38165d>2ji?86st136a>5<72;09>=m?:ba7f>{|9;>i6=4?:38165e02ji>>6st136a>5<72;09>=mj:ba6=>{|9;>i6=4?:38165b22ji=<6st136a>5<72;09>=jl:ba53>{|9;>i6=4?:38165c42ji<<6st136a>5<72;09>=k8:ba40>{|9;>i6=4?:38165cb2ji5<72;09>=h::ba;2>{|9;>i6=4?:38165`d2ji3j6st136a>5<72;09><><:ba:<>{|9;>i6=4?:381646f2jij=6st136a>5<72;09>:babe>{|9;>i6=4?:381647?2jii?6st136a>5<72;09>{|9;>i6=4?:38164412jih96st136a>5<72;09><{|9;>i6=4?:38164532jio;6st136a>5<72;09><=m:baf4>{|9;>i6=4?:38164252jin56st136a>5<72;09><:6:bae6>{|9;>i6=4?:38164372jimn6st136a>5<72;09><;;:baeb>{|9;>i6=4?:381643e2jn;46st136a>5<72;09><8=:bf25>{|9;>i6=4?:381640>2jn:m6st136a>5<72;09><8k:bf2a>{|9;>i6=4?:38164132jn9;6st136a>5<72;09><97:bf1f>{|9;>i6=4?:381641a2jn886st136a>5<72;09><6<:bf0<>{|9;>i6=4?:38164>f2jn?=6st136a>5<72;09><6j:bf71>{|9;>i6=4?:38164?22jn?i6st136a>5<72;09><76:bf66>{|9;>i6=4?:38164g72jn>n6st136a>5<72;09>{|9;>i6=4?:38164ge2jn=46st136a>5<72;09>{|9;>i6=4?:38164d12jn<96st136a>5<72;09>{|9;>i6=4?:38164e62jn3<6st136a>5<72;09>{|9;>i6=4?:38164ea2jn3i6st136a>5<72;09>{|9;>i6=4?:38164bc2jn2o6st136a>5<72;09>:bfb4>{|9;>i6=4?:38164c22jnj86st136a>5<72;09>{|9;>i6=4?:38164cc2jnjo6st136a>5<72;09>:bfa4>{|9;>i6=4?:38164`22jni86st136a>5<72;09>{|9;>i6=4?:38164`c2jnio6st136a>5<72;09>?>>:bf`4>{|9;>i6=4?:38167622jnh86st136a>5<72;09>?>6:bf`<>{|9;>i6=4?:381676c2jnho6st136a>5<72;09>??>:bfg4>{|9;>i6=4?:38167722jno86st136a>5<72;09>??6:bfg<>{|9;>i6=4?:381677c2jnoo6st136a>5<72;09>?<>:bff4>{|9;>i6=4?:38167422m;346st136a>5<72;09>?{|9;>i6=4?:38167562m;286st136a>5<72;09>?=7:e3:f>{|9;>i6=4?:381675a2m;j>6st136a>5<72;09>?:9:e3b=>{|9;>i6=4?:381672b2m;i=6st136a>5<72;09>?;9:e3a=>{|9;>i6=4?:381673d2m;ij6st136a>5<72;09>?8<:e3`2>{|9;>i6=4?:381670f2m;hh6st136a>5<72;09>?9>:e3g0>{|9;>i6=4?:381671?2m;on6st136a>5<72;09>?6?:e3f7>{|9;>i6=4?:38167>?2m;nn6st136a>5<72;09>?7?:e3e7>{|9;>i6=4?:38167??2m;mn6st136a>5<72;09>?o?:e037>{|9;>i6=4?:38167g?2m8;n6st136a>5<72;09>?l?:e027>{|9;>i6=4?:38167d02m8:m6st136a>5<72;09>?lj:e015>{|9;>i6=4?:38167e22m8946st136a>5<72;09>?ml:e01b>{|9;>i6=4?:38167b42m88:6st136a>5<72;09>?jn:e00`>{|9;>i6=4?:38167c62m8?86st136a>5<72;09>?k7:e07f>{|9;>i6=4?:38167ca2m8>>6st136a>5<72;09>?h::e06<>{|9;>i6=4?:38167`e2m8>i6st136a>5<72;09>>>>:e050>{|9;>i6=4?:38166602m8=m6st136a>5<72;09>>>k:e044>{|9;>i6=4?:38166742m8<:6st136a>5<72;09>>?8:e04e>{|9;>i6=4?:381667a2m83>6st136a>5<72;09>><8:e0;e>{|9;>i6=4?:381664b2m82=6st136a>5<72;09>>=<:e0:2>{|9;>i6=4?:381665e2m82i6st136a>5<72;09>>=i:e0b6>{|9;>i6=4?:38166232m8j;6st136a>5<72;09>>:l:e0bb>{|9;>i6=4?:38166362m8i86st136a>5<72;09>>;6:e0ag>{|9;>i6=4?:38166062m8h86st136a>5<72;09>>86:e0`g>{|9;>i6=4?:38166162m8o86st136a>5<72;09>>96:e0gg>{|9;>i6=4?:38166>62m8n86st136a>5<72;09>>66:e0fg>{|9;>i6=4?:38166?62m8m86st136a>5<72;09>>77:e0ef>{|9;>i6=4?:38166?a2m9;>6st136a>5<72;09>>o9:e13=>{|9;>i6=4?:38166gc2m9:<6st136a>5<72;09>>l;:e123>{|9;>i6=4?:38166de2m9:i6st136a>5<72;09>>m<:e112>{|9;>i6=4?:38166ee2m99i6st136a>5<72;09>>j<:e102>{|9;>i6=4?:38166be2m98i6st136a>5<72;09>>k<:e172>{|9;>i6=4?:38166c?2m9?n6st136a>5<72;09>>h?:e167>{|9;>i6=4?:38166`?2m9>n6st136a>5<72;09>9>?:e157>{|9;>i6=4?:381616?2m9=n6st136a>5<72;09>9??:e147>{|9;>i6=4?:381617?2m95<72;09>9{|9;>i6=4?:381614?2m93n6st136a>5<72;09>9=?:e1:7>{|9;>i6=4?:381615?2m92n6st136a>5<72;09>9:?:e1b7>{|9;>i6=4?:381612?2m9jn6st136a>5<72;09>9;?:e1a7>{|9;>i6=4?:381613?2m9in6st136a>5<72;09>98?:e1`7>{|9;>i6=4?:38161012m9h56st136a>5<72;09>98l:e1`b>{|9;>i6=4?:38161152m9o96st136a>5<72;09>997:e1gf>{|9;>i6=4?:381611b2m9n=6st136a>5<72;09>96;:e1f3>{|9;>i6=4?:38161>f2m9nh6st136a>5<72;09>97?:e1e7>{|9;>i6=4?:38161?12m9m56st136a>5<72;09>97l:e1eb>{|9;>i6=4?:38161g52m>;96st136a>5<72;09>9o7:e63f>{|9;>i6=4?:38161gb2m>:=6st136a>5<72;09>9l;:e623>{|9;>i6=4?:38161df2m>:h6st136a>5<72;09>9m?:e617>{|9;>i6=4?:38161e?2m>9n6st136a>5<72;09>9j?:e607>{|9;>i6=4?:38161b?2m>8n6st136a>5<72;09>9k?:e677>{|9;>i6=4?:38161c?2m>?n6st136a>5<72;09>9ki:e666>{|9;>i6=4?:38161`42m>>:6st136a>5<72;09>9hm:e66a>{|9;>i6=4?:38160672m>=?6st136a>5<72;09>8>7:e65f>{|9;>i6=4?:381606c2m><<6st136a>5<72;09>8?::e64<>{|9;>i6=4?:381607f2m>5<72;09>8<=:e6;1>{|9;>i6=4?:38160402m>3m6st136a>5<72;09>8{|9;>i6=4?:38160532m>2;6st136a>5<72;09>8=l:e6:b>{|9;>i6=4?:38160262m>j86st136a>5<72;09>8:6:e6bg>{|9;>i6=4?:381602b2m>i=6st136a>5<72;09>8;9:e6a=>{|9;>i6=4?:381603e2m>ii6st136a>5<72;09>88<:e6`2>{|9;>i6=4?:381600e2m>hi6st136a>5<72;09>89<:e6g2>{|9;>i6=4?:381601f2m>oh6st136a>5<72;09>86>:e6f0>{|9;>i6=4?:38160>?2m>nn6st136a>5<72;09>86j:e6e5>{|9;>i6=4?:38160?32m>m;6st136a>5<72;09>87n:e6e`>{|9;>i6=4?:38160g72m?;?6st136a>5<72;09>8o9:e73=>{|9;>i6=4?:38160gd2m?;j6st136a>5<72;09>8l=:e721>{|9;>i6=4?:38160d?2m?:n6st136a>5<72;09>8lj:e715>{|9;>i6=4?:38160e32m?9;6st136a>5<72;09>8mn:e71`>{|9;>i6=4?:38160b72m?8?6st136a>5<72;09>8j9:e70=>{|9;>i6=4?:38160bd2m?8j6st136a>5<72;09>8k=:e771>{|9;>i6=4?:38160c?2m??n6st136a>5<72;09>8kj:e765>{|9;>i6=4?:38160`32m?>;6st136a>5<72;09>8hn:e76`>{|9;>i6=4?:38163672m?=?6st136a>5<72;09>;>9:e75=>{|9;>i6=4?:381636d2m?=j6st136a>5<72;09>;?=:e741>{|9;>i6=4?:381637?2m?5<72;09>;?j:e7;5>{|9;>i6=4?:38163432m?3;6st136a>5<72;09>;{|9;>i6=4?:38163572m?2?6st136a>5<72;09>;=9:e7:=>{|9;>i6=4?:381635d2m?2j6st136a>5<72;09>;:=:e7b1>{|9;>i6=4?:381632?2m?jn6st136a>5<72;09>;:j:e7a5>{|9;>i6=4?:38163332m?i;6st136a>5<72;09>;;n:e7a`>{|9;>i6=4?:38163072m?h?6st136a>5<72;09>;8;:e7`3>{|9;>i6=4?:381630d2m?hj6st136a>5<72;09>;9;:e7g3>{|9;>i6=4?:381631d2m?oj6st136a>5<72;09>;6;:e7f3>{|9;>i6=4?:38163>d2m?nj6st136a>5<72;09>;7;:e7e3>{|9;>i6=4?:38163?d2m?mj6st136a>5<72;09>;o;:e433>{|9;>i6=4?:38163gd2m<;j6st136a>5<72;09>;l;:e423>{|9;>i6=4?:38163dd2m<:j6st136a>5<72;09>;m;:e413>{|9;>i6=4?:38163e>2m<9o6st136a>5<72;09>;mj:e405>{|9;>i6=4?:38163b42m<8:6st136a>5<72;09>;j7:e40f>{|9;>i6=4?:38163bc2m5<72;09>;k=:e471>{|9;>i6=4?:38163c02m5<72;09>;kl:e47b>{|9;>i6=4?:38163`62m<>86st136a>5<72;09>;h9:e46=>{|9;>i6=4?:38163`e2m<>i6st136a>5<72;09>:>?:e457>{|9;>i6=4?:38162622m<=46st136a>5<72;09>:>n:e45`>{|9;>i6=4?:381626a2m<<>6st136a>5<72;09>:?::e44<>{|9;>i6=4?:381627e2m<5<72;09>:<>:e4;0>{|9;>i6=4?:38162402m<3m6st136a>5<72;09>:{|9;>i6=4?:38162542m<2:6st136a>5<72;09>:=6:e4:g>{|9;>i6=4?:381625a2m6st136a>5<72;09>::::e4b<>{|9;>i6=4?:381622e2m5<72;09>:;>:e4a0>{|9;>i6=4?:38162302m5<72;09>:;k:e4`4>{|9;>i6=4?:38162042m5<72;09>:86:e4`g>{|9;>i6=4?:381620a2m6st136a>5<72;09>:9::e4g<>{|9;>i6=4?:381621e2m5<72;09>:6>:e4f0>{|9;>i6=4?:38162>02m5<72;09>:6k:e4e4>{|9;>i6=4?:38162?42m5<72;09>:76:e4eg>{|9;>i6=4?:38162?a2m=;>6st136a>5<72;09>:o::e53<>{|9;>i6=4?:38162ge2m=;i6st136a>5<72;09>:l>:e520>{|9;>i6=4?:38162d02m=:m6st136a>5<72;09>:lk:e514>{|9;>i6=4?:38162e42m=9:6st136a>5<72;09>:m6:e51g>{|9;>i6=4?:38162ea2m=8>6st136a>5<72;09>:j9:e50=>{|9;>i6=4?:38162bb2m=?=6st136a>5<72;09>:k9:e57=>{|9;>i6=4?:38162cb2m=>=6st136a>5<72;09>:h::e56<>{|9;>i6=4?:38162`e2m=>i6st136a>5<72;09>5><:e552>{|9;>i6=4?:3816=6?2m==n6st136a>5<72;09>5??:e547>{|9;>i6=4?:3816=702m=5<72;09>5?j:e5;5>{|9;>i6=4?:3816=432m=3;6st136a>5<72;09>5{|9;>i6=4?:3816=532m=2;6st136a>5<72;09>5=7:e5:f>{|9;>i6=4?:3816=272m=j?6st136a>5<72;09>5:8:e5be>{|9;>i6=4?:3816=2a2m=i>6st136a>5<72;09>5;8:e5ae>{|9;>i6=4?:3816=3a2m=h>6st136a>5<72;09>588:e5`e>{|9;>i6=4?:3816=0a2m=o>6st136a>5<72;09>598:e5ge>{|9;>i6=4?:3816=1a2m=n>6st136a>5<72;09>569:e5f=>{|9;>i6=4?:3816=>b2m=m=6st136a>5<72;09>579:e5e=>{|9;>i6=4?:3816=?c2m2;<6st136a>5<72;09>5o<:e:32>{|9;>i6=4?:3816=ge2m2;i6st136a>5<72;09>5l<:e:22>{|9;>i6=4?:3816=de2m2:i6st136a>5<72;09>5m<:e:12>{|9;>i6=4?:3816=ef2m29h6st136a>5<72;09>5j>:e:00>{|9;>i6=4?:3816=b?2m28n6st136a>5<72;09>5k?:e:77>{|9;>i6=4?:3816=c02m2?m6st136a>5<72;09>5kj:e:65>{|9;>i6=4?:3816=`12m2>56st136a>5<72;09>5hk:e:54>{|9;>i6=4?:3816<622m2=46st136a>5<72;09>4>k:e:44>{|9;>i6=4?:3816<722m2<46st136a>5<72;09>4?k:e:;4>{|9;>i6=4?:3816<422m2346st136a>5<72;09>4{|9;>i6=4?:3816<522m2246st136a>5<72;09>4=k:e:b4>{|9;>i6=4?:3816<222m2j46st136a>5<72;09>4:m:e:ba>{|9;>i6=4?:3816<352m2i96st136a>5<72;09>4;6:e:ag>{|9;>i6=4?:3816<062m2h86st136a>5<72;09>488:e:`e>{|9;>i6=4?:3816<0a2m2o>6st136a>5<72;09>49::e:g<>{|9;>i6=4?:3816<1e2m2oi6st136a>5<72;09>46>:e:f0>{|9;>i6=4?:3816<>?2m2nn6st136a>5<72;09>46j:e:e5>{|9;>i6=4?:38165<72;09>47j:e;35>{|9;>i6=4?:38165<72;09>4oj:e;25>{|9;>i6=4?:38165<72;09>4lj:e;15>{|9;>i6=4?:38165<72;09>4mj:e;05>{|9;>i6=4?:38165<72;09>4jj:e;75>{|9;>i6=4?:38165<72;09>4kj:e;65>{|9;>i6=4?:3816<`12m3>56st136a>5<72;09>4hj:e;55>{|9;>i6=4?:3816d612m3=56st136a>5<72;09>l>l:e;5b>{|9;>i6=4?:3816d752m3<96st136a>5<72;09>l?7:e;4f>{|9;>i6=4?:3816d472m33?6st136a>5<72;09>l<7:e;;f>{|9;>i6=4?:3816d572m32?6st136a>5<72;09>l=7:e;:f>{|9;>i6=4?:3816d272m3j?6st136a>5<72;09>l:7:e;bf>{|9;>i6=4?:3816d372m3i?6st136a>5<72;09>l;8:e;ae>{|9;>i6=4?:3816d3b2m3h=6st136a>5<72;09>l8::e;`<>{|9;>i6=4?:3816d0d2m3hj6st136a>5<72;09>l9<:e;g2>{|9;>i6=4?:3816d1f2m3oh6st136a>5<72;09>l6>:e;f0>{|9;>i6=4?:3816d>?2m3nn6st136a>5<72;09>l6i:e;e6>{|9;>i6=4?:3816d?02m3mm6st136a>5<72;09>l7k:ec34>{|9;>i6=4?:3816dg42mk;:6st136a>5<72;09>lom:ec3a>{|9;>i6=4?:3816dd52mk:96st136a>5<72;09>ll7:ec2f>{|9;>i6=4?:3816ddb2mk9=6st136a>5<72;09>lm;:ec13>{|9;>i6=4?:3816def2mk9h6st136a>5<72;09>lj=:ec01>{|9;>i6=4?:3816db?2mk8n6st136a>5<72;09>ljj:ec75>{|9;>i6=4?:3816dc22mk?46st136a>5<72;09>lkm:ec7a>{|9;>i6=4?:3816d`62mk>86st136a>5<72;09>lh8:ec6e>{|9;>i6=4?:3816d`a2mk=>6st136a>5<72;09>o>8:ec5e>{|9;>i6=4?:3816g6a2mk<>6st136a>5<72;09>o?8:ec4e>{|9;>i6=4?:3816g7a2mk3>6st136a>5<72;09>o<::ec;<>{|9;>i6=4?:3816g4e2mk3i6st136a>5<72;09>o=?:ec:7>{|9;>i6=4?:3816g522mk246st136a>5<72;09>o=n:ec:`>{|9;>i6=4?:3816g272mkj?6st136a>5<72;09>o:7:ecbf>{|9;>i6=4?:3816g2b2mki=6st136a>5<72;09>o;;:eca3>{|9;>i6=4?:3816g3f2mkih6st136a>5<72;09>o;i:ec`6>{|9;>i6=4?:3816g002mkhm6st136a>5<72;09>o8j:ecg5>{|9;>i6=4?:3816g142mko:6st136a>5<72;09>o97:ecgf>{|9;>i6=4?:3816g1c2mkn<6st136a>5<72;09>o6=:ecf1>{|9;>i6=4?:3816g>02mknm6st136a>5<72;09>o6l:ecfb>{|9;>i6=4?:3816g?62mkm86st136a>5<72;09>o79:ece=>{|9;>i6=4?:3816g?d2mkmj6st136a>5<72;09>oo>:e`30>{|9;>i6=4?:3816gg12mh;56st136a>5<72;09>oom:e`3a>{|9;>i6=4?:3816gd72mh:?6st136a>5<72;09>ol::e`2<>{|9;>i6=4?:3816gdf2mh:h6st136a>5<72;09>oli:e`16>{|9;>i6=4?:3816ge32mh9;6st136a>5<72;09>om6:e`1g>{|9;>i6=4?:3816geb2mh8=6st136a>5<72;09>oj;:e`03>{|9;>i6=4?:3816gb>2mh8o6st136a>5<72;09>ojj:e`75>{|9;>i6=4?:3816gc42mh?:6st136a>5<72;09>ok7:e`7f>{|9;>i6=4?:3816gcc2mh><6st136a>5<72;09>oh=:e`61>{|9;>i6=4?:3816g`02mh>m6st136a>5<72;09>ohl:e`6b>{|9;>i6=4?:3816f662mh=86st136a>5<72;09>n>9:e`5=>{|9;>i6=4?:3816f6e2mh=i6st136a>5<72;09>n??:e`47>{|9;>i6=4?:3816f722mh<46st136a>5<72;09>n?n:e`4`>{|9;>i6=4?:3816f7a2mh3>6st136a>5<72;09>n<;:e`;3>{|9;>i6=4?:3816f4>2mh3o6st136a>5<72;09>n{|9;>i6=4?:3816f542mh2:6st136a>5<72;09>n=7:e`:f>{|9;>i6=4?:3816f5c2mhj<6st136a>5<72;09>n:=:e`b1>{|9;>i6=4?:3816f202mhjm6st136a>5<72;09>n:l:e`bb>{|9;>i6=4?:3816f342mhi:6st136a>5<72;09>n;7:e`af>{|9;>i6=4?:3816f3c2mhh<6st136a>5<72;09>n8=:e``1>{|9;>i6=4?:3816f002mhhm6st136a>5<72;09>n8l:e``b>{|9;>i6=4?:3816f162mho86st136a>5<72;09>n99:e`g=>{|9;>i6=4?:3816f1e2mhoi6st136a>5<72;09>n6?:e`f7>{|9;>i6=4?:3816f>22mhn46st136a>5<72;09>n6n:e`f`>{|9;>i6=4?:3816f>a2mhm>6st136a>5<72;09>n7;:e`e3>{|9;>i6=4?:3816f?>2mhmo6st136a>5<72;09>n7j:ea35>{|9;>i6=4?:3816fg12mi;56st136a>5<72;09>noj:ea25>{|9;>i6=4?:3816fd12mi:56st136a>5<72;09>nlj:ea15>{|9;>i6=4?:3816fe12mi956st136a>5<72;09>nmj:ea05>{|9;>i6=4?:3816fb12mi856st136a>5<72;09>njj:ea75>{|9;>i6=4?:3816fc12mi?56st136a>5<72;09>nkk:ea64>{|9;>i6=4?:3816f`32mi>;6st136a>5<72;09>nhl:ea6b>{|9;>i6=4?:3816a642mi=:6st136a>5<72;09>i>7:ea5f>{|9;>i6=4?:3816a772mi5<72;09>i?7:ea4f>{|9;>i6=4?:3816a472mi3?6st136a>5<72;09>i<7:ea;f>{|9;>i6=4?:3816a572mi2?6st136a>5<72;09>i=8:ea:e>{|9;>i6=4?:3816a5b2mij=6st136a>5<72;09>i:9:eab=>{|9;>i6=4?:3816a2b2mii=6st136a>5<72;09>i;9:eaa=>{|9;>i6=4?:3816a3b2mih=6st136a>5<72;09>i89:ea`=>{|9;>i6=4?:3816a0b2mio=6st136a>5<72;09>i99:eag=>{|9;>i6=4?:3816a1b2min=6st136a>5<72;09>i69:eaf=>{|9;>i6=4?:3816a>b2mim=6st136a>5<72;09>i79:eae=>{|9;>i6=4?:3816a?b2mn;=6st136a>5<72;09>io9:ef3=>{|9;>i6=4?:3816agb2mn:=6st136a>5<72;09>il9:ef2=>{|9;>i6=4?:3816adb2mn9=6st136a>5<72;09>im9:ef1=>{|9;>i6=4?:3816aee2mn9i6st136a>5<72;09>ij<:ef02>{|9;>i6=4?:3816abe2mn8i6st136a>5<72;09>ik<:ef72>{|9;>i6=4?:3816ace2mn?i6st136a>5<72;09>ih<:ef62>{|9;>i6=4?:3816a`e2mn>i6st136a>5<72;09>h><:ef52>{|9;>i6=4?:3816`6f2mn=h6st136a>5<72;09>h??:ef47>{|9;>i6=4?:3816`7?2mn5<72;09>h?j:ef;5>{|9;>i6=4?:3816`422mn346st136a>5<72;09>h{|9;>i6=4?:3816`552mn296st136a>5<72;09>h=8:ef:e>{|9;>i6=4?:3816`5c2mnj<6st136a>5<72;09>h:<:efb2>{|9;>i6=4?:3816`2?2mnjn6st136a>5<72;09>h:i:efa6>{|9;>i6=4?:3816`312mni56st136a>5<72;09>h;k:ef`4>{|9;>i6=4?:3816`022mnh46st136a>5<72;09>h8n:ef``>{|9;>i6=4?:3816`0a2mno>6st136a>5<72;09>h9;:efg3>{|9;>i6=4?:3816`1d2mnoj6st136a>5<72;09>h6;:eff3>{|9;>i6=4?:3816`>d2mnnj6st136a>5<72;09>h7;:efe3>{|9;>i6=4?:3816`?d2mnmj6st136a>5<72;09>ho;:eg33>{|9;>i6=4?:3816`gd2mo;j6st136a>5<72;09>hl;:eg23>{|9;>i6=4?:3816`de2mo:i6st136a>5<72;09>hm=:eg11>{|9;>i6=4?:3816`e>2mo9o6st136a>5<72;09>hj?:eg07>{|9;>i6=4?:3816`b02mo8m6st136a>5<72;09>hjj:eg75>{|9;>i6=4?:3816`c22mo?46st136a>5<72;09>hkl:eg7b>{|9;>i6=4?:3816``42mo>:6st136a>5<72;09>hhm:eg6a>{|9;>i6=4?:3816c662mo=86st136a>5<72;09>k>6:eg5g>{|9;>i6=4?:3816c762mo<86st136a>5<72;09>k?6:eg4g>{|9;>i6=4?:3816c7a2mo3>6st136a>5<72;09>k<;:eg;3>{|9;>i6=4?:3816c4d2mo3j6st136a>5<72;09>k=>:eg:0>{|9;>i6=4?:3816c5>2mo2o6st136a>5<72;09>k:?:egb7>{|9;>i6=4?:3816c2?2mojn6st136a>5<72;09>k;?:ega7>{|9;>i6=4?:3816c312moi56st136a>5<72;09>k;j:eg`5>{|9;>i6=4?:3816c042moh:6st136a>5<72;09>k86:eg`g>{|9;>i6=4?:3816c162moo86st136a>5<72;09>k98:egge>{|9;>i6=4?:3816c1a2mon>6st136a>5<72;09>k68:egfe>{|9;>i6=4?:3816c>b2mom=6st136a>5<72;09>k7<:ege2>{|9;>i6=4?:3816c?e2momi6st136a>5<72;09>ko<:ed32>{|9;>i6=4?:3816cge2ml;i6st136a>5<72;09>kl=:ed21>{|9;>i6=4?:3816cd>2ml:o6st136a>5<72;09>km>:ed10>{|9;>i6=4?:3816ce>2ml9o6st136a>5<72;09>kj>:ed00>{|9;>i6=4?:3816cb>2ml8o6st136a>5<72;09>kji:ed76>{|9;>i6=4?:3816cc22ml?46st136a>5<72;09>kkm:ed7a>{|9;>i6=4?:3816c`62ml>86st136a>5<72;09>kh8:ed6e>{|9;>i6=4?:3816c`c2ml=<6st136a>5<72;09?=><:ed52>{|9;>i6=4?:381756>2ml=o6st136a>5<72;09?=?>:ed40>{|9;>i6=4?:38175702ml5<72;09?=?k:ed;4>{|9;>i6=4?:38175442ml3:6st136a>5<72;09?=<6:ed;g>{|9;>i6=4?:381754a2ml2>6st136a>5<72;09?==::ed:<>{|9;>i6=4?:381755e2ml2i6st136a>5<72;09?=:>:edb0>{|9;>i6=4?:38175202mljm6st136a>5<72;09?=:k:eda4>{|9;>i6=4?:38175342mli:6st136a>5<72;09?=;6:edag>{|9;>i6=4?:381753a2mlh>6st136a>5<72;09?=8::ed`<>{|9;>i6=4?:381750c2mlo<6st136a>5<72;09?=9::edg<>{|9;>i6=4?:381751c2mln<6st136a>5<72;09?=6::edf<>{|9;>i6=4?:38175>d2mlnj6st136a>5<72;09?=7<:ede2>{|9;>i6=4?:38175?e2mlmi6st136a>5<72;09?=o<:d232>{|9;>i6=4?:38175ge2l:;i6st136a>5<72;09?=l<:d222>{|9;>i6=4?:38175de2l::i6st136a>5<72;09?=m>:d210>{|9;>i6=4?:38175e?2l:9n6st136a>5<72;09?=j?:d207>{|9;>i6=4?:38175b02l:8m6st136a>5<72;09?=ji:d276>{|9;>i6=4?:38175c12l:?56st136a>5<72;09?=kj:d265>{|9;>i6=4?:38175`22l:>46st136a>5<72;09?=hk:d254>{|9;>i6=4?:38174632l:=;6st136a>5<72;09?<>l:d25b>{|9;>i6=4?:38174752l:<96st136a>5<72;09?{|9;>i6=4?:381747b2l:3=6st136a>5<72;09?<<;:d2;3>{|9;>i6=4?:381744f2l:3h6st136a>5<72;09?<==:d2:1>{|9;>i6=4?:381745f2l:2h6st136a>5<72;09?<:=:d2b1>{|9;>i6=4?:381742f2l:jh6st136a>5<72;09?<;=:d2a1>{|9;>i6=4?:381743f2l:ih6st136a>5<72;09?<8?:d2`7>{|9;>i6=4?:38174012l:h56st136a>5<72;09?<8l:d2`b>{|9;>i6=4?:38174152l:o96st136a>5<72;09?<97:d2gf>{|9;>i6=4?:381741b2l:n=6st136a>5<72;09?<6;:d2f3>{|9;>i6=4?:38174>f2l:nh6st136a>5<72;09?<7?:d2e7>{|9;>i6=4?:38174?12l:m56st136a>5<72;09?<7l:d2eb>{|9;>i6=4?:38174g52l;;96st136a>5<72;09?{|9;>i6=4?:38174gb2l;:=6st136a>5<72;09?{|9;>i6=4?:38174df2l;:h6st136a>5<72;09?{|9;>i6=4?:38174e?2l;9n6st136a>5<72;09?{|9;>i6=4?:38174b32l;8;6st136a>5<72;09?{|9;>i6=4?:38174c72l;??6st136a>5<72;09?{|9;>i6=4?:38174cd2l;?j6st136a>5<72;09?{|9;>i6=4?:38174`>2l;>o6st136a>5<72;09??>>:d350>{|9;>i6=4?:381776>2l;=o6st136a>5<72;09???>:d340>{|9;>i6=4?:381777>2l;5<72;09??<>:d3;0>{|9;>i6=4?:381774?2l;3n6st136a>5<72;09??=?:d3:7>{|9;>i6=4?:38177502l;2m6st136a>5<72;09??=j:d3b5>{|9;>i6=4?:38177222l;j46st136a>5<72;09??:l:d3bb>{|9;>i6=4?:38177342l;i:6st136a>5<72;09??;n:d3a`>{|9;>i6=4?:38177072l;h?6st136a>5<72;09??89:d3`=>{|9;>i6=4?:381770e2l;hi6st136a>5<72;09??9?:d3g7>{|9;>i6=4?:38177122l;o46st136a>5<72;09??9m:d3ga>{|9;>i6=4?:38177>42l;n:6st136a>5<72;09??67:d3ff>{|9;>i6=4?:38177>c2l;m<6st136a>5<72;09??7=:d3e1>{|9;>i6=4?:38177??2l;mn6st136a>5<72;09??7k:d034>{|9;>i6=4?:38177g52l8;96st136a>5<72;09??o8:d03e>{|9;>i6=4?:38177gd2l8;j6st136a>5<72;09??l>:d020>{|9;>i6=4?:38177d12l8:56st136a>5<72;09??lm:d02a>{|9;>i6=4?:38177e72l89?6st136a>5<72;09??m::d01<>{|9;>i6=4?:38177ef2l89h6st136a>5<72;09??mi:d006>{|9;>i6=4?:38177b32l88;6st136a>5<72;09??j6:d00g>{|9;>i6=4?:38177bb2l8?=6st136a>5<72;09??k<:d072>{|9;>i6=4?:38177c?2l8?n6st136a>5<72;09??kk:d064>{|9;>i6=4?:38177`52l8>96st136a>5<72;09??h8:d06e>{|9;>i6=4?:38177`d2l8>j6st136a>5<72;09?>>>:d050>{|9;>i6=4?:38176612l8=56st136a>5<72;09?>>k:d044>{|9;>i6=4?:38176732l8<;6st136a>5<72;09?>?l:d04b>{|9;>i6=4?:38176432l83;6st136a>5<72;09?>{|9;>i6=4?:38176572l82?6st136a>5<72;09?>=9:d0:=>{|9;>i6=4?:381765d2l82j6st136a>5<72;09?>:>:d0b0>{|9;>i6=4?:381762>2l8jo6st136a>5<72;09?>;>:d0a0>{|9;>i6=4?:38176312l8i56st136a>5<72;09?>;m:d0aa>{|9;>i6=4?:38176072l8h?6st136a>5<72;09?>8::d0`<>{|9;>i6=4?:381760f2l8hh6st136a>5<72;09?>8i:d0g6>{|9;>i6=4?:38176122l8o46st136a>5<72;09?>9k:d0f4>{|9;>i6=4?:38176>42l8n:6st136a>5<72;09?>6m:d0fa>{|9;>i6=4?:38176?62l8m86st136a>5<72;09?>78:d0ee>{|9;>i6=4?:38176?a2l9;>6st136a>5<72;09?>o::d13<>{|9;>i6=4?:38176gc2l9:<6st136a>5<72;09?>l<:d122>{|9;>i6=4?:38176de2l9:i6st136a>5<72;09?>m>:d110>{|9;>i6=4?:38176e>2l99o6st136a>5<72;09?>mi:d106>{|9;>i6=4?:38176b02l98m6st136a>5<72;09?>jk:d174>{|9;>i6=4?:38176c32l9?;6st136a>5<72;09?>kn:d17`>{|9;>i6=4?:38176`62l9>86st136a>5<72;09?>h8:d16e>{|9;>i6=4?:38176`b2l9==6st136a>5<72;09?9>;:d153>{|9;>i6=4?:381716e2l9=i6st136a>5<72;09?9?>:d140>{|9;>i6=4?:381717?2l95<72;09?9?j:d1;5>{|9;>i6=4?:38171422l9346st136a>5<72;09?9{|9;>i6=4?:38171552l9296st136a>5<72;09?9=7:d1:f>{|9;>i6=4?:381715a2l9j>6st136a>5<72;09?9:::d1b<>{|9;>i6=4?:381712d2l9jj6st136a>5<72;09?9;=:d1a1>{|9;>i6=4?:381713>2l9io6st136a>5<72;09?9;i:d1`6>{|9;>i6=4?:38171012l9h56st136a>5<72;09?98l:d1`b>{|9;>i6=4?:38171142l9o:6st136a>5<72;09?996:d1gg>{|9;>i6=4?:38171>72l9n?6st136a>5<72;09?969:d1f=>{|9;>i6=4?:38171>c2l9m<6st136a>5<72;09?97<:d1e2>{|9;>i6=4?:38171?f2l9mh6st136a>5<72;09?9o?:d637>{|9;>i6=4?:38171g02l>;m6st136a>5<72;09?9ok:d624>{|9;>i6=4?:38171d32l>:;6st136a>5<72;09?9ln:d62`>{|9;>i6=4?:38171e62l>986st136a>5<72;09?9m8:d61e>{|9;>i6=4?:38171eb2l>8=6st136a>5<72;09?9j;:d603>{|9;>i6=4?:38171be2l>8i6st136a>5<72;09?9k>:d670>{|9;>i6=4?:38171c?2l>?n6st136a>5<72;09?9kj:d665>{|9;>i6=4?:38171`22l>>46st136a>5<72;09?9hm:d66a>{|9;>i6=4?:38170652l>=96st136a>5<72;09?8>7:d65f>{|9;>i6=4?:381706a2l><>6st136a>5<72;09?8?::d64<>{|9;>i6=4?:381707d2l>5<72;09?8<=:d6;1>{|9;>i6=4?:381704>2l>3o6st136a>5<72;09?8{|9;>i6=4?:38170512l>256st136a>5<72;09?8=l:d6:b>{|9;>i6=4?:38170242l>j:6st136a>5<72;09?8:6:d6bg>{|9;>i6=4?:38170372l>i?6st136a>5<72;09?8;9:d6a=>{|9;>i6=4?:381703c2l>h<6st136a>5<72;09?88<:d6`2>{|9;>i6=4?:381700f2l>hh6st136a>5<72;09?89?:d6g7>{|9;>i6=4?:38170102l>om6st136a>5<72;09?89k:d6f4>{|9;>i6=4?:38170>32l>n;6st136a>5<72;09?86n:d6f`>{|9;>i6=4?:38170?62l>m86st136a>5<72;09?878:d6ee>{|9;>i6=4?:38170?b2l?;=6st136a>5<72;09?8o;:d733>{|9;>i6=4?:38170ge2l?;i6st136a>5<72;09?8l=:d721>{|9;>i6=4?:38170df2l?:h6st136a>5<72;09?8m>:d710>{|9;>i6=4?:38170e>2l?9o6st136a>5<72;09?8j?:d707>{|9;>i6=4?:38170b?2l?8n6st136a>5<72;09?8jj:d775>{|9;>i6=4?:38170c32l??;6st136a>5<72;09?8kn:d77`>{|9;>i6=4?:38170`72l?>?6st136a>5<72;09?8h9:d76=>{|9;>i6=4?:38170`d2l?>j6st136a>5<72;09?;>=:d751>{|9;>i6=4?:381736?2l?=n6st136a>5<72;09?;>j:d745>{|9;>i6=4?:38173732l?<;6st136a>5<72;09?;?n:d74`>{|9;>i6=4?:38173472l?3?6st136a>5<72;09?;<7:d7;f>{|9;>i6=4?:38173572l?2?6st136a>5<72;09?;=::d7:<>{|9;>i6=4?:381735c2l?j<6st136a>5<72;09?;:;:d7b3>{|9;>i6=4?:381732>2l?jo6st136a>5<72;09?;;>:d7a0>{|9;>i6=4?:381733?2l?in6st136a>5<72;09?;;k:d7`4>{|9;>i6=4?:38173022l?h46st136a>5<72;09?;8k:d7g4>{|9;>i6=4?:38173152l?o96st136a>5<72;09?;9n:d7g`>{|9;>i6=4?:38173>62l?n86st136a>5<72;09?;69:d7f=>{|9;>i6=4?:38173>b2l?m=6st136a>5<72;09?;79:d7e=>{|9;>i6=4?:38173?e2l?mi6st136a>5<72;09?;o<:d432>{|9;>i6=4?:38173gf2l<;h6st136a>5<72;09?;oi:d426>{|9;>i6=4?:38173d02l<:m6st136a>5<72;09?;li:d416>{|9;>i6=4?:38173e32l<9;6st136a>5<72;09?;ml:d41b>{|9;>i6=4?:38173b42l<8:6st136a>5<72;09?;j7:d40f>{|9;>i6=4?:38173c72l5<72;09?;k7:d47f>{|9;>i6=4?:38173cc2l<><6st136a>5<72;09?;h;:d463>{|9;>i6=4?:38173`d2l<>j6st136a>5<72;09?:>>:d450>{|9;>i6=4?:381726>2l<=o6st136a>5<72;09?:?>:d440>{|9;>i6=4?:38172712l<<56st136a>5<72;09?:?m:d44a>{|9;>i6=4?:38172442l<3:6st136a>5<72;09?:{|9;>i6=4?:38172572l<2?6st136a>5<72;09?:=7:d4:f>{|9;>i6=4?:381725a2l6st136a>5<72;09?::;:d4b3>{|9;>i6=4?:381722d2l5<72;09?:;;:d4a3>{|9;>i6=4?:381723e2l5<72;09?:8<:d4`2>{|9;>i6=4?:381720e2l5<72;09?:9<:d4g2>{|9;>i6=4?:381721e2l5<72;09?:6<:d4f2>{|9;>i6=4?:38172>e2l5<72;09?:7<:d4e2>{|9;>i6=4?:38172?>2l5<72;09?:o>:d530>{|9;>i6=4?:38172g>2l=;o6st136a>5<72;09?:l>:d520>{|9;>i6=4?:38172d?2l=:n6st136a>5<72;09?:m?:d517>{|9;>i6=4?:38172e?2l=9n6st136a>5<72;09?:j?:d507>{|9;>i6=4?:38172b?2l=8n6st136a>5<72;09?:k?:d577>{|9;>i6=4?:38172c22l=?46st136a>5<72;09?:kk:d564>{|9;>i6=4?:38172`22l=>46st136a>5<72;09?:hk:d554>{|9;>i6=4?:3817=622l==46st136a>5<72;09?5>k:d544>{|9;>i6=4?:3817=722l=<46st136a>5<72;09?5?k:d5;4>{|9;>i6=4?:3817=422l=346st136a>5<72;09?5{|9;>i6=4?:3817=562l=286st136a>5<72;09?5=9:d5:=>{|9;>i6=4?:3817=5c2l=j<6st136a>5<72;09?5:::d5b<>{|9;>i6=4?:3817=2e2l=ji6st136a>5<72;09?5;=:d5a1>{|9;>i6=4?:3817=3>2l=io6st136a>5<72;09?58?:d5`7>{|9;>i6=4?:3817=002l=hm6st136a>5<72;09?58j:d5g5>{|9;>i6=4?:3817=122l=o46st136a>5<72;09?59l:d5gb>{|9;>i6=4?:3817=>42l=n:6st136a>5<72;09?56n:d5f`>{|9;>i6=4?:3817=?62l=m86st136a>5<72;09?577:d5ef>{|9;>i6=4?:3817=?a2l2;>6st136a>5<72;09?5o9:d:3=>{|9;>i6=4?:3817=gc2l2:<6st136a>5<72;09?5l;:d:23>{|9;>i6=4?:3817=de2l2:i6st136a>5<72;09?5m=:d:11>{|9;>i6=4?:3817=e?2l29n6st136a>5<72;09?5mj:d:05>{|9;>i6=4?:3817=b22l2846st136a>5<72;09?5jl:d:0b>{|9;>i6=4?:3817=c42l2?:6st136a>5<72;09?5kn:d:7`>{|9;>i6=4?:3817=`62l2>86st136a>5<72;09?5h7:d:6f>{|9;>i6=4?:3817=`a2l2=>6st136a>5<72;09?4>9:d:5=>{|9;>i6=4?:3817<6c2l2<<6st136a>5<72;09?4?;:d:43>{|9;>i6=4?:3817<7e2l25<72;09?4<=:d:;1>{|9;>i6=4?:3817<4>2l23o6st136a>5<72;09?4=?:d::7>{|9;>i6=4?:3817<502l22m6st136a>5<72;09?4=j:d:b5>{|9;>i6=4?:3817<222l2j46st136a>5<72;09?4:l:d:bb>{|9;>i6=4?:3817<352l2i96st136a>5<72;09?4;7:d:af>{|9;>i6=4?:3817<3b2l2h=6st136a>5<72;09?48;:d:`3>{|9;>i6=4?:3817<0f2l2hh6st136a>5<72;09?49?:d:g7>{|9;>i6=4?:3817<102l2om6st136a>5<72;09?49j:d:f5>{|9;>i6=4?:3817<>22l2n46st136a>5<72;09?46l:d:fb>{|9;>i6=4?:38175<72;09?47n:d:e`>{|9;>i6=4?:38175<72;09?4o6:d;3g>{|9;>i6=4?:38175<72;09?4l7:d;2f>{|9;>i6=4?:38176st136a>5<72;09?4m9:d;1=>{|9;>i6=4?:38175<72;09?4j;:d;03>{|9;>i6=4?:38175<72;09?4k?:d;77>{|9;>i6=4?:38175<72;09?4kj:d;65>{|9;>i6=4?:3817<`22l3>46st136a>5<72;09?4hl:d;6b>{|9;>i6=4?:3817d642l3=:6st136a>5<72;09?l>m:d;5a>{|9;>i6=4?:3817d742l3<:6st136a>5<72;09?l?m:d;4a>{|9;>i6=4?:3817d452l3396st136a>5<72;09?l{|9;>i6=4?:3817d552l3296st136a>5<72;09?l=n:d;:`>{|9;>i6=4?:3817d262l3j86st136a>5<72;09?l:6:d;bg>{|9;>i6=4?:3817d362l3i86st136a>5<72;09?l;6:d;ag>{|9;>i6=4?:3817d072l3h?6st136a>5<72;09?l87:d;`f>{|9;>i6=4?:3817d0b2l3o=6st136a>5<72;09?l9;:d;g3>{|9;>i6=4?:3817d1f2l3oh6st136a>5<72;09?l6?:d;f7>{|9;>i6=4?:3817d>12l3n56st136a>5<72;09?l6l:d;fb>{|9;>i6=4?:3817d?52l3m96st136a>5<72;09?l77:d;ef>{|9;>i6=4?:3817d?b2lk;=6st136a>5<72;09?lo;:dc33>{|9;>i6=4?:3817dgf2lk;h6st136a>5<72;09?ll?:dc27>{|9;>i6=4?:3817dd12lk:56st136a>5<72;09?lll:dc2b>{|9;>i6=4?:3817de52lk996st136a>5<72;09?lm7:dc1f>{|9;>i6=4?:3817deb2lk8=6st136a>5<72;09?lj;:dc03>{|9;>i6=4?:3817dbf2lk8h6st136a>5<72;09?lk?:dc77>{|9;>i6=4?:3817dc12lk?56st136a>5<72;09?lkl:dc7b>{|9;>i6=4?:3817d`52lk>96st136a>5<72;09?lh7:dc6f>{|9;>i6=4?:3817d`b2lk==6st136a>5<72;09?o>;:dc53>{|9;>i6=4?:3817g6f2lk=h6st136a>5<72;09?o??:dc47>{|9;>i6=4?:3817g712lk<56st136a>5<72;09?o?l:dc4b>{|9;>i6=4?:3817g452lk396st136a>5<72;09?o<7:dc;f>{|9;>i6=4?:3817g4b2lk2=6st136a>5<72;09?o=;:dc:3>{|9;>i6=4?:3817g5f2lk2h6st136a>5<72;09?o:?:dcb7>{|9;>i6=4?:3817g212lkj56st136a>5<72;09?o:l:dcbb>{|9;>i6=4?:3817g352lki96st136a>5<72;09?o;7:dcaf>{|9;>i6=4?:3817g3b2lkh=6st136a>5<72;09?o8;:dc`3>{|9;>i6=4?:3817g0f2lkhh6st136a>5<72;09?o9?:dcg7>{|9;>i6=4?:3817g112lko56st136a>5<72;09?o9l:dcgb>{|9;>i6=4?:3817g>52lkn96st136a>5<72;09?o67:dcff>{|9;>i6=4?:3817g>b2lkm=6st136a>5<72;09?o7;:dce3>{|9;>i6=4?:3817g?f2lkmh6st136a>5<72;09?oo?:d`37>{|9;>i6=4?:3817gg12lh;56st136a>5<72;09?ool:d`3b>{|9;>i6=4?:3817gd52lh:96st136a>5<72;09?ol7:d`2f>{|9;>i6=4?:3817gdb2lh9=6st136a>5<72;09?om;:d`13>{|9;>i6=4?:3817gef2lh9h6st136a>5<72;09?oj?:d`07>{|9;>i6=4?:3817gb12lh856st136a>5<72;09?ojl:d`0b>{|9;>i6=4?:3817gc52lh?96st136a>5<72;09?ok7:d`7f>{|9;>i6=4?:3817gcb2lh>=6st136a>5<72;09?oh;:d`63>{|9;>i6=4?:3817g`d2lh>j6st136a>5<72;09?n>;:d`53>{|9;>i6=4?:3817f6d2lh=j6st136a>5<72;09?n?;:d`43>{|9;>i6=4?:3817f7d2lh5<72;09?n<<:d`;2>{|9;>i6=4?:3817f4f2lh3h6st136a>5<72;09?n==:d`:1>{|9;>i6=4?:3817f5f2lh2h6st136a>5<72;09?n:=:d`b1>{|9;>i6=4?:3817f2f2lhjh6st136a>5<72;09?n;=:d`a1>{|9;>i6=4?:3817f312lhi56st136a>5<72;09?n;j:d``5>{|9;>i6=4?:3817f012lhh56st136a>5<72;09?n8j:d`g5>{|9;>i6=4?:3817f112lho56st136a>5<72;09?n9k:d`f4>{|9;>i6=4?:3817f>22lhn46st136a>5<72;09?n66:d`fg>{|9;>i6=4?:3817f?62lhm86st136a>5<72;09?n76:d`eg>{|9;>i6=4?:3817fg62li;86st136a>5<72;09?no8:da3e>{|9;>i6=4?:3817fgc2li:<6st136a>5<72;09?nl::da2<>{|9;>i6=4?:3817fdc2li9<6st136a>5<72;09?nm::da1<>{|9;>i6=4?:3817fec2li8<6st136a>5<72;09?nj::da0<>{|9;>i6=4?:3817fbc2li?<6st136a>5<72;09?nk::da7<>{|9;>i6=4?:3817fcc2li><6st136a>5<72;09?nh;:da63>{|9;>i6=4?:3817f`d2li>j6st136a>5<72;09?i>>:da50>{|9;>i6=4?:3817a6>2li=o6st136a>5<72;09?i?>:da40>{|9;>i6=4?:3817a712li<56st136a>5<72;09?i?j:da;5>{|9;>i6=4?:3817a412li356st136a>5<72;09?i{|9;>i6=4?:3817a542li2:6st136a>5<72;09?i=n:da:`>{|9;>i6=4?:3817a5a2lij>6st136a>5<72;09?i:8:dabe>{|9;>i6=4?:3817a2d2lijj6st136a>5<72;09?i;<:daa2>{|9;>i6=4?:3817a3e2liii6st136a>5<72;09?i8?:da`7>{|9;>i6=4?:3817a002lihm6st136a>5<72;09?i8i:dag6>{|9;>i6=4?:3817a132lio;6st136a>5<72;09?i9m:daga>{|9;>i6=4?:3817a>42lin:6st136a>5<72;09?i67:daff>{|9;>i6=4?:3817a>a2lim>6st136a>5<72;09?i78:daee>{|9;>i6=4?:3817a?d2limj6st136a>5<72;09?io<:df32>{|9;>i6=4?:3817age2ln;i6st136a>5<72;09?il?:df27>{|9;>i6=4?:3817ad?2ln:n6st136a>5<72;09?im?:df17>{|9;>i6=4?:3817ae22ln946st136a>5<72;09?imk:df04>{|9;>i6=4?:3817ab22ln846st136a>5<72;09?ijn:df0`>{|9;>i6=4?:3817ac52ln?96st136a>5<72;09?ikn:df7`>{|9;>i6=4?:3817aca2ln>>6st136a>5<72;09?ih8:df6e>{|9;>i6=4?:3817a`a2ln=>6st136a>5<72;09?h>;:df53>{|9;>i6=4?:3817`6d2ln=j6st136a>5<72;09?h?;:df43>{|9;>i6=4?:3817`7e2ln5<72;09?h<>:df;0>{|9;>i6=4?:3817`4>2ln3o6st136a>5<72;09?h{|9;>i6=4?:3817`512ln256st136a>5<72;09?h=j:dfb5>{|9;>i6=4?:3817`212lnj56st136a>5<72;09?h:j:dfa5>{|9;>i6=4?:3817`312lni56st136a>5<72;09?h;j:df`5>{|9;>i6=4?:3817`012lnh56st136a>5<72;09?h8j:dfg5>{|9;>i6=4?:3817`112lno56st136a>5<72;09?h9j:dff5>{|9;>i6=4?:3817`>12lnn56st136a>5<72;09?h6j:dfe5>{|9;>i6=4?:3817`?12lnm56st136a>5<72;09?h7j:dg35>{|9;>i6=4?:3817`g12lo;56st136a>5<72;09?hoj:dg25>{|9;>i6=4?:3817`d12lo:56st136a>5<72;09?hlj:dg15>{|9;>i6=4?:3817`e12lo956st136a>5<72;09?hmj:dg05>{|9;>i6=4?:3817`b12lo856st136a>5<72;09?hjj:dg75>{|9;>i6=4?:3817`c12lo?56st136a>5<72;09?hkj:dg65>{|9;>i6=4?:3817``12lo>56st136a>5<72;09?hhj:dg55>{|9;>i6=4?:3817c612lo=56st136a>5<72;09?k>j:dg45>{|9;>i6=4?:3817c712lo<56st136a>5<72;09?k?j:dg;5>{|9;>i6=4?:3817c412lo356st136a>5<72;09?k{|9;>i6=4?:3817c512lo256st136a>5<72;09?k=j:dgb5>{|9;>i6=4?:3817c212loj56st136a>5<72;09?k:j:dga5>{|9;>i6=4?:3817c312loi56st136a>5<72;09?k;j:dg`5>{|9;>i6=4?:3817c012loh56st136a>5<72;09?k8j:dgg5>{|9;>i6=4?:3817c112loo56st136a>5<72;09?k9j:dgf5>{|9;>i6=4?:3817c>12lon56st136a>5<72;09?k6j:dge5>{|9;>i6=4?:3817c?12lom56st136a>5<72;09?k7j:dd35>{|9;>i6=4?:3817cg12ll;56st136a>5<72;09?koj:dd25>{|9;>i6=4?:3817cd12ll:56st136a>5<72;09?klj:dd15>{|9;>i6=4?:3817ce12ll956st136a>5<72;09?kmj:dd05>{|9;>i6=4?:3817cb12ll856st136a>5<72;09?kjj:dd75>{|9;>i6=4?:3817cc12ll?56st136a>5<72;09?kkj:dd65>{|9;>i6=4?:3817c`12ll>56st136a>5<72;09?khj:dd55>{|9;>i6=4?:38105612ll=56st136a>5<72;098=>j:dd45>{|9;>i6=4?:38105712ll<56st136a>5<72;098=?j:dd;5>{|9;>i6=4?:38105412ll356st136a>5<72;098={|9;>i6=4?:38105512ll256st136a>5<72;098==m:dd:a>{|9;>i6=4?:38105242llj:6st136a>5<72;098=:m:ddba>{|9;>i6=4?:38105352lli96st136a>5<72;098=;6:ddag>{|9;>i6=4?:38105072llh?6st136a>5<72;098=88:dd`e>{|9;>i6=4?:381050b2llo=6st136a>5<72;098=9::ddg<>{|9;>i6=4?:381051d2lloj6st136a>5<72;098=6<:ddf2>{|9;>i6=4?:38105>f2llnh6st136a>5<72;098=7>:dde0>{|9;>i6=4?:38105??2llmn6st136a>5<72;098=7i:g236>{|9;>i6=4?:38105g12o:;56st136a>5<72;098=ok:g224>{|9;>i6=4?:38105d32o::;6st136a>5<72;098=lm:g22a>{|9;>i6=4?:38105e52o:996st136a>5<72;098=m6:g21g>{|9;>i6=4?:38105b72o:8?6st136a>5<72;098=j8:g20e>{|9;>i6=4?:38105be2o:8i6st136a>5<72;098=k=:g271>{|9;>i6=4?:38105c12o:?56st136a>5<72;098=kk:g264>{|9;>i6=4?:38105`32o:>56st136a>5<72;098=hm:g256>{|9;>i6=4?:38104652o:=n6st136a>5<72;098<>6:g240>{|9;>i6=4?:38104772o:5<72;098{|9;>i6=4?:381047b2o:3j6st136a>5<72;098<<::g2:<>{|9;>i6=4?:381044d2o:j=6st136a>5<72;098<=<:g2be>{|9;>i6=4?:381045f2o:i=6st136a>5<72;098<:>:g2a<>{|9;>i6=4?:381042?2o:ij6st136a>5<72;098<:i:g2`2>{|9;>i6=4?:38104312o:hh6st136a>5<72;098<;k:g2g0>{|9;>i6=4?:38104032o:on6st136a>5<72;098<8m:g2f6>{|9;>i6=4?:38104152o:n56st136a>5<72;098<96:g2e4>{|9;>i6=4?:38104>72o:m;6st136a>5<72;098<68:g2ea>{|9;>i6=4?:38104>b2o;;96st136a>5<72;098<7::g33g>{|9;>i6=4?:38104?d2o;:?6st136a>5<72;098{|9;>i6=4?:38104gf2o;9=6st136a>5<72;098{|9;>i6=4?:38104d02o;9i6st136a>5<72;098{|9;>i6=4?:38104e32o;8n6st136a>5<72;098{|9;>i6=4?:38104eb2o;?96st136a>5<72;098{|9;>i6=4?:38104bf2o;>?6st136a>5<72;098:g36g>{|9;>i6=4?:38104c?2o;=96st136a>5<72;098{|9;>i6=4?:38104`12o;<;6st136a>5<72;098{|9;>i6=4?:38107632o;356st136a>5<72;098?>m:g3:6>{|9;>i6=4?:38107752o;2n6st136a>5<72;098??6:g3b0>{|9;>i6=4?:38107472o;jh6st136a>5<72;098?<8:g3a2>{|9;>i6=4?:381074b2o;ij6st136a>5<72;098?=::g3`<>{|9;>i6=4?:381075d2o;o=6st136a>5<72;098?:<:g3ge>{|9;>i6=4?:381072f2o;n?6st136a>5<72;098?;>:g3fg>{|9;>i6=4?:381073?2o;m96st136a>5<72;098?;i:g3ea>{|9;>i6=4?:38107012o8;;6st136a>5<72;098?8k:g024>{|9;>i6=4?:38107132o8:56st136a>5<72;098?9m:g016>{|9;>i6=4?:38107>52o89n6st136a>5<72;098?66:g000>{|9;>i6=4?:38107?72o88h6st136a>5<72;098?78:g072>{|9;>i6=4?:38107?b2o8?j6st136a>5<72;098?o::g06<>{|9;>i6=4?:38107gd2o8==6st136a>5<72;098?l<:g05e>{|9;>i6=4?:38107df2o85<72;098?m>:g04g>{|9;>i6=4?:38107e?2o8396st136a>5<72;098?mi:g0;a>{|9;>i6=4?:38107b12o82;6st136a>5<72;098?jk:g0b4>{|9;>i6=4?:38107c32o8j56st136a>5<72;098?km:g0a6>{|9;>i6=4?:38107`52o8in6st136a>5<72;098?h6:g0`0>{|9;>i6=4?:38106672o8hh6st136a>5<72;098>>8:g0g2>{|9;>i6=4?:381066b2o8oj6st136a>5<72;098>?::g0f<>{|9;>i6=4?:381067d2o8m=6st136a>5<72;098><<:g0ee>{|9;>i6=4?:381064f2o9;?6st136a>5<72;098>=>:g13g>{|9;>i6=4?:381065?2o9:96st136a>5<72;098>=i:g12a>{|9;>i6=4?:38106212o99;6st136a>5<72;098>:k:g104>{|9;>i6=4?:38106332o9856st136a>5<72;098>;m:g176>{|9;>i6=4?:38106052o9?n6st136a>5<72;098>86:g160>{|9;>i6=4?:38106172o9>h6st136a>5<72;098>98:g152>{|9;>i6=4?:381061b2o9=j6st136a>5<72;098>6::g14<>{|9;>i6=4?:38106>d2o93=6st136a>5<72;098>7<:g1;<>{|9;>i6=4?:38106?f2o93j6st136a>5<72;098>o>:g1:2>{|9;>i6=4?:38106g?2o92h6st136a>5<72;098>oi:g1b0>{|9;>i6=4?:38106d12o9jn6st136a>5<72;098>lk:g1a6>{|9;>i6=4?:38106e32o9i56st136a>5<72;098>mm:g1`4>{|9;>i6=4?:38106b52o9h;6st136a>5<72;098>j6:g1`a>{|9;>i6=4?:38106c72o9o96st136a>5<72;098>k8:g1gg>{|9;>i6=4?:38106cb2o9n?6st136a>5<72;098>h::g1fe>{|9;>i6=4?:38106`d2o9m=6st136a>5<72;0989><:g1e<>{|9;>i6=4?:381016f2o9mj6st136a>5<72;0989?>:g632>{|9;>i6=4?:381017?2o>;h6st136a>5<72;0989?i:g620>{|9;>i6=4?:38101412o>:n6st136a>5<72;0989{|9;>i6=4?:38101532o>956st136a>5<72;0989=m:g604>{|9;>i6=4?:38101252o>8;6st136a>5<72;0989:6:g60a>{|9;>i6=4?:38101372o>?96st136a>5<72;0989;8:g67g>{|9;>i6=4?:381013b2o>>?6st136a>5<72;09898::g66e>{|9;>i6=4?:381010d2o>==6st136a>5<72;09899<:g65e>{|9;>i6=4?:381011f2o>5<72;09896>:g64g>{|9;>i6=4?:38101>?2o>396st136a>5<72;09896i:g6;a>{|9;>i6=4?:38101?12o>2;6st136a>5<72;09897k:g6b4>{|9;>i6=4?:38101g32o>j56st136a>5<72;0989om:g6a6>{|9;>i6=4?:38101d52o>in6st136a>5<72;0989l6:g6`0>{|9;>i6=4?:38101e72o>hh6st136a>5<72;0989m8:g6g2>{|9;>i6=4?:38101eb2o>oj6st136a>5<72;0989j::g6f<>{|9;>i6=4?:38101bd2o>m=6st136a>5<72;0989k<:g6ee>{|9;>i6=4?:38101cf2o?;?6st136a>5<72;0989h>:g73g>{|9;>i6=4?:38101`?2o?:96st136a>5<72;0989hi:g72a>{|9;>i6=4?:38100612o?9;6st136a>5<72;0988>k:g704>{|9;>i6=4?:38100732o?856st136a>5<72;0988?m:g776>{|9;>i6=4?:38100452o??n6st136a>5<72;0988<6:g760>{|9;>i6=4?:38100572o?>h6st136a>5<72;0988=8:g752>{|9;>i6=4?:381005b2o?=j6st136a>5<72;0988:::g74<>{|9;>i6=4?:381002d2o?3=6st136a>5<72;0988;<:g7;e>{|9;>i6=4?:381003f2o?2?6st136a>5<72;09888>:g7:g>{|9;>i6=4?:381000?2o?j96st136a>5<72;09888i:g7ba>{|9;>i6=4?:38100112o?i96st136a>5<72;09889k:g7ag>{|9;>i6=4?:38100>32o?h?6st136a>5<72;09886m:g7`e>{|9;>i6=4?:38100?52o?o=6st136a>5<72;098879:g7g1>{|9;>i6=4?:38100?e2o?om6st136a>5<72;0988o=:g7f5>{|9;>i6=4?:38100g02o?n:6st136a>5<72;0988oj:g7f`>{|9;>i6=4?:38100d12o?m96st136a>5<72;0988lk:g7eg>{|9;>i6=4?:38100e22o<;86st136a>5<72;0988mk:g43g>{|9;>i6=4?:38100b22o<:86st136a>5<72;0988jm:g42e>{|9;>i6=4?:38100c62o<9<6st136a>5<72;0988k8:g412>{|9;>i6=4?:38100ca2o<9i6st136a>5<72;0988h8:g402>{|9;>i6=4?:38100`c2o<8o6st136a>5<72;098;><:g476>{|9;>i6=4?:381036>2o5<72;098;>i:g47a>{|9;>i6=4?:38103702o<>:6st136a>5<72;098;?i:g46a>{|9;>i6=4?:38103422o<=86st136a>5<72;098;{|9;>i6=4?:38103552o<<=6st136a>5<72;098;=n:g44=>{|9;>i6=4?:38103252o<3=6st136a>5<72;098;:n:g4;=>{|9;>i6=4?:38103352o<2=6st136a>5<72;098;;n:g4:=>{|9;>i6=4?:38103052o5<72;098;8n:g4b=>{|9;>i6=4?:38103152o5<72;098;9n:g4a=>{|9;>i6=4?:38103>52o5<72;098;6n:g4`=>{|9;>i6=4?:38103?62o5<72;098;76:g4g<>{|9;>i6=4?:38103g72o5<72;098;o::g4f0>{|9;>i6=4?:38103gf2o5<72;098;oi:g4fa>{|9;>i6=4?:38103d32o5<72;098;l6:g4e<>{|9;>i6=4?:38103db2o5<72;098;m<:g536>{|9;>i6=4?:38103e?2o=;;6st136a>5<72;098;mk:g53g>{|9;>i6=4?:38103b52o=:=6st136a>5<72;098;j8:g522>{|9;>i6=4?:38103bd2o=:n6st136a>5<72;098;k>:g514>{|9;>i6=4?:38103c12o=996st136a>5<72;098;km:g51e>{|9;>i6=4?:38103`72o=9j6st136a>5<72;098;h::g500>{|9;>i6=4?:38103`f2o=856st136a>5<72;098;hi:g50a>{|9;>i6=4?:38102632o=??6st136a>5<72;098:>6:g57<>{|9;>i6=4?:381026b2o=?h6st136a>5<72;098:?<:g566>{|9;>i6=4?:381027f2o=>56st136a>5<72;098:?i:g56a>{|9;>i6=4?:38102432o==?6st136a>5<72;098:<6:g55<>{|9;>i6=4?:381024b2o==h6st136a>5<72;098:=<:g546>{|9;>i6=4?:381025?2o=<;6st136a>5<72;098:=k:g54g>{|9;>i6=4?:38102252o=3=6st136a>5<72;098::8:g5;2>{|9;>i6=4?:381022d2o=3n6st136a>5<72;098:;>:g5:4>{|9;>i6=4?:38102312o=296st136a>5<72;098:;m:g5:e>{|9;>i6=4?:38102072o=2j6st136a>5<72;098:8::g5b0>{|9;>i6=4?:381020f2o=j56st136a>5<72;098:8i:g5ba>{|9;>i6=4?:38102132o=i?6st136a>5<72;098:96:g5a<>{|9;>i6=4?:381021b2o=ih6st136a>5<72;098:6<:g5`6>{|9;>i6=4?:38102>?2o=h;6st136a>5<72;098:6k:g5`g>{|9;>i6=4?:38102?22o=o86st136a>5<72;098:7l:g5g`>{|9;>i6=4?:38102g42o=n:6st136a>5<72;098:o7:g5ff>{|9;>i6=4?:38102gc2o=m<6st136a>5<72;098:l=:g5e1>{|9;>i6=4?:38102d02o=mm6st136a>5<72;098:ll:g5eb>{|9;>i6=4?:38102e62o2;86st136a>5<72;098:m9:g:3=>{|9;>i6=4?:38102ee2o2;i6st136a>5<72;098:j?:g:27>{|9;>i6=4?:38102b22o2:46st136a>5<72;098:jn:g:2`>{|9;>i6=4?:38102ba2o29>6st136a>5<72;098:k;:g:13>{|9;>i6=4?:38102c>2o29o6st136a>5<72;098:kj:g:05>{|9;>i6=4?:38102`42o28:6st136a>5<72;098:h7:g:0f>{|9;>i6=4?:38102`c2o2?<6st136a>5<72;0985>=:g:71>{|9;>i6=4?:3810=602o2?m6st136a>5<72;0985>l:g:7b>{|9;>i6=4?:3810=762o2>86st136a>5<72;0985?9:g:6=>{|9;>i6=4?:3810=7e2o2>i6st136a>5<72;0985{|9;>i6=4?:3810=422o2=46st136a>5<72;0985{|9;>i6=4?:3810=4a2o2<>6st136a>5<72;0985=;:g:43>{|9;>i6=4?:3810=5>2o25<72;0985=j:g:;5>{|9;>i6=4?:3810=242o23:6st136a>5<72;0985:7:g:;f>{|9;>i6=4?:3810=2c2o22<6st136a>5<72;0985;=:g::1>{|9;>i6=4?:3810=302o22m6st136a>5<72;0985;j:g:b5>{|9;>i6=4?:3810=042o2j:6st136a>5<72;098587:g:bf>{|9;>i6=4?:3810=0c2o2i<6st136a>5<72;09859=:g:a1>{|9;>i6=4?:3810=102o2im6st136a>5<72;09859l:g:ab>{|9;>i6=4?:3810=>62o2h86st136a>5<72;098569:g:`=>{|9;>i6=4?:3810=>e2o2hi6st136a>5<72;09857?:g:g7>{|9;>i6=4?:3810=?22o2o46st136a>5<72;09857n:g:g`>{|9;>i6=4?:3810=?a2o2n>6st136a>5<72;0985o;:g:f3>{|9;>i6=4?:3810=g>2o2no6st136a>5<72;0985oj:g:e5>{|9;>i6=4?:3810=d42o2m:6st136a>5<72;0985l7:g:ef>{|9;>i6=4?:3810=dc2o3;<6st136a>5<72;0985m=:g;31>{|9;>i6=4?:3810=e02o3;m6st136a>5<72;0985ml:g;3b>{|9;>i6=4?:3810=b62o3:86st136a>5<72;0985j9:g;2=>{|9;>i6=4?:3810=be2o3:i6st136a>5<72;0985k?:g;17>{|9;>i6=4?:3810=c22o3946st136a>5<72;0985kn:g;1`>{|9;>i6=4?:3810=ca2o38>6st136a>5<72;0985h;:g;03>{|9;>i6=4?:3810=`>2o38o6st136a>5<72;0985hj:g;75>{|9;>i6=4?:3810<612o3?56st136a>5<72;0984>j:g;65>{|9;>i6=4?:3810<712o3>56st136a>5<72;0984?m:g;6a>{|9;>i6=4?:3810<452o3=;6st136a>5<72;0984<6:g;5a>{|9;>i6=4?:3810<572o3<;6st136a>5<72;0984=8:g;4a>{|9;>i6=4?:3810<5d2o33?6st136a>5<72;0984:>:g;;<>{|9;>i6=4?:3810<212o33h6st136a>5<72;0984:m:g;:6>{|9;>i6=4?:3810<372o32;6st136a>5<72;0984;::g;:g>{|9;>i6=4?:3810<3f2o3j=6st136a>5<72;0984;i:g;b2>{|9;>i6=4?:3810<012o3jj6st136a>5<72;09848k:g;a<>{|9;>i6=4?:3810<132o3ij6st136a>5<72;09849m:g;`2>{|9;>i6=4?:3810<>52o3hh6st136a>5<72;09846n:g;g1>{|9;>i6=4?:38105<72;098478:g;f6>{|9;>i6=4?:38105<72;0984o::g;e6>{|9;>i6=4?:38105<72;0984l<:gc34>{|9;>i6=4?:38105<72;0984l6:gc32>{|9;>i6=4?:38105<72;0984li:gc3g>{|9;>i6=4?:38105<72;0984m::gc26>{|9;>i6=4?:38105<72;0984mm:gc2<>{|9;>i6=4?:38105<72;0984j>:gc2a>{|9;>i6=4?:38105<72;0984j8:gc10>{|9;>i6=4?:38105<72;0984jk:gc1e>{|9;>i6=4?:38105<72;0984k<:gc04>{|9;>i6=4?:38105<72;0984k6:gc02>{|9;>i6=4?:38105<72;0984ki:gc0g>{|9;>i6=4?:3810<`52ok8j6st136a>5<72;0984h::gc76>{|9;>i6=4?:3810<`?2ok?96st136a>5<72;0984hm:gc7<>{|9;>i6=4?:3810<`b2ok?n6st136a>5<72;098l>>:gc7a>{|9;>i6=4?:3810d632ok>=6st136a>5<72;098l>8:gc60>{|9;>i6=4?:3810d6f2ok>;6st136a>5<72;098l>k:gc6e>{|9;>i6=4?:3810d772ok>h6st136a>5<72;098l?<:gc54>{|9;>i6=4?:3810d712ok=?6st136a>5<72;098l?6:gc52>{|9;>i6=4?:3810d7d2ok=56st136a>5<72;098l?i:gc5g>{|9;>i6=4?:3810d452ok=j6st136a>5<72;098l<::gc46>{|9;>i6=4?:3810d4?2ok<96st136a>5<72;098l{|9;>i6=4?:3810d4b2ok5<72;098l=>:gc4a>{|9;>i6=4?:3810d532ok3=6st136a>5<72;098l=8:gc;0>{|9;>i6=4?:3810d5f2ok3;6st136a>5<72;098l=k:gc;e>{|9;>i6=4?:3810d272ok3h6st136a>5<72;098l:<:gc:4>{|9;>i6=4?:3810d212ok2?6st136a>5<72;098l:6:gc:2>{|9;>i6=4?:3810d2d2ok256st136a>5<72;098l:i:gc:g>{|9;>i6=4?:3810d352ok2j6st136a>5<72;098l;::gcb6>{|9;>i6=4?:3810d3?2okj96st136a>5<72;098l;m:gcb<>{|9;>i6=4?:3810d3b2okjn6st136a>5<72;098l8>:gcba>{|9;>i6=4?:3810d032oki=6st136a>5<72;098l88:gca0>{|9;>i6=4?:3810d0f2oki;6st136a>5<72;098l8k:gcae>{|9;>i6=4?:3810d172okih6st136a>5<72;098l9<:gc`4>{|9;>i6=4?:3810d112okh?6st136a>5<72;098l96:gc`2>{|9;>i6=4?:3810d1d2okh56st136a>5<72;098l9i:gc`g>{|9;>i6=4?:3810d>52okhj6st136a>5<72;098l6::gcg6>{|9;>i6=4?:3810d>?2oko96st136a>5<72;098l6m:gcg<>{|9;>i6=4?:3810d>b2okon6st136a>5<72;098l7>:gcga>{|9;>i6=4?:3810d?32okn=6st136a>5<72;098l78:gcf0>{|9;>i6=4?:3810d?f2okn;6st136a>5<72;098l7k:gcfe>{|9;>i6=4?:3810dg72oknh6st136a>5<72;098lo<:gce4>{|9;>i6=4?:3810dg12okm?6st136a>5<72;098lo6:gce2>{|9;>i6=4?:3810dgd2okm56st136a>5<72;098loi:gceg>{|9;>i6=4?:3810dd52okmj6st136a>5<72;098ll::g`36>{|9;>i6=4?:3810dd?2oh;96st136a>5<72;098llm:g`3<>{|9;>i6=4?:3810ddb2oh;n6st136a>5<72;098lm>:g`3a>{|9;>i6=4?:3810de32oh:=6st136a>5<72;098lm8:g`20>{|9;>i6=4?:3810def2oh:;6st136a>5<72;098lmk:g`2e>{|9;>i6=4?:3810db72oh:h6st136a>5<72;098lj<:g`14>{|9;>i6=4?:3810db12oh9?6st136a>5<72;098lj6:g`12>{|9;>i6=4?:3810dbd2oh956st136a>5<72;098lji:g`1g>{|9;>i6=4?:3810dc52oh9j6st136a>5<72;098lk::g`06>{|9;>i6=4?:3810dc?2oh896st136a>5<72;098lkm:g`0<>{|9;>i6=4?:3810dcb2oh8n6st136a>5<72;098lh>:g`0a>{|9;>i6=4?:3810d`32oh?=6st136a>5<72;098lh8:g`70>{|9;>i6=4?:3810d`f2oh?;6st136a>5<72;098lhk:g`7e>{|9;>i6=4?:3810g672oh?h6st136a>5<72;098o><:g`64>{|9;>i6=4?:3810g612oh>?6st136a>5<72;098o>6:g`62>{|9;>i6=4?:3810g6d2oh>56st136a>5<72;098o>i:g`6g>{|9;>i6=4?:3810g752oh>j6st136a>5<72;098o?::g`56>{|9;>i6=4?:3810g7?2oh=96st136a>5<72;098o?m:g`5<>{|9;>i6=4?:3810g7b2oh=n6st136a>5<72;098o<>:g`5a>{|9;>i6=4?:3810g432oh<=6st136a>5<72;098o<8:g`40>{|9;>i6=4?:3810g4f2oh<;6st136a>5<72;098o{|9;>i6=4?:3810g572oh5<72;098o=<:g`;4>{|9;>i6=4?:3810g512oh3?6st136a>5<72;098o=6:g`;2>{|9;>i6=4?:3810g5d2oh356st136a>5<72;098o=i:g`;g>{|9;>i6=4?:3810g252oh3j6st136a>5<72;098o:::g`:6>{|9;>i6=4?:3810g2?2oh296st136a>5<72;098o:m:g`:<>{|9;>i6=4?:3810g2b2oh2n6st136a>5<72;098o;>:g`:a>{|9;>i6=4?:3810g332ohj=6st136a>5<72;098o;8:g`b0>{|9;>i6=4?:3810g3f2ohj;6st136a>5<72;098o;k:g`be>{|9;>i6=4?:3810g072ohjh6st136a>5<72;098o8<:g`a4>{|9;>i6=4?:3810g012ohi?6st136a>5<72;098o86:g`a2>{|9;>i6=4?:3810g0d2ohi56st136a>5<72;098o8i:g`ag>{|9;>i6=4?:3810g152ohij6st136a>5<72;098o9::g``6>{|9;>i6=4?:3810g1?2ohh96st136a>5<72;098o9m:g``<>{|9;>i6=4?:3810g1b2ohhn6st136a>5<72;098o6>:g``a>{|9;>i6=4?:3810g>32oho=6st136a>5<72;098o68:g`g0>{|9;>i6=4?:3810g>f2oho;6st136a>5<72;098o6k:g`ge>{|9;>i6=4?:3810g?72ohoh6st136a>5<72;098o7<:g`f4>{|9;>i6=4?:3810g?12ohn?6st136a>5<72;098o76:g`f2>{|9;>i6=4?:3810g?d2ohn56st136a>5<72;098o7i:g`fg>{|9;>i6=4?:3810gg52ohnj6st136a>5<72;098oo::g`e6>{|9;>i6=4?:3810gg?2ohm96st136a>5<72;098oom:g`e<>{|9;>i6=4?:3810ggb2ohmn6st136a>5<72;098ol>:g`ea>{|9;>i6=4?:3810gd32oi;=6st136a>5<72;098ol8:ga30>{|9;>i6=4?:3810gdf2oi;;6st136a>5<72;098olk:ga3e>{|9;>i6=4?:3810ge72oi;h6st136a>5<72;098om<:ga24>{|9;>i6=4?:3810ge12oi:?6st136a>5<72;098om6:ga22>{|9;>i6=4?:3810ged2oi:56st136a>5<72;098omi:ga2g>{|9;>i6=4?:3810gb52oi:j6st136a>5<72;098oj::ga16>{|9;>i6=4?:3810gb?2oi996st136a>5<72;098ojm:ga1<>{|9;>i6=4?:3810gbb2oi9i6st136a>5<72;098ok>:ga05>{|9;>i6=4?:3810gc32oi886st136a>5<72;098ok8:ga03>{|9;>i6=4?:3810gcf2oi8m6st136a>5<72;098okk:ga0`>{|9;>i6=4?:3810g`72oi?<6st136a>5<72;098oh<:ga77>{|9;>i6=4?:3810g`12oi?:6st136a>5<72;098oh6:ga7=>{|9;>i6=4?:3810g`b2oi?i6st136a>5<72;098n>9:ga62>{|9;>i6=4?:3810f6e2oi>n6st136a>5<72;098n?<:ga57>{|9;>i6=4?:3810f7e2oi=n6st136a>5<72;098n<=:ga40>{|9;>i6=4?:3810f4>2oi5<72;098n=?:ga;2>{|9;>i6=4?:3810f502oi3j6st136a>5<72;098n=j:ga:<>{|9;>i6=4?:3810f222oij=6st136a>5<72;098n:l:gabe>{|9;>i6=4?:3810f342oii?6st136a>5<72;098n;n:gaag>{|9;>i6=4?:3810f062oih96st136a>5<72;098n87:ga`a>{|9;>i6=4?:3810f0a2oio;6st136a>5<72;098n99:gaf4>{|9;>i6=4?:3810f1c2oin56st136a>5<72;098n6;:gae6>{|9;>i6=4?:3810f>e2oimn6st136a>5<72;098n7=:gf30>{|9;>i6=4?:3810f?12on;46st136a>5<72;098n7n:gf25>{|9;>i6=4?:3810f?c2on:86st136a>5<72;098no>:gf2g>{|9;>i6=4?:3810fg?2on996st136a>5<72;098noi:gf07>{|9;>i6=4?:3810fd52on8:6st136a>5<72;098nl9:gf0a>{|9;>i6=4?:3810fdc2on?;6st136a>5<72;098nm;:gf61>{|9;>i6=4?:3810fe02on>46st136a>5<72;098nmm:gf54>{|9;>i6=4?:3810fb52on=56st136a>5<72;098nj6:gf43>{|9;>i6=4?:3810fbd2on5<72;098nk?:gf;6>{|9;>i6=4?:3810fc02on3n6st136a>5<72;098nkj:gf:=>{|9;>i6=4?:3810f`62on2o6st136a>5<72;098nh::gfb0>{|9;>i6=4?:3810f`d2onjh6st136a>5<72;098i><:gfaf>{|9;>i6=4?:3810a612onii6st136a>5<72;098i>n:gf`2>{|9;>i6=4?:3810a762onhj6st136a>5<72;098i?7:gfg`>{|9;>i6=4?:3810a7e2onn<6st136a>5<72;098i?i:gff<>{|9;>i6=4?:3810a412onm=6st136a>5<72;098i{|9;>i6=4?:3810a572oo;>6st136a>5<72;098i=;:gg3e>{|9;>i6=4?:3810a5e2oo:?6st136a>5<72;098i:=:gg15>{|9;>i6=4?:3810a222oo986st136a>5<72;098i:6:gg1g>{|9;>i6=4?:3810a372oo896st136a>5<72;098i;8:gg77>{|9;>i6=4?:3810a3f2oo?:6st136a>5<72;098i;j:gg7a>{|9;>i6=4?:3810a022oo>;6st136a>5<72;098i8l:gg51>{|9;>i6=4?:3810a0a2oo=46st136a>5<72;098i9<:gg44>{|9;>i6=4?:3810a1f2oo<56st136a>5<72;098i6>:gg;3>{|9;>i6=4?:3810a>32oo3m6st136a>5<72;098i67:gg:6>{|9;>i6=4?:3810a>a2oo2n6st136a>5<72;098i79:ggb=>{|9;>i6=4?:3810a?>2oojo6st136a>5<72;098i7k:gga0>{|9;>i6=4?:3810ag32ooih6st136a>5<72;098iom:gg`f>{|9;>i6=4?:3810agb2oohi6st136a>5<72;098il=:ggg2>{|9;>i6=4?:3810ad>2oooj6st136a>5<72;098im?:ggf`>{|9;>i6=4?:3810ae42oom<6st136a>5<72;098im8:gge<>{|9;>i6=4?:3810aeb2ol;=6st136a>5<72;098ij::gd3b>{|9;>i6=4?:3810ab?2ol:>6st136a>5<72;098ijl:gd2e>{|9;>i6=4?:3810ac42ol9?6st136a>5<72;098ikn:gd05>{|9;>i6=4?:3810acc2ol886st136a>5<72;098ih>:gd0g>{|9;>i6=4?:3810a`?2ol?96st136a>5<72;098ihi:gd67>{|9;>i6=4?:3810`652ol>:6st136a>5<72;098h>9:gd6a>{|9;>i6=4?:3810`6c2ol=;6st136a>5<72;098h?;:gd41>{|9;>i6=4?:3810`702ol<46st136a>5<72;098h?m:gd;4>{|9;>i6=4?:3810`452ol356st136a>5<72;098h<6:gd:3>{|9;>i6=4?:3810`4d2ol2m6st136a>5<72;098h=?:gdb6>{|9;>i6=4?:3810`502oljn6st136a>5<72;098h=j:gda=>{|9;>i6=4?:3810`262olio6st136a>5<72;098h:::gd`0>{|9;>i6=4?:3810`2d2olhh6st136a>5<72;098h;<:gdgf>{|9;>i6=4?:3810`312oloi6st136a>5<72;098h;n:gdf2>{|9;>i6=4?:3810`062olnj6st136a>5<72;098h87:gde`>{|9;>i6=4?:3810`0e28:;<=5r{007f?6=8381>9k9f;3345>72b??0:<=?>;|y261d=83:1>7<;e6f95566n2wp=?:m:183>7<5?239~443j3:1<7<525g;0?778;k0qv?=4c83>5<52;>n4o4>0110?x}6:=h1<7>52;07a<4=99:?=6st136a>5<72;098h7::02301=zs88?n7>50;0961c>13;;<9m4}z310g<729096?:ja18245323tq:>9l50;296?43mh=1==>93:x572e290;6?4=4dcb>467>?1vw<<;b;294?4=:=oji7??07g8y~7525`94?6=:38?iom5112;1>{|9;>i6=4?:3810`da28:;455r{007f?6=8381>9kl3;334<672bkh0:<=76;|y261d=83:1>7<;ee39556f?2wp=?:m:183>7<5?a`9~443j3:1<7<525gg5<52;>nhk4>01`a?x}6:=h1<7>52;07a`0=99:h56st136a>5<72;098hk6:023gf=zs88?n7>50;0961cbl3;;9l50;296?43moh1==>jb:x572e290;6?4=4ddf>467ml1vw<<;b;294?4=:=l;>7??0g48y~7525`94?6=:38?j<>51133`>{|9;>i6=4?:3810c7428::==5r{007f?6=8381>9h>7;3354>72a9l0:<<<>;|y261d=83:1>7<;f3795575n2wp=?:m:183>7<5>339~443j3:1<7<525d1g?779:k0qv?=4c83>5<52;>m?>4>0060?x}6:=h1<7>52;07b6g=99;>=6st136a>5<72;098k=k:02211=zs88?n7>50;0961`393;;=8m4}z310g<729096?:i498244023tq:>9l50;296?43n=l1==?83:x572e290;6?4=4g71>466??1vw<<;b;294?4=:=l>:7??16g8y~7525`94?6=:38?j;:5113:1>{|9;>i6=4?:3810c0028::555r{007f?6=8381>9h9b;335d672a?;0:<7<;f6;9557e?2wp=?:m:183>7<5>b`9~443j3:1<7<525d;4?779j80qv?=4c83>5<52;>m4:4>00aa?x}6:=h1<7>52;07b=c=99;o56st136a>5<72;098k7>:022`f=zs88?n7>50;0961`>=3;;=h:4}z310g<729096?:i9b8244cc3tq:>9l50;296?43nh91==?ib:x572e290;6?4=4gc5>466nl1vw<<;b;294?4=:=ljm7??2148y~7525`94?6=:38?jo651102`>{|9;>i6=4?:3810cde28:9>=5r{007f?6=8381>9hmf;3367>72ak?0:;|y261d=83:1>7<;fbf95544n2wp=?:m:183>7<5=439~443j3:1<7<525dg0?77:=k0qv?=4c83>5<52;>mho4>0370?x}6:=h1<7>52;07b`4=998==6st136a>5<72;098kk::02121=zs88?n7>50;0961`b13;;>;m4}z310g<729096?:if18247123tq:>9l50;296?43no=1==<73:x572e290;6?4=4gdb>4650?1vw<<;b;294?4=:=lmi7??29g8y~7525`94?6=:38><=m5110b1>{|9;>i6=4?:381156a28:9m55r{007f?6=8381>8>>3;336g67379h0:7<:0339554d?2wp=?:m:183>7<5=98?6<>=c`9~443j3:1<7<524215<52;?;>k4>03fa?x}6:=h1<7>52;06460=998n56st136a>5<72;099==6:021af=zs88?n7>50;096064l3;;>k:4}z310g<729096?;?458247`c3tq:>9l50;296?428=h1===?b:x572e290;6?4=516f>4648l1vw<<;b;294?4=:<:>>7??3048y~7525`94?6=:38><;>51111`>{|9;>i6=4?:381150428:8?=5r{007f?6=8381>8>97;3376>737>l0:<>:>;|y261d=83:1>7<:06795553n2wp=?:m:183>7<5=9=36<><539~443j3:1<7<52424g?77;5<52;?;4>4>0240?x}6:=h1<7>52;064=g=999=o6st136a>5<72;099<:8:0276<=zs88?n7>50;096047<3;;8h84}z310g<729096?;=c08240g43tq:>9l50;296?42;>o1==890:x572e290;6?4=551a>4609m1vw<<;b;294?4=:<>m47??7ec8y~7525`94?6=:38>::<511;60>{|9;>i6=4?:381124a28:j=<5r{007f?6=8381>89jc;33efc73?=k0:7<:90:955dai2wp=?:m:183>7<5=08;6<>l039~443j3:1<7<524;15<52;?2?=4>0b31?x}6:=h1<7>52;06=6>=99i:m6st136a>5<72;0994:=:02`61=zs88?n7>50;0960?313;;o?l4}z310g<729096?;652824f523tq:>9l50;296?42146d25`94?6=:38>5:h511a55>{|9;>i6=4?:3811<>128:h:55r{007f?6=8381>8760;33g2473>1>0:7<:9`3955e?;2wp=?:m:183>7<5=0k36<>l8`9~443j3:1<7<524;a6?77k0>0qv?=4c83>5<52;?2n44>0b;a?x}6:=h1<7>52;06=f5=99ij96st136a>5<72;0994mn:02`ef=zs88?n7>50;0960?c<3;;oo84}z310g<729096?;6dc824fdc3tq:>9l50;296?421l?1==ml7:x572e290;6?4=58g`>46dkl1vw<<;b;294?4=:<3m:7??ce:8y~7525`94?6=:38>m=9511af=>{|9;>i6=4?:3811d6b28:hj=5r{007f?6=8381>8o>8;33gcg73f9o0:>;|y261d=83:1>7<:a3;955b7j2wp=?:m:183>7<5=h9;6<>k139~443j3:1<7<524c0e?77l8i0qv?=4c83>5<52;?j8<4>0e00?x}6:=h1<7>52;06e1d=99n9h6st136a>5<72;099l;=:02g71=zs88?n7>50;0960g2k3;;h>k4}z310g<729096?;n62824a223tq:>9l50;296?42i?n1==j;f:x572e290;6?4=5`57>46c=?1vw<<;b;294?4=:25`94?6=:38>m5h511f45>{|9;>i6=4?:3811d?128:o;55r{007f?6=8381>8on0;33`=473fi>0:7<:ac3955b>;2wp=?:m:183>7<5=hh36<>k9`9~443j3:1<7<524c`6?77lh>0qv?=4c83>5<52;?jo44>0eca?x}6:=h1<7>52;06ea5=99ni96st136a>5<72;099ljn:02gff=zs88?n7>50;0960gb<3;;hn84}z310g<729096?;nec824aec3tq:>9l50;296?42io?1==jk7:x572e290;6?4=5`d`>46cll1vw<<;b;294?4=:25`94?6=:38>n<9511fe=>{|9;>i6=4?:3811g7b28:n<=5r{007f?6=8381>8l=8;33a5g73e:o0:;|y261d=83:1>7<:b2;955c6j2wp=?:m:183>7<5=k>;6<>j239~443j3:1<7<524`7e?77m;i0qv?=4c83>5<52;?i9<4>0d10?x}6:=h1<7>52;06f0d=99o8h6st136a>5<72;099o8=:02f01=zs88?n7>50;0960d1k3;;i9k4}z310g<729096?;m72824`323tq:>9l50;296?42j>n1==k:f:x572e290;6?4=5c:7>46b>?1vw<<;b;294?4=:25`94?6=:38>n4h511g;5>{|9;>i6=4?:3811gg128:n455r{007f?6=8381>8lm0;33a<473ej>0:7<:bb3955cf;2wp=?:m:183>7<5=ki36<>ja`9~443j3:1<7<524`g6?77mk>0qv?=4c83>5<52;?ih44>0d`a?x}6:=h1<7>52;06f`5=99oh96st136a>5<72;099okn:02fgf=zs88?n7>50;0960da<3;;ii84}z310g<729096?;mfc824`bc3tq:>9l50;296?42k9?1==kj7:x572e290;6?4=5b2`>46bml1vw<<;b;294?4=:25`94?6=:38>o?9511d3=>{|9;>i6=4?:3811f4b28:m==5r{007f?6=8381>8m<8;33b4g73d;o0:;|y261d=83:1>7<:c5;955`5j2wp=?:m:183>7<5=j?;6<>i339~443j3:1<7<524a6e?77n:i0qv?=4c83>5<52;?h:<4>0g60?x}6:=h1<7>52;06g3d=99l?h6st136a>5<72;099n9=:02e11=zs88?n7>50;0960e0k3;;j8k4}z310g<729096?;l82824c023tq:>9l50;296?42k1n1==h9f:x572e290;6?4=5b;7>46a??1vw<<;b;294?4=:25`94?6=:38>olh511d:5>{|9;>i6=4?:3811fd128:m555r{007f?6=8381>8ml0;33bd473dk>0:7<:ce3955`e;2wp=?:m:183>7<5=jn36<>ib`9~443j3:1<7<524af6?77nj>0qv?=4c83>5<52;?hi44>0gaa?x}6:=h1<7>52;06gc5=99lo96st136a>5<72;099nhn:02e`f=zs88?n7>50;0960b7<3;;jh84}z310g<729096?;k0c824ccc3tq:>9l50;296?42l8?1==hi7:x572e290;6?4=5e3`>46anl1vw<<;b;294?4=:01:8y~7525`94?6=:38>h>951022=>{|9;>i6=4?:3811a5b28;;>=5r{007f?6=8381>8j;8;3247g73c;|y261d=83:1>7<:d4;95464j2wp=?:m:183>7<5=m<;65<52;?o;<4>1170?x}6:=h1<7>52;06`2d=98:>h6st136a>5<72;099i6=:03321=zs88?n7>50;0960b?k3;:<;k4}z310g<729096?;k928255123tq:>9l50;296?42l0n1=<>8f:x572e290;6?4=5ec7>4770?1vw<<;b;294?4=:0828y~7525`94?6=:38>hoh5102b5>{|9;>i6=4?:3811ae>28;;mo5r{007f?6=8381>8jk0;324g473clh0:==ll;|y261d=83:1>7<:dd39546d;2wp=?:m:183>7<5=moi60qv?=4c83>5<52;?ojn4>11ff?x}6:=h1<7>52;06a55=98:n96st136a>5<72;099h>k:033ac=zs88?n7>50;0960c6<3;:9l50;296?42m;?1=476981vw<<;b;294?4=:10:8y~7525`94?6=:38>i9951031=>{|9;>i6=4?:3811`3628;:?>5r{007f?6=8381>8k:8;3256g73b>;0:=<:;;|y261d=83:1>7<:e7;95473j2wp=?:m:183>7<5=l=86549~443j3:1<7<524g4e?7695<52;?n494>1045?x}6:=h1<7>52;06a=d=98;=h6st136a>5<72;099h7::03232=zs88?n7>50;0960c>k3;:=:k4}z310g<729096?;ja78254>?3tq:>9l50;296?42mhn1=476101vw<<;b;294?4=:1`28y~7525`94?6=:38>in<5103b0>{|9;>i6=4?:3811`e328;:m;5r{007f?6=8381>8kl6;325d>73bk10:=7<:ebc9547fk2wp=?:m:183>7<5=lih6ad9~443j3:1<7<524g`a?769k:0qv?=4c83>5<52;?nh=4>10`1?x}6:=h1<7>52;06aa4=98;i86st136a>5<72;099hj;:032f3=zs88?n7>50;0960cc>3;:=o64}z310g<729096?;jd98254df3tq:>9l50;296?42mmk1=476jl1vw<<;b;294?4=:1b28y~7525`94?6=:38>ih<5103`0>{|9;>i6=4?:3811`c328;:o;5r{007f?6=8381>8kj6;325f>73bm10:=7<:edc9547dk2wp=?:m:183>7<5=loh6cd9~443j3:1<7<524gfa?769m:0qv?=4c83>5<52;?nj=4>10f1?x}6:=h1<7>52;06ac4=98;o86st136a>5<72;099hh;:032`3=zs88?n7>50;0960ca>3;:=i64}z310g<729096?;jf98254bf3tq:>9l50;296?42mok1=476ll1vw<<;b;294?4=:1d28y~7525`94?6=:38>j=<5103f0>{|9;>i6=4?:3811c6328;:i;5r{007f?6=8381>8h?6;325`>73a810:=7<:f1c9547bk2wp=?:m:183>7<5=o:h6ed9~443j3:1<7<524d3a?769o:0qv?=4c83>5<52;?m==4>10d1?x}6:=h1<7>52;06b44=98;m86st136a>5<72;099k?;:032b3=zs88?n7>50;0960`6>3;:=k64}z310g<729096?;i198254`f3tq:>9l50;296?42n8k1=476nl1vw<<;b;294?4=:2128y~7525`94?6=:38>j?<510030>{|9;>i6=4?:3811c4328;9<;5r{007f?6=8381>8h=6;3265>73a:10:=?>n;|y261d=83:1>7<:f3c95447k2wp=?:m:183>7<5=o8h65<52;?m?=4>1331?x}6:=h1<7>52;06b64=988:86st136a>5<72;099k=;:03153=zs88?n7>50;0960`4>3;:><64}z310g<729096?;i3982577f3tq:>9l50;296?42n:k1=<<>c:x572e290;6?4=5g1`>4759l1vw<<;b;294?4=:2328y~7525`94?6=:38>j9<510010>{|9;>i6=4?:3811c2328;9>;5r{007f?6=8381>8h;6;3267>73a<10:=?7<:f5c95445k2wp=?:m:183>7<5=o>h65<52;?m9=4>1311?x}6:=h1<7>52;06b04=988886st136a>5<72;099k;;:03173=zs88?n7>50;0960`2>3;:>>64}z310g<729096?;i5982575f3tq:>9l50;296?42n475;l1vw<<;b;294?4=:i7?>2528y~7597pu>25`94?6=:38>j;<510070>{|9;>i6=4?:3811c0328;98;5r{007f?6=8381>8h96;3261>73a>10:=?:n;|y261d=83:1>7<:f7c95443k2wp=?:m:183>7<5=o5<52;?m;=4>1371?x}6:=h1<7>52;06b24=988>86st136a>5<72;099k9;:03113=zs88?n7>50;0960`0>3;:>864}z310g<729096?;i7982573f3tq:>9l50;296?42n>k1=<<:c:x572e290;6?4=5g5`>475=l1vw<<;b;294?4=:4=5g5e>24d2>9;7pu>25g94?6=<38>j5>573f9367=?:80qv?=4d83>5<42;?m4<482d846c=zs88?i7>50;0960`?:3=8?6st136a>5<72;099k7?:34`a>{|9;>n6=4?:34960`>938=ok4=6e2963b62;7<9d2812a2=:?n>6?8k7;05`=<5>mk1>;jl:34ga?41m809:h<526;4>71>038<544=78c962?c2;=2j7<8a1813d7=:>k96?9n3;04e1<5?h?1>:o9:35b3?40i109;l7526cb>71fj389h6?9::?:3575?40<;09;9=52667>713=38<8;4=7559622?3tq:>9k50;296?42n0<1>::6;|y261c=83:1>7<:f859622f3tq:>9k50;296?42n021>::m;|y261c=83:1>7<:f8;9622d3tq:>9k50;296?42n0k1>::k;|y261c=83:1>7<:f8`9622b3tq:>9k50;296?42n0i1>::i;|y261c=83:1>7<:f8f962373tq:>9k50;296?42n0o1>:;>;|y261c=83:1>7<:f8d962353tq:>9k50;296?42nh:1>:;<;|y261c=83:1>7<:f`3962333tq:>9k50;296?42nh81>:;:;|y261c=83:1>7<:f`1962313tq:>9k50;296?42nh>1>:;8;|y261c=83:1>7<:f`79623?3tq:>9k50;296?42nh<1>:;6;|y261c=83:1>7<:f`59623f3tq:>9k50;296?42nh21>:;m;|y261c=83:1>7<:f`;9623d3tq:>9k50;296?42nhk1>:;k;|y261c=83:1>7<:f``9623b3tq:>9k50;296?42nhi1>:;i;|y261c=83:1>7<:f`f962073tq:>9k50;296?42nho1>:8>;|y261c=83:1>7<:f`d962053tq:>9k50;296?42nk:1>:8<;|y261c=83:1>7<:fc3962033tq:>9k50;296?42nk81>:8:;|y261c=83:1>7<:fc1962013tq:>9k50;290?42nk>1>:7m:35:g?401l1vw<<;e;294?3=:o86?9j4:x572b290;6?4=5g`5>71b=2wp=?:j:183>7<5=oh<6?9j6:x572b290;6?4=5g`;>71b?2wp=?:j:183>7<5=oh26?9j8:x572b290;6?4=5g`b>71b12wp=?:j:183>7<5=ohi6?9ja:x572b290;6?4=5g``>71bj2wp=?:j:183>7<5=oho6?9jc:x572b290;6?4=5g`f>71bl2wp=?:j:183>7<5=ohm6?9je:x572b290;6?4=5ga3>71bn2wp=?:j:183>7<5=oi:6?9i0:x572b290;6?4=5ga1>71a92wp=?:j:183>7<5=oi86?9i2:x572b290;6?4=5ga7>70b;2wp=?:j:183>7<5=oi>6?8j4:x572b290;6?4=5ga5>70b=2wp=?:j:183>7<5=oi<6?8j6:x572b290;6?4=5ga;>70b?2wp=?:j:183>7<5=oi26?8j8:x572b290;6?4=5gab>70b12wp=?:j:183>7<5=oii6?8ja:x572b290;6?4=5ga`>70bj2wp=?:j:183>7<5=oio6?8jc:x572b290;6?4=5gaf>70bl2wp=?:j:183>7<5=oim6?8je:x572b290;6?4=5gf3>70bn2wp=?:j:183>7<5=on:6?8i0:x572b290;6?4=5gf1>70a92wp=?:j:183>7<5=on86?8i2:x572b290;6?4=5gf7>70a;2wp=?:j:183>7<5=on>6?8i4:x572b290;6?4=5gf5>71e92wp=?:j:183>7<5=on<6?9m2:x572b290;6?4=5gf;>71e;2wp=?:j:183>7<5=on26?9m4:x572b290;6?4=5gfb>71e=2wp=?:j:183>7<5=oni6?9m6:x572b290;6?4=5gf`>71e?2wp=?:j:183>7<5=ono6?9m8:x572b290;6?4=5gff>71e12wp=?:j:183>7<5=onm6?9ma:x572b290;6?4=5gg3>71ej2wp=?:j:183>7<5=oo:6?9mc:x572b290;6?4=5gg1>71el2wp=?:j:183>7<5=oo86?9me:x572b290;6?4=5gg7>71en2wp=?:j:183>7<5=oo>6?9l0:x572b290;6?4=5gg5>71d92wp=?:j:183>7<5=oo<6?9l2:x572b290;6?4=5gg;>71d;2wp=?:j:183>7<5=oo26?9l4:x572b290;6?4=5ggb>71d=2wp=?:j:183>7<5=ooi6?9l6:x572b290;6?4=5gg`>71d?2wp=?:j:183>7<5=ooo6?9l8:x572b290;6?4=5ggf>71d12wp=?:j:183>7<5=oom6?9la:x572b290;6?4=5gd3>71dj2wp=?:j:183>7<5=ol:6?9lc:x572b290;6?4=5gd1>71dl2wp=?:j:183>7<5=ol86?9le:x572b290;6?4=5gd7>71dn2wp=?:j:183>7<5=ol>6?9k0:x572b290;6?4=5gd5>71c92wp=?:j:183>7<5=ol<6?9k2:x572b290;6?4=5gd;>71c;2wp=?:j:183>7<5=ol26?9k4:x572b290;6?4=5gdb>71c=2wp=?:j:183>7<5=oli6?9k6:x572b290;6?4=5gd`>71c?2wp=?:j:183>7<5=olo6?9k8:x572b290;6?4=5gdf>71c12wp=?:j:183>7<5=olm6?9ka:x572b290;6?4=6123>71cj2wp=?:j:183>7<5>9::6?9kc:x572b290;6?4=6121>71cl2wp=?:j:183>7<5>9:86?9ke:x572b290;6?4=6127>71cn2wp=?:j:183>7<5>9:>6?9j0:x572e290;6?4=60g;>72602wp=?:j:183>75=:?;n57<;188104g=:=;i6?:>c;075a<5<8o1>9<>:3616?43:=098?l5250`>72b938?i?4=4d1961c32;>n;7<;e8810`g=:=oi6?:jc;07aa<59ki:36e4?43n8098k<525d0>72a<38?j84=4g4961`02;>m47<;f8810cg706mh098725>38?>54=43;8y~755<6;38==hm525:2>72?:38?4>4=496961>22;>3:7<;86810=>=:=226?:7a;0796k:36;a?430o0984>525;2>72>:2wp=?:j:183>7<5>8oo6?:63:x572b290;6?4=60gf>72><2wp=?:j:183>7<5>8om6?:65:x572b290;6?4=60d3>72>>2wp=?:j:183>7<5>8l:6?:67:x572b290;6?4=60d1>72>02wp=?:j:183>7<5>8l86?:69:x572b290;6?4=60d7>72>i2wp=?:j:183>7<5>8l>6?:6b:x572b290;6?4=60d5>72>k2wp=?:j:183>7<5>8l<6?:6d:x572b290;6?4=60d;>72>m2wp=?:j:183>7<5>8l26?:6f:x572b290;6?4=60db>72f82wp=?:j:183>7<5>8li6?:n1:x572b290;6?4=60d`>72f:2wp=?:j:183>7<5>8lo6?:n3:x572b290;6?4=60df>72f<2wp=?:j:183>7<5>8lm6?:n5:x572b290;6?4=6323>72f>2wp=?:j:183>7<5>;::6?:n7:x572b290;6?4=6321>72f02wp=?:j:183>7<5>;:86?:n9:x572b290;6?4=6327>72fi2wp=?:j:183>7<5>;:>6?:nb:x572b290;6?4=6325>72fk2wp=?:j:183>7<5>;:<6?:nd:x572b290;6?4=632;>72fm2wp=?:j:183>7<5>;:26?:nf:x572b290;6?4=632b>72e82wp=?:j:183>1<5>;:i6?:j5;07a3<55<22;<97<:359~443m3:1<7<527027?42;<1vw<<;e;294?4=:?8:87<:379~443m3:1<7<527021?42;>1vw<<;e;294?4=:?8::7<:399~443m3:1<7<527023?42;01vw<<;e;294?4=:?8:47<:3`9~443m3:1<7<52702=?42;k1vw<<;e;294?4=:?8:m7<:3b9~443m3:1<7<52702f?43:m1vw<<;e;294?4=:?8:o7<;2d9~443m3:1<7<52702`?43:o1vw<<;e;294?4=:?8:i7<;319~443m3:1<7<52702b?43;81vw<<;e;294?4=:?89<7<;339~443m3:1<7<527015?43;:1vw<<;e;294?4=:?89>7<;359~443m3:1<7<527017?43;<1vw<<;e;294?4=:?8987<;379~443m3:1<7<527011?43;>1vw<<;e;294?4=:?89:7<;399~443m3:1<7<527013?43;01vw<<;e;294?4=:?8947<;3`9~443m3:1<7<52701=?43;k1vw<<;e;294?4=:?89m7<;3b9~443m3:1<7<52701f?43;m1vw<<;e;294?4=:?89o7<;3d9~443m3:1<7<52701`?43l:1vw<<;e;294?4=:?89i7<;d59~443m3:1<7<52701b?43l<1vw<<;e;294?4=:?88<7<;d79~443m3:1<7<527005?43l>1vw<<;e;294?4=:?88>7<;d99~443m3:1<7<527007?43l01vw<<;e;294?4=:?8887<;d`9~443m3:1<7<527001?43lk1vw<<;e;294?4=:?88:7<;db9~443m3:1<7<527003?43lm1vw<<;e;294?4=:?8847<;dd9~443m3:1<7<52700=?43lo1vw<<;e;294?4=:?88m7<;e19~443j3:1<7<5271ee?cd>2wp=?:j:183>3<5>:li6hm7:df5>`b?2lnj6hjj;|y261c=83:1>7<93gf9a`?704nl0nin5r{007a?6=8381>;=ic;gg=>{|9;>i6=4?:381215>2llm7pu>25g94?6=:38=8>o5f138y~75?=7h?2:x572b290;63;d21?`5<3l997h=6;d13?`503l957h=a;d1f?`5k3l9h7h=e;d1b?`483l8=7h<2;d07?`4<3l897h<6;d03?`402wp=?:j:183>7<5>=>>6k>8;|y261c=83:1>7<94549b5d703<>0m=l5r{007a?6=8381>;:;8;d17>{|9;>n6=4?:38121242o;m7pu>25g94?6=:38=89:5f168y~7525`94?6=;38=8oh56e85b>{|9;>i6=4?:d`9632d83ioi94ldd:9gacd2jnm<7mkf58``c>=kmlh6nk?0;af41l:bg24?eb9=0hi<65cd3`>fc583in>94le3:9g`4d2jo8<7mj358`a6>=kl9h6nk;0;af01fc183in:94le7:9g`0d2jo<<7mj758`a2>=kl=h6nk70;af<1fcf83inm94le`:9g`gd2joi<7mjb58`ag>=klhh6nkl0;afg1fcb83ini94led:9g`cd2jom<7mjf58`ac>=kllh6nh?0;ae41l:bd24?ea9=0hj<65cg3`>f`583im>94lf3:9gc4d2jl8<7mi358`b6>=ko9h6nh;0;ae01f`183im:94lf7:9gc0d2jl<<7mi758`b2>=ko=h6nh70;ae<1f`f83imm94lf`:9gcgd2jli<7mib58`bg>=kohh6nhl0;aeg1f`b83imi94lfd:9gccd2jlm<7mif58`bc>=kolh6i>?0;f341l:e224?b79=0o<<65d13`>a6583n;>94k03:9`54d2m:8<7j?358g46>=l99h6i>;0;f301a6183n;:94k07:9`50d2m:<<7j?758g42>=l9=h6i>70;f3<1a6f83n;m94k0`:9`5gd2m:i<7j?b58g4g>=l9hh6i>l0;f3g1a6b83n;i94k0d:9`5cd2m:m<7j?f58g4c>=l9lh6i??0;f241l:e324?b69=0o=<65d03`>a7583n:>94k13:9`44d2m;8<7j>358g56>=l89h6i?;0;f201a7183n::94k17:9`40d2m;<<7j>758g52>=l8=h6i?70;f2<1%7):<3+(?01;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4193456789:;<=>?0123456789:;<=>?16D2017791;:H=??18A350E7:?:;=9<>C9074>6789:;<=>?0123456789:;<=>?0126416789?:990@53<42739:;<=>?0123456789:;<=>?01234532:9:;<=>?0123456789:;<=>?0C63G5D7J=:0<=>?0123456789:;<=>?012345678K23<=>?0123456789:;<=>?0123456789:;8=5?0123456789:;<=>?0123456789:;N57?01234567<9:;N=L?51:;7507J0O;:=6;0:23456789:;<=>?0123456789:;<=>M9923456789:;<=>?0123456789:;<=>M9963?56789:;<=>?0123456789:;<=??00A5456789:;<=>?0123456788>?==?0123456789:;<=>?0471401589:;<=>?0123456789:;<=>?01234567<91;<=>?0123456789:;<=>?01233055<>9;<=>?0123056?99<?0123456789:;<=>?01234560=:8=;>>?01274536=8:>N112B55>68?=:<4:?;123456789:;<=>?012345678;:;==?0123456789:;>5:>03A1456789:;<=>?0123456789:;?=>>03A105=789:;<=>?0123456789:;<=><31336F4789:;<=>?0123456789:;<=>?0123456382:;<=>?0123456789:;<=>?0170146>08:;<=>?0123456789:;<=>?012345>68<>;7=>?0123456789:;<=>?012;7572K8I>O=>?0123456789:;<=>?0123456789:;<9>40123456789:;<=>?0123446788>:=N7L0123456789:;<=>?012345679=2:=N:=4193456789:;<=>?0123456799:;8=:?0@33456789:;<=>?0123456789:;<=>?01274>6789:;<=>?0123456789:?<=;<5026BC6789:;<=>?0123456789:;<=>?0123452739:;<=>?0123456789:;<<:;10A@F4E>K9:;<=>?006G057D:8IH>=<;10A;54E?:=:0<=>?0123456789:;<=>?15625FEE9J3H<=>?15F765268;2H?0120BC@789:8JKH?0123456789:;<=>?0123456789:;<=>;0:23456789:;<=>?012345>?IO:>?0123456789?:<99=2C63?56789:;<=>?012345678OLMJ=>?0GDEB56789:;<=>?0123456789:;<=>?01DFG16<89:;<=>?0123456788>:?0123456789:;<=>?03;75F>5991;<=>?0123456789;:<6>?0123456789:;?0523<46180:=<49?8026456789:;<=>?0123433480:=<49?802605=789:;<=>?012320468;K3?=;?61;325>789:;<=>?0123456789:>==:?9144650382:;<=>?01234573L=:94??01234573<8:9M=;7=>?0123456788=;4M93N:=7LF15BF5?5893<4<>40123456789:;>=>?4193456789:;<=>=913353C788L;<9?0123456789:;<=>?01224>6789:;<=>?01:7647739:;<=>?01234AEDK?0123457789;;<1123547788;;<=>>1033557789;;=1023557698;;==>?0023457789:;<=>?0028456789:;<=6?012355=789:;<=>?1123456382:;<=>?01224547?O:?<9?0123456789:;<=>>D53@@F7D0;;;7=>?012345>789:;<<>40123456798;>98>?1193456789:8<5>?01224>6789:;<==:0123457739:;<=>?02:3456788:0<=>?0123<56789:;==5?0123456FIHKMO>>>0:23456789KI<>><0233?56789:;?FG14BC5102:;<=>?0033?56789::<=>0:23456709:;4=6?0133?56789:J<=>70123<==789:;0:234567KJ:;<=H?A@33?56789:MI=>?012EB3=789:;=55?0123556682:;<=>>0123446789;;7=>?0133457789:;<<>40123446798;:=8:23456589;;7=>?010345>789K;<<>4012347>709:3<=>?41934567;8>;=IM=0BC14176KL:;<5=>C4A3456789:;==>?19314177:C8A;?56789>;<55?0123<56682:;<=>601;34<6789>;7=>?02DEB6@AN:LH<=>;10A0B4E7:8I;N>HLB102054AN9L??9>401231463NJ:=8=:=9>;>0123456789:;9>;>FG264<6F8?8J>LHI419345629<;;9=882664550AN9?;:=O80933456789:;<=>?0123456789:;<=>?B9:24>6789LM9>HIFG23EF2<89::46>?002345><89::=8:23476789;;7=>?2123456789:;<<>40121456789:9?11934547898;<=<789C24>678;:34?>?012;<77739:;8=>?4123056788:0<=>;41234567==?;46>?056701><89:?89;;119345>789:;<=>?01224>678H:;?0123457739:;NO>?BC2AFG6EJ=1;<<>>0:23556789::=<>>00:8457799:;==5?0022456789::<=>>0:23557799;;==??1133?56688::<<>>0032416<89;><=>?0123456789::<=>I012072729M8><=><01204G5D8:;H<==K01254566<91;?2B014765818;<=>?0123456799:8I==?1320A5579;:;N;>=0060B1D4NJL:<6>?21:14567:J29<=:?;120756789:88=>?02A@=G7F9=3389=>94CAE34C999O?==L027B1F5F?O9M::??40284527NOLM<=>?FGDE55=78?8@CB67N=:;<=<40033?57789:;<=>?0123446<88:;<=>?00104554991;==>?0133457789:37=??0123<==799:;==?;0:224566L=::;K?LC33@5047<8::8??L8323456789:;<=>?01234567899J<<>=C333?57799:;==??0123446<88::<<>?01234567991;==??1133456799:?<6>>032F6565>98;=C3034G65M>:M8>><40124A65K;9H=1504B5578::N><:4003355=798:;==??1023456?39;:<<>>0028447799;;==??11330>6698;;7=?>1GDEBC@ANOLMJ<>4006644EC::>>>>MK41935037866>8;H8<<>0403E547K<8;>=>=8@2@@F63>I423@2773I;::5I>=1B035F><88N;<<;?2:210>658920?012355=7:9:;<=>?2103656682:9<=>=0121476589;;7=403214765:;:9=11936545:;:;>=658=8=>I422E0666J>9MOO?:943@<6468<;H;N><3G3@<7><8;?<>?;811936=G3>JO:?4L:7ED74>65M>8I421F5<:02037545LM:I:??;94036527398N;>H7F333B5C5:98=<<:6C207F437K8I2O?7>430A65D18:?;?==901175753J=:08<>?;21035F65;;8;4:>I946><01214777399;===?111355578=:0<>:>226A505E;;>II:9>>8=5?37237175?9H;N;?<61137367;=;9;=L?33336@65?O<;J9=?F5116@560:27456789:;<=>;0163?52789H;NKHIF1;3253?;933=KH83143<56789:;<=>?014667G?;18;9=8?9143<46<8=:?<9>;1427416302:?<9>;0B:84172ILHM46>;4523457739>?99;;51630523==:0<9HI0B:47<>7==?9HN=770564=339=2?;=780772<1>59=:=J:HM055217>0;823==5?502264>609:;4<67;17;4=>78=:0<;>>707:0=2A8M:?H:;834221@E2>M>NHO<98CG5259BD:84=67012;==5?81:3<5>FI92;4=6>0:2;4=6?81LM<5ML0933?5>5IOLMJ8J8FGDEB1=7012?7=6NE5284<21NO:;<=HIFGDEBC@A89:;O<=I0E27B45?:L:29?;=FB31=45?=;=;<89?9GG705=7I9?3<8=<30C54@0C>L?M8?K=62F747EB?LN;O5>?DE23446799N;M5L:812345B682:J?32DEBC67;:>;7=L?B2F3@50?=1:9I=L?B9G0N1;;N8<801:44265L882J9>40C437CB3::K<=8?;15;74FBD:;:JI>=8>4193F37598>9<>>?12D3F364:=H9<=?C2D10544=99;>?>?F5174>6E>;;O=9>M60170@729M9O4HC5270F649J93OO>MA03@=32739H3?=J731F30D?5L:3<>L><29CB=6>?8>;3=7K1:OO>J?D2F3@<67I=:09;?9<8;9325735>6:L33=?;=2DC44336?;=>;?<85476351>NJ9O8=5?D163E5E?99H3O=M803FB4=6?K928H=JIE97;521ANOL84:77114;4CCAM0NJ>>77;1G3E5E78=:0<>?L1B0244328:;H=N<>0076513D8O>88=5?F5136@44NL?:OIJ?21@21<37=0I;I??>44614366K:O8J:H?24A3B157;8>:O8M;0:2E0645NL:I:??LD521A77D0:8;>>0028557798;;NLLNBC@B05=688:3==;839147=768<9:<<>>0022446688::<<>>0022446789:;<=>?4120B<0682;;==HI113355779920==?>013305=6802<<56793F1@207I<3388970B230C>4012;55?:261EB400<9284::>240;<4>?38:IM<>>09924C@688::<6?>0132056688::<=:4102255=699;:<=>>0122456682;:<10DEAC@ANO20=?1123456682;:=<>>113254678920=112355=698;:<=>>0122456682;:=1022446689;;71032546688:;<<>41032547699;:=119254729<3;=<6K421;?476NO;MJ<>41072=98?:=<;>11926446<9K:<<>>4127?44FI8:0=>><1220566499:;=l5>3;KMTPR=IMNYMZ\NDEP?57<768k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vT(ACLD-;?.123456789*.=<5<1373>uU=@@MC68>*4:1175753:81EC^ZT;FJE956294:>6==:HLSQQ112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5==5<32143654;:=<;>9>0:102267>?:;<=>?0163?65098=8?5LJ392;42?2?9>M5?J769;65014<>?<<8;<36144C@5MOL:?8;:7456647<;?0DYY^ZT;O?70<76=:0?KHI212E646A9=<9=98?0C7@7C3380K8=9;;3GG35F47:98;=I?L001E46479JO;=6:=;527?167811?<=>;0567?1679=1?=759747>33=:9595;0227?164911?<9>;0B2;?1638OL?<95;056;?1628<:><95;0431?17?3=;;<=>;159701633=>?=<>445670523=98;:8:670123<9;;79:;E5670123<=>?855;4463446?3=>>89:?402800268<::89:?052355=3==>?89:;4567012?3=??JKHIF0280=AOWJ591<3??;58JJUSS2^OJ0>4?>0280?OIX\^1[HL33;2=57=32F__\XZ5DNRB86<7688087AZTQWW>AIWJ591<3??;5F70AB3L=N?89J;4096<>37=998<=??;426465789:;L09756A37?<=>4N>750277=368H?89?9=0726BC6209=9?N>;0B724215991>=<>>0026507298::<6;>416305B><9I38=??;4364@7B98;:L80D1<5>701:IMHM91314F?3IH:I45?=52;@6>3302??==>?01:8117799;;==5:44220463<=:;8=>7;4661032==:099;9705017170::=;49?FC1G16@A:?L9=?;=04703716?;8<>;JK13714034?;=:8=5:4930<230>>?>;8;34=M?8221A=370<>3:<9:?0022456682?>99:;55325567992098;:013355=2=;4527016682?>98::04765032=8;;78;:54325123<=:;<<>454761476=9=>?8:761032==;;78;:5476157789:;<<>45476103288;;==:;11961032=32=98??11325577398;N94761031=8:098;85476456589:;46;:5E234=><=;4196202?9;K<85?<167FB6>40>;<>5>713C46=7?>:N>=:>8891FE6>2;<>:<:;<86326>3=AGZ^X7JNDEP?0?69991>H:H?83C6@2@2L>L:7;6463670523991=?;=937452351>?<97;:;;761446<>=>=:;:965212365<25:?;7:F<5E?K82>=8:MF8:31A2D;>82H8<75@:24=1?K19MJ=>=011313>EK=L>:=>80395=7=1I=1=M<:;;7CB61=1IHK?7;MN0595A@133?LM:559FG4EBC@68289;?8L90066532;92<=:>7417675>09>:38=;:31:4526?<9?>?=68162;0520<8:0;?9=73513715?;8<869=7D63?257K<<38?KN74:67C@6M9N<4=J?49:3G=5AN>8;O5>820366=D09:N;H46K91@B41668M2J;5371BC61?9:39<6<10;E=CB3<91:?8413G250;<2<9?9:292;47?60:93?<9:461425E?99?>98=<89745=6519??<69<53;G7=5?09=>;8;:541G4A6789:;<=>?012745628ML9?59>2D:7577581:IM=64725A270F02=>98J>>?0123B46J:9:F656B2@0991<9KJ<1C;50@E58H2?<699502;16G709LMJK=7FG:;4=@ALOLM<;99095;4F708J3MM9O>AE75BG62=::>;?>?00283230NONM>?>=A@:B0>10>L20;:9IFGGF0>1?01>0;I<72:5E55=0N>L8N?OLMJ558FGDEBC@682=MJKHIFG4FA@CBML;;7:HIFGDEBC@ANOLMJ<572::30>>789204=>?012355=?89:;<=>?01234566822;<=>?0123456781;;75>?01234=>789:;<557012G1033822;>87353<1>70=?:4=9<7127BC>419;;75>=212:<=65:9::<<>48163657781:?>419;424?8;O9I46:247;4222;<>8H=J:7E54066?0:N>8:=<89:42=64N12>>::842024>>7092;4=>?01:3457731:J<5MNF@2B4D@FN=13I6MC6374F?AI=K:MI;=FC:740>38<;;==I;;8@63?=76?<8<>=;ID92G3D64:1=84>68A90:BCCD=1<84?K?92;46275L>:;4K6?56024==?:9:;<5<>0::147>7892;<=6?0163?=27=9I<>:>74413G2708;=J98::1821F237?>><858=K50:BG<4CNO:=O4K8@C:4F>7<22?><648502456799138???0123456789::<66;20:764>3:8;949??;9:;456?8HKJ>?7892;<=??;9:;<=>?8123<5670028<=>?0123JNH789:;<>>?0H234=??;9::<56689::<=>>0028<=G?01K3MLON89C;55=?0H23MLO?0323674?312J4LONA028<=B?01N3JKHI89F;55=?0ML;<89789C345468223J5MLFB:;B=>?N1;8754FNQWW>JVJGMO7;7>1159;>JSSX\^1_YO[UR>4>58331K=><>48@:14D65012;<5>?119;E=>?012;>=>?01224>>F0H2J5O6N8@:B<0H2JOH6N8::BEDBANO804O??;9A@G=E781:HO5>?0028>C:22N866JDG08;868=56>9912<=7?0123456>893:<67>91:2<57699::<=<49968==77<9124>6:36;4=CCANOLMJO;:A904@2>5MJ;M8>6?99346=65M1;;48==D903E5E7K>8374ONA@CBE7=>J112N<=7A1074>?E0;2?<87NA47016118?3?;=6?C9564F6??9LIJK6?81564F320=I?4=6?0B:GBC7730I254M7806254278=12IKH=;8D2?D4N0@2B4D@FNHIJJ85N0SGD6>G6;2K:<>5N1018E4443H;8?6O>429B5054A3CB674F0923<=>7119B6DGB>HKJ>LON2@C;?D4B>H8J>55N2G0B3C153H997L:=;@724>G2IM:>0M;ON4:C5@=480M5:4A90355=F0;2J781:;45633H29I55N89:1456682K345O789C;F=G?01;;7L6N8@:B<56789:J4<>4A9C;E=G?I1:;M5O7119BF0H2J4O6N8@:24>G?I1K3MLH7F9D;BD773H2JML67832167678=1J4KH=;@;7?D?AN=1J0=0:;@>24;3G;9:4>7L2>4?78E9726<1J0<817:C?52<76<1J0<914:C?5;2G;?7>0M1614:C?=;20:CB6745IH29<=>?83:8ED45IH8;86ON5733?DG1IHKJMLONA@CBE1=FI1::<6ON81:;<5>?09234=??;@CB67G5:123<=6?059BED>682KJM5ONA@CBE=CFIH20MLO7A@CA0>GFI0;;7LONA3216C@AN;:9>55NA@C147G682KJML8NA@CBEDGFIH;;7LONA@016=>?01:;<55NA@CB<=>682KJMLON83CBEDGF09;;7LONA@CB6DGFI123<<>4A@CBEDGF89:;<=??119BEDGFIHK;<=>?81224>GFIHKJML>?030167773HKJMLONA3C16745:8:0MLONA@CB<56789:;==5NA@CBEDG?01:;4=>>0:CBEDGFIH23456?0133?DGFIHKJMLONA44:E==FIHKJMLL>0:CBEDGFIKKJMLONA933?DGFILLNMLONA321E==FIHHJML6>0:CBEGGFIH:;<>>?0133?DGFJLLINKHJFDDEB==FIHOJMLO>0:CBE@GFIH:;?01:8EDDF89;;==5NACCBEDG78::;<=>>0:CBFDGFIHKJMLONA@33?DGEIHKIMKHIF@CAE46GEIHKJML><0123454;5NDEPB73=FLMXJ8;5NDEPB13=FLMXJ:;5NDEPB33=FLMXJ4;5NDEPB===FLMXJ0=06;@FGVD:68730MIJ]A=32:<=FLMXJ0<<1b:CG@WG;9:0;245NDEPB845902KOH_O31?:8EABUI58546OKDSC?7;>GCL[K7;364AEFQE9>902KOH_O39?`8EABUI^XJHI\l;@FGVDQUIMNYGCL[K\^LJKR5a8EABUI^XJHI\:c:CG@WGPZHNO^;m4AEFQERTFLMXm2KOH_OXR@FGV969n2KOH_OXR@FGV9776o1JHI\NWSCG@W:697;:7LJKR@UQEABU4881<3h4AEFQERTFLMX7=?0j;@FGVDQUIMNY0<0j;@FGVDQUIMNY0?0j;@FGVDQUIMNY0>0j;@FGVDQUIMNY090j;@FGVDQUIMNY080j;@FGVDQUIMNY0;0j;@FGVDQUIMNY0:0j;@FGVDQUIMNY050j;@FGVDQUIMNY040:;@FGVG08;@FGVG76?2KOH_L>269B@ATE9:<0MIJ]B348EABUJ:<0MIJ]B548EABUJ<<0MIJ]B748EABUJ><0MIJ]B948EABUJ020MIJ]B=2==>GCL[H7==06;@FGVG:69730MIJ]B=31:g=FLMXI0<=50?;8EABUJ5;8255NDEPA848?3HNO^O2=>99B@ATE4:437LJKRC>7:==FLMXI0807;@FGVG:1611JHI\M<6<;?DBCZK63255NDEPA8<8e3HNO^O\KAEFQg>GCL[HYHLJKR1a8EABUJ[NJHI\>d:CG@WDULHNO^<>k;@FGVGTCIMNY=n5NDEPAVAGCL[8h7LJKRCPGEABU;j1JHI\MRECG@W2d3HNO^O\KAEFQ1f=FLMXI^IOKDS4`?DBCZKXOMIJ]7b9B@ATEZMKOH_6l;@FGVGTCIMNY5h5NDEPAVAGCL[6;2k5NDEPAVAGCL[6:<3h4AEFQFWBFLMX7=<0>1:CG@WDULHNO^1?=:1GCL[HYHLJKR=3=a>GCL[HYHLJKR=0=a>GCL[HYHLJKR=1=a>GCL[HYHLJKR=6=a>GCL[HYHLJKR=7=a>GCL[HYHLJKR=4=a>GCL[HYHLJKR=5=a>GCL[HYHLJKR=:=a>GCL[HYHLJKR=;=a>GCLVMGCOOC_QKM7>GCZ=1JH_>;;@FQ51=FL[8?7LJ]359BA5233HOJ>55NE@C3G56682KNMLONA@2@45678920MHOJ09CF55=FMHOJILO?4163056?3HOJIKHNE99BA@G7<=::<6OI0E2G4AGF81:3<5=4AMN0?DHC=2KXUCM>;C08F53D6?<1I=_KH2:@155=E:KH9>O=:;2?>=<795;4F620:=:4?=<83554F4C08I<>I>L75G06A>70=?=4=??;C1:5D4?8H83D3:2H>>6L92:@46>D?:2H286L30?78F9776<1I00:1=E4=4?7O2:>59A83833K6<295M<9<7?G:>6;1IM55MA9C;E=G682HJ4LLN8@CBF0H;;7OONA@CBE66789:;<<>4B@@BFDGF0H2J4LON119AEC@EIOLIMKHMA02:?GGIL^COXE??;C@BEG5?0KHJMOLNA99AFDDE0H237OLM3@CB446H864BCFEBCBA<2HHEC;4BBKM40=EK@D::6LLIO332>DDAG;::6LLIO312>DDAG;8:6LLIO372>DDAG;>:6LLIO352>DDAG;<96LLIO06?GENF:?0NNGA449AGLH2=2HHEC8:;CAJJ23DDAG6;255MCHL?558?3KIBB1?>>99AGLH;9;437OMFN=30:==EK@D7=907;CAJJ972611IOD@3171IOD@31?58FFOI4;4<7OMFN=1=3>DDAG6?2:5MCHL?1;169AGLH;17?0NNAZT79AGJSS8?1IOB[[169AGJSS99=0NNAZT034?GEH]];9;6LLOTV272=EKF__=994BBMVP4303KIDYY?97:@@KPR6??1IOB[[279AGJSS;?1IOB[[479AGJSS=?1IOB[[679AGJSS??1IOB[[879AGJSS111IOB[[<1<:?GEH]]6:<374BBMVP976601IOB[[<00==>DDG\^7=>06;CALQQ:6<730NNAZT=36:<=EKF__0<81b:@@KPR;9>0;245MCNWW841902HHCXZ31?:8FFIR\58546LLOTV?7;>DDG\^7;364BBMVP9>902HHCXZ39?:8FCGAJKKJ96LFP@F0?GSA<2H^JJh4BTDD[IOICZU[@A]9;CWEMJ4?3K_MEBDR[VCEJB94B^KMTPR63J80O=:4C1:305=D81:MH>J722F65=C?JHO;N4H702F;66B291O2<=O?C92;55D7<1:9H5:L93F;<5>682I;O=M?C1A3G5E6K980O<=4C020?F76;2I:>>5L1218G4243J;>?6M>629@525E58:1H><=4C300?F44;2I98>5L2418G7043J8><;B127>E4::1H?>=4C260?F52;2I8:>5L3618G6>43J92>6M;3:A746=D<890O9<<;B607>E3<:1H88=4C540?F20<91H85>7A@;1@=7?0<;>;89?743;0400:9=3?<:819:072769:98H=J3:0=D48:596M310<6?F:6:7?0O1?<>49@8429=2I7=80:;B>22;3E;904?7N2>>49@8769=2I7><0:;B>16;3E;:<4>7N2=6?78G9406<1H0?615:A?6<833J69285L<22=1>E;;84>7N2<2?78G9546<1H0>:15:A?70823J68:3;4C=14:0=D4:2596M338<7?F:46<1H09>15:A?04823J6?>3;4C=60:0=D4=>596M344<6?F:3>7=0O1:8:1<6?F:3?7>0O1:14:A?1;29<2I753<4C@`8GDVFK@^JBNK8;BCQV\OIi2IJ^_WFNSGDe>EFZ[SBB^KC8:ABVW_H]]30OL\]YNWWBg=DI[XRCXZ]EF58GDUDIMO:<6ML4B;:5J32=4:4CB4@55=DKJIH456LCBA@456?3JIHONM6C028GFEB<=>=456N012155=DLJI3556;5563556682IOHIJKDEAEBC@ANO80OH=4CDC0?FCE;2INO45LEBCQV\OI02INON>N0118G@B43JOF46MJOQOL@@50:AOMUVBLV^YM^FLAO18GIM33JF@<;5LLJ3;43=DDB8<<85LLJ0[<>EKC;R:4=;4CMI:41=DDBK>7NBDA058GIMF9M8<7NBDA0F73>EKCH;O445LLJCTVFJL<2IGGO;4CMIA5<=DDBHYHNBD7:AOOGTT\<1H@FM>5:AOOA723JF@H[m4CMIGRZBN^@NN96MCKG@;?FJLNKNHA:5LLJDAMK>i5LLJKM6ZVBZ@EO46MCKHLPAI763JF@ECQKIWKGAZD^W;i0OAEFN^RFVLIC?2IGGB[[0078GIMH]]:THH]DEV]B@OST\l1H@FAZT1]GMSOCM880OAE@UU2\@PR^WJSH@Hj4CMILQQ6XXAKXI:5LLJMVP4723JF@CXZ>_EGPO@QXIM@^_Yk4CMILQQ7XL@\BHH?=;BNHKPR6WM__URMVCMGg?FJLG\^:S]FNSD58GIMH]]8:96MCKNWW6ZBB[BO\SLJEURVf?FJLG\^9SIGYIEG26>EKCF__>RJZTX]@]FJBl2IGGB[[2^RKEVC03JF@CXZ<149@HNIR\:UOI^EJW^CGNPUSm2IGGB[[3^FJRLBB9;1H@FAZT2]GQQ_XKPIGIi5LLJMVP6YW@HYN;6MCKNWW043T\EO\E69@HNIR\<;>7NBDOTV6[ACTCL]TMIDZSUg8GIMH]]?THDXFDD31?FJLG\^>SI[[Y^AZGICc3JF@CXZ:_QJBW@g5K1518@4343M;=?6J>759G5F653M897I==;E674>B38J:H4=6?0CDEEG4C0KI<>I4L92;996?74:3<56D??O8>I6MC6:735273M>;O=J701F30=B5L998;:5028@0>709N>H8J:81:36>B1:2N<86J88333?A1CLMNO;5<789:;67=C0=1O456=;E;7?A:76<1O0<>15:F?54823M6:>3;4D=30:0=C48>596J314<6?A:6>7=0H1?8:1<6?A:6?7>0H1?14:F?6;2B;07>0H1715:FB@AT13MKOH_>9;ECG@W713MKOH_<9;ECG@W513MKOH_:7;ECG@W:7611OMIJ]<0<;?AGCL[69255KAEFQ868f3MKOH_2;:1<;?AGCL[6?2n5KAUC\SLBS@VKh7IO[A^UJ@QNXJ8:0HNMLDEFG5567===5KCGF;G0BCKH23O=6;;EAOO3=CKDUX\<>4DEFG@56701234=>?8:FGBA@ANOn0HI\PAMKDJHCI\01OIAOVMNFF7>BBFm1OI^EJW^CGNPUS991OJ896B01@A10?I98:<6JIA97465>FNM:9;8??;EDG@012=1K34=5KI118@L733MC:<95KI037?AO6:=1OE<=;;EK201=CA8?87IG=3:FJ76=CA=90HD;<;EK57>BN?:1OE5=4DH;6?AO;87<0HD2>0?48@L:697<0HD2>2?48@L:6;7<0HD2>4?:8@L:6=3:5:6JF<07=1>BN484>7IG32?78@L:46<1OE1:15:FJ80823MC7:3;4DH>4:0=CA52596JF<8<0?AOF<2NBM=:4DHC21>BNI8:>7IGN1078@LG6:<1OEL?<5:FJE4223MCJ=8;4DHC220=CAH;<96JFA0:6?AOF90>0HDO=5:FJE7623MCJ><;4DHC160=CAH8896JFA366?AOF:0HDO;4:FJE0269GMD:6;7=0HDO315<4?AOF48?5;6JFA=35:2=CAH6:;394DHC?5=803MCJ0<716:FJE979?2NBM169GMD:597=0HDO323<4?AOF4;95;6JFA=07:2=CAH699394DHC?63803MCJ0?917:FJE94?6>1OEL2=9?48@LG;:7=0HDO331<:?AOF4:;1<394DHC?74813MCJ0>09;EKB81813MCJ0809;EKB83813MCJ0:09;EKB8=813MCJ040:;EKB@L08;EKB@L76?2NBMIG>269GMDBN9:=0HDOKI064?AOFL@;>:6JFAEK12>BNIMC8:6JFAEK72>BNIMC>:6JFAEK52>BNIMC<:6JFAEK;2>BNIMC246JFAEK?4;?89GMDBN48;556JFAEK?578>3MCJHD2>3?;8@LGCA5;?2o5KI@FJ843=8730HDOKI=36:==CAHNB0<07;EKB@L:5611OELJF<2<;?AOFL@6?255KI@FJ808?3MCJHD29>99GMDBN4>437IGNDH>;:==CAHNB040<;EKA0>BNJ9>0HDL>5:FJF4623MCI=<;4DH@260=CAK;896JFB066?AOE9649GMG70=2NBN<6:;EKA5<2BNJ;2>7IGM2868@LD4=2NBN>>:;EKA742BNJ0<0HDL30?58@LD;994<7IGM<03=3>BNJ5;92:5KIC>27;169GMG:6?7=0HDL319<4?AOE4835:6JFB=3=3>BNJ58;2:5KIC>15;1?08;EKA8759?2NBN1<;>69GMG:5=7=0HDL327<4?AOE4;=5;6JFB=0;:2=CAK695384DH@?6;14=7IGM<9<5?AOE404>7IGMDH48@LDCA9<0HDLKI058@LDCA8:<7IGMDH323>BNJMC:>:5KICFJ5612NBNIG=6:FJFAO4>2NBNIG;6:FJFAO2>2NBNIG96:FJFAO0>2NBNIG76:FJFAO>02NBNIG30?;8@LDCA5;;245KICFJ847912NBNIG313<:?AOEL@6:?374DH@GM9736k1OEOJF<0794;?99GMGBN48437IGMDH>1:==CAKNB0>07;EKA@L:3611OEOJF<4<;?AOEL@6=255KICFJ828?3MCIHD27>99GMGBN404?7IG_A49GMUG7=2NB\L?:;EKSE737;EKSEAOW9h1OE]OKIQ>3:f=CAYKOE]2>:10>5803MC[N1=17:FJTGBNX11OE]LKIQ2;?AOWJMC[=l5KIQ@GMU:76j1OE]LKIQ>2>58f3MC[NIG_<0<5?AOUMJ^=7IGYCMIg?AOQKEATHDXFDD48@LPNLLn0HDXFDD]A]UGT[880HABPFSGRQ@HDQVGDHH<4DN18@J643ME:86J@1168@J76<2ND=?:4DN300>BH9=>0HB?:3:FL66=CG:90HB:<;EM67>BH>:1OC:=4DN:0?AI>=2ND0=09;EM?55813ME7=<09;EM?57813ME7=>09;EM?518?3ME7=84?>79GK9726<1OC1?15:FL87823ME7?3;4DN>7:0=CG5?596J@<7<6?AI;?7?0HB27>49GK9?9;2NDM95KO@27?AIF9<1OCL??5:FLE4723MEJ=?;4DNC270=CGH;?96J@A076?AIF9??0HBO>749GKD7?=2NDM<7;;EMB60=CGH8;96J@A336?AIF:;?0HBO=349GKD43=2NDM?;:;EMB633BHI;3?7IAN349GKD57=2NDM>?;;EMB01=CGH??7IAN659GKD133MEJ495KO@;5?AIF494<7IAN<02=3>BHI5;:2:5KO@>26;108;EMB8429?2NDM1?:>69GKD:6>7=0HBO316<4?AIF4825;6J@A=3::3=CGH6:2:5KO@>14;1<08;EMB8749?2NDM1<<>69GKD:5<7=0HBO324<4?AIF4;<5;6J@A=04:2=CGH694394DNC?6<813MEJ0?08;EMB866912NDM1=>:1<4?AIF4:;5:6J@A=1=2>BHI5>5:6J@A=7=2>BHI5<5:6J@A=5=2>BHI525:6J@A=;=1>BHIME=7IANDN25?AIFLF;<7IANDN333>BHIME:=:5KO@FL571579GKDBH:?1OCLJ@379GKDBH?1OCLJ@779GKDBH0?1OCLJ@999GKDBH49427IANDN>24;?>89GKDBH488556J@AEM?568>3MEJHB2>4?`8@JGCG5;>6=06;EMB@J:6=720HBOKO=3=<>BHIME7>364DNCGK95902NDMIA34?:8@JGCG5?546J@AEM?2;>BHIVXNK>5KOC68@JD7<2NDN<;4DN@240=CGK;:96J@B006?AIE9:?0HBL>449GKG72=2NDN<8:;EMA523BHJ;?0HBL=049GKG46=2NDN?<:;EMA663BHJ;<>7IAM2678@JD50<1OCO<64:FLF63BHJ=>0HBL:4:FLF32>2NDN1>17:FLF9776>1OCO2>1?58@JD;9;4<7IAM<01=3>BHJ5;?2:5KOC>21;169GKG:617<0HBL31?58@JD;:94<7IAM<33=3>BHJ5892:5KOC>17;1908;EMA8739?2NDN1<9>69GKG:5?7=0HBL329<4?AIE4;35:6J@B=0=3>BHJ59;245KOC>05?69?2NDN1=>>79GKG:46?1OCO2;>79GKG:26?1OCO29>79GKG:06?1OCO27>79GKG:>6<1OCOJ@6:FLFAI7>2NDNIA>7:FLFAI68>1OCOJ@1058@JDCG88<7IAMDN303>BHJME:8:5KOCFL50019:FLFAI;99427IAMDN>25;?89GKGBH489556J@BEM?518e3MEIHB2>5;2==>BHJME7=807;EMA@J:6611OCOJ@<3<;?AIELF68255KOCFL818?3MEIHB2:>99GKGBH4?437IAMDN>4:==CGKND0507;EMA@J:>6>1OCOQ]EF;8@JHSWJKYI95KOQC6?AIWI9?0HB^N149GKUG5=2ND\L=8;EMSE969?2ND\L2>>69GKUG;:730HB^N<283:2=CGYK7?394DNRB@JV?3ME[MIA_099GKUGCGY;j7IA_AEMS858d3ME[MIA_<083:d=CGYKOC]2>>59GKUD23ME[N=;4DNRA50=CGYH996J@PC14?AIWJ5:5;6J@PC>2:2=CGYH7>374DNRA86<76>1OC]L33?58@JVELFZ37IA_BEMS4==CGYHOC]?n;EMSFAIW494h7IA_BEMS84<76h1OC]LKOQ>2:7=CX:1O\B;4DQPB40=CX[K:96J_R@06?AVUI:i0H_OB_@FGV969l2NYM@QNDEP?558c3MXJAROKDS>25;`58c3MXJAROKDS>26;eBUIDUJHI\32?a8@WGJWHNO^1=1c:FQEHYFLMX783m4DSCN[DBCZ5?5o6J]AL]B@AT;>7i0H_OB_@FGV919k2NYM@QNDEP?<;e1:FQEHYFLMXT=?Q@BTD24>BUIDUJHI\P1^MAQC773MXJAROKDS]1[JDRN8:0H_OB_@FGVZ5XGK_M==5KR@O\EABUW=UDNXH>0:FQEHYFLMXT9RAMUG33?ATFEVKOH_Q9_N@VB46BUIDUIMR>POCWEa>BUIDUIMR?POCWEe>BUIDUHM^QAf:FQEHYDIZUESBLZF99GVDKXKBOo7I\NM^AHAZIE]O20H_OB_BNH`>BUIDUH@FQ@BTD:?ATFEVIXSCk4DSCN[FUXFVEIYKo4DSCN[AW;87h0H_OB_ES?558e3MXJARJ^<03=f>BUIDUO]1?=>c9GVDKXLX6:?3l4DSCN[AW;9=4o7I\NM^FR843=87h0H_OB_ES?508f3MXJARJ^<04j7I\NM^FR8=8f3MXJARJ^<81d:FQEHYCYD6:6=0m;EPBIZBVE5;5j6J]AL]GUHY7WFH^Jk5KR@O\@TKX9VEIYKh4DSCN[AWX8VCDNXH>4:FQEHYCYV:TEBLZF.KAQC723MXJARJ^_1]JKGSA'FH^JY??;EPBIZBVW8:TEBLZF078@WGJWM[T==QFOCWE+LDRN8<0H_OB_ES\55YNGK_M#BLZFU33?ATFEVNZSTEBLZF078@WGJWM[T=9QFOCWE+LDRN8<0H_OB_ES\51YNGK_M#BLZFU33?ATFEVNZS<;PIN@VB43UBCO[I/N@VBQ`BUMN?0H_GYE69GWU2?I8?0H^]JN99GWVYJGMO37I[NLQMQP435KWD38A7=B<8:0I9K;E5DEA1C3M=:;46K:E16605273L<8459J88DG0050::22J8?:21:44A67IN4=<>?J7B63657B?J11NM9>;052;?@GFI=:;<<>4E@CBEDGFK9:;<=>?119FEDGBILK?<=>;052;?@GBIOLNM<>4E@GBBCCF<9>;98:?119FFGCBMLO?=<:;4561?@E?3LI=8L6=0028A@GFMLKJINO?CB2355=BMLKNIHK;4527012?3LONI?>=2028A@CB:9ONIHKJ21010>CBMO>0IHHJ119FACCBMLONIHKJEDG7?@@FL11NJHO;55224>CAMLIMONOIA@2E4520IU^NNEGG[FII[]XJECZ\109F\UGILLNTE^KPFHNF55=A89:;;7K>?A1@30<67K1:O<=>?0123456789:;78GDEB=EB;OLMJ>J?D86E6=62:9N249>4F103<76>:O>IONJ64DCF44GD8;I:<<=:0DC;G266KL=;<<:7F22332B2<9>;4K8N119E406A8<:M<<>I012;?C6DI9:HM<>4F1D3GFEDNO:;MLON119E4C6A8O:;<=??01224>@7N9L;J=97F1D3B5773O:M?H=23DEBC@5:;20J?=8?=A62455>?81:8H=J<;3>?O:263@0=6AN:N8H=JI5G:655D?3O>?89:;4028B067N8:;J8>?F12355=A=9:M9=>I112E456682L>NKH:BGD4FC@2JO>0J8H;119E1C3C=M?M9K;K54724>@1L=H95=K9C5C1<54;;7K9K5C0:4C1C=H83<95I7GD1?C>382L3>I6MC6564F>EM:=?>;6=157134>?818O4H9;501033118J;=4;98741;0J5672:D:05=A1:N35>J<99@;5422:1K:;:>=79D;402291K3MN7849:65=GA0;N3NN9JD2:602233O3=J55IA10EEC4f3OKHXB\V_KD24>@FNKL;J>ONBC237630:DG4=6?81:3JIHKFE33?CB0=K38=HM94@:1446@CM11MHKMI5G20?CBW;2LO^95IDSG6?CBU[L90JI];;GFPA1=ALV;97KK;;GG601=AMLO37KKJEDGFA46>0:DE5G7E89LMJK?M1C33?C@5;OL9?KH=3GD14==AN;294?67;GD05C@4811MJ>=IF327?C@3==1MJ99>0:DE0C@3<=HI:152741=AN<>37KH:7GDEB46@AI;LMJ::4FGC;<>@AI1LMJ5:4FGC:0>@AIK;;7KHNE4730DGFI9:;<<>4FGF6BCE7NON>JKJ:119EBA?BMJ2<;8?965224>@ALLLMH;HIFDDEB32>0:DEB6@ANOKJM?ONA@33?C@A?>L<;8;:1072546702LMJ5H7F933?C@A0OLMJKHI8GDE<1=ANO3?7KHID59EBCC?3OLMIKKIE99EBCCANOL:<6HIFG23456789::<=??;GDEB56589:9<=>=0028BC@A89LM=O?M013A<>@ANO:?<=??;GDEB5E?KOLMJ=>70028BC@A8OLMJKHI0GDG<>@ANO;:==??;GDEB432=OLMJKHIF028BC@A::88JKHI220355=ANOL9456=89:1<=>682LMJK=>30DEBC47;820JKHI412355=ANOL?<=>;0127456?3OLMJ9;:599EBC@3=OL37KHIF4374==ANOL>89:>0:DEBC328=?><9;:0533?C@AN>LMJKHIFGDEB==ANOL3<=>>0:DEBC>509294=6=8133?C@AN18345<7890;<46?J1237KHIF@0;446702LMJKO789:8BC@AIHK2==5IFGDBEDGANOLJ4L>7;GDEBDCF:8:0JKHIADCBE@GFILKJ46HIFG@BED773OLMJOONAGDEB66788:0JKHIBC@ABC@A01K346HIFG@EBC>@ANOOHONHIFGC345>@ANOL:=?N123==5IFGDE@ABFIHKJ4567;GDEBC@218:0JKHIFG5EBC@ANOLM==5IFGDEBDGANOLMJ?>7;GDEBC@EM11MJKHIFED24>@ANOLMHKHIFGDEBC>4FGDEBC@EIOHM;KOI8:DEBC@ANL;;7KHIFGDEB5678;:;<<>4FGDEBC@A89:;4=>?119EBC@ANOL;?01224>@ANOLMJK>?812345773OLMJKHIF167456388:0JKHIFGDE6547:;8;==5IFGDEBC@5:989<=>>0:DEBC@ANO89>?>=2333?C@ANOLMJ?H=2301646;8=??;GDEBC@AN80028BC@ANOLM98;:006655=ANOLMJKH:5472546682LMJKHIFG761023<9;;7KHIFGDEB=6789:;<<>4FGDEBC@A09:;4?>=119EBC@ANOL34=6701224>@ANOLMJK6N8@:BFG773OLMJKHIF@0B6DGF:8:0JKHIFGDEE=GF0H92==5IFGDEBC@F0HKJJLH>0:DEBC@ANOKJM5O7A933?C@ANOLMJLONA12;4466?81:355=ANOLMJKHL052;654682LMJKHIFGGBEDE789;;7KHIFGDEB@GBILKMN<>4FGDEBC@ANKOJ9<:?119EBC@ANOLMJ8::44624>@ANOLMJKHI55DEB@773OLMJKHIFGDFBC@AN8:0JKHIFGDEBC@010:DEBC@ANOLMJK;>4133?C@ANOLMJKHIF7DEB467991MJKHIFGDEBC@B:;8:<6HIFGDEBC@ANOLJ>L??;GDEBC@ANOLMJKKIC028BC@ANOLMJKHIFGC;55=ANOLMJKHIFGDEBG@682LMJKHIFGDEBC@AM>;;7KHIFGDEBC@ANOLM4<>4FGDEBC@ANOLMJKHJ3:DEW==AEMOHC@^;;GPBCg=AZHMHC[K]EEc8BWG@WKKXIIl4FSCD[FIIN@Mj7K\NG^ALQKR>3OXNIWKKFR;8BWCBRLNG@55IRNO\QF@63N;?7JKAESCWAA&WGZ^&JAGAT008C@HBZH^NH-^@SU/D7557J\@UQ;8CWIRX]S[I<;4H@Q\WLKWZ@GTCCBV_QKMW4=N:2C;>6G>2:K16>O4:2C?>6G:4:KAQC34ICWE[ACKIPU]MA[Jc:KAQCYKG^U[[_<4IO18MKG43@DI46GAFDPQAAb11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\72168;HLJPZ5>?2CEEYQOIWGMOSL@K_CAG6>OH;2CDN85FOCWE1>OHKEA=7DALOLRb?LIT\HDOM_J9;HMW]UC33@ZJH55F_HLUAWR43EOOo6BJG@N\PDT@M]80@D94LH@QEW_53EE=7AANDDF0?IID>2FDOFKK7:NLCLEFD:1GCZ=4LTV7?ISS9=1GYY<;;MWW71=K]]>?7A[[559OQQ023E\_XA?4M39N47=J9:1F===4M030?H75;2G:?>5B1518I4343D;=?6C>729N5=5K59:1F>?=4M310?H43;2G99>5B2718I7143D83?6C=939N76=J;990A>?<;L117>K4;:1F?9=4M271?H253D?97@8=;L51?H>53D3?7@2?>49N8469=2G7=<0:;L>26;3K;9<4>7@2>6?78I9706<1F0<615:O?5<833D6:285B<32=1>K;:84>7@2=2?78I9446<1F0?:15:O?60823D69:3;4M=04:0=J4;2596C328<7?H:56<1F0>>15:O?74823D68>3;4M=10:0=J4:>5;6C33483:0=J4:?586C33?68I929<2G793:4M=4=0>K;?7>0A1614:O?=;1?0AXVI8b9Neoiu^lxxeb`l;LkmkwPbzzcdb55Br`o\i`k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j7CLPBTQMEHC03GO_[B\D7:LL@@JFQ=1ECCK7;OMW[UN^[81D8?5@=)*+zL6- !C9&D=&+H6*-..N8#"#rD<%(H6(M0/.#!C9&%G<+)K7/L3.! #"%'G>)448K8./ wC;&%&qI0(+,{O5"!"uE>$F4()J7,/,A;#"'%G>*)|J6..N:#C8%$'&)**J4/.yA8!#E<$F3(+*-/xN= >?7B3'()|J4/./v@;!$%pF2+*J0.O2! !B>$'$(H3),-xN:#C?%&G=)(+*/-O7"@8"%'G<)568K8./ wC;&%&qI0(+,{O5"!C?'D;&)*K1-,-/A8 #$sG=*H7*/L4.! # $D>%I3+*.L5.1=1D1%&'~H2),-xN9#"#rD<%~H6*/-O5"!"uE>$qI5+(M6/.! !#E<$'~H0(,L4- wC8'%G<*H6*-,/.! !#E=$'(K2.-xN:""B>'&'~H1)M1/,A:#"%$%'I0(+,{O5"!C8LsG;)()J6,/.! uE8'<8:M>,-.yA9 #$sG>*)K0/-O3#@?"%$%'I0(+M6-N= #"%&&F0+K0-,,N: 827B3'()|J4/./v@;!E>'$(H3)M7/.!""B<'G;)()J1,1f3F7#$%pF0+*+zL7-A=# $D?%()|J6/.yA:!#E>$F4(+*/-O5"@>"%$'&+)K3.-.yA8 #$sG=*H6*/-O5"!tB?&&F3+K7-,/.!""B='&qI2)+M6,N< #"%$'OI4+50>I: !"uE=$'(K1.-.yA: B8$%'I2(J1,/.#!C9&%pF3+K7-,/.#!C;&%&qI3(+,{O4"@>"'%G<*H7*-,-/A; #E>%F4(+*-,,N9 9m7B3'()|J4/.N9#"B>'G;)(+(,L6- !tB='&qI3(}M1/.#!C:&%G=*H1*-,/.#@?">;5@=)*+zL6- @;!$sG=*H1*-,-/A9 uE<'&+K7-6g%$'$(H2),L7- !tB>'G:)**J6/xN; #"%$%F4(06?J;/ !tB<'&F2+|J7,/, @:!E<'&+)K7.{O2! 8>7B3'()|J4/.N:""uE>$F4(+*/-O7"@8"%'pF1(0b?J;/ !tB<'&F3**J0.O2! # $D>%I2+*.-xN9#tB>$'<9:M>,-.yA9 #rD?%(H1)M1/.!""B<'&'~H3),L5-A=#"'%G>*H0*-,/,A<#:56A2()*}M5,N9 !#E=$F2(+CM6/6n2E6$%&qI1(J5,-/A9 B8$'$(H0(zL5.!8l0C0&'(K3.L4.#!C;&%G=+H1*-,,yA8#:56A2()*}M5,N; !#E=$F1(+CM7/4:2E6$%&qI1(}M4/,A9#!$%pF2+|J7,-/A; #$D=%~H6*/{O2! #">i5@=)*+zL6-v@?"'%G?*)*}M4,yA:# $D?%~H0*-,/,v@>">45@=)*J4/./v@;!$sG=*H1*-..N9#C9%$'$(H6)M0/.:h1D1%&F0+*+zL7- wC9&sG;)()+M4,/A; B?$'&)*K6-75">>5@=)*J4/.N9#"#rD<%I5+(,L4-A:#"%$%F5(3g?J;/ @:!$D?%(H0),L5-A=#"%$%F5(3f?J;/ @:!$D?%(H0),L5-v@>"%$'$I4+2a>I: !C;&%G>*)K1.-xN;#C?%$'&+H7*5==H5!"B<'&F1+*J6/O4! # E9'>e:M>,-O7"!C:&sG=)()+M6,/A= B9$'&199L9-.N8#"B=MG=)()+M6,N< #986A2()K3.-xN9#"#rD<%I5+(,L4-A:#"%$%F5(3e?J;/ @:!$sG>*)K1.-O4"wC?%$'&+H7*5c=H5!"B<'&qI0(+M7,/v@9!E9'&)()J1,7a3F7#$D>%(K2.-O5"wC8%$'$(H6)M0/.9:1D1%&F0+K2-..N:#C8%$?;;N?+,L6-A8# $sG=*H1*-`=H5!"B<'G>)*K1-c=H5!"B<'G>)*|J6,c%~H3*/-xN:#"uE>$'~H6)zL3.! #m7B3'(H2)zL7.#@8"=k5@=)*J4EO6!""#E?NF3()+zL2,v@?"%$?6;N?+,L6GA8# $%G=@H1*/{O3! ;87B3'(H2CM4/, @8KE>'&1g9L9-.N8IC:%&&qI3)+zL5, @> E8'&)(03?J;/ @:KrD?&*)*J6ExN; #E9NqI4+*-10'&F3+*J0/xN= #"%$?:;N?+,L6Gv@;"&%G=@K0-,7a3F7#$D>O~H3*/-O5#!C8'%G;+K6-,/.9=1D1%&F0A|J5,-/A;!B?$'i;N?+,L6Gv@;"'D<&119L9-.N8ItB=$%qI3+;f>I: !tB<'&'(K2.-.yA; #rD=%~H6*-..N:#"B?'G;)(+*/-O6"!tB>'&qI2(}M1/.! !B9$'$(H2),-xN9#"#rD<%(K0.{O3! !#E?$'I2(J0,/.!""B='&qI3(+zL5-v@>"%$'&)2`8K8./v@:!$%&qI0(+,{O5"wC8%&G=)()J5,,N< # $D>%(H3(,L4,v@9"%$'<4:M>,-xN8#"#$sG>*)K1.L5.!""B='G:)()}M1/.#!C;&%G>*H7*-,3d3F7#$sG?*)*+zL7-A;# $D?%I2+*.-xN<#tB9$'&+)K3.-./v@;!$%pF2+K7-.O5! !#E<$'(K0.L2.#@9"%$$qI4+*-1e%()*}M4,N: !#E<$F3(+),{O3"wC>%$'$(H2),-.yA8 uE?'$(H3)zL5.!""B8&G:)(+*03=H5!"uE=$'()|J5/O5!"C:%'G:)()+M5,/ wC:&%pF2**}M6-N< #"'%G>*)|J7.O3! #"%>m4O<*+zL6- !"uE<$F2()J5,,yA:#"'%G?*)*}M4,/A;!uE>'&+)K2.{O5! #"?<5@=)*}M5,/ !tB='G=)*K2-/xN; # $D>%()|J5/xN: !B?$'&359L9-.yA9 #$%pF1+K0-..N9#"uE?%'I3(J7,/.!#C>%$%'I1(J0,/2?2E6$%pF0+*+,{O6"@9"'%G>*)|J6..N:#tB8$'&)+K6-,-/A9 #$sG>*K7-..N9#"#rD<$(H0)zL2.!#C>%$'&)218K8./v@:!$%&qI0(J7,-/A8 B>$'$(H6(M0/.!""B<'&F4*K6-,/482E6$%pF0+*+,{O6"wC9%&&F1+|J7,/, @> E8'&)**J4/O2! >h7B3'(K3.-./v@;!rD<&+)K2.{O2! !#E>%F4(+*/-O7"!"#rD?%I3+(,L7-A<#"&%pF3+|J0,/.!=90C0&'~H2),-.yA; B8$%'I3(+,{O4"@>"'D=&)((J1,/, @:!$D?%()K1.L5.#@>"%$':7:M>,-xN8#"#E<$'I3(J7,/,A<#"'%G?*)*}M4,/A=!B9$'$(H3),-xN:#"B8&G:)()+M7,/A:!#E9%F5(+*-,/.!:>0C0&'~H2),-O6"!tB>'G<)()J0,/, @:!$sG>+)K1/-O4#@>"%$'&599L9-.yA9 #$D?%(K1.L5.!"C>%$%'I1(+,{O6"!C?'D;&)**J5/./v@8!$D=$(H6(M0/.!""B>'&F4*K6-,/.! #986A2()|J4/./A8 B>$%'I2(}M1/.!""B<'G:)(0:?J;/ wC;&%&F1+K0-.xN: # $D>%(H3(,L4,A:#"%$;8;N?+,{O7"!"B='G:)*K7-,-/A9 #$sG>*)*J6/O4!"C?%$%'I0(+,{O5"!C?'D;&)**J6/.N;""B8&G:)(+*-,/.:?1D1%&qI1(+,L7-v@8"'sG<)()+M5,/A8!uE?'&)3a8K8./v@:!$%G>*K0-.O5! !#E=$'~H3(,{O5"wC8%$'&2c9L9-.yA9 #$D?OI3+(zL5.!""B<'&F1**}M7-yA:#"%$=i;N?+,{O7"!"B=MpF3((+zL4- @>!E8'&)()+M5,/ @;KrD=&*)K1.-O3"@?"%$'&429L9-.yA9 #$D?O~H1*.-xN:#"uE9$qI4+*-,-/A9 #$D?O~H1*.-O5"!tB8'pF5(+*-,523F7#$sG?*)*J5ExN; #rD<%I5+*-..N8#"#E'$(H6)M0/.!""B<'&F1**+M7,N; !#E9$F5(+*-,5f3F7#$sG?*)*J6/O4!""B8'pF5(+*/-O7"!tB=&&'I3(J7,-/A= uE8'&)(+1=>I: !tB<'&'I3(}M1/,A:#"'%G?*)K2/-O5#@9"%$':0:M>,-xN8#"#E>$F4(B}M0/.#!C;&%&qI0(+M7,/ @9!E9'O~H7*-,-/A8 #rD<$()K0.L2.HwC>%$'&)(74?J;/ wC;&%&qI0(+,L4-A<# E9'&+)K2.-.yA; #E>%F4(+(,L4- @9 $D:$I4+*-,/.!""B<'&'I3(J1,-N< #":>5@=)*}M5,/ wC:&%&F2+K6-.O3! !#E<$'I3)+zL5,A=#"%$'$(H2),-xN9#"#E?$F5()J0,/, @;!$D<$()|J7/O2!"C?%$'&)(4e?J;/ wC;&%&qI0(+,L4-A<# rD=&)**J5/.N:#C>%$'&+)K3.-.yA8 #$sG=*)|J7.O3! !#E?$'~H1(,L2,A<#"%$'$(H3),-O5"@?"'D:&)(+*7d=H5!"uE=$'(K2.-.N:IC8%'G;)()+M4,/ @8KrD=&+K7-,/.#!C;&D;&)6:8K8./v@:!$%pF1+*+M7FyA<#!$sG<*K7-,/, @;!$%G=@K6-/.yA: B8$'&)()+M5,/ wC:&%&F2A|J1,,/A: uE9'&)**J5/./A;JuE8'%(H1)M1/.! #"?i5@=)*}M5,/ wC:&%&F3+K7-.O2! !#E<$'I3)+,L5-A=# E8'&)(+(,L6-A<#"m?5@=)*}M5,/ wC:&%&qI3(+,-xN;#tB9$%F3((}M1/.#!C9&%&qI2(+M1FyA<#"'%G<*)|J0.O2! #"%$%'I0(+,{O5"!tB8'pF5(+(,L4- @>KrD;&)(+*-..N8#"#$sG>*)*}M6,yA<# E>'&+)K2.{O2! uE9'&)ca8K8./v@:!$%pF1+*+zL4- !C8LsG;)*K6-,-/A; #rD=$(K7/L3.! #"'%G>*)*}M7,/A: #rD:%~H7*-,-/A; #$%pF3+K6-.O4!#tB8$'&)(+(,L6- !tB='&qI2(+zL2-v@?"%$%'I0(+,{O5"!tB?'&F4AK6-,/, @8!$D=%(K7.{O2! #"%$'&5d9L9-.yA9 #$sG>*)*}M7,/ wC8&%G;*H7*-..N;#"B8MpF5(+*-..N:#"B8'G:)(+*/-O6"!C?&D;&)(+(,L6- @>!E8'&)4c8K8./v@:!$%pF1+*+zL4- !tB?'&qI5(}M0/.#!C8&D;&)()+M7,/A: B9$'&)**J5/.N;#C>%$'&+)K3.-O4"@?"%$ml;N?+,{O7"!"uE<$'(K1.-.yA: B9$%'I2(+zL2,v@?"%$'$(H0),{O4#!C?'D;&)(+*/-O6"!"uE?$'(H1CM1/,A<#"'%G=*)*}M6-/A: B8$'%I4+*-,/.#!C;&%&qI0(+,{O5"!"uE>$'~H6(M0/.#!C8&D:&)()+M7,/ @9KrD:&+H7*-,/, @;!$D=$(K7/L3.! #"%l<4O<*+zL6- !tB='&'~H0),L5- @>!E8'&)**J6/./v@9!$sG;+H7*-..N;#"B8MG:)(+*-,-/A8 #rD<%(H1),L2-A<#"%$'&+)K3.-.yA8 #rD<%(H1),{O3"@?"%$'$(H3),{O5"!"uE>$qI5+(,L5-v@?"%$'&)(d:?J;/ wC;&%&qI0(+,{O5"!C8&%G;*K6-,/, @8!$%&qI2(J1,-N; B8$'&)**J5/./v@8!$%pF3+*}M1,N= # $D=%(H6CM0/.! !#E?$'(H1)M1/,A<#"%$'&+)K3.-.yA8 #$sG=*)*+zL5-v@>"'D=&*K6-,-/A; #$sG<*)K7D{O2! !#E>$'I5)}M0/.! #"'%G>*)*}M7,/ @9!rD;&+K7-,-/A; #E>%'~H6(M0/.! #"%$h6;N?+,{O7"!"uE<$'(K1.-O4"!tB8'G:)(+(,L4- !"uE>$F4()J7,,N= #"%&&F1+*+zL4- !tB?'&F4+|J1,/, @9!$D:OI4+*-,-/A; #$D=%I4+(M1/.! #"'%G?*)*}M4,/ wC9&%&'~H1)zL3.#@9"&sG;)()+M7,/ wC8&%G;@K6-,-/A: #rD:$I4+*-,/.#!C:&%&qI3(+,L5-v@>"'sG:)()+M7,/A:!#E9%qI4+*-,/.! ?o7B3'(K3.-.yA8 #$sG=*)K0.-xN<"C>%$'$(H0),-O4"@?"'sG;)(+*/-O6"wC?%$'$(H2),{O6#!C9'%pF3*|J0,/.! ?;7B3'(K3.-.yA8 #$sG=*)K0.{O3! !#E?$'I2)J0,/.!""B='&F2+K7-,/.#!C;&%pF1+*J7/xN< #"%8j4O<*+zL6- !tB='&'~H0),L5, @> E8'&)**J6/O4! # $D?%I2+*-..N8#"#rD?%(H0(,L5, @> E8'&)()+M4,N; #"%8j4O<*+zL6- !tB='&'~H0),L5, @> E8'&)**J6/O3! # $D?%I5+*-..N8#"#rD?%(H0(,L5, @> E8'&)()+M4,N< #"%;84O<*+zL6- !tB='&'~H0),L5,v@>"%&&F2+K7-,/, @;!$D<%(K7/L3.! #"'%G?*)*}M4,/A; #rD:%I4+*-..N9#"B>'pF4(+*-,3a3F7#$sG?*)*}M4,/ wC9&%G;*H7*-..N:#"B?'&F4+K6-,/.!""B='&qI2(J1,/.!""B<'&qI0(+,{O4#!C8&D:&)+K6-,/.=>1D1%&qI1(+,{O6"!"uE?$'I5)J1,/, @8!$D=$(H6(M0/.! # $D?%()K1.L5.#@>"%$'$(H2),-O5"@9"'D:&)(5;?J;/ wC;&%&qI0(+,{O5"!C?'sG:)()+M7,/v@9 $D:$~H7*-,/.#!C:&%&F2+|J7,-N< #"%&&F0+*J5../v@8!$D:$~H7*-..N:#"uE>%'I5)}M0/.! #"%9o4O<*+zL6- !tB='&'~H0),{O4"!C?&sG:)(+(,L4-A<#"%&&F1+*J6/O2! #"'%G?*)K1.L3.! i>7B3'(K3.-.yA8 #$sG=*)|J7/.N<"C>%$'$(H0),L5- wC?&sG:)(+*-..N9#"uE?$'(K0.-xN<#tB9$'$(H1),L2,A<#"%$'&)**J4/./v@;!$%pF2+*J7/.yA= uE8'&)**J6/.yA: #E9%F5(+*-,-/A8 #E?$'(K0.-xN<#tB9$'$(H1),L2,A<#"%$'&)(75?J;/ wC;&%&qI0(+,{O5"!tB?'&qI5(}M0/.!""B>'&qI2(}M1/.! !#E<$'~H1)zL2.! # $D>%(K0.{O3! #?m6A2()|J4/./v@;!$%pF2+*}M6,N< # $D<%(H1(M1/.! !#E<$'~H0)M6/.! !#E=$'I0(J1,/.1<1D1%&qI1(+,{O6"!"uE?$'~H1)M0/.#!C9&%&qI2(J1,-/A: B8$'&)()+M4,/ wC9&%G<+H7*-..N:#"#rD=%I4+(,L5-A=#"%$'&)**J4/./v@;!$D<%(K0.L2.! !#E<$'~H0(,L5,A=#"%$'&839L9-.yA9 #$sG>*)*}M7,/v@9!rD;&)**J6/O4! # $D?%(H0),L5-A=#"%$'$(H2),-xN9#"#rD<%(K0.{O2! !#E?$F3(+*/-O6"!"uE?$qI2+(,L4- @9!E9'&)(+*-12%()|J5/./v@8!E9'$(H0)M6/.!""B='G:)(+(,L6- !tB>'G;)**J6/O4! #"5o5@=)*}M5,/ wC:&%&qI2(+zL2,v@?"%&&F3+*J0/xN= #"%&&F1+*J0/xN= #"%&&F0+*+zL7- !tB>'&qI2)J0,/, @8!$%pF3+*}M1-yA<#"'%G<*)K7.{O2! #"%$%'I0(+,{O5#!C9&sG:)((J0,/.! >87B3'(K3.-.yA8 #$sG<*H6*/-O4"@?"%$%'I0(+M7-/A: B9$'&)()+M5,/A: B9$'&d19L9-.yA9 #$sG>*)K1.-O4"!C?&D;&)(+(,L7- !tB>'&qI2(+zL2-A<#"%&&F2+*+M6FyA=#!E8'&)(+*/-O7"!"uE<$'(K1.-xN;#"uE9$qI4+*-..N:#"#rD=%(K7.{O2! !#E>$'I5(J1,/.! # $D?%()|J6/.yA: uE9'&+)K1.-.yA: uE9'$(H1),L2-A<#"%$'&)(+a7>I: !tB<'&'~H3),L4- @9!$sG;*K6-,/.#!C:&%&qI3(+zL5- wC?&D;&)()+M7,/ @9KE8'%~H6*-,/.!""B<'&'~H3),-xN:#"uE>$'~H6)zL3.! !#E?$'~H6)zL3.! # $D?%()*}M7,yA:# $D<%(K0/-O4"wC>%$'&*K7-,/.!=20C0&'~H2),-xN9#"B>'&F3+*}M1,yA<#"%$%'I0(+zL2-v@?"%$'$(H2),L7- wC?&sG:)(+*2d=H5!"uE=$'(K2.-O5"!C8&D:&)()+M4,/A; #rD=$I5+*-,/, @:!$%pF1+*+zL4-v@9"'%G=*)K0.L2.! # $D?%()K1.L2.#wC8%$'&)438K8./v@:!$%pF1+*J6/.N;#tB9$'&+)K2.-.yA; uE8'$(H0)zL5.! #"'%G?*)K2/-O5#!C8'sG;)(+*-24%()|J5/.N:#"B?&G;)(+(,L7- wC9&%G<*K7-,/.!""B<'&'~H3),{O5"!"uE>%'I2(J0,/-A<#"%&&F1+*}M7,/v@9!$sG;*H7*-,/.! <;7B3'(K3.-.yA8 #E?$'I5(}M0/.!""B='&'~H0),L5- @>!rD;&)()+M7,/A= uE8'&)(+*/-O7"!C:'%G=*)K7.{O2! #"%;<4O<*+zL6- !tB='&F2+*}M6,/A= uE8'&)()+M4,/A= uE8'&)()+M5,/ wC:&%pF2**J7..N<#tB9$'&)**J5/.N<#tB9$'&)(63?J;/ wC;&%&qI0(+M7,/v@9!E9'&)**J5/.yA: B8$'&)**J4/.N:#"uE>$F4(+*-6d%()|J5/.N:#"uE>$qI5+*-..N9#"uE?%'I2)J0,/.! !#E=$F1(+5g>I: !tB<'&'~H3),L4-A:#"'%G>*)*J6/O4!"C?%$'&+)K3.-.yA8 #$D<%I2+(M0/.#!C:&%&qI3(+M1-N= # $D<%(H1(,L2,A<#"%$'&)(4`?J;/ wC;&%&qI0(+M7,N; # $D?%()K1.L5.#@?"%$'$(H2),-xN9#"#E?$F3()J0,/, @;!$%pF2+*J0.O2! !#E?$'I2)+M1-N= #"%$'&)4:8K8./v@:!$%pF1+*J6/O4! !B8$'$(H2),-xN9#"#rD<%(H6(M0/.#!C9&%G<+)K7/L3.! #"'%G>*)K7/L3.! #"?45@=)*}M5,/ wC:&%G=*H7*-..N9#C?%$'$(H2),-xN9#C8%&&F1+K1-,/.;=1D1%&qI1(+,{O6"!C9&D;&)*K7-,-/A9 #$sG>*H1*/-O6"@8"%$';6:M>,-xN8#"#rD?%(H0)zL5.!""B='&'I3(}M6/, wC?&D;&)(+*/-O7"!C:'%G=*K0-,/.<<1D1%&qI1(+,{O6"!C9&sG<)()+M4,/A;JB?$'&)**J4/./v@;!E>'$(H3),L4,v@9"%$'&409L9-.yA9 #$sG>*)K1.{O4! !#E<$'I3B}M6/.! !#E=$'(K2/-O6"wC9%$$F3(+*7a=H5!"uE=$'(K2.-O5"wC8%$%'I0(+zL4,v@9"%$'$(H2),{O6#!C9&sG<)(+*7==H5!"uE=$'(K2.-O5"wC8%$%'I0(}M7/.!""B<'&'~H3)zL4.#wC8%$'<8:M>,-xN8#"#rD?%(H0(,L5, @> E8'&)()+M4,/A=!B9$'&)**J4/O2! 927B3'(K3.-.yA8 #E?%'I2)J0,/.#!C:&D=&)()+M5,/ wC:&D<&+H1*-,4b3F7#$sG?*)*}M4,/A;!#E>%F4(+*/-O6"@>"%$%'I1(J0,/0?2E6$%pF0+*+zL7- @8 $D=$I4+*-..N9#"B>&&F3**J0.O2! #"%$%'I1(+,{O6"!"uE?$F5()J7,/, @;!$%pF2+*J7..N<"C>%$'$(H0),L5,A=#"%$'&)218K8./v@:!$%pF1+*J6..N;"C>%$'$(H3),L2,A<#"%$%'I1(J1,/4<2E6$%pF0+*+zL7- @8 $sG<+H7*-,-/A8 #E9%F5(+*-..N8#C>%$=k;N?+,{O7"!"uE<$'I3)J7,/, @;!$D<$(H1(M1/.! # $D>%()K2.L2.#@8"%$=i;N?+,{O7"!"uE<$'I3BJ7,/, @;!$D<%~H1*-,/, @:!$D?%(H0),{O4"@>"%$'&4c9L9-.yA9 #$sG>*)K1DL5.!""B='&qI3(}M6/.! !#E=$'(K2.-O5#wC8%$%'I0(+M7FN; #"%$;l;N?+,{O7"!"uE<$'I2(+M1,yA<#"%&&F1+*J7/xN= #"%&&F0+*+zL7- @9!$D:%~H7*-,-/A8 #rD<$(H1)zL3.! #"%884O<*+zL6- !tB='&F3+*J0/xN= #"'%G>*)|J6/.N;#"B8'pF5(+*-,/, @:!$sG>*)K1.-O4"!C?&sG:)(+*-,5>3F7#$sG?*)*}M4,/A: B8$'$(H3),-xN:#C?%&&F2+*J7/O3! #"%$%F5(17?J;/ wC;&%&qI0(+M6,N< # $D?%I3+*-..N8#"B='&F3+|J1,/.!=90C0&'~H2),-xN9#"B?&&F4+K6-,/, @;!$sG=+)K0/-O3"@?"%$'&)**J4/.N<#C>%$'9c:M>,-xN8#"#rD?%(H1(,L2,v@?"%$%'I0(+,-xN;#tB9$%F3((J0,/.!""B<'&'~H0),L5, @> rD;&)()+M7,/ !tB?'pF5()J7,,N< #"%$:6;N?+,{O7"!"uE<$'I2)+M1-yA<#"%&&F1+*J6..N;""B8&pF5(+*-,/, @:!$%G>*H0*/L5.! 9j7B3'(K3.-.yA8 #E>%F4(+(,L7- wC9'%G<+H6*-,/.#!C;&%pF3+K7-,/1l2E6$%pF0+*+zL7- @> E8'&+)K2.-.yA; #E9%F5(+(,L4- @9 $D:$I4+*-,/.!""B<'&qI0)+,{O5"!C?'D;&)**J6/.N;""B8&G:)(+*-,/3m2E6$%pF0+*+zL7- @> E8'&+)K2.-.yA; #rD=$(H6(M0/.!""B>'&F4*K6-,/.! !#E=$'I5)J1,/.;11D1%&qI1(+,{O6"!C?'D;&)**J5/.N:""B?&&F4*K6-,/.! !#E=$F5(+66>I: !tB<'&'~H3),{O5"!"uE>$F4()+M6,N= #"%&&F1+*J6../v@9!rD:&+)K0.{O2! #"%$%'I1(}M4/.>01D1%&qI1(+,{O6"!tB>'&'~H1(,L5-A=#"&sG:)(+(,L7- wC9&sG:)(+*/-O7"!"#rD?%()|J7..N;#C?%$$qI4+*/-O6"wC>%$%F2(+*<7=H5!"uE=$'(K2.-xN:#"#rD=$(H1)zL3.!#tB8$'&+)K2.-xN:#tB8$'&)**J4/./v@;!$%pF2+*+zL5, @9!rD;&)+|J0,/, @8!$sG<+K6-,/.#!C:&%G=+K7-,/.!0<0C0&'~H2),-xN9#"uE?$'I2(+zL2-A<#"%$%'I0(+,{O5"!C8&%pF4+K6-,/, @8!$sG;*H7*-,/.!""B<'&'~H3),L4, wC8'%G;+H7*-,/, @;!$%pF2+*}M6-/A=!B9$'&+)K1.-O3#@?"%$'&)(1a?J;/ wC;&%&qI0(+zL4- @9!$sG;*K6-,/.#!C:&D<&)()+M5,/A8 B>$'&4g9L9-.yA9 #$sG>*)|J6/.N;#tB8$'&+)K2.-xN:""uE>%qI5+*-,/, @:!$sG>+)K0.-xN<#tB9$'&)(63?J;/ wC;&%&qI0(+zL4- @9!rD:&)()J1,/, @:!$D?$(H0(,{O4#!C?'D;&)(+*-23%()|J5/.yA; #rD=%(K7.L3.! # $D?%(K1.-xN;#tB8$'&)()+M5,/ wC:&%G=+)K0/-O3#@?"%$'$(H3),{O5"!tB?'&qI5(}M0/.! #"%>m4O<*+zL6- !tB='&qI3(+zL5-A=#"%&&F1+*}M6,N< #"%&&F0+*}M6,N< #"8=5@=)*}M5,/ wC:&%pF2+*}M6,yA=#"%&G:)()+M5,/A8!#E?%'I2)+M1-N= #"%$'9f:M>,-xN8#"#rD?%(K1.L5.!""B='&'~H0)M6/,A<#"%$%'I1(+,{O6"!"uE?$F3()J0,/, @;!$%pF2+*J7..N<"C>%$'$(H0),L2,A<#"%$'&)268K8./v@:!$%pF1+*}M7,N; # $D?%(H0(M6/.! !#E=$'I3(J0,/.:o1D1%&qI1(+,{O6"!tB>'G<)()+M4,/A;!B?$'&)**J4/O3! 8m7B3'(K3.-.yA8 #rD<%I2+*/-O6"@>"%$%'I1(+M4,N= #"?k5@=)*}M5,/ wC:&%pF2+K0-,-/A= B9$'&+)K3.-O6#!"uE?$F3()+M1,N= #"%$:l;N?+,{O7"!"uE<$'~H0)zL5.!""B='&F2*K0-,/.#!C;&%&qI0(+M7-N; # $D?%(K1.{O4! #"%>;4O<*+zL6- !tB='&qI3(}M6/.#@>"%&&F0+*J5..N:""B?&G;)(+*-27%()|J5/.yA;!#$D=OI5+(M0/.!""B='&'~H0),L5, @> rD;&)()+M7,/ @9!rD:&+H7*-,/.!""B<'&qI0)+zL4, wC8'%G;+H7*-,/.!>o0C0&'~H2),-xN9#"uE?%'I2)+M1-N= #"%&&F1+*+zL4- @9 $D:$I4+*-..N:#"B8&G:)(+*-,-/A9 #$sG>*)|J7..N<"C>%$'$(H3),L4, !C8LsG;)*K6-,/.! ?i7B3'(K3.-.yA8 #rD<$(H1(M1/.!""B='&'~H0)zL2.#!C9&D=&)(+*/-O7"!"#rD?%(H0)M1/.#!C:&sG=)()J7,/.;;1D1%&qI1(+,{O6"!tB>&&F3*|J0,/.#!C:&sG:)(+(,L6-v@?"%9;4O<*+zL6- !tB='&qI3)+zL5, wC?'sG:)(+*/-O6"!tB8&pF5(+*-..N8#"uE9%qI4+*-1e%()|J5/.yA;!B?$'$(H3),L4,v@9"%$'$(H2),-xN9#"B>&pF3(+(,L7- wC9'D=&)(+*25=H5!"uE=$'(K2.-xN;#"uE9$F5(+*/-O6"!C8'%G;+H7*-,/.#!C;&%&qI3(+zL5- wC?&D;&)()+M7,/A:!#E9%F5(+*-,/202E6$%pF0+*+zL7- wC8&D;&)**J5/./v@8!$%pF3+K6-.xN< # $D<%(K0.L3.! #"%&&F0+*J5/.yA; uE9'&)(45?J;/ wC;&%&qI0(+zL5-A<#"'%G>*)*}M7,/A:!B9$'$(H0),{O4"@?"%$'&)**J4/./v@;!$sG<*H6*-..N9#"uE?%'I2)J0,/.! #=n6A2()|J4/./v@;!$sG<*K7-,-N= # $D>%()|J5/./v@8!$%G<@H6*/L3.!""B>'&qI2)+M1-N= #"%$%'I0(+zL4, @9 $D:$I4+*-,/.!:n0C0&'~H2),-xN9#"uE>$qI5+*/L3.!""B<'&F1**}M7-/ wC8&sG;)*K6-,/.!:k0C0&'~H2),-xN9#"uE>%'I5)J1,/.#!C:&D:&)()+M5,/ wC:&D<&+H6*-,073F7#$sG?*)*}M4,/v@9 E9'&+)K2.-.yA:!#E>$F4(+)M0/.! !#E=$'(K1.-xN;"C?%$%'I3(+,{O4#!C8&D:&)+K6-,/.!*)K1/-xN;"tB8$'&)()+M5,N: #886A2()|J4/./v@;!E?'$(K0.-O3#@?"%$'$(H2),L5, @> E8'&)(0b?J;/ wC;&%&qI0(J6,-N; # $D>%(H3(,{O5#@9"%$'=9:M>,-xN8#"#rD?%I2+(,L7-A;#"%&&F0+*J5/O5! #:j6A2()|J4/./v@;!E>'$(H3)M7/.!"C?%?84O<*+zL6- !tB='G<)**J5/xN: #"'%G;*K6-,4e3F7#$sG?*)*}M4,N; !#E9$F5(+*/-O7"!tB=&pF2(+*11=H5!"uE=$'(K2.L2.#!C:&%&qI3(+M6,N= # $D<%(K0/L3.! #"%&&F0+*+zL7-A=# $D?%(H1)M0/.! #856A2()|J4/./v@;!E9'$(H3),L4,A:#"%$%'I1(+,{O6"@9"'%G>*H7*-,/492E6$%pF0+*+zL7-A=# $D?%I3+*-..N8#"uE<%'~H0)zL5.! #:j6A2()|J4/./v@;!E9'$I3+*/-O7"@9"%>74O<*+zL6- !tB='G;)*K0-,-/A9 #$sG>*)K1/-O4#@>"%$%'I0(J7,/.!:>0C0&'~H2),-xN9#C>%&&F1+K7-,/, @:!$%pF1+K1-..N9#C8%$'&349L9-.yA9 #$sG>*H7*/-O6"@>"%$%'I1(+,{O6"@8"'%G>*K0-,/.;<1D1%&qI1(+,{O6"@?"'%G>*H6*-,-/A9 #$sG>*K1-..N9#C8%$'&459L9-.yA9 #$sG>*H7*/L2.!""B<'&F1**+zL4- @> E8'&+)K1.-xN;""B8&G:)(+*-,/3;2E6$%pF0+*+zL7-v@8"'%G>*K0-,/, @:!$%pF1+*J7/xN= # $D?%(K0.{O3! #"%?o4O<*+zL6- !tB='pF2()J7,/, @:!$D?$(H0(M6/.! 8h7B3'(K3.-.yA8 uE?'$~H1*-..N8#"B=&&F2*|J7,/.!;=0C0&'~H2),-xN9#tB?$%'I0(}M7/.!""B<'pF4(+1`>I: !tB<'&'~H3)zL2.#!C:&sG<)(+(,L6- wC:'sG=)(+0<>I: !tB<'&'~H3)zL3.#!C:&sG;)(+(,L6- !tB='pF2()+M4,yA:#"%$:l;N?+,{O7"!"uE<%'I0(+,{O5"!"uE>$qI5+(M6/.#@8"%$$F5(+(,L6- wC:'%G=+)K0/{O3! #"%>64O<*+zL6- !tB>'&'~H1)zL3.#!C8&sG;)(+(,L4- @9!rD:&)(+(zL7.;h1D1%&qI1(+,{O5"!C8&D:&)*K6-,-/A9 #rD?$()|J6/.N;#C?%$%F5(+*-1?%()|J6/.N<"C>%$%'I3(+M6-/A=!B9$'&)()+M5,/v@; $sG=+)K0/-O3#@?"%$'&)2a8K8./v@:!$%pF2+*}M6,/v@>!rD;&)()+M7,/A:!#E9%F5(+*-,-/A9 uE<'&2b9L9-.yA9 #$sG=*K7-..N:#tB?$'&+)K3.-xN9"C9%$'<6:M>,-xN8#"#rD<%~H6*/L3.!""B<'&F1**J6..yA:!#rD:$I4+*-,/.:=1D1%&qI1(+,{O4"@?"LD:&)**J4/.N9#C9%$'=a:M>,-xN8#"B='&'~H0),L5-A=#"'%G=*K0-,/.#@?"8l5@=)*}M5,/A8 #$sG<*H7*/L2.! !#E=$'(K2.-O5#!tB?&G;)(+(,L7- !tB?'G:)*K7-,/.!=;0C0&'~H2),L7- @8!$D=%(K7.L3.! #"'%G?*)|J5..yA;!#rD=$(H6(zL3.! #"%>o4O<*+zL6- @;!$D<%(H1),{O3"wC>%$'&)**J4/.N9""B>&&F3*|J0,/.! 9<7B3'(K3.-O6"!C9&%G<*H6*-,/, @:!$%G>*)K1.-O4"@>"%$%F5(+*61=H5!"uE=$'I0(+M7,/A:!uE9'&)()+M5,N= #?=6A2()|J4/.N9#"B>'&qI2(+M1,N= #"%$%'I1(+zL7, wC9'%G<+)|J0.xN= #"%$'<9:M>,-xN8#"B='&F2+*}M6,N< #"%&&F0+*+M4,/A; #rD=%I5+*-.O2! #9<6A2()|J4/.N9#"B>'&qI2(J1,/.!"tB8$<6;N?+,{O7"!C:&%G=*H1*-,-/A9 #rD?$(H0CM6/.! 8i7B3'(K3.-O6"!C9&D=&)()+M5,/v@; $sG=+K0-,/.9l1D1%&qI1(+M4,/A; B?$'&+)K3.L2.!8o0C0&'~H2),L7- @8!E>'&)**J0/O2! ;27B3'(K3.-O6"!C9&D=&)()J0,513F7#$sG?*)K2.-O5"wC8%$'$(H2),-xN9#"uE?%qI2+*/-O6"@9"%$'=4:M>,-xN8#"B='&F2**J7.xN< #"%&&F0+K6-,4f3F7#$sG?*)K2.-O5#wC8%$'$(H2),{O6"!C8&D:&)(+2a>I: !tB<'&F1+*J6EO4! # $D>%I5+*75=H5!"uE=$'I0(+M6,/v@>!E8'&)()+M5,/v@; $D<$~H1*-,/5=2E6$%pF0+*J5/.N;#tB8$'&+)K3.-O6#wC9%$',-xN8#"B='&F3*K6-,/, @:!$sG>*)*}M7,/v@9 rD:&)**J6/O4! #"%;?4O<*+zL6- @;!$sG=*)K0.-xN<#C>%$'&)**J4/./v@;!$D<%(H1CzL2.! !#E<$'~H0),-xN;#C?%&&F3+*}M1,N= #"%$'&)2;8K8./v@:!$D?%(K1.-O4"@>"%$'$(H2),-O6"!tB>'&F3+K7-,/,A<#"%?:4O<*+zL6- @;!$sG=*)K0/L2.! # $D>%I4+*3<=H5!"uE=$'I0(+zL4- wC8&%G;*K6-,/.!""B<'&'~H3),-xN:#"B?'pF4(+(,L4- wC8&D:&)(+(,L7- !tB>'&qI2(+M1,yA<#"%&&F2+*J7/xN< #"%$'&369L9-.yA9 #E<$'~H0),{O4"!tB8'G:)(+*-..N8#"uE?$'~H1)M0/.! 9i7B3'(K3.-O6"!tB>'&qI2(J0,/.!""B<'&'I0(+zL4- wC8&D:&)()J1,/.<01D1%&qI1(+M4,/v@8!$sG<*K7-,/.#!C;&%&qI0(+M7,/v@9!rD:&)()+M4,/v@9!rD:&)(+*02=H5!"uE=$'I0(+zL4- wC8'D:&)(+(,L6- !tB='&qI2)J0,/, @;!$sG=+)|J7.O3! #"%$=n;N?+,{O7"!C:&%pF2+K0-,/, @:!$%&qI0(J0,-/A8 #E>%F4(+*.{O5! #9j6A2()|J4/.N9#"uE?$F3(+*/-O7"!"B='&qI3(J7,/,A=#"%?o4O<*+zL6- @;!$sG=*H1*-,-/A9 #rD?$(H0(M6/.! 8i7B3'(K3.-O6"!tB>'G<)(+(,L6- wC:'%G=+K0-,/.9h1D1%&qI1(+M4,/v@8!E>'&)*K7-63%(H3),{O5"@?"%$%'I1(+zL7- !tB>'G<)**J6/O3! #"%?m4O<*+zL6- @;!$sG=*K0-,/, @:!$%G>*K1-.xN; #"?n5@=)*}M5,/A8 #rD<%~H1*-,-/A9 #$sG>*)K1/{O4! !#E<$'~H0(M6/.! #996A2()|J4/.N9#"uE>$F4(+*/-O7"!tB=&G=)(+0e>I: !tB<'&F1+*}M1,N= #"'%G?*)*}M4,/A;!B?$'$(H3),{O3"@?"%$'&2`9L9-.yA9 #E<$F2(+(,L6- @;!$D<%(K0.{O3! #"%e:M>,-xN8#"B='G=)()+M5,/A: B8$'&219L9-.yA9 #E<$F2(+(,L6- wC:'sG=)(+2e>I: !tB<'&F1+K1-,-/A: uE9'&229L9-.yA9 #E<$F3(+(,L6- !C:&D=&@H0*-,353F7#$sG?*)K2.L5.!""B<'&'~H3),-O5"@>"'D;&)**J5/./v@8!$D=$I4+*/-O5"!C8'%G;+H7*-,/.! #896A2()|J4/.N9#C8%$%'I1(+,{O6"!C9'D=&)**J5/.yA; uE>'&)(+02>I: !tB<'&F1+K0-,-/A9 #$sG>*)|J6.xN; # $D?%(H0CzL5.! #"=k5@=)*}M5,/A8 B?$'$(H2),{O6"@8"%$=;;N?+,{O7"!C:&D:&)**J4/./v@;!$D<$(K0/L2.! !#E<$F4(+*-6g%(H3)M1/.#!C;&%&qI0(+zL4-A:#"'%G>*)*}M7,N; !B8$'&)(0:?J;/ wC;&%G>*H6*-..N8#"#rD?%I3+(,L7-A:#"%$=?;N?+,{O7"!C:&D;&)**J4/./v@;!$sG=*K0-,-/A8 B8$'&)3a8K8./v@:!$D?%~H0*-..N8#"B='&qI3(+zL5-v@>"%$'&1g9L9-.yA9 #E<$qI3+*/-O7"!C:'D<&)(03?J;/ wC;&%G>*K1-,-/A9 #E<%qI3+*-76%(H3)zL4.!""B<'&qI0)J6,/.9h1D1%&qI1(+M4,yA;#"'%G?*H1*-4d%(H3)zL4.!""B<'pF1(+21>I: !tB<'&F1+|J6,/,A:#9?6A2()|J4/.N9""#E?$F3()J0,/.#!C;&D:&)448K8./v@:!$D?$()|J6/.N;#tB8$'$(H0),L5,v@>"%$'&+)K3.-O6"!"uE?$'I2(}M1/.#!C9&%G<+K7-,/.! $F4()J1,/.!""B<'&'I2(J0,-N= #">h5@=)*}M5,/A8!#E?%'I2)J1,/.!""B<'&F3**}M1-N= #"%>94O<*+zL6- @; $D<$(H6(M0/.! !#E=$'I0)+M7-/A:!#E9%F5(+*-,/482E6$%pF0+*J5..N:""uE>%qI5+*-,-/A9 #rD<$(H1(M1/.! >?7B3'(K3.-O6#!C9'D=&)()+M5,/ wC:&%pF2**J7.xN= #"'%G>*)K1/-O4#@>"%$'&)0g8K8./v@:!$D?$(H0(M6/.!""B<'G=)(3f?J;/ wC;&%G>+)K1/L5.! !#E=$F3(+05>I: !tB<'&F1**J7.O3! # $D>%(K2/-.yA; uE>'$~H6*-,/3:2E6$%pF0+*J5..yA; #E>%qI5+*-,-/A9 #$sG>*)|J6/xN< # $D?%(H0(zL2.! #">o5@=)*}M5,/A8!#rD<%~H1*-,-/A9 #rD?$(H0CM6/.! 9n7B3'(K3.-O6#!tB>&&F3**J0.O2! #"%&&F0+*}M4-/A;!#E>%'I5)J1,/.! #9n6A2()|J4/.N9""uE?%'~H1(M1/.! !#E=$'~H1(M1/.!;?0C0&'~H2),L7, wC9'%pF3*K7-,/.#!C;&D:&)5:8K8./v@:!$D?$(K1/-xN;"tB8$'&)**J4/.N9""#rD<$(H0),{O4#!C8&sG;)(+*.{O2! #">o5@=)*}M5,/A8!#rD<$I2+*-..N8#"#rD?%~H0*/L5.! ;m7B3'(K3.-O6#!tB>&G<)(+(,L6-A:#">i5@=)*}M5,/A8!#rD<$~H6*-,-/A9 #$sG=*K0-.xN< #"=45@=)*}M5,/A8!B>$'$(H2)M6/.:?1D1%&qI1(+M4-N; # $D>%(K2/-xN:#tB?$'&)3a8K8./v@:!$D?$I4+*/-O7"!tB=&&qI3)+zL5,v@>"%$'&1g9L9-.yA9 #E@K7-,-/A9 #$sG>*)K1.-O3"wC>%$'$(H3),{O5#@9"%$'&1g9L9-.yA9 #E?$'I2(J0,/.#!C;&sG>)(65?J;/ wC;&%G=*)K0/{O3! # $D>%()|J5/.yA;!#E>%qI5+*-..N9#"#E?$qI5+(M6/.! #896A2()|J4/.N:#C8%$%'I1(+,{O6"!C9&D=&)**J5/.yA;!uE>'&)(+2=>I: !tB<'&F2+K0-,-/A9 B=$'=b:M>,-xN8#"B>'pF5(+(,L6- @; $D<$(K0.{O3! #"%?j4O<*+zL6- @8 $D=%I5+*-..N8#"B=&&F2**J7/O3! #"%>94O<*+zL6- @8 $D=$(H6(M0/.! !#E=$'I0)+M7-/A:!#E9%F5(+*-,/412E6$%pF0+*J6..N;""uE9$F5(+*-..N8#"B=&&F2**J7..yA= B9$'&)(+1`>I: !tB<'&F2**J7.O3! # $D>%(H3(,L4, @9 E9'&)(+1a>I: !tB<'&F2AK0-,-/A9 #$sG>*H6*/-O6"!C9LD=&)(+*75=H5!"uE=$'I3B}M6/.#!C;&%&qI0(J0,-/A8 #E?NqI2+*-,/4:2E6$%pF0+*J7..N<"C>%$'$(H2),L7, @8 $D=$(H6(M0/.! #">95@=)*}M5,/A:!#rD:%I4+*-..N8#"B=&G=)(+76>I: !tB<'&F3*K7-,-/A9 #$sG>*)K1/-O4#!C?'D;&)(+(,L7- @9 $D:$I4+*-,/.:<1D1%&qI1(+M6-yA=#"'%G?*)|J5..N:"C8%$'&1d9L9-.yA9 #E>NF4(+(,L6- @;KE?'&)3d8K8./v@:!$D=O~H7*-..N8#"#rD?%(H0CM6/.#!C:&D:&)(+7=>I: !tB<'&F4+K6-,-/A9 #$sG>*)*J6/O4!""B8'G:)(+(,L7- wC9'%pF3**J0/O2! #"%$';9:M>,-xN8#"B8'G:)()+M5,/ wC:&%&qI3(J7,-/A= B9$'&+)K2.-O5#!tB?&&F4+K6-,/.! #896A2()|J4/.N<#tB9$'$(H2),-xN9#"B>&&qI2)J0,/.#!C:&D:&)(+1e>I: !tB<'&F4+|J1,/, @:!$%pF1+K0-..N9#C9%$'&3e9L9-.yA9 #E9%F5(+(,L6- !tB='&F4*K6-,-/A8 #E?%'I2)+M1-N= #"%$'&2b9L9-.yA9 #E9%qI4+*/-O7"!"uE<$qI2+(,L7-v@8"%$';f:M>,-xN8#"uE<$'(K1.-.yA: uE8'$(H1)M1/.!""B>'&qI2(}M0/.! # $D>%(K2.-xN;#tB9$'&)528K8./v@:!$sG>*)*}M7,/A: #rD:%I4+*-..N:#C8%$'&+)K3.-xN9#"B>'G<)(+*05=H5!"uE=$'~H3),-xN:#"B8&G:)()+M7,N; #"%&&F0+*}M4,/v@8!$D:$I4+*-,/202E6$%pF0+*}M4,/ wC9&%pF3+*J0/xN= #"'%G=*)|J7/.yA= B9$'&)(+(,L6- wC:&%&F2AK7-/.N;#tB9$'&)(53?J;/ wC;&%pF1+*+zL4- wC8&%G;+K6-,/, @8!$sG<+)K7/{O2! #"%$%'I1(+zL7, !tB>'&qI2(+M1-yA<#"%&&F2+*}M6-/A=!uE8'&)(+*-62%(K2.-.yA; B?$%'I3(J0,/.!""B<'&F1+*J6/O2! #">l5@=)*}M5,/v@;!$%pF2+K0-..N:#C?%$'&+)K3.L3.!:>0C0&'~H2),{O6"!"uE?$F4()+M7,N; #"%&&F0+*J5..N:"C?%$'&479L9-.yA9 #rD?%(H0),-.yA: B8$%F3((J1,/.!""B<'&F1**}M7-/ wC8&sG;)*K6-,/.!:l0C0&'~H2),{O6"!C9&%G<*)K7.{O2! #"%&&F0+*+M4FN: #E>$'I5(}M0/.! #8j6A2()|J4/.yA8 #E?$'I2(+M1,yA<#"%$'$(H2),-O6H@9"&%G=*)K7.{O2! #"%:<4O<*+zL6- wC:&%G=*)K0.L2.! # $D>%()|J5/./v@8!$sG<*K7-,-/A; #E>$'I5(J1,/.! !#E<$'(K1.-xN;"tB8$'$(H0),{O4"@?"%$'&)(1:?J;/ wC;&%pF1+*J6/.N;#C?%$'&+)K3.-.yA8 #E?$'I2(J0,/.#@?"%$=;;N?+,{O7"!tB='&F2+*J7/O3! #"'%G?*)K2/-xN:""B?'G;)(+*-60%(K2.-O5"!C8&D:&)(+(,L6- @; $sG=+)|J7.xN< #"%$=6;N?+,{O7"!tB='&F2+*J7/O2! #"'%G?*)K2/-xN:""B?'&F4*K6-,/.! >;7B3'(K3.-xN9#"B>'&qI2(+M1,yA<#"%$'$(H2),L7, @8!$D=$(H6)zL3.! #"%>>4O<*+zL6- wC:&%G=*)|J7/.N<#tB9$'&)()+M5,/A8!B>$'&2b9L9-.yA9 #rD?%(H0),{O4"!tB8'pF5(+*-,-/A9 B9$',-xN8#"uE<$'I3(+zL5-A=#"%$%'I1(+,{O6"!C9&%pF3+K7-,/,A<#"%;k4O<*+zL6- wC:&%G=*)|J7/xN< #"%&&F0+*+zL7- wC8&D:&)**J5/./v@8!$sG<*)|J0/O2! # $D<%()|J7/.yA= B9$'$(H1)M1/.! #"%$'pF3(+*/-O7"@>"%?84O<*+zL6- wC:&%G=+)K0.{O3! #"'%G?*K6-,4a3F7#$sG?*)|J5/.N<#C>%$'$(H2),-xN9#C8%&&F1+K1-,/.;k1D1%&qI1(+zL7- wC9&%G<*H6*-,/, @:!$%pF1+*}M7,/A: B8$'&+H7*-,503F7#$sG?*)|J5/.yA; #E>$F4(+*-..N8#"B='&qI3(+zL5-v@>"%$'&369L9-.yA9 #rD?%(K1.-O4"@>"%$'$(H2),{O6"!tB>'&F3*|J0,/.! >;7B3'(K3.-xN9#"uE?$'~H1),{O3"@?"%$'&+)K3.-O6#!C9'%G<+)K7/L3.! #"%>j4O<*+zL6- wC:&%pF2+*}M6,N< #"%&&F0+*+zL7- wC9&%pF3+K7-,/,A<#"%>;4O<*+zL6- wC:&%pF2+*}M6,N< #"%&&F0+*J5..N:""B?&G;)(+*-61%(K2.-xN:#"uE>$qI5+*-,-/A9 #E<%'I3)+M6-yA=#"%$',-xN8#"uE<$'~H0)M0/.!""B<'&'~H3),L4-A=#"'%G>*)|J6/O4! #"%?l4O<*+zL6- wC:&%pF2+|J7,/.#!C;&%G>+)K1/L5.! #>46A2()|J4/.yA8 #rD<%~H7*-,-/A9 #$sG>*)*}M7,yA<# $D<%(H1),L2-v@?"%$'&+)K2.-O4"!C?&sG:)(+*-,4d3F7#$sG?*)|J5/.yA: #rD:%I4+*-,-/A9 #E<%qI3+*-4`%(K2.L4.!""B<'&F1+K0-,/582E6$%pF0+*}M4,N: # $D>%(K0.L2.! ;j7B3'(K3.-xN9#C9%$%'I1(J5,/582E6$%pF0+*}M4,N: # $D=%(K7.L3.! ;j7B3'(K3.-xN9#C9%$%'I2(J0,/6j2E6$%pF0+*}M4,N: # $sG<*H6*-10%(K2.L5.!""B<'&'~H3),L5, @> rD;&)()+M4,/A;!#rD=$(H6(zL3.! #"%$=6;N?+,{O7"!tB='G;)()+M5,/ wC:&%G=+)K0/L2.! !#E<$'I3)J7,/.! 8j7B3'(K3.-xN9#C?%$%'I1(+,{O6"@8"'%G>*H1*-,/5j2E6$%pF0+*}M4,N< # $D>%()|J5/xN: !#E<$F3(+*-77%(K2.{O5! !#E=$'I0)}M7/.!=l0C0&'~H2),{O6#!"#rD<%I2+(,L4-A=#"'D;&)()+M5,/ wC:'%G>*)*}M7,N; !#E?$F4(+*-/O2! #8;6A2()|J4/.yA8!#$sG=*)|J7/xN< # rD;&)()+M5,/ @;!E?'$I4+*-7d%(K2/-O5"wC8%$'$(H2),L7- wC?&D;&)(+70>I: !tB<'&qI0)+M7-/A:!B8$'&)**J4/./v@;!$D=$I5+*/-O6"!tB>&&F3*K7-,/.! 9:7B3'(K3.-xN9""B>&&F3*|J1,/.!""B<'&'I3(}M1/,v@?"%$=9;N?+,{O7"!tB=&&F2**}M6-N< #"%&&F0+*J5..yA;!#rD=$I5+*-,/4:2E6$%pF0+*}M4-/v@8 $sG<+)K7/{O2! #"%&&F0+*J0.xN= #"9;5@=)*}M5,/v@; $sG=+)|J7.xN= #"%&&F0+*+,{O6"wC?%&&F1+*+zL4-v@>"'%G=*)|J7/xN< #"%$%qI4+*-11%(K2/-xN:"C8%$'$(H2),-xN9#"B>&&qI2)+M1,yA<#"%$%'I0(+M7-yA:#"%$'=c:M>,-xN8#"uE<%'~H1(zL2.! !#E=$'I0)+zL4,A:#"%$=i;N?+,{O7"!tB>'&F3+K7-,/, @:!$D?%()|J6/.N;#tB8$'$(H0),L5GA=#"%$'&379L9-.yA9 #rD<%I2+*/-O7"!"uE<$'I3(J7,/, @;!$sG=+K0-,/.!;k0C0&'~H2),{O5"@?"%&&F0+*+M4,yA;# $D=%I5+*-,273F7#$sG?*)|J6/O2! !#E=$'(K2.-O5"@>"%&&F1+*+zL4-v@9"'%G=*H6*-,/.!=?0C0&'~H2),{O5"@?"%&&F0+*+zL7- wC9&D;&)**J5/./v@8!E8'$(H0),L5-A=#"%$'&)4a8K8./v@:!$sG=+)|J7.O3! # $D>%()|J5/./v@8!$D=$(H6(M0/.!""B>'&qI2)J0,/.!""B='&qI3)+zL5,A=#"%$'&599L9-.yA9 #rD=%(K7.L3.! !#E=$'(K2.-xN:""#rD=%(K7.L3.!""B?'G;)(+*/-O6"!tB?'&qI5(J1,/.! #8=6A2()|J4/.yA: B9$'$(H2),-xN9#"uE?$qI2+*/-O6"@>"%$'=b:M>,-xN8#"uE9$qI4+*/-O7"!"uE<$F3()+M4,N: #"%>>4O<*+zL6-A8# $D>%()|J5/.N:#tB?$'$(H3),{O5#@9"%$'&309L9-.yA9 B=$%'I1(+,{O6"!C9'sG<)()+M4,/v@9!rD:&)(+*65=H5!"uE=$F1()+M5,/v@;!$D<$~H1*-,/6i2E6$%pF0+K2-..N8#"uE<$F2(+*60=H5!"uE=$F1()+M5,/v@8!$sG<*)K7.L3.! #"=95@=)*}M5,N9 !#E=$F2(+2=>I: !tB<'G>)**J6/.N;#C?%$';b:M>,-xN8#C9%&&F0+*+zL7-A;# $D?%()|J6/.N;#"B8'pF5(+*/-O5"!tB?&&qI5)J1,/.! #"%<:4O<*+zL6-A;# $D>%I0+*5`=H5!"uE=$F3()+M5,/A8!#E?%F3(+*-4`%I2+(,L6- @; $sG=+H1*-,/612E6$%pF0+K0-..N8#"B=MG=)(+2e>I: !tB<'G<)**J4/.N9ItB>$'&3e9L9-.yA9 B8$%'I1(+,{O6"!C9'%G;+H7*-,-/A8 #E?%'I2)+M1-N= #"%$'&219L9-.yA9 B8$%'I1(+M4,/v@8!rD=&)(+17>I: !tB<'G;)**J4/.N9""#E?$F3()J0,/.!8l0C0&'~H2)M1/, @:!$sG>*)K1.L5.! #886A2()|J4/O2!""B<'&'~H3),L4, !C8&sG;)*K6-,/, @;!E8'&)(6:?J;/ wC;&D;&+)K3.-.yA8 #E9%F5(+(,L7- !tB>'&F4*K6-,-/A; #rD=$(H6(M0/.! #"%$=n;N?+,{O7"@?"'%G?*)*}M4,/v@8!$D=%I5+*-..N9#"B>&&qI2)J0,/.! #8m6A2()|J4/O2!""B<'&'~H3),{O5"!C8LsG;)(+(,L7- @8 $D=OI5+*-,/.:h1D1%&qI1(J1,-/A9 #$sG>*)|J6/O4! !#E<$F4(+*-63%I4+(,L6- !tB='&qI3)+zL5, @> E8'&)()+M4,N= #"%?l4O<*+zL6-A<# $D>%()|J5/.yA;!B?$'$(H3)zL2.! #956A2()|J4/O2!""B<'&'~H3)M1/, @;!$D<$I2+*-,/5;2E6$%pF0+K6-..N8#"B=&&'I3(J7,-N< #"%?64O<*+zL6-A<# $D>%(H3(,L4, !C8&D:&+H7*-,/.:h1D1%&qI1(J1,-/A9 #rD?%()|J6/O3!""B>'G<)(+*-70%I4+(,L6- wC:&%pF2+*}M6,N< #"%$$qI5+(M0/.! #9>6A2()|J4/xN9 !#$sG=*K0-..N:#tB8$'&1c9L9-.yA9 uE<'$(H2),L7,v@8"%$?>;N?+,{O7"wC:%&pF2(36?J;/ wC;&sG=)**J4/O6! 8;7B3'(K3.{O4!""B<'&F1**J6.xN; #"%?94O<*+zL6-v@9"'%G?*)|J5/.yA;!#E>$qI5+*-,/6j2E6$%pF0+|J7,-/A9 #rD?%I3+*-4`%~H6*/-O7"!C:&%G=*H1*-,/592E6$%pF0+|J0,-/A9 #E<%'~H0(zL5.! #996A2(H2),-.yA8 B>$%F1((+,{O4"wC?%&G:)(+10>I: @:!$%&qI0(J6,-N9 #E>%'~H6(M0/.! 8=7B3'I1(+,-xN9#tB8$%'I0(}M0/."!tB>'G<)(+17>I: @:!$%G>*)*}M7,N; !#E?$F4(+*/L3.!8n0C0&F0+*+M4,/A; #E>$F4(+*/L3.!8l0C0&F0+*+M4,/v@8!$D=%~H6*-,-N= #:?6A2(H2),-O6"@8"'D=&)0d8K8.N8#"#E<$qI3+(,L5- @>!rD;&)(+20>I: @:!$%G>*K1-.O4! ;j7B3'I1(+,L7Gv@8"&%G<@K7-,/3:2E6$D>%()|J5/./v@8!$D=$(H6(M0/.!""B>'&F3*K7-,/.#!C:&%G=+)K0/L2.! #"8o5@=)K3.-.yA8 #$sG=*)K0D{O3! !B9$'$(H3),-xN:#"#E>NqI5+)M0/.#!C9&%G<@K7-,/.! 9?7B3'I1(+,{O6"!"uE?$'~H1)M1/.#!C9&%G<+H6*-,/, @;!E8'&)4:8K8.N8#"#rD?%()|J6/.yA:!#E9$qI4+*-..N:#"B?'pF5(+*-..N9#"#$sG=*)K7.{O2! !#E?$qI4+*/{O4! #"?o5@=)K3.-.yA8 #$sG=*)|J7..yA=!B9$'&+)K1.-xN<"C>%$'&+)K2.L3.! 8i7B3'I1(+,{O6"!"uE?$qI5+(,L4-v@9"%$%'I0(J1,/.;k1D1%G?*)*}M4,/A; #E>$'I5(J1,/.!""B='&qI3)+zL5, wC?'sG:)(+*-,4>3F7#E=$'(K2.-O5"!C8&D:&)()+M4,/v@8!E8'&)(13?J;/A9 #$sG>*)K1.-O4"@>"%$%'I0(+zL4, wC8'sG;)(+*-66&pF3(+*-4c*H6*-,4b3F7#E=$'(K2.-O5"@?"%&&F1+*+zL4-A=# $D<%I2+*-,/5;2E6$D>%()|J5/.N:""B?&G;)(+(,L7-A:#"%>>4O<*J4/./v@;!$D<$(K0/L2.! !#E<$'(K1.{O4!"C?%$'&259L9-O7"!"uE<$'I3)J7,/, @;!$D%()|J5/.N;#"B8'G:)(+(,L7- @8 E>'&)(0;?J;/A9 #$sG>*)K7/L3.!""B='&F2**J7.O3! #"%;<4O<*J4/./v@;!$sG=*)K0.-xN<#C>%$'&+)K2.-.yA; #rD=%(H6)zL3.! !#E?$'(K0.-xN<#C>%$%'I2(+M1,yA<#"%$'&)(07?J;/A9 #$sG>*)|J6/.N;#C?%$'$(H3)M0/.!;30C0&F0+*+zL7- wC9&%G;*H7*-,-/A8 #E?$F3(+*-60*)K1/-O4#@>"%$'&2g9L9-O7"!"uE<$'~H0)M0/.#!C:&%&qI3(J7,-/A; B8$'&)(04?J;/A9 #$sG>*)|J6/xN; # $D?%(K7.{O2! #">85@=)K3.-.yA8 B>$%'I0(+M6,/v@>!rD;&)(+*5c=H5!C;&%&qI0(J6,-/A8 #rD<%I2+*-,7>3F7#E=$'(K2.L4.#!C:&D=&)(03?J;/A9 #$sG>*H1*/-O6"!tB>&pF3(+*-4?%()|J5/O2!""B='&'~H0),L2,A<#"'%G=*)K0/-O3#@?"%$'&)(0:?J;/A9 #$sG>*H7*/-O6"!"uE?$F3()+M7,N< #"%$'$(H0)M1/.! #:m6A2(H2),-xN9#tB?$%'I0(J6,/.;<1D1%G?*)*}M4,yA<# $D?%()|J6/.N;#C?%$%'I3(+zL5,A=#"%$'&1e9L9-O7"!C:&%&F2+K0-..N<#C>%$'&2d9L9-O7"!C:&%&qI3(+M6,/A= uE8'&)**J6/.N;#C?%$'&)278K8.N8#"B='&'~H0),{O4"!tB8'pF5(+*/-O5"!C8&%G;*H7*-,/.!8o0C0&F0+*J5/./v@8!E>'$(H0)M1/.! ;m7B3'I1(+M4,/ wC9&D=&+)K1.{O3! #"=h5@=)K3.-O6"!C9&%&F3+|J0,-N= #"%?h4O<*J4/.N9#"B>'&'~H1),L2GA<#"'%G<*)|J0/xN= #"%$'>d:M>,L6- @;!$D<%(H1),L2-A<#"%$'>e:M>,L6- @;!$D<%(H1),L2-v@?"%$'&199L9-O7"!C:&%G=*)K0.L2.! #:j6A2(H2),L7- @8!$sG<*)K7.{O2! #"%'&qI2(}M1/.! ;87B3'I1(+M4,/A; B?$'&1e9L9-O7"!C:&%G=+)K0/-O3"@?"%$'&1g9L9-O7"!C:&%pF2+*J7/.N<#tB9$'&)(3b?J;/A9 #E<$'~H0),L5-v@>"%$'>f:M>,L6- @;!$sG=*)|J7/.N<#C>%$'&)078K8.N8#"B='&qI3)}M6/.!l1D1%G?*)K2.L4.!820C0&F0+*J5../A; B?$%F4(+*5c=H5!C;&%G>+)*J6/xN; !#E9$qI4+*-,7f3F7#E=$'I0)+,L4-v@9"'sG;)(+27>I: @:!$D?$(H0(M6/.!l1D1%G?*)K2/L4.!l1D1%G?*)K2DL4.!8l0C0&F0+*}M4,/ @8!rD=&+)K7.L3.! #9m6A2(H2),{O6"!"uE?$'I2)J0,/, @8!$sG;*H7*-,/.9o1D1%G?*)|J5/./v@8!E>'$(H0)M1/.! 8;7B3'I1(+zL7- @8!$D=%(K7.{O2! #"%<74O<*J4/.yA8 #E?$'I2(J0,/.!:;0C0&F0+*}M4,/v@8!$%pF3+*J0/xN= # $D=%(H6(zL3.! #"%?m4O<*J4/.yA8 #rD<%()|J7/O3!""B?'&qI5(}M0/.! #">85@=)K3.-xN9#"uE?$'(K0.L3.#!C8&D:&)(+*5d=H5!C;&%pF1+*}M7,/A: B8$'&)0`8K8.N8#"uE<$'~H0),L5-v@>"%$'=0:M>,L6- wC:&%pF2+*J7..N<#tB9$'&)(03?J;/A9 #rD?%(K1.-xN;#"B8'G:)(+*-74I: @:!$sG>*K1-,7a3F7#E=$'~H3(,-xN:#"B?'G;)()J1,/.9?1D1%G?*)|J5..yA;!uE>'&)g9L9-O7"!tB=&G=)(;8K8.N8#C:%l5@=)K3.{O6!;?0C0&F0**+,{O6"!tB?'pF4(+(,L7-A;#"'D;&)348K8.N8""#$sG>*K0-..N9#"B>'pF3(+*/{O3! ;o7B3'I1)+,L7- @8!E>'&+)K7.L3.! ;n7B3'I1)+,L7- wC9&D=&)**J0/O2! #:56A2(H2(,-O6"@8"'%G<*K7-,/6i2E6$D>$()K2.{O5!""B?'pF4(+*5==H5!C;'%&F1AK1-..N;"C?%$':9:M>,L6, !tB='&'~H0),{O4#!tB8&pF5(+*/-O5"!"uE>$qI5+(,L5- @>!rD;&)(+*-..N9#"#E>$qI5+(zL3.! #9n6A2(H2(,-xN9#"#rD<%I2+(M1/.#!C:&%pF2+|J1,/.!;k0C0&F0**+zL7- !tB>'G;)*K0-,-/A8 #rD<%I5+*-,7>3F7#E=%'(K2.-O5"@9"%&G;)(03?J;/A9!#$sG>*)K1.{O4! !#E9$qI4+*-76I: @: $%pF1+K0-..N9#C9%$'=3:M>,L6, !tB='G:)**J5/.N:#"B?'G;)(+*-43e:M>,L6, @; $D<$(H1),L2-v@?"%$'&199L9-O7#!C:'%G=+)K0.L2.! #:h6A2(H2(,L7, @8 $D=$(H6(M0/.! #:46A2(H2(,L7, @8 $D=$I5+*-,7>3F7#E=%'I0)+M7-/A:!uE9'&)(3b?J;/A9!#E<%'I3)+zL5-v@>"%$'>3:M>,L6, @; $D<$I2+*-439:M>,L6, @; $sG=+)K0/L2.! #:96A2(H2(,L7, wC9'sG<)(+f?J;/A9!#E<%F2(+2b>I: @: $sG>*)K1.-xN;#"B8'G:)(+*-4eI: @: $sG>*H0*-46&&qI2)J0,/.!o1D1%G?+)|J5.O5! 30C0&F0*K2-d=H5!C;'sG>)g9L9-O7H!C:LsG=)(;8K8.N8IC:%l5@=)K3D{O6!=:0C0&qI1(+,-xN9#"#rD<%(H1)M1/.#!C9&%G<@H6*-,/, @;!$sG<*K7-,/,A<#"?95@=)|J4/./ wC:&%G=*)K0.L2.! !#E<$'~H0),L5GA=#"%$%F5(+15>I: wC;&%&'~H3),{O4#wC?%$%F1((J6,/6n2E6$sG?*)*+zL7-A;# $D?%I2+*.L2.!830C0&qI1(+,L7-A;# $D=%I5+*-42"'D=&*K6-,-/A8 #$sG=*)*J7EO3!#tB9$'$(H0),L5- wC?&sG:)(+*-,/2i2E6$sG?*)*}M4,/ wC9&%G<*)K7.L3.! !#E?$'(K0.L2.#!C8&%pF4*K6-,/.! !#E<$'(K0/-O4"@>"%'G:)(+*70=H5!tB<'&'~H3),-xN:#"B?'G;)()+M7,/v@9 E9'&)()+M4,N= #"8o5@=)|J4/./v@;!$%pF2+*J7..N<#tB9$'&+)K1.-O4"@?"%$'$(H3),L4- wC8&%pF4+K6-,/.! >87B3'~H2),-xN9#"#rD<%(H6(M0/.#!C9&%G<+)K7/L3.! #"'%G>*)*J6/O4!"C?%$'&2b9L9-xN8#"#rD?%()|J6/xN; !B8$'$(H3),{O5"@?"%$'<0:M>,{O7"!"uE<$'I3(+zL5-A=#"%&&F1+*}M7-/A:!B8$'&)(10?J;/v@:!$%pF1+*J6/.yA: uE9'&)**J5/./v@8!rD=&+K7-,/.>=1D1%pF0+*+zL7- @8!$sG;*K6-,/, @;!$%pF2+*+zL5- @>!E8'&+)K0.-xN<#tB9$'&)**J6/./ wC8&sG:)*K0-/xN< #"%$'=f:M>,{O7"!"uE<$'I3(J1,/, @;!$%pF2+K0-..N:#C?%$'&)328K8.yA9 #$sG>*)K1.{O4! !#E<$F4(+*5g=H5!tB<'&'~H3),L4-v@9"%&G;)(0b?J;/v@:!$%pF1+*J6../A: uE9'$I4+*-..N9#C>%$':c:M>,{O7"!"uE<$'I3)+,{O4"!C?&sG:)()+M6,/A=!uE8'&)(+(,L7- @8!$%pF3+*J0/xN= # $D=%(H6(zL3.! #"%$<:;N?+zL6- !tB='&F3+*J0/O2! # $D?%~H0*-,413F7#rD>%()|J5/.N;#"B8'pF5(+*/-O6"wC9%$'=e:M>,{O7"!"uE<$'I5(J1,/, @;!$D<$(H1(,L2-A<#"%$'&5d9L9-xN8#"#rD?%(K1.-.yA: #rD:$I4+*/-O4"!tB8'G:)(+*-..N9#"uE?%'(K0.-xN<"C>%$%'I2(+zL2-A<#"%$'&)328K8.yA9 #$sG>*)|J6/.N;#C?%$'$I4+*75=H5!tB<'&'~H3),{O5"@?"%&&F1+*+zL4-A:# $D<%I5+*-,/5>2E6$sG?*)*}M4,/v@8!rD=&)**J5/.N:#C8%$'&279L9-xN8#"#rD?%(K1.{O4! !#E<$'I3)J7,/.!=h0C0&qI1(+,{O6"!tB>&&F4*|J1,/.#!C:&%&qI3(+M6-/A=!B9$'&+)K1.-O4#!C?LsG:)(+*-,/6n2E6$sG?*)*}M4,N: !#E<$'I2(J0,/.!;>0C0&qI1(+,{O6"@?"'%G>*)*J6/O4!"C?%$'&1c9L9-xN8#"#rD?%~H1*/-O6"@8"%$"%$?;;N?+zL6- @;!$D<%I2+*-4?I: wC;&%G>*)|J6/.N;#tB8$'&)328K8.yA9 #E<$'~H0),{O4"!C?'D;&)(+*b>I: wC;&%G>*H0*-4?"%$'>4:M>,{O7"!C:'%G=+H1*-,7a3F7#rD>%(H3(,{O5"!C8&%G;*H7*-,/.n2E6$sG?*)K2/L4.!8:0C0&qI1(+M4FyA;#"?=5@=)|J4/.yA8 #$%pF2+*J7/O2! !#E?$'~H1)M0/.!"C?%$'>f:M>,{O7"!tB='&'I3(J7,-/A= B9$'&)0c8K8.yA9 #rD?%()K1.L5.#@>"%$:>;N?+zL6- wC:&%&qI3(+,{O4"!tB8'G:)()+M6,/A=!B9$'&)**J6/.yA: B8$'&)(14?J;/v@:!$sG>*)*}M7,/A: #E9$F5(+*/-O5"!tB?&&qI5)}M0/.! #"?45@=)|J4/.yA8 #$sG=*)K0.-xN<#tB9$'&+)K1.-xN;""uE9%qI4+*-,/.:j1D1%pF0+*}M4,/ wC9&%G<*H6*-..N:#"uE>%qI5+*-,/5=2E6$sG?*)|J5/./v@8!$D=%I5+*/-O5"@?"%$'=2:M>,{O7"!tB='&'~H0),L5-v@>"%&pF5(+*6d=H5!tB<'&qI0(+,{O5"!C8'%G;+H7*-,-/A; B9$'&)378K8.yA9 #rD?%()|J6/.N<#C>%$%'I3(J7,/.!;i0C0&qI1(+zL7- !tB>'&qI2(J0,/, @8!$sG<+H6*-,/.:91D1%pF0+*}M4,/ wC9&D=&+)K1.L2.! #9m6A2(K3.-xN9#"#rD<%I4+(,L4- @9 $D:$I4+*-,/.9m1D1%pF0+*}M4,/ wC9&sG<)*|J0,/.:>1D1%pF0+*}M4,/ wC9&sG:)**J6/.yA: B8$'&)(0a?J;/v@:!$sG>*)K1.-.yA: #E9$F5(+(,L5-v@>"%$'&1g9L9-xN8#"uE<$'I3(+M6,/A=!B9$'&)(3b?J;/v@:!$sG>*)K1.-O4H@>"%$'=2:M>,{O7"!tB='&F2+*}M6-/v@> rD;&)(+*50=H5!tB<'&qI0(+M7,N; #"=;5@=)|J4/.yA8 #E?$qI2+*-76$F4()J7,,N= #"%??4O<*}M5,/v@;!$sG=*)*J7/xN< !B9$'&)208K8.yA9 #rD?%(K1.-.yA: #E9$qI4+*/-O4"!tB8'G:)(+*-,573F7#rD>%(K2.-xN:#"#rD=%(H6CM0/.#!C8&%G;*H7*-,/.!;<0C0&qI1(+zL7- wC9&%&qI2(J1,-/A: B8$'&)(03?J;/v@:!$sG>*)|J6/.N;#"B8'G:)(+*-77?5@=)|J4/.yA8 #rD<%(H1),{O3"wC>%$'&)0a8K8.yA9 #rD?%(K1.-O4"wC?%$'&1b9L9-xN8#"uE<$'~H0),L5,v@>"%$'=3:M>,{O7"!tB='&qI3(+zL5- wC?&sG:)(+*-4e'&)038K8.yA9 #rD?%~H0*-434O<*}M5,/v@; E?'&a:M>,{O7"@;"n6A2(K3.{O6!;30C0&qI1)+,-xN9#C?%&&F1+*J7..N<"C>%$'&*H0*-36$'~H6)zL3.!""B?'&qI5)}M0/.! # $D?%(H0),-xN;#"uE9$qI4+*/-O4"!tB8&pF5(+*-,/.:j1D1%pF0**+zL7- wC9'%G<+K7-,/, @;!$D=$~H6*-,/612E6$sG?+)K2.-O5"!C8&D:&)(+2f>I: wC;'%G>+)*J6/xN; !uE9'&)068K8.yA9!#E<%'I3)J7,/.:91D1%pF0**J5..yA;!#E>%'I5)}M0/.! #:m6A2(K3/-O6#!tB>&&F3*K7-,/.9<1D1%pF0**J5..yA;!B?$'&179L9-xN8""uE<%'I3)}M6/.!;;0C0&qI1)+zL7, wC9'%G<+)K7/{O2! #"%?<4O<*}M5-/v@; $sG=+)|J7..N<"tB9$'&)(00?J;/v@: $sG>+)|J6..yA:!#rD:$~H7*-,/.9m1D1%pF0**}M4-/v@8 $sG<+K7-,/.9>1D1%pF0**}M4-/v@8 rD=&)(33?J;/v@: $sG>+H0*-47>5@ND68KUGC>2E[ABJJ7:MSIJBB8>1D\@AKE058KUKHLL8<7B^BOEG03>IWEFNN8:5@PLMGA01IWEFNN0906;NRNKAC;=730C]C@DD>5:g=HXDEOI1950?;8KUKHLL6<245@PLMGAWC@12E[XDCFZDF`?JTNOKF@SYW_E29LQQ7lVF97]>=;Q30?U77<2Z:<=:4P0220>V68<90\?7]?>559S54033Y;:;95_10:7?U761:1[=?:4P0030>V6:8>0\<<<4:R26122618T4533Y;8=95_1207?U74;=1[=>:;;Q3031=W9:2?7]?<929S512;86^>4068T425<2Z:8>:4P0677>V6=:1[=;=4P050?U7?;2Z:5?5_229S655V5?:1[>5=4P3;1?U543Y9;?6^<129S7759<;Q1;7>V41;1[8>5_4118T1743Y>9?6^;329S0159>5_5718T0143Y?3?6^:939S26=W>990\;?<;Q417>V1<:1[:8=4P740?U00;2Z=5?5_729S355>87]9:3:R4<6=W?080\5=4P920?U>6;2Z3>>5_8218T=243Y2>?6^7729S<=4V><:1[58=4P850?U??;2Z2595_<1<6?U:687?0\1?>>49S8449=2Z7=>0:;Q>20;3285_<04=1>V;9>4>7]2>8?78T97>6=1[0<0:;Q>14;3V;::4>7]2=4?78T9426<1[0?815:R?62823Y6943;4P=0::1=W4;4>7]2<0?78T9566<1[0><15:R?76823Y6883;4P=16:0=W4:<596^336<6?U:407?0\1=6>59S86823Y6?<3;4P=62:0=W4=8596^342<6?U:3<7?0\1::>49S8109?2Z78:4?>49S8119<2Z783:4P=7=0>V;>7>0\1914:R?<;2VDAG;=7]MFN025?UENF8;=7]MFN005?UENF89=7]MFN065?UENF8?=7]MFN045?UENF8==7]MFN0:5?UENF83>7]MFN348TFOI:9<0\NGA2048TFOI:;<0\NGA2248TFOI:=<0\NGA2448TFOI:?<0\NGA2648TFOI:1<0\NGA2878TFOI;?1[OD@<079SGLH49?1[OD@<279SGLH4;?1[OD@<479SGLH4=?1[OD@<679SGLH4??1[OD@<879SGLH41<1[OD@;6:R@MK27>2ZHEC:>6:R@MK25>2ZHEC:<6:R@MK23>2ZHEC::6:R@MK21>2ZHEC:85:R@MK323YIBB;;4PBKM30=WK@D396^LIO;4?UENF5:546^LIO>24;>VDAG6:8364PBKM843902ZHEC2>6?:8TFOI48=546^LIO>2<;>99SGLH;:=437]MFN=06:==WK@D7>;07;QAJJ940611[OD@329<;?UENF5822:5_CHL?6;>>18:R@MK:49720\NGA<20=<>VDAG68?364PBKM862902ZHEC2<5?:8TFOI4:<546^LIO>03;>618:R@MK:417=0\NGA<2<;?UENF5>;255_CHL?048?3YIBB1:=>99SGLH;<:437]MFN=67:==WK@D78807;QAJJ9216h1[OD@34683:==WK@D78:08;QAJJ929?2ZHEC2:>69SGLH;>7=0\NGA<6<4?UENF525;6^LIO>::0=WKF__:6^LOTV32>VDG\^:;6^LOTV242=WKF__=<94PBMVP4403YIDYY?<7:R@KPR6<>1[OB[[1458TFIR\8<<7]M@UU343>VDG\^:4:5_CNWW5<07:R@KPR5:>1[OB[[2258TFIR\;><7]M@UU063>VDG\^9::5_CNWW621>2ZHCXZ<7:R@KPR48>1[OB[[3058TFIR\:8<7]M@UU103>VDG\^88:5_CNWW70188;QALQQ50?2ZHCXZ<869SGJSS;0<0\NAZT558TFIR\=:<7]M@UU623>VDG\^?>:5_CNWW061<0\NAZT448TFIR\?<0\NAZT648TFIR\1<0\NAZT8:8TFIR\5:556^LOTV?558>3YIDYY2>1?;8TFIR\5;9245_CNWW845912ZHCXZ315<:?UEH]]6:9374PBMVP971601[OB[[<05==>VDG\^7=506;QALQQ:61720\NAZT=3==>VDG\^7>=06;QALQQ:59730\NAZT=01:<=WKF__0?=19:R@KPR;:=427]M@UU>11;?89SGJSS4;=556^LOTV?6=8>3YIDYY2=9?:8TFIR\58556^LOTV?758>3YIDYY2<1?;8TFIR\599245_CNWW865912ZHCXZ335<:?UEH]]689374PBMVP951601[OB[[<25==>VDG\^7?506;QALQQ:41720\NAZT=1==>VDG\^78=06;QALQQ:39730\NAZT=61:<=WKF__09=19:R@KPR;<=427]M@UU>71;?c9SGJSS4==1<374PBMVP920611[OB[[<5<;?UEH]]6>255_CNWW838?3YIDYY28>99SGJSS41437]M@UU>::3=WM[CDHo5_H@QF[VNNN]20\EW\IBCOe>VNFVH^_DJWb:RJJZDR[GKFIo5_IO]JWFJHKBk0\FQMIOFJJB?VKDVIGG>CWa:ROHZEKC=GSm6^CL^AOO0K_991[@AQLLJ@VVVRXKG^:?6^CL^AOOGSU[]UNBLLCEb9SHIYDDBIEX_Bm;QNO[FJLNKGS==5_LM]@HN@EG\^9SIZ>2:ROHZEKCOHDYY7]BC_BNHBGIR\;UECNAZNUd8TIJXKEAMNB[[_EV25>VKDVIGGKL@UU]F@BCa3YFGSNBDFCMVPZCIn2ZG@RMCKG@LQQYO\o1[@AQLLJDAKPRXD];?7]BC_BNHBGIR\VDDOB[ATg9SHIYDDBLICXZPPLg8TIJXKEADYY>PDU33?UJKWJF@CXZ?_DFDA`=WDEUH@FAZT1]FJ`=WDEUH@FAZT1]KP`=WDEUH@FAZT1]OP45VKDVIGGB[[1^RNa>VKDVIGGB[[2^FW55=WDEUH@FAZT3]F@BCb3YFGSNBDOTV1[@Hb3YFGSNBDOTV1[MRb3YFGSNBDOTV1[IR6;2ZG@RMCKNWW6ZHHKF_EXh5_LM]@HNIR\;U[Ah5_LM]@HNIR\:UOX<>4PMN\GIMH]]9TIIIJe:ROHZEKCF__?RKAe:ROHZEKCF__?RF[e:ROHZEKCF__?RB[129SHIYDDBE^X>QAOBMVJQcSIZ>0:ROHZEKCF__9RKKGDg8TIJXKEADYY;PEOg8TIJXKEADYY;PHUg8TIJXKEADYY;PLU30?UJKWJF@CXZ:_OM@KPHSm2ZG@RMCKNWW1ZVJ9:1[@AQLLJ]OKVRXLL^NOY84PMN\GU713YFGSN^PBHCP[QTNXVYCEKZm;QNO[FVXZLZGm6^CL^AS[WCT9<1[@AQKISG@PZVF\AUHBY\Cd:ROHZBN^JF@SIZi;QNO[AOQKEATIIIJd:ROHZBN^JF@SEZk;QNO[AOQKEAT@Y?=;QNO[AOQKEATBBM@UOV25>VKDVN]HIQLOLR\W@R13YFGSH@l;QNO[@HXKG^Y@l5_LM]FJZBKQl1[@AQJN^NFENG@Mj1[@AQJN^V@HN6d3YFGSH@PTBNH5f=WDEUNBRZLLJ0`?UJKWLDTXNBD3c9SHIYBFV\HC=l4PMN\AKYQKF;i7]BC_DL\RFI5j2ZG@RKA_WAL7g=WDEUNBRXLO5`8TIJXMGU]OB;m;QNO[@HX^JE=n6^CL^GM[SEH?o1[@AQJN^T@KZBN^8l0\ABPEO]UGJYCA_<27]BC_HLWBG763YFGSD@PDM[\I]YTMEo0\ABPIO]GH\YTM]n0\ABPIN]@HNUUK11[@AQCFID`?UJKWEEHGRMATg9SHIYKGJATJOQKLX33?UJKWEEHGR\JF^FO]47VKDVXN_i5_LM]PAIYTD@ZOi6^CL^QHARYDF]XG=?5_LM]WAVRXAGU\ECJ@Wd9SHIYRFEEHGRMATc9SHIYQLMUXIAh4PMN\RI@OANBTHD]:;QMTAW5TFL[937_OB17Z2@d=UID3[KFN5LXES68VDKC<2XJA^64R@O\IJBBi2XNMIQIISQWe>TBNV@BXYK]6:PFCFCF>2XNKNKMb:PFCFCEZLMHI>5]EM58V@KH^LN:<6\JMNTF@ZRUIZBHMCk4RDROEFCXXHXNBY??;SGQAVIK^LU[M_OBS078V@UB\VEESAA\S^ME[IIDC11YB_QILNR0?WIJ;2XXX95]SUC7?WUSJ>1Y_YL]SU68VVRDi2XXXNO]RXKM0>TT\M>0^^ZB9:PPPJVJGMO?7_][P69QWQR^XL;;7_][_EGBWVCU\VIGGh5]SU]SVLIUA]STMh5]SU]SVLIUA]STN>5]XE:8V]BXAK_M=6]i;R4\MABUWZXTOB@\4:QBB@1<[JKECXZ<;RGOg>UBZMOX_Y\@BD18W@R?3ZO_^H]JT29PBC763ZBYIJQJXUPBGQYIOJo0_E\JG^OJJZUNRL30_DIPCNWMP46<[@G[^DCPOONZ[UOI9:1XE@QLOMNJWLIIWJBNOFo4SHO\@@PNKL20_DCPMNFFe>ULM^IGGD@>a:QHAREKC@D9:6]DEVKM3>ULM^E^X:5\KDUQWQ1<[BO\_YL7;RIJTZVNF=1X@HY<;RLH6>UW9?1X\;QMUGKL6ZOI[LX_S]BC1308WU0XJ\LBC?QFNRGQPZVKD8UF@RLZFHM1[4773ZZ=SO[IIN]JJVCU\8i0_]8PBTDJKZOI[LX_S@BPBTDJK7Y79=1X\;QFNR]AQCOH:OHT\AB=2:QS2ZOI[VH^JDA=FC]SHIYJDVH^JDA=FC]154=TX?UFM_EPBTDD[UJK02Y[M_ZNN748WUOXKZ20_]GPMHQL`>UWAVGB_BQFBTDe?VVNWDCXCR^ZLMWS<>UWAVGD_D94SQK\WFM43ZZD?6]]Ce9PVFYOALXJ^NFV7:QQHF71M=1X^^Z9;RPW]UC03ZX]MAQN7:QQRDJXJ=1X_HZ>3:QWEKYEW]ETNNGA_BCPGf=T\HX_Y]QXAHV5?VRF\\Y<7^ZNTTQ33>USI]_X=:5\T@VVW71<[]K_Y^=8;RVBPPU3?2Y_MY[\569PPDRR[?=0_YO[UR5:?VRF\\Y7<374SUCWQV:6601XXLZZS=0==>USI]_X0>06;RVBPPU;<730_YO[UR>6:<=T\H^^_181b:QWEQST4>0;245\T@VVW91902Y__LJ]S1:8WQUFL[Y:46][S@FQW7><[]YJH_]<8:QWWDBU[=20_Y[LK^CW0>U^FJ30_T@L_@VWV44<[PY_I@Q\YOAKVJHH]Z;0Xk5[ASEFPZVFZ]^R\H:4TCWE7>RCX11_I_CFN@N7?QCT\<1_I^Z?5:VFWQ713]OXX<>9;UGPP4713]OXX<<9;UGPP4513]OXX<:9;UGPP4313]OXX<89;UGPP4113]OXX<69;UGPP4?23]OXX?84TDQW650<\LY_><84TDQW670<\LY_>>84TDQW610<\LY_>884TDQW630<\LY_>:84TDQW6=3<\LY_?85[ERV71>RB[]?>7YK\T778P@US?<1_I^Z75:VFWQ?03]OXX1>18:VFWQ:68720XH][<03=<>RB[]6:>364TDQW845902^N_Y2>4?:8P@US48?546ZJSU>22;><\LY_0<918:VFWQ:60720XH][<0;=3>RB[]6:255[ERV?658?3]OXX1<>>99WAVR;:;437YK\T=00:==SMZ^7>907;UGPP942611_I^Z327<;?QCT\58<2l5[ERV?6=<7611_I^Z329<4?QCT\585;6ZJSU>0:2=SMZ^78394TDQW80803]OXX1817:VFWQ:06>1_I^Z38?58P@US404=7YGJOGD27>RUIGYMC_CNTHMM[WSKMk1_^L@\P@PFJQ5<\[C37Y\FSUCWA1=SZ\O27Y]PPDPJKA5<\QN?7YW_E79W|Phn|:1^OK84UOWPAAe<]ZOYS[G\ICNFf>STMVNDYOBJR29UGF743_OYURFFGI]EV@WRMGIR56XFEV]W]UC53^:97Z?=;V01?R533^KO^85XAEP31>QFL[;>7ZOKR378SDBU;=1\OAE=;VG0?RCF<2]NM=:4WDC20>QBI;>0[HO<6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;7<0[HOXEM58S@GPME:<7ZKNWDN2=>QBI^OG0=0m;VGBS@J;93:556YJAVGO84843^OI86YJB168S@D6<2]NN?:4WD@02>QBJ5:5:6YJB=3=2>QBJ58546YJB=194;0<_LH7?384WD@TAP1<_LH\IX>8;VGAS@S612]NNZKZ<119:UFFRCR48427ZGAG^C?4;g<_@DLSL2>0?c8SLH@WH6:=3o4WHLD[D:6:7k0[D@H_@>27;g<_@DLSL2>4?a8SLH@WH6:97>1a:UJJBYF48?556YFNF]B848>3^CEKRO32?;8SLH@WH68245XIOE\E92912]BBJQN<4<:?ROIOVK7:374WHLD[D:0601\ECIPA=:==>QNFNUJ040j;VKMCZGX8VCDNXH>3:UJJBYFW9UBCO[I/H@VB42<_@DLSLQ?_HMAQC)HJ\L_j6YFNF]B[46XAFH^J<:4WHLD[DY68VCDNXH ICWE50=PAGMTMR??_HMAQC)HJ\L_j6YFNF]B[47XAFH^J<:4WHLD[DY69VCDNXH ICWE50=PAGMTMR?>_HMAQC)HJ\L_j6YFNF]B[44XAFH^J<:4WHLD[DY6:VCDNXH ICWE50=PAGMTMR?=_HMAQC)HJ\L_j6YFNF]B[45XAFH^J<:4WHLD[DY6;VCDNXH ICWE50=PAGMTMR?<_HMAQC)HJ\L_j6YFNF]B[42XAFH^J<:4WHLD[DY65XIOE\EZ7XAFH^J"GMUG37?ROIOVKT=RG@BTD,KGSA\l1\ECIPA^0\MJDRN890[D@H_@]1[LIE]O%BNXH>4:UJJBYFW;UBCO[I/N@VBQc<_@DLSLQ<_HMAQC743^CEKROP3^KLFP@(AK_M=95XIOE\EZ5XAFH^J"AMUGVf?ROIOVKT8RG@BTD27>QNFNUJS9QFOCWE+LDRN8>0[D@H_@]7[LIE]O%DNXH[e:UJJBYFWQNFNUJS;QFOCWE+JDRN]o0[D@H_@]4[LIE]O;87ZGAG^C\3ZOHJ\L$EO[I159TMKAXIV=TEBLZF.MAQCRb3^CEKROP8^KLFP@6;2]BBJQN_9]JKGSA'@H^J<:4WHLD[DY?W@EIYK!@BTDWa>QNFNUJS4QFOCWE56=PAGMTMR7PIN@VB*OE]O;?7ZGAG^C\=ZOHJ\L$CO[IT89TMKAXJ5:5m6YFNF]A8469i2]BBJQM<03=e>QNFNUI0<<1a:UJJBYE4895m6YFNF]A8429k2]BBJQM<0794;g<_@DLSO2>5?;8SLH@WK6:245XIOE\F94912]BBJQM<2<:?ROIOVH78374WHLD[G:2601\ECIPB=4==>QNFNUI0:06;VKMCZD;0730[D@H_C>::`=PAGMTNR>PIN@VB45<_@DLSOQ?_HMAQC)NJ\L:86YFNF]A[5YNGK_M#BLZFUd8SLH@WKU:QNFNUIS<>PIN@VB*OE]O;>7ZGAG^@\55YNGK_M#BLZFUd8SLH@WKU:=RG@BTD20>QNFNUIS7ZGAG^@\54YNGK_M#BLZFUd8SLH@WKU:>RG@BTD20>QNFNUIS<7ZGAG^@\57YNGK_M#BLZFUd8SLH@WKU:?RG@BTD20>QNFNUIS<=PIN@VB*OE]O;>7ZGAG^@\56YNGK_M#BLZFUd8SLH@WKU:8RG@BTD20>QNFNUIS<:PIN@VB*OE]O;>7ZGAG^@\51YNGK_M#BLZFUd8SLH@WKU:9RG@BTD20>QNFNUIS<;PIN@VB*OE]O;>7ZGAG^@\50YNGK_M#BLZFUg8SLH@WKU:SDAMUG30?ROIOVHT=RG@BTD,MGSA9=1\ECIPB^3\MJDRN&EIYKZj;VKMCZDX:VCDNXH>3:UJJBYEW;UBCO[I/H@VB42<_@DLSOQ=_HMAQC)HJ\L_i6YFNF]A[6YNGK_M=>5XIOE\FZ5XAFH^J"GMUG37?ROIOVHT?RG@BTD,KGSA\l1\ECIPB^6\MJDRN890[D@H_C]7[LIE]O%BNXH>4:UJJBYEW=UBCO[I/N@VBQc<_@DLSOQ:_HMAQC743^CEKRLP5^KLFP@(AK_M=95XIOE\FZ3XAFH^J"AMUGVf?ROIOVHT:RG@BTD27>QNFNUIS;QFOCWE+LDRN8>0[D@H_C]5[LIE]O%DNXH[e:UJJBYEW>UBCO[I129TMKAXJV=TEBLZF.KAQC733^CEKRLP7^KLFP@(GK_MXh5XIOE\FZ>XAFH^J<=4WHLD[GY?W@EIYK!FBTD20>QNFNUIS5QFOCWE+JDRN]o0[D@H_C]:[LIE]O;87ZGAG^@\=ZOHJ\L$EO[I159TMKAXJV3TEBLZF.MAQCR>3^CEKRM30?c8SLH@WJ6:<3o4WHLD[F:697k0[D@H_B>26;g<_@DLSN2>3?c8SLH@WJ6:83m4WHLD[F:6=3:5m6YFNF]@843912]BBJQL<0<:?ROIOVI7>374WHLD[F:4601\ECIPC=6==>QNFNUH0806;VKMCZE;>730[D@H_B>4:<=PAGMTO1619:UJJBYD404n7ZGAG^A\4ZOHJ\L:?6YFNF]@[5YNGK_M#DLZF068SLH@WJU;SDAMUG-LFP@Sn2]BBJQL_02\MJDRN8>0[D@H_B]24ZOHJ\L$EO[I149TMKAXKV;;SDAMUG-LFP@Sn2]BBJQL_03\MJDRN8>0[D@H_B]25ZOHJ\L$EO[I149TMKAXKV;:SDAMUG-LFP@Sn2]BBJQL_00\MJDRN8>0[D@H_B]26ZOHJ\L$EO[I149TMKAXKV;9SDAMUG-LFP@Sn2]BBJQL_01\MJDRN8>0[D@H_B]27ZOHJ\L$EO[I149TMKAXKV;8SDAMUG-LFP@Sn2]BBJQL_06\MJDRN8>0[D@H_B]20ZOHJ\L$EO[I149TMKAXKV;?SDAMUG-LFP@Sn2]BBJQL_07\MJDRN8>0[D@H_B]21ZOHJ\L$EO[I149TMKAXKV;>SDAMUG-LFP@Sm2]BBJQL_0]JKGSA9:1\ECIPC^3\MJDRN&CIYK?;;VKMCZEX9VCDNXH OCWEP`=PAGMTOR3:UJJBYDW=UBCO[I/H@VB42<_@DLSNQ;_HMAQC)HJ\L_i6YFNF]@[0YNGK_M=>5XIOE\GZ3XAFH^J"GMUG37?ROIOVIT9RG@BTD,KGSA\l1\ECIPC^4\MJDRN890[D@H_B]5[LIE]O%BNXH>4:UJJBYDW?UBCO[I/N@VBQc<_@DLSNQ8_HMAQC743^CEKRMP7^KLFP@(AK_M=95XIOE\GZ1XAFH^J"AMUGVf?ROIOVIT4RG@BTD27>QNFNUHS5QFOCWE+LDRN8>0[D@H_B];[LIE]O%DNXH[e:UJJBYDW0UBCO[I129TMKAXKV3TEBLZF.KAQC733^CEKRMP9^KLFP@(GK_MXo5XRHVF[COU[]i0[_G[E^OL@@YFk2]YEYKPMNFF[G7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML57UA]CX48\VRKAKl0T^ZBABPL[WGJW89m7U][M@AQKZTFEV;?j6V\TLC@VJYUIDU:9k5WSUOBGWIXZHGT=;?6;YQW[DBQIGINHRCNCSM\5ZKRD];27U][_@FUEKEBLVGJO_AP2^OVHQ4c3QY_SLJYAOAF@ZKFK[ETMNMZ_CCPMFYJIJXDSLJKST@\BC7a3QY_SLJYAOAF@ZKFK[ETMNMZ_Lcg`Z@A9o1S_YQNDWCMG@BXEHIYCROLCT]NwpdXNO=0T^ZPGOF4?]USW_IH46VPR@OG53>^c`VGj|Na}rBmmwqgi|]xje?<;Yfk[HgwKfxyAh|heeVl52=_laUFm}M`rsPfijpbl]xjel4Xej\TwojAmri7Ujg_QpjiLhqk2RodR^}ilVzt``4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us?2RxxRMc199[wqYDd8:37U}{_Bn25==_{}UH`<<7;Yqw[Fj6;11SyQLl06;?]usWJf:955Wsu]@h40?3QySNb>799[wqYDd8237U}{_Bn2=2=_{}UH`?64Xrv\Gi4702RxxRMc20:8\vrXKe8946V|t^Ao66>^t|VIg>:64Xrv\Gi4?02RxxRMc2858\vrXKe937U}{_Bn04==_{}UH`>?7;Yqw[Fj4:11SyQLl21;?]usWJf8855Wsu]@h63?3QySNb<699[wqYDd:=37U}{_Bn0<==_{}UH`>78;Yqw[Fj302RxxRMc41:8\vrXKe>:46V|t^Ao07>^t|VIg8;64Xrv\Gi2002RxxRMc4958\vrXKe?<7U}{_Bn53>^t|VIg;:5Wsu]@h=1\BZF897RQPXMLN[ZY689UTS~b`t01,[k62;>Ubb{?=2:]\[]JIEVUT==?P_^qokq74'Vd;9:=Piot267=XWVRGB@QP_021[ZYtdf~:?"Qa04:4[lhq9;80SRQWLOO\[Z77;VUTaa{12-\j5351Vcez<<=;^]\\IHJWVU:<9QP_rnlp=)Xf8>9YXWQFEARQP117\[Zukg}2$Sc?<38]jjs76:;1TSRVCNL]\[461WVUx`bz7/^l2047Xag|:=?<4_^][HKKXWV;;;RQPsmmw<*Yi9:2?Sd`y1001?ZYXPEDFSRQ>09]\[vjh|1%Tb<=96^kmr475:2UTSUBAM^]\55?XWVygcy6 _o3064Ynf8:>?5P_^ZOJHYXW8;;SRQ|lnv;+Zh6;88Tecx=1308[ZY_DGGTSR?>1^]\wiis0&Ue=9=7_hlu54423VUTTA@B_^]257YXWsz~eca in-\j57>?Vcez<=;;^]\\IHJWVU:=>QP_{rvmki(kfxn#@czx^qwefmXiVoemobj1^QT464050>2b9\[Z^KFDUTSP_^qokq73'zco#~gk_wmjg`Y5'jyS~zntd]EWHYANm;&Ec?=9:]\[]JIEVUT=??P_^qqehYnfz~$oy|c/^l3717;07;?n6QP_YNMIZYX9;8TSR}cou37+voc'zcoS{afcd]2+HkrpVFM__U=2\]DJAY4<>UdS`{w_04\KPR69=h0SRQWLOO\[Z75;VUTaa{15-pma)tamU}cdmj_3-Nip~XDOYYW?6^MVP473j2UTSUBAM^]\572XWVygcy?;/rkg+vocWebohQPQHNE]002YhWdsS<8POTV2512?<_n370>YXWQFEARQP135\[Zukg};?#~gk/rkg[sinklU8#k|eu]fjsYdf}Uh`ho}_NP\745Xg8997RQPXMLN[ZY6:1UTS~b`t06,wlb({`nTzbgle^3,[k64;9Ubb{?<2:]\[]JIEVUT=?7P_^qokq73'zco#~gk_wmjg`Y5'Vd;?>>Piot277=XWVRGB@QP_013[ZYtdf~:8"}fd.qj`ZphajoT?"Qa0213[lhq9:;0SRQWLOO\[Z749VUTv}{fnn-jk*oi|{Ubb~z Mlw{[k64>:;3=?l4_^][HKKXWV;8>RQPsheneack|hUbb~z _o2143Ynf;9;6QP_YNMIZYX9:9TSRm}c04\mkus'Vd;=>;Piot2564Pioqw+rtn|lUhbyQfnw326c=XWVRGB@QP_015[ZY}x|cec"m`rd-Nip~XdzThlzn_rgo644a3VUTTA@B_^]272YXWsz~eca cnpf+HkrpVfxyRjnt`]pai56;=1TSRVCNL]\[45?WVUe`k}s^kmwq)sadoy7P_^vji`ttW`dxx"zfmdp2[lht|&Ue<<:<_hlu565UDYY29>2c8[ZY_DGGTSR?;3^]\wiis9=%xei!ndepX0XY@FMU88>Q`_lw{[01XG\^783=n;^]\\IHJWVU:89QP_rnlp42({`n$mij}[5_\CKBX;=9TcRczx^74[JSS4;48m6QP_YNMIZYX9=?TSR}cou37+voc'hno~V:R_FLG[624WfUfyuQ:7^MVP969:>1TSRVCNL]\[421WVUq|xgao.alv`)Xf8>;;Rgav00b?ZYXPEDFSRQ>46]\[vrage$ob|j/Lov|ZqX|fy:<>?=a:]\[]JIEVUT=96P_^xsqlhh'jeyi"Cbuy]t[qit99>:>:5P_^ZOJHYXW8>2SRQuptkmk*ehzl%xda!Pn12704563VUTTA@B_^]215YXWsz~eca cnpf+HkrpV}TecGatdpqqur6988i7RQPXMLN[ZY6=8UTSw~ziom,gjtb'Vd:<==Piot2[VQ7;h1TSRVCNL]\[435WVUx`bz7/hlsqqYvS>WTKCJP18:\kZgclV?;8RAZT=0=51g064?ZYXPEDFSRQ>55]\[vjh|8;$~uQfnrv,fdscold$KCJP172\kZei|R;>QRk~u`n\5Zi;9<4:>:5P_^ZOJHYXW8?>SRQlrb35[lht|&Ue<<98_hlu544a3VUTTA@B_^]213YXWzfdx4.qj`*unlV|denkP1.OqehYCmjUyiaU<]^RTVZ448VeT{djj_lw{[25XG\^:=<;<;^]\\IHJWVU:95QP_rnlp42({`n$djPvnk`aZ4(E{kfSIkl_sgo_6[XX^XT>>>Po^uj``Yj}qU9UTS~b`t06,wlb({`nTzbgle^3,IwgjWH~Sikl[6_\TRTX:::TcRyfdd]nq}Y11VE^X1468[ZY_DGGTSR?91^]\wiis9=%xei!|ie]uklebW8%F~lcPAuv\``e\?TU[[_Q=31]l[rocmVg~tR86_NWW5746==1TSRVCNL]\[405WVUx`bz>4.qj`*unlV|denkP2.OqehYF|}UoinU8]^RTVZ448VeT{djj_lw{[3?XG\^:=>>Po^uj``Yj}qUYXWQFEARQP17;\[Zukg};?#~gk/rkg[sinklU:#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ779<80SRQWLOO\[Z708VUTaa{15-pma)tamU}cdmj_0-NvdkXLliT~hbT3\]SSWY5;9UdSzgke^ov|Z14WF__=?;=;^]\\IHJWVU:;>>Po^uj``Yj}qUYXWQFEARQP160\[Zukg};?#~gk/rkg[sinklU9#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ36=;1TSRVCNL]\[414WVUx`bz>4.qj`*unlV|denkP2.OqehYCmjUyiaU<]^RTVZ448VeT{djj_lw{[25XG\^3=8=4_^][HKKXWV;<8RQPsmmw51)tam%xeiQyohaf[7)JzhgTHhmPrdnX7XYW_[U9?=Q`_vkgaZkrpV=8SB[[11366>YXWQFEARQP167\[Zukg};?#~gk/rkg[sinklU9#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ75=;1TSRVCNL]\[411WVUx`bz>4.qj`*unlV|denkP3.OqehYCmjUyiaU<]^RTVZ448VeT{djj_lw{[25XG\^?=8<4_^][HKKXWV;<;RQPsmmw51)tam%xeiQyohaf[6)JzhgTHhmPrdnX7XYW_[U9?=Q`_vkgaZkrpV=8SB[[5071?ZYXPEDFSRQ>79]\[vjh|8>$dj shf\rjodmV9$Aob_Eg`[wckS:WT\Z\P222\kZqnllUfyuQ83^MVP=72;2UTSUBAM^]\52?XWVygcy?;/rkg+vocWebohQ80]\[vjh|8>$dj shf\rjodmV;$Aob_@vw[acdS>WT\Z\P222\kZqnllUfyuQ99^MVP372:2UTSUBAM^]\5=4XWVygcy?;/rkg+vocWebohQ>/LpbiZGs|VnnoV9R_QUQ[757WfU|eikPmtz\2:9?5P_^ZOJHYXW828SRQ|lnv20*unl&ybhRx`ibg\5*KuidUJxyQkebY4YZVPZV88>6QP_YNMIZYX910UDYY:>539\[Z^KFDUTS<68_^]phjr6<&ybh"}fd^tlmfcX:&Gym`QNtu]gaf]0UVZ\^R<<0^m\slbbWdsS;7POTV4504P_^qokq73'zco#~gk_wmjg`Y4'DxjaRO{t^ffg^1ZWY]YS?=?_n]tmacXe|rT:4Q@UU6217=XWVRGB@QP_0;2[ZYtdf~:8"}fd.qj`ZphajoT?"C}al]BpqYcmjQ:VUTaa{15-pma)tamU}cdmj_2-NvdkXI}~ThhmT7\]SSWY5;9UdSzgke^ov|Z0>WF__9<:j;^]\\IHJWVU:5>QP_tcqpZoi{}%tRm`rd-Nip~X\qZycn miurlw^5ZWNDOS<9<_n]nq}Y6;VE^XQ`_lw{[45XG\^:=9:4_^][HKKXWV;29RQPu`pw[lht|&xsSd`|t.p{is`nd}oy#@m`uov\gjsi|V{Ttb|31?3204=XWVRGB@QP_0;5[ZYtdf~:="|w_hlpp*telb`yk}/LalqkrXkfexRPxnp?5;76;l1TSRVCNL]\[4?0WVUq|xgao.alv`)dijbn#@m`uov\kc`df}U|~dzj_ymq86869:i0SRQWLOO\[Z7>0VUTaa{1.xptlekc&MEHR?:5^m\CKBX9WVUx`bz?/{qsmfjl'NDOS<;:_n]DJAY6==1^QT41561]l[fhsS8?VShzam]2[j:6=7;9i6QP_YNMIZYX:9>TSR{nru]jjvr(|qUhck _o226SRQuptkmk*ehzl%FaxvPpsgevZuwflrW<4_^][HKKXWV8;:RQPsmmw54)spV~bah| GOF\536XgViexV?:]^grqdjX9Ve7=80>2c9\[Z^KFDUTS?>8_^]phjr69&~sSna}e.]m444>W`d}=<:l;^]\\IHJWVU9<5QP_{rvmki(kfxn#@}zb^EM@Z31WfULBIQ:6^m\wpdX9>=TCXZ31183:Ze~414:=9m4_^][HKKXWV8;5RQPsmmw<*erz{oexRga_bjbjZubdR9VSd`uu36_2[X`nnS`{w_5:5[JSS484:>85P_^ZOJHYXW;;;SRQuptkmk*oh'Vd;=4=Piot20f=XWVRGB@QP_332[ZYtdf~:8"}fd.qj`ZphajoT="Cbuy]OBVT\:;WTKCJP355\kZkrpV;=SB[[1337g>YXWQFEARQP200\[Zukg};?#~gk/rkg[sinklU:#@czx^NEWW]5:TULBIQ<46]l[hsW8406`?ZYXPEDFSRQ=12]\[vjh|8>$dj shf\rjodmV;$A`{w_MDPV^45UVMEHR=;7^m\ip~X9?UDYY?915a8[ZY_DGGTSR<>4^]\wiis9=%xei!|ie]uklebW8%FaxvPLGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X<6>4b9\[Z^KFDUTS??:_^]phjr6<&ybh"}fd^tlmfcX9&GfyuQCFRPX67[XOGNT?99Po^ov|Z71WF__><8P_^qokq73'zco#~gk_wmjg`Y6'Dg~tRBISSY16XY@FMU88:Q`_lw{[40XG\^9?<:l;^]\\IHJWVU9=:QP_rnlp42({`n$djPvnk`aZ4(EdsSAH\RZ01YZAILV9?;RaPmtz\53YH]];9=9m4_^][HKKXWV8:4RQPsmmw51)tam%xeiQyohaf[7)Je|rT@K]][30^[BHCW:>:8n5P_^ZOJHYXW;;2SRQ|lnv20*unl&ybhRx`ibg\6*Kj}qUGJ^\T23_\CKBX;==TcRczx^35[JSS9?;?o6QP_YNMIZYX:;:TSR}cou37+voc'zcoS{afcd]1+HkrpVFM__U=2\]DJAY4<>UdS`{w_04\KPR608>h7RQPXMLN[ZY5:8UTS~b`t06,wlb({`nTzbgle^0,IhsWELX^V<=]^EM@Z53?VeTaxvP17]LQQ469=i0SRQWLOO\[Z45:VUTaa{15-pma)tamU}cdmj_3-Nip~XDOYYW?6^MVP7564.qj`*unlV|denkP3.Onq}YKNZXP>?SPGOF\711XgVg~tR?9_NWW5773k2UTSUBAM^]\672XWVygcy?;/rkg+vocWebohQPQHNE]002YhWdsS<8POTV2042d3VUTTA@B_^]160YXWzfdx<: shf,wlbX~fchiR= Mlw{[I@TZR89QRIAD^173ZiXe|rT=;Q@UU3551e!Bmtz\HCUUS;8VSJ@K_264[jYj}qU::RAZT0:20f=XWVRGB@QP_304[ZYtdf~:8"}fd.qj`ZphajoT?"Cbuy]OBVT\:;WTKCJP355\kZkrpV;=SB[[2037g>YXWQFEARQP23:\[Zukg};?#~gk/rkg[sinklU8#@czx^NEWW]5:TULBIQ<46]l[hsW8$dj shf\rjodmV;$A`{w_MDPV^45UVMEHR=;7^m\ip~X9?UDYY=>4c9\[Z^KFDUTS?=?_^]phjr6<&ybh"}fd^tlmfcX9&GfyuQCFRPX67[XOGNT?99Po^ov|Z71WF__9<:m;^]\\IHJWVU9?i7RQPXMLN[ZY5;:UTS~b`t06,wlb({`nTzbgle^0,IhsWELX^V<=]^EM@Z53?VeTaxvP17]LQQ564.qj`*unlV|denkP2.Onq}YKNZXP>?SPGOF\711XgVg~tR?9_NWW142e3VUTTA@B_^]170YXWzfdx<: shf,wlbX~fchiR< Mlw{[I@TZR89QRIAD^173ZiXe|rT=;Q@UU520g=XWVRGB@QP_315[ZYtdf~:8"}fd.qj`ZphajoT>"Cbuy]OBVT\:;WTKCJP355\kZkrpV;=SB[[906a?ZYXPEDFSRQ=36]\[vjh|8>$dj shf\rjodmV9$A`{w_MDPV^45UVMEHR=;7^m\ip~X9?UDYY=>4c9\[Z^KFDUTS?=7_^]phjr6<&ybh"}fd^tlmfcX;&GfyuQCFRPX67[XOGNT?99Po^ov|Z71WF__9<:m;^]\\IHJWVU9?4QP_rnlp42({`n$djPvnk`aZ5(EdsSAH\RZ01YZAILV9?;RaPmtz\53YH]]=:8o5P_^ZOJHYXW;>;SRQ|lnv20*unl&ybhRx`ibg\7*Kj}qUGJ^\T23_\CKBX;==TcRczx^35[JSS18>>7RQPXMLN[ZY5<8UTSxo}t^kmwq)spV~bah| Mlw{[fhsS8?VSnaznuY21XYj}qU?SB[[9066?ZYXPEDFSRQ=43]\[pgu|Vcey!{x^vji`t(EdsSn`{[07^[firf}Q:9PQbuy]7[JSS98>97RQPXMLN[ZY5<:UTS~b`t03,p}Ysadoy#@czx^amp^72UVidyczT14_\ip~X9:P_^qokq76'}rTxdcjr.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU326==XWVRGB@QP_366[ZY}x|cec"m`rd-\j467;Vcez??=7:]\[]JIEVUT>98P_^qokqYflmxn~2?>=05:Z129;=0SRQWLOO\[Z43?VUTaa{_`fgv`ut4947>;0P7471f>YXWQFEARQP25:\[Z|w}`dd#da iovq[lht|&Ue<9>=12;8[ZY_DGGTSR<;9^]\~usnff%hck c`aka*dr{pUnbllce^CM@Z77;>Ud=?94_^][HKKXWV8>8=Vcez8:P_^vji`ttW`dxx"zfmdp3[lht|&GfyuQx_trgt475?2UTSUBAM^]\603XWVygcyQndepfwv:7658=2R6>2358[ZY_DGGTSR<:6^]\wiisWhno~h}|<19;6QP_YNMIZYX:<=TSR}cou]b`atb{z6;21<9>^:227187P_^qokqYflmxn~2?>=05:Z>58;=0SRQWLOO\[Z418VUTaa{_`fgv`ut4947>;0P83113>YXWQFEARQP273\[Zukg}Ujhi|jsr>3:9416V28RQPsmmw[dbczlyx0=0327<\<7413VUTTA@B_^]126YXWzfdxRokdsgpw9694;<5S5:=6:]\[]JIEVUT>;:P_^qokqYflmxn~2?>=05:Z>>:j1TSRVCNL]\[702WVUq|xgao.alv`)Je|rT{Rz`s02:5275k2UTSUBAM^]\630XWV~bah||_hlpp*Kj}qU|nRjnt^m1044d3VUTTA@B_^]122YXW}cfi}Pioqw+HkrpV}iSio{_n0257eYXWQFEARQP262\[ZrnelxxSd`|t.Onq}YpjVnjxRa>400`?ZYXPEDFSRQ=70]\[qojm{yTec}{/Lov|ZqeWmkSb?>13a8[ZY_DGGTSR<82^]\plkbzzUbb~z Mlw{[rdXlh~Tc<>>2b9\[Z^KFDUTS?9<_^]ytpoig&id~h!Bmtz\sZrh{8:2=::P_^xsqlhh'jeyi"Cbuy]t[qit9<;:>?5P_^ZOJHYXW;=>SRQ|lnv;+Zh6;99Tecx81318[ZY_DGGTSR<86^]\wiis8&Ue<=7=_hlu6475;2UTSUBAM^]\621XWVygcy? _o23=7Ynf8:=?84_^][HKKXWV8<4RQPzqwjjj)dg{o$A`{w_omp34413VUTTA@B_^]135>P_^xsqlhh'jeyi"Cbuy]mkv36:>1TSRVCNL]\[7>6WVUq|xgao.alv`)Je|rTbb}>0005?ZYXPEDFSRQ=83]\[vrage$ob|j/Lov|Zhh{0;9;6QP_YNMIZYX:19TSRtuhll+fium&GfyuQaor365715;P_^xsqlhh'jeyi"Cbuy]mkv749:80SRQWLOO\[Z4?>VUTob_hlpp*esze%yyo{e^DPIZ@Al8'Bb<:?<2408[ZY_DGGTSR<60^]\wiis9=%xei!|ie]uklebW;%F~lcPAuv\``e\?TU[[_Q=31]l[rocmVg~tR86_NWW57353VUTTA@B_^]1=4YXWzfdx<: shf,wlbX~fchiR= Mscn[DrsWmohW:SPPVP\666XgV}bhhQbuy]5=ZIR\88><6QP_YNMIZYX:08TSR}cou37+voc'zcoS{afcd]2+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\5;:2<;?;^]\\IHJWVU95>QP_rnlp42({`n$djPvnk`aZ4(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__0;:42a3VUTTA@B_^]1=3YXWzfdx<: shf,wlbX~fchiR? P@]NWGYnfVkhoxczl`vlv^44UVg~tR?=_NWW83864.qj`*unlV|denkP1.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:368>m7RQPXMLN[ZY511UTS~b`t06,wlb({`nTzbgle^3,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS4;4:8k5P_^ZOJHYXW;32SRQ|lnv20*unl&ybhRx`ibg\5*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]6;2<:i;^]\\IHJWVU8<=QP_rnlp42({`n$djPvnk`aZ4(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__050>4g9\[Z^KFDUTS>>>_^]phjr6<&ybh"}fd^tlmfcX:&ZJS@]M_hl\efere|fjxb|T22_\ip~X9;UDYY29>06e?ZYXPEDFSRQ<03]\[vjh|8>$dj shf\rjodmV8$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<5<20c=XWVRGB@QP_220[ZYtdf~:8"}fd.qj`ZphajoT>"^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>1:42a3VUTTA@B_^]041YXWzfdx<: shf,wlbX~fchiR< P@]NWGYnfVkhoxczl`vlv^44UVg~tR?=_NWW85864.qj`*unlV|denkP3.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:?68>m7RQPXMLN[ZY48?UTS~b`t06,wlb({`nTzbgle^1,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS4?4:8k5P_^ZOJHYXW::>SPmtz\57YH]]6?2<:i;^]\\IHJWVU8<5QP_rnlp42({`n$djPvnk`aZ5(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__0?0>4g9\[Z^KFDUTS>>6_^]phjr6<&ybh"}fd^tlmfcX;&ZJS@]M_hl\efere|fjxb|T22_\ip~X9;UDYY2?>06`?ZYXPEDFSRQ<11]\[pgu|Vcey!}x^kmwq)ei|nlic!Bmtz\gkr\9TCXZ615a8[ZY_DGGTSR=>1^]\qdtsW`dxx"|w_hlpp*df}mmnb"Cbuy]`jq]6=TUhcx`{[07^[hsW=UDYY?>489\[Z^KFDUTS>?=_^]phjr69&xsSd`|t.`bqaabf&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]]2:8l5P_^ZOJHYXW:;8SRQ|lnv25*tW`dxx"lnueefj*Kj}qUhbyU>5\]`kphsS8?VS`{w_5]LQQ779=k0SRQWLOO\[Z56YXWQFEARQP304\[Zukg};?#~gk/rkg[sinklU8#y|faoeoa^77UVdde~kT11_\KWY5>3e9\[Z^KFDUTS>?8_^]phjr?'`d{yyQ~[6_\CKBX902TcRokd^730ZIR\5<5S^Y?499\[Z^KFDUTS>?7_^]phjr6<&ybh"}fd^tlmfcX9&~yel`hldY1YZhhazoP>PQ@R^0;=ZiX[^;?46QP_YNMIZYX;83TSR}cou37+voc'zcoS{afcd]2+qtnigmgiV:R_omjw`]3UVEYS?6<_n]PS42?3VUTTA@B_^]065YXWzfdx<: shf,wlbX~fchiR? tskbjbjbS?WTbbg|eZ4^[JTX:>=TcR]X15:8[ZY_DGGTSR==1^]\wiis9=%xei!|ie]uklebW8%~doagmgX1\]mklubS8;VSB\P270\kZUP9=20SRQWLOO\[Z55;VUTaa{15-pma)tamU}cdmj_3-wvlgioeoP>PQaohqf_7[XG[U944Q`_RU20==XWVRGB@QP_207[ZYtdf~:8"}fd.qj`ZphajoT>"z}i`ldh`]3UVdde~kT4\]LVZ4?;VeT_Z?;8:]\[]JIEVUT??;P_^qokq73'zco#~gk_wmjg`Y5'}xbmciceZ4^[kin{lQ=QRA]_354[jYT_8>37RQPXMLN[ZY4:?UTS~b`t06,wlb({`nTzbgle^0,pwoffnfnW5SPnnkpa^>ZWFXT>:?Po^QT51g$dj shf\rjodmV9$xgnnfnf_7[XffcxiV94]l[hsW8?TCXZ>5^QT41>!{rhcmcic\>TUecd}j[7_\KWY5?>UdS^Y>499\[Z^KFDUTS>==_^]phjr6<&ybh"}fd^tlmfcX;&~yel`hldY;YZhhazoP4PQ@R^045ZiX[^;?m6QP_YNMIZYX;:9TSR}cou37+voc'zcoS{afcd]0+qtnigmgiV?>]^llmvc\98WTC_Q=63]l[VQ6<01TSRVCNL]\[653WVUxejcnddnweZoi{}%FaxvPd`v\u4]6?TULBIQ>94]l[hsW8?TCXZ<_RU30<=XWVRGB@QP_216[ZYtangjhhb{a^kmwq)Je|rThlzPq0Y23XY@FMU:58Q`_lw{[43XG\^?S^Y?489\[Z^KFDUTS>=9_^]pmbkfllfmRgasu-Nip~Xlh~T}7\]DJAY6194]l[hsW8?TCXZ6_RU30<=XWVRGB@QP_21:[ZYtangjhhb{a^kmwq)Je|rThlzPq0Y23XY@FMU:58Q`_lw{[43XG\^3S^Y?3c9\[Z^KFDUTS>:?_^]phjr69&lbjbQfnrvbjfc(Eje~byQ}d`fgvZ~hz585=<=m;^]\\IHJWVU889SRQzasv\mkus'{rTec}{/LalqkrXlh~job{at^zlv94998937RQPXMLN[ZY4<:UTS~b`t03,v}Ynfz~$Anaznu]geqgdg|dSua}<3<257gWVUh~n?9_hlpp*Kdg|dSnaznu]r54553VUTTA@B_^]015YXWsz~eca cnpf+fgd`l%mjQbel]b`at;>7;9m6QP_YNMIZYX;<;TSRtuhll+fium&GfyuQx_ump5721:k1TSRVCNL]\[635WVUq|xgao.alv`)Je|rT{Rz`s022554c3VUTTA@B_^]016YXWsz~eca cnpf+HkrpV}Txb}>346254373VUTTA@B_^]011YXWzfdx<: shf,wlbX~fchiR? eot\gjsi|lxP=:SPGOF\711XgVozylbP22]l8419;<:0SRQWLOO\[Z52=VUTaa{15-pma)tamU}cdmj_3-fjsYdg|diU>7\]DJAY4<>UdShzam]17Zi;9>48995P_^ZOJHYXW:?=SRQ|lnv20*unl&ybhRx`ibg\5*AILV9?;RaPdhtj``tXkfexh|T16_\atsfdV?:Sb2>7?660>YXWQFEARQP345\[Zukg};?#~gk/rkg[sinklU9#J@K_264[jYcacoiQlotlwaw]6?TUn}xoc_43\k9706=??7RQPXMLN[ZY4=1UTS~b`t06,wlb({`nTzbgle^1,CKBX;==TcRjfvhffvZeh}g~n~V?8]^grqdjX=8Ud0<9143c8[ZY_DGGTSR=:9^]\~usnff%hck Mlw{[rYsgz8;=<<6;^]\\IHJWVU8:=QP_rpbiZoi{}%hxb n^`bjn:6688i7RQPXMLN[ZY4>8UTSw~ziom,gjtb'Dg~tRyPtnq0=456:k1TSRVCNL]\[605WVUq|xgao.alv`)Je|rT{Rz`s2;214263VUTTA@B_^]026YXWsz~eca cnpf+fgd`l%FaxvPsucwa^4ZWQU98RaPMtz\62Yh988=7RQPXMLN[ZY4>=UTS~b`t06,wlb(Wg:>9?Qfnw31b>YXWQFEARQP377\[ZuuidUbb~z cupo+Zh7<<>Tecx>5^QT471$dj _o267=Ynf8>h6QP_YNMIZYX;?3TSRtuhll+fium&GfyuQkebp\wqgdcFzn~lzfooY2YZvumoxT}`jxuY26XYpamoTaxvP16;\KPR69;;946QP_YNMIZYX;>:TSRtuhll+fium&Ue=?7=_hlu54563VUTTA@B_^]034YXWsz~eca cnpf+HkrpVymnePa^cg`w77;8897RQPXMLN[ZY4?;UTS~b`t06,wlb(Wg:?>;?;2:]\[]JIEVUT?:=P_^aqg40Xagy#@czx^rlh|YvS8?VS]Y]_321[jYj}qU9>RAZT06207=XWVRGB@QP_257[ZYdzj;=Sd`|t.Onq}YwgesT}V?:]^RTVZ47:VeTaxvP23]LQQ759=80SRQWLOO\[Z50=VUTom>6^kmwq)Je|rT|bbv_pY21XYW_[U9;^]\\IHJWVU8;;QP_bp`53Ynfz~$A`{w_qmo}Zw\9:7RQPXMLN[ZY4?0UTSn|l17]jjvr(EdsS}acy^sX50[XX^XT>=<6;^]\\IHJWVU84>QP_{rvmki(kfxn#@czx^u\pju5==8h7RQPXMLN[ZY40=UTSw~ziom,gjtb'Dg~tRyPtnq270139;h0SRQWLOO\[Z5?=VUTv}{fnn-`kwc(dz$Sc>?50]jjs75m2UTSUBAM^]\7=0XWVj~yQfnrv,p}Ysadoy#R`?032\mkp6:o1TSRVCNL]\[6>0WVUq|xgao.alv`)k{|%FaxvPwc]`}fYh988i7RQPXMLN[ZY401UTS~b`t03,p}Ysadoy#R`?032\mkp6:<1TSRVCNL]\[6>>WVUq|xgao.kl+Zh7:9;Tecx>339\[Z^KFDUTS>7?_^]phjr?'z~jkhQ`nd]kkibXAG\T959Po=3=57dYXWQFEARQP380\[ZrnelxxSd`|t.vji`t7W`dxx"Cbuy]t[pvcx;;8?6QP_YNMIZYX;09TSR}}al]jjvr(k}xg#@czx^l\vcYdg|di?>1218[ZY_DGGTSR=64^]\wwgjW`dxx"m{rm-Nip~XfVxmSnaznugq5674;2UTSUBAM^]\7<3XWVyym`Qfnrv,gqtk'Dg~tR`Prg]`kphsm{;>=>=4_^][HKKXWV92:RQPsscn[lht|&i~a!Bmtz\jZtaWje~byk}16307>YXWQFEARQP385\[ZuuidUbb~z cupo+HkrpVdT~kQlotlwaw7>9:90SRQWLOO\[Z5>0VUTob_hlpp*esze%FaxvPn^pe[firf}oy><4_^][HKKXWV>;8RQPsscn[lht|&i~a!Bmtz\jZtaWje~byk}1011?ZYXPEDFSRQ;04]\[vtfeVcey!ltsn,IhsWgUyjRm`uovfv174:2UTSUBAM^]\050XWVyym`Qfnrv,gqtk'Dg~tR`Prg]`kphsm{<:??5P_^ZOJHYXW=:T_Z><5:]\[]JIEVUT8<>P_^xsqlhh'`e$A`{w_hm\v`gcWzoginzjd30\WR64=2UTSUBAM^]\047XWVp{yd``/hm,IhsW`eT~hok_rgoafrbl82T_Z><5:]\[]JIEVUT8<T_Z><5:]\[]JIEVUT8<:P_^xsqlhh'`e$A`{w_hm\v`gcWzoginzjd03\WR64<2UTSUBAM^]\043XWV~bah||_hlpp*rnelx;Sd`|t.]m44209>UX[==9;^]\\IHJWVU?=;QP_{rvmki(kfxn#iklr^vlwVirzjoT>RL]B5]gtjr4>2UTSUBAM^]\041XWVp{yd``/bmqa*bbk{Uc~]`usaf[7YEZK;Th}a{379\[Z^KFDUTS9?7_^]ytpoig&id~h!kebp\pjuTg|xhiR=PBS@1[avh|:<0SRQWLOO\[Z261VUTv}{fnn-`kwc(lliySya|Snwqg`Y4WKXI=Rjou0a?ZYXPEDFSRQ;21]\[vrage$ob|j/Lov|ZqX|fy:?8<>489\[Z^KFDUTS9<>_^]ytpoig&cd#d`{r^kmwq)Je|rTKCJP89]l[rdXimxTeV:R_LW[[30?Wf;:?=5P_^ZOJHYXW=89SRQuptkmk*ehzl%oin|PsqMebvcsW8Uo|bz=7:]\[]JIEVUT8?=P_^xsqlhh'jeyi"Cbuy]mkv459;=0SRQWLOO\[Z25YXWQFEARQP437\[Z|w}`dd#na}e.Onq}Yigz8:=?94_^][HKKXWV>9:RQPzqwjjj)dg{o$A`{w_omp6575?2UTSUBAM^]\071XWVp{yd``/bmqa*Kj}qUec~?61358[ZY_DGGTSR:=8^]\~usnff%hck Mlw{[kit91;9;6QP_YNMIZYX<;3TSRtuhll+fium&GfyuQaor345719?=b:]\[]JIEVUT8>?P_^vji`ttW`dxx"Cbuy]tfZbf|Ve3=?94_^][HKKXWV>8>RQPsmmw[dbczlyx0=0327<\3015i2UTSUBAM^]\065XWVp{yd``/bmqa*Kj}qU|Sya|503262=XWVRGB@QP_517[ZYtdf~:?"Cbuy]tfZbf|VeT49=7;^]\\IHJWVU??8QP_rnlp4)}{ych`f!HNE]210YhWjf`SdQBUY]434Yh9:20SRQWLOO\[Z24>VUTaa{0.xptlekc&MEHR?:5^m\gimXaVG^TR981^m201=XWVRGB@QP_514[ZYtdf~3#@okd^kmtprXyR=VSJ@K_0;;[jYflmU><9Q@UU]oqq:768;846QP_YNMIZYX<:2TSR{nru]jjvr(zqUbb~z ryoubljsm{%Tb=>=7^kmr4523VUTTA@B_^]77>>Po^uj``Yj}qU"C}al]GafYumeQ8QR^XR^004ZiX`nnS`{w_61\KPR6:8?87RQPXMLN[ZY3<;UTS~b`t06,wlb({`nTzbgle^1,IwgjWMohSkc[2_\TRTX:::TcRyfdd]nq}Y0;VE^X<<>519\[Z^KFDUTS9:<_^]phjr6<&ybh"}fd^tlmfcX9&MEHR=;7^m\akpXkfexh|T16_\H`ut\akeS;?Po2364>YXWQFEARQP456\[Zukg};?#~gk/rkg[sinklU9#J@K_264[jYbfUhcx`{esY23XYKmzy_dl`P60]l74373VUTTA@B_^]700YXWzfdx<: shf,wlbX~fchiR= GOF\711XgVoezRm`uovfv^70UVFn~Zgao]55Zi49=h0SRQWLOO\[Z23>VUTv}{fnn-`kwc(EdsS}|jfs]ptkc|R;9QR}_mmb`^75UVg~tR?75^MVP=44m2UTSUBAM^]\011XWVp{yd``/bmqa*efkao$Anaznu]lbcei|V}yeykPxnp?5;76<91TSRVCNL]\[12?WVUx`bz7/bwqv`hsWf|xzPqZ3^[AcdgmoyS8:6_NWW878;97;?<6QP_YNMIZYX<=3TSR}cou:,gptumg~Tcxzuu]r_4[XLlidhh|P55;\KPR;876:2<=n;^]\\IHJWVU?9=QP_{rvmki(kfxn#nolhd-Ngjsi|Vlgy~flnu]{kw:668;8h6QP_YNMIZYX<<;TSRtuhll+fium&ijoek MbmvjqYad|ycoczPxnp?2;7X[^:856QP_YNMIZYX<<8TSR}cou:,Ifirf}Uhy|jnu]jjZeoigUxiaQwos>1:47402UTSUBAM^]\005XWVp{yd``/bmqa*efkao$Anaznu]lbcei|Vrd~1?11012?ZYXPEDFSRQ;55]\[pgu|Vcey!iigm\mkusigin#hctx]u<4533VUTTA@B_^]710YXWzxjaRgasu-`pwj(zz~jxhQISL]EBa?*Ag9:?>>4_^][HKKXWV>>:RQPsmmw5*|tx`%Fob{at^alqkrXpfx7=3?>319\[Z^KFDUTS9;8_^]phjr7'sy{e"Clotlw[firf}Usc2>>031a>YXWQFEARQP44:\[ZuuidUbb~z cupo+HkrpVdTmnzPb`3273=XWVRGB@QP_57:[ZY}x|cec"m`rd-gaftX|fyXcx|le^0\FWD7Wmzdx>84_^][HKKXWV>=0871e>YXWQFEARQP470\[Z|w}`dd#na}e.Onq}YpW}ex=<76339\[Z^KFDUTS98<_^]pvdkXagy#nz}l.Onq}YiW~xT|h`kioe25661TSRVCNL]\[102WVUx~lcPioqw+frud&znbigag01g?ZYXPEDFSRQ;67]\[vjh|8;$jdh`_hlppdhdm&}ySz|ndepX7XYFFMU:59=Po01b?ZYXPEDFSRQ;66]\[vrage$eb!fnup\mkus'dkxghjPiwg`w9702947?3?<2:]\[]JIEVUT8;6P_^qqehYnfz~$oy|c/sqweqcXNZGTJKj;-Hl775=XWVRGB@QP_54:[ZYtzhgTec}{/bvqh*Kj}qUeSljkrdqp0775:2UTSUBAM^]\026XWVygcy? zrrj+hs98::>?5P_^ZOJHYXW==:SRQ|lnv3+uwa&g~t=1^kmr4413VUTTA@B_^]736YXWzfdx<= Mlw{[rdXlh~Tc?==7:]\[]JIEVUT8::P_^xsqlhh'jeyi"Cbuy]mkv759;=0SRQWLOO\[Z20=VUTv}{fnn-`kwc(EdsSca|31313>YXWQFEARQP464\[Z|w}`dd#na}e.Onq}Yigz88=?94_^][HKKXWV><;RQPzqwjjj)dg{o$A`{w_omp7475?2UTSUBAM^]\02>XWVp{yd``/bmqa*Kj}qUec~<:13a8[ZY_DGGTSR:89^]\plkbzzUbb~z Mlw{[rdXlh~Tc<<<359\[Z^KFDUTS96?_^]ytpoig&id~h!Bmtz\wqgdcVkTmij}110\WR6502UTSUBAM^]\0=7XWVp{yd``/bmqa*Kj}qU|Sya|530a?ZYXPEDFSRQ;83]\[vrage$ob|j/eg`vZvdW;;Th}a{2`9\[Z^KFDUTS96<_^]ytpoig&id~h!kebp\tfY5Wmzdx?l4_^][HKKXWV>38RQPzqwjjj)dg{o$hhm}_qa\5=Ycxf~9n6QP_YNMIZYX<1?TSRtuhll+fium&nnoQc^35[avh|;h0SRQWLOO\[Z2?>VUTv}{fnn-`kwc(lliyS}mP15]gtjr5j2UTSUBAM^]\0=1XWVp{yd``/bmqa*bbk{U{oR?=_erlp7dYXWQFEARQP49;\[Z|w}`dd#na}e.ffgwYwkV2Th}a{2`9\[Z^KFDUTS97?_^]ytpoig&id~h!kebp\tfY1Wmzdx?o4_^][HKKXWV>2=RQPzqwjjj)dg{o$hhm}_qa\0Zbwg}8j7RQPXMLN[ZY31;UTSw~ziom,gjtb'moh~R~l_0]gtjr512UTSUBAM^]\0<5XWVp{yd``/bmqa*Yi9=:YXWQFEARQP486\[Zukg};8#zlPcxa\mZqeWz~iSdQNNE]2=02XgVcez<55P_^ZOJHYXW=3=SRQbelcqf*Kj}qUx8>;7RQPXMLN[ZY311UTSn|l17]jjvr(EdsSio{a^sX3XY@FMU:5;Q`_lw{[76XG\^?=9>4_^][HKKXWV>25RQPcsa22Zoi{}%FaxvPd`vb[t]0UVMEHR?66^m\ip~X:9UDYY<>419\[Z^KFDUTS8>?_^]`vf71W`dxx"Cbuy]geqgXyR=VSJ@K_0;5[jYj}qU9YXWQFEARQP513\[Z|w}`dd#na}e.ffgwYsgzYdymj_0]AVG4Xlye?;5P_^ZOJHYXW<:9SRQuptkmk*ehzl%oin|PtnqPkptdmV8TN_L=_erlp60<9QP_{rvmki(kfxn#iklr^vlwVirzjoT8RL]B2]gtjr4>2UTSUBAM^]\153XWVp{yd``/bmqa*bbk{Uc~]`usaf[1YEZK:Th}a{379\[Z^KFDUTS8>9_^]ytpoig&id~h!kebp\pjuTg|xhiR>PBS@0[avh|:<0SRQWLOO\[Z37?VUTv}{fnn-`kwc(lliyS~zncjMsawgsafdT:9l5P_^ZOJHYXW<;;SRQ{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~Q=?QP_rpbiZoi{}%hxb Mlw{[Zh7<1=:9<=>QP_rpbiZoi{}%hxb Mlw{[Zh7<1=:?<=9QP_rpbiZoi{}%hxb Mlw{[Zh7<1=:=<=8QP_rpbiZoi{}%hxb Mlw{[Zh7<1=2=?m4_^][HKKXWV?::RQPsscn[lht|&i~a!Bmtz\[k630>=:>n5P_^ZOJHYXW<;0;88h7RQPXMLN[ZY290UTS~|nm^kmwq)d|{f$A`{w_^l30=16?::0SRQWLOO\[Z358VUTv}{fnn-`kwc(lliyS~~@fgqfpZ5Xlye?=5P_^ZOJHYXW<8:SRQuptkmk*ehzl%oin|PsqMebvcsW9Uo|bz=a:]\[]JIEVUT9?l5P_^ZOJHYXW<88SRQbelcqf*Kj}qUxYXWQFEARQP534\[Zkbehxi#@czx^q3[rdXimxTc<>>2`9\[Z^KFDUTS8<8_^]nahguj&GfyuQ|0^ua[dbuWf;:?>QP_cmlphs'Dg~tRcPwc]geqYh:8;9m6QP_YNMIZYX=:>TSRl`ouov|*Kj}qUfSzlPd`v\k756:h1TSRVCNL]\[052WVUicbzbuy-Nip~XeV}iSio{_n0657g4?=a:]\[]JIEVUT9>6P_^`lkqkrp&GfyuQb_v`\`drXg:;:>h5P_^ZOJHYXW<92SRQuptkmk*ehzl%oin|Poqal``Y2Wmzdx?k4_^][HKKXWV??845P_^ZOJHYXW<>8SRQbelcqf*Kj}qUx6:01TSRVCNL]\[023WVUfi`o}b.Onq}Yt8V}iSlj}_n426<=XWVRGB@QP_466[ZYjmdkyn"Cbuy]p4ZqeWhnySb:>289\[Z^KFDUTS8:9_^]nahguj&GfyuQ|0^ua[dbuWf89>45P_^ZOJHYXW<>289\[Z^KFDUTS8;?_^]akjrj}q%FaxvPm^ua[agsWf?:>45P_^ZOJHYXW0SRQWLOO\[Z32>VUTyl|{_hlpp*tW`dxx"}{aug\BVKXNOn:!D`>359\[Z^KFDUTS8;8_^]ytpoig&id~h!labjf+vrf|lUM_@QIFe0.Mk7492UTSUBAM^]\10>XWVygcy?>/sz\mkus'z~jxhQISL]EBa7*Ag;>o6QP_YNMIZYX=<3TSRtuhll+fium&GfyuQkebp\wqgdcFzn~lzfooY2YZvumoxT}`jxuY26XYpamoTaxvP16;\KPR>:88=7RQPXMLN[ZY2>9UTS~b`t01,IhsW~hThlzPo5112>YXWQFEARQP573\[Zukg};8#@czx^ua[agsWfU3??5P_^ZOJHYXW<<9SRQlrb35[lht|&Ghcx`{_bmvjqYvWqey0=0>10`8[ZY_DGGTSR6?_^]phjrXkpi7>3?>c:]\[]JIEVUT4RQPsmmw[fd4<4:=o5P_^ZOJHYXW19TSR}cou]`}f:?68;i7RQPXMLN[ZY?Pcd326<=XWVRGB@QP_95\[ZawafUbb~z pqqsmkYvW?>Toh?>279\[Z^KFDUTS56P_^esmjYnfz~$k}g`_p]3[fc49;?0SRQWLOO\[Z>>WVUx`bz>4.qj`*Yi8<;4.qj`*Yi8=<:Sd`y1378[ZY_DGGTSR7=_^]phjr6<&ybh"Qa0564[lhq9;?0SRQWLOO\[Z?4WVUx`bz>4.qj`*Yi8<:8Sd`y1378[ZY_DGGTSR7;_^]phjr6<&ybh"Qa0407[lhq9;?0SRQWLOO\[Z?2WVUx`bz>4.qj`*Yi8==>Sd`y1378[ZY_DGGTSR79_^]phjr6<&ybh"Qa05;5[lhq9;;0SRQWLOO\[Z?0WVUx`bz>3.]m4022W`d}=??4_^][HKKXWV33SRQ|lnv27*Yi8703b?gih|ds#@czx^o\sgYci}Ud=5?>a:`lkqkrp&GfyuQb_v`\`drXg83:=l5monvnq})Je|rTaRym_ecw[j479830nba{mtz,IhsWdU|nRjnt^m154g??>a:`lkqkrp&GfyuQb_v`\`drXg;9:=l5monvnq})Je|rTaRym_ecw[j4398k0nba{mtz,IhsWdU|nRjnt^m1147f3kedx`{w/Lov|ZkXkUomyQ`2732e>dhg}g~t"Cbuy]n[rdXlh~Tc?9>1`9akjrj}q%FaxvPm^ua[agsWf83=dhg}g~t"Cbuy]n[rdXlh~Tc>?>1`9akjrj}q%FaxvPm^ua[agsWf99=<74bnmwip~(EdsS`Qxb^fbpZi39830nba{mtz,IhsWdU|nRjnt^m654?mix\IIYIM^UOE[MCK028gim`mgUbb~z cmi355=ddbmnbRgasu-`hn76=2iggjka_hlpp*ekc8Ubb~z>1:aoobciW`dxx"mckg`22>ekcnoeSd`|t.aoocdXagy=;5lljefjZoi{}%h`fhw_hlpp4dmix27>ekcnoeSd`|t.aoolhXa8<0oaeheo]jjvr(keabbRgasu37?fjloldTec}{/eangiidc830oaeheo]jjvr(ljgh`bmd_0ok~43ekcnoeSd`|t.rohZdf{lUbb~zPMM]MARYCA_IGG?<4cmidakYnfz~$|abPb`qf[lht|VGGSCKX_N31?fjloldTec}{/sqw5Zw6:2iggjka_hlpp*tt|;Uzn6m}c04\mkus'830om>6^kmwq)JkfexRm`uov\u4g1`9`vf71W`dxx"Clotlw[firf}Uz>6m}c04\mkus'DidyczPcnwmpZwXpfx7<3?>309`vf71W`dxx"Cbuy]`vfYvS8?VSJ@K_0;5[jYj}qU:5RAZT02275=dzj;=Sd`|t.Onq}YdzjUzW<;R_FLG[4?1WfUfyuQ>9^MVP47492iyo<8Pioqw+HkrpViyoRT14_\CKBX90WF__=??<1:aqg40Xagy#@czx^aqgZw\9euk8WF__=;?<0:aqg40Xagy#@czx^aqgZw\9>VeTaxvP18]LQQ26;91h~n?9_hlpp*Kj}qUh~nQ~[07^[BHCW83=SbQbuy]2=ZIR\<;8<6m}c04\mkus'Dg~tRm}c^sX50[XOGNT=48Po^ov|Z7>WF__:<=?;bp`53Ynfz~$A`{w_bp`[t]6=TULBIQ>97]l[hsW83TCXZ81228gwe6>Vcey!Bmtz\gweXyR;>QRIAD^3:2ZiXe|rT=4Q@UU:275=dzj;=Sd`|t.Onq}YdzjUzW<;R_FLG[4?1WfUfyuQ>9^MVP<7482iyo<8Pioqw+HkrpVnjxlQ~[6_\CKBX90>4csa22Zoi{}%FaxvPd`vb[t]0UVMEHR?66^m\ip~X:9UDYY=>319`vf71W`dxx"Cbuy]geqgXyR=VSJ@K_0;5[jYj}qU9euk86^kmwq)Je|rThlzn_pY4YZAILV;2:RaPmtz\65YH]]=:?=5lrb35[lht|&GfyuQkauc\u^1ZWNDOS<79_n]nq}Y58VE^X5?<2:aqg40Xagy#@czx^rlh|YvS8?VS]Y]_321[jYj}qU9>RAZT02277=dzj;=Sd`|t.Onq}YwgesT}V?:]^RTVZ47:VeTaxvP23]LQQ769:80om>6^kmwq)Je|rT|bbv_pY21XYW_[U9<==;bp`53Ynfz~$A`{w_qmo}Zw\9euk8RaPmtz\67YH]];>=><4csa22Zoi{}%FaxvPpnnz[t]6=TU[[_Q=03]l[hsW;8TCXZ>6012?ftd9?Ubb~z Mlw{[uikqV{P=8SPPVP\654XgVg~tR<=_NWW52563jxh=;Qfnrv,IhsWyeguRT14_\TRTX:98TcRczx^01[JSS;89:7n|l17]jjvr(EdsS}acy^sX50[XX^XT>=;bp`53Ynfz~$A`{w_qmo}Zw\9=;bp`53Ynfz~$A`{w_qmo}Zw\9>1`9`vf71W`dxx"Cbuy]tfZbf|Ve:=6^kmwq)Je|rT{oQkau]l5676j2iyo<8Pioqw+HkrpV}iSio{_n3754deuk8Vcey!Bmtz\sgYci}Ud>a:aqg40Xagy#@czx^ua[agsWf2:=l5lrb35[lht|&GfyuQxb^fbpZi>98;0om>6^kmwq)Xf9;9;<=4csa22Zoi{}%Tb=?=70327>euk86^kmwq)Xf9;9;Rlkd336?ftd9?Ubb~z _o2270Ynf;<7n|l17]jjvr(Wg::?8Qfnw3250=dzj;=Sd`|t.]m4425W`d}=:5lrb35[lht|&Ue<<:=_hlu54723jxh=;Qfnrv,[k66=>54]jjs469>1h~n?9_hlpp*Yi88?>Sd`y303b?ftd9?Ubb~z _o2210YnfUihi>>5:aqg40Xagy#R`?170\mkp6?2iyo<8Pioqw+Zh79?8Tecx>1078gwe6>Vcey!Pn1343Zoi~8=0om>6^kmwq)Xf9;<;Rgav0321>euk8euk80om>6^kmwq)dg|dS|Q=159`vf71W`dxx"m}c@]r8586=2iyo<8Pioqw+ftdIV{7==0>5:aqg40Xagy#n|lA^s?5486=2iyo<8Pioqw+ftdIV{7=?0>5:aqg40Xagy#n|lA^s?5686=2iyo<8Pioqw+ftdIV{7=90>5:aqg40Xagy#n|lA^s?5086<2iyo<8Pioqw+ftdIV{7=3?;;bp`53Ynfz~$omN_p>1:42euk8068gwe6>Vcey!lrbC\u9199=1h~n?9_hlpp*eukHUz050>4:aqg40Xagy#n|lA^s?=;743jxh=;Qfnrv,gweFWxU;=>5lrb35[lht|&iyoLQ~_037?ftd9?Ubb~z csaB[tY688>0om>6^kmwq)dzjKT}R?>159`vf71W`dxx"m}c@]r[446<2iyo<8Pioqw+ftdIV{T=>?;;bp`53Ynfz~$omN_p]2042=>5lrb35[lht|&iyoLQ~_330?ftd9?Ubb~z csaB[tY49:1h~n?9_hlpp*eukHUzS9?<;bp`53Ynfz~$omN_p]656=dzj;=Sd`|t.aqgDYvW?;87n|l17]jjvr(k{iJS|Q8129`vf71W`dxx"m}c@]r[=743jxh=;Qfnrv,gweFWxU2=95lrb35[lht|&iyoOQ~<1<21>euk8euk8euk8euk8068gwe6>Vcey!lrb@\u9599=1h~n?9_hlpp*eukKUz090>4:aqg40Xagy#n|lB^s?1;733jxh=;Qfnrv,gweEWx6=2<:4csa22Zoi{}%h~nLPq=5=51=dzj;=Sd`|t.aqgGYv414:86m}c04\mkus'jxhNR39?30?ftd9?Ubb~z csaA[tY79:1h~n?9_hlpp*eukKUzSeuk84068gwe6>Vcey!lrb@\uZ729:1h~n?9_hlpp*eukKUzS??<;bp`53Ynfz~$omM_p]056=dzj;=Sd`|t.aqgGYvW=;87n|l17]jjvr(k{iIS|Q:129`vf71W`dxx"m}cC]r[3743jxh=;Qfnrv,gweEWxU<=>5lrb35[lht|&iyoOQ~_930?ftd9?Ubb~z csaA[tY>9:1h~n?9_hlpp*eukV{7<3?;;bp`53Ynfz~$omPq=33:42euk8068gwe6>Vcey!lrb]r84399:1h~n?9_hlpp*eukV{7=3?<;bp`53Ynfz~$omPq=0=56=dzj;=Sd`|t.aqgZw;;7;87n|l17]jjvr(k{iT}1:1129`vf71W`dxx"m}c^s?1;743jxh=;Qfnrv,gweXy5<5=>5lrb35[lht|&iyoR37?30?ftd9?Ubb~z csa\u9>99:1h~n?9_hlpp*eukV{7532?m4csa22Zoi{}%h~nQ~[07^[BHCW83=SbQbuy]2=ZIR\5;5>n5lrb35[lht|&iyoRT14_\CKBX905\]DJAY61?UdS`{w_0;\KPR;;78h7n|l17]jjvr(k{iT}V?:]^EM@Z7>>VeTaxvP18]LQQ:36;i0om>6^kmwq)dzjUzW<;R_FLG[4?1WfUfyuQ>9^MVP939:j1h~n?9_hlpp*eukV{P=8SPGOF\5<0XgVg~tR?6_NWW8385k2iyo<8Pioqw+ftdWxQ:9PQHNE]2=3YhWdsS<7POTV?3;4d3jxh=;Qfnrv,gweXyR;>QRIAD^3:2ZiXe|rT=4Q@UU>;:7e6^kmwq)dzjUzS5lrb35[lht|&iyoRP1431?ftd9?Ubb~z csa\uZ46:2iyo<8Pioqw+ftdWxU8=?5lrb35[lht|&iyoRP4008gwe6>Vcey!lrb]r[0753jxh=;Qfnrv,gweXyV<:>6m}c04\mkus'jxhS|Q8139`vf71W`dxx"m}c^s\<44068gwe6>Vcey!kauc\u9799=1h~n?9_hlpp*bf|hUz0?0>4:aqg40Xagy#io{a^s?7;733jxh=;Qfnrv,`drfWx6?2<:4csa22Zoi{}%omyoPq=7=51=dzj;=Sd`|t.fbpdYv4?4:86m}c04\mkus'mkmR37?0`?ftd9?Ubb~z d`vb[t]0UVMEHR?66^m\ip~X:9UDYY2?>3a8gwe6>Vcey!kauc\u^1ZWNDOS<79_n]nq}Y58VE^X1?12b9`vf71W`dxx"jnt`]r_2[XOGNT=48Po^ov|Z47WF__0?0=c:aqg40Xagy#io{a^sX3XY@FMU:5;Q`_lw{[76XG\^7?3n5lrb35[lht|&njxlQ~[6_\CKBX905lrb35[lht|&njxlQ~_230?ftd9?Ubb~z d`vb[tY39:1h~n?9_hlpp*bf|hUzS8?<;bp`53Ynfz~$hlzn_p]556=dzj;=Sd`|t.fbpdYvW>;?7n|l17]jjvr(xffrS|2?>078gwe6>Vcey!om{\u97768?0om>6^kmwq)wgesT}1?>>078gwe6>Vcey!om{\u97568?0om>6^kmwq)wgesT}1?<>078gwe6>Vcey!om{\u97368?0om>6^kmwq)wgesT}1?:>068gwe6>Vcey!om{\u9799=1h~n?9_hlpp*vhdpUz0?0>4:aqg40Xagy#}acy^s?7;733jxh=;Qfnrv,tjj~Wx6?2<:4csa22Zoi{}%{cawPq=7=51=dzj;=Sd`|t.rlh|Yv4?4:86m}c04\mkus'yeguR37?37?ftd9?Ubb~z pnnz[t:?68>0om>6^kmwq)wgesT}1712e9`vf71W`dxx"~`lx]r_43ZWY]YS?>=_n]nq}Y5:VE^X1>12d9`vf71W`dxx"~`lx]r_43ZWY]YS?>=_n]nq}Y5:VE^X1?>>3g8gwe6>Vcey!om{\u^72UVZ\^R2?0f?ftd9?Ubb~z pnnz[t]6=TU[[_Q=03]l[hsW;8TCXZ312<1a>euk8RAZT=36:7bQR^XR^036ZiXe|rT>?Q@UU>2:7bQR^XR^036ZiXe|rT>?Q@UU>1:7bQR^XR^036ZiXe|rT>?Q@UU>0:7bQR^XR^036ZiXe|rT>?Q@UU>7:7bQR^XR^036ZiXe|rT>?Q@UU>6:7bQR^XR^036ZiXe|rT>?Q@UU>5:7bQR^XR^036ZiXe|rT>?Q@UU>4:7bQR^XR^036ZiXe|rT>?Q@UU>;:7bQR^XR^036ZiXe|rT>?Q@UU>::45Vcey!om{\uZ779=1h~n?9_hlpp*vhdpUzS4:aqg40Xagy#}acy^s\57733jxh=;Qfnrv,tjj~WxU:?<:4csa22Zoi{}%{cawPq^3751=dzj;=Sd`|t.rlh|YvW8?:?6m}c04\mkus'yeguRP2018gwe6>Vcey!om{\uZ56;2iyo<8Pioqw+uikqV{T8<=4csa22Zoi{}%{cawPq^727>euk8890om>6^kmwq)wgesT}R9>3:aqg40Xagy#}acy^s\<456m}c04\mkus'{ojhtQ~249`vf71W`dxx"|jae{\uZtbimsT}RCZX^30=4Yh:?1h~n?9_hlpp*tbimsT}R|jae{\uZKRPV;85Vcey!xb^pppZoXag|27n{}_rvbp`53lryS~zntd48bl`hW8<0jdh`_333?bcim{chSi~Pr`o\546awafUbb~z Mlw{[bvngV{PR[4_\IP^X0<0>Ve:?o5hphm\mkus'Dg~tRiin]r_46ZW`d{yyQbaqrfvZw\99WP9PQBUY];33Yh989i7j~fo^kmwq)Je|rTk}g`_pY24XYnfyS`opdp\u^77UR?VS@[W_955[j75;k1l|daPioqw+HkrpVm{ebQ~[02^[lhw}}Ufm}~jr^sX55[\=TUFYUQ777]l565e3nzbcRgasu-Nip~XoycdS|U>0\]jjussWdk{|h|PqZ33Y^3ZWD_SS599_n377d=`x`eTec}{/Lov|ZawafUzW2Tc00Ve:>>l4gqkl[lht|&GfyuQhphm\u^76UVce|xzPm`rsawYvS8;VW8SPMTZ\<2>Xg898n6iin]jjvr(EdsSj~fo^sX54[Xagz~xRcnpqgq[t]69TQ>QRCZX^:42\]jjussWdk{|h|PqZ31Y^3ZWD_SS56?_n327g=`x`eTec}{/Lov|ZawafUzW<PQfnqww[hgwxlxT}V?=]Z7^[HS_W12;Sb?<3c9dtliXagy#@czx^esmjYvS88VSd`uu]neuvbzV{P=?ST5\]NQ]Y?09Ud=9=n;frjkZoi{}%FaxvPgqkl[t]6;TUbb}{{_lcst`tXyR;8QV;R_LW[[=>5Wf;8n6iin]jjvr(EdsSj~fo^sX56[Xagz~xRcnpqgq[t]6;TQ>QRCZX^:;6Zi69:h0k}g`_hlpp*Kj}qUl|daPqZ30YZoix|~Tal~es]r_45ZSawafUbb~z Mlw{[bvngV{P=9SPiorvpZkfxyoyS|U>4\Y6YZKRPV238Ra>3c9dtliXagy#@czx^esmjYvS8>VSd`uu]neuvbzV{P=9ST5\]NQ]Y?0=Ud=<=m;frjkZoi{}%FaxvPgqkl[t]63Wf;9?o5hphm\mkus'Dg~tRiin]r_42ZW`d{yyQbaqrfvZw\9=WP9PQBUY];<1Yh9:9i7j~fo^kmwq)Je|rTk}g`_pY20XYnfyS`opdp\u^73UR?VS@[W_9:7[j73;h1l|daPioqw+HkrpVm{ebQ~[07^[lhw}}Ufm}~jr^sX50[\=TUFYUQ787]l56dawafUbb~z Mlw{[bvngV{P=8SPiorvpZkfxyoyS|U>5\Y6YZKRPV23:Ra>22`8cuohW`dxx"Cbuy]dtliXyR;>QRgaptv\idvwm{UzW<;R[4_\IP^X01?>Ve:8>o4gqkl[lht|&GfyuQhphm\u^71UVce|xzPm`rsawYvS8Xg89i7j~fo^kmwq)Je|rTk}g`_pY22XYnfyS`opdp\u^71UR?VS@[W_9:;[j76;k1l|daPioqw+HkrpVm{ebQ~[04^[lhw}}Ufm}~jr^sX53[\=TUFYUQ789]l575e3nzbcRgasu-Nip~XoycdS|U>6\]jjussWdk{|h|PqZ35Y^3ZWD_SS567_n307g=`x`eTec}{/Lov|ZawafUzW<8R_hlsqqYjiyzn~RT17_X1XYJ]QU345Q`151b?bvngVcey!Bmtz\cuohWxQ:;PQfnqww[hgwxlxT}V?8]Z7^[HS_W13;Sb?WTec~zt^obtucuWxQ:;PU:]^OV\Z>>8Ve:=>l4gqkl[lht|&GfyuQhphm\u^70UVce|xzPm`rsawYvS8=VW8SPMTZ\<<6Xg888n6iin]jjvr(EdsSj~fo^sX52[Xagz~xRcnpqgq[t]6?TQ>QRCZX^::4Zi6;:h0k}g`_hlpp*Kj}qUl|daPqZ34YZoix|~Tal~es]r_41ZS=m;frjkZoi{}%FaxvPgqkl[t]60TUbb}{{_lcst`tXyR;3QV;R_LW[[=?5Wf;??l5hphm\mkus'Dg~tRiin]r_4?ZW`d{yyQbaqrfvZw\90WP9PQBUY];=1Yh9:h0k}g`_hlpp*Kj}qUl|daPqZ3:YZoix|~Tal~es]r_4?ZSawafUbb~z Mlw{[bvngV{P=4SPiorvpZkfxyoyS|U>9\Y6YZKRPV228Ra>42:8cuohW`dxx"Cbuy]dtliXyR;VSd`uu]neuvbzV{P=PU:]^OV\Z>20Ve:?45hphm\mkus'Dg~tRiin]r_4[Xagz~xRcnpqgq[t]6UR?VS@[W_97;[j76;01l|daPioqw+HkrpVm{ebQ~[0_\mkvr|Vgj|}k}_pY2Y^3ZWD_SS5;7_n317<=`x`eTec}{/Lov|ZawafUzW]Z7^[HS_W1?3Sb?<389dtliXagy#@czx^esmjYvS8WTec~zt^obtucuWxQ:QV;R_LW[[=3?Wf;??l5hphm\mkus'Dg~tRiin]r_76ZW`d{yyQbaqrfvZw\:9WP9PQBUY];=3Yh9:h0k}g`_hlpp*Kj}qUl|daPqZ03YZoix|~Tal~es]r_76ZSawafUbb~z Mlw{[bvngV{P>=SPiorvpZkfxyoyS|U=0\Y6YZKRPV22:Ra>42c8cuohW`dxx"Cbuy]dtliXyR8:QRgaptv\idvwm{UzW??R[4_\IP^X002Tc<=m;frjkZoi{}%FaxvPgqkl[t]59TUbb}{{_lcst`tXyR8:QV;R_LW[[=??Wf;:?o5hphm\mkus'Dg~tRiin]r_77ZW`d{yyQbaqrfvZw\:8WP9PQBUY];==Yh9;9i7j~fo^kmwq)Je|rTk}g`_pY15XYnfyS`opdp\u^46UR?VS@[W_9;;[j74;k1l|daPioqw+HkrpVm{ebQ~[33^[lhw}}Ufm}~jr^sX64[\=TUFYUQ799]l515f3nzbcRgasu-Nip~XoycdS|U=2\]jjussWdk{|h|PqZ01Y^3ZWD_SS4>?_n30f>awafUbb~z Mlw{[bvngV{P>?SPiorvpZkfxyoyS|U=2\Y6YZKRPV3;12`8cuohW`dxx"Cbuy]dtliXyR89QRgaptv\idvwm{UzW?PU:]^OV\Z?78Ve:?>l4gqkl[lht|&GfyuQhphm\u^45UVce|xzPm`rsawYvS;8VW8SPMTZ\=56Xg8>8m6iin]jjvr(EdsSj~fo^sX66[Xagz~xRcnpqgq[t]5;TQ>QRCZX^;36Zi6;k1l|daPioqw+HkrpVm{ebQ~[31^[lhw}}Ufm}~jr^sX66[\=TUFYUQ603]l545e3nzbcRgasu-Nip~XoycdS|U=3\]jjussWdk{|h|PqZ00Y^3ZWD_SS4>=_n317g=`x`eTec}{/Lov|ZawafUzW?=R_hlsqqYjiyzn~RT22_X1XYJ]QU2VSd`uu]neuvbzV{P>9ST5\]NQ]Y>8=Ud=>l4gqkl[lht|&GfyuQhphm\u^43UVce|xzPm`rsawYvS;>VW8SPMTZ\=52Xg8;8n6iin]jjvr(EdsSj~fo^sX61[Xagz~xRcnpqgq[t]5QRCZX^;30Zi6::h0k}g`_hlpp*Kj}qUl|daPqZ07YZoix|~Tal~es]r_72ZS3c9dtliXagy#@czx^esmjYvS;?VSd`uu]neuvbzV{P>8ST5\]NQ]Y>8?Ud=?=m;frjkZoi{}%FaxvPgqkl[t]5=TUbb}{{_lcst`tXyR8>QV;R_LW[[<61Wf;8?o5hphm\mkus'Dg~tRiin]r_73ZW`d{yyQbaqrfvZw\:awafUbb~z Mlw{[bvngV{P>;SPiorvpZkfxyoyS|U=6\Y6YZKRPV3;4Ra>32`8cuohW`dxx"Cbuy]dtliXyR8=QRgaptv\idvwm{UzW?8R[4_\IP^X192Tc<:WTec~zt^obtucuWxQ9;PU:]^OV\Z?68Ve:?o5hphm\mkus'Dg~tRiin]r_71ZW`d{yyQbaqrfvZw\:>WP9PQBUY]:55Yh989i7j~fo^kmwq)Je|rTk}g`_pY13XYnfyS`opdp\u^40UR?VS@[W_833[j75;k1l|daPioqw+HkrpVm{ebQ~[35^[lhw}}Ufm}~jr^sX62[\=TUFYUQ611]l565e3nzbcRgasu-Nip~XoycdS|U=7\]jjussWdk{|h|PqZ04Y^3ZWD_SS4??_n377d=`x`eTec}{/Lov|ZawafUzW?6R_hlsqqYjiyzn~RT29_X1XYJ]QU2=?Q`12`8cuohW`dxx"Cbuy]dtliXyR83QRgaptv\idvwm{UzW?6R[4_\IP^X188Tc>l4gqkl[lht|&GfyuQhphm\u^4?UVce|xzPm`rsawYvS;2VW8SPMTZ\=44Xg898n6iin]jjvr(EdsSj~fo^sX6=[Xagz~xRcnpqgq[t]50TQ>QRCZX^;26Zi6<:k0k}g`_hlpp*Kj}qUl|daPqZ0:YZoix|~Tal~es]r_7?ZS4ST5\]NQ]Y>9=Ud=9=7;frjkZoi{}%FaxvPgqkl[t]5UVce|xzPm`rsawYvS;WP9PQBUY];25Yh9:30k}g`_hlpp*Kj}qUl|daPqZ0^[lhw}}Ufm}~jr^sX6X]2UVG^TR690^m256?22;8cuohW`dxx"Cbuy]dtliXyR8VSd`uu]neuvbzV{P>PU:]^OV\Z>18Ve:?>74gqkl[lht|&GfyuQhphm\u^4ZW`d{yyQbaqrfvZw\:TQ>QRCZX^:54Zi6<:k0k}g`_hlpp*Kj}qUl|daPqZ13YZoix|~Tal~es]r_66ZS>R_hlsqqYjiyzn~RT31_X1XYJ]QU2=;Q`131a?bvngVcey!Bmtz\cuohWxQ89?Ud=9=n;frjkZoi{}%FaxvPgqkl[t]49TUbb}{{_lcst`tXyR9:QV;R_LW[[<7?Wf;8n6iin]jjvr(EdsSj~fo^sX74[Xagz~xRcnpqgq[t]49TQ>QRCZX^;28^m276d]^kmtprXehz{iQ~[23^_0[XE\RT5<6Po060e>awafUbb~z Mlw{[bvngV{P??SPiorvpZkfxyoyS|U<2\Y6YZKRPV393c9dtliXagy#@czx^esmjYvS:8VSd`uu]neuvbzV{P??ST5\]NQ]Y>:9Ud=<=m;frjkZoi{}%FaxvPgqkl[t]4:TUbb}{{_lcst`tXyR99QV;R_LW[[<47Wf;9?o5hphm\mkus'Dg~tRiin]r_64ZW`d{yyQbaqrfvZw\;;WP9PQBUY]:65Yh9:9i7j~fo^kmwq)Je|rTk}g`_pY06XYnfyS`opdp\u^55UR?VS@[W_803[j73;h1l|daPioqw+HkrpVm{ebQ~[21^[lhw}}Ufm}~jr^sX76[\=TUFYUQ623]l56dawafUbb~z Mlw{[bvngV{P?>SPiorvpZkfxyoyS|U<3\Y6YZKRPV39>Ra>22`8cuohW`dxx"Cbuy]dtliXyR98QRgaptv\idvwm{UzW>=R[4_\IP^X1;8Tc<=o4gqkl[lht|&GfyuQhphm\u^53UVce|xzPm`rsawYvS:>VW8SPMTZ\=72Xg89i7j~fo^kmwq)Je|rTk}g`_pY00XYnfyS`opdp\u^53UR?VS@[W_807[j76;k1l|daPioqw+HkrpVm{ebQ~[26^[lhw}}Ufm}~jr^sX71[\=TUFYUQ625]l575e3nzbcRgasu-Nip~XoycdS|U<4\]jjussWdk{|h|PqZ17Y^3ZWD_SS4<;_n307g=`x`eTec}{/Lov|ZawafUzW>:R_hlsqqYjiyzn~RT35_X1XYJ]QU2>9Q`151b?bvngVcey!Bmtz\cuohWxQ89PQfnqww[hgwxlxT}V=:]Z7^[HS_W08=Sb?Ve:=>l4gqkl[lht|&GfyuQhphm\u^52UVce|xzPm`rsawYvS:?VW8SPMTZ\=70Xg888n6iin]jjvr(EdsSj~fo^sX70[Xagz~xRcnpqgq[t]4=TQ>QRCZX^;12Zi6;:h0k}g`_hlpp*Kj}qUl|daPqZ16YZoix|~Tal~es]r_63ZS8R_hlsqqYjiyzn~RT37_X1XYJ]QU2>5Q`101a?bvngVcey!Bmtz\cuohWxQ8:PQfnqww[hgwxlxT}V=9]Z7^[HS_W083Sb?=3c9dtliXagy#@czx^esmjYvS::1Ud=>=m;frjkZoi{}%FaxvPgqkl[t]4>TUbb}{{_lcst`tXyR9=QV;R_LW[[<4?Wf;??l5hphm\mkus'Dg~tRiin]r_61ZW`d{yyQbaqrfvZw\;>WP9PQBUY]:75Yh9:h0k}g`_hlpp*Kj}qUl|daPqZ14YZoix|~Tal~es]r_61ZS>Po010f>awafUbb~z Mlw{[bvngV{P?:SPiorvpZkfxyoyS|U<7\Y6YZKRPV3842c8cuohW`dxx"Cbuy]dtliXyR93QRgaptv\idvwm{UzW>6R[4_\IP^X1:8Tc<=m;frjkZoi{}%FaxvPgqkl[t]40TUbb}{{_lcst`tXyR93QV;R_LW[[<55Wf;:?o5hphm\mkus'Dg~tRiin]r_6>ZW`d{yyQbaqrfvZw\;1WP9PQBUY]:77Yh9;9i7j~fo^kmwq)Je|rTk}g`_pY0awafUbb~z Mlw{[bvngV{P?4SPiorvpZkfxyoyS|U<9\Y6YZKRPV388Ra>12`8cuohW`dxx"Cbuy]dtliXyR92QRgaptv\idvwm{UzW>7R[4_\IP^X1:>Tc<<l4gqkl[lht|&GfyuQhphm\u^5>UVce|xzPm`rsawYvS:3VW8SPMTZ\=62Xg8>846iin]jjvr(EdsSj~fo^sX7XYnfyS`opdp\u^5ZS3nzbcRgasu-Nip~XoycdS|U<]^kmtprXehz{iQ~[2_X1XYJ]QU3:?Q`101:?bvngVcey!Bmtz\cuohWxQ8QRgaptv\idvwm{UzW>ST5\]NQ]Y?>;Ud=?=6;frjkZoi{}%FaxvPgqkl[t]4UVce|xzPm`rsawYvS:WP9PQBUY];27Yh9:927j~fo^kmwq)Je|rTk}g`_pY0YZoix|~Tal~es]r_6[\=TUFYUQ763]l515f3nzbcRgasu-Nip~XoycdS|U;0\]jjussWdk{|h|PqZ63Y^3ZWD_SS4=9_n30f>awafUbb~z Mlw{[bvngV{P8=SPiorvpZkfxyoyS|U;0\Y6YZKRPV38:Ra>12`8cuohW`dxx"Cbuy]dtliXyR>;QRgaptv\idvwm{UzW9>R[4_\IP^X1:Ve:?>l4gqkl[lht|&GfyuQhphm\u^27UVce|xzPm`rsawYvS=:VW8SPMTZ\=60Xg8>8m6iin]jjvr(EdsSj~fo^sX04[Xagz~xRcnpqgq[t]39TQ>QRCZX^;0]Z7^[HS_W093Sb?;3`9dtliXagy#@czx^esmjYvS=8VSd`uu]neuvbzV{P8?ST5\]NQ]Y><9Ud=>l4gqkl[lht|&GfyuQhphm\u^25UVce|xzPm`rsawYvS=8VW8SPMTZ\=16Xg8;8n6iin]jjvr(EdsSj~fo^sX07[Xagz~xRcnpqgq[t]3:TQ>QRCZX^;74Zi6::h0k}g`_hlpp*Kj}qUl|daPqZ61YZoix|~Tal~es]r_14ZS9Sb?>3c9dtliXagy#@czx^esmjYvS=9VSd`uu]neuvbzV{P8>ST5\]NQ]Y><;Ud=?=m;frjkZoi{}%FaxvPgqkl[t]3;TUbb}{{_lcst`tXyR>8QV;R_LW[[<25Wf;8?o5hphm\mkus'Dg~tRiin]r_15ZW`d{yyQbaqrfvZw\<:WP9PQBUY]:07Yh9=9j7j~fo^kmwq)Je|rTk}g`_pY70XYnfyS`opdp\u^23UR?VS@[W_867[j74j2m{ebQfnrv,IhsWnzbcRT45_\mkvr|Vgj|}k}_pY70X]2UVG^TR7;4^m256dawafUbb~z Mlw{[bvngV{P89SPiorvpZkfxyoyS|U;4\Y6YZKRPV3?8Ra>32`8cuohW`dxx"Cbuy]dtliXyR>?QRgaptv\idvwm{UzW9:R[4_\IP^X1=>Tc<:Ve:?o5hphm\mkus'Dg~tRiin]r_13ZW`d{yyQbaqrfvZw\<=QRgaptv\idvwm{UzW98R[4_\IP^X1=2Tc>l4gqkl[lht|&GfyuQhphm\u^21UVce|xzPm`rsawYvS=Xg898n6iin]jjvr(EdsSj~fo^sX03[Xagz~xRcnpqgq[t]3>TQ>QRCZX^;7=9Ud=9=7;frjkZoi{}%FaxvPgqkl[t]3UVce|xzPm`rsawYvS=WP9PQBUY];21Yh9:30k}g`_hlpp*Kj}qUl|daPqZ6^[lhw}}Ufm}~jr^sX0X]2UVG^TR694^m256?22;8cuohW`dxx"Cbuy]dtliXyR>VSd`uu]neuvbzV{P8PU:]^OV\Z>174gqkl[lht|&GfyuQhphm\u^2ZW`d{yyQbaqrfvZw\QRCZX^:50Zi6<:20k}g`_hlpp*Kj}qUl|daPqZ7^[lhw}}Ufm}~jr^sX1X]2UVG^TR696^m27<=`x`eTec}{/Lov|ZawafUzW8SPiorvpZkfxyoyS|U:]Z7^[HS_W1<=Sb?>389dtliXagy#@czx^esmjYvSQV;R_LW[[=01Wf;9?45hphm\mkus'Dg~tRiin]r_0[Xagz~xRcnpqgq[t]2UR?VS@[W_945[j74;01l|daPioqw+HkrpVm{ebQ~[4_\mkvr|Vgj|}k}_pY6Y^3ZWD_SS589_n377==`x`eTec}{/Lov|ZawafUzW;SPiorvpZkfxyoyS|U9]Z7^[HS_W1<3Sb?<9:esmjYnfz~$A`{w_frjkZw\>TUbb}{{_lcst`tXyRXg8;856iin]jjvr(EdsSj~fo^sX2XYnfyS`opdp\u^0ZSawafUbb~z Mlw{[bvngV{P:PQfnqww[hgwxlxT}V8R[4_\IP^X0?2Tc<:<8:esmjYnfz~$A`{w_frjkZw\?TUbb}{{_lcst`tXyR=VW8SPMTZ\<26Xg8927j~fo^kmwq)Je|rTk}g`_pY4YZoix|~Tal~es]r_2[\=TUFYUQ771]l545>3nzbcRgasu-Nip~XoycdS|U8]^kmtprXehz{iQ~[6_X1XYJ]QU3;=Q`131:?bvngVcey!Bmtz\cuohWxQ=6;frjkZoi{}%FaxvPgqkl[t]0UVce|xzPm`rsawYvS>WP9PQBUY];35Yh9=937j~fo^kmwq)Je|rTk}g`_pY;YZoix|~Tal~es]r_=[\=TUFYUQ773]l56?Ra>12;8cuohW`dxx"Cbuy]dtliXyR2VSd`uu]neuvbzV{P4PU:]^OV\Z>0:Ve:>>74gqkl[lht|&GfyuQhphm\u^>ZW`d{yyQbaqrfvZw\0TQ>QRCZX^:46Zi6;:30k}g`_hlpp*Kj}qUl|daPqZ:^[lhw}}Ufm}~jr^sX389dtliXagy#@czx^esmjYvS0WTec~zt^obtucuWxQ2QV;R_LW[[=13Wf;:?45hphm\mkus'Dg~tRiin]r_<[Xagz~xRcnpqgq[t]>UR?VS@[W_957[j75;01l|daPioqw+HkrpVm{ebQ~[8_\mkvr|Vgj|}k}_pY:Y^3ZWD_SS59;_n307<=`x`eTec}{/Lov|ZawafUzW4SPiorvpZkfxyoyS|U6]Z7^[HS_W1=?Sb?;199dtliXagy#@czx^ua[agsWf;:56iin]jjvr(EdsSzlPd`v\k466l2m{ebQfnrv,IhsW~hThlzPo02\WR6612m{ebQfnrv,IhsW~hThlzPo032`>awafUbb~z Mlw{[rdXlh~TcawafUbb~z Mlw{[rdXlh~Tc<<>d:esmjYnfz~$A`{w_v`\`drXg88T_Z>>9:esmjYnfz~$A`{w_v`\`drXg89:h6iin]jjvr(EdsSzlPd`v\k45X[^::56iin]jjvr(EdsSzlPd`v\k426l2m{ebQfnrv,IhsW~hThlzPo06\WR66k2m{ebQfnrv,IhsW~hThlzPo0]PS57?3nzbcRgasu-Nip~XkUomyQ`20a8cuohW`dxx"Cbuy]tfZbf|Ve9S^Y?199dtliXagy#@czx^ua[agsWf9:o6iin]jjvr(EdsSzlPd`v\k6YT_9;37j~fo^kmwq)Je|rT{oQkau]l04ec:esmjYnfz~$A`{w_v`\`drXg8i0k}g`_hlpp*Kj}qU|nRjnt^m5[VQ7911l|daPioqw+HkrpV}iSio{_n52g>awafUbb~z Mlw{[rdXlh~Tc:Q\W13;?bvngVcey!Bmtz\sgYci}Ud4U<=45hphm\mkus'Dg~tRym_ecw[jY49h1l|daPioqw+HkrpV}iSio{_n]054dawafUbb~z Mlw{[rdXlh~TcR=>10`8cuohW`dxx"Cbuy]tfZbf|VeT?<<>b:esmjYnfz~$A`{w_v`\`drXgV9:?:n6iin]jjvr(EdsSzlPd`v\kZ56=8h0k}g`_hlpp*Kj}qU|nRjnt^m\7406j2m{ebQfnrv,IhsW~hThlzPo^1234g=>a:esmjYnfz~$A`{w_v`\`drXgV9?=l5hphm\mkus'Dg~tRym_ecw[jY4=8k0k}g`_hlpp*Kj}qU|nRjnt^m\737f3nzbcRgasu-Nip~XkUomyQ`_252e>awafUbb~z Mlw{[rdXlh~TcR=71`9dtliXagy#@czx^ua[agsWfU85<74gqkl[lht|&GfyuQxb^fbpZiX<8k0k}g`_hlpp*Kj}qU|nRjnt^m\047e3nzbcRgasu-Nip~XkUomyQ`_5335g=`x`eTec}{/Lov|ZqeWmkSbQ;103a?bvngVcey!Bmtz\sgYci}UdS9?=1c9dtliXagy#@czx^ua[agsWfU?=>?m;frjkZoi{}%FaxvPwc]geqYhW=;?=o5hphm\mkus'Dg~tRym_ecw[jY39<;i7j~fo^kmwq)Je|rT{oQkau]l[1719k1l|daPioqw+HkrpV}iSio{_n]7527f3nzbcRgasu-Nip~XkUomyQ`_502e>awafUbb~z Mlw{[rdXlh~TcR:<1`9dtliXagy#@czx^ua[agsWfU?87_hlu24713nzbcRgasu-\j4?70Vcez5?>b:esmjYnfz~$Sc?609]jjsYelm;9=<74gqkl[lht|&Ue=4>7_hlu[gbc08>0k}g`_hlpp*Yi90;3Sd`y149dtliXagy#R`>90:\mkp69=1l|daPioqw+Zh61;2Tecx>5:esmjYnfz~$Sc?629]jjs76<2m{ebQfnrv,[k7>;1Ubb{?:;frjkZoi{}%Tb<7<8^kmr4733nzbcRgasu-\j4?30Vcez<;4gqkl[lht|&Ue=4:7_hlu5400k}g`_hlpp*Yi90=3Sd`y149dtliXagy#R`>96:\mkp69=1l|daPioqw+Zh6112Tecx>5:esmjYnfz~$Sc?689]jjs76<2m{ebQfnrv,[k7>11Ubb{?:;frjkZoi{}%Tb<768^kmr4733nzbcRgasu-\j7670Vcez<;4gqkl[lht|&Ue>=>7_hlu542Xag|:96iin]jjvr(Wg8;>5Qfnw320>awafUbb~z _o037=Ynf;>7j~fo^kmwq)Xf;:84Rgav037?bvngVcey!Pn3273Sd`y1068cuohW`dxx"Qa217;[lhq9<1l|daPioqw+Zh58<2Tecx>159dtliXagy#R`=07:\mkp6=2m{ebQfnrv,[k47>1Ubb{?>4:esmjYnfz~$Sc78^kmr43?W`d}=<:4gqkl[lht|&Ue>=77_hlu50=`x`eTec}{/^l14<>Xag|:=95hphm\mkus'Vd9==6Piot21>awafUbb~z _o024=Ynf;:86iin]jjvr(Wg8:=5Qfnw36?bvngVcey!Pn3320k}g`_hlpp*Yi:893Sd`y149dtliXagy#R`=12:\mkp69=1l|daPioqw+Zh59=2Tecx>5:esmjYnfz~$Sc<>49]jjs76<2m{ebQfnrv,[k46=1Ubb{?:;frjkZoi{}%Tb??:8^kmr4733nzbcRgasu-\j7710Vcez<;4gqkl[lht|&Ue><87_hlu542Xag|:96iin]jjvr(Wg8:45Qfnw320>awafUbb~z _o02==Ynf;>7j~fo^kmwq)Xf;;24Rgav037?bvngVcey!Pn303159dtliXagy#R`=23:\mkp6=2m{ebQfnrv,[k45:1Ubb{?>4:esmjYnfz~$Sc<=39]jjs723nzbcRgasu-\j7440Vcez?;7_hlu50=`x`eTec}{/^l160>Xag|:=95hphm\mkus'Vd9>;6Piot21>awafUbb~z _o012=Ynf;:86iin]jjvr(Wg89;5Qfnw36?bvngVcey!Pn3040k}g`_hlpp*Yi:;33Sd`y149dtliXagy#R`=28:\mkp69=1l|daPioqw+Zh5;92Tecx>5:esmjYnfz~$Sc<<09]jjs76<2m{ebQfnrv,[k4491Ubb{?:;frjkZoi{}%Tb?=>8^kmr4733nzbcRgasu-\j7550Vcez<;4gqkl[lht|&Ue>><7_hlu5426Piot251=`x`eTec}{/^l171>Xag|:96iin]jjvr(Wg8885Qfnw320>awafUbb~z _o001=Ynf;>7j~fo^kmwq)Xf;9>4Rgav037?bvngVcey!Pn3152Tecx>159dtliXagy#R`=39:\mkp6=2m{ebQfnrv,[k4401Ubb{?>6:esmjYnfz~$Sc<<89]jjs069h1l|daPioqw+Zh5;12TecxPbef;5425hphm\mkus'nzbcRaP1430?bvngVcey!hphm\kZ719:1l|daPioqw+bvngVeT=:?<;frjkZoi{}%l|daPo^3;56=`x`eTec}{/frjkZiX90;97j~fo^kmwq)`x`eTcR<>3:esmjYnfz~$k}g`_n]1445awafUbb~z gqkl[jY5>890k}g`_hlpp*awafUdS?9>3:esmjYnfz~$k}g`_n]1<456iin]jjvr(oycdSbQ<129dtliXagy#j~fo^m\75743nzbcRgasu-dtliXgV9:=>5hphm\mkus'nzbcRaP3330?bvngVcey!hphm\kZ549:1l|daPioqw+bvngVeT?9?<;frjkZoi{}%l|daPo^1656=`x`eTec}{/frjkZiX;?;87j~fo^kmwq)`x`eTcR=8129dtliXagy#j~fo^m\7=743nzbcRgasu-dtliXgV92=?5hphm\mkus'nzbcRaP4018cuohW`dxx"iin]l[166;2m{ebQfnrv,cuohWfU?=<=4gqkl[lht|&m{ebQ`_5027>awafUbb~z gqkl[jY3;890k}g`_hlpp*awafUdS9:>3:esmjYnfz~$k}g`_n]71456;2m{ebQfnrv,cuohWfU?5<<4gqkl[lht|&m{ebQ`_431?bvngVcey!hphm\kZ06:2m{ebQfnrv,cuohWfU<=?5hphm\mkus'nzbcRaP8008cuohW`dxx"iin]l[<743nzbcRgasu-dtliXy5:5=95hphm\mkus'nzbcR311<20>awafUbb~z gqkl[t:697;?7j~fo^kmwq)`x`eT}1?=>068cuohW`dxx"iin]r84599=1l|daPioqw+bvngV{7=90>4:esmjYnfz~$k}g`_p>21;733nzbcRgasu-dtliXy5;=2<:4gqkl[lht|&m{ebQ~<05=51=`x`eTec}{/frjkZw;914:86iin]jjvr(oycdS|2>9?30?bvngVcey!hphm\u9799=1l|daPioqw+bvngV{7>=0>4:esmjYnfz~$k}g`_p>15;733nzbcRgasu-dtliXy5892<:4gqkl[lht|&m{ebQ~<31=51=`x`eTec}{/frjkZw;:=4:86iin]jjvr(oycdS|2=5?37?bvngVcey!hphm\u94168>0k}g`_hlpp*awafUz0?91159dtliXagy#j~fo^s?6=86<2m{ebQfnrv,cuohWx6953?<;frjkZoi{}%l|daPq=0=51=`x`eTec}{/frjkZw;;94:86iin]jjvr(oycdS|2<1?37?bvngVcey!hphm\u95568>0k}g`_hlpp*awafUz0>=1159dtliXagy#j~fo^s?7186<2m{ebQfnrv,cuohWx6893?;;frjkZoi{}%l|daPq=15:42awafUbb~z gqkl[t:417;87j~fo^kmwq)`x`eT}1=1159dtliXagy#j~fo^s?0586<2m{ebQfnrv,cuohWx6?=3?;;frjkZoi{}%l|daPq=61:42awafUbb~z gqkl[t:3=7;?7j~fo^kmwq)`x`eT}1:9>068cuohW`dxx"iin]r81199=1l|daPioqw+bvngV{7850>4:esmjYnfz~$k}g`_p>7=;743nzbcRgasu-dtliXy5>5=>5hphm\mkus'nzbcR35?30?bvngVcey!hphm\u9099:1l|daPioqw+bvngV{7;3?<;frjkZoi{}%l|daPq=:=56=`x`eTec}{/frjkZw;17997j~fo^kmwq)`x`eT}V>R_hlsqqYjiyzn~RT0\Y6YZKRPV2>:Ra<4:esmjYnfz~$k}g`_pY24XYnfyS`opdp\u^77UR?VS@[W_955[j533nzbcRgasu-dtliXyR;:QRgaptv\idvwm{UzW2Tc>:4gqkl[lht|&m{ebQ~[00^[lhw}}Ufm}~jr^sX57[\=TUFYUQ781]l71=`x`eTec}{/frjkZw\9:WTec~zt^obtucuWxQ:?PU:]^OV\Z>?:Ve886iin]jjvr(oycdS|U>4\]jjussWdk{|h|PqZ37Y^3ZWD_SS56;_n17?bvngVcey!hphm\u^72UVce|xzPm`rsawYvS8?VW8SPMTZ\<=0Xg:>0k}g`_hlpp*awafUzW<8R_hlsqqYjiyzn~RT17_X1XYJ]QU345Q`359dtliXagy#j~fo^sX52[Xagz~xRcnpqgq[t]6?TQ>QRCZX^::4Zi4<2m{ebQfnrv,cuohWxQ:4PQfnqww[hgwxlxT}V?7]Z7^[HS_W139Sb=;;frjkZoi{}%l|daPqZ3:YZoix|~Tal~es]r_4?ZS?SPiorvpZkfxyoyS|U=2\Y6YZKRPV3;Tc>:4gqkl[lht|&m{ebQ~[37^[lhw}}Ufm}~jr^sX60[\=TUFYUQ607]l71=`x`eTec}{/frjkZw\:?WTec~zt^obtucuWxQ9:PU:]^OV\Z?70Ve886iin]jjvr(oycdS|U=7\]jjussWdk{|h|PqZ04Y^3ZWD_SS4??_n17?bvngVcey!hphm\u^4?UVce|xzPm`rsawYvS;2VW8SPMTZ\=44Xg:>0k}g`_hlpp*awafUzW?7R_hlsqqYjiyzn~RT28_X1XYJ]QU2=9Q`339dtliXagy#j~fo^sX6XYnfyS`opdp\u^4ZS9?Ud?95hphm\mkus'nzbcRT30_\mkvr|Vgj|}k}_pY05X]2UVG^TR7>8^m00>awafUbb~z gqkl[t]4:TUbb}{{_lcst`tXyR99QV;R_LW[[<47Wf9?7j~fo^kmwq)`x`eT}V=<]^kmtprXehz{iQ~[21^_0[XE\RT5?9R[4_\IP^X1::Tc>:4gqkl[lht|&m{ebQ~[2:^[lhw}}Ufm}~jr^sX7=[\=TUFYUQ633]l71=`x`eTec}{/frjkZw\;0WTec~zt^obtucuWxQ85PU:]^OV\Z?46iin]jjvr(oycdS|U<]^kmtprXehz{iQ~[2_X1XYJ]QU3:?Q`359dtliXagy#j~fo^sX05[Xagz~xRcnpqgq[t]38TQ>QRCZX^;02Zi4<2m{ebQfnrv,cuohWxQ?=PQfnqww[hgwxlxT}V:>]Z7^[HS_W093Sb=;;frjkZoi{}%l|daPqZ61YZoix|~Tal~es]r_14ZSST5\]NQ]Y><;Ud?95hphm\mkus'nzbcRT45_\mkvr|Vgj|}k}_pY70X]2UVG^TR7;4^m00>awafUbb~z gqkl[t]3=TUbb}{{_lcst`tXyR>>QV;R_LW[[<21Wf9?7j~fo^kmwq)`x`eT}V:9]^kmtprXehz{iQ~[54^_0[XE\RT596Po268cuohW`dxx"iin]r_11ZW`d{yyQbaqrfvZw\<>WP9PQBUY]:15Yh;;1l|daPioqw+bvngV{P8PQfnqww[hgwxlxT}V:R[4_\IP^X0?>Tc><4gqkl[lht|&m{ebQ~[4_\mkvr|Vgj|}k}_pY6Y^3ZWD_SS589_n11?bvngVcey!hphm\u^0ZW`d{yyQbaqrfvZw\>TQ>QRCZX^:5UR?VS@[W_957[j753nzbcRgasu-dtliXyV::96iin]jjvr(oycdS|Q?_bg23>awafUbb~z gqkl[tY7Wjo8=<94gqkl[lht|&m{ebQ~_1]`a276i2m{ebQfnrv,cuohWxU;SnkPbef557=`x`eTec}{/frjkZwX9890k}g`_hlpp*awafUzS<>>3:esmjYnfz~$k}g`_p]25453018cuohW`dxx"iin]r[426;2m{ebQfnrv,cuohWxU:9<=4gqkl[lht|&m{ebQ~_0427>awafUbb~z gqkl[tY6?890k}g`_hlpp*awafUzS<6>3:esmjYnfz~$k}g`_p]2=445hphm\mkus'nzbcRP2230?bvngVcey!hphm\uZ439:1l|daPioqw+bvngV{T>8?<;frjkZoi{}%l|daPq^0556=`x`eTec}{/frjkZwX:>;87j~fo^kmwq)`x`eT}R<7129dtliXagy#j~fo^s\6<753nzbcRgasu-dtliXyV9:?6iin]jjvr(oycdS|Q<0018cuohW`dxx"iin]r[676;2m{ebQfnrv,cuohWxU8><84gqkl[lht|&m{ebQ~_20\g`7?3nzbcRgasu-dtliXyV99Snk>1018cuohW`dxx"iin]r[656;2m{ebQfnrv,cuohWxU88<=4gqkl[lht|&m{ebQ~_2727>awafUbb~z gqkl[tY4>890k}g`_hlpp*awafUzS>9>3:esmjYnfz~$k}g`_p]0<456iin]jjvr(oycdS|Q;129dtliXagy#j~fo^s\05743nzbcRgasu-dtliXyV>:=>5hphm\mkus'nzbcRP4330?bvngVcey!hphm\uZ249:1l|daPioqw+bvngV{T89?<;frjkZoi{}%l|daPq^6656=`x`eTec}{/frjkZwX2=?5hphm\mkus'nzbcRP5008cuohW`dxx"iin]r[3753nzbcRgasu-dtliXyV=:>6iin]jjvr(oycdS|Q7139dtliXagy#j~fo^s\=4>1189dtliXagy#j~fo^vqmvYv48:5=45hphm\mkus'nzbcRz}ir]r8479901l|daPioqw+bvngV~ye~Q~<00=5<=`x`eTec}{/frjkZruazUz0<=1189dtliXagy#j~fo^vqmvYv48>5=45hphm\mkus'nzbcRz}ir]r8439901l|daPioqw+bvngV~ye~Q~<04=5<=`x`eTec}{/frjkZruazUz0<91189dtliXagy#j~fo^vqmvYv4825=45hphm\mkus'nzbcRz}ir]r84?9911l|daPioqw+bvngV~ye~Q~<0<2=>awafUbb~z gqkl[qtn{V{7>=0>9:esmjYnfz~$k}g`_upjwZw;:84:56iin]jjvr(oycdSy|fs^s?678612m{ebQfnrv,cuohW}xbR322<2=>awafUbb~z gqkl[qtn{V{7>90>9:esmjYnfz~$k}g`_upjwZw;:<4:56iin]jjvr(oycdSy|fs^s?638612m{ebQfnrv,cuohW}xbR326<2=>awafUbb~z gqkl[qtn{V{7>50>9:esmjYnfz~$k}g`_upjwZw;:04:46iin]jjvr(oycdSy|fs^s?6;7>3nzbcRgasu-dtliX|{cxS|2<0?3:?bvngVcey!hphm\pwotWx68=3?6;frjkZoi{}%l|daPtskp[t:4:7;27j~fo^kmwq)`x`eTxg|_p>07;7>3nzbcRgasu-dtliX|{cxS|2<4?3:?bvngVcey!hphm\pwotWx6893?6;frjkZoi{}%l|daPtskp[t:4>7;27j~fo^kmwq)`x`eTxg|_p>03;7>3nzbcRgasu-dtliX|{cxS|2<8?3:?bvngVcey!hphm\pwotWx6853?7;frjkZoi{}%l|daPtskp[t:46830k}g`_hlpp*awafU~d}Pq=63:4?>0;8cuohW`dxx"iin]wvluXy5>92<74gqkl[lht|&m{ebQ{rhq\u9246830k}g`_hlpp*awafU~d}Pq=67:4?0;8cuohW`dxx"iin]wvluXy5>=2<74gqkl[lht|&m{ebQ{rhq\u9206830k}g`_hlpp*awafU~d}Pq=6;:4?0:8cuohW`dxx"iin]wvluXy5>5=55hphm\mkus'nzbcRz}ir]r808602m{ebQfnrv,cuohW}xbR36?3;?bvngVcey!hphm\pwotWx6<2<64gqkl[lht|&m{ebQ{rhq\u9>9911l|daPioqw+bvngV~ye~Q~<8<23>awafUbb~z gqkl[qtn{V{T<awafUbb~z gqkl[qtn{V{T==?7;frjkZoi{}%l|daPtskp[tY69820k}g`_hlpp*awafU~d}Pq^315==`x`eTec}{/frjkZruazUzS<=>8:esmjYnfz~$k}g`_upjwZwX9=;37j~fo^kmwq)`x`eTxg|_p]214>9058cuohW`dxx"iin]wvluXyV8:46iin]jjvr(oycdSy|fs^s\657?3nzbcRgasu-dtliX|{cxS|Q=10:8cuohW`dxx"iin]wvluXyV89=55hphm\mkus'nzbcRz}ir]r[75602m{ebQfnrv,cuohW}xbRP253;?bvngVcey!hphm\pwotWxU99<64gqkl[lht|&m{ebQ{rhq\uZ41911l|daPioqw+bvngV~ye~Q~_352<>awafUbb~z gqkl[qtn{V{T>5?7;frjkZoi{}%l|daPtskp[tY518=0k}g`_hlpp*awafU~d}Pq^12<>awafUbb~z gqkl[qtn{V{T?=?7;frjkZoi{}%l|daPtskp[tY49820k}g`_hlpp*awafU~d}Pq^115g=`x`eTec}{/frjkZruazUzS>Rmj103;?bvngVcey!hphm\pwotWxU8?<64gqkl[lht|&m{ebQ{rhq\uZ53911l|daPioqw+bvngV~ye~Q~_272<>awafUbb~z gqkl[qtn{V{T?;?7;frjkZoi{}%l|daPtskp[tY4?820k}g`_hlpp*awafU~d}Pq^1;5==`x`eTec}{/frjkZruazUzS>7>7:esmjYnfz~$k}g`_upjwZwX<820k}g`_hlpp*awafU~d}Pq^635==`x`eTec}{/frjkZruazUzS9?>8:esmjYnfz~$k}g`_upjwZwX<;;37j~fo^kmwq)`x`eTxg|_p]774>3=55hphm\mkus'nzbcRz}ir]r[1?6?2m{ebQfnrv,cuohW}xbRP5058cuohW`dxx"iin]wvluXyV<:;6iin]jjvr(oycdSy|fs^s\3417:esmjYnfz~$k}g`_upjwZwX18n0k}g`_hlpp*oix|~Tal~es]r[5:768n0k}g`_hlpp*oix|~Tal~es]r[5:668n0k}g`_hlpp*oix|~Tal~es]r[5:568n0k}g`_hlpp*oix|~Tal~es]r[5:468n0k}g`_hlpp*oix|~Tal~es]r[5:368n0k}g`_hlpp*oix|~Tal~es]r[5:268i0k}g`_hlpp*oix|~Tal~es]r[5Y79j1l|daPioqw+lhw}}Ufm}~jr^s\4Z76k2m{ebQfnrv,mkvr|Vgj|}k}_p]3[77d3nzbcRgasu-jjussWdk{|h|Pq^2\74eawafUbb~z iorvpZkfxyoyS|Q>0=2=5`=`x`eTec}{/hlsqqYjiyzn~RP11>2:4cd:esmjYnfz~$ec~zt^obtucuWxU:=R>>d:esmjYnfz~$ec~zt^obtucuWxU:=R?>d:esmjYnfz~$ec~zt^obtucuWxU:=R<>d:esmjYnfz~$ec~zt^obtucuWxU:=R=>d:esmjYnfz~$ec~zt^obtucuWxU:=R:>d:esmjYnfz~$ec~zt^obtucuWxU:=R;>e:esmjYnfz~$ec~zt^obtucuWxU:>1>11d9dtliXagy#d`uu]neuvbzV{T=?2>>0g8cuohW`dxx"gaptv\idvwm{UzS<<32?3f?bvngVcey!fnqww[hgwxlxT}R?=<2<2a>awafUbb~z iorvpZkfxyoyS|Q>2=6=5`=`x`eTec}{/hlsqqYjiyzn~RP13>6:4b:h6iin]jjvr(agz~xRcnpqgq[tY6;V?:i6iin]jjvr(agz~xRcnpqgq[tY6<5:5=h5hphm\mkus'`d{yyQbaqrfvZwX9=6:27>3?j;frjkZoi{}%bb}{{_lcst`tXyV;?0>0>e:esmjYnfz~$ec~zt^obtucuWxU:81:11d9dtliXagy#d`uu]neuvbzV{T=92:>0f8cuohW`dxx"gaptv\idvwm{UzS<:P00f8cuohW`dxx"gaptv\idvwm{UzS<:P10f8cuohW`dxx"gaptv\idvwm{UzS<:P20f8cuohW`dxx"gaptv\idvwm{UzS<:P30f8cuohW`dxx"gaptv\idvwm{UzS<:P40f8cuohW`dxx"gaptv\idvwm{UzS<:P50g8cuohW`dxx"gaptv\idvwm{UzS<;30?3f?bvngVcey!fnqww[hgwxlxT}R?:<0<2a>awafUbb~z iorvpZkfxyoyS|Q>5=0=5`=`x`eTec}{/hlsqqYjiyzn~RP14>0:4c5>5=h5hphm\mkus'`d{yyQbaqrfvZwX9?6>2e:esmjYnfz~$ec~zt^obtucuWxU:;1<11d9dtliXagy#d`uu]neuvbzV{T=:2<>0g8cuohW`dxx"gaptv\idvwm{UzS<934?3f?bvngVcey!fnqww[hgwxlxT}R?8<4<2`>awafUbb~z iorvpZkfxyoyS|Q>7^22`>awafUbb~z iorvpZkfxyoyS|Q>7^32`>awafUbb~z iorvpZkfxyoyS|Q>7^02`>awafUbb~z iorvpZkfxyoyS|Q>7^12`>awafUbb~z iorvpZkfxyoyS|Q>7^62`>awafUbb~z iorvpZkfxyoyS|Q>7^72a>awafUbb~z iorvpZkfxyoyS|Q>8=2=5`=`x`eTec}{/hlsqqYjiyzn~RP19>2:4cX88n0k}g`_hlpp*oix|~Tal~es]r[4>X98n0k}g`_hlpp*oix|~Tal~es]r[4>X:8n0k}g`_hlpp*oix|~Tal~es]r[4>X;8n0k}g`_hlpp*oix|~Tal~es]r[4>X<8n0k}g`_hlpp*oix|~Tal~es]r[4>X=8o0k}g`_hlpp*oix|~Tal~es]r[4?;87;n7j~fo^kmwq)nfyS`opdp\uZ7>484:i6iin]jjvr(agz~xRcnpqgq[tY61585=h5hphm\mkus'`d{yyQbaqrfvZwX90682d:esmjYnfz~$ec~zt^obtucuWxU:5R>>d:esmjYnfz~$ec~zt^obtucuWxU:5R?>d:esmjYnfz~$ec~zt^obtucuWxU:5R<>d:esmjYnfz~$ec~zt^obtucuWxU:5R=>d:esmjYnfz~$ec~zt^obtucuWxU:5R:>d:esmjYnfz~$ec~zt^obtucuWxU:5R;>d:esmjYnfz~$ec~zt^obtucuWxU:0=0>d:esmjYnfz~$ec~zt^obtucuWxU:0<0>d:esmjYnfz~$ec~zt^obtucuWxU:0?0>d:esmjYnfz~$ec~zt^obtucuWxU:0>0>d:esmjYnfz~$ec~zt^obtucuWxU:090>d:esmjYnfz~$ec~zt^obtucuWxU:080>c:esmjYnfz~$ec~zt^obtucuWxU:S=?l;frjkZoi{}%bb}{{_lcst`tXyV;T=d:esmjYnfz~$ec~zt^obtucuWxU9>d:esmjYnfz~$ec~zt^obtucuWxU9d:esmjYnfz~$ec~zt^obtucuWxU9d:esmjYnfz~$ec~zt^obtucuWxU9d:esmjYnfz~$ec~zt^obtucuWxU9d:esmjYnfz~$ec~zt^obtucuWxU9e:esmjYnfz~$ec~zt^obtucuWxU9=1>11d9dtliXagy#d`uu]neuvbzV{T><2>>0g8cuohW`dxx"gaptv\idvwm{UzS??32?3f?bvngVcey!fnqww[hgwxlxT}R<><2<2a>awafUbb~z iorvpZkfxyoyS|Q=1=6=5`=`x`eTec}{/hlsqqYjiyzn~RP20>6:4b:h6iin]jjvr(agz~xRcnpqgq[tY5:V?:i6iin]jjvr(agz~xRcnpqgq[tY5;5:5=h5hphm\mkus'`d{yyQbaqrfvZwX::6:23?j;frjkZoi{}%bb}{{_lcst`tXyV880>0>e:esmjYnfz~$ec~zt^obtucuWxU9?1:11d9dtliXagy#d`uu]neuvbzV{T>>2:>0f8cuohW`dxx"gaptv\idvwm{UzS?=P00f8cuohW`dxx"gaptv\idvwm{UzS?=P10f8cuohW`dxx"gaptv\idvwm{UzS?=P20f8cuohW`dxx"gaptv\idvwm{UzS?=P30f8cuohW`dxx"gaptv\idvwm{UzS?=P40f8cuohW`dxx"gaptv\idvwm{UzS?=P50g8cuohW`dxx"gaptv\idvwm{UzS?:30?3f?bvngVcey!fnqww[hgwxlxT}R<;<0<2a>awafUbb~z iorvpZkfxyoyS|Q=4=0=5`=`x`eTec}{/hlsqqYjiyzn~RP25>0:4c5=h5hphm\mkus'`d{yyQbaqrfvZwX:<6>2e:esmjYnfz~$ec~zt^obtucuWxU9:1<11d9dtliXagy#d`uu]neuvbzV{T>;2<>0g8cuohW`dxx"gaptv\idvwm{UzS?834?3f?bvngVcey!fnqww[hgwxlxT}R<9<4<2`>awafUbb~z iorvpZkfxyoyS|Q=6^22`>awafUbb~z iorvpZkfxyoyS|Q=6^32`>awafUbb~z iorvpZkfxyoyS|Q=6^02`>awafUbb~z iorvpZkfxyoyS|Q=6^12`>awafUbb~z iorvpZkfxyoyS|Q=6^62`>awafUbb~z iorvpZkfxyoyS|Q=6^72a>awafUbb~z iorvpZkfxyoyS|Q=7=2=5`=`x`eTec}{/hlsqqYjiyzn~RP26>2:4c;87;n7j~fo^kmwq)nfyS`opdp\uZ4?484:i6iin]jjvr(agz~xRcnpqgq[tY50585=h5hphm\mkus'`d{yyQbaqrfvZwX:1682d:esmjYnfz~$ec~zt^obtucuWxU94R>>d:esmjYnfz~$ec~zt^obtucuWxU94R?>d:esmjYnfz~$ec~zt^obtucuWxU94R<>d:esmjYnfz~$ec~zt^obtucuWxU94R=>d:esmjYnfz~$ec~zt^obtucuWxU94R:>d:esmjYnfz~$ec~zt^obtucuWxU94R;>e:esmjYnfz~$ec~zt^obtucuWxU951>11d9dtliXagy#d`uu]neuvbzV{T>42>>0g8cuohW`dxx"gaptv\idvwm{UzS?732?3f?bvngVcey!fnqww[hgwxlxT}R<6<2<2a>awafUbb~z iorvpZkfxyoyS|Q=9=6=5`=`x`eTec}{/hlsqqYjiyzn~RP28>6:4b3:4b2:4b1:4b0:4b7:4b6:4eawafUbb~z iorvpZkfxyoyS|Q=_33`?bvngVcey!fnqww[hgwxlxT}RR;>e:esmjYnfz~$ec~zt^obtucuWxU8<1>11d9dtliXagy#d`uu]neuvbzV{T?=2>>0g8cuohW`dxx"gaptv\idvwm{UzS>>32?3f?bvngVcey!fnqww[hgwxlxT}R=?<2<2a>awafUbb~z iorvpZkfxyoyS|Q<0=6=5`=`x`eTec}{/hlsqqYjiyzn~RP31>6:4b:h6iin]jjvr(agz~xRcnpqgq[tY49V?:i6iin]jjvr(agz~xRcnpqgq[tY4:5:5=h5hphm\mkus'`d{yyQbaqrfvZwX;;6:23?j;frjkZoi{}%bb}{{_lcst`tXyV990>0>e:esmjYnfz~$ec~zt^obtucuWxU8>1:11d9dtliXagy#d`uu]neuvbzV{T??2:>0f8cuohW`dxx"gaptv\idvwm{UzS>=30?3f?bvngVcey!fnqww[hgwxlxT}R=<<0<2a>awafUbb~z iorvpZkfxyoyS|Q<3=0=5`=`x`eTec}{/hlsqqYjiyzn~RP32>0:4c5=h5hphm\mkus'`d{yyQbaqrfvZwX;=6>2T<T=T>T?T8T90<0>e:esmjYnfz~$ec~zt^obtucuWxU891<11d9dtliXagy#d`uu]neuvbzV{T?82<>0g8cuohW`dxx"gaptv\idvwm{UzS>;34?3f?bvngVcey!fnqww[hgwxlxT}R=:<4<2`>awafUbb~z iorvpZkfxyoyS|Q<5^22`>awafUbb~z iorvpZkfxyoyS|Q<5^32`>awafUbb~z iorvpZkfxyoyS|Q<5^02`>awafUbb~z iorvpZkfxyoyS|Q<5^12`>awafUbb~z iorvpZkfxyoyS|Q<5^62`>awafUbb~z iorvpZkfxyoyS|Q<5^72a>awafUbb~z iorvpZkfxyoyS|Q<6=2=5`=`x`eTec}{/hlsqqYjiyzn~RP37>2:4c682d:esmjYnfz~$ec~zt^obtucuWxU8;R>>d:esmjYnfz~$ec~zt^obtucuWxU8;R?>d:esmjYnfz~$ec~zt^obtucuWxU8;R<>d:esmjYnfz~$ec~zt^obtucuWxU8;R=>d:esmjYnfz~$ec~zt^obtucuWxU8;R:>d:esmjYnfz~$ec~zt^obtucuWxU8;R;>e:esmjYnfz~$ec~zt^obtucuWxU841>11d9dtliXagy#d`uu]neuvbzV{T?52>>0g8cuohW`dxx"gaptv\idvwm{UzS>632?3f?bvngVcey!fnqww[hgwxlxT}R=7<2<2a>awafUbb~z iorvpZkfxyoyS|Q<8=6=5`=`x`eTec}{/hlsqqYjiyzn~RP39>6:4b4<4:h6iin]jjvr(agz~xRcnpqgq[tY41V::h6iin]jjvr(agz~xRcnpqgq[tY41V;:h6iin]jjvr(agz~xRcnpqgq[tY41V8:h6iin]jjvr(agz~xRcnpqgq[tY41V9:h6iin]jjvr(agz~xRcnpqgq[tY41V>:h6iin]jjvr(agz~xRcnpqgq[tY41V?:h6iin]jjvr(agz~xRcnpqgq[tY4494:h6iin]jjvr(agz~xRcnpqgq[tY4484:h6iin]jjvr(agz~xRcnpqgq[tY44;4:h6iin]jjvr(agz~xRcnpqgq[tY44:4:h6iin]jjvr(agz~xRcnpqgq[tY44=4:h6iin]jjvr(agz~xRcnpqgq[tY44<4:o6iin]jjvr(agz~xRcnpqgq[tY4W9;h7j~fo^kmwq)nfyS`opdp\uZ5X98i0k}g`_hlpp*oix|~Tal~es]r[6Y59j1l|daPioqw+lhw}}Ufm}~jr^s\7Z56k2m{ebQfnrv,mkvr|Vgj|}k}_p]0[17d3nzbcRgasu-jjussWdk{|h|Pq^1\14c:h6iin]jjvr(agz~xRcnpqgq[tY38V?:i6iin]jjvr(agz~xRcnpqgq[tY395:5=h5hphm\mkus'`d{yyQbaqrfvZwX<86:23?j;frjkZoi{}%bb}{{_lcst`tXyV>:0>0>e:esmjYnfz~$ec~zt^obtucuWxU?=1:11d9dtliXagy#d`uu]neuvbzV{T8<2:>0f8cuohW`dxx"gaptv\idvwm{UzS9?P00f8cuohW`dxx"gaptv\idvwm{UzS9?P10f8cuohW`dxx"gaptv\idvwm{UzS9?P20f8cuohW`dxx"gaptv\idvwm{UzS9?P30f8cuohW`dxx"gaptv\idvwm{UzS9?P40f8cuohW`dxx"gaptv\idvwm{UzS9?P50g8cuohW`dxx"gaptv\idvwm{UzS9<30?3f?bvngVcey!fnqww[hgwxlxT}R:=<0<2a>awafUbb~z iorvpZkfxyoyS|Q;2=0=5`=`x`eTec}{/hlsqqYjiyzn~RP43>0:4c5=h5hphm\mkus'`d{yyQbaqrfvZwX<:6>27<3?j;frjkZoi{}%bb}{{_lcst`tXyV>?0<0>e:esmjYnfz~$ec~zt^obtucuWxU?81<11d9dtliXagy#d`uu]neuvbzV{T892<>0g8cuohW`dxx"gaptv\idvwm{UzS9:34?3f?bvngVcey!fnqww[hgwxlxT}R:;<4<2`>awafUbb~z iorvpZkfxyoyS|Q;4^22`>awafUbb~z iorvpZkfxyoyS|Q;4^32`>awafUbb~z iorvpZkfxyoyS|Q;4^02`>awafUbb~z iorvpZkfxyoyS|Q;4^12`>awafUbb~z iorvpZkfxyoyS|Q;4^62`>awafUbb~z iorvpZkfxyoyS|Q;4^72a>awafUbb~z iorvpZkfxyoyS|Q;5=2=5`=`x`eTec}{/hlsqqYjiyzn~RP44>2:4c585=h5hphm\mkus'`d{yyQbaqrfvZwX=080>d:esmjYnfz~$ec~zt^obtucuWxU?:R>>d:esmjYnfz~$ec~zt^obtucuWxU?:R?>d:esmjYnfz~$ec~zt^obtucuWxU?:R<>d:esmjYnfz~$ec~zt^obtucuWxU?:R=>d:esmjYnfz~$ec~zt^obtucuWxU?:R:>d:esmjYnfz~$ec~zt^obtucuWxU?:R;>e:esmjYnfz~$ec~zt^obtucuWxU?;1>11d9dtliXagy#d`uu]neuvbzV{T8:2>>0g8cuohW`dxx"gaptv\idvwm{UzS9932?3f?bvngVcey!fnqww[hgwxlxT}R:8<2<2a>awafUbb~z iorvpZkfxyoyS|Q;7=6=5`=`x`eTec}{/hlsqqYjiyzn~RP46>6:4b3:4b2:4b1:4b0:4b7:4b6:4eawafUbb~z iorvpZkfxyoyS|Q;_33`?bvngVcey!fnqww[hgwxlxT}R:P30a8cuohW`dxx"gaptv\idvwm{UzS9Q;1b9dtliXagy#d`uu]neuvbzV{T8R;>d:esmjYnfz~$ec~zt^obtucuWxU>0=0>d:esmjYnfz~$ec~zt^obtucuWxU>0<0>d:esmjYnfz~$ec~zt^obtucuWxU>0?0>d:esmjYnfz~$ec~zt^obtucuWxU>0>0>d:esmjYnfz~$ec~zt^obtucuWxU>090>d:esmjYnfz~$ec~zt^obtucuWxU>080>c:esmjYnfz~$ec~zt^obtucuWxU>S=?l;frjkZoi{}%bb}{{_lcst`tXyV?T=awafUbb~z iorvpZkfxyoyS|Q8<1<2`>awafUbb~z iorvpZkfxyoyS|Q8<0<2`>awafUbb~z iorvpZkfxyoyS|Q8<3<2`>awafUbb~z iorvpZkfxyoyS|Q8<2<2`>awafUbb~z iorvpZkfxyoyS|Q8<5<2`>awafUbb~z iorvpZkfxyoyS|Q8<4<2g>awafUbb~z iorvpZkfxyoyS|Q8_13`?bvngVcey!fnqww[hgwxlxT}R9P10a8cuohW`dxx"gaptv\idvwm{UzS:Q=1b9dtliXagy#d`uu]neuvbzV{T;R=>c:esmjYnfz~$ec~zt^obtucuWxU2X;8i0k}g`_hlpp*oix|~Tal~es]r[=Y39j1l|daPioqw+lhw}}Ufm}~jr^s\awafUbb~z iorvpZkfxyoyS|Q6_53`?bvngVcey!fnqww[hgwxlxT}R7P50g8cuohW`dxx"aztqww[hgwxlxT}R>30?3f?bvngVcey!`uurvpZkfxyoyS|Q?<0<2a>awafUbb~z otvsqqYjiyzn~RP0=0=5`=`x`eTec}{/nwwtprXehz{iQ~_1>0:4cd:esmjYnfz~$cxzuu]neuvbzV{T=R>>d:esmjYnfz~$cxzuu]neuvbzV{T=R?>d:esmjYnfz~$cxzuu]neuvbzV{T=R<>d:esmjYnfz~$cxzuu]neuvbzV{T=R=>d:esmjYnfz~$cxzuu]neuvbzV{T=R:>d:esmjYnfz~$cxzuu]neuvbzV{T=R;>d:esmjYnfz~$cxzuu]neuvbzV{T=R8>5:esmjYnfz~$|}}io]r8586?2m{ebQfnrv,tuuwagUz0<>?>058cuohW`dxx"~sqkm[t:6884:;6iin]jjvr(xyy{ecQ~<021:410>7:esmjYnfz~$|}}io]r846368=0k}g`_hlpp*vw{yceS|2>04<23>awafUbb~z pqqsmkYv48:=2<94gqkl[lht|&z{}ga_p>24286?2m{ebQfnrv,tuuwagUz0<>7>058cuohW`dxx"~sqkm[t:6804::6iin]jjvr(xyy{ecQ~<02=52=`x`eTec}{/qrptlhXy5;:<3?8;frjkZoi{}%{|~~fn^s?54799>1l|daPioqw+uvtx`dT}1?>2?34?bvngVcey!prrjjZw;9895=:5hphm\mkus'yzx|d`Pq=320;703nzbcRgasu-stvvnfV{7=<;1169dtliXagy#}~|phl\u976>7;<7j~fo^kmwq)wxzzbbR3105=52=`x`eTec}{/qrptlhXy5;:43?8;frjkZoi{}%{|~~fn^s?54?99?1l|daPioqw+uvtx`dT}1?>>058cuohW`dxx"~sqkm[t:6:94:;6iin]jjvr(xyy{ecQ~<002:41?0>7:esmjYnfz~$|}}io]r844468=0k}g`_hlpp*vw{yceS|2>25<23>awafUbb~z pqqsmkYv488>2<94gqkl[lht|&z{}ga_p>26386?2m{ebQfnrv,tuuwagUz0<<8>048cuohW`dxx"~sqkm[t:6:7;=7j~fo^kmwq)wxzzbbR312<22>awafUbb~z pqqsmkYv48>5=;5hphm\mkus'yzx|d`Pq=36:402m{ebQfnrv,tuuwagUz0<61179dtliXagy#}~|phl\u97>68?0k}g`_hlpp*vw{yceS|2>>048cuohW`dxx"~sqkm[t:587;=7j~fo^kmwq)wxzzbbR320<22>awafUbb~z pqqsmkYv4;85=;5hphm\mkus'yzx|d`Pq=00:402m{ebQfnrv,tuuwagUz0?81179dtliXagy#}~|phl\u94068<0k}g`_hlpp*vw{yceS|2=8?35?bvngVcey!prrjjZw;:04:96iin]jjvr(xyy{ecQ~<3<22>awafUbb~z pqqsmkYv4::5=;5hphm\mkus'yzx|d`Pq=12:403?9;frjkZoi{}%{|~~fn^s?7686>2m{ebQfnrv,tuuwagUz0>:1179dtliXagy#}~|phl\u95268<0k}g`_hlpp*vw{yceS|2<6?35?bvngVcey!prrjjZw;;>4::6iin]jjvr(xyy{ecQ~<2:=53=`x`eTec}{/qrptlhXy5922<;4gqkl[lht|&z{}ga_p>0:402m{ebQfnrv,tuuwagUz09<1179dtliXagy#}~|phl\u92468<0k}g`_hlpp*vw{yceS|2;4?35?bvngVcey!prrjjZw;<<4::6iin]jjvr(xyy{ecQ~<54=53=`x`eTec}{/qrptlhXy5><2<84gqkl[lht|&z{}ga_p>7<;713nzbcRgasu-stvvnfV{7840>5:esmjYnfz~$|}}io]r8186>2m{ebQfnrv,tuuwagUz08>1179dtliXagy#}~|phl\u93668<0k}g`_hlpp*vw{yceS|2:2?35?bvngVcey!prrjjZw;=:4::6iin]jjvr(xyy{ecQ~<46=53=`x`eTec}{/qrptlhXy5?>2<84gqkl[lht|&z{}ga_p>62;713nzbcRgasu-stvvnfV{79:0>6:esmjYnfz~$|}}io]r80>99?1l|daPioqw+uvtx`dT}1;6>078cuohW`dxx"~sqkm[t:268<0k}g`_hlpp*vw{yceS|290?35?bvngVcey!prrjjZw;>84::6iin]jjvr(xyy{ecQ~<70=53=`x`eTec}{/qrptlhXy5<82<84gqkl[lht|&z{}ga_p>50;713nzbcRgasu-stvvnfV{7:80>6:esmjYnfz~$|}}io]r83099?1l|daPioqw+uvtx`dT}188>048cuohW`dxx"~sqkm[t:107;=7j~fo^kmwq)wxzzbbR368<21>awafUbb~z pqqsmkYv4?4::6iin]jjvr(xyy{ecQ~<62=53=`x`eTec}{/qrptlhXy5=:2<84gqkl[lht|&z{}ga_p>46;713nzbcRgasu-stvvnfV{7;>0>6:esmjYnfz~$|}}io]r82299?1l|daPioqw+uvtx`dT}19:>048cuohW`dxx"~sqkm[t:0>7;=7j~fo^kmwq)wxzzbbR376<22>awafUbb~z pqqsmkYv4>25=;5hphm\mkus'yzx|d`Pq=5::43;4;713nzbcRgasu-stvvnfV{74<0>6:esmjYnfz~$|}}io]r8=499?1l|daPioqw+uvtx`dT}16<>048cuohW`dxx"~sqkm[t:?<7;=7j~fo^kmwq)wxzzbbR384<22>awafUbb~z pqqsmkYv41<5=;5hphm\mkus'yzx|d`Pq=:4:406:esmjYnfz~$|}}io]r8<699?1l|daPioqw+uvtx`dT}17>>048cuohW`dxx"~sqkm[t:>:7;=7j~fo^kmwq)wxzzbbR392<22>awafUbb~z pqqsmkYv40>5=;5hphm\mkus'yzx|d`Pq=;6:402m{ebQfnrv,tuuwagUz0461179dtliXagy#}~|phl\u9?>68?0k}g`_hlpp*vw{yceS|26>068cuohW`dxx"~sqkm[tY79>1l|daPioqw+uvtx`dT}R>Pcd3:?bvngVcey!prrjjZwX8Vin=3nzbcRgasu-stvvnfV{T==>Pcd3a?bvngVcey!prrjjZwX99:Toh?>179dtliXagy#}~|phl\uZ7798<0k}g`_hlpp*vw{yceS|Q>0335?bvngVcey!prrjjZwX999::6iin]jjvr(xyy{ecQ~_02753=`x`eTec}{/qrptlhXyV;;9<84gqkl[lht|&z{}ga_p]243713nzbcRgasu-stvvnfV{T==9>6:esmjYnfz~$|}}io]r[46?9?1l|daPioqw+uvtx`dT}R??9078cuohW`dxx"~sqkm[tY698<0k}g`_hlpp*vw{yceS|Q>1135?bvngVcey!prrjjZwX98;::6iin]jjvr(xyy{ecQ~_03153=`x`eTec}{/qrptlhXyV;:?<84gqkl[lht|&z{}ga_p]251713nzbcRgasu-stvvnfV{T=<;>6:esmjYnfz~$|}}io]r[4719?1l|daPioqw+uvtx`dT}R?>7048cuohW`dxx"~sqkm[tY691;=7j~fo^kmwq)wxzzbbRP10;21>awafUbb~z pqqsmkYvW88::6iin]jjvr(xyy{ecQ~_00353=`x`eTec}{/qrptlhXyV;9=<84gqkl[lht|&z{}ga_p]267713nzbcRgasu-stvvnfV{T=?=>6:esmjYnfz~$|}}io]r[4439?1l|daPioqw+uvtx`dT}R?=5048cuohW`dxx"~sqkm[tY6:?;=7j~fo^kmwq)wxzzbbRP13521>awafUbb~z pqqsmkYvW89:96iin]jjvr(xyy{ecQ~_0621>awafUbb~z pqqsmkYvW8?:96iin]jjvr(xyy{ecQ~_0421>awafUbb~z pqqsmkYvW8=:96iin]jjvr(xyy{ecQ~_0:21>awafUbb~z pqqsmkYvW83:86iin]jjvr(xyy{ecQ~_336?bvngVcey!prrjjZwX:9;>7j~fo^kmwq)wxzzbbRP2036?bvngVcey!prrjjZwX:;;>7j~fo^kmwq)wxzzbbRP2236?bvngVcey!prrjjZwX:=;>7j~fo^kmwq)wxzzbbRP2436?bvngVcey!prrjjZwX:?;>7j~fo^kmwq)wxzzbbRP2636?bvngVcey!prrjjZwX:1;>7j~fo^kmwq)wxzzbbRP2837?bvngVcey!prrjjZwX;8?0k}g`_hlpp*vw{yceS|Q<0078cuohW`dxx"~sqkm[tY498?0k}g`_hlpp*vw{yceS|Q<20:8cuohW`dxx"~sqkm[tY4:Vin=l5hphm\mkus'yzx|d`Pq^11[fc698?0k}g`_hlpp*vw{yceS|Q<3078cuohW`dxx"~sqkm[tY4<8?0k}g`_hlpp*vw{yceS|Q<5078cuohW`dxx"~sqkm[tY4>8?0k}g`_hlpp*vw{yceS|Q<7078cuohW`dxx"~sqkm[tY408?0k}g`_hlpp*vw{yceS|Q<9068cuohW`dxx"~sqkm[tY39<1l|daPioqw+uvtx`dT}R:?149dtliXagy#}~|phl\uZ269<1l|daPioqw+uvtx`dT}R:=149dtliXagy#}~|phl\uZ249<1l|daPioqw+uvtx`dT}R:;149dtliXagy#}~|phl\uZ229<1l|daPioqw+uvtx`dT}R:9149dtliXagy#}~|phl\uZ209<1l|daPioqw+uvtx`dT}R:7149dtliXagy#}~|phl\uZ2>9=1l|daPioqw+uvtx`dT}R;>5:esmjYnfz~$|}}io]r[066=2m{ebQfnrv,tuuwagUzS8?>5:esmjYnfz~$|}}io]r[046=2m{ebQfnrv,tuuwagUzS8=>5:esmjYnfz~$|}}io]r[026=2m{ebQfnrv,tuuwagUzS8;>5:esmjYnfz~$|}}io]r[006=2m{ebQfnrv,tuuwagUzS89>5:esmjYnfz~$|}}io]r[0>6=2m{ebQfnrv,tuuwagUzS87>4:esmjYnfz~$|}}io]r[3723nzbcRgasu-stvvnfV{T:=?:;frjkZoi{}%{|~~fn^s\24723nzbcRgasu-stvvnfV{T:??:;frjkZoi{}%{|~~fn^s\26723nzbcRgasu-stvvnfV{T:9?7;frjkZoi{}%{|~~fn^s\21Ydm8k0k}g`_hlpp*vw{yceS|Q94^af54723nzbcRgasu-stvvnfV{T:8?:;frjkZoi{}%{|~~fn^s\23723nzbcRgasu-stvvnfV{T::?:;frjkZoi{}%{|~~fn^s\2=723nzbcRgasu-stvvnfV{T:4?;;frjkZoi{}%{|~~fn^s\343<;4gqkl[lht|&z{}ga_p]4743=85hphm\mkus'yzx|d`Pq^:550=`x`eTec}{/qrptlhXyV2<=85hphm\mkus'yzx|d`Pq^:;50=`x`eTec}{/qrptlhXyV22=95hphm\mkus'yzx|d`Pq^;21>awafUbb~z pqqsmkYvW0::96iin]jjvr(xyy{ecQ~_8321>awafUbb~z pqqsmkYvW08:96iin]jjvr(xyy{ecQ~_8121>awafUbb~z pqqsmkYvW0>:96iin]jjvr(xyy{ecQ~_8721>awafUbb~z pqqsmkYvW0<:96iin]jjvr(xyy{ecQ~_8521>awafUbb~z pqqsmkYvW02:96iin]jjvr(xyy{ecQ~_8;21>awafUbb~z sqr\v`gc494::6iin]jjvr({yzT~hok<1<252=`x`eTec}{/rrs[wcfl5:5==?8;frjkZoi{}%x|}Q}e`f?4;769>1l|daPioqw+vvwW{ojh1>11334?bvngVcey!|pq]qadb;87;8=:5hphm\mkus'zz{Sknd=2=51703nzbcRgasu-ptuYumhn7<3?:169dtliXagy#~~_sgb`9699?;<7j~fo^kmwq)txyUyilj30?3453=`x`eTec}{/rrs[wcfl5:5><84gqkl[lht|&y{|R|jae>3:6713nzbcRgasu-ptuYumhn7<3:>6:esmjYnfz~$}~Prdcg85829?1l|daPioqw+vvwW{ojh1>16048cuohW`dxx"}p^pfea:76>;=7j~fo^kmwq)txyUyilj30?:22>awafUbb~z sqr\v`gc4942=85hphm\mkus'zz{Sknd=3=53=`x`eTec}{/rrs[wcfl5;5=<94gqkl[lht|&y{|R|jae>2:466?2m{ebQfnrv,wuvXzlko0<0>1058cuohW`dxx"}p^pfea:6688:;6iin]jjvr({yzT~hok<0<20419:esmjYnfz~$}~Prdcg8486=VL:56iin]jjvr({yzT~hok<0<21ZA6?2m{ebQfnrv,wuvXzlko0<0>6058cuohW`dxx"}p^pfea:668=::6iin]jjvr({yzT~hok<0<153=`x`eTec}{/rrs[wcfl5;5?<84gqkl[lht|&y{|R|jae>2:1713nzbcRgasu-ptuYumhn7=3;>6:esmjYnfz~$}~Prdcg84819?1l|daPioqw+vvwW{ojh1?17048cuohW`dxx"}p^pfea:661;=7j~fo^kmwq)txyUyilj31?;24>awafUx|}Q}e`f?4;773nzbcR}p^pfea:66l1bnxhbirm,w|hd'820eo{imhql+vik&Gxdkh_gd154=nj|lfe~a sxl`+c`5k2ciyk|w/r{mg*713`h~jv sxl`+HuozlmTjkjt``li=4m`r4?hcji{h$=l5belcqf*Kj}qUxkbehxi#@czx^q3[rdXimxTc<<>1`9nahguj&GfyuQ|0^ua[dbuWf;8=Pwc]b`wYh90;:m6cjm`pa+HkrpVy;SzlPaep\k7669h1fi`o}b.Onq}Yt8V}iSlj}_n0254?10;8i`kfzk%FaxvPs1]tfZubdVe:=<74mdobvg)Je|rT=Qxb^qfhZi59830ahcnrc-Nip~X{9U|nR}jl^m054?iwim20c~~fcmi,`>itx`igg"amugk4?juwajy$o6a|phap+jdrn`30c~~fmnqj+`=h{ycfc~g ocwemc=wiycgebQrn]wku7f3yk{eag`_qpl[qiwWJEEJDIPUBD2g>voqzchmaQhrnws[ATFEVIGGRAMUG03?un~{`ij`Ri}otr\gim`mgUbb~z cmief75"}vnb-eb7dvnf99$t`l/Lqkv`aXno8n7}ga02-p}ke(no8i7}ga05-p}ke(9<1{ec>;/r{mg*Kt`{olSkh=e:rjj52({pdh#kh=b:rjj53({pdh#<;4phl31*u~fj%Fe|jg^de6`=wag:>#~wac.de6g=wag:=#~wac.36?uoi8?%xucm MrjqabYan;o0|d`?6.qzjf)an;h0|d`?7.qzjf)6=2zbb=9 sxl`+HuozlmTjkvnf92$t`l/gd1f>vnf93$t`l/078tlh71&yrbn!BsipfcZ`a:l1{ec>6/r{mg*`a:k1{ec??/r{mg*723yce==!|yoa,IvnumnUmj?k4phl24*u~fj%mj?l4phl25*u~fj%:96~fn03,w|hd'Dyc~hiPfg0f?uoi98%xucm fg0a?uoi9;%xucm 149smk75'zseo"C|hsgd[c`5m2zbb<< sxl`+c`5j2zbb<= sxl`+43h5io30+vik&lm>o5io37+vik&;>7}ga15-p}ke(EzbyijQif3g8tlh6<&yrbn!if3`8tlh6=&yrbn!>5:rjj43({pdh#@}grde\bc4b3yce=8!|yoa,bc4e3yce=;!|yoa,50=wag;=#~wac.Oplwc`Wol9i6~fn04,w|hd'ol9n6~fn05,w|hd'8?0|d`>7.qzjf)J{axnkRhi2d9smk70'zseo"hi2c9smk7?'zseo"?:;qkm5=)tqgi$A~f}ef]eb7cvnf83$t`l/Lqkv`aXno8n7}ga18-p}ke(no8i7}ga21-p}ke(9<1{ecvnf;>$t`l/gd1f>vnf;?$t`l/078tlh5=&yrbn!BsipfcZ`a:l1{ec<:/r{mg*`a:k1{ec<9/r{mg*723yce>;!|yoa,IvnumnUmj?k4phl12*u~fj%mj?l4phl13*u~fj%:96~fn35,w|hd'Dyc~hiPfg0f?uoi:>%xucm fg0a?uoi:1%xucm 149smk4?'zseo"C|hsgd[c`5m2zbb?6 sxl`+c`5j2zbb?7 sxl`+43h5io0:+vik&lm>o5io13+vik&;>7}ga31-p}ke(EzbyijQif3g8tlh48&yrbn!if3`8tlh49&yrbn!>5:rjj67({pdh#@}grde\bc4b3yce?vnf:?$t`l/Lqkv`aXno8n7}ga34-p}ke(no8i7}ga37-p}ke(9<1{ec=9/r{mg*Kt`{olSkh=e:rjj60({pdh#kh=b:rjj61({pdh#<;4phl03*u~fj%Fe|jg^de6`=wag9<#~wac.de6g=wag93#~wac.36?uoi;1%xucm MrjqabYan;o0|d`<8.qzjf)an;h0|d`<9.qzjf)6=2zbb>7 sxl`+HuozlmTjkvnf=:$t`l/gd1f>vnf=;$t`l/078tlh39&yrbn!BsipfcZ`a:l1{ec:>/r{mg*`a:k1{ec:=/r{mg*723yce8?!|yoa,IvnumnUmj?k4phl76*u~fj%mj?l4phl77*u~fj%:96~fn51,w|hd'Dyc~hiPfg0f?uoi<:%xucm fg0a?uoi<=%xucm 149smk23'zseo"C|hsgd[c`5m2zbb9: sxl`+c`5j2zbb9; sxl`+43h5io66+vik&lm>o5io65+vik&;>7}ga47-p}ke(EzbyijQif3g8tlh3>&yrbn!if3`8tlh3?&yrbn!>5:rjj11({pdh#@}grde\bc4b3yce8:!|yoa,bc4>3yxnnoces78twij'11{~bc abi:?uthe&khg???;qpli*gdc;Uyy~`tg9svjk(ijaT~~zou58twij'ld37}|`m.gm5==wzfg$ic_hlpqigsm9;o7}|`m.pli*KuidUYM@?Pioqvhdrb98;97}|`m.pli*KuidUYM@<>c:rqkh)ugd%F~lcPR@O1[lht}eki3:43vugdUyc`Qxb^fbpZi;;94:96~}ol]qkhYpjVnjxRa330<20>vugdUyc`Qxb^fbpZi;;7;?7}|`m^pliZqeWmkSb2;>068twijW{efSzlPd`v\k9399=1{~bcPrno\sgYci}Ud0;0>4:rqkhYugdU|nRjnt^m?3;733yxdaR|`m^ua[agsWf632<:4psmn[wijW~hThlzPo=;==>vpeVyomim6;qun[vbcij=0~R}{aug`?wijW~hTmnePog9qkhYpjVnjxRa30?33?wijW~hThlzPo=33:46028vjkXkUomyQ`<07=55=ugdU|nRjnt^m?538682xdaRym_ecw[j:6?7;;7ab_v`\`drXg5;32<>4rno\sgYci}Ud0<71f:pliZqeWmkSb2>>028vjkXkUomyQ`<32=55=ugdU|nRjnt^m?648682xdaRym_ecw[j:5:7;;7ab_v`\`drXg5882<>4rno\sgYci}Ud0?:1119qkhYpjVnjxRa324<24>theV}iSio{_n>12;773{efSzlPd`v\k94068:0~bcPwc]geqYh4;25==5}ol]tfZbf|Ve7>40i;smn[rdXlh~Tc1<1119qkhYpjVnjxRa331<24>theV}iSio{_n>05;`7l0~bcPwc]geqYh4>4m7ab_v`\`drXg525j6|`m^ua[agsWf622;5}sucwa2=u{}mnb"?9;sqwc`h(Eje~byQ}sualqkr6?2xxxjka/LalqkrXzz~hcx`{10:8vvr`mg%Fob{at^pppfirf};;=55}suefj*Kdg|dS}{cnwmp47602xxxjka/LalqkrXzz~hcx`{133;?wusold$Anaznu]qwqeh}g~:?<64rrvdak)JkfexR||tbmvjq73911yyijn.O`kphsW{yob{at072<>tt|noe#@m`uov\vvrdg|d=;?7;sqwc`h(Eje~byQ}sualqkr6?820~~zheo-Ngjsi|Vxxxnaznu3;5==u{}mnb"Clotlw[wuskfex<7>7:pppbci'DidyczPrrv`kphs:820~~zheo-Ngjsi|Vxxxnaznu035==u{}mnb"Clotlw[wuskfex??>8:pppbci'DidyczPrrv`kphs:;;37}{gdl,Ifirf}Uyym`uov174>7:pppbci'DidyczPrrv`kphs08=0~~zheo-Ngjsi|Vxxxnaznu;2g>tt|noe#@m`uov\vvrdg|dSnw30?3g?wusold$Anaznu]qwqeh}g~Tot2>0?3g?wusold$Anaznu]qwqeh}g~Tot2>1?3g?wusold$Anaznu]qwqeh}g~Tot2>2?3g?wusold$Anaznu]qwqeh}g~Tot2>3?3g?wusold$Anaznu]qwqeh}g~Tot2>4?3g?wusold$Anaznu]qwqeh}g~Tot2>5?3g?wusold$Anaznu]qwqeh}g~Tot2>6?3g?wusold$Anaznu]qwqeh}g~Tot2>7?3g?wusold$Anaznu]qwqeh}g~Tot2>8?3g?wusold$Anaznu]qwqeh}g~Tot2>9?3`?wusold$Anaznu]qwqeh}g~Tot2>>0f8vvr`mg%Fob{at^pppfirf}Uhu10f8vvr`mg%Fob{at^pppfirf}Uhu1<>>0f8vvr`mg%Fob{at^pppfirf}Uhu1<=>0f8vvr`mg%Fob{at^pppfirf}Uhu1<<>0f8vvr`mg%Fob{at^pppfirf}Uhu1<;>0f8vvr`mg%Fob{at^pppfirf}Uhu1<:>0f8vvr`mg%Fob{at^pppfirf}Uhu1<9>0f8vvr`mg%Fob{at^pppfirf}Uhu1<8>0f8vvr`mg%Fob{at^pppfirf}Uhu1<7>0f8vvr`mg%Fob{at^pppfirf}Uhu1<6>0a8vvr`mg%Fob{at^pppfirf}Uhu1<11e9qwqabf&Ghcx`{_sqwgjsi|Vir0>>11b9qwqabf&Ghcx`{_sqwgjsi|Vir0>0>c:pppbci'DidyczPrrv`kphsWjs783?l;sqwc`h(Eje~byQ}sualqkrXkp6>24:o6||tfgm+Heh}g~T~~zlotlw[f;07;h7}{gdl,Ifirf}Uyym`uov\g|:>68n0~~zheo-Ngjsi|Vxxxnaznu]oqq:768o0~~zheo-Ngjsi|Vxxxnaznu]oqq:687;n7}{gdl,Ifirf}Uyym`uov\hpr;984:i6||tfgm+Heh}g~T~~zlotlw[iss4885=h5}suefj*Kdg|dS}{cnwmpZjr|5;82e:pppbci'DidyczPrrv`kphsWe0<811d9qwqabf&Ghcx`{_sqwgjsi|Vf~x1?8>0g8vvr`mg%Fob{at^pppfirf}Ugyy2>8?3f?wusold$Anaznu]qwqeh}g~T`xz318<2`>tt|noe#@m`uov\vvrdg|dSa{{<0<2a>tt|noe#@m`uov\vvrdg|dSa{{<32=5`=u{}mnb"Clotlw[wuskfexRbzt=02:4c16;7b3{ykh` MbmvjqYu{}idyczPltv?6686m2xxxjka/LalqkrXzz~hcx`{_mww87299l1yyijn.O`kphsW{yob{at^nvp94268o0~~zheo-Ngjsi|Vxxxnaznu]oqq:5>7;n7}{gdl,Ifirf}Uyym`uov\hpr;:>4:i6||tfgm+Heh}g~T~~zlotlw[iss4;25=h5}suefj*Kdg|dS}{cnwmpZjr|5822d:pppbci'DidyczPrrv`kphsWe0>0>d:pppbci'DidyczPrrv`kphsWe090>d:pppbci'DidyczPrrv`kphsWe080>d:pppbci'DidyczPrrv`kphsWe0;0>d:pppbci'DidyczPrrv`kphsWe0:0>d:pppbci'DidyczPrrv`kphsWe050>d:pppbci'DidyczPrrv`kphsWe040>d:pppbci'DidyczPrrv`kphsWqey0=0>e:pppbci'DidyczPrrv`kphsWqey0<>11d9qwqabf&Ghcx`{_sqwgjsi|Vrd~1?>>0g8vvr`mg%Fob{at^pppfirf}Usc2>2?3f?wusold$Anaznu]qwqeh}g~Ttb|312<2a>tt|noe#@m`uov\vvrdg|dSua}<06=5`=u{}mnb"Clotlw[wuskfexRv`r=36:4c22;7b3{ykh` MbmvjqYu{}idyczPxnp?5286m2xxxjka/LalqkrXzz~hcx`{_ymq84>99l1yyijn.O`kphsW{yob{at^zlv97>68n0~~zheo-Ngjsi|Vxxxnaznu]{kw:668o0~~zheo-Ngjsi|Vxxxnaznu]{kw:587;n7}{gdl,Ifirf}Uyym`uov\|jt;:84:i6||tfgm+Heh}g~T~~zlotlw[}iu4;85=h5}suefj*Kdg|dS}{cnwmpZ~hz588280>e:pppbci'DidyczPrrv`kphsWqey0?811d9qwqabf&Ghcx`{_sqwgjsi|Vrd~1<8>0g8vvr`mg%Fob{at^pppfirf}Usc2=8?3f?wusold$Anaznu]qwqeh}g~Ttb|328<2`>tt|noe#@m`uov\vvrdg|dSua}<3<2a>tt|noe#@m`uov\vvrdg|dSua}<22=5`=u{}mnb"Clotlw[wuskfexRv`r=12:4b0:4b7:4b6:4b5:4b4:4b;:4b::`=u{}mnb"Qa01025c=u{}mnb"Qa010254`_hlu5441129qwqabf&xxxnaznu>25;743{ykh` rrv`kphs4885=>5}suefj*tt|je~by2>3?30?wusold$~~zlotlw84299:1yyijn.pppfirf}6:93?<;sqwc`h(zz~hcx`{<04=56=u{}mnb"||tbmvjq:6?7;87}{gdl,vvrdg|d0<61129qwqabf&xxxnaznu>2=;753{ykh` rrv`kphs484:?6||tfgm+wuskfex1018vvr`mg%yym`uov?6486;2xxxjka/sqwgjsi|5892<=4rrvdak)u{}idycz322<27>tt|noe#}{cnwmp9436890~~zheo-qwqeh}g~7>80>3:pppbci'{yob{at=05:454:?6||tfgm+wuskfex1<7>018vvr`mg%yym`uov?6<86:2xxxjka/sqwgjsi|585=>5}suefj*tt|je~by2<0?30?wusold$~~zlotlw86799;1yyijn.pppfirf}682<<4rrvdak)u{}idycz34?31?wusold$~~zlotlw8086:2xxxjka/sqwgjsi|5<5=?5}suefj*tt|je~by28>008vvr`mg%yym`uov?<;753{ykh` rrv`kphs404:=6||tfgm+wuskfexR>>1:pppbci'{yob{at^326>tt|noe#}{cnwmpZ779;1yyijn.pppfirf}U:=<<4rrvdak)u{}idyczP133a?wusold$~~zlotlw[44XoenS~k{139qwqabf&xxxnaznu]27442:pppbci'{yob{at^355g=u{}mnb"||tbmvjqY6>VmgyhQ|eu31?wusold$~~zlotlw[416j2xxxjka/sqwgjsi|V;_fnvaZub|880~~zheo-qwqeh}g~T>??=;sqwc`h(zz~hcx`{_3126>tt|noe#}{cnwmpZ439;1yyijn.pppfirf}U99<<4rrvdak)u{}idyczP2731?wusold$~~zlotlw[716:2xxxjka/sqwgjsi|V83=?5}suefj*tt|je~byQ=9038vvr`mg%yym`uov\744?>b:pppbci'{yob{at^12[bjrmVxxx8;0~~zheo-qwqeh}g~T;ucg&OzbR?Pm`lg5c=tlf%N}cQ=_lcm`4`<{me$I|`P3^obja7a3znd#Ha_5]nekb6n2yoc"K~n^7\idhc9o1xhb!Jqo]5[hgil8l0ia Epl\3Zkffm;m7~j`/Dsm[=Yjign:=n5|dn-NefereVybk`oPl`v`lZe~494:o6}ko.ObgfsjWzclalQcauak[f;97;h7~j`/Lc`gpkX{`mfmRbntbj\g|:568i0ia M`a`qhYtangjSao{ci]`}9599j1xhb!BabaviZunodkT`lzlh^az8186k2yoc"Cncbwn[vo`ehUgmymg_b{?1;7d3znd#@olcto\wlajiVfjxnfPcx>5:4e<{me$Almlul]pmbkfWekoeQly=5=5f=tlf%Fmnmzm^qjchgXdh~hdRmv<9<2`>ucg&Gjon{b_rkdidYki}icSa{{<1<2`>ucg&Gjon{b_rkdidYki}icSa{{<0<2`>ucg&Gjon{b_rkdidYki}icSa{{<3<2`>ucg&Gjon{b_rkdidYki}icSa{{<2<2`>ucg&Gjon{b_rkdidYki}icSa{{<5<2`>ucg&Gjon{b_rkdidYki}icSa{{<4<2`>ucg&Gjon{b_rkdidYki}icSa{{<7<2`>ucg&Gjon{b_rkdidYki}icSa{{<6<2`>ucg&Gjon{b_rkdidYki}icSa{{<9<2`>ucg&Gjon{b_rkdidYki}icSa{{<8<2`>ucg&Gjon{b_rkdidYki}icSua}<1<2`>ucg&Gjon{b_rkdidYki}icSua}<0<2`>ucg&Gjon{b_rkdidYki}icSua}<3<2`>ucg&Gjon{b_rkdidYki}icSua}<2<2`>ucg&Gjon{b_rkdidYki}icSua}<5<2`>ucg&Gjon{b_rkdidYki}icSua}<4<2`>ucg&Gjon{b_rkdidYki}icSua}<7<2`>ucg&Gjon{b_rkdidYki}icSua}<6<2`>ucg&Gjon{b_rkdidYki}icSua}<9<2`>ucg&Gjon{b_rkdidYki}icSua}<8>e9p`j)Umzgx1<1d:qgk*Tb{|f0>0k;rfl+Wct}e~783j4sem,V`urd}6>2i5|dn-Qavsk|5<5h6}ko.Pfwpjs4>4o7~j`/Sgpqir;07n0ia Rdqvhq:>6k1xhb!kau]r[5d<{me$hlzPq^3a?vbh'mkS|Q=b:qgk*bf|V{T?o5|dn-geqYvW=h0ia d`v\uZ3e3znd#io{_p]5f>ucg&njxRP7b9p`j)ci}kTcxz>2:qgk*unodkT`lzlh=2=57=tlf%xejcn_mcwgm:66880ia sheneZjf|jb7>3?=;rfl+vo`ehUgmymg<2<26>ucg&ybk`oPl`v`l9299;1xhb!|ifob[igska6>2<<4sem,wlajiVfjxnf36?31?vbh'zclalQcauak8286:2yoc"}fglc\hdrd`525=?5|dn-pmbkfWekoe26>038wai({`mfmRbntbj\447<{me$diba^nbpfnX98;0ia sheneZjf|jbT>2:47<{`nTmxjfo^fbpd:568;0djPatfjkZbf|h682038wlbXi|nbcRjnt`>6:47<{`nTmxjfo^fbpd:168;0djPatfjkZbf|h6<245|ie]ukleb991xejcnddnweZoi{}%:n6}fglcgairfW`dxx"K~n^2\idhc98i0dibaegopdYnfz~$I|`P11]nekb69j1xejcnddnweZoi{}%N}cQ>1^obja76k2ybk`okemvb[lht|&OzbR?=_lcm`47d3zclaljjluc\mkus'L{eS<=Pm`lg54e<{`mfmikct`]jjvr(MxdT=9Qbaof25f=tangjhhb{a^kmwq)BygU:9Rcnne32g>unodkoiazn_hlpp*CvfV;=S`oad03a?vo`ehnn`yoPioqw+@wiW8Ufmcj>1c9pmbkfllfmRgasu-FukY5Wdkeh289pmbkfllfmRgasu-NefereVybk`oPl`v`l4Ydq5:5>l5|ifob``jsiVcey!BabaviZunodkT`lzlh0]`}9776;k0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pcx>25;4f3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Snw313<1e>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vir0<=12`9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Ydq5;?2?o4sheneack|hUbb~z M`a`qhYtangjSao{ci3\g|:6=78j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_b{?538512ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rmv<0<1=>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vir0?0=9:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Ze~4:4956}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg1^az818512ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rmv<4<1=>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vir0;0=9:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Ze~4>4956}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg1^az8=8512ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rmv<8<1e>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vf~x1>12c9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Yk}}6:<3o5|ifob``jsiVcey!BabaviZunodkT`lzlh0]oqq:6:78i7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_mww8459:k1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd20;4e3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Sa{{<07=6g=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`8Ugyy2>6?0a?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6We0<912b9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Yk}}6:;3?=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Zjr|5;5>l5|ifob``jsiVcey!BabaviZunodkT`lzlh0]oqq:56;k0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pltv?7;4f3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Sa{{<5<1e>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vf~x1;12`9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Yk}}6=2?o4sheneack|hUbb~z M`a`qhYtangjSao{ci3\hpr;?78j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_mww8=85i2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rbzt=;=6d=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`8Usc2?>3`8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xpfx7==0=b:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Z~hz5;:2?l4sheneack|hUbb~z M`a`qhYtangjSao{ci3\|jt;9;49n6}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg1^zlv9746;h0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pxnp?5185j2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rv`r=36:7d<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;Ttb|317<1f>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vrd~1?8>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xpfx7=37:7g<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;Ttb|35?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6Wqey0;0=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Z~hz5=5>l5|ifob``jsiVcey!BabaviZunodkT`lzlh0]{kw:?6;k0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pxnp?=;523zclaljjluc\mkus'Dg~tRjnt^s2_41ZWNDOS<7:_n]nq}Y6=VE^X<=9;rkdidbbd}kTec}{/Lov|Zbf|V{:W<9R_FLG[4?2WfUfyuQ>5^MVP464i2ybk`okemvb[lht|&GfyuQkau]r5^70UVMEHR?65^m\ip~X9o4sheneack|hUbb~z Mlw{[agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW54YT_99=7~ghm`ffhqgXagy#@czx^fbpZw6S8=VSJ@K_0;6[jYj}qU:9RAZT000e>unodkoiazn_hlpp*Kj}qUomyQ~1Z34YZAILV;29RaPmtz\50YH]];9S^Y?379pmbkfllfmRgasu-Nip~Xlh~T}7\]DJAY61WTKCJP187\kZkrpV;>SB[[12]PS5513zclaljjluc\mkus'Dg~tRjnt^s2_41ZWNDOS<7:_n]nq}Y6=VE^X<:=VeTaxvP14]LQQ73WZ];?;5|ifob``jsiVcey!Bmtz\`drXy8Q:;PQHNE]2=0YhWdsS<;POTV216g<{`mfmikct`]jjvr(EdsSio{_p3X52[XOGNT=4;Po^ov|Z72WF__=8Q\W115?vo`ehnn`yoPioqw+HkrpVnjxR>[05^[BHCW83>SbQbuy]21ZIR\8<8m6}fglcgairfW`dxx"Cbuy]geqYv9R;94]l[hsW8?TCXZ>7258wlajimogxlQfnrv,IhsWmkS|?T16_\CKBX90?TcRczx^36[JSS9>;8:6}fglcgairfW`dxx"Cbuy]geqYv9R;5^MVP4YT_99>7~ghm`ffhqgXagy#@czx^fbpZw6S8=VSJ@K_0;6[jYj}qU:9RAZT31:?vo`ehnn`yoPioqw+HkrpVnjxR>[05^[BHCW83>SbQbuy]21ZIR\;UX[==:;rkdidbbd}kTec}{/Lov|Zbf|V{:W<9R_FLG[4?2WfUfyuQ>5^MVP65>3zclaljjluc\mkus'Dg~tRjnt^s2_41ZWNDOS<7:_n]nq}Y6=VE^X>Q\W116?vo`ehnn`yoPioqw+HkrpVnjxR>[05^[BHCW83>SbQbuy]21ZIR\=927~ghm`ffhqgXagy#@czx^fbpZw6S8=VSJ@K_0;6[jYj}qU:9RAZT5]PS5523zclaljjluc\mkus'Dg~tRjnt^s2_41ZWNDOS<7:_n]nq}Y6=VE^X8=6;rkdidbbd}kTec}{/Lov|Zbf|V{:W<9R_FLG[4?2WfUfyuQ>5^MVP0YT_99>7~ghm`ffhqgXagy#@czx^fbpZw6S8=VSJ@K_0;6[jYj}qU:9RAZT71:?vo`ehnn`yoPioqw+HkrpVnjxR>[05^[BHCW83>SbQbuy]21ZIR\?UX[==9;rkdidbbd}kTec}{/Lov|Zbf|V{:W<9R_FLG[4?2WfUfyuQ>5^MVP274=2ybk`okemvb[lht|&GfyuQkau]r5^70UVMEHR?65^m\ip~X9=VeTaxvP14]LQQ>X[^:896}fglcgairfW`dxx"Cbuy]geqYv9R;unodkoiazn_hlpp*Kj}qUomyQ~1Z34YZAILV;29RaPmtz\50YH]]3T_Z>>9:qjchgcme~jSd`|t.Pfwpjs494:m6}fglcgairfW`dxx"\jstnw84699h1xejcnddnweZoi{}%Yi~{ct=32:4g<{`mfmikct`]jjvr(Zly~`y2>2?3b?vo`ehnn`yoPioqw+Wct}e~7=>0>a:qjchgcme~jSd`|t.Pfwpjs48>5=l5|ifob``jsiVcey!]erwop97268k0dibaegopdYnfz~$^h}zlu>22;7f3zclaljjluc\mkus'[oxyaz316<2=>unodkoiazn_hlpp*Tb{|f0<0>9:qjchgcme~jSd`|t.Pfwpjs4;4:56}fglcgairfW`dxx"\jstnw868612ybk`okemvb[lht|&Xnxb{<5<2=>unodkoiazn_hlpp*Tb{|f080>9:qjchgcme~jSd`|t.Pfwpjs4?4:56}fglcgairfW`dxx"\jstnw828612ybk`okemvb[lht|&Xnxb{<9<2=>unodkoiazn_hlpp*Tb{|f040>a:qjchgcme~jSd`|t.]m4761W`d}=o5|ifob``jsiVcey!Pn1032Zoi~8;j7~ghm`ffhqgXagy#R`?21;\mkp6j2ybk`okemvb[lht|&Ue6_hlu54g<{`mfmikct`]jjvr(Wg:9=?Qfnw3a?vo`ehnn`yoPioqw+Zh7:88Tecx>189pmbkfllfmRgasu-geqYv95:5=l5|ifob``jsiVcey!kau]r597768k0dibaegopdYnfz~$hlzPq0>25;7f3zclaljjluc\mkus'mkS|?313<2e>unodkoiazn_hlpp*bf|V{:0<=11`9pmbkfllfmRgasu-geqYv95;?23zclaljjluc\mkus'mkS|?32?3:?vo`ehnn`yoPioqw+agsWx;7?3?6;rkdidbbd}kTec}{/ecw[t7;<7;27~ghm`ffhqgXagy#io{_p3?1;7>3zclaljjluc\mkus'mkS|?36?3:?vo`ehnn`yoPioqw+agsWx;7;3?6;rkdidbbd}kTec}{/ecw[t7;07;27~ghm`ffhqgXagy#io{_p3?=;553zclaljjluc\mkus'mkS|?T16_\CKBX90?TcRczx^36[JSS4948?6}fglcgairfW`dxx"jnt^s2_41ZWNDOS<7:_n]nq}Y6=VE^X1??>218wlajimogxlQfnrv,`drXy8Q:;PQHNE]2=0YhWdsS<;POTV?5484;2ybk`okemvb[lht|&njxR>[05^[BHCW83>SbQbuy]21ZIR\5;92>=4sheneack|hUbb~z d`v\u4]6?TULBIQ>94]l[hsW8?TCXZ312<07>unodkoiazn_hlpp*bf|V{:W<9R_FLG[4?2WfUfyuQ>5^MVP9736:90dibaegopdYnfz~$hlzPq0Y23XY@FMU:58Q`_lw{[43XG\^7=80<2:qjchgcme~jSd`|t.fbpZw6S8=VSJ@K_0;6[jYj}qU:9RAZT=3=77=tangjhhb{a^kmwq)ci}Uz=V?8]^EM@Z7>=VeTaxvP14]LQQ:56:80dibaegopdYnfz~$hlzPq0Y23XY@FMU:58Q`_lw{[43XG\^7?3==;rkdidbbd}kTec}{/ecw[t7\9>WTKCJP187\kZkrpV;>SB[[<5<06>unodkoiazn_hlpp*bf|V{:W<9R_FLG[4?2WfUfyuQ>5^MVP939;;1xejcnddnweZoi{}%omyQ~1Z34YZAILV;29RaPmtz\50YH]]6=2><4sheneack|hUbb~z d`v\u4]6?TULBIQ>94]l[hsW8?TCXZ37?11?vo`ehnn`yoPioqw+agsWx;P=:SPGOF\5<3XgVg~tR?:_NWW8=84:2ybk`okemvb[lht|&njxR>[05^[BHCW83>SbQbuy]21ZIR\535=55|ifob``jsiVcey!kau]r5Z6602ybk`okemvb[lht|&njxR>_03:?vo`ehnn`yoPioqw+agsWx;T==?6;rkdidbbd}kTec}{/ecw[t7X98;27~ghm`ffhqgXagy#io{_p3\577>3zclaljjluc\mkus'mkS|?P123:?vo`ehnn`yoPioqw+agsWx;T=9?6;rkdidbbd}kTec}{/ecw[t7X9<;37~ghm`ffhqgXagy#io{_p3\64><{`mfmikct`]jjvr(lh~T}:46}fglcgairfW`dxx"jnt^s2[07?3zclaljjluc\mkus'mkS|?P60:8wlajimogxlQfnrv,`drXy8U<=55|ifob``jsiVcey!kau]r5Z>602ybk`okemvb[lht|&njxR>_837?vo`ehnn`yoPioqw+icXy8k0dibaegopdYnfz~$iQjn^s?4;7>3zclaljjluc\mkus'znTicQ~_13;?vo`ehnn`yoPioqw+vbh}}6;2<94sheneack|hUbb~z semvpZ66n2ybk`okemvb[lht|&ybk`oPl`v`l4:76;:0dibaegopdYnfz~$diba^nbpfn648:5>=5|ifob``jsiVcey!|ifob[igska;7=<0=0:qjchgcme~jSd`|t.qjchgXdh~hd<2>2?03?vo`ehnn`yoPioqw+vo`ehUgmymg1=30:76<{`mfmikct`]jjvr({`mfmRbntbj28429:91xejcnddnweZoi{}%xejcn_mcwgm7;9<49<6}fglcgairfW`dxx"}fglc\hdrd`86::30d8wlajimogxlQfnrv,wlajiVfjxnf><0<2b>unodkoiazn_hlpp*unodkT`lzlh0>1:4`<{`mfmikct`]jjvr({`mfmRbntbj28686n2ybk`okemvb[lht|&ybk`oPl`v`l4:368l0dibaegopdYnfz~$diba^nbpfn64<4:j6}fglcgairfW`dxx"}fglc\hdrd`86=2f:qjchgcme~jSd`|t.qjchgXdh~hd<27>0d8wlajimogxlQfnrv,wlajiVfjxnf><8<2a>unodkoiazn_hlpp*unodkT`lzlh0]35`=tangjhhb{a^kmwq)tangjSao{ci3\54`<{`mfmikct`]jjvr({`mfmRbntbj2[466n2ybk`okemvb[lht|&ybk`oPl`v`l4Y698l0dibaegopdYnfz~$diba^nbpfn6W88:j6}fglcgairfW`dxx"}fglc\hdrd`8U:?f:qjchgcme~jSd`|t.qjchgXdh~hd50d8wlajimogxlQfnrv,wlajiVfjxnf>_042b>unodkoiazn_hlpp*unodkT`lzlh0]234c<{`mfmikct`]jjvr({`mfmRbntbj2[77b3zclaljjluc\mkus'zclalQcauak5Z56m2ybk`okemvb[lht|&ybk`oPl`v`l4Y39l1xejcnddnweZoi{}%xejcn_mcwgm7X=8o0dibaegopdYnfz~$diba^nbpfn6W?;n7~ghm`ffhqgXagy#~ghm`]oeqeo9V=:i6}fglcgairfW`dxx"}fglc\hdrd`8U3=h5|ifob``jsiVcey!|ifob[igska;T511g9pmbkfllfmRgasu-p}keXlh~T}<2>1?3e?vo`ehnn`yoPioqw+vikVnjxR><00=5c=tangjhhb{a^kmwq)tqgiThlzPq0>27;7a3zclaljjluc\mkus'zseoRjnt^s284299o1xejcnddnweZoi{}%xucmPd`v\u4:6=7;n7~ghm`ffhqgXagy#~wac^fbpZw6484:i6}fglcgairfW`dxx"}vnb]geqYv9585=h5|ifob``jsiVcey!|yoa\`drXy8682e:qjchgcme~jSd`|t.qzjfYci}Uz=1811d9pmbkfllfmRgasu-p}keXlh~T}<28>0g8wlajimogxlQfnrv,w|hdWmkS|?38?3f?vo`ehnn`yoPioqw+vikVnjxR><8<2`>unodkoiazn_hlpp*u~fjUomyQ~1^22`>unodkoiazn_hlpp*u~fjUomyQ~1^32a>unodkoiazn_hlpp*u~fjUomyQ~1^335`=tangjhhb{a^kmwq)tqgiThlzPq0]254c<{`mfmikct`]jjvr({pdhSio{_p3\577b3zclaljjluc\mkus'zseoRjnt^s2[456m2ybk`okemvb[lht|&yrbnQkau]r5Z739l1xejcnddnweZoi{}%xucmPd`v\u4Y6=8n0dibaegopdYnfz~$t`l_ecw[t7X:8n0dibaegopdYnfz~$t`l_ecw[t7X;8n0dibaegopdYnfz~$t`l_ecw[t7X<8n0dibaegopdYnfz~$t`l_ecw[t7X=8n0dibaegopdYnfz~$t`l_ecw[t7X>8n0dibaegopdYnfz~$t`l_ecw[t7X?8n0dibaegopdYnfz~$t`l_ecw[t7X08n0dibaegopdYnfz~$t`l_ecw[t7X18;0dcld]pmbkfllfm;5|lnv3+75<{ee<"IAD^367ZiXOGNT=8=Po^OV\Z1>9Ve986}cou2,CKBX9<9TcRIAD^367ZiXE\RT;4?Po006?vjh|9%LBIQ>52]l[BHCW8?8SbQBUY]4=4Yh98837~b`t1-DJAY6=:UdSJ@K_070[jYJ]QU<5 Mlw{[rdXlh~Tc5?>0:qokq6(Wg:;5?Qfnw31?vjh|9%Tb=>62^kmr47692ygcy> _o23=7Ynf8:?6}cou2,[k671;Ubb{<>1028wiis8&Ue<=7:_hlu57=tdf~;#R`?087\mkp698:0aa{0.]m45??W`d}=?5|lnv3+Zh7802Tecx>1`9phjr7'jzd`<>4smmw4*uwaViggRka149phjr7'zzbSnbd_qpfw9699<1x`bz?/rrj[fjlWyxn1?1149phjr7'zzbSnbd_qpfw9499=1x`bz?/rrj[fjlWyxnR>>4:qokq6({ycToaePpsgp[4733zfdx=!|ph]`hnYwzlyT>n5|lnv3+vvnWld:>6}cou2,wuoXmgki`hQ~139phjr7'zzbS~obpskpa4d<{ee<"}i^vqekuam{ybvhQ~<1<2f>ukg}:$}gPtscmwccu{`pnS|2>>0c8wiis8&y{eRz}aoqeawunrlUzS=?n;rnlp5)tx`U~l`|fdppmcXyV;:?6}cou2,wuoX|qhgcnePqc9phjr7'}xjb~k4smmw4*qeWhi`Sbl4smmw4*|tx`%:;6}cou2,~vvn'DidyczPcnwmp4><{ee<"t|ph-Ngjsi|Vidycz>199phjr7'sy{e"Clotlw[firf}8:46}cou2,~vvn'DidyczPcnwmp67>3zfdx=!usqk,Ifirf}Uhcx`{303;?vjh|9%q}g MbmvjqYdg|d8<74smmw4*|tx`%Fob{at^alqkr398k0aa{0.xptl)JkfexRm`uov7547c3zfdx=!usqk,Ifirf}Uhcx`{4^`g`57?3zfdx=!usqk,Ifirf}Uhcx`{50;8wiis8&px|d!BcnwmpZeh}g~>=?>4smmw4*|tx`%Fob{at^alqkrXpfx7<3?>219phjr7'sy{e"Clotlw[firf}Usc2>>0314>ukg}:$v~~f/LalqkrXkfexRv`r=0=545>3zfdx=!usqk,IhsW}xjb~hjrrkya^7ZW~xbxhQ}ef]r_67ZWDsS zrrj+Zh78>>:=<;4smmw4*|tx`%Tb=>75^kmr40<{ee<"t|ph-\j56?=Vcez?90]jjs713zfdx=!usqk,[k6718Ubb{?>7:qokq6(rzzb#R`?083\mkp698?0aa{0.xptl)Xf9;;6}cou2,~vvn'jf`Sh`>129phjr7'sy{e"m`uov?4;743zfdx=!usqk,gjsi|5;5=>5|lnv3+uwa&idycz32?30?vjh|9%q}g cnwmp9599:1x`bz?/{qsm*eh}g~783?<;rnlp5)}{yc$ob{at=7=57=tdf~;#w}i.alqkrX8880aa{0.xptl)dg|dSukg}:$v~~f/bmvjqY49;1x`bz?/{qsm*eh}g~T8<<4smmw4*|tx`%hcx`{_430?vjh|9%q}g dn]pll`s9=1x`bz?/{qsm*bhWzbbjy?>a:qokq6(rzzb#diaosg\wdkwdlUzj6}cou2,~vvn'dexe<<4smmw4*|tx`%fyu??1031?vjh|9%q}g mtz25576;2ygcy> zrrj+hs98;:=<<4smmw4*|tx`%fyu?>1331?vjh|9%q}g mtz26476:2ygcy> zrrj+hs9:;:=?5|lnv3+uwa&g~t<:>1008wiis8&px|d!buy3654753zfdx=!usqk,ip~6>8;:>6}cou2,~vvn'ds=:?>139phjr7'sy{e"czx0:2544<{ee<"t|ph-nq}7>98;97~b`t1-ywuo(e|r9<3:qokq6(rzzb#`{w2032544<{ee<"t|ph-nq}469;;97~b`t1-ywuo(e|r9>2:qokq6(rzzb#`{w223257=tdf~;#w}i.ov|7269880aa{0.xptl)j}q8>=ukg}:$v~~f/lw{62769;1x`bz?/{qsm*krp;2:=<<4smmw4*|tx`%fyu<61031?vjh|9%q}g mtz04476;2ygcy> zrrj+hs;8;:=<<4smmw4*|tx`%fyu=>1332?vjh|9%q}g mtz754763zfdx=!usqk,ip~298;:7~b`t1-ywuo(e|r==;rnlp5)}{yc$axv81032?vjh|9%q}g mtz;54763zfdx=!usqk,ip~>98;37~b`t1-ywuo(zlkoSkh_p>3:4?<{ee<"t|ph-qadbXzlmT}1??>0;8wiis8&px|d!}e`f\v`aXy5;:2<74smmw4*|tx`%yiljPrde\u9756830aa{0.xptl)umhnT~hiPq=30:4?<{ee<"t|ph-qadbXzlmT}1?;>0;8wiis8&px|d!}e`f\v`aXy5;>2<74smmw4*|tx`%yiljPrde\u9716830aa{0.xptl)umhnT~hiPq=34:4?<{ee<"t|ph-qadbXzlmT}1?7>0;8wiis8&px|d!}e`f\v`aXy5;22<64smmw4*|tx`%yiljPrde\u979901x`bz?/{qsm*tbimUyijQ~<32=5<=tdf~;#w}i.pfeaYumnUz0??1189phjr7'sy{e"|jae]qabYv4;85=45|lnv3+uwa&xnmiQ}ef]r8759901x`bz?/{qsm*tbimUyijQ~<36=5<=tdf~;#w}i.pfeaYumnUz0?;1189phjr7'sy{e"|jae]qabYv4;<5=45|lnv3+uwa&xnmiQ}ef]r8719901x`bz?/{qsm*tbimUyijQ~<3:=5<=tdf~;#w}i.pfeaYumnUz0?71199phjr7'sy{e"|jae]qabYv4;4:56}cou2,~vvn'{ojhR|jg^s?758612ygcy> zrrj+wcflVxnkR330<2<>ukg}:$v~~f/sgb`ZtboV{7?3?7;rnlp5)}{yc$~hok_sgd[t:36820aa{0.xptl)umhnT~hiPq=7=5==tdf~;#w}i.pfeaYumnUz0;0>8:qokq6(rzzb#knd^pfcZw;?7;37~b`t1-ywuo(zlkoSkh_p>;:4><{ee<"t|ph-qadbXzlmT}171169phjr7'sy{e"|jae]qabYvW9;<7~b`t1-ywuo(zlkoSkh_p]25==tdf~;#w}i.pfeaYumnUzS<>>8:qokq6(rzzb#knd^pfcZwX98;37~b`t1-ywuo(zlkoSkh_p]264><{ee<"t|ph-qadbXzlmT}R?<199phjr7'sy{e"|jae]qabYvW8>:46}cou2,~vvn'{ojhR|jg^s\507?3zfdx=!usqk,v`gcW{olS|Q>60:8wiis8&px|d!}e`f\v`aXyV;<=55|lnv3+uwa&xnmiQ}ef]r[4>602ygcy> zrrj+wcflVxnkRP1834?vjh|9%q}g rdcg[wc`WxU9=55|lnv3+uwa&xnmiQ}ef]r[76602ygcy> zrrj+wcflVxnkRP203;?vjh|9%q}g rdcg[wc`WxU9><64smmw4*|tx`%yiljPrde\uZ44911x`bz?/{qsm*tbimUyijQ~_362<>ukg}:$v~~f/sgb`ZtboV{T>8?7;rnlp5)}{yc$~hok_sgd[tY5>820aa{0.xptl)umhnT~hiPq^045==tdf~;#w}i.pfeaYumnUzS?6>8:qokq6(rzzb#knd^pfcZwX:0;<7~b`t1-ywuo(zlkoSkh_p]05==tdf~;#w}i.pfeaYumnUzS>>>8:qokq6(rzzb#knd^pfcZwX;8;<7~b`t1-ywuo(zlkoSkh_p]752=tdf~;#w}i.pfeaYumnUzS8?8;rnlp5)}{yc$~hok_sgd[tY19>1x`bz?/{qsm*tbimUyijQ~_634?vjh|9%q}g rdcg[wc`WxU3=:5|lnv3+uwa&xnmiQ}ef]r[<753zfdx=!usqk,v`gcqV{:n6}cou2,~vvn'{ojhtQ~_fnvaZtt|:80aa{0.xptl)szhdxjh||i{gX5XYpz`~nSkh_pY05XYJ}qU:Sb?6;rnlp5)}{yc${g{e^pfcZw;87;j7~b`t1-ywuo({ciR|jg^s?5586i2ygcy> zrrj+rtn|lUyijQ~<03=5d=tdf~;#w}i.uqmqcXzlmT}1?=>0c8wiis8&px|d!xrhvf[wc`Wx6:?3?n;rnlp5)}{yc${g{e^pfcZw;9=4:m6}cou2,~vvn'~xbxhQ}ef]r84399h1x`bz?/{qsm*qua}oT~hiPq=35:4g<{ee<"t|ph-tvlrbW{olS|2>7?3b?vjh|9%q}g wskwaZtboV{7=50>a:qokq6(rzzb#z|ftd]qabYv4835=45|lnv3+uwa&}yeykPrde\u9799h1x`bz?/{qsm*qua}oT~hiPq=03:4g<{ee<"t|ph-tvlrbW{olS|2=1?3b?vjh|9%q}g wskwaZtboV{7>?0>a:qokq6(rzzb#z|ftd]qabYv4;95=l5|lnv3+uwa&}yeykPrde\u94368k0aa{0.xptl)pz`~nSkh_p>11;7f3zfdx=!usqk,swosmVxnkR327<2e>ukg}:$v~~f/vpjp`YumnUz0?911`9phjr7'sy{e"y}iug\v`aXy5832ukg}:$v~~f/vpjp`YumnUz0>?1189phjr7'sy{e"y}iug\v`aXy595=45|lnv3+uwa&}yeykPrde\u929901x`bz?/{qsm*qua}oT~hiPq=7=5<=tdf~;#w}i.uqmqcXzlmT}181189phjr7'sy{e"y}iug\v`aXy5=5=45|lnv3+uwa&}yeykPrde\u9>9901x`bz?/{qsm*qua}oT~hiPq=;=7==tdf~;#w}i.uqmqcXzlmT}V=>]^uqmqcXzlmT}V=?]^ov|Z73WF__0=0<9:qokq6(rzzb#z|ftd]qabYvS:;VSz|ftd]qabYvS::VS`{w_06\KPR;994856}cou2,~vvn'~xbxhQ}ef]r_67ZW~xbxhQ}ef]r_66ZWdsS<:POTV?548412ygcy> zrrj+rtn|lUyijQ~[23^[rtn|lUyijQ~[22^[hsW8>TCXZ313<0=>ukg}:$v~~f/vpjp`YumnUzW>?R_vpjp`YumnUzW>>R_lw{[42XG\^7=>0<9:qokq6(rzzb#z|ftd]qabYvS:;VSz|ftd]qabYvS::VS`{w_06\KPR;9=4856}cou2,~vvn'~xbxhQ}ef]r_67ZW~xbxhQ}ef]r_66ZWdsS<:POTV?508412ygcy> zrrj+rtn|lUyijQ~[23^[rtn|lUyijQ~[22^[hsW8>TCXZ317<0=>ukg}:$v~~f/vpjp`YumnUzW>?R_vpjp`YumnUzW>>R_lw{[42XG\^7=:0<9:qokq6(rzzb#z|ftd]qabYvS:;VSz|ftd]qabYvS::VS`{w_06\KPR;914856}cou2,~vvn'~xbxhQ}ef]r_67ZW~xbxhQ}ef]r_66ZWdsS<:POTV?5<8402ygcy> zrrj+rtn|lUyijQ~[23^[rtn|lUyijQ~[22^[hsW8>TCXZ31?1:?vjh|9%q}g wskwaZtboV{P?16;5>3zfdx=!usqk,swosmVxnkRT30_\swosmVxnkRT31_\ip~X9=UDYY2=3?1:?vjh|9%q}g wskwaZtboV{P?12;5>3zfdx=!usqk,swosmVxnkRT30_\swosmVxnkRT31_\ip~X9=UDYY2=7?1:?vjh|9%q}g wskwaZtboV{P?1:6?<{ee<"t|ph-tvlrbW{olS|U<1\]tvlrbW{olS|U<0\]nq}Y62;8wiis8&px|d!xrhvf[wc`WxQ8=PQxrhvf[wc`WxQ864smmw4*|tx`%|~dzj_sgd[t]49TU|~dzj_sgd[t]48TUfyuQ>4^MVP959;11x`bz?/{qsm*qua}oT~hiPqZ12YZqua}oT~hiPqZ13YZkrpV;?SB[[<5<0<>ukg}:$v~~f/vpjp`YumnUzW>?R_vpjp`YumnUzW>>R_lw{[42XG\^793=7;rnlp5)}{yc${g{e^pfcZw\;8WT{g{e^pfcZw\;9WTaxvP15]LQQ:16:20aa{0.xptl)pz`~nSkh_pY05XYpz`~nSkh_pY04XYj}qU:8RAZT=5=7==tdf~;#w}i.uqmqcXzlmT}V=>]^uqmqcXzlmT}V=?]^ov|Z73WF__050<8:qokq6(rzzb#z|ftd]qabYvS:;VSz|ftd]qabYvS::VS`{w_06\KPR;17;37~b`t1-ywuo({ciR|jg^s\44><{ee<"t|ph-tvlrbW{olS|Q>189phjr7'sy{e"y}iug\v`aXyV;;=45|lnv3+uwa&}yeykPrde\uZ76901x`bz?/{qsm*qua}oT~hiPq^315<=tdf~;#w}i.uqmqcXzlmT}R?<189phjr7'sy{e"y}iug\v`aXyV;?=45|lnv3+uwa&}yeykPrde\uZ72901x`bz?/{qsm*qua}oT~hiPq^355<=tdf~;#w}i.uqmqcXzlmT}R?8189phjr7'sy{e"y}iug\v`aXyV;3=45|lnv3+uwa&}yeykPrde\uZ7>911x`bz?/{qsm*qua}oT~hiPq^02=>ukg}:$v~~f/vpjp`YumnUzS?>>9:qokq6(rzzb#z|ftd]qabYvW;;:56}cou2,~vvn'~xbxhQ}ef]r[74612ygcy> zrrj+rtn|lUyijQ~_312=>ukg}:$v~~f/vpjp`YumnUzS?:>9:qokq6(rzzb#z|ftd]qabYvW;?:56}cou2,~vvn'~xbxhQ}ef]r[70612ygcy> zrrj+rtn|lUyijQ~_352=>ukg}:$v~~f/vpjp`YumnUzS?6>9:qokq6(rzzb#z|ftd]qabYvW;3:46}cou2,~vvn'~xbxhQ}ef]r[67>3zfdx=!usqk,swosmVxnkRP313:?vjh|9%q}g wskwaZtboV{T?8:qokq6(rzzb#z|ftd]qabYvW>;37~b`t1-ywuo({ciR|jg^s\<4><{ee<"t|ph-tvlrbW{olS|Q6e:qokq6(rzzboae 2c9phjr7'sy{enbd/FLG[432WfULBIQ>54]l[HS_W>=9Sb9RaPMTZ\325Xg88<7~b`t1-ywuoddb%LBIQ>54]l[fjlW`UFYUQ870]l6==tdf~;#w}ibnh+BHCW8?>SbQllj]j[HS_W>=:Sb?>8:qokq6(rzzboae _o2307Ynf;j7~b`t1-ywuoddb%Tb=>;2^kmr47602ygcy> zrrjgim(Wg:;88Qfnw3:?vjh|9%q}gllj-\j563=Vcez85|lnv3+uwajf`#}| MbmvjqYdg|diQly=3=6==tdf~;#w}ibnh+ut(Eje~byQlotlwawYdq5;5Sz=5:qokq6(rzzboae ps-Ngjsi|Vidyczjr^az878502ygcy> zrrjgim(x{%Fob{at^alqkrbzVir0?0Pru06?vjh|9%q}gllj-sv*Kdg|dSnaznugq[f;;7837~b`t1-ywuoddb%{~"Clotlw[firf}oySnw33?]qp73<{ee<"t|phaoo*vu'DidyczPcnwmp`tXkp6?2?64smmw4*|tx`igg"~}/LalqkrXkfexh|Pcx>7:Zts:<1x`bz?/{qsmfjl'yx$Anaznu]`kphsm{Uhu1;1299phjr7'sy{enbd/qp,Ifirf}Uhcx`{es]`}939W{~996}cou2,~vvnkea$|!BcnwmpZeh}g~n~Rmv<7<1<>ukg}:$v~~fcmi,tw)JkfexRm`uovfvZe~4?4T~y<:;rnlp5)}{ych`f!r.O`kphsWje~byk}_b{?3;4?3zfdx=!usqk`hn)wz&Ghcx`{_bmvjqcuWjs7;3Q}t378wiis8&px|dmck.rq+Heh}g~Tob{atdp\g|:?6;20aa{0.xptlekc&zy#@m`uov\gjsi|lxTot27>^pw63=tdf~;#w}ibnh+ut(Eje~byQlotlwawYk}}6;2?m4smmw4*|tx`igg"~}/LalqkrXkfexh|Pltv?4;YNF_U;>;5|lnv3+uwajf`#}| MbmvjqYdg|diQwos>3:70<{ee<"t|phaoo*vu'DidyczPcnwmp`tXpfx7=3<9;rnlp5)}{ych`f!r.O`kphsWje~byk}_ymq8785>2ygcy> zrrjgim(x{%Fob{at^alqkrbzVrd~1=1279phjr7'sy{enbd/qp,Ifirf}Uhcx`{es]{kw:36;<0aa{0.xptlekc&zy#@m`uov\gjsi|lxTtb|35?05?vjh|9%q}gllj-sv*Kdg|dSnaznugq[}iu4?49:6}cou2,~vvnkea$|!BcnwmpZeh}g~n~Rv`r=5=63=tdf~;#w}ibnh+ut(Eje~byQlotlwawYg{632?84smmw4*|tx`igg"~}/LalqkrXkfexh|Pxnp?=;4>3zfdx=!usqk`hn)wz&Ghcx`{_bmvjqcuWqey040Pru3f?vjh|9%q}gllj-sv*Kj}qUnzh`{_h32b>ukg}:$v~~fcmi,tw)Je|rTi{kat^k254`<{ee<"t|phaoo*vu'Dg~tRkyeov\m446n2ygcy> zrrjgim(x{%FaxvPewgmpZo6;8l0aa{0.xptlekc&zy#@czx^guakrXa8>:j6}cou2,~vvnkea$|!Bmtz\asci|Vc:9e:qokq6(rzzboae ps-Qavsk|585SK\M1d9phjr7'sy{enbd/qp,V`urd}682RH]B0g8wiis8&px|dmck.rq+Wct}e~783QIRC3f?vjh|9%q}gllj-sv*Tb{|f080PFS@2a>ukg}:$v~~fcmi,tw)Umzgx181_GPA5`=tdf~;#w}ibnh+ut(Zly~`y28>^DQF4c<{ee<"t|phaoo*vu'[oxyaz38?]EVG7b3zfdx=!usqk`hn)wz&Xnxb{<8<\BWD612ygcy> zrrjgim(x{%hg<>=4^s23>ukg}:$v~~fcmi,tw)dc8 zrrjgim(x{%hcx`{es>0:4d<{ee<"t|phaoo*vu'je~byk}<4<2f>ukg}:$v~~fcmi,tw)dg|di28>0`8wiis8&px|dmck.rq+firf}oy040>a:qokq6(rzzboae ps-`kphsm{U;=l5|lnv3+uwajf`#}| cnwmp`tX98k0aa{0.xptlekc&zy#naznugq[77f3zfdx=!usqk`hn)wz&idyczjr^12e>ukg}:$v~~fcmi,tw)dg|diQ:1`9phjr7'sy{enbd/qp,gjsi|lxT; zrrjgim(x{oxolbj_p>2:4d<{ee<"t|phaoo*vumzij`hQ~<3<2e>ukg}:$v~~fcmi,twctkhfnS|Q?1`9phjr7'sy{enbd/qpfwfgkmV{T=ukg}:$v~~fcmi,vphiagmT}RGAV^144Zi502ygcy> zrrjgim(z|deeciPq^KMRZ508Ve:SD@Y_137?vjh|9%q}gllj-ptlekc;90aa{0.xptlekc&y{enbd_brlhZKRPV==8Ra=4:qokq6(rzzboae sqk`hnYdxffTAXVP776\k40<{ee="<<;rnlp4)@FMU:9>Q`_FLG[434WfUFYUQ890]l61=tdf~:#J@K_070[jY@FMU:9>Q`_LW[[2?6Wf;996}cou3,CKBX9<9TcRIAD^367ZiXE\RT;4?Po031<>ukg};$KCJP141\kZAILV;>?RaPMTZ\3<7XgVhoh=?9;rnlp4)Je|rT{oQkau]l637682ygcy? _o23=7Ynf;97~b`t0-\j56>:Vcez1:qokq7(Wg:;5?Qfnw027>ukg};$Sc>?93]jjs4698:0aa{1.]m45?2W`d}=?5|lnv2+Zh780?Tecx>1028wiis9&Ue<=77_hlu57=tdf~:#R`?08:\mkp69h1x`bz>/brlh46<{ee="}i^aooZci9<1x`bz>/rrj[fjlWyxn1>1149phjr6'zzbSnbd_qpfw9799<1x`bz>/rrj[fjlWyxn1<1159phjr6'zzbSnbd_qpfwZ66<2ygcy? sqk\gimXx{oxSukg};$}gPeocah`Yv9;1x`bz>/rrj[vgjx{cxiukg};$}gPty`okfmXyk1x`bz>/upbjvc<{ee="ym_`ah[jd<{ee="t|ph-23>ukg};$v~~f/LalqkrXkfex<64smmw5*|tx`%Fob{at^alqkr6911x`bz>/{qsm*Kdg|dSnaznu02<>ukg};$v~~f/LalqkrXkfex>?6;rnlp4)}{yc$Anaznu]`kphs;8;37~b`t0-ywuo(Eje~byQlotlw04?<{ee="t|ph-Ngjsi|Vidycz;10c8wiis9&px|d!BcnwmpZeh}g~?=/{qsm*Kdg|dSnaznu]{kw:668;9<6}cou3,~vvn'DidyczPcnwmpZ~hz585=<=6;rnlp4)}{yc$A`{w_upbjv`bzzcqiV?R_vpjp`YumnUzW>?R_Lw{[4Yh98;97~b`t0-ywuo(Wg:;;9?>3:qokq7(rzzb#R`?0662543<{ee="t|ph-\j56?=Vcez<84smmw5*|tx`%Tb=>75^kmr4723zfdx?90]jjs76?2ygcy? zrrj+Zh780;Tecx>1078wiis9&px|d!Pn1334Zoi~8=0aa{1.xptl)Xf9;;ukg};$v~~f/bnh[`h69:1x`bz>/{qsm*eh}g~7<3?<;rnlp4)}{yc$ob{at=3=56=tdf~:#w}i.alqkr;:7;87~b`t0-ywuo(kfex1=1129phjr6'sy{e"m`uov?0;743zfdx6}cou3,~vvn'je~byQ<139phjr6'sy{e"m`uov\044<{ee="t|ph-`kphsW<;87~b`t0-ywuo(lfUxddh{159phjr6'sy{e"j`_rjjbq76i2ygcy? zrrj+laig{oTlcld]rb>ukg};$v~~f/lmpm44<{ee="t|ph-nq}7798;97~b`t0-ywuo(e|r:==?>3:qokq7(rzzb#`{w1032544<{ee="t|ph-nq}769;;97~b`t0-ywuo(e|r:>2:qokq7(rzzb#`{w123257=tdf~:#w}i.ov|4269880aa{1.xptl)j}q;>=60326>ukg};$v~~f/lw{52769;1x`bz>/{qsm*krp82:=<<4smmw5*|tx`%fyu?61031?vjh|8%q}g mtz14476;2ygcy? zrrj+hs:8;:=<<4smmw5*|tx`%fyu<>1331?vjh|8%q}g mtz16476:2ygcy? zrrj+hs::;:=?5|lnv2+uwa&g~t?:>1008wiis9&px|d!buy0654753zfdx8;:>6}cou3,~vvn'ds>:?>139phjr6'sy{e"czx3:2544<{ee="t|ph-nq}4>98;97~b`t0-ywuo(e|r8<3:qokq7(rzzb#`{w3032544<{ee="t|ph-nq}569;;:7~b`t0-ywuo(e|r?=;rnlp4)}{yc$axv:1032?vjh|8%q}g mtz554763zfdx;rnlp4)}{yc$axv6103;?vjh|8%q}g rdcg[wc`Wx6;2<74smmw5*|tx`%yiljPrde\u9776830aa{1.xptl)umhnT~hiPq=32:4?<{ee="t|ph-qadbXzlmT}1?=>0;8wiis9&px|d!}e`f\v`aXy5;82<74smmw5*|tx`%yiljPrde\u9736830aa{1.xptl)umhnT~hiPq=36:4?<{ee="t|ph-qadbXzlmT}1?9>0;8wiis9&px|d!}e`f\v`aXy5;<2<74smmw5*|tx`%yiljPrde\u97?6830aa{1.xptl)umhnT~hiPq=3::4><{ee="t|ph-qadbXzlmT}1?1189phjr6'sy{e"|jae]qabYv4;:5=45|lnv2+uwa&xnmiQ}ef]r8779901x`bz>/{qsm*tbimUyijQ~<30=5<=tdf~:#w}i.pfeaYumnUz0?=1189phjr6'sy{e"|jae]qabYv4;>5=45|lnv2+uwa&xnmiQ}ef]r8739901x`bz>/{qsm*tbimUyijQ~<34=5<=tdf~:#w}i.pfeaYumnUz0?91189phjr6'sy{e"|jae]qabYv4;25=45|lnv2+uwa&xnmiQ}ef]r87?9911x`bz>/{qsm*tbimUyijQ~<3<2=>ukg};$v~~f/sgb`ZtboV{7?=0>9:qokq7(rzzb#knd^pfcZw;;84:46}cou3,~vvn'{ojhR|jg^s?7;7?3zfdx0:8wiis9&px|d!}e`f\v`aXy5?5=55|lnv2+uwa&xnmiQ}ef]r838602ygcy? zrrj+wcflVxnkR37?3;?vjh|8%q}g rdcg[wc`Wx632<64smmw5*|tx`%yiljPrde\u9?99>1x`bz>/{qsm*tbimUyijQ~_134?vjh|8%q}g rdcg[wc`WxU:=55|lnv2+uwa&xnmiQ}ef]r[46602ygcy? zrrj+wcflVxnkRP103;?vjh|8%q}g rdcg[wc`WxU:><64smmw5*|tx`%yiljPrde\uZ74911x`bz>/{qsm*tbimUyijQ~_062<>ukg};$v~~f/sgb`ZtboV{T=8?7;rnlp4)}{yc$~hok_sgd[tY6>820aa{1.xptl)umhnT~hiPq^345==tdf~:#w}i.pfeaYumnUzS<6>8:qokq7(rzzb#knd^pfcZwX90;<7~b`t0-ywuo(zlkoSkh_p]15==tdf~:#w}i.pfeaYumnUzS?>>8:qokq7(rzzb#knd^pfcZwX:8;37~b`t0-ywuo(zlkoSkh_p]164><{ee="t|ph-qadbXzlmT}R<<199phjr6'sy{e"|jae]qabYvW;>:46}cou3,~vvn'{ojhR|jg^s\607?3zfdx602ygcy? zrrj+wcflVxnkRP2834?vjh|8%q}g rdcg[wc`WxU8=55|lnv2+uwa&xnmiQ}ef]r[66602ygcy? zrrj+wcflVxnkRP3034?vjh|8%q}g rdcg[wc`WxU?=:5|lnv2+uwa&xnmiQ}ef]r[0703zfdx;<7~b`t0-ywuo(zlkoSkh_p];52=tdf~:#w}i.pfeaYumnUzS4?=;rnlp4)}{yc$~hoky^s2f>ukg};$v~~f/sgb`|YvWnf~iR||t208wiis9&px|d!{r`lpb`ttasoP=PQxrhvf[wc`WxQ8=PQBuy]2[j7>3zfdxa:qokq7(rzzb#z|ftd]qabYv48;5=l5|lnv2+uwa&}yeykPrde\u97568k0aa{1.xptl)pz`~nSkh_p>27;7f3zfdxukg};$v~~f/vpjp`YumnUz0<;11`9phjr6'sy{e"y}iug\v`aXy5;=20c8wiis9&px|d!xrhvf[wc`Wx6993?n;rnlp4)}{yc${g{e^pfcZw;:?4:m6}cou3,~vvn'~xbxhQ}ef]r87199h1x`bz>/{qsm*qua}oT~hiPq=0;:4g<{ee="t|ph-tvlrbW{olS|2=9?3:?vjh|8%q}g wskwaZtboV{7>3?n;rnlp4)}{yc${g{e^pfcZw;;94:m6}cou3,~vvn'~xbxhQ}ef]r8679901x`bz>/{qsm*qua}oT~hiPq=1=5<=tdf~:#w}i.uqmqcXzlmT}1:1189phjr6'sy{e"y}iug\v`aXy5?5=45|lnv2+uwa&}yeykPrde\u909901x`bz>/{qsm*qua}oT~hiPq=5=5<=tdf~:#w}i.uqmqcXzlmT}161189phjr6'sy{e"y}iug\v`aXy535?55|lnv2+uwa&}yeykPrde\u^56UV}yeykPrde\u^57UVg~tR?;_NWW858412ygcy? zrrj+rtn|lUyijQ~[23^[rtn|lUyijQ~[22^[hsW8>TCXZ311<0=>ukg};$v~~f/vpjp`YumnUzW>?R_vpjp`YumnUzW>>R_lw{[42XG\^7=<0<9:qokq7(rzzb#z|ftd]qabYvS:;VSz|ftd]qabYvS::VS`{w_06\KPR;9;4856}cou3,~vvn'~xbxhQ}ef]r_67ZW~xbxhQ}ef]r_66ZWdsS<:POTV?568412ygcy? zrrj+rtn|lUyijQ~[23^[rtn|lUyijQ~[22^[hsW8>TCXZ315<0=>ukg};$v~~f/vpjp`YumnUzW>?R_vpjp`YumnUzW>>R_lw{[42XG\^7=80<9:qokq7(rzzb#z|ftd]qabYvS:;VSz|ftd]qabYvS::VS`{w_06\KPR;9?4856}cou3,~vvn'~xbxhQ}ef]r_67ZW~xbxhQ}ef]r_66ZWdsS<:POTV?528412ygcy? zrrj+rtn|lUyijQ~[23^[rtn|lUyijQ~[22^[hsW8>TCXZ319<0=>ukg};$v~~f/vpjp`YumnUzW>?R_vpjp`YumnUzW>>R_lw{[42XG\^7=40<8:qokq7(rzzb#z|ftd]qabYvS:;VSz|ftd]qabYvS::VS`{w_06\KPR;97927~b`t0-ywuo({ciR|jg^sX74[X{ciR|jg^sX75[Xe|rT=9Q@UU>14;5>3zfdx3=6;rnlp4)}{yc${g{e^pfcZw\;8WT{g{e^pfcZw\;9WTaxvP15]LQQ:5;7927~b`t0-ywuo({ciR|jg^sX74[X{ciR|jg^sX75[Xe|rT=9Q@UU>10;5>3zfdx1<;5>3zfdx74smmw5*|tx`%|~dzj_sgd[t]49TU|~dzj_sgd[t]48TUfyuQ>4^MVP9576:30aa{1.xptl)pz`~nSkh_pY05XYpz`~nSkh_pY04XYj}qU:8RAZT=12:6><{ee="t|ph-tvlrbW{olS|U<1\]tvlrbW{olS|U<0\]nq}Y62:8wiis9&px|d!xrhvf[wc`WxQ8=PQxrhvf[wc`WxQ8TCXZ39?3;?vjh|8%q}g wskwaZtboV{T<<64smmw5*|tx`%|~dzj_sgd[tY6901x`bz>/{qsm*qua}oT~hiPq^335<=tdf~:#w}i.uqmqcXzlmT}R?>189phjr6'sy{e"y}iug\v`aXyV;9=45|lnv2+uwa&}yeykPrde\uZ74901x`bz>/{qsm*qua}oT~hiPq^375<=tdf~:#w}i.uqmqcXzlmT}R?:189phjr6'sy{e"y}iug\v`aXyV;==45|lnv2+uwa&}yeykPrde\uZ70901x`bz>/{qsm*qua}oT~hiPq^3;5<=tdf~:#w}i.uqmqcXzlmT}R?6199phjr6'sy{e"y}iug\v`aXyV8:56}cou3,~vvn'~xbxhQ}ef]r[76612ygcy? zrrj+rtn|lUyijQ~_332=>ukg};$v~~f/vpjp`YumnUzS?<>9:qokq7(rzzb#z|ftd]qabYvW;9:56}cou3,~vvn'~xbxhQ}ef]r[72612ygcy? zrrj+rtn|lUyijQ~_372=>ukg};$v~~f/vpjp`YumnUzS?8>9:qokq7(rzzb#z|ftd]qabYvW;=:56}cou3,~vvn'~xbxhQ}ef]r[7>612ygcy? zrrj+rtn|lUyijQ~_3;2<>ukg};$v~~f/vpjp`YumnUzS>?6;rnlp4)}{yc${g{e^pfcZwX;9;27~b`t0-ywuo({ciR|jg^s\747?3zfdx=55|lnv2+uwa&}yeykPrde\uZ0602ygcy? zrrj+rtn|lUyijQ~_63;?vjh|8%q}g wskwaZtboV{T4<64smmw5*|tx`%|~dzj_sgd[tY>m2ygcy? zrrjgim(:k1x`bz>/{qsmfjl'NDOS<;:_n]DJAY6=9RaPGOF\503XgVG^TR983^m1g>ukg};$v~~fcmi,CKBX955|lnv2+uwajf`#J@K_076[jYddbUbS@[W_652[j7602ygcy? zrrjgim(Wg:;8?Qfnw3b?vjh|8%q}gllj-\j563:Vcez8:qokq7(rzzboae _o2300Ynf;27~b`t0-ywuoddb%Tb=>;5^kmr47?3zfdxW`d}=/{qsmfjl'yx$>85|lnv2+uwajf`#}| MbmvjqYdg|diQly=2=60=tdf~:#w}ibnh+ut(Eje~byQlotlwawYdq5;5>55|lnv2+uwajf`#}| MbmvjqYdg|diQly=3=[wr5=2ygcy? zrrjgim(x{%Fob{at^alqkrbzVir0?0=8:qokq7(rzzboae ps-Ngjsi|Vidyczjr^az878Xz}8>7~b`t0-ywuoddb%{~"Clotlw[firf}oySnw33?0;?vjh|8%q}gllj-sv*Kdg|dSnaznugq[f;;7Uyx?;4smmw5*|tx`igg"~}/LalqkrXkfexh|Pcx>7:7><{ee="t|phaoo*vu'DidyczPcnwmp`tXkp6?2R|{249phjr6'sy{enbd/qp,Ifirf}Uhcx`{es]`}939:11x`bz>/{qsmfjl'yx$Anaznu]`kphsm{Uhu1;1_sv11>ukg};$v~~fcmi,tw)JkfexRm`uovfvZe~4?4946}cou3,~vvnkea$|!BcnwmpZeh}g~n~Rmv<7<\vq423zfdx3:8wiis9&px|dmck.rq+Heh}g~Tob{atdp\g|:?6Vx>;5|lnv2+uwajf`#}| MbmvjqYdg|diQcuu>3:7e<{ee="t|phaoo*vu'DidyczPcnwmp`tXd|~7<3QFNW]363=tdf~:#w}ibnh+ut(Eje~byQlotlwawYg{6;2?84smmw5*|tx`igg"~}/LalqkrXkfexh|Pxnp?5;413zfdx/{qsmfjl'yx$Anaznu]`kphsm{Usc2;>348wiis9&px|dmck.rq+Heh}g~Tob{atdp\|jt;=78=7~b`t0-ywuoddb%{~"Clotlw[firf}oySua}<7<12>ukg};$v~~fcmi,tw)JkfexRm`uovfvZ~hz5=5>;5|lnv2+uwajf`#}| MbmvjqYdg|diQwos>;:70<{ee="t|phaoo*vu'DidyczPcnwmp`tXpfx753<6;rnlp4)}{ych`f!r.O`kphsWje~byk}_ymq8<8Xz};n7~b`t0-ywuoddb%{~"Cbuy]fr`hsW`;:j6}cou3,~vvnkea$|!Bmtz\asci|Vc:=f:qokq7(rzzboae ps-Nip~XmoexRg>30d8wiis9&px|dmck.rq+HkrpVo}iczPi062b>ukg};$v~~fcmi,tw)Je|rTi{kat^k214c<{ee="t|phaoo*vu'[oxyaz30?]EVG7b3zfdx/{qsmfjl'yx$^h}zlu>0:Z@UJ8o0aa{1.xptlekc&zy#_k|umv?0;YAZK;n7~b`t0-ywuoddb%{~"\jstnw808XN[H:i6}cou3,~vvnkea$|!]erwop909WOXI=h5|lnv2+uwajf`#}| Rdqvhq:06VLYN9:qokq7(rzzboae ps-`o465<{ee="t|phaoo*vu'ja99;Q~179phjr6'sy{enbd/qp,gn4Xy8<0aa{1.xptlekc&zy#ne;_p34?vjh|8%q}gllj-sv*el>=Uz=;5|lnv2+uwajf`#}| cj:\u4d<{ee="t|phaoo*vu'je~byk}<1<2f>ukg};$v~~fcmi,tw)dg|di2>>0`8wiis9&px|dmck.rq+firf}oy0?0>b:qokq7(rzzboae ps-`kphsm{682/{qsmfjl'yx$ob{atdp\34g<{ee="t|phaoo*vu'je~byk}_83`?vjh|8%q}gllj-sv`udieoTi{kat0`8wiis9&px|dmck.rqavefdlUz0=0>b:qokq7(rzzboae psgpgdjbWx6:2/{qsmfjl'yxnnoce^s\54g<{ee="t|phaoo*vumzij`hQ~_33`?vjh|8%q}gllj-sv`udieoT~h}jt058wiis9&px|dmck.pvjkoioV{9=6}cou3,~vvnkea$~x`aioe\uZOI^V9<Tc<94smmw54)692ygcy?>/^l341>Xag|:>6}cou32+Zh78=2Tecx>169phjr69&njxlQ}e`fz[aj~Wx;=7~b`t03,`lpnllxT~uQ~<1<23>ukg};:#igyiegq[w~Xy5;;2<94smmw54)cacoiQ}x^s?5486?2ygcy?>/ekumacuW{rT}1?=>058wiis98%oe{gkes]q|Zw;9:4:;6}cou32+aoqamoySvPq=37:41<{ee=6:qokq76'mc}eik}_sz\u9799?1x`bz>1.fjrlbbzVxsS|2=>048wiis98%oe{gkes]q|Zw;;7;=7~b`t03,`lpnllxT~uQ~<5<22>ukg};:#igyiegq[w~Xy5?5=;5|lnv25*bn~`nn~R|w_p>5:40<{ee=2ygcy?>/ekumacuW{rT}171149phjr69&nbzdjjr^p{[tY79<1x`bz>1.fjrlbbzVxsS|Q>179phjr69&nbzdjjr^p{[tY688<0aa{10-gmsocm{UytRP1035?vjh|8;$hdxfddp\v}YvW88::6}cou32+aoqamoySvPq^3053=tdf~:="jfvhffvZtWxU:8<84smmw54)cacoiQ}x^s\50723zfdx2ygcy?>/epfeaXyVxxx}a{149phjr69&lbjbQfnrvbjfc(9m1x`bz>1.djbjYnfz~jbnk BTQ\4570;81x`bz>1.djbjYnfz~jbnk MbmvjqYulhno~Rv`r=2=54YNF_U;>o5|lnv25*`nnfUbb~znnbg,Ifirf}Uyhljkr^zlv979988i7~b`t03,bl`hW`dxxl`le.O`kphsW{njhi|Pxnp?6;76:k1x`bz>1.djbjYnfz~jbnk MbmvjqYulhno~Rv`r=1=54563zfdx1.djbjYnfz~jbnk MbmvjqYpzhno~Rv`r=0=544e3zfdxukg};:#kgio^kmwqgikl%F~lcPmdolv|5592ygcy?>/gkekZoi{}keoh!Br`o\i`khzp>9=6}cou32+coagVceyoacd-NvdkXelgd~t;=1:qokq76'ocmcRgasucmg`)JzhgTahc`rx415>ukg};:#kgio^kmwqgikl%F~lcPmdolv|1592ygcy?>/gkekZoi{}keoh!Br`o\i`khzp2:i6}cou32+coagVceyoacd-Qavsk|5:5=k5|lnv25*`nnfUbb~znnbg,V`urd}6;2f:qokq76'ocmcRgasucmg`)Umzgx1?110g8wiis98%mekaPioqwekeb'[oxyaz32?3e?vjh|8;$jdh`_hlppdhdm&Xnxb{<3<25`=tdf~:="hffn]jjvrffjo$^h}zlu>0:4`<{ee=/gkekZoi{}keoh!Pn120=9699l1x`bz>1.djbjYnfz~jbnk _o237<:668o0aa{10-emciXagymcmj/^l346?;:7;n7~b`t03,bl`hW`dxxl`le.]m455>4:4:i6}cou32+coagVceyoacd-\j56415>5=h5|lnv25*`nnfUbb~znnbg,[k67;06>2a:qokq76'ocmcRgasucmg`)bey~r=n5|lnv25*`nnfUbb~znnbg,ahvsqV|:i6}cou32+coagVceyoacd-fiur~W>:=k5|lnv25*`nnfUbb~znnbg,ahvsqV|?=9<6}cou32+coagVceyoacd-eqijX~=UX[=Tc?j4smmw54)aaoeTec}{aoaf+wbXzmkohU<]^CM@Z7><=Ud=e:qokq76'ocmcRgasucmg`)ulhno~1<11d9phjr69&lbjbQfnrvbjfc(zmkoh2<>0f8wiis98%mekaPioqwekeb'{njhi|P00f8wiis98%mekaPioqwekeb'{njhi|P10f8wiis98%mekaPioqwekeb'{njhi|P20f8wiis98%mekaPioqwekeb'{njhi|P30a8wiis98%mekaPioqwekeb'{ojh1>11b9phjr69&lbjbQfnrvbjfc(zlko0<0>c:qokq76'ocmcRgasucmg`)umhn7>3?l;rnlp47(n`ldSd`|t`l`a*tbim6825=n5|lnv25*`nnfUbb~znnbg,v`gc4<4:o6}cou32+coagVceyoacd-qadb;>7;h7~b`t03,bl`hW`dxxl`le.pfea:068h0aa{10-emciXagymcmj/sgb`Z66j2ygcy?>/gkekZoi{}keoh!}e`f\54d<{ee=ukg};:#kgio^kmwqgikl%yiljP30`8wiis98%mekaPioqwekeb'{ojhR:>b:qokq76'ocmcRgasucmg`)umhnT91.djbjYnfz~jbnk ws]tvdbczR9VSL@K_0;77Zi69l1x`bz>1.djbjYnfz~jbnk wscg`w:768o0aa{10-emciXagymcmj/vpb`at;97;n7~b`t03,bl`hW`dxxl`le.uqeabu4;4:i6}cou32+coagVceyoacd-tvdbcz595=i5|lnv25*`nnfUbb~znnbg,swgcl{U;=i5|lnv25*`nnfUbb~znnbg,swgcl{U:=i5|lnv25*`nnfUbb~znnbg,swgcl{U9=i5|lnv25*`nnfUbb~znnbg,swgcl{U8j6}cou32+w~Xagy#<{ee=299phjr69&xsSd`|t.O`kphsWmkmnaznu]{kw:668;946}cou32+w~Xagy#@m`uov\`drfkfexRv`r=0=547>3zfdx9:qokq76'{rTec}{/^l355>Xag|:m6}cou32+w~Xagy#R`?11:\mkp6901x`bz>1.p{[lht|&Ue<Sd`y2034?vjh|8;$~uQfnrv,fdscold$?:5|lnv25*tW`dxx"lnueefj*AILV;=RAZT=1=77=tdf~:="|w_hlpp*df}mmnb"IAD^354ZiXkg~P=8SPepwbhZ7Xg:=0aa{10-q|Zoi{}%imxjheo-DJAY6>9UdSn`{[07^[`wrieU:Sb2>5?30e>ukg};:#vPioqw+ggrlnoe#@czx^amp^72UVidyczT14_\ip~X>389phjr69&xsSd`|t.`bqaabf&GfyuQlnuY21XYdg|dW<;R_lw{[1YH]];:?45|lnv25*tW`dxx"lnueefj*Kj}qUhbyU>5\]`kphsS8?VS`{w_5]LQQ70;h1x`bz>1.p{[lht|&hjyiijn.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU3456?<{ee=TCXZ712;8wiis98%ytRgasu-aepb`mg%FaxvPcovX50[XkfexV?:]^ov|Z2XG\^2=9;4smmw54)upVcey!matfdak)J{|hTKCJP172\kZAILV;=6=0Pcx>3:1><{ee=RAZT=36>58Xkp6;2R|{449phjr69&xsSd`|t.`bqaabf&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]`}979<<1x`bz>1.p{[lht|&hjyiijn.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Uhu1<1479phjr69&xsSd`|t.`bqaabf&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:66=i0aa{10-q|Zoi{}%imxjheo-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294T`xz31?]JJSY71.p{[lht|&hjyiijn.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Ugyy2=>5a8wiis98%ytRgasu-aepb`mg%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;:7UBB[Q?479phjr69&xsSd`|t.`bqaabf&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:46=i0aa{10-q|Zoi{}%imxjheo-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294T`xz33?]JJSY71.p{[lht|&hjyiijn.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Usc2?>548wiis98%ytRgasu-aepb`mg%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;97>=7~b`t03,v}Ynfz~$nl{kgdl,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sua}<3<72>ukg};:#vPioqw+ggrlnoe#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Z~hz595><5|lnv25*tW`dxx"lnueefj*Yi898;Sd`y239phjr69&xsSd`|t.`bqaabf&Ue<=QRm`uovX50[Xe|rT8RAZT=2=70=tdf~:="|w_hlpp*df}mmnb"matZ36YZeh}g~P=8SPmtz\0ZIR\5;5?85|lnv25*tW`dxx"lnueefj*ei|R;>QRm`uovX50[Xe|rT8RAZT=0=70=tdf~:="|w_hlpp*df}mmnb"matZ36YZeh}g~P=8SPmtz\0ZIR\595=n5|lnv25*tW`dxx"lnueefj*ei|V::o6}cou32+w~Xagy#oozdfgm+fhsW8;h7~b`t03,v}Ynfz~$nl{kgdl,gkrX:8i0aa{10-q|Zoi{}%imxjheo-`jqY4911x`bz>1.p{[lht|&hjyi|jsdv2b>ukg};:#vPioqw+ggrl{oxiyQ}surlp4d<{ee=ukg};:#vPioqw+agsije~by2>>0`8wiis98%ytRgasu-geqgdg|d0?0>a:qokq76'{rTec}{/ecwefirf}U;=l5|lnv25*tW`dxx"jnt`alqkrX98k0aa{10-q|Zoi{}%omyolotlw[7703zfdx1169phjr69&xsSd`|t.fbpdi;97;<7~b`t03,v}Ynfz~$hlzno=0=52=tdf~:="|w_hlpp*bf|he7?3?8;rnlp47(zqUbb~z d`vbk9299>1x`bz>1.p{[lht|&njxla35?34?vjh|8;$~uQfnrv,`drfg5<5=:5|lnv25*tW`dxx"jnt`m?3;713zfdx>6:qokq76'{rTec}{/ecwejY69?1x`bz>1.p{[lht|&njxlaP2048wiis98%ytRgasu-geqghW:;=7~b`t03,v}Ynfz~$hlzno^622>ukg};:#vPioqw+agsifU>=;5|lnv25*tW`dxx"jnt`m\240<{ee=3:43<{ee=1:43<{ee=7:43<{ee=2<;4smmw54)upVcey!}xe>5:43<{ee=0aa{10-q|Zoi{}%ytiQ;159phjr69&xsSd`|t.p{`Z36<2ygcy?>/sz\mkus'{roS;?;;rnlp47(zqUbb~z ryf\34g<{ee=?4smmw54)upVcey!}xltemirbz&Ghcx`{_bmvjqYvWqey0>0>1368wiis98%ytRgasu-q|hpaae~n~"Qa0104[lhq:<1x`bz>1.p{[lht|&xsa{hflugq+Zh78;=Tecx>259phjr69&xsSd`|t.p{is`nd}oy#naznu]r8585<2ygcy?>/sz\mkus'{rfzkgctdp,gjsi|V{7=3<;;rnlp47(zqUbb~z ryoubljsm{%hcx`{_p>1:72<{ee=>5|lnv25*tW`dxx"|wmwdjhqcu'je~byQ~_100?vjh|8;$~uQfnrv,v}kqn`fi!lotlw[tY6::1x`bz>1.p{[lht|&xsa{hflugq+firf}UzS?<<;rnlp47(zqUbb~z ryoubljsm{%hcx`{_p]05`=tdf~:="|w_hlpp*telb`yk}/rmvp71<{ee=d:qokq76'{rTec}{/rvbp`YA[DUMJi?=0:qokq76'{rTec}{/rvbp`YA[DUMJi?"Io02?vjh|8;$~uQfnrv,wqgsmVLXARHId0/Jj47c3zfdx0aa{10-q|Zoi{}%xxlzj_GQN[C@c:$CeS^Y?119phjr69&xsSygbes-04>ukg};:#vPthofv*AILV;=9UdS~{m_3]LQQ:6879:7~b`t03,v}Ysadoy#J@K_043[jY@FMU::=Q`_rwa[7YH]]6:=3=>;rnlp47(zqUe`k}/FLG[407WfULBIQ>61]l[vseW;UDYY2>2?12?vjh|8;$~uQ{ilgq+BHCW8<;SbQHNE]225YhWziS?Q@UU>27;563zfdx9UdS~{m_3]LQQ:6<79:7~b`t03,v}Ysadoy#J@K_043[jY@FMU::=Q`_rwa[7YH]]6:93=?;rnlp47(zqUe`k}/FLG[407WfULBIQ>61]l[vseW;UDYY2>>228wiis98%ytRzfmdp,CKBX9?:TcRIAD^354ZiX{|hT>RAZT=0=75=tdf~:="|w_uknaw)@FMU::=Q`_FLG[407WfUxyoQ=_NWW868482ygcy?>/sz\plkbz&MEHR?90^m\CKBX9?:TcR}zb^0\KPR;<79;7~b`t03,v}Ysadoy#J@K_043[jY@FMU::=Q`_rwa[7YH]]6>2>>4smmw54)upV~bah| GOF\536XgVMEHR?90^m\wpdX:VE^X181319phjr69&xsSygbes-DJAY6>9UdSJ@K_043[jYt}kU9SB[[<6<04>ukg};:#vPthofv*AILV;=9UdS~{m_3]LQQ:>6;h0aa{10-q|Zrnelx$KCJP172\kZei|R;>QRk~u`n\5Zi5n2ygcy?>/sz\plkbz&MEHR?90^m\gkr\9QRk~u`n\5Zi;9<4:?=5|lnv25*tW}cfi!HNE]225YhWjdW<;R_dsveiY6Wf6:93<<0:qokq76'{rTxdcjr.EM@Z718VeToczT14_\atsfdV;Tc1?:>210?vjh|8;$~uQ{ilgq+HkrpViexV?:]^alqkr\91.p{[qojm{%FaxvPcovX50[XkfexV?:]^ov|Z2XG\^:=>=4smmw54)upV~bah| Mlw{[fhsS8?VSnaznuY21XYj}qU?SB[[10307>ukg};:#vPthofv*Kj}qUhbyU>5\]`kphsS8?VS`{w_5]LQQ759:90aa{10-q|Zrnelx$A`{w_blw_43ZWje~byU>5\]nq}Y3WF__=>?<3:qokq76'{rTxdcjr.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU37565<{ee=6}cou32+w~X|`gn~"Cbuy]`jq]6=TUhcx`{[07^[hsW=UDYY:>339phjr69&xsSygbes-Nip~Xkg~P=8SPcnwmp^72UVg~tR:POTV6564<{ee=8997~b`t03,v}Ysadoy#@czx^amp^72UVidyczT14_\ip~XQRczx^6\KPR>9:o0aa{10-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[f;87>:7~b`t03,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Ze~494T~y=i;rnlp47(zqUe`k}/LqvfZAILV;=13g9phjr69&xsSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294Tot2>1?1e?vjh|8;$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rmv<00=7c=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pcx>27;5a3zfdxPo^qvfZ4XG\^7=84?>^az8429;l1x`bz>1.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\g|:66:o0aa{10-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[f;:79n7~b`t03,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Ze~4:48i6}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Ydq5>5?h5|lnv25*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xkp6>2>k4smmw54)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wjs7:3=j;rnlp47(zqUe`k}/LqvfZAILV;=Po^EM@Z718VeTxlP2^MVP972294Tot26>528wiis98%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<02=03=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pltv?558XAG\T<9>4smmw54)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69We0Po^EM@Z718VeTxlP2^MVP972294T`xz310<\MKPX8=:0aa{10-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[iss48858;5|lnv25*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xd|~7=?0PIOT\416<{ee=1_mww84591.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;9:4TECXP0528wiis98%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<06=03=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pltv?518XAG\T<9>4smmw54)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69We0<;1479phjr69&xsSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294T`xz314<\MKPX8:l0aa{10-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[iss484?96}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yk}}6:2RGAV^20b>ukg};:#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>1:13<{ee=1_mww878XAG\T<>h4smmw54)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69We0>0;5:qokq76'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Ugyy2<>^KMRZ64n2ygcy?>/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:36=?0aa{10-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[iss4=4TECXP02d8wiis98%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<4<71>ukg};:#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>6:ZOI^V:8j6}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yk}}6=29;4smmw54)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69We0;0PIOT\46`<{ee=1_mww8283=2ygcy?>/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:06VCEZR>578wiis98%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<9<\MKPX8:l0aa{10-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[iss404?96}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yk}}622RGAV^20b>ukg};:#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qwos>3:16<{ee=1_ymq8469<91x`bz>1.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;984?<6}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yg{6:>3:?;rnlp47(zqUe`k}/LqvfZAILV;=528wiis98%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sua}<06=05=tdf~:="|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pxnp?5084n2ygcy?>/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]{kw:66:l0aa{10-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[}iu4;48j6}cou32+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yg{682>h4smmw54)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wqey0902d8wiis98%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sua}<7<0b>ukg};:#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qwos>4:6`<{ee=1_ymq8=84n2ygcy?>/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]{kw:>68<0aa{10-q|Zrnelx$oae`uu35?vjh|8;$~uQ{ilgq+fhs494:;6}cou32+w~X|`gn~"mat=33:41<{ee=7:qokq76'{rTxdcjr.amp97568=0aa{10-q|Zrnelx$ocz312<23>ukg};:#vPthofv*ei|5;?2<94smmw54)upV~bah| cov?5086>2ygcy?>/sz\plkbz&iex1?1179phjr69&xsSygbes-`jq:568<0aa{10-q|Zrnelx$ocz33?35?vjh|8;$~uQ{ilgq+fhs4=4::6}cou32+w~X|`gn~"mat=7=53=tdf~:="|w_uknaw)df}6=2<84smmw54)upV~bah| cov?3;713zfdx6:qokq76'{rTxdcjr.amp9?9:l1x`bz>1.p{[qojm{%hbyU>5\]`kphsS8?VS`{w_5]LQQ:76;l0aa{10-q|Zrnelx$oczT14_\gjsi|R;>QRczx^6\KPR;9949j6}cou32+w~X|`gn~"matZ36YZeh}g~P=8SPmtz\0ZIR\5;:2?h4smmw54)upV~bah| covX50[XkfexV?:]^ov|Z2XG\^7=?0=f:qokq76'{rTxdcjr.amp^72UVidyczT14_\ip~X3d8wiis98%ytRzfmdp,gkr\9TCXZ315<1b>ukg};:#vPthofv*ei|R;>QRm`uovX50[Xe|rT8RAZT=36:7c<{ee=/sz\plkbz&iexV?:]^alqkr\91.p{[qojm{%hbyU>5\]`kphsS8?VS`{w_5]LQQ:36;o0aa{10-q|Zrnelx$oczT14_\gjsi|R;>QRczx^6\KPR;=78n7~b`t03,v}Ysadoy#n`{[07^[firf}Q:9PQbuy]7[JSS4?49i6}cou32+w~X|`gn~"matZ36YZeh}g~P=8SPmtz\0ZIR\5=5>h5|lnv25*tW}cfi!lnuY21XYdg|dW<;R_lw{[1YH]]632?k4smmw54)upV~bah| covX50[XkfexV?:]^ov|Z2XG\^753?:;rnlp47(zqUe`k}/blw[5723zfdx2ygcy?>/sz\plkbz&iexR?>179phjr69&xsSygbes-`jqY6:8<0aa{10-q|Zrnelx$oczP1235?vjh|8;$~uQ{ilgq+fhsW8>::6}cou32+w~X|`gn~"mat^3650=tdf~:="|w_uknaw)df}U9=85|lnv25*tW}cfi!lnu]050=tdf~:="|w_uknaw)df}U?=85|lnv25*tW}cfi!lnu]650=tdf~:="|w_uknaw)df}U==85|lnv25*tW}cfi!lnu]450=tdf~:="|w_uknaw)df}U3=85|lnv25*tW}cfi!lnu]:b>ukg};:#yvPcnpf+71<{ee=Q`_u]q_2[XE|rT8Ra<1:qokq76'}rTob|j/Lov|ZAILV;ukg};:#yvPcnpf+HkrpVMEHR?83^m\pZt\?TUFyuQ;_n]1[c1XN:90aa{10-w|Zehzl%FaxvPGOF\525XgV~T~V9R_Lw{[1YhW;Um;RI<8:qokq76'}rTob|j/Lov|ZRX{eh"og{pnqX7XY@FMU:;>Q`_Lw{[3Yh989i7~b`t03,p}Ydg{o$A`{w_UzSvje)j`~{c~U<]^EM@Z70;VeTaxvP12]LQQ76;k1x`bz>1.v{[fium&GfyuQ[xQplg+dn|yexW>SPGOF\525XgVg~tR?<_NWW645e3zfdxQ@UU127g=tdf~:="zw_bmqa*Kj}qU_t]|`c/`jpuitS:WTKCJP161\kZkrpV;8SB[[401:?vjh|8;$xuQlosg,IhsWeejhhjPr^V{Twid&kc|b}T3\]NQ]Y?<:Ud=11g9phjr69&~sSna}e.V{Twid&kc|b}31?3e?vjh|8;$xuQlosg,P}Vugj$iey~`s=0=5c=tdf~:="zw_bmqa*RX{eh"og{pnq?7;563zfdxQ`_lw{[45XG\^7=3=8;rnlp47(|qUhck TyRqkf(ea}zdV=R_FLG[414WfUfyuQ>3^MVP949;>1x`bz>1.v{[fium&^s\al.ckwtju\;TULBIQ>72]l[hsW89TCXZ33?3f?vjh|8;$xuQlosg,P}Vugj$iey~`s^22a>ukg};:#yvPcnpf+Q~Wzfi%ndzor]25`=tdf~:="zw_bmqa*RX{eh"og{pnq\64c<{ee=/uz\gjtb'Vd;==ukg};:#yvPcnpf+Zh7998Tecx>189phjr69&~sSna}e.]m444>W`d}=l5|lnv25*rWjeyi"Qa000:[lhq98h0aa{10-w|Zehzl%Tb=?=9^kmr47612ygcy?>/uz\gjtb'Vd;=>9Piot2e>ukg};:#yvPcnpf+Zh79:=Tecx>189phjr69&~sSna}e.]m4423W`d}=l5|lnv25*rWjeyi"Qa0067[lhq9890aa{10-w|Zehzl%bhak>c:qokq76'}rTob|j/hfoaZak}lUyy?:;rnlp47(|qUhck iov{[j7b3zfdx/uz\gjtb'eejhhjPr208wiis98%tRm`rd-okdbblVxTXu^}ob,amqvh{R9VS@[W_960[j723zfdx3?:;rnlp47(|qUhck t^p?7;723zfdx0aa{10-w|Zehzl%SQ:159phjr69&~sSna}e.v\vZ06<2ygcy?>/uz\gjtb'}UyS:?6;rnlp47(|qUhck tcwebZt;87;27~b`t03,p}Ydg{o$xo{if^p?5;7>3zfdx3zfdx8:qokq76'}rTob|j/u`vbcYuW:;37~b`t03,p}Ydg{o$xo{if^p\04><{ee=4smmw54)spV~bah| 2e9phjr69&~sSygbes-DJAY6>9UdSJ@K_043[jYJ]QU3>4Q`319phjr69&~sSygbes-DJAY6>9UdSJ@K_043[jYt}kU9SB[[<1<04>ukg};:#yvPthofv*AILV;=9UdS~{m_3]LQQ:56::0aa{10-w|Zrnelx$KCJP172\kZAILV;=o5|lnv25*rW}cfi!HNE]225YhWjdW<;R_dsveiY6Wf9;7~b`t03,p}Ysadoy#J@K_043[jYdf}Q:9PQjqtco[4Yh48?5=>:4smmw54)spV~bah| Mlw{[BHCW8<;SbQHNE]225YhWD_SS5<6_n3276=tdf~:="zw_uknaw)Je|rToczT14_\gjsi|R;>QRczx^6\KPR688997~b`t03,p}Ysadoy#@czx^amp^72UVidyczT14_\ip~XQRczx^6\KPR>9:o0aa{10-w|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[f;87>:7~b`t03,p}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Ze~494T~y=j;rnlp47(|qUe`k}/LqvfZAILV;=Po^EM@Z718VeTxlP2^MVP972294T`xz31?66?vjh|8;$xuQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rbzt=3=[LHQW99m7~b`t03,p}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Zjr|585885|lnv25*rW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xd|~7>3QFNW]37c=tdf~:="zw_uknaw)J{|hTKCJP172\kZAILV;=6=0Pltv?7;223zfdxPo^qvfZ4XG\^7=84?>^nvp959W@D]S==i;rnlp47(|qUe`k}/LqvfZAILV;=13g9phjr69&~sSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294Ttb|31?1e?vjh|8;$xuQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rv`r=0=7c=tdf~:="zw_uknaw)J{|hTKCJP172\kZAILV;=6=0Pxnp?7;7f3zfdxPiot2f>ukg};:#yvPthofv*Yi898;Sd`y1048wiis98%tRzfmdp,gimh}};=7~b`t03,p}Ysadoy#n`{<1<22>ukg};:#yvPthofv*ei|5;5=;5|lnv25*rW}cfi!lnu>1:40<{ee=5\]nq}Y3WF__0=0=e:qokq76'}rTxdcjr.amp^72UVidyczT14_\ip~X3g8wiis98%tRzfmdp,gkr\9TCXZ33?36?vjh|8;$xuQ{ilgq+fhsW9;>7~b`t03,p}Ysadoy#n`{_036?vjh|8;$xuQ{ilgq+fhsW;;>7~b`t03,p}Ysadoy#n`{_258wiis9:%:96}cou30+HkrpV}iSio{_n022>ukg};8#@czx^ua[agsWf8:=;5|lnv27*Kj}qU|nRjnt^m1640<{ee=>!Bmtz\sgYci}Ud>>?:;rnlp45(EdsSzlPd`v\k1713zfdx<= Mlw{[rdXlh~Tc9?>6:qokq74'Dg~tRym_ecw[j259?1x`bz>3.Onq}YpjVnjxRa;3048wiis9:%FaxvPwc]geqYh>:;37~b`t01,IhsW~hThlzPo71\B4><{ee=>!Bmtz\sgYci}Ud:>QH179phjr6;&GfyuQxb^fbpZiX>8=0aa{12-Nip~XkUomyQ`_7323>ukg};8#@czx^ua[agsWfU=><94smmw56)Je|rT{oQkau]l[356?2ygcy?;<7~b`t01,IhsW~hThlzPo^5252=tdf~:?"Cbuy]tfZbf|VeT;??8;rnlp45(EdsSzlPd`v\kZ149>1x`bz>3.Onq}YpjVnjxRaP7534?vjh|89$A`{w_v`\`drXgV=>=:5|lnv27*Kj}qU|nRjnt^m\33703zfdx<= Mlw{[rdXlh~TcR98169phjr6;&GfyuQxb^fbpZiX?1;<7~b`t01,IhsW~hThlzPo^5:53=tdf~:?"Cbuy]tfZbf|VeT4<94smmw56)Je|rT{oQkau]l[=76?2ygcy?!Pn171=Zoi~880aa{12-\j5351Vcez;rnlp45(Wg:>?>Qfnw31?vjh|89$Sc>:32]jjs7692ygcy?6}cou30+Zh7=:=Tecx>109phjr6;&Ue<8:>_hlu57=tdf~:?"Qa0462[lhq98;0aa{12-\j533=Vcez<<4smmw56)Xf9??9Rgav032?vjh|89$Sc>:48]jjs753zfdx<= _o2602:qokq74'Vd;98=Piot254=tdf~:?"Qa0474[lhq9;1x`bz>3.]m4030W`d}=1:qokq74'Vd;9;7Piot26>ukg};8#R`?57;\mkp6981x`bz>3.]m4014W`d}=?5|lnv27*Yi8<=8Sd`y1038wiis9:%Tb=;87^kmr44<{ee=>!Pn1743Zoi~8;:7~b`t01,[k620>Ubb{?=;rnlp45(Wg:>4:Qfnw3e?vjh|89$~hiP0=2=b>ukg};8#kh_1>2:c=tdf~:?"|jg^2?6;`<{ee=>!}ef]3868a3zfdx<= rde\4929n2ygcy?3.pfcZ6;>7l0aa{12-qabY74>4n7~b`t01,v`aX8V:n7~b`t01,v`aX8V;n7~b`t01,v`aX8V8n7~b`t01,v`aX8V9n7~b`t01,v`aX8V>n7~b`t01,v`aX8V?n7~b`t01,v`aX8V>028wiis9:%yijQ>0=0=55=tdf~:?"|jg^33868682ygcy?k5|lnv27*tboV;;S>h4smmw56)umnU:0:qokq74'{olS2:46<{ee=>!}ef]25949991x`bz>3.pfcZ764:4:<6}cou30+wc`W8;783??;rnlp45(zlmT=<2:>028wiis9:%yijQ>1=4=55=tdf~:?"|jg^32828a3zfdx<= rde\54Y7n2ygcy?3.pfcZ76W;l0aa{12-qabY69V9m7~b`t01,v`aX98U?j6}cou30+wc`W8;T9k5|lnv27*tboV;:S;h4smmw56)umnU:=R9>0:qokq74'{olS<<30?33?vjh|89$~hiP13>2:46<{ee=>!}ef]26949991x`bz>3.pfcZ754:4:<6}cou30+wc`W88783??;rnlp45(zlmT=?2:>028wiis9:%yijQ>2=4=55=tdf~:?"|jg^31828a3zfdx<= rde\57Y7n2ygcy?3.pfcZ75W;l0aa{12-qabY6:V9m7~b`t01,v`aX9;U?j6}cou30+wc`W88T9k5|lnv27*tboV;9S;h4smmw56)umnU:>R9>0:qokq74'{olS<=30?33?vjh|89$~hiP12>2:46<{ee=>!}ef]27949991x`bz>3.pfcZ744:4m7~b`t01,v`aX9:U;j6}cou30+wc`W89T=k5|lnv27*tboV;8S?h4smmw56)umnU:?R=i;rnlp45(zlmT=1>1f:qokq74'{olS<2>>g9phjr6;&xnkR?32?d8wiis9:%yijQ><2ukg};8#kh_3>2:c=tdf~:?"|jg^0?6;`<{ee=>!}ef]1868a3zfdx<= rde\6929n2ygcy?3.pfcZ4;>7l0aa{12-qabY54>4n7~b`t01,v`aX:V:n7~b`t01,v`aX:V;n7~b`t01,v`aX:V8n7~b`t01,v`aX:V9n7~b`t01,v`aX:V>n7~b`t01,v`aX:V?n7~b`t01,v`aX:V3h4smmw56)umnU80>0j;rnlp45(zlmT?R>j;rnlp45(zlmT?R?j;rnlp45(zlmT?R1f:qokq74'{olS92>>g9phjr6;&xnkR:32?d8wiis9:%yijQ;<2ukg};8#kh_5>6:c=tdf~:?"|jg^6?2;`<{ee=>!}ef]7828b3zfdx<= rde\0Z6b3zfdx<= rde\0Z7b3zfdx<= rde\0Z4b3zfdx<= rde\0Z5b3zfdx<= rde\0Z2b3zfdx<= rde\0Z3b3zfdx<= rde\0Z0b3zfdx<= rde\0Z1a3zfdx<= rde\1969n2ygcy?3.pfcZ3;:7l0aa{12-qabY24:4n7~b`t01,v`aX=V:n7~b`t01,v`aX=V;n7~b`t01,v`aX=V8n7~b`t01,v`aX=V9m7~b`t01,v`aX>5:5j6}cou30+wc`W?6:2k5|lnv27*tboV<7>3h4smmw56)umnU=0>0i;rnlp45(zlmT:1:1e:qokq74'{olS;Q?e:qokq74'{olS;Q>e:qokq74'{olS;Q=e:qokq74'{olS;Qg9phjr6;&xnkR931?d8wiis9:%yijQ8<3ukg};8#kh_6>7:c=tdf~:?"|jg^5?1;`<{ee=>!}ef]4838a3zfdx<= rde\3919m2ygcy?3.pfcZ>;97l0aa{12-qabY?4;4m7~b`t01,v`aX0595j6}cou30+wc`W16?2h5|lnv27*tboV2Th5|lnv27*tboV2T?h5|lnv27*tboV2T8k5|lnv27*tboV37<3h4smmw56)umnU20<0i;rnlp45(zlmT51<1f:qokq74'{olS42<>g9phjr6;&xnkR734?g8wiis9:%yijQ6_1g8wiis9:%yijQ6_0g8wiis9:%yijQ6_3g8wiis9:%yijQ6_2g8wiis9:%yijQ6_530?vjh|89${oQnds]j80869=1x`bz>3.ua[dbuW`6>24:qokq74'~hTmi|Pi=7=64733zfdx<= wc]b`wYn4<48=<:4smmw56)pjVko~Rg35?6262=tdf~:?"ym_b{`[lYpjVynRgPAOF\5<33WfUbb{<7;rnlp45(kUhunQf_v`\wqdXaVKEHR?655]l[lhq9>1x`bz>4.a8wiis9=%jofQf9:qokq73'jyj7~b`t06,gv5b3zfdx<: cr1\WR6e3zfdx<: shf,5a=tdf~:8"}fd.DjhqcuWDeoiRX`l=2=5a=tdf~:8"}fd.DjhqcuWDeoiRX`l=3=5a=tdf~:8"}fd.DjhqcuWDeoiRX`l=0=5a=tdf~:8"}fd.DjhqcuWDeoiRX`l=1=5f=tdf~:8"}fd.DjhqcuWDeoiRX`l^22g>ukg};?#~gk/Gkop`tXEfnnS[ac_03`?vjh|8>$dj FhnwawYJgmoTZbbP20a8wiis9=%xei!IimvfvZKhllU]caQ<1c9phjr6<&ybh"Cnde]m4676Wjs7<3?m;rnlp42({`n$Aljk_o2054Ydq5;5=o5|lnv20*unl&GjhiQa0232[f;:7;i7~b`t06,wlb(EhnoSc><10]`}9599k1x`bz>4.qj`*KflmUe<>?>_b{?0;7e3zfdx<: shf,IdbcWg:8=$dj M`fg[k6498Ugyy2?>0a8wiis9=%xei!Baef\j5569Vf~x1?11b9phjr6<&ybh"Cnde]m4676We0?0>c:qokq73'zco#@okd^l3747Xd|~7?3?l;rnlp42({`n$Aljk_o2054Yk}}6?2<10]{kw:768i0aa{15-pma)JimnTb==>1^zlv9799j1x`bz>4.qj`*KflmUe<>?>_ymq8786k2ygcy?;/rkg+HgclVd;?7:4e<{ee=9!|ie-NeabXf99:=Rv`r=7=5f=tdf~:8"}fd.Ob`aYi8:;:Sua}<7<2g>ukg};?#~gk/Lcg`Zh7;8;Ttb|37?3a?vjh|8>$dj M`fg[sinklUfeukk1b9phjr6<&ybh"Cnde]uklebWdcsii?>c:qokq73'zco#@okd^tlmfcXe`rnh??l;rnlp42({`n$Aljk_wmjg`Yjaqoo?ukg};?#~gk/Lcg`ZphajoTadvjd^az8585:;1x`bz>4.qj`*KflmU}cdmj_lk{aaYdq5:5??<4smmw51)tam%FmijPvnk`aZknplnTot2?>501?vjh|8>$dj M`fg[sinklUfeukk_b{?4;35:2ygcy?;/rkg+HgclV|denkPmhzf`Ze~494=>>5|lnv20*unl&GjhiQyohaf[hommUhu1>1_100?vjh|8>$dj M`fg[sinklUfeukk_b{?4;Y6::1x`bz>4.qj`*KflmU}cdmj_lk{aaYdq5:5S?<<;rnlp42({`n$Aljk_wmjg`YjaqooSnw30?]066=tdf~:8"}fd.Ob`aYqg`inS`gwee]`}969W=887~b`t06,wlb(EhnoS{afcd]nm}ccWjs7<3Q:239phjr6<&ybh"Cnde]uklebWdcsiiQcuu>3:75<{ee=9!|ie-NeabX~fchiRcfxdf\hpr;87;9?6}cou37+voc'DkohRx`ibg\il~blVf~x1>12318wiis9=%xei!Baef\rjodmVgbthjPltv?4;55;2ygcy?;/rkg+HgclV|denkPmhzf`Zjr|5:58?=4smmw51)tam%FmijPvnk`aZknplnT`xz30?717>ukg};?#~gk/Lcg`ZphajoTadvjd^nvp969>;90aa{15-pma)JimnTzbgle^oj|`bXd|~7<39=2:qokq73'zco#@okd^tlmfcXe`rnhRv`r=2=61=tdf~:8"}fd.Ob`aYqg`inS`gwee]{kw:76V:986}cou37+voc'DkohRx`ibg\il~blVrd~1>1_007?vjh|8>$dj M`fg[sinklUfeukk_ymq858X:;>0aa{15-pma)JimnTzbgle^oj|`bXpfx7<3Q<259phjr6<&ybh"Cnde]uklebWdcsiiQwos>3:Z25<2ygcy?;/rkg+HgclV|denkPmhzf`Z~hz5:5S8<;;rnlp42({`n$Aljk_wmjg`YjaqooSua}<1<\21b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7<3Qw_wmjg`Yjaqoo0>0Pm`lg51b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7<3Qw_wmjg`Yjaqoo090Pm`lg51b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7<3Qw_wmjg`Yjaqoo080Pm`lg51b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7<3Qw_wmjg`Yjaqoo0;0Pm`lg51b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7=3Qw_wmjg`Yjaqoo0=0Pm`lg51b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7=3Qw_wmjg`Yjaqoo0<0Pm`lg51b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7=3Qw_wmjg`Yjaqoo0?0Pm`lg51b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7=3Qw_wmjg`Yjaqoo0>0Pm`lg51b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7=3Qw_wmjg`Yjaqoo090Pm`lg51b<{ee=9!|ie-NipjsWebohQyomwna970294TJdb{es]NkacX^ff7=3Qw_wmjg`Yjaqoo080Pm`lg57`<{ee=9!|ie-NipjsWebohQyomwna970294TAljk1^az8785n2ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde3\g|:46;l0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg5Ze~4=49j6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a7Xkp6>2?h4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc9Vir0;0<0:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm;T`xz32?13?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkoh0:66<{ee=9!|ie-NipjsWebohQyomwna970294TAljk1^nvp929;91x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef2[iss4<48<6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a7Xd|~7:3=?;rnlp42({`n$A`{ct^tlmfcX~ff~ah2>7;2=[Hgcl8Ugyy28>228wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhno=Rv`r=0=75=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmij>_ymq868482ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde3\|jt;<79;7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`4Yg{6>2>>4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc9Vrd~181319phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimn:Sua}<6<1b>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhi2:7`<{ee=9!|ie-NipjsWebohQyomwna970294TAljk2^az8785n2ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde0\g|:46;l0aa{15-pma)Je|fS{afcd]ukisjm5;<6=0PM`fg6Ze~4=49j6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a4Xkp6>2?h4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc:Vir0;0<0:qokq73'zco#@czlu]uklebWegy`k31683:ZKflm8T`xz31?13?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkoh?Qcuu>1:66<{ee=9!|ie-NipjsWebohQyomwna970294TAljk2^nvp959;91x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef1[iss4=48<6}cou37+voc'Dg~`yQyohaf[sik}do7=:4?>^Ob`a4Xd|~793=?;rnlp42({`n$A`{ct^tlmfcX~ff~ah2>7;2=[Hgcl;Ugyy29>228wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhno>Rbzt=5=75=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmij=_ymq848482ygcy?;/rkg+Hkrd}U}cdmj_wmoqhc;9>0;2RCnde0\|jt;:79;7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`7Yg{682>>4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\Idbc:Vrd~1:1319phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimn9Sua}<4<04>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhi0;2RCnde]06g=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmijP300b?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkohR:=b:qokq73'zco#@czlu]uklebWegy`k31683:ZKflmU?=?o4smmw51)tam%Faxb{_wmjg`Yqgefi1?8:1<\IdbcW<8i7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`Z36:h1x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef\27d<{ee=9!|ie-NipjsWebohQyomwna970294TAljk_731e>ukg};?#~gk/LovhqYqg`inS{aculg?52<76VGjhiQ82c9phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimnT;<7;2=[HgclVir0>0=e:qokq73'zco#@czlu]uklebWegy`k31683:ZKflmUhu1:12d9phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimnTot2:>3g8wiis9=%xei!Bmtnw[sinklU}ca{be=34>58XEhnoSnw36?0e?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkohRbzt=1=6c=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmijPltv?0;4a3zfdx<: shf,Ihsk|V|denkPvnnvi`:6?3:5S@okd^nvp939:o1x`bz>4.qj`*Kj}e~Tzbgle^tlhpkb48=1<3QBaef\hpr;>78m7~b`t06,wlb(EdgxRx`ibg\rjjrel6:;7>1_Lcg`Zjr|5=5>k5|lnv20*unl&GfyazPvnk`aZphd|gn0<950?]NeabXpfx7?37;2=[HgclVrd~1:12g9phjr6<&ybh"Cbumv\rjodmV|d`xcj<0594;YJimnTtb|35?0e?vjh|8>$dj MlwopZphajoTzbbzmd>23?69WDkohRv`r=4=6c=tdf~:8"}fd.OnqirX~fchiRx`ltof841=87UFmijPxnp?3;7c3zfdx<: shf,RjodmV;TMyzPdda?4;7c3zfdx<: shf,RjodmV;TMyzPdda?5;7c3zfdx<: shf,RjodmV;TMyzPdda?6;7c3zfdx<: shf,RjodmV;TMyzPdda?7;7c3zfdx<: shf,RjodmV;TMyzPdda?0;7c3zfdx<: shf,RjodmV;TMyzPdda?1;7c3zfdx<: shf,RjodmV;TMyzPdda?2;7c3zfdx<: shf,RjodmV;TMyzPdda?3;7d3zfdx<: shf,RjodmV;TMyzPdda\44e<{ee=9!|ie-UklebW8UJxyQkeb]25f=tdf~:8"}fd.TlmfcX9VKxRjjc^02g>ukg};?#~gk/Wmjg`Y6WH~Sikl_23`?vjh|8>$dj Vnk`aZ7XI}~ThhmP40a8wiis9=%xei!Yohaf[4YF|}UoinQ:1b9phjr6<&ybh"X`ibg\5ZGs|VnnoR8>c:qokq73'zco#[afcd]2[DrsWmohS:?k;rnlp42({`n$Zbgle^3\Gjhszff7<3?k;rnlp42({`n$Zbgle^3\Gjhszff7=3?k;rnlp42({`n$Zbgle^3\Gjhszff7>3?k;rnlp42({`n$Zbgle^3\Gjhszff7?3?k;rnlp42({`n$Zbgle^3\Gjhszff783?k;rnlp42({`n$Zbgle^3\Gjhszff793?k;rnlp42({`n$Zbgle^3\Gjhszff7:3?k;rnlp42({`n$Zbgle^3\Gjhszff7;3?l;rnlp42({`n$Zbgle^3\GjhszffT<_BmmpwikW:;h7~b`t06,wlb(^fchiR?PCnlwvjjX<8i0aa{15-pma)Qg`inS4.qj`*PhajoT=RM`nuplhZ06k2ygcy?;/rkg+SinklU:SNaatsmo[27c3zfdx<: shf,RjodmV;TJk~_ik?4;7c3zfdx<: shf,RjodmV;TJk~_ik?5;7c3zfdx<: shf,RjodmV;TJk~_ik?6;7c3zfdx<: shf,RjodmV;TJk~_ik?7;7c3zfdx<: shf,RjodmV;TJk~_ik?0;7c3zfdx<: shf,RjodmV;TJk~_ik?1;7c3zfdx<: shf,RjodmV;TJk~_ik?2;7c3zfdx<: shf,RjodmV;TJk~_ik?3;7d3zfdx<: shf,RjodmV;TJk~_ik\44e<{ee=9!|ie-UklebW8UM~hPhh]25f=tdf~:8"}fd.TlmfcX9VLyi|Qgi^02g>ukg};?#~gk/Wmjg`Y6WOxn}Rff_23`?vjh|8>$dj Vnk`aZ7XN{ozSegP40a8wiis9=%xei!Yohaf[4YAzl{TddQ:1b9phjr6<&ybh"X`ibg\5Z@umxUceR8>c:qokq73'zco#[afcd]2[CtbyVbbS:?k;rnlp42({`n$Zbgle^3\BwcvWee7<3?k;rnlp42({`n$Zbgle^3\BwcvWee7=3?k;rnlp42({`n$Zbgle^3\BwcvWee7>3?k;rnlp42({`n$Zbgle^3\BwcvWee7?3?k;rnlp42({`n$Zbgle^3\BwcvWee783?k;rnlp42({`n$Zbgle^3\BwcvWee793?k;rnlp42({`n$Zbgle^3\BwcvWee7:3?k;rnlp42({`n$Zbgle^3\BwcvWee7;3?l;rnlp42({`n$Zbgle^3\BwcvWeeT<_GpfuZjhW:;h7~b`t06,wlb(^fchiR?PFsgr[iiX<8i0aa{15-pma)Qg`inS4.qj`*PhajoT=RH}ep]okZ06k2ygcy?;/rkg+SinklU:SK|jq^nl[27e3zfdx<: shf,RjodmV;T\zQgi=2=5g=tdf~:8"}fd.TlmfcX9VZ|Seg31?3a?vjh|8>$dj Vnk`aZ7XX~Uce1<11c9phjr6<&ybh"X`ibg\5ZVpWac7?3?n;rnlp42({`n$Zbgle^3\TrYoaV::m6}cou37+voc'_ebohQ>_Qu\llY69h1x`bz>4.qj`*PhajoT=R^x_ik\64g<{ee=9!|ie-UklebW8U[{Rff_23a?vjh|8>$dj Vnk`aZ7XX~Ugc1>11c9phjr6<&ybh"X`ibg\5ZVpWee7=3?m;rnlp42({`n$Zbgle^3\TrYkg585=o5|lnv20*unl&\denkP1^Rt[ii;;7;i7~b`t06,wlb(^fchiR?PPv]ok9299k1x`bz>4.qj`*PhajoT=R^x_mm?1;7e3zfdx<: shf,RjodmV;T\zQco=4=5g=tdf~:8"}fd.TlmfcX9VZ|Saa37?3b?vjh|8>$dj Vnk`aZ7XX~UgcR>>a:qokq73'zco#[afcd]2[UqXdfU:=l5|lnv20*unl&\denkP1^Rt[iiX:8k0aa{15-pma)Qg`inSukg};?#~gk/Wmjg`Y6WY}T`bQ:1`9phjr6<&ybh"X`ibg\5ZVpWeeT:;o7~b`t06,wlb(^fchiR?PStq\V`j;87;o7~b`t06,wlb(^fchiR?PStq\V`j;97;o7~b`t06,wlb(^fchiR?PStq\V`j;:7;o7~b`t06,wlb(^fchiR?PStq\V`j;;7;o7~b`t06,wlb(^fchiR?PStq\V`j;<7;o7~b`t06,wlb(^fchiR?PStq\V`j;=7;o7~b`t06,wlb(^fchiR?PStq\V`j;>7;o7~b`t06,wlb(^fchiR?PStq\V`j;?7;h7~b`t06,wlb(^fchiR?PStq\V`jX88i0aa{15-pma)Qg`inS4.qj`*PhajoT=R]zs^PfhZ46k2ygcy?;/rkg+SinklU:S^{|_Sgo[67d3zfdx<: shf,RjodmV;T_x}PRdn\04e<{ee=9!|ie-UklebW8UXy~Q]em]65f=tdf~:8"}fd.TlmfcX9VY~R\jl^42g>ukg};?#~gk/Wmjg`Y6WZxS_kc_63g?vjh|8>$dj Vnk`aZ4XI}~Thhm30?3g?vjh|8>$dj Vnk`aZ4XI}~Thhm31?3g?vjh|8>$dj Vnk`aZ4XI}~Thhm32?3g?vjh|8>$dj Vnk`aZ4XI}~Thhm33?3g?vjh|8>$dj Vnk`aZ4XI}~Thhm34?3g?vjh|8>$dj Vnk`aZ4XI}~Thhm35?3g?vjh|8>$dj Vnk`aZ4XI}~Thhm36?3g?vjh|8>$dj Vnk`aZ4XI}~Thhm37?3`?vjh|8>$dj Vnk`aZ4XI}~ThhmP00a8wiis9=%xei!Yohaf[7YF|}UoinQ>1b9phjr6<&ybh"X`ibg\6ZGs|VnnoR<>c:qokq73'zco#[afcd]1[DrsWmohS>?l;rnlp42({`n$Zbgle^0\EqrXlliT8=n5|lnv20*unl&\denkP2^CwpZbbkV<:o6}cou37+voc'_ebohQ=_@vw[acdW>;o7~b`t06,wlb(^fchiR7;o7~b`t06,wlb(^fchiR4.qj`*PhajoT>RM`nuplhZ46k2ygcy?;/rkg+SinklU9SNaatsmo[67d3zfdx<: shf,RjodmV8TOb`{rnn\04e<{ee=9!|ie-UklebW;UHccz}om]65f=tdf~:8"}fd.TlmfcX:VIdby|`l^42g>ukg};?#~gk/Wmjg`Y5WJeexac_63g?vjh|8>$dj Vnk`aZ4XN{ozSeg30?3g?vjh|8>$dj Vnk`aZ4XN{ozSeg31?3g?vjh|8>$dj Vnk`aZ4XN{ozSeg32?3g?vjh|8>$dj Vnk`aZ4XN{ozSeg33?3g?vjh|8>$dj Vnk`aZ4XN{ozSeg34?3g?vjh|8>$dj Vnk`aZ4XN{ozSeg35?3g?vjh|8>$dj Vnk`aZ4XN{ozSeg36?3g?vjh|8>$dj Vnk`aZ4XN{ozSeg37?3`?vjh|8>$dj Vnk`aZ4XN{ozSegP00a8wiis9=%xei!Yohaf[7YAzl{TddQ>1b9phjr6<&ybh"X`ibg\6Z@umxUceR<>c:qokq73'zco#[afcd]1[CtbyVbbS>?l;rnlp42({`n$Zbgle^0\BwcvWacT8=n5|lnv20*unl&\denkP2^DqatYoaV<:o6}cou37+voc'_ebohQ=_GpfuZnnW>;o7~b`t06,wlb(^fchiR7;o7~b`t06,wlb(^fchiR4.qj`*PhajoT>RH}ep]okZ46k2ygcy?;/rkg+SinklU9SK|jq^nl[67d3zfdx<: shf,RjodmV8TJk~_mm\04e<{ee=9!|ie-UklebW;UM~hPln]65f=tdf~:8"}fd.TlmfcX:VLyi|Qco^42g>ukg};?#~gk/Wmjg`Y5WOxn}Rb`_63a?vjh|8>$dj Vnk`aZ4XX~Uce1>11c9phjr6<&ybh"X`ibg\6ZVpWac7=3?m;rnlp42({`n$Zbgle^0\TrYoa585=o5|lnv20*unl&\denkP2^Rt[mo;;7;j7~b`t06,wlb(^fchiR?m;rnlp42({`n$Zbgle^0\TrYkg5:5=o5|lnv20*unl&\denkP2^Rt[ii;97;i7~b`t06,wlb(^fchiR4.qj`*PhajoT>R^x_mm?7;7e3zfdx<: shf,RjodmV8T\zQco=6=5g=tdf~:8"}fd.TlmfcX:VZ|Saa35?3a?vjh|8>$dj Vnk`aZ4XX~Ugc1811c9phjr6<&ybh"X`ibg\6ZVpWee7;3?n;rnlp42({`n$Zbgle^0\TrYkgV::m6}cou37+voc'_ebohQ=_Qu\hjY69h1x`bz>4.qj`*PhajoT>R^x_mm\64g<{ee=9!|ie-UklebW;U[{Rb`_23b?vjh|8>$dj Vnk`aZ4XX~UgcR:>a:qokq73'zco#[afcd]1[UqXdfU>=l5|lnv20*unl&\denkP2^Rt[iiX>8k0aa{15-pma)Qg`inS?Q_w^nl[27c3zfdx<: shf,RjodmV8T_x}PRdn?4;7c3zfdx<: shf,RjodmV8T_x}PRdn?5;7c3zfdx<: shf,RjodmV8T_x}PRdn?6;7c3zfdx<: shf,RjodmV8T_x}PRdn?7;7c3zfdx<: shf,RjodmV8T_x}PRdn?0;7c3zfdx<: shf,RjodmV8T_x}PRdn?1;7c3zfdx<: shf,RjodmV8T_x}PRdn?2;7c3zfdx<: shf,RjodmV8T_x}PRdn?3;7d3zfdx<: shf,RjodmV8T_x}PRdn\44e<{ee=9!|ie-UklebW;UXy~Q]em]25f=tdf~:8"}fd.TlmfcX:VY~R\jl^02g>ukg};?#~gk/Wmjg`Y5WZxS_kc_23`?vjh|8>$dj Vnk`aZ4X[|yT^hbP40a8wiis9=%xei!Yohaf[7YT}zUYiaQ:1b9phjr6<&ybh"X`ibg\6ZUr{VXn`R8>c:qokq73'zco#[afcd]1[VstW[ogS:?k;rnlp42({`n$Zbgle^1\EqrXlli7<3?k;rnlp42({`n$Zbgle^1\EqrXlli7=3?k;rnlp42({`n$Zbgle^1\EqrXlli7>3?k;rnlp42({`n$Zbgle^1\EqrXlli7?3?k;rnlp42({`n$Zbgle^1\EqrXlli783?k;rnlp42({`n$Zbgle^1\EqrXlli793?k;rnlp42({`n$Zbgle^1\EqrXlli7:3?k;rnlp42({`n$Zbgle^1\EqrXlli7;3?l;rnlp42({`n$Zbgle^1\EqrXlliT<QNtu]gafY29j1x`bz>4.qj`*PhajoT?RO{t^ffgZ06k2ygcy?;/rkg+SinklU8SLz{_eg`[27c3zfdx<: shf,RjodmV9TOb`{rnn?4;7c3zfdx<: shf,RjodmV9TOb`{rnn?5;7c3zfdx<: shf,RjodmV9TOb`{rnn?6;7c3zfdx<: shf,RjodmV9TOb`{rnn?7;7c3zfdx<: shf,RjodmV9TOb`{rnn?0;7c3zfdx<: shf,RjodmV9TOb`{rnn?1;7c3zfdx<: shf,RjodmV9TOb`{rnn?2;7c3zfdx<: shf,RjodmV9TOb`{rnn?3;7d3zfdx<: shf,RjodmV9TOb`{rnn\44e<{ee=9!|ie-UklebW:UHccz}om]25f=tdf~:8"}fd.TlmfcX;VIdby|`l^02g>ukg};?#~gk/Wmjg`Y4WJeexac_23`?vjh|8>$dj Vnk`aZ5XKfd~bbP40a8wiis9=%xei!Yohaf[6YDgg~ycaQ:1b9phjr6<&ybh"X`ibg\7ZEhf}xd`R8>c:qokq73'zco#[afcd]0[Fii|{egS:?k;rnlp42({`n$Zbgle^1\BwcvWac7<3?k;rnlp42({`n$Zbgle^1\BwcvWac7=3?k;rnlp42({`n$Zbgle^1\BwcvWac7>3?k;rnlp42({`n$Zbgle^1\BwcvWac7?3?k;rnlp42({`n$Zbgle^1\BwcvWac783?k;rnlp42({`n$Zbgle^1\BwcvWac793?k;rnlp42({`n$Zbgle^1\BwcvWac7:3?k;rnlp42({`n$Zbgle^1\BwcvWac7;3?l;rnlp42({`n$Zbgle^1\BwcvWacT<QIrds\llY29j1x`bz>4.qj`*PhajoT?RH}ep]kmZ06k2ygcy?;/rkg+SinklU8SK|jq^jj[27c3zfdx<: shf,RjodmV9TJk~_mm?4;7c3zfdx<: shf,RjodmV9TJk~_mm?5;7c3zfdx<: shf,RjodmV9TJk~_mm?6;7c3zfdx<: shf,RjodmV9TJk~_mm?7;7c3zfdx<: shf,RjodmV9TJk~_mm?0;7c3zfdx<: shf,RjodmV9TJk~_mm?1;7c3zfdx<: shf,RjodmV9TJk~_mm?2;7c3zfdx<: shf,RjodmV9TJk~_mm?3;7d3zfdx<: shf,RjodmV9TJk~_mm\44e<{ee=9!|ie-UklebW:UM~hPln]25f=tdf~:8"}fd.TlmfcX;VLyi|Qco^02g>ukg};?#~gk/Wmjg`Y4WOxn}Rb`_23`?vjh|8>$dj Vnk`aZ5XN{ozSaaP40a8wiis9=%xei!Yohaf[6YAzl{T`bQ:1b9phjr6<&ybh"X`ibg\7Z@umxUgcR8>c:qokq73'zco#[afcd]0[CtbyVfdS:?m;rnlp42({`n$Zbgle^1\TrYoa5:5=o5|lnv20*unl&\denkP3^Rt[mo;97;i7~b`t06,wlb(^fchiR=PPv]km9499k1x`bz>4.qj`*PhajoT?R^x_ik?7;7f3zfdx<: shf,RjodmV9T\zQgi^22e>ukg};?#~gk/Wmjg`Y4WY}TddQ>1`9phjr6<&ybh"X`ibg\7ZVpWacT>4.qj`*PhajoT?R^x_mm?5;7e3zfdx<: shf,RjodmV9T\zQco=0=5g=tdf~:8"}fd.TlmfcX;VZ|Saa33?3a?vjh|8>$dj Vnk`aZ5XX~Ugc1:11c9phjr6<&ybh"X`ibg\7ZVpWee793?m;rnlp42({`n$Zbgle^1\TrYkg5<5=o5|lnv20*unl&\denkP3^Rt[ii;?7;j7~b`t06,wlb(^fchiR=PPv]okZ66i2ygcy?;/rkg+SinklU8S]yPln]25d=tdf~:8"}fd.TlmfcX;VZ|SaaP20c8wiis9=%xei!Yohaf[6YWVfdS>?n;rnlp42({`n$Zbgle^1\TrYkgV>:m6}cou37+voc'_ebohQ<_Qu\hjY29h1x`bz>4.qj`*PhajoT?R^x_mm\24g<{ee=9!|ie-UklebW:U[{Rb`_63g?vjh|8>$dj Vnk`aZ5X[|yT^hb30?3g?vjh|8>$dj Vnk`aZ5X[|yT^hb31?3g?vjh|8>$dj Vnk`aZ5X[|yT^hb32?3g?vjh|8>$dj Vnk`aZ5X[|yT^hb33?3g?vjh|8>$dj Vnk`aZ5X[|yT^hb34?3g?vjh|8>$dj Vnk`aZ5X[|yT^hb35?3g?vjh|8>$dj Vnk`aZ5X[|yT^hb36?3g?vjh|8>$dj Vnk`aZ5X[|yT^hb37?3`?vjh|8>$dj Vnk`aZ5X[|yT^hbP00a8wiis9=%xei!Yohaf[6YT}zUYiaQ>1b9phjr6<&ybh"X`ibg\7ZUr{VXn`R<>c:qokq73'zco#[afcd]0[VstW[ogS>?l;rnlp42({`n$Zbgle^1\WpuXZlfT8=n5|lnv20*unl&\denkP3^QvwZTbdV<:o6}cou37+voc'_ebohQ<_Rwp[WckW>;o7~b`t06,wlb(WQFEAROKDDP\PWCBW9;o7~b`t06,wlb(WQFEAROKDDP\PWCBW8;o7~b`t06,wlb(WQFEAROKDDP\PWCBW;;o7~b`t06,wlb(WQFEAROKDDP\PWCBW:;o7~b`t06,wlb(WQFEAROKDDP\PWCBW=;o7~b`t06,wlb(WQFEAROKDDP\PWCBW<;o7~b`t06,wlb(WQFEAROKDDP\PWCBW?;:7~b`t06,wlb(Wg:?>;?=;rnlp42({`n$Sc>;27321>ukg};?#~gk/^l3065Xag|::6}cou37+voc'Vd;8>=Piot252=tdf~:8"}fd.]m4154W`d}=;41]jjs713zfdx<: shf,[k63<9Ubb{?>5:qokq73'zco#R`?455\mkp6>2ygcy?;/rkg+Zh7<==Tecx>149phjr6<&ybh"Qa0577[lhq9?1x`bz>4.qj`*Yi8=??Sd`y1078wiis9=%xei!Pn1655Zoi~8<0aa{15-pma)Xf9>==Rgav036?vjh|8>$dj _o272=Ynf;=7~b`t06,wlb(Wg:?:5Qfnw321>ukg};?#~gk/^l3023Xag|::6}cou37+voc'Vd;8:;Piot250=tdf~:8"}fd.]m41>5W`d}=;5|lnv20*unl&Ue<96=_hlu543<{ee=9!|ie-\j52?1Vcez<84smmw51)tam%Tb=:79^kmr4723zfdx<: shf,[k631?Ubb{?9;rnlp42({`n$Sc>;97]jjs76=2ygcy?;/rkg+Zh7=99Tecx>6:qokq73'zco#R`?511\mkp69<1x`bz>4.qj`*Yi8<;;Sd`y179phjr6<&ybh"Qa0433[lhq98?0aa{15-pma)Xf9?:;Rgav048wiis9=%xei!Pn1723Zoi~8;>7~b`t06,wlb(Wg:>>9Qfnw35?vjh|8>$dj _o2661Ynf;:96}cou37+voc'Vd;9>?Piot22>ukg};?#~gk/^l3167Xag|:=85|lnv20*unl&Ue<8=7_hlu53=tdf~:8"}fd.]m405?W`d}=<94smmw51)tam%Tb=;<8^kmr476>2ygcy?;/rkg+Zh7=:2Tecx=149phjr6<&ybh"Qa0466[lhq9?1x`bz>4.qj`*Yi8<>>Sd`y1078wiis9=%xei!Pn1766Zoi~8<0aa{15-pma)Xf9?>>Rgav036?vjh|8>$dj _o26194Qfnw321>ukg};?#~gk/^l3130Xag|:96}cou37+voc'Vd;9:=Piot2=>ukg};?#~gk/^l3125Xag|T_Z>>5:qokq73'zco#R`?590\mkp6>2ygcy?;/rkg+Zh7=18Tecx>2`9phjr6<&ybh"okdsY7YZAILV9??RaPmtz\12YH]]6;2?k4smmw51)tam%jhi|T4\]DJAY4<:UdS`{w_45\KPR;87UX[=l5|lnv20*unl&kohU;]^EM@Z53;VeTaxvP56]LQQ:56;o0aa{15-pma)flmxP8PQHNE]006YhWdsS89POTV?6;YT_98j7~b`t06,wlb(imnyW9SPGOF\715XgVg~tR;8_NWW8685m2ygcy?;/rkg+dbczR>VSJ@K_260[jYj}qU>;RAZT=1=[VQ7:h1x`bz>4.qj`*gcl{Q?QRIAD^177ZiXe|rT9:Q@UU>7:7c<{ee=9!|ie-b`at\ukg};?#~gk/`fgv^2ZWNDOS>:<_n]nq}Y2?VE^X1;1_RU36d=tdf~:8"}fd.cg`w]3UVMEHR=;3^m\ip~X=>UDYY29>3g8wiis9=%xei!ndepX0XY@FMU88>Q`_lw{[01XG\^7:3Q\W10b?vjh|8>$dj aefq_1[XOGNT?9=Po^ov|Z30WF__0:0=e:qokq73'zco#ljkrZ6^[BHCW:>8SbQbuy]63ZIR\5=5S^Y?159phjr6<&ybh"j`_cwe8586<2ygcy?;/rkg+aiXj|l7=3?;;rnlp42({`n$hbQmug>1:42<{ee=9!|ie-gkZdrn595=95|lnv20*unl&ndSo{i<5<20>ukg};?#~gk/em\fp`;=7;?7~b`t06,wlb(lfUiyk29>068wiis9=%xei!ko^`vb9199:1x`bz>4.qj`*bhWkmS=?<;rnlp42({`n$hbQmug]256=tdf~:8"}fd.fl[gsaW;;87~b`t06,wlb(lfUiykQ<129phjr6<&ybh"j`_cwe[1743zfdx<: shf,`jYe}oU>=>5|lnv20*unl&ndSo{i_730?vjh|8>$dj dn]aqcY09>1x`bz>4.qj`*h7;8;7<3QIRC34?vjh|8>$dj n1125979WOXI=:5|lnv20*unl&d;?7UM^O?8;rnlp42({`n$b==>1=5=[CTE9>1x`bz>4.qj`*unlV|denkP1.02?vjh|8>$dj shf\rjodmV;$HhmPrdn?4;463zfdx<: shf,wlbX~fchiR? Dda\v`j;978:7~b`t06,wlb({`nTzbgle^3,@`eXzlf7>3<>;rnlp42({`n$djPvnk`aZ7(LliT~hb33?0b?vjh|8>$dj shf\rjodmV;$KCJP355\kZOI^V2;>Ra=b:qokq73'zco#~gk_wmjg`Y6'NDOS>:8_n]JJSY?8;Ud>?h4smmw51)tam%xeiQyohaf[4)@FMU88:Q`_HLU[=65Wf8T_Z>:8_n]gmsocm{Uhcx`{esY23XYby|kgS8?Po518wiis9=%xei!|ie]uklebW8%LBIQ<46]l[aoqamoySnaznugq_41ZWl{~maQ:1^m?5283<2ygcy?;/rkg+vocWebohQ>/FLG[620WfUoe{gkes]`kphsm{Q:;PQjqtco[07Xg5;<2<:;;rnlp42({`n$djPvnk`aZ7(OGNT?99Po^fjrlbbzVidyczjrZ34YZcv}hfT948895|lnv20*unl&ybhRx`ibg\5*AILV9?;RaPdhtj``tXkfexh|T16_\atsfdV?:Sb2>7?670>ukg};?#~gk/rkg[sinklU:#J@K_264[jYcacoiQyamwf_45ZWzoginzP67]LQQ:687>37~b`t06,wlb({`nTzbgle^3,CKBX;==TcRjfvhffvZpfd|oP=>SPsdnfgqY1>VE^X1??>^QT412<{ee=9!|ie-pmaYqg`inS/FLG[620WfUoe{gkes]ueisbS89VS~kcebv\23YH]]6:>3:7;rnlp42({`n$djPvnk`aZ7(OGNT?99Po^fjrlbbzV|j`xkT12_\w`jbk}U=:RAZT=31:ZUP8=>0aa{15-pma)tamU}cdmj_0-DJAY4<>UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0<=1499phjr6<&ybh"}fd^tlmfcX9&MEHR=;7^m\`lpnllxTzlbzeZ30YZubdliS;8POTV?568X[^:?86}cou37+voc'zcoS{afcd]2+BHCW:>?UDYY2>4?6;?vjh|8>$dj shf\rjodmV;$KCJP355\kZbn~`nn~RxnltgX56[X{lfnoyQ96^MVP9736VY\<9:4smmw51)tam%xeiQyohaf[4)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<07=0==tdf~:8"}fd.qj`ZphajoT="IAD^173ZiXl`|bhh|Pv`nva^74UVyn`hm{_74\KPR;9<4T_Z>;4:qokq73'zco#~gk_wmjg`Y6'NDOS>:8_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>22;223zfdx<: shf,wlbX~fchiR? GOF\711XgVnbzdjjr^tbhpc\9:WThbjcu]52ZIR\5;=2<:;;rnlp42({`n$djPvnk`aZ7(OGNT?99Po^fjrlbbzV|j`xkT12_\w`jbk}U=:RAZT=34:13<{ee=9!|ie-pmaYqg`inS3\]paicd|V<=SB[[<0<70>ukg};?#~gk/rkg[sinklU:#J@K_264[jYcacoiQyamwf_45ZWzoginzP67]LQQ:668>87~b`t06,wlb({`nTzbgle^3,CKBX;==TcRjfvhffvZpfd|oP=>SPsdnfgqY1>VE^X1<1459phjr6<&ybh"}fd^tlmfcX9&MEHR=;7^m\`lpnllxTzlbzeZ30YZubdliS;8POTV?6;73;2ygcy?;/rkg+vocWebohQ>/FLG[620WfUoe{gkes]ueisbS89VS~kcebv\23YH]]682994smmw51)tam%xeiQyohaf[4)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<2<\WR63;2ygcy?;/rkg+vocWebohQ>/FLG[620WfUoe{gkes]ueisbS89VS~kcebv\23YH]]6?2994smmw51)tam%xeiQyohaf[4)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<5<\WR63;2ygcy?;/rkg+vocWebohQ>/FLG[620WfUoe{gkes]ueisbS89VS~kcebv\23YH]]6>2994smmw51)tam%xeiQyohaf[4)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<4<\WR63;2ygcy?;/rkg+vocWebohQ>/FLG[620WfUoe{gkes]ueisbS89VS~kcebv\23YH]]6=2994smmw51)tam%xeiQyohaf[4)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<7<\WR63;2ygcy?;/rkg+vocWebohQ>/FLG[620WfUoe{gkes]ueisbS89VS~kcebv\23YH]]6<2994smmw51)tam%xeiQyohaf[4)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<6<\WR63;2ygcy?;/rkg+vocWebohQ>/FLG[620WfUoe{gkes]ueisbS89VS~kcebv\23YH]]632994smmw51)tam%xeiQyohaf[4)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<9<\WR63;2ygcy?;/rkg+vocWebohQ>/FLG[620WfUoe{gkes]ueisbS89VS~kcebv\23YH]]622994smmw51)tam%xeiQyohaf[4)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<8<\WR64m2ygcy?;/rkg+vocWebohQ>/FLG[620WfUnb{Qlotlwaw]6?TUGi~}[h`l\14.qj`*unlV|denkP1.EM@Z53?VeTicxPcnwmp`t\9>WT@h}|Ticm[0?Xg;>87~b`t06,wlb({`nTzbgle^3,CKBX;==TcRkav^alqkrbzR;$dj shf\rjodmV;$KCJP355\kZci~VidyczjrZ34YZJb{z^cmcQ91^m056`<{ee=9!|ie-pmaYqg`inS/MDPV9699o1x`bz>4.qj`*unlV|denkP1.NEWW:687;m7~b`t06,wlb({`nTzbgle^3,HCUU48;5=k5|lnv20*unl&ybhRx`ibg\5*JA[[6:>3?i;rnlp42({`n$djPvnk`aZ7(DOYY0<=11g9phjr6<&ybh"}fd^tlmfcX9&FM__2>4?3e?vjh|8>$dj shf\rjodmV;$@K]]<07=5c=tdf~:8"}fd.qj`ZphajoT="BISS>22;7a3zfdx<: shf,wlbX~fchiR? LGQQ84199o1x`bz>4.qj`*unlV|denkP1.NEWW:607;m7~b`t06,wlb({`nTzbgle^3,HCUU4835=h5|lnv20*unl&ybhRx`ibg\5*JA[[6:2=0>f:qokq73'zco#~gk_wmjg`Y6'ELX^1<>>0d8wiis9=%xei!|ie]uklebW8%GJ^\323<2a>ukg};?#~gk/rkg[sinklU:#AH\R=0=5`=tdf~:8"}fd.qj`ZphajoT="BISS>0:4c<{ee=9!|ie-pmaYqg`inS/MDPV9099l1x`bz>4.qj`*unlV|denkP1.NEWW:068o0aa{15-pma)tamU}cdmj_0-OBVT;07;n7~b`t06,wlb({`nTzbgle^3,HCUU4048;6}cou37+voc'zcoS{afcd]2+I@TZR89QRIAD^173ZiXe|rT=;Q@UU>3:6><{ee=9!|ie-pmaYqg`inS1399phjr6<&ybh"}fd^tlmfcX9&FM__U=2\]DJAY4<>UdS`{w_04\KPR;984846}cou37+voc'zcoS{afcd]2+I@TZR89QRIAD^173ZiXe|rT=;Q@UU>26;5?3zfdx<: shf,wlbX~fchiR? LGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X1?<>2:8wiis9=%xei!|ie]uklebW8%GJ^\T23_\CKBX;==TcRczx^35[JSS48>5?55|lnv20*unl&ybhRx`ibg\5*JA[[Q9>PQHNE]002YhWdsS<8POTV?508402ygcy?;/rkg+vocWebohQ>/MDPV^45UVMEHR=;7^m\ip~X9?UDYY2>6?1;?vjh|8>$dj shf\rjodmV;$@K]][30^[BHCW:>64smmw51)tam%xeiQyohaf[4)KNZXP>?SPGOF\711XgVg~tR?9_NWW84>9;11x`bz>4.qj`*unlV|denkP1.NEWW]5:TULBIQ<46]l[hsW8ukg};?#~gk/rkg[sinklU:#AH\RZ01YZAILV9?;RaPmtz\53YH]]6:2>64smmw51)tam%xeiQyohaf[4)KNZXP>?SPGOF\711XgVg~tR?9_NWW8769;11x`bz>4.qj`*unlV|denkP1.NEWW]5:TULBIQ<46]l[hsW8ukg};?#~gk/rkg[sinklU:#AH\RZ01YZAILV9?;RaPmtz\53YH]]69>3=8;rnlp42({`n$djPvnk`aZ7(DOYYW?6^MVP949;>1x`bz>4.qj`*unlV|denkP1.NEWW]5:TULBIQ<46]l[hsW8$dj shf\rjodmV;$@K]][30^[BHCW:>5?:5|lnv20*unl&ybhRx`ibg\5*JA[[Q9>PQHNE]002YhWdsS<8POTV?1;503zfdx<: shf,wlbX~fchiR? LGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X181369phjr6<&ybh"}fd^tlmfcX9&FM__U=2\]DJAY4<>UdS`{w_04\KPR;?79<7~b`t06,wlb({`nTzbgle^3,HCUUS;8VSJ@K_264[jYj}qU::RAZT=:=72=tdf~:8"}fd.qj`ZphajoT="BISSY16XY@FMU88:Q`_lw{[40XG\^753?k;rnlp42({`n$djPvnk`aZ7(DOYYS=?k;rnlp42({`n$djPvnk`aZ7(DOYYS>e:qokq73'zco#~gk_wmjg`Y6'ELX^R?>1d9phjr6<&ybh"}fd^tlmfcX9&FM__Q>20g8wiis9=%xei!|ie]uklebW8%GJ^\P123f?vjh|8>$dj shf\rjodmV;$@K]]_062a>ukg};?#~gk/rkg[sinklU:#AH\R^365`=tdf~:8"}fd.qj`ZphajoT="BISS]224c<{ee=9!|ie-pmaYqg`inS6m2ygcy?;/rkg+vocWebohQ>/MDPVZ7>9m1x`bz>4.qj`*unlV|denkP1.NEWWY59l1x`bz>4.qj`*unlV|denkP1.NEWWY588o0aa{15-pma)tamU}cdmj_0-OBVTX:8;n7~b`t06,wlb({`nTzbgle^3,HCUUW;8:h6}cou37+voc'zcoS{afcd]2+I@TZV9:h6}cou37+voc'zcoS{afcd]2+I@TZV>:h6}cou37+voc'zcoS{afcd]2+I@TZV?:h6}cou37+voc'zcoS{afcd]2+I@TZV<:h6}cou37+voc'zcoS{afcd]2+I@TZV=:h6}cou37+voc'zcoS{afcd]2+I@TZV2:h6}cou37+voc'zcoS{afcd]2+I@TZV39j6}cou37+voc'zcoS{afcd]2+Hgdk|gTmnmzmtnbpjtXkp6;2>>4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVir0<>1319phjr6<&ybh"}fd^tlmfcX9&Gjon{b_`a`qhski}eySnw310<04>ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pcx>26;573zfdx<: shf,wlbX~fchiR? M`a`qhYfkjfyao{os]`}9746::0aa{15-pma)tamU}cdmj_0-NefereVkhoxczl`vlvZe~48>5?=5|lnv20*unl&ybhRx`ibg\5*KfkjfSlmlulwoeqiuWjs7=80<0:qokq73'zco#~gk_wmjg`Y6'DkhoxcPabavipjf|fxTot2>6?10?vjh|8>$dj shf\rjodmV;$Almlul]bgfsj}ekcQly=35:Zts;91x`bz>4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[f;9>48?6}cou37+voc'zcoS{afcd]2+Hgdk|gTmnmzmtnbpjtXkp6:;3Q}t228wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rmv<0:=76=tdf~:8"}fd.qj`ZphajoT="Cncbwn[ded}dgmya}_b{?5=8Xz}9;7~b`t06,wlb({`nTzbgle^3,Ided}dUjon{bumcwkwYdq5;22>=4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVir0<71_sv1b>ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pcx>2:66<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[f;:94T~y=?;rnlp42({`n$djPvnk`aZ7(Ehihy`Qncbwnqigsg{Uhu1<>>218wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rmv<33=[wr482ygcy?;/rkg+vocWebohQ>/Lc`gpkXiji~axbntnp\g|:5:7987~b`t06,wlb({`nTzbgle^3,Ided}dUjon{bumcwkwYdq5892R|{2g9phjr6<&ybh"}fd^tlmfcX9&Gjon{b_`a`qhski}eySnw32?0e?vjh|8>$dj shf\rjodmV;$Almlul]bgfsj}ekcQly=1=6c=tdf~:8"}fd.qj`ZphajoT="Cncbwn[ded}dgmya}_b{?0;4a3zfdx<: shf,wlbX~fchiR? M`a`qhYfkjfyao{os]`}939:o1x`bz>4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[f;>78m7~b`t06,wlb({`nTzbgle^3,Ided}dUjon{bumcwkwYdq5=5>k5|lnv20*unl&ybhRx`ibg\5*KfkjfSlmlulwoeqiuWjs743ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pltv?558492ygcy?;/rkg+vocWebohQ>/Lc`gpkXiji~axbntnp\hpr;9848=6}cou37+voc'zcoS{afcd]2+Hgdk|gTmnmzmtnbpjtXd|~7=?0<1:qokq73'zco#~gk_wmjg`Y6'DkhoxcPabavipjf|fxT`xz312<05>ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pltv?518492ygcy?;/rkg+vocWebohQ>/Lc`gpkXiji~axbntnp\hpr;9<48<6}cou37+voc'zcoS{afcd]2+Hgdk|gTmnmzmtnbpjtXd|~7=3=?;rnlp42({`n$djPvnk`aZ7(Ehihy`Qncbwnqigsg{Ugyy2=>228wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rbzt=1=75=tdf~:8"}fd.qj`ZphajoT="Cncbwn[ded}dgmya}_mww818482ygcy?;/rkg+vocWebohQ>/Lc`gpkXiji~axbntnp\hpr;=79;7~b`t06,wlb({`nTzbgle^3,Ided}dUjon{bumcwkwYk}}6=2>>4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVf~x191319phjr6<&ybh"}fd^tlmfcX9&Gjon{b_`a`qhski}eySa{{<9<04>ukg};?#~gk/rkg[sinklU:#@olcto\efere|fjxb|Pltv?=;573zfdx<: shf,wlbX~fchiR? M`a`qhYfkjfyao{os]{kw:76:;0aa{15-pma)tamU}cdmj_0-NefereVkhoxczl`vlvZ~hz5;;2>?4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVrd~1?>>238wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rv`r=31:67<{ee=9!|ie-pmaYqg`inS?4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVrd~1?:>238wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rv`r=35:67<{ee=9!|ie-pmaYqg`inS?4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVrd~1?6>228wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rv`r=3=74=tdf~:8"}fd.qj`ZphajoT="Cncbwn[ded}dgmya}_ymq8769;81x`bz>4.qj`*unlV|denkP1.ObgfsjWhihy`{caumq[}iu4;;5?<5|lnv20*unl&ybhRx`ibg\5*KfkjfSlmlulwoeqiuWqey0?<1309phjr6<&ybh"}fd^tlmfcX9&Gjon{b_`a`qhski}eySua}<31=71=tdf~:8"}fd.qj`ZphajoT="Cncbwn[ded}dgmya}_ymq8759W{~8<6}cou37+voc'zcoS{afcd]2+Hgdk|gTmnmzmtnbpjtXpfx7>3=?;rnlp42({`n$djPvnk`aZ7(Ehihy`Qncbwnqigsg{Usc2<>228wiis9=%xei!|ie]uklebW8%Fmnmzm^c`gpkrdh~d~Rv`r=6=75=tdf~:8"}fd.qj`ZphajoT="Cncbwn[ded}dgmya}_ymq808482ygcy?;/rkg+vocWebohQ>/Lc`gpkXiji~axbntnp\|jt;>79;7~b`t06,wlb({`nTzbgle^3,Ided}dUjon{bumcwkwYg{6<2>>4smmw51)tam%xeiQyohaf[4)Jiji~aRolctovhdrhzVrd~161319phjr6<&ybh"}fd^tlmfcX9&Gjon{b_`a`qhski}eySua}<8<65>ukg};?#~gk/rkg[sinklU:#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS</LaliuguWyghyfdujX54[Xiji~axbntnpX66[XDlyxXeoa_00\kZe~484>=6}cou37+voc'zcoS{afcd]2+HeheykyS}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44XgVir0?0:1:qokq73'zco#~gk_wmjg`Y6'Dida}o}_qwow`qnl}bP=>SPLdqpPmgiW88TcRmv<2<65>ukg};?#~gk/rkg[sinklU:#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS</LaliuguWyghyfdujX54[Xiji~axbntnpX66[XDlyxXeoa_00\kZe~4<4>>6}cou37+voc'zcoS{afcd]2+HeheykyS}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44XgVf~x1>1539phjr6<&ybh"}fd^tlmfcX9&Ghc`~nr^rvhvcpam~cW2:04<{ee=9!|ie-pmaYqg`inS2^m\hpr;:7?97~b`t06,wlb({`nTzbgle^3,IfijxhxT|xb|evkgpm]69TUjon{bumcwkw]5;TUGi~}[h`l\57YhWe0>0:2:qokq73'zco#~gk_wmjg`Y6'Dida}o}_qwow`qnl}bP=>SPLdqpPmgiW88TcRbzt=6=14=tdf~:8"}fd.qj`ZphajoT="ClolrbvZvrdzo|eizg[03^[ded}dgmya}[31^[Ict{]bjbR?=_n]oqqbn=;1x`bz>4.qj`*unlV|denkP1.O`khvfzVz~`~kxievk_47ZWhihy`{caumq_75ZWEoxYfnn^31[jYk}}nb=8<4smmw51)tam%xeiQyohaf[4)Jkfg{mQumqfslbs`R;:QRolctovhdrhzR88QRBjsrVkekY6:VeT`xzki371?vjh|8>$dj shf\rjodmV;$Anabp`p\tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`_mww`l52:2ygcy?;/rkg+vocWebohQ>/LaliuguWyghyfdujX54[Xiji~axbntnpX66[XDlyxXeoa_00\kZjr|mc??>5|lnv20*unl&ybhRx`ibg\5*Kdg|dSigyiegq[firf}oySnw30?15?vjh|8>$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]`}969W{~886}cou37+voc'zcoS{afcd]2+Heh}g~Thdxfddp\gjsi|lxTot2>0?17?vjh|8>$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]`}9766:>0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVir0<<1359phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_b{?5684<2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXkp6:83=;;rnlp42({`n$djPvnk`aZ7(Eje~byQkiwkgawYdg|diQly=36:62<{ee=9!|ie-pmaYqg`inS5|lnv20*unl&ybhRx`ibg\5*Kdg|dSigyiegq[firf}oySnw31?10?vjh|8>$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]`}949;:1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWjs7?3=<;rnlp42({`n$djPvnk`aZ7(Eje~byQkiwkgawYdg|diQly=6=76=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[f;=7987~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Uhu181329phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_b{?3;543zfdx<: shf,wlbX~fchiR? MbmvjqYcacoiQlotlwawYdq525?>5|lnv20*unl&ybhRx`ibg\5*Kdg|dSigyiegq[firf}oySnw39?10?vjh|8>$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]fukY7;=1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWl{eS=?<3:qokq73'zco#~gk_wmjg`Y6'DidyczPdhtj``tXkfexh|Pepl\562<{ee=9!|ie-pmaYqg`inSukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\athX9;9>7~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Un}cQ>2017?vjh|8>$dj shf\rjodmV;$Anaznu]gmsocm{Uhcx`{es]fukY6;:?0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVozbR?<1268wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^grjZ73;<1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWl{eS<:>359phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_dsm[434=2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXmxdT=8?<4:qokq73'zco#~gk_wmjg`Y6'DidyczPdhtj``tXkfexh|Pepl\53523zfdx<: shf,wlbX~fchiR? MbmvjqYcacoiQlotlwawYbygU::<=;;rnlp42({`n$djPvnk`aZ7(Eje~byQkiwkgawYdg|diQjqo]2363<{ee=9!|ie-pmaYqg`inS=4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rk~n^000>ukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\athX:8987~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Un}cQ<359phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_dsm[674;2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXmxdT8>:4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rk~n^6276=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[`wiW<9?7~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Un}cQ:1218wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^grjZ04<2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXmxdT:<=<;rnlp42({`n$djPvnk`aZ7(Eje~byQkiwkgawYdg|diQjqo]471=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[`wiW>;8?6}cou37+voc'zcoS{afcd]2+Heh}g~Thdxfddp\gjsi|lxTi|`P8268wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^grjZ>6;:1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWl{eS4=;;rnlp42({`n$djPvnk`aZ7(Eje~byQkiwkgawYdg|diQjqo]:563<{ee=9!|ie-pmaYqg`inSl4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rbzt=33:ZOI^V:896}cou37+voc'zcoS{afcd]2+Heh}g~Thdxfddp\gjsi|lxT`xz310<0f>ukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\hpr;984TECXP0278wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^nvp9756:h0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVf~x1?=>^KMRZ64=2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXd|~7=>0;4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rbzt=37:6d<{ee=9!|ie-pmaYqg`inSukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\hpr;9<48n6}cou37+voc'zcoS{afcd]2+Heh}g~Thdxfddp\gjsi|lxT`xz314<\MKPX8:?0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVf~x1?9>2`8wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^nvp9716VCEZR><5:qokq73'zco#~gk_wmjg`Y6'DidyczPdhtj``tXkfexh|Pltv?5284j2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXd|~7=:0PIOT\462<{ee=9!|ie-pmaYqg`inS0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVf~x1<13`9phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_mww878XAG\T<>:4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rbzt=1=7d=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[iss4:4TECXP0268wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^nvp929;h1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWe090PIOT\462<{ee=9!|ie-pmaYqg`inS0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVf~x1813`9phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_mww838XAG\T<>:4smmw51)tam%xeiQyohaf[4)JkfexRjfvhffvZeh}g~n~Rbzt=5=7d=tdf~:8"}fd.qj`ZphajoT="Clotlw[aoqamoySnaznugq[iss4>4TECXP0268wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^nvp9>9;h1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWe050PIOT\462<{ee=9!|ie-pmaYqg`inS0aa{15-pma)tamU}cdmj_0-Ngjsi|Vnbzdjjr^alqkrbzVrd~1>1349phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_ymq8469;<1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey04.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey0<=1349phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_ymq8429;<1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey0<;1349phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_ymq8409;<1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey0<91359phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_ekumacuWje~byk}_ymq8484<2ygcy?;/rkg+vocWebohQ>/LalqkrXl`|bhh|Pcnwmp`tXpfx7>3=;;rnlp42({`n$djPvnk`aZ7(Eje~byQkiwkgawYdg|diQwos>0:62<{ee=9!|ie-pmaYqg`inS5?95|lnv20*unl&ybhRx`ibg\5*Kdg|dSigyiegq[firf}oySua}<4<00>ukg};?#~gk/rkg[sinklU:#@m`uov\`lpnllxTob{atdp\|jt;>79?7~b`t06,wlb({`nTzbgle^3,Ifirf}Uoe{gkes]`kphsm{Usc28>268wiis9=%xei!|ie]uklebW8%Fob{at^fjrlbbzVidyczjr^zlv9>9;=1x`bz>4.qj`*unlV|denkP1.O`kphsWmc}eik}_bmvjqcuWqey040=f:qokq73'zco#~gk_wmjg`Y6'DidyczPeot\gjsi|lxTot2?>228wiis9=%xei!|ie]uklebW8%Fob{at^gmrZeh}g~n~Rmv<02=75=tdf~:8"}fd.qj`ZphajoT="Clotlw[`hqWje~byk}_b{?548482ygcy?;/rkg+vocWebohQ>/LalqkrXmg|Tob{atdp\g|:6:79;7~b`t06,wlb({`nTzbgle^3,Ifirf}Unb{QlotlwawYdq5;82>>4smmw51)tam%xeiQyohaf[4)JkfexRkav^alqkrbzVir0<:1319phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_dlu[firf}oySnw314<04>ukg};?#~gk/rkg[sinklU:#@m`uov\akpXkfexh|Pcx>22;4a3zfdx<: shf,wlbX~fchiR? MbmvjqYbfUhcx`{es]`}979:o1x`bz>4.qj`*unlV|denkP1.O`kphsWld}Snaznugq[f;:78m7~b`t06,wlb({`nTzbgle^3,Ifirf}Unb{QlotlwawYdq595>k5|lnv20*unl&ybhRx`ibg\5*Kdg|dSh`y_bmvjqcuWjs783$dj shf\rjodmV;$Anaznu]fjsYdg|diQly=5=6c=tdf~:8"}fd.qj`ZphajoT="Clotlw[`hqWje~byk}_b{?<;4a3zfdx<: shf,wlbX~fchiR? MbmvjqYbfUhcx`{es]`}9?9;91x`bz>4.qj`*unlV|denkP1.O`kphsWld}Snaznugq[iss4948=6}cou37+voc'zcoS{afcd]2+Heh}g~TicxPcnwmp`tXd|~7==0<1:qokq73'zco#~gk_wmjg`Y6'DidyczPeot\gjsi|lxT`xz310<05>ukg};?#~gk/rkg[sinklU:#@m`uov\akpXkfexh|Pltv?578492ygcy?;/rkg+vocWebohQ>/LalqkrXmg|Tob{atdp\hpr;9:48=6}cou37+voc'zcoS{afcd]2+Heh}g~TicxPcnwmp`tXd|~7=90<1:qokq73'zco#~gk_wmjg`Y6'DidyczPeot\gjsi|lxT`xz314<05>ukg};?#~gk/rkg[sinklU:#@m`uov\akpXkfexh|Pltv?538492ygcy?;/rkg+vocWebohQ>/LalqkrXmg|Tob{atdp\hpr;9>48<6}cou37+voc'zcoS{afcd]2+Heh}g~TicxPcnwmp`tXd|~7=3=?;rnlp42({`n$djPvnk`aZ7(Eje~byQjnw]`kphsm{Ugyy2=>228wiis9=%xei!|ie]uklebW8%Fob{at^gmrZeh}g~n~Rbzt=1=75=tdf~:8"}fd.qj`ZphajoT="Clotlw[`hqWje~byk}_mww818482ygcy?;/rkg+vocWebohQ>/LalqkrXmg|Tob{atdp\hpr;=79;7~b`t06,wlb({`nTzbgle^3,Ifirf}Unb{QlotlwawYk}}6=2>>4smmw51)tam%xeiQyohaf[4)JkfexRkav^alqkrbzVf~x191319phjr6<&ybh"}fd^tlmfcX9&Ghcx`{_dlu[firf}oySa{{<9<04>ukg};?#~gk/rkg[sinklU:#@m`uov\akpXkfexh|Pltv?=;573zfdx<: shf,wlbX~fchiR? MbmvjqYbfUhcx`{es]{kw:76:;0aa{15-pma)tamU}cdmj_0-Ngjsi|VoezRm`uovfvZ~hz5;;2>?4smmw51)tam%xeiQyohaf[4)JkfexRkav^alqkrbzVrd~1?>>238wiis9=%xei!|ie]uklebW8%Fob{at^gmrZeh}g~n~Rv`r=31:67<{ee=9!|ie-pmaYqg`inS?4smmw51)tam%xeiQyohaf[4)JkfexRkav^alqkrbzVrd~1?:>238wiis9=%xei!|ie]uklebW8%Fob{at^gmrZeh}g~n~Rv`r=35:67<{ee=9!|ie-pmaYqg`inS$dj shf\rjodmV;$Anaznu]fjsYdg|diQwos>7:66<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.O`kphsWld}Snaznugq[}iu4?48<6}cou37+voc'zcoS{afcd]2+Heh}g~TicxPcnwmp`tXpfx7;3=?;rnlp42({`n$djPvnk`aZ7(Eje~byQjnw]`kphsm{Usc27>228wiis9=%xei!|ie]uklebW8%Fob{at^gmrZeh}g~n~Rv`r=;=14=tdf~:8"}fd.qj`ZphajoT="Cbumv\wlaiieUfyuU>7\]fjsYdg|diU>7\]NqIrX91UDYYQ|ucovhqY7==1x`bz>4.qj`*unlV|denkP1.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]pqgkrd}U;S<>:4:qokq73'zco#~gk_wmjg`Y6'Dg~`yQ|iflbhZkrpR;8:4smmw51)tam%xeiQyohaf[4)Je|fS~ghn`n\ip~\9>WTicxPcnwmp`t\9>WTAxB{_0:\KPRX{|hfyazP0^3011=tdf~:8"}fd.qj`ZphajoT="Cbumv\wlaiieUfyuU>7\]fjsYdg|diU>7\]NqIrX91UDYYQ|ucovhqY7W8>>86}cou37+voc'zcoS{afcd]2+Hkrd}Uxej`nl^ov|^70UVoezRm`uovfv^70UVG~@yQ>8^MVPZurjdgxR>P1470?vjh|8>$dj shf\rjodmV;$A`{ct^qjckgkWdsW<9R_dlu[firf}oyW<9R_LwOpZ7?WF__S~{mmtnw[5Y?=:1x`bz>4.qj`*unlV|denkP1.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]pqgkrd}U;S4<7;rnlp42({`n$djPvnk`aZ7(EdsSIkl_sgo85869;20aa{15-pma)tamU}cdmj_0-Nip~XLliT~hb31?326==tdf~:8"}fd.qj`ZphajoT="Cbuy]GafYume6926^MVP474k2ygcy?;/rkg+vocWebohQ>/Lov|ZJA[[Q9>PQHNE]002YhWdsS<8POTV2545d3zfdx<: shf,wlbX~fchiR? Mlw{[I@TZR89QRIAD^173ZiXe|rT=;Q@UU3156e<{ee=9!|ie-pmaYqg`inSukg};?#~gk/rkg[sinklU:#@czx^NEWW]5:TULBIQ<46]l[hsW8501`?vjh|8>$dj shf\rjodmV;$A`{w_MDPV^45UVMEHR=;7^m\ip~X9?UDYY?912a8wiis9=%xei!|ie]uklebW8%FaxvPLGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X<9>3b9phjr6<&ybh"}fd^tlmfcX9&GfyuQCFRPX67[XOGNT?99Po^ov|Z71WF__=5?l4smmw51)tam%xeiQyohaf[4)Je|rT@K]][30^[BHCW:>UdS`{w_04\KPR5989h7~b`t06,wlb({`nTzbgle^3,IhsWELX^V<=]^EM@Z53?VeTaxvP17]LQQ459:i0aa{15-pma)tamU}cdmj_0-Nip~XDOYYW?6^MVP756;k1x`bz>4.qj`*unlV|denkP1.Onq}YKNZXP>?SPGOF\711XgVg~tR?9_NWW745e3zfdx<: shf,wlbX~fchiR? Mlw{[I@TZR89QRIAD^173ZiXe|rT=;Q@UU627g=tdf~:8"}fd.qj`ZphajoT="Cbuy]OBVT\:;WTKCJP355\kZkrpV;=SB[[501a?vjh|8>$dj shf\rjodmV;$A`{w_MDPV^45UVMEHR=;7^m\ip~X9?UDYY8>3c9phjr6<&ybh"}fd^tlmfcX9&GfyuQCFRPX67[XOGNT?99Po^ov|Z71WF__;<=m;rnlp42({`n$djPvnk`aZ7(EdsSAH\RZ01YZAILV9?;RaPmtz\53YH]]2:?o5|lnv20*unl&ybhRx`ibg\5*Kj}qUGJ^\T23_\CKBX;==TcRczx^35[JSS188h7~b`t06,wlb({`nTzbgle^3,IhsWmc}eik}_wcoq`779;i0aa{15-pma)tamU}cdmj_0-Nip~Xl`|bhh|Pv`nva476:j1x`bz>4.qj`*unlV|denkP1.Onq}YcacoiQyamwf5775k2ygcy?;/rkg+vocWebohQ>/Lov|Zbn~`nn~Rxnltg2744d3zfdx<: shf,wlbX~fchiR? Mlw{[aoqamoyS{ocud3757e<{ee=9!|ie-pmaYqg`inS$dj shf\rjodmV;$A`{w_ekumacuWkgyh?82b9phjr6<&ybh"}fd^tlmfcX9&GfyuQkiwkgawYqien=:?=b:qokq73'zco#~gk_wmjg`Y6'Dg~tRjfvhffvZpfd|o9=?l4smmw51)tam%xeiQyohaf[4)Je|rThdxfddp\rdjrm:;9n6}cou37+voc'zcoS{afcd]2+HkrpVnbzdjjr^tbhpc39;h0aa{15-pma)tamU}cdmj_0-Nip~Xl`|bhh|Pv`nva075j2ygcy?;/rkg+vocWebohQ>/Lov|Zbn~`nn~Rxnltg557d<{ee=9!|ie-pmaYqg`inSukg};?#~gk/rkg[sinklU:#@czx^fjrlbbzV|j`xk713`8wiis9=%xei!|ie]uklebW8%FaxvPdhtj``tX~hf~i4?;0:qokq73'zco#~gk_wmjg`Y6'DxjaRO{t^ffg^1ZWY]YS?=?_n]tmacXe|rT:4Q@UU62?vjh|8>$dj shf\rjodmV;$Aob_@vw[acdS>WT\Z\P222\kZqnllUfyuQ99^MVP4253zfdx<: shf,wlbX~fchiR? Mscn[DrsWmohW:SPPVP\666XgV}bhhQbuy]5=ZIR\8:??6}cou37+voc'zcoS{afcd]2+HtfeVKxRjjcZ5^[UQUW;9;SbQxieg\ip~X>0UDYY??1508wiis9=%xei!|ie]uklebW8%F~lcPAuv\``e\?TU[[_Q=31]l[rocmVg~tR86_NWW54243zfdx<: shf,wlbX~fchiR? Mscn[DrsWmohW:SPPVP\666XgV}bhhQbuy]5=ZIR\8;:895|lnv20*unl&ybhRx`ibg\5*KuidUJxyQkebY4YZVPZV8810376>ukg};?#~gk/rkg[sinklU:#@|nm^CwpZbbkR=VS]Y]_313[jYpamoTaxvP68]LQQ75<:1x`bz>4.qj`*unlV|denkP1.OqehYF|}UoinU8]^RTVZ448VeT{djj_lw{[3?XG\^:><::;rnlp42({`n$djPvnk`aZ7(E{kfSLz{_eg`_2[XX^XT>>>Po^uj``Yj}qU=5RAZT00254233zfdx<: shf,wlbX~fchiR? Mscn[DrsWmohW:SPPVP\666XgV}bhhQbuy]5=ZIR\889=9<4smmw51)tam%xeiQyohaf[4)JzhgTMyzPddaX3XYW_[U9?=Q`_vkgaZkrpV<2SB[[1560?vjh|8>$dj shf\rjodmV;$Aob_@vw[acdS>WT\Z\P222\kZqnllUfyuQ99^MVP426<81x`bz>4.qj`*unlV|denkP1.OqehYF|}UoinU8]^RTVZ448VeT{djj_lw{[3?XG\^98?5|lnv20*unl&ybhRx`ibg\5*KuidUJxyQkebY4YZVPZV88:7~b`t06,wlb({`nTzbgle^3,IwgjWH~Sikl[6_\TRTX:::TcRyfdd]nq}Y11VE^X9:=;rnlp42({`n$djPvnk`aZ7(E{kfSLz{_eg`_2[XX^XT>>>Po^uj``Yj}qU=5RAZT5375>ukg};?#~gk/rkg[sinklU:#@|nm^CwpZbbkR=VS]Y]_313[jYpamoTaxvP68]LQQ33:2ygcy?;/rkg+vocWebohQ>/LpbiZGs|VnnoV9R_QUQ[757WfU|eikPmtz\2WF__;<:>;rnlp42({`n$djPvnk`aZ7(E{kfSLz{_eg`_2[XX^XT>>>Po^uj``Yj}qU=5RAZT961?vjh|8>$dj shf\rjodmV;$Aob_@vw[acdS>WT\Z\P222\kZqnllUfyuQ99^MVP=7392ygcy?;/rkg+vocWebohQ>/LpbiZGs|VnnoV9R_QUQ[757WfU|eikPmtz\26}cou37+voc'zcoS{afcd]2+HtfeVKxRjjcZ5^[UQUW;9;SbQxieg\ip~X>0UDYY7>419phjr6<&ybh"}fd^tlmfcX9&Gym`QKeb]qai]4UVZ\^R<<0^m\slbbWdsS:=POTV75>ukg};?#~gk/rkg[sinklU:#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ73:2ygcy?;/rkg+vocWebohQ>/LpbiZBbkVxn`V=R_QUQ[757WfU|eikPmtz\36YH]];;8>5|lnv20*unl&ybhRx`ibg\5*KuidUOinQ}emY0YZVPZV889TCXZ>0061?vjh|8>$dj shf\rjodmV;$Aob_Eg`[wckS:WT\Z\P222\kZqnllUfyuQ83^MVP473;2ygcy?;/rkg+vocWebohQ>/LpbiZBbkVxn`V=R_QUQ[757WfU|eikPmtz\36YH]];:=9:4smmw51)tam%xeiQyohaf[4)JzhgTHhmPrdnX7XYW_[U9?=Q`_vkgaZkrpV=8SB[[103207=tdf~:8"}fd.qj`ZphajoT="C}al]GafYumeQ8QR^XR^004ZiX`nnS`{w_61\KPR6:=90aa{15-pma)tamU}cdmj_0-NvdkXLliT~hbT3\]SSWY5;9UdSzgke^ov|Z14WF__=??;2:qokq73'zco#~gk_wmjg`Y6'DxjaRJjc^pfh^5ZWY]YS?=?_n]tmacXe|rT;>Q@UU3004=tdf~:8"}fd.qj`ZphajoT="C}al]GafYumeQ8QR^XR^004ZiX`nnS`{w_61\KPR5<;1x`bz>4.qj`*unlV|denkP1.OqehYCmjUyiaU<]^RTVZ448VeT{djj_lw{[25XG\^9=9?4smmw51)tam%xeiQyohaf[4)JzhgTHhmPrdnX7XYW_[U9?=Q`_vkgaZkrpV=8SB[[3508wiis9=%xei!|ie]uklebW8%F~lcPDda\v`j\;TU[[_Q=31]l[rocmVg~tR9<_NWW74263zfdx<: shf,wlbX~fchiR? Mscn[AcdW{ogW>SPPVP\666XgV}bhhQbuy]47ZIR\=>97~b`t06,wlb({`nTzbgle^3,IwgjWMohSkc[2_\TRTX:::TcRyfdd]nq}Y0;VE^X9?;1:qokq73'zco#~gk_wmjg`Y6'DxjaRJjc^pfh^5ZWY]YS?=?_n]tmacXe|rT;>Q@UU776>ukg};?#~gk/rkg[sinklU:#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ36<81x`bz>4.qj`*unlV|denkP1.OqehYCmjUyiaU<]^RTVZ448VeT{djj_lw{[25XG\^=8?5|lnv20*unl&ybhRx`ibg\5*KuidUOinQ}emY0YZVPZV889TCXZ91518wiis9=%xei!|ie]uklebW8%F~lcPDda\v`j\;TU[[_Q=31]l[rocmVg~tR9<_NWW247392ygcy?;/rkg+vocWebohQ>/LpbiZBbkVxn`V=R_QUQ[757WfU|eikPmtz\36YH]]=?>6}cou37+voc'zcoS{afcd]2+HtfeVNnoR|jlZ1^[UQUW;9;SbQxieg\ip~X?:UDYY9>409phjr6<&ybh"}fd^tlmfcX9&Gym`QKeb]qai]4UVZ\^R<<0^m\slbbWdsS:=POTV;07=tdf~:8"}fd.qj`ZphajoT="C}al]GafYumeQ8QR^XR^004ZiX`nnS`{w_61\KPR?9=;0aa{15-pma)tamU}cdmj_0-NvdkXLliT~hbT3\]SSWY5;9UdSzgke^ov|Z14WF__59<4smmw51)tam%xeiQyohaf[4)JzhgTHhmPrdnX7XYW_[U9?=Q`_vkgaZkrpV=8SB[[901f?vjh|8>$dj shf\rjodmV;$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<1<0b>ukg};?#~gk/rkg[sinklU:#]OPMR@\mkYfkjfyao{osY17XYj}qU:>RAZT=2=56`<{ee=9!|ie-pmaYqg`inS/QC\IVDXagUjon{bumcwkw]5;TUfyuQ>2^MVP977689m7~b`t06,wlb({`nTzbgle^3,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS48;58=5|lnv20*unl&ybhRx`ibg\5*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]6:=3?>01f?vjh|8>$dj shf\rjodmV;$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<3<0b>ukg};?#~gk/rkg[sinklU:#]OPMR@\mkYfkjfyao{osY17XYj}qU:>RAZT=0=56c<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:36:l0aa{15-pma)tamU}cdmj_0-SEZKTJVceSlmlulwoeqiuS;9VS`{w_00\KPR;<7;8i6}cou37+voc'zcoS{afcd]2+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\5?5?k5|lnv20*unl&ybhRx`ibg\5*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]6>2<=j;rnlp42({`n$djPvnk`aZ7(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__0;0$dj shf\rjodmV;$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<6<27`=tdf~:8"}fd.qj`ZphajoT="^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>;:6`<{ee=9!|ie-pmaYqg`inS/QC\IVDXagUjon{bumcwkw]5;TUfyuQ>2^MVP9?9;o1x`bz>4.qj`*unlV|denkP1.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:>688>7~b`t06,wlb({`nTzbgle^3,TDYJ[KUbbR~}ew03?vjh|8>$dj shf\rjodmV;$^h}zlu>3:77<{ee=9!|ie-pmaYqg`inS??4smmw51)tam%xeiQyohaf[4)Umzgx1??>308wiis9=%xei!|ie]uklebW8%Yi~{ct=33:4453zfdx<: shf,wlbX~fchiR? Rdqvhq:68789=6}cou37+voc'zcoS{afcd]2+Wct}e~7=<0=2:qokq73'zco#~gk_wmjg`Y6'[oxyaz310<267=tdf~:8"}fd.qj`ZphajoT="\jstnw8479:;;0aa{15-pma)tamU}cdmj_0-Qavsk|5;92?<4smmw51)tam%xeiQyohaf[4)Umzgx1?=>001?vjh|8>$dj shf\rjodmV;$^h}zlu>26;4592ygcy?;/rkg+vocWebohQ>/Sgpqir;9:49>6}cou37+voc'zcoS{afcd]2+Wct}e~7=>0>239phjr6<&ybh"}fd^tlmfcX9&Xnxb{<01=677<{ee=9!|ie-pmaYqg`inS4?015>ukg};?#~gk/rkg[sinklU:#_k|umv?5085:2ygcy?;/rkg+vocWebohQ>/Sgpqir;9<4:>?5|lnv20*unl&ybhRx`ibg\5*Tb{|f0<;12338wiis9=%xei!|ie]uklebW8%Yi~{ct=35:74<{ee=9!|ie-pmaYqg`inSukg};?#~gk/rkg[sinklU:#_k|umv?5286:;1x`bz>4.qj`*unlV|denkP1.Pfwpjs48=5>??4smmw51)tam%xeiQyohaf[4)Umzgx1?7>338wiis9=%xei!|ie]uklebW8%Yi~{ct=3::76<{ee=9!|ie-pmaYqg`inS4.qj`*unlV|denkP1.Pfwpjs484:><5|lnv20*unl&ybhRx`ibg\5*Tb{|f0<0=209phjr6<&ybh"}fd^tlmfcX9&Xnxb{<32=64=tdf~:8"}fd.qj`ZphajoT="\jstnw8779:81x`bz>4.qj`*unlV|denkP1.Pfwpjs4;85><5|lnv20*unl&ybhRx`ibg\5*Tb{|f0?=1219phjr6<&ybh"}fd^tlmfcX9&Xnxb{<3<15>ukg};?#~gk/rkg[sinklU:#_k|umv?6;7592ygcy?;/rkg+vocWebohQ>/Sgpqir;:789<6}cou37+voc'zcoS{afcd]2+Wct}e~7?3<>;rnlp42({`n$djPvnk`aZ7(Zly~`y2<>002?vjh|8>$dj shf\rjodmV;$^h}zlu>0:7473zfdx<: shf,wlbX~fchiR? Rdqvhq:36;;0aa{15-pma)tamU}cdmj_0-Qavsk|5>5=??4smmw51)tam%xeiQyohaf[4)Umzgx1:12328wiis9=%xei!|ie]uklebW8%Yi~{ct=7=64=tdf~:8"}fd.qj`ZphajoT="\jstnw8086:81x`bz>4.qj`*unlV|denkP1.Pfwpjs4<49>=5|lnv20*unl&ybhRx`ibg\5*Tb{|f0;0=1:qokq73'zco#~gk_wmjg`Y6'[oxyaz36?315>ukg};?#~gk/rkg[sinklU:#_k|umv?2;4582ygcy?;/rkg+vocWebohQ>/Sgpqir;?78:7~b`t06,wlb({`nTzbgle^3,V`urd}6<2<<>;rnlp42({`n$djPvnk`aZ7(Zly~`y28>303?vjh|8>$dj shf\rjodmV;$^h}zlu>;:77<{ee=9!|ie-pmaYqg`inS99;;0aa{15-pma)tamU}cdmj_0-Qavsk|525>?>4smmw51)tam%xeiQyohaf[4)Umzgx171209phjr6<&ybh"}fd^tlmfcX9&Xnxb{<8<264=tdf~:8"}fd.qj`ZphajoT="\jstnw8<85>91x`bz>4.qj`*unlV|denkP1.]\[]JIEVUT;9QP_LaliuguWyghyfdujX54[Xiji~axbntnpX66[XDlyxXeoa_00\kZjr|mc==6}cou37+voc'zcoS{afcd]2+ZYXPEDFSRQ85^]\IfijxhxT|xb|evkgpm]69TUjon{bumcwkw]5;TUGi~}[h`l\57YhWehd?91:qokq73'zco#~gk_wmjg`Y6'VUTTA@B_^]42ZYXEjef|l|Pptnparoc|aQ:=PQncbwnqigsg{Q9?PQCerqWldhX9;UdSa{{dh055>ukg};?#~gk/rkg[sinklU:#RQPXMLN[ZY0?VUTAnabp`p\tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`_mww`l5192ygcy?;/rkg+vocWebohQ>/^]\\IHJWVU<4RQPMbmntdtXx|fxizgktiY25XYfkjfyao{osY17XYKmzy_dl`P13]l[issl`>9=6}cou37+voc'zcoS{afcd]2+Zh7;::Tecx=2:qokq73'zco#~gk_wmjg`Y6'Vd;?>>Piot25a=tdf~:8"}fd.qj`ZphajoT="Qa026:5`=tdf~:8"}fd.qj`ZphajoT="Qa026:54c<{ee=9!|ie-pmaYqg`inS/`a`qZdn|Vzyi{85|lnv20*unl&ybhRx`ibg\5*gdk|g~`lz`r=2=63=tdf~:8"}fd.qj`ZphajoT="olctovhdrhz5;;2?84smmw51)tam%xeiQyohaf[4)fkjfyao{os>25;413zfdx<: shf,wlbX~fchiR? abavipjf|fx7=?0=6:qokq73'zco#~gk_wmjg`Y6'hihy`{caumq8459:?1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~1?;>348wiis9=%xei!|ie]uklebW8%jon{bumcwkw:6=78=7~b`t06,wlb({`nTzbgle^3,efere|fjxb|317<12>ukg};?#~gk/rkg[sinklU:#lmlulwoeqiu48=5>;5|lnv20*unl&ybhRx`ibg\5*gdk|g~`lz`r=3;:70<{ee=9!|ie-pmaYqg`inS=0=6:qokq73'zco#~gk_wmjg`Y6'hihy`{caumq8779:?1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~1<=>348wiis9=%xei!|ie]uklebW8%jon{bumcwkw:5;78>7~b`t06,wlb({`nTzbgle^3,efere|fjxb|32?06?vjh|8>$dj shf\rjodmV;$mnmzmtnbpjt;;78>7~b`t06,wlb({`nTzbgle^3,efere|fjxb|34?06?vjh|8>$dj shf\rjodmV;$mnmzmtnbpjt;=78>7~b`t06,wlb({`nTzbgle^3,efere|fjxb|36?06?vjh|8>$dj shf\rjodmV;$mnmzmtnbpjt;?78>7~b`t06,wlb({`nTzbgle^3,efere|fjxb|38?06?vjh|8>$dj shf\rjodmV;$mnmzmtnbpjt;178?7~b`t06,wlb({`nTzbgle^3,efere|fjxb|P0368wiis9=%xei!|ie]uklebW8%jon{bumcwkwY6:<1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~R??249phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlvZ76:<1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~R?=249phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlvZ74:<1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~R?;249phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlvZ72:<1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~R?9249phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlvZ70:<1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~R?7249phjr6<&ybh"}fd^tlmfcX9&khoxczl`vlvZ7>:=1x`bz>4.qj`*unlV|denkP1.c`gpkrdh~d~R<=5:qokq73'zco#~gk_wmjg`Y6'hihy`{caumq[765=2ygcy?;/rkg+vocWebohQ>/`a`qhski}eyS??=5:qokq73'zco#~gk_wmjg`Y6'hihy`{caumq[745=2ygcy?;/rkg+vocWebohQ>/`a`qhski}eyS?==4:qokq73'zco#~gk_wmjg`Y6'hihy`{caumq[6433zfdx<: shf,wlbX~fchiR? abavipjf|fxT8?:4smmw51)tam%xeiQyohaf[4)fkjfyao{os]661=tdf~:8"}fd.qj`ZphajoT="olctovhdrhzV<986}cou37+voc'zcoS{afcd]2+ded}dgmya}_607?vjh|8>$dj shf\rjodmV;$mnmzmtnbpjtX0;>0aa{15-pma)tamU}cdmj_0-bgfsj}ekcQ6289phjr6<&ybh"}fd^tlmfcX9&i~~R}{aug\BVKXNOn:>n5|lnv20*unl&ybhRx`ibg\5*erzVymykPFRO\BCb6%@d9h6}cou37+voc'zcoS{afcd]2+fsuWz~jxhQISL]EBa7*Ag;9h6}cou37+voc'zcoS{afcd]2+fsuWz~jxhQISL]EBa7*Ag98=6}cou37+voc'zcoS{afcd]2+fsuWz~jxhQISL]EBa7*Ag9T_Z>=9:qokq73'zco#~gk_wmjg`Y6'jyS~zntd]EWHYANm89o6}cou37+voc'zcoS{afcd]2+fsuWz~jxhQISL]EBa4*Ag8o7~b`t06,wlb({`nTzbgle^3,gptX{}kiRH\M^DE`7+Nf88o7~b`t06,wlb({`nTzbgle^3,gptX{}kiRH\M^DE`7+Nf;827~b`t06,wlb({`nTzbgle^3,gptX{}kiRH\M^DE`64d3zfdx<: shf,wlbX~fchiR? ctp\wqgsmVLXARHId2/Jj7b<{ee=9!|ie-pmaYqg`inS<{ee=9!|ie-pmaYqg`inS1289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^cwpdel48:5>45|lnv20*unl&ybhRx`ibg\5*bn~`nn~Ro{t`ah8479:01x`bz>4.qj`*unlV|denkP1.fjrlbbzVkxlmd<00=6<=tdf~:8"}fd.qj`ZphajoT="jfvhffvZgs|hi`0<=1289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^cwpdel48>5>55|lnv20*unl&ybhRx`ibg\5*bn~`nn~Ro{t`ah848502ygcy?;/rkg+vocWebohQ>/ekumacuWh~mne32?0;?vjh|8>$dj shf\rjodmV;$hdxfddp\eqrfkb682?64smmw51)tam%xeiQyohaf[4)cacoiQntuc`o929:11x`bz>4.qj`*unlV|denkP1.fjrlbbzVkxlmd<4<1<>ukg};?#~gk/rkg[sinklU:#igyiegq[drsija7:3<7;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pauvbgn:06;20aa{15-pma)tamU}cdmj_0-gmsocm{Ujxyolk=:=6==tdf~:8"}fd.qj`ZphajoT="jfvhffvZgs|hi`040=7:qokq73'zco#~gk_wmjg`Y6'mc}eik}_`vwefmX8;=0aa{15-pma)tamU}cdmj_0-gmsocm{Ujxyolk^31<>ukg};?#~gk/rkg[sinklU:#igyiegq[drsijaT==<7;rnlp42({`n$djPvnk`aZ7(l`|bhh|PauvbgnY69;20aa{15-pma)tamU}cdmj_0-gmsocm{Ujxyolk^316==tdf~:8"}fd.qj`ZphajoT="jfvhffvZgs|hi`S<==8:qokq73'zco#~gk_wmjg`Y6'mc}eik}_`vwefmX9=8<7~b`t06,wlb({`nTzbgle^3,`lpnllxTmyzncj]162=tdf~:8"}fd.qj`ZphajoT="jfvhffvZgs|hi`S><8;rnlp42({`n$djPvnk`aZ7(l`|bhh|PauvbgnY3:>1x`bz>4.qj`*unlV|denkP1.fjrlbbzVkxlmd_404?vjh|8>$dj shf\rjodmV;$hdxfddp\eqrfkbU=>:5|lnv20*unl&ybhRx`ibg\5*bn~`nn~Ro{t`ah[2403zfdx<: shf,wlbX~fchiR? dhtj``tXi}~jofQ7269phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^cwpdelW0827~b`t06,wlb({`nTzbgle^3,`lpnllxTob{atdp?4;4f3zfdx<: shf,wlbX~fchiR? dhtj``tXkfexh|311<1e>ukg};?#~gk/rkg[sinklU:#igyiegq[firf}oy0/ekumacuWje~byk}<07=6d=tdf~:8"}fd.qj`ZphajoT="jfvhffvZeh}g~n~1?9>3c8wiis9=%xei!|ie]uklebW8%oe{gkes]`kphsm{6:;3<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pcnwmp`t;97827~b`t06,wlb({`nTzbgle^3,`lpnllxTob{atdp?6;4>3zfdx<: shf,wlbX~fchiR? dhtj``tXkfexh|33?0:?vjh|8>$dj shf\rjodmV;$hdxfddp\gjsi|lx783<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pcnwmp`t;=7827~b`t06,wlb({`nTzbgle^3,`lpnllxTob{atdp?2;4>3zfdx<: shf,wlbX~fchiR? dhtj``tXkfexh|37?0:?vjh|8>$dj shf\rjodmV;$hdxfddp\gjsi|lx743<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pcnwmp`t;17837~b`t06,wlb({`nTzbgle^3,`lpnllxTob{atdp\47><{ee=9!|ie-pmaYqg`inS289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^alqkrbzV;;>45|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rm`uovfvZ76:01x`bz>4.qj`*unlV|denkP1.fjrlbbzVidyczjr^316<=tdf~:8"}fd.qj`ZphajoT="jfvhffvZeh}g~n~R?<289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^alqkrbzV;?>45|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rm`uovfvZ72:01x`bz>4.qj`*unlV|denkP1.fjrlbbzVidyczjr^356<=tdf~:8"}fd.qj`ZphajoT="jfvhffvZeh}g~n~R?8299phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^alqkrbzV8946}cou37+voc'zcoS{afcd]2+aoqamoySnaznugq[64?3zfdx<: shf,wlbX~fchiR? dhtj``tXkfexh|P43:8wiis9=%xei!|ie]uklebW8%oe{gkes]`kphsm{U>>55|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rm`uovfvZ0502ygcy?;/rkg+vocWebohQ>/ekumacuWje~byk}_60;?vjh|8>$dj shf\rjodmV;$hdxfddp\gjsi|lxT4?64smmw51)tam%xeiQyohaf[4)cacoiQlotlwawY>:01x`bz>4.qj`*unlV|denkP1.fjrlbbzVnnoR|jl=2=6d=tdf~:8"}fd.qj`ZphajoT="jfvhffvZbbkVxn`1??>3c8wiis9=%xei!|ie]uklebW8%oe{gkes]gafYume6:=34.qj`*unlV|denkP1.fjrlbbzVnnoR|jl=3=6<=tdf~:8"}fd.qj`ZphajoT="jfvhffvZbbkVxn`1<1289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^ffgZtbd595>45|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rjjc^pfh929:01x`bz>4.qj`*unlV|denkP1.fjrlbbzVnnoR|jl=7=6<=tdf~:8"}fd.qj`ZphajoT="jfvhffvZbbkVxn`181289phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^ffgZtbd5=5>45|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rjjc^pfh9>9:01x`bz>4.qj`*unlV|denkP1.fjrlbbzVnnoR|jl=;=6==tdf~:8"}fd.qj`ZphajoT="jfvhffvZbbkVxn`R>=8:qokq73'zco#~gk_wmjg`Y6'mc}eik}_eg`[wckW8827~b`t06,wlb({`nTzbgle^3,`lpnllxThhmPrdn\554>3zfdx<: shf,wlbX~fchiR? dhtj``tXlliT~hbP100:?vjh|8>$dj shf\rjodmV;$hdxfddp\``eXzlfT=?<6;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pdda\v`jX9:837~b`t06,wlb({`nTzbgle^3,`lpnllxThhmPrdn\67><{ee=9!|ie-pmaYqg`inS946}cou37+voc'zcoS{afcd]2+aoqamoySikl_sgo[04?3zfdx<: shf,wlbX~fchiR? dhtj``tXlliT~hbP63:8wiis9=%xei!|ie]uklebW8%oe{gkes]gafYumeU<>55|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rjjc^pfhZ>502ygcy?;/rkg+vocWebohQ>/ekumacuWmohSkc_804?vjh|8>$dj shf\rjodmV;$hdxfddp\rdjrm5:5>55|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rxnltg?4;7512ygcy?;/rkg+vocWebohQ>/ekumacuWkgyh2?>^21<>ukg};?#~gk/rkg[sinklU:#igyiegq[sgk}l6:<3<7;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pv`nva9766;20aa{15-pma)tamU}cdmj_0-gmsocm{U}ma{j<00=6==tdf~:8"}fd.qj`ZphajoT="jfvhffvZpfd|o7=>0=8:qokq73'zco#~gk_wmjg`Y6'mc}eik}_wcoq`:6<78<7~b`t06,wlb({`nTzbgle^3,`lpnllxTzlbze=3=62=tdf~:8"}fd.qj`ZphajoT="jfvhffvZpfd|o7>3<8;rnlp42({`n$djPvnk`aZ7(l`|bhh|Pv`nva959:>1x`bz>4.qj`*unlV|denkP1.fjrlbbzV|j`xk34?04?vjh|8>$dj shf\rjodmV;$hdxfddp\rdjrm5?5>:5|lnv20*unl&ybhRx`ibg\5*bn~`nn~Rxnltg?2;403zfdx<: shf,wlbX~fchiR? dhtj``tX~hf~i191269phjr6<&ybh"}fd^tlmfcX9&nbzdjjr^tbhpc;078<7~b`t06,wlb({`nTzbgle^3,`lpnllxTzlbze=;=60=tdf~:8"}fd.qj`ZphajoT="kav^ampZswW`d}>;5|lnv20*unl&ybhRx`ibg\5*ci~ViexR{_hlu671<{ee=9!|ie-pmaYqg`inS4^m\mkp3>2ygcy?;/rkg+vocWebohQ>/dlu[firf}UccajPA^gmrZeh}g~Tdbbk_C]LVZ56319phjr6<&ybh"}fd^tlmfcX9&oezRm`uov\ljjcWHUl`xkPsdv11>ukg};?#~gk/rkg[sinklU:#h`y_bmvjqcu4949:6}cou37+voc'zcoS{afcd]2+`hqWje~byk}<02=63=tdf~:8"}fd.qj`ZphajoT="kav^alqkrbz5;:2?84smmw51)tam%xeiQyohaf[4)bfUhcx`{es>26;413zfdx<: shf,wlbX~fchiR? eot\gjsi|lx7=>0=6:qokq73'zco#~gk_wmjg`Y6'ld}Snaznugq8429:?1x`bz>4.qj`*unlV|denkP1.gmrZeh}g~n~1?:>348wiis9=%xei!|ie]uklebW8%nb{Qlotlwaw:6>78=7~b`t06,wlb({`nTzbgle^3,akpXkfexh|316<11>ukg};?#~gk/rkg[sinklU:#h`y_bmvjqcu484996}cou37+voc'zcoS{afcd]2+`hqWje~byk}<3<11>ukg};?#~gk/rkg[sinklU:#h`y_bmvjqcu4:4996}cou37+voc'zcoS{afcd]2+`hqWje~byk}<5<11>ukg};?#~gk/rkg[sinklU:#h`y_bmvjqcu4<4996}cou37+voc'zcoS{afcd]2+`hqWje~byk}<7<11>ukg};?#~gk/rkg[sinklU:#h`y_bmvjqcu4>4996}cou37+voc'zcoS{afcd]2+`hqWje~byk}<9<11>ukg};?#~gk/rkg[sinklU:#h`y_bmvjqcu4048n6}cou37+voc'zcoS{afcd]2+`hqWje~byk}[05^[BHCW:>7\]DJAY4<>UdShzam]17Zi;9>4?<6}cou37+voc'zcoS{afcd]2+`hqWje~byk}[05^[BHCW:>$dj shf\rjodmV;$icxPcnwmp`t\9>WTKCJP355\kZcv}hfT??Q`<05=06=tdf~:8"}fd.qj`ZphajoT="kav^alqkrbzR;23;YT_99i7~b`t06,wlb({`nTzbgle^3,akpXkfexh|T7\]PqvYUmeQ4.qj`*unlV|denkP1.gmrZeh}g~n~V9R_Rwp[WckS>WTi|{nl^0:[j2382ygcy?;/rkg+vocWebohQ>/dlu[firf}oyW:SPStq\V`j\?TUn}xoc_3;\k1YT_98?7~b`t06,wlb({`nTzbgle^3,akpXkfexh|P0368wiis9=%xei!|ie]uklebW8%nb{QlotlwawY6:<1x`bz>4.qj`*unlV|denkP1.gmrZeh}g~n~R??249phjr6<&ybh"}fd^tlmfcX9&oezRm`uovfvZ76:<1x`bz>4.qj`*unlV|denkP1.gmrZeh}g~n~R?=249phjr6<&ybh"}fd^tlmfcX9&oezRm`uovfvZ74:<1x`bz>4.qj`*unlV|denkP1.gmrZeh}g~n~R?;249phjr6<&ybh"}fd^tlmfcX9&oezRm`uovfvZ72:<1x`bz>4.qj`*unlV|denkP1.gmrZeh}g~n~R?9249phjr6<&ybh"}fd^tlmfcX9&oezRm`uovfvZ70:=1x`bz>4.qj`*unlV|denkP1.gmrZeh}g~n~R<=4:qokq73'zco#~gk_wmjg`Y6'ld}Snaznugq[6433zfdx<: shf,wlbX~fchiR? eot\gjsi|lxT8?:4smmw51)tam%xeiQyohaf[4)bfUhcx`{es]661=tdf~:8"}fd.qj`ZphajoT="kav^alqkrbzV<986}cou37+voc'zcoS{afcd]2+`hqWje~byk}_607?vjh|8>$dj shf\rjodmV;$icxPcnwmp`tX0;>0aa{15-pma)tamU}cdmj_0-fjsYdg|diQ6289phjr6<&ybh"}fd^tlmfcX9&os|Rznbmg\rdjrm5:5>l5|lnv20*unl&ybhRx`ibg\5*cxV~jnakPv`nva9776;k0aa{15-pma)tamU}cdmj_0-f|uYsikfnS{ocud>25;4f3zfdx<: shf,wlbX~fchiR? eyr\pddkmV|j`xk313<1e>ukg};?#~gk/rkg[sinklU:#hv_ucah`Yqien0<=12`9phjr6<&ybh"}fd^tlmfcX9&os|Rznbmg\rdjrm5;?2?o4smmw51)tam%xeiQyohaf[4)bpyUmobj_wcoq`:6=78j7~b`t06,wlb({`nTzbgle^3,a}vX|hhgiRxnltg?5385i2ygcy?;/rkg+vocWebohQ>/dzs[qgedlU}ma{j<05=6<=tdf~:8"}fd.qj`ZphajoT="kwp^vbficX~hf~i1?1289phjr6<&ybh"}fd^tlmfcX9&os|Rznbmg\rdjrm585>45|lnv20*unl&ybhRx`ibg\5*cxV~jnakPv`nva959:01x`bz>4.qj`*unlV|denkP1.g{tZrfjeoTzlbze=6=6<=tdf~:8"}fd.qj`ZphajoT="kwp^vbficX~hf~i1;1289phjr6<&ybh"}fd^tlmfcX9&os|Rznbmg\rdjrm5<5>45|lnv20*unl&ybhRx`ibg\5*cxV~jnakPv`nva919:01x`bz>4.qj`*unlV|denkP1.g{tZrfjeoTzlbze=:=6<=tdf~:8"}fd.qj`ZphajoT="kwp^vbficX~hf~i171299phjr6<&ybh"}fd^tlmfcX9&os|Rznbmg\rdjrmV:9j6}cou37+voc'zcoS{afcd]2+`~wW}ki`hQyamwf[5Yu{}zdx?64smmw51)tam%xeiQyohaf[4)bpyUmobj_wcoq`Y6:01x`bz>4.qj`*unlV|denkP1.g{tZrfjeoTzlbze^336<=tdf~:8"}fd.qj`ZphajoT="kwp^vbficX~hf~iR?>289phjr6<&ybh"}fd^tlmfcX9&os|Rznbmg\rdjrmV;9>45|lnv20*unl&ybhRx`ibg\5*cxV~jnakPv`nvaZ74:01x`bz>4.qj`*unlV|denkP1.g{tZrfjeoTzlbze^376<=tdf~:8"}fd.qj`ZphajoT="kwp^vbficX~hf~iR?:289phjr6<&ybh"}fd^tlmfcX9&os|Rznbmg\rdjrmV;=>45|lnv20*unl&ybhRx`ibg\5*cxV~jnakPv`nvaZ70:11x`bz>4.qj`*unlV|denkP1.g{tZrfjeoTzlbze^01<>ukg};?#~gk/rkg[sinklU:#hv_ucah`YqienS><7;rnlp42({`n$djPvnk`aZ7(mqzTxllce^tbhpcX<;20aa{15-pma)tamU}cdmj_0-f|uYsikfnS{ocud]66==tdf~:8"}fd.qj`ZphajoT="kwp^vbficX~hf~iR8=8:qokq73'zco#~gk_wmjg`Y6'lr{Syomld]ueisbW>837~b`t06,wlb({`nTzbgle^3,a}vX|hhgiRxnltg\<7><{ee=9!|ie-pmaYqg`inS=>>d:qokq73'zco#~gk_wmjg`Y6'g:9<=?>d:qokq73'zco#~gk_wmjg`Y6'g:9<=<>d:qokq73'zco#~gk_wmjg`Y6'g:9<==>c:qokq73'zco#~gk_wmjg`Y6'g:9<9?k;rnlp42({`n$djPvnk`aZ7(f98;89<>;rnlp42({`n$djPvnk`aZ7(f98;89Q\W103?vjh|8>$dj shf\rjodmV;$b=?4smmw51)tam%xeiQyohaf[4)umzoSNaatsmo_4[XG[U995Q`1238wiis9=%xei!|ie]uklebW8%yi~k{_ekumacuW{ySB\P307\k64<{ee=9!|ie-pmaYqg`inSQ`359phjr6<&ybh"}fd^tlmfcX9&xnhzPeot\gkrXkeoj~RA]_230[j75:2ygcy?;/rkg+vocWebohQ>/rctpjis`5:5>>5|lnv20*unl&ybhRx`ibg\5*uf}edxe2>0?00?vjh|8>$dj shf\rjodmV;$ly{onvk8479:;1x`bz>4.qj`*unlV|denkP1.qbsqih|a6:2?<4smmw51)tam%xeiQyohaf[4)ti~~dcyf32?01?vjh|8>$dj shf\rjodmV;$ly{onvk8685:2ygcy?;/rkg+vocWebohQ>/rctpjis`5>5>?5|lnv20*unl&ybhRx`ibg\5*uf}edxe2:>308wiis9=%xei!|ie]uklebW8%xmzz`ouj?2;453zfdx<: shf,wlbX~fchiR? s`uwkjro4>49>6}cou37+voc'zcoS{afcd]2+vgp|fed161239phjr6<&ybh"}fd^tlmfcX9&yj{ya`ti>::77<{ee=9!|ie-pmaYqg`inS$dj shf\rjodmV;$ly{onvk[47592ygcy?;/rkg+vocWebohQ>/rctpjis`V89=6}cou37+voc'zcoS{afcd]2+vgp|fedR==1:qokq73'zco#~gk_wmjg`Y6'zk|xba{h^615>ukg};?#~gk/rkg[sinklU:#~oxtnmwlZ3592ygcy?;/rkg+vocWebohQ>/rctpjis`V<9=6}cou37+voc'zcoS{afcd]2+vgp|fedR9=1:qokq73'zco#~gk_wmjg`Y6'zk|xba{h^:15>ukg};?#~gk/rkg[sinklU:#~oxtnmwlZ?5:2ygcy?;/rkg+vocWebohQ>/upjekakm5:5>>5|lnv20*unl&ybhRx`ibg\5*ruahdl`h2>0?00?vjh|8>$dj shf\rjodmV;$xgnnfnf8479:;1x`bz>4.qj`*unlV|denkP1.vqmdh`dl6:2?<4smmw51)tam%xeiQyohaf[4)sz`kekak32?01?vjh|8>$dj shf\rjodmV;$xgnnfnf8685:2ygcy?;/rkg+vocWebohQ>/upjekakm5>5>?5|lnv20*unl&ybhRx`ibg\5*ruahdl`h2:>308wiis9=%xei!|ie]uklebW8%~doagmg?2;453zfdx<: shf,wlbX~fchiR? tskbjbjb4>49>6}cou37+voc'zcoS{afcd]2+qtnigmgi161239phjr6<&ybh"}fd^tlmfcX9&~yel`hld>::62<{ee=9!|ie-pmaYqg`inS2ygcy?;/rkg+vocWebohQ>/upjekakmR;;QR``irgX55[XG[U9:8Q`3`9phjr6<&ybh"}fd^tlmfcX9&~yel`hldY24XYig`ynW<>R_NP\633XgVY\=>84smmw51)tam%xeiQyohaf[4)sz`kekakT10_\jjotmR;:QRA]_341[j5f3zfdx<: shf,wlbX~fchiR? tskbjbjbS8;VScafsdY25XYHZV8=>RaPSV300>ukg};?#~gk/rkg[sinklU:#y|faoeoa^7ZWgebhU>]^MQ[7?5Wf937~b`t06,wlb({`nTzbgle^3,pwoffnfnW4/upjekakmR9VScafsdY0YZIUW;2=Sb=7;rnlp42({`n$djPvnk`aZ7(|{cjbjbj[2_\jjotmR9VSB\P294\kZUP9:>0aa{15-pma)tamU}cdmj_0-wvlgioeoP8PQaohqf_1[XG[U94>Q`399phjr6<&ybh"}fd^tlmfcX9&~yel`hldY7YZhhazoP8PQ@R^0;7ZiX[^;886}cou37+voc'zcoS{afcd]2+qtnigmgiV;R_omjw`]2UVEYS?6?_n1;?vjh|8>$dj shf\rjodmV;$xgnnfnf_0[XffcxiV;R_NP\6=6XgVY\=>:4smmw51)tam%xeiQyohaf[4)sz`kekakT6\]mklubS?WTC_Q=76]l7==tdf~:8"}fd.qj`ZphajoT="z}i`ldh`]1UVdde~kT6\]LVZ40?VeT_Z?<4:qokq73'zco#~gk_wmjg`Y6'}xbmciceZ5^[kin{lQWTbbg|eZ5^[JTX:>>TcR]X1268wiis9=%xei!|ie]uklebW8%~doagmgX4.qj`*unlV|denkP1.vqmdh`dlQ3QR``irgXukg};?#~gk/rkg[sinklU:#y|faoeoa^?ZWgebhU6]^MQ[70?Wf937~b`t06,wlb({`nTzbgle^3,pwoffnfnW4SPnnkpa^?ZWFXT>;6Po^QT577<{ee=9!|ie-pmaYqg`inS$dj shf\rjodmV;$xgnnfnf[47592ygcy?;/rkg+vocWebohQ>/upjekakmV89=6}cou37+voc'zcoS{afcd]2+qtnigmgiR==1:qokq73'zco#~gk_wmjg`Y6'}xbmcice^615>ukg};?#~gk/rkg[sinklU:#y|faoeoaZ3592ygcy?;/rkg+vocWebohQ>/upjekakmV<9=6}cou37+voc'zcoS{afcd]2+qtnigmgiR9=1:qokq73'zco#~gk_wmjg`Y6'}xbmcice^:15>ukg};?#~gk/rkg[sinklU:#y|faoeoaZ?6?2ygcy?;/rkg+vocWebohQ=/338wiis9=%xei!|ie]uklebW;%OinQ}em>3:77<{ee=9!|ie-pmaYqg`inS?!Keb]qai:66;;0aa{15-pma)tamU}cdmj_3-GafYume692??4smmw51)tam%xeiQyohaf[7)CmjUyia2<>3c8wiis9=%xei!|ie]uklebW;%LBIQ<46]l[LHQW1:9Sb7:Ve9>k5|lnv20*unl&ybhRx`ibg\6*AILV9?;RaPIOT\<54Xg;UX[==i;rnlp42({`n$djPvnk`aZ4(OGNT?99Po^fjrlbbzVidyczjrZ34YZcv}hfT9_n>23;233zfdx<: shf,wlbX~fchiR< GOF\711XgVnbzdjjr^alqkrbzR;=Ra316<101=tdf~:8"}fd.qj`ZphajoT>"IAD^173ZiXl`|bhh|Pcnwmp`t\9>WTi|{nl^72[j:6?79?86}cou37+voc'zcoS{afcd]1+BHCW:>7\]fupgkW<;Tc1?8>567?vjh|8>$dj shf\rjodmV8$KCJP355\kZbn~`nn~RxnltgX56[X{lfnoyQ96^MVP9776=20aa{15-pma)tamU}cdmj_3-DJAY4<>UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0<>1_RU301=tdf~:8"}fd.qj`ZphajoT>"IAD^173ZiXl`|bhh|Pv`nva^74UVyn`hm{_74\KPR;984?46}cou37+voc'zcoS{afcd]1+BHCW:>?UDYY2>1?]PS5233zfdx<: shf,wlbX~fchiR< GOF\711XgVnbzdjjr^tbhpc\9:WThbjcu]52ZIR\5;92964smmw51)tam%xeiQyohaf[7)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<00=[VQ7<=1x`bz>4.qj`*unlV|denkP2.EM@Z53?VeThdxfddp\rdjrmR;8QR}jldaw[30XG\^7=>0;8:qokq73'zco#~gk_wmjg`Y5'NDOS>:8_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>27;YT_9>?7~b`t06,wlb({`nTzbgle^0,CKBX;==TcRjfvhffvZpfd|oP=>SPsdnfgqY1>VE^X1?;>5:8wiis9=%xei!|ie]uklebW;%LBIQ<46]l[aoqamoyS{ocudY27XYtmeohxR89_NWW8429WZ];895|lnv20*unl&ybhRx`ibg\6*AILV9?;RaPdhtj``tX~hf~iV?<]^qfh`esW?ukg};?#~gk/rkg[sinklU9#J@K_264[jYcacoiQyamwf_45ZWzoginzP67]LQQ:6=7UX[=:;;rnlp42({`n$djPvnk`aZ4(OGNT?99Po^fjrlbbzV|j`xkT12_\w`jbk}U=:RAZT=35:13<{ee=9!|ie-pmaYqg`inS?!HNE]002YhWmc}eik}_wcoq`]6;TUxiaklt^45[JSS48<5=9:4smmw51)tam%xeiQyohaf[7)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<05=00=tdf~:8"}fd.qj`ZphajoT>"IAD^173ZiXl`|bhh|Pv`nva^74UVyn`hm{_74\KPR;9>4:8>5|lnv20*unl&ybhRx`ibg\6*AILV9?;RaPdhtj``tX~hf~iV?<]^qfh`esW?$dj shf\rjodmV8$KCJP355\kZbn~`nn~RxnltgX56[X{lfnoyQ96^MVP9799=90aa{15-pma)tamU}cdmj_3-DJAY4<>UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0?0;4:qokq73'zco#~gk_wmjg`Y5'NDOS>:8_n]gmsocm{U}ma{j[01^[vckmj~T:;Q@UU>1:4243zfdx<: shf,wlbX~fchiR< GOF\711XgVnbzdjjr^tbhpc\9:WThbjcu]52ZIR\5958:5|lnv20*unl&ybhRx`ibg\6*AILV9?;RaPdhtj``tX~hf~iV?<]^qfh`esW?58:5|lnv20*unl&ybhRx`ibg\6*AILV9?;RaPdhtj``tX~hf~iV?<]^qfh`esW?UdSh`y_bmvjqcuS8=VSAk|sUjbjZ3>Wf8T_Z>:8_n]fjsYdg|diU>7\]OavuS`hdT:_n127c=tdf~:8"}fd.qj`ZphajoT>"IAD^173ZiXmg|Tob{atdpX52[XDlyxXeoa_77\k47b3zfdx<: shf,wlbX~fchiR< LGQQ8586n2ygcy?;/rkg+vocWebohQ=/MDPV97768l0aa{15-pma)tamU}cdmj_3-OBVT;984:j6}cou37+voc'zcoS{afcd]1+I@TZ5;920>f:qokq73'zco#~gk_wmjg`Y5'ELX^1?;>0d8wiis9=%xei!|ie]uklebW;%GJ^\314<2b>ukg};?#~gk/rkg[sinklU9#AH\R=35:4`<{ee=9!|ie-pmaYqg`inS?!CFRP?5286n2ygcy?;/rkg+vocWebohQ=/MDPV97?68l0aa{15-pma)tamU}cdmj_3-OBVT;904:i6}cou37+voc'zcoS{afcd]1+I@TZ5;5=k5|lnv20*unl&ybhRx`ibg\6*JA[[69<3?i;rnlp42({`n$djPvnk`aZ4(DOYY0??11g9phjr6<&ybh"}fd^tlmfcX:&FM__2=2?3f?vjh|8>$dj shf\rjodmV8$@K]]<3<2a>ukg};?#~gk/rkg[sinklU9#AH\R=1=5`=tdf~:8"}fd.qj`ZphajoT>"BISS>7:4c<{ee=9!|ie-pmaYqg`inS?!CFRP?1;7b3zfdx<: shf,wlbX~fchiR< LGQQ8386m2ygcy?;/rkg+vocWebohQ=/MDPV9199l1x`bz>4.qj`*unlV|denkP2.NEWW:?68o0aa{15-pma)tamU}cdmj_3-OBVT;179<7~b`t06,wlb({`nTzbgle^0,HCUUS;8VSJ@K_264[jYj}qU::RAZT=2=7==tdf~:8"}fd.qj`ZphajoT>"BISSY16XY@FMU88:Q`_lw{[40XG\^7==0<8:qokq73'zco#~gk_wmjg`Y5'ELX^V<=]^EM@Z53?VeTaxvP17]LQQ:697937~b`t06,wlb({`nTzbgle^0,HCUUS;8VSJ@K_264[jYj}qU::RAZT=31:6><{ee=9!|ie-pmaYqg`inS?!CFRPX67[XOGNT?99Po^ov|Z71WF__0<=1399phjr6<&ybh"}fd^tlmfcX:&FM__U=2\]DJAY4<>UdS`{w_04\KPR;9=4846}cou37+voc'zcoS{afcd]1+I@TZR89QRIAD^173ZiXe|rT=;Q@UU>21;5?3zfdx<: shf,wlbX~fchiR< LGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X1?9>2:8wiis9=%xei!|ie]uklebW;%GJ^\T23_\CKBX;==TcRczx^35[JSS48=5?55|lnv20*unl&ybhRx`ibg\6*JA[[Q9>PQHNE]002YhWdsS<8POTV?5=8402ygcy?;/rkg+vocWebohQ=/MDPV^45UVMEHR=;7^m\ip~X9?UDYY2>9?14?vjh|8>$dj shf\rjodmV8$@K]][30^[BHCW:>PQHNE]002YhWdsS<8POTV?658402ygcy?;/rkg+vocWebohQ=/MDPV^45UVMEHR=;7^m\ip~X9?UDYY2=1?1;?vjh|8>$dj shf\rjodmV8$@K]][30^[BHCW:>94smmw51)tam%xeiQyohaf[7)KNZXP>?SPGOF\711XgVg~tR?9_NWW8784?2ygcy?;/rkg+vocWebohQ=/MDPV^45UVMEHR=;7^m\ip~X9?UDYY2<>258wiis9=%xei!|ie]uklebW;%GJ^\T23_\CKBX;==TcRczx^35[JSS4=48;6}cou37+voc'zcoS{afcd]1+I@TZR89QRIAD^173ZiXe|rT=;Q@UU>6:61<{ee=9!|ie-pmaYqg`inS?!CFRPX67[XOGNT?99Po^ov|Z71WF__0;0<7:qokq73'zco#~gk_wmjg`Y5'ELX^V<=]^EM@Z53?VeTaxvP17]LQQ:06:=0aa{15-pma)tamU}cdmj_3-OBVT\:;WTKCJP355\kZkrpV;=SB[[<9<03>ukg};?#~gk/rkg[sinklU9#AH\RZ01YZAILV9?;RaPmtz\53YH]]622e:qokq73'zco#~gk_wmjg`Y5'ELX^R?=1d9phjr6<&ybh"}fd^tlmfcX:&FM__Q>30g8wiis9=%xei!|ie]uklebW;%GJ^\P153f?vjh|8>$dj shf\rjodmV8$@K]]_072a>ukg};?#~gk/rkg[sinklU9#AH\R^355`=tdf~:8"}fd.qj`ZphajoT>"BISS]234c<{ee=9!|ie-pmaYqg`inS?!CFRP\5=7b3zfdx<: shf,wlbX~fchiR< LGQQ[4?6l2ygcy?;/rkg+vocWebohQ=/MDPVZ46m2ygcy?;/rkg+vocWebohQ=/MDPVZ479l1x`bz>4.qj`*unlV|denkP2.NEWWY598o0aa{15-pma)tamU}cdmj_3-OBVTX:;;o7~b`t06,wlb({`nTzbgle^0,HCUUW:;o7~b`t06,wlb({`nTzbgle^0,HCUUW=;o7~b`t06,wlb({`nTzbgle^0,HCUUW<;o7~b`t06,wlb({`nTzbgle^0,HCUUW?;o7~b`t06,wlb({`nTzbgle^0,HCUUW>;o7~b`t06,wlb({`nTzbgle^0,HCUUW1;o7~b`t06,wlb({`nTzbgle^0,HCUUW08m7~b`t06,wlb({`nTzbgle^0,Ided}dUjon{bumcwkwYdq5:5?=5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWjs7==0<0:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxTot2>1?13?vjh|8>$dj shf\rjodmV8$Almlul]bgfsj}ekcQly=31:66<{ee=9!|ie-pmaYqg`inS?!BabaviZgdk|g~`lz`r^az8459;91x`bz>4.qj`*unlV|denkP2.ObgfsjWhihy`{caumq[f;9=48<6}cou37+voc'zcoS{afcd]1+Hgdk|gTmnmzmtnbpjtXkp6:93=?;rnlp42({`n$djPvnk`aZ4(Ehihy`Qncbwnqigsg{Uhu1?9>218wiis9=%xei!|ie]uklebW;%Fmnmzm^c`gpkrdh~d~Rmv<04=[wr482ygcy?;/rkg+vocWebohQ=/Lc`gpkXiji~axbntnp\g|:6?7987~b`t06,wlb({`nTzbgle^0,Ided}dUjon{bumcwkwYdq5;<2R|{319phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySnw319<07>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pcx>2<;Yu|::0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZe~4835?>5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWjs7=40Pru0e?vjh|8>$dj shf\rjodmV8$Almlul]bgfsj}ekcQly=3=75=tdf~:8"}fd.qj`ZphajoT>"Cncbwn[ded}dgmya}_b{?6584;2ygcy?;/rkg+vocWebohQ=/Lc`gpkXiji~axbntnp\g|:587Uyx>>4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVir0??1329phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySnw320<\vq573zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]`}9456:90aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZe~4;85Sz=f:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxTot2=>3d8wiis9=%xei!|ie]uklebW;%Fmnmzm^c`gpkrdh~d~Rmv<2<1b>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pcx>7:7`<{ee=9!|ie-pmaYqg`inS?!BabaviZgdk|g~`lz`r^az8085n2ygcy?;/rkg+vocWebohQ=/Lc`gpkXiji~axbntnp\g|:16;l0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZe~4>49j6}cou37+voc'zcoS{afcd]1+Hgdk|gTmnmzmtnbpjtXkp632?h4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVir040<0:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxT`xz30?12?vjh|8>$dj shf\rjodmV8$Almlul]bgfsj}ekcQcuu>24;563zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]oqq:6979:7~b`t06,wlb({`nTzbgle^0,Ided}dUjon{bumcwkwYk}}6:>3=>;rnlp42({`n$djPvnk`aZ4(Ehihy`Qncbwnqigsg{Ugyy2>3?12?vjh|8>$dj shf\rjodmV8$Almlul]bgfsj}ekcQcuu>20;563zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]oqq:6=79;7~b`t06,wlb({`nTzbgle^0,Ided}dUjon{bumcwkwYk}}6:2>>4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVf~x1<1319phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySa{{<2<04>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pltv?0;573zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]oqq:26::0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZjr|5<5?=5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWe0:0<0:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxT`xz38?13?vjh|8>$dj shf\rjodmV8$Almlul]bgfsj}ekcQcuu>::66<{ee=9!|ie-pmaYqg`inS?!BabaviZgdk|g~`lz`r^zlv969;81x`bz>4.qj`*unlV|denkP2.ObgfsjWhihy`{caumq[}iu48:5?<5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWqey0"Cncbwn[ded}dgmya}_ymq8459;81x`bz>4.qj`*unlV|denkP2.ObgfsjWhihy`{caumq[}iu48>5?<5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWqey0<;1309phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySua}<04=74=tdf~:8"}fd.qj`ZphajoT>"Cncbwn[ded}dgmya}_ymq8419;81x`bz>4.qj`*unlV|denkP2.ObgfsjWhihy`{caumq[}iu4825?<5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWqey0<71319phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySua}<0<05>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pxnp?658492ygcy?;/rkg+vocWebohQ=/Lc`gpkXiji~axbntnp\|jt;:848=6}cou37+voc'zcoS{afcd]1+Hgdk|gTmnmzmtnbpjtXpfx7>?0<1:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxTtb|322<00>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pxnp?668Xz}9;7~b`t06,wlb({`nTzbgle^0,Ided}dUjon{bumcwkwYg{692>>4smmw51)tam%xeiQyohaf[7)Jiji~aRolctovhdrhzVrd~1=1319phjr6<&ybh"}fd^tlmfcX:&Gjon{b_`a`qhski}eySua}<5<04>ukg};?#~gk/rkg[sinklU9#@olcto\efere|fjxb|Pxnp?1;573zfdx<: shf,wlbX~fchiR< M`a`qhYfkjfyao{os]{kw:16::0aa{15-pma)tamU}cdmj_3-NefereVkhoxczl`vlvZ~hz5=5?=5|lnv20*unl&ybhRx`ibg\6*KfkjfSlmlulwoeqiuWqey050<0:qokq73'zco#~gk_wmjg`Y5'DkhoxcPabavipjf|fxTtb|39?72?vjh|8>$dj shf\rjodmV8$Anabp`p\tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`_b{?4;363zfdx<: shf,wlbX~fchiR< MbmntdtXx|fxizgktiY25XYfkjfyao{osY17XYKmzy_dl`P13]l[f;97?:7~b`t06,wlb({`nTzbgle^0,IfijxhxT|xb|evkgpm]69TUjon{bumcwkw]5;TUGi~}[h`l\57YhWjs7>3;>;rnlp42({`n$djPvnk`aZ4(Ejef|l|Pptnparoc|aQ:=PQncbwnqigsg{Q9?PQCerqWldhX9;UdSnw33?72?vjh|8>$dj shf\rjodmV8$Anabp`p\tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`_b{?0;363zfdx<: shf,wlbX~fchiR< MbmntdtXx|fxizgktiY25XYfkjfyao{osY17XYKmzy_dl`P13]l[f;=7?97~b`t06,wlb({`nTzbgle^0,IfijxhxT|xb|evkgpm]69TUjon{bumcwkw]5;TUGi~}[h`l\57YhWe0=0:2:qokq73'zco#~gk_wmjg`Y5'Dida}o}_qwow`qnl}bP=>SPLdqpPmgiW88TcRbzt=3=17=tdf~:8"}fd.qj`ZphajoT>"ClolrbvZvrdzo|eizg[03^[ded}dgmya}[31^[Ict{]bjbR?=_n]oqq:56<80aa{15-pma)tamU}cdmj_3-Ngjkwi{U{ya}jwhfwl^76UVkhoxczl`vlv^44UVFn~Zgao]26ZiXd|~7?3;=;rnlp42({`n$djPvnk`aZ4(Ejef|l|Pptnparoc|aQ:=PQncbwnqigsg{Q9?PQCerqWldhX9;UdSa{{<5<65>ukg};?#~gk/rkg[sinklU9#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS<]^c`gpkrdh~d~V<<]^NfwvRoigU:>RaPltvgm6353zfdx<: shf,wlbX~fchiR< MbmntdtXx|fxizgktiY25XYfkjfyao{osY17XYKmzy_dl`P13]l[issl`>8?6}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxTot2?>248wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^az858Xz}9?7~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Uhu1??>268wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^az8479;=1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWjs7=?0<4:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pcx>27;533zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYdq5;?2>:4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rmv<07=71=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[f;9?48?6}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxTot2>>218wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^az8784;2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXkp682>=4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rmv<5<07>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\g|:26:90aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVir0;0<3:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pcx>4:65<{ee=9!|ie-pmaYqg`inS?!BcnwmpZbn~`nn~Rm`uovfvZe~4148?6}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxTot26>218wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^grjZ64<2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXmxdT<<=<;rnlp42({`n$djPvnk`aZ4(Eje~byQkiwkgawYdg|diQjqo]271=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[`wiW8:896}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxTi|`P11300>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\athX989>7~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Un}cQ>1017?vjh|8>$dj shf\rjodmV8$Anaznu]gmsocm{Uhcx`{es]fukY6::?0aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVozbR?=1268wiis9=%xei!|ie]uklebW;%Fob{at^fjrlbbzVidyczjr^grjZ74;<1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWl{eS<=>359phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_dsm[424=2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXmxdT=9?<4:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pepl\50523zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYbygU:9<=;;rnlp42({`n$djPvnk`aZ4(Eje~byQkiwkgawYdg|diQjqo]2263<{ee=9!|ie-pmaYqg`inS?!BcnwmpZbn~`nn~Rm`uovfvZcvfV;==>:4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rk~n^3470=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[`wiW8=:?>5|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySha_317?vjh|8>$dj shf\rjodmV8$Anaznu]gmsocm{Uhcx`{es]fukY59:90aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVozbR=<4:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pepl\74543zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYbygU??95|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySha_5307>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\athX=:>0aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVozbR;>329phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_dsm[3533zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYbygU==>=4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rk~n^500>ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\athX?8987~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Un}cQ7359phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_dsm[=74;2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXmxdT5>:4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rk~n^;270=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[iss48:5?o5|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySa{{<02=[LHQW99>7~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Ugyy2>1?1a?vjh|8>$dj shf\rjodmV8$Anaznu]gmsocm{Uhcx`{es]oqq:697UBB[Q?349phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_mww8449;k1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWe0<<1_HLU[5523zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYk}}6:?3=m;rnlp42({`n$djPvnk`aZ4(Eje~byQkiwkgawYdg|diQcuu>27;YNF_U;?85|lnv20*unl&ybhRx`ibg\6*Kdg|dSigyiegq[firf}oySa{{<06=7g=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[iss48>5SD@Y_116?vjh|8>$dj shf\rjodmV8$Anaznu]gmsocm{Uhcx`{es]oqq:6=79i7~b`t06,wlb({`nTzbgle^0,Ifirf}Uoe{gkes]`kphsm{Ugyy2>5?]JJSY7;<1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWe0<813c9phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_mww8409W@D]S==:;rnlp42({`n$djPvnk`aZ4(Eje~byQkiwkgawYdg|diQcuu>23;5e3zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYk}}6:;3QFNW]371=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[iss4848m6}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxT`xz31?]JJSY7;=1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWe0?0ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\hpr;;7UBB[Q?359phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_mww8184i2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXd|~783QFNW]371=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[iss4<48m6}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxT`xz35?]JJSY7;=1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWe0;0ukg};?#~gk/rkg[sinklU9#@m`uov\`lpnllxTob{atdp\hpr;?7UBB[Q?359phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_mww8=84i2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXd|~743QFNW]371=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[iss4048m6}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxT`xz39?]JJSY7;=1x`bz>4.qj`*unlV|denkP2.O`kphsWmc}eik}_bmvjqcuWqey0=0<5:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pxnp?5584=2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXpfx7=<0<5:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pxnp?5784=2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXpfx7=>0<5:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pxnp?5184=2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXpfx7=80<5:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pxnp?5384=2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXpfx7=:0<4:qokq73'zco#~gk_wmjg`Y5'DidyczPdhtj``tXkfexh|Pxnp?5;533zfdx<: shf,wlbX~fchiR< MbmvjqYcacoiQlotlwawYg{692>:4smmw51)tam%xeiQyohaf[7)JkfexRjfvhffvZeh}g~n~Rv`r=1=71=tdf~:8"}fd.qj`ZphajoT>"Clotlw[aoqamoySnaznugq[}iu4=4886}cou37+voc'zcoS{afcd]1+Heh}g~Thdxfddp\gjsi|lxTtb|35?17?vjh|8>$dj shf\rjodmV8$Anaznu]gmsocm{Uhcx`{es]{kw:16:>0aa{15-pma)tamU}cdmj_3-Ngjsi|Vnbzdjjr^alqkrbzVrd~191359phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_ekumacuWje~byk}_ymq8=84<2ygcy?;/rkg+vocWebohQ=/LalqkrXl`|bhh|Pcnwmp`tXpfx7531319phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_dlu[firf}oySnw311<04>ukg};?#~gk/rkg[sinklU9#@m`uov\akpXkfexh|Pcx>25;573zfdx<: shf,wlbX~fchiR< MbmvjqYbfUhcx`{es]`}9756::0aa{15-pma)tamU}cdmj_3-Ngjsi|VoezRm`uovfvZe~4895?=5|lnv20*unl&ybhRx`ibg\6*Kdg|dSh`y_bmvjqcuWjs7=90<0:qokq73'zco#~gk_wmjg`Y5'DidyczPeot\gjsi|lxTot2>5?13?vjh|8>$dj shf\rjodmV8$Anaznu]fjsYdg|diQly=35:7`<{ee=9!|ie-pmaYqg`inS?!BcnwmpZci~Vidyczjr^az8485n2ygcy?;/rkg+vocWebohQ=/LalqkrXmg|Tob{atdp\g|:56;l0aa{15-pma)tamU}cdmj_3-Ngjsi|VoezRm`uovfvZe~4:49j6}cou37+voc'zcoS{afcd]1+Heh}g~TicxPcnwmp`tXkp6?2?h4smmw51)tam%xeiQyohaf[7)JkfexRkav^alqkrbzVir080=f:qokq73'zco#~gk_wmjg`Y5'DidyczPeot\gjsi|lxTot29>3d8wiis9=%xei!|ie]uklebW;%Fob{at^gmrZeh}g~n~Rmv<6<1b>ukg};?#~gk/rkg[sinklU9#@m`uov\akpXkfexh|Pcx>;:7`<{ee=9!|ie-pmaYqg`inS?!BcnwmpZci~Vidyczjr^az8<8482ygcy?;/rkg+vocWebohQ=/LalqkrXmg|Tob{atdp\hpr;879:7~b`t06,wlb({`nTzbgle^0,Ifirf}Unb{QlotlwawYk}}6:<3=>;rnlp42({`n$djPvnk`aZ4(Eje~byQjnw]`kphsm{Ugyy2>1?12?vjh|8>$dj shf\rjodmV8$Anaznu]fjsYdg|diQcuu>26;563zfdx<: shf,wlbX~fchiR< MbmvjqYbfUhcx`{es]oqq:6;79:7~b`t06,wlb({`nTzbgle^0,Ifirf}Unb{QlotlwawYk}}6:83=>;rnlp42({`n$djPvnk`aZ4(Eje~byQjnw]`kphsm{Ugyy2>5?12?vjh|8>$dj shf\rjodmV8$Anaznu]fjsYdg|diQcuu>22;563zfdx<: shf,wlbX~fchiR< MbmvjqYbfUhcx`{es]oqq:6?79;7~b`t06,wlb({`nTzbgle^0,Ifirf}Unb{QlotlwawYk}}6:2>>4smmw51)tam%xeiQyohaf[7)JkfexRkav^alqkrbzVf~x1<1319phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_dlu[firf}oySa{{<2<04>ukg};?#~gk/rkg[sinklU9#@m`uov\akpXkfexh|Pltv?0;573zfdx<: shf,wlbX~fchiR< MbmvjqYbfUhcx`{es]oqq:26::0aa{15-pma)tamU}cdmj_3-Ngjsi|VoezRm`uovfvZjr|5<5?=5|lnv20*unl&ybhRx`ibg\6*Kdg|dSh`y_bmvjqcuWe0:0<0:qokq73'zco#~gk_wmjg`Y5'DidyczPeot\gjsi|lxT`xz38?13?vjh|8>$dj shf\rjodmV8$Anaznu]fjsYdg|diQcuu>::66<{ee=9!|ie-pmaYqg`inS?!BcnwmpZci~Vidyczjr^zlv969;81x`bz>4.qj`*unlV|denkP2.O`kphsWld}Snaznugq[}iu48:5?<5|lnv20*unl&ybhRx`ibg\6*Kdg|dSh`y_bmvjqcuWqey0"Clotlw[`hqWje~byk}_ymq8459;81x`bz>4.qj`*unlV|denkP2.O`kphsWld}Snaznugq[}iu48>5?<5|lnv20*unl&ybhRx`ibg\6*Kdg|dSh`y_bmvjqcuWqey0<;1309phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_dlu[firf}oySua}<04=74=tdf~:8"}fd.qj`ZphajoT>"Clotlw[`hqWje~byk}_ymq8419;91x`bz>4.qj`*unlV|denkP2.O`kphsWld}Snaznugq[}iu4848<6}cou37+voc'zcoS{afcd]1+Heh}g~TicxPcnwmp`tXpfx7>3=?;rnlp42({`n$djPvnk`aZ4(Eje~byQjnw]`kphsm{Usc2<>228wiis9=%xei!|ie]uklebW;%Fob{at^gmrZeh}g~n~Rv`r=6=75=tdf~:8"}fd.qj`ZphajoT>"Clotlw[`hqWje~byk}_ymq808482ygcy?;/rkg+vocWebohQ=/LalqkrXmg|Tob{atdp\|jt;>79;7~b`t06,wlb({`nTzbgle^0,Ifirf}Unb{QlotlwawYg{6<2>>4smmw51)tam%xeiQyohaf[7)JkfexRkav^alqkrbzVrd~161319phjr6<&ybh"}fd^tlmfcX:&Ghcx`{_dlu[firf}oySua}<8<65>ukg};?#~gk/rkg[sinklU9#@czlu]pmbhfdVg~tV?8]^gmrZeh}g~n~V?8]^OvHqY60VE^XR}zblwopZ62<2ygcy?;/rkg+vocWebohQ=/LovhqYtandj`RczxZ34YZci~VidyczjrZ34YZKrD}U:4RAZT^qvfhsk|V:T==;;;rnlp42({`n$djPvnk`aZ4(EdgxR}fgoco[hsS8=VSh`y_bmvjqcuS8=VS@{Ct^3;[JSSWziaxb{_1]2502<{ee=9!|ie-pmaYqg`inS?!Bmtnw[vo`fhfTaxvT16_\akpXkfexh|T16_\IpJsW82TCXZPst`nqirX8V;9995|lnv20*unl&ybhRx`ibg\6*Kj}e~Tdiaam]nq}]6?TUnb{Qlotlwaw]6?TUFyAzP19]LQQYt}kg~`yQ?_0160>ukg};?#~gk/rkg[sinklU9#@czlu]pmbhfdVg~tV?8]^gmrZeh}g~n~V?8]^OvHqY60VE^XR}zblwopZ6X9=??7~b`t06,wlb({`nTzbgle^0,Ihsk|Vybkcoc_lw{_41ZWld}Snaznugq_41ZWDGxR?7_NWW[vsee|fS=Q>5418wiis9=%xei!|ie]uklebW;%Faxb{_rkdjdjXe|rP=:SPeot\gjsi|lxP=:SPMtNw[4>XG\^Txlbumv\4Z>2;2ygcy?;/rkg+vocWebohQ=/LovhqYtandj`RczxZ34YZci~VidyczjrZ34YZKrD}U:4RAZT^qvfhsk|V:T5?64smmw51)tam%xeiQyohaf[7)Je|rTHhmPrdn?4;76:11x`bz>4.qj`*unlV|denkP2.Onq}YCmjUyia2>>031<>ukg};?#~gk/rkg[sinklU9#@czx^FfgZtbd585=<<7;rnlp42({`n$djPvnk`aZ4(EdsSIkl_sgo86869:i0aa{15-pma)tamU}cdmj_3-Nip~XDOYYW?6^MVP466;k1x`bz>4.qj`*unlV|denkP2.Onq}YKNZXP>?SPGOF\711XgVg~tR?9_NWW545d3zfdx<: shf,wlbX~fchiR< Mlw{[I@TZR89QRIAD^173ZiXe|rT=;Q@UU3256e<{ee=9!|ie-pmaYqg`inS?!Bmtz\HCUUS;8VSJ@K_264[jYj}qU::RAZT0027f=tdf~:8"}fd.qj`ZphajoT>"Cbuy]OBVT\:;WTKCJP355\kZkrpV;=SB[[1230g>ukg};?#~gk/rkg[sinklU9#@czx^NEWW]5:TULBIQ<46]l[hsW8401`?vjh|8>$dj shf\rjodmV8$A`{w_MDPV^45UVMEHR=;7^m\ip~X9?UDYY?:12a8wiis9=%xei!|ie]uklebW;%FaxvPLGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X<8>3b9phjr6<&ybh"}fd^tlmfcX:&GfyuQCFRPX67[XOGNT?99Po^ov|Z71WF__=:?m4smmw51)tam%xeiQyohaf[7)Je|rT@K]][30^[BHCW:>6^MVP746;j1x`bz>4.qj`*unlV|denkP2.Onq}YKNZXP>?SPGOF\711XgVg~tR?9_NWW6674j2ygcy?;/rkg+vocWebohQ=/Lov|ZJA[[Q9>PQHNE]002YhWdsS<8POTV056d<{ee=9!|ie-pmaYqg`inS?!Bmtz\HCUUS;8VSJ@K_264[jYj}qU::RAZT530f>ukg};?#~gk/rkg[sinklU9#@czx^NEWW]5:TULBIQ<46]l[hsW8:8_n]nq}Y6>VE^X;?l4smmw51)tam%xeiQyohaf[7)Je|rT@K]][30^[BHCW:>UdS`{w_04\KPR>9;i0aa{15-pma)tamU}cdmj_3-Nip~Xl`|bhh|Pv`nva466:j1x`bz>4.qj`*unlV|denkP2.Onq}YcacoiQyamwf5475k2ygcy?;/rkg+vocWebohQ=/Lov|Zbn~`nn~Rxnltg2644d3zfdx<: shf,wlbX~fchiR< Mlw{[aoqamoyS{ocud3057e<{ee=9!|ie-pmaYqg`inS?!Bmtz\`lpnllxTzlbze0626f=tdf~:8"}fd.qj`ZphajoT>"Cbuy]gmsocm{U}ma{j1431f>ukg};?#~gk/rkg[sinklU9#@czx^fjrlbbzV|j`xk>63`8wiis9=%xei!|ie]uklebW;%FaxvPdhtj``tX~hf~i<9=c:qokq73'zco#~gk_wmjg`Y5'Dg~tRjfvhffvZpfd|o:;<o5|lnv20*unl&ybhRx`ibg\6*Kj}qUoe{gkes]ueisb;88i7~b`t06,wlb({`nTzbgle^0,IhsWmc}eik}_wcoq`26:k1x`bz>4.qj`*unlV|denkP2.Onq}YcacoiQyamwf144e3zfdx<: shf,wlbX~fchiR< Mlw{[aoqamoyS{ocud426g=tdf~:8"}fd.qj`ZphajoT>"Cbuy]gmsocm{U}ma{j700a?vjh|8>$dj shf\rjodmV8$A`{w_ekumacuWkgyh6>2c9phjr6<&ybh"}fd^tlmfcX:&GfyuQkiwkgawYqien5<:?;rnlp42({`n$djPvnk`aZ4(E{kfSLz{_eg`_2[XX^XT>>>Po^uj``Yj}qU=5RAZT538wiis9=%xei!|ie]uklebW;%F~lcPAuv\``e\?TU[[_Q=31]l[rocmVg~tR86_NWW514<{ee=9!|ie-pmaYqg`inS?!Br`o\EqrXlliP;PQ_WS]175YhW~coiRczx^4:[JSS99>87~b`t06,wlb({`nTzbgle^0,IwgjWH~Sikl[6_\TRTX:::TcRyfdd]nq}Y11VE^X<>>439phjr6<&ybh"}fd^tlmfcX:&Gym`QNtu]gaf]0UVZ\^R<<0^m\slbbWdsS;7POTV2515<{ee=9!|ie-pmaYqg`inS?!Br`o\EqrXlliP;PQ_WS]175YhW~coiRczx^4:[JSS98;?86}cou37+voc'zcoS{afcd]1+HtfeVKxRjjcZ5^[UQUW;9;SbQxieg\ip~X>0UDYY?>1061?vjh|8>$dj shf\rjodmV8$Aob_@vw[acdS>WT\Z\P222\kZqnllUfyuQ99^MVP443;2ygcy?;/rkg+vocWebohQ=/LpbiZGs|VnnoV9R_QUQ[757WfU|eikPmtz\24518wiis9=%xei!|ie]uklebW;%F~lcPAuv\``e\?TU[[_Q=31]l[rocmVg~tR86_NWW517392ygcy?;/rkg+vocWebohQ=/LpbiZGs|VnnoV9R_QUQ[757WfU|eikPmtz\26}cou37+voc'zcoS{afcd]1+HtfeVKxRjjcZ5^[UQUW;9;SbQxieg\ip~X>0UDYY<>409phjr6<&ybh"}fd^tlmfcX:&Gym`QNtu]gaf]0UVZ\^R<<0^m\slbbWdsS;7POTV007=tdf~:8"}fd.qj`ZphajoT>"C}al]BpqYcmjQWF__89<4smmw51)tam%xeiQyohaf[7)JzhgTMyzPddaX3XYW_[U9?=Q`_vkgaZkrpV<2SB[[4062?vjh|8>$dj shf\rjodmV8$Aob_@vw[acdS>WT\Z\P222\kZqnllUfyuQ99^MVP0253zfdx<: shf,wlbX~fchiR< Mscn[DrsWmohW:SPPVP\666XgV}bhhQbuy]5=ZIR\<;?=6}cou37+voc'zcoS{afcd]1+HtfeVKxRjjcZ5^[UQUW;9;SbQxieg\ip~X>0UDYY8;2:qokq73'zco#~gk_wmjg`Y5'DxjaRO{t^ffg^1ZWY]YS?=?_n]tmacXe|rT:4Q@UU4204=tdf~:8"}fd.qj`ZphajoT>"C}al]BpqYcmjQ4.qj`*unlV|denkP2.OqehYF|}UoinU8]^RTVZ448VeT{djj_lw{[3?XG\^<=9?4smmw51)tam%xeiQyohaf[7)JzhgTMyzPddaX3XYW_[U9?=Q`_vkgaZkrpV<2SB[[8508wiis9=%xei!|ie]uklebW;%F~lcPAuv\``e\?TU[[_Q=31]l[rocmVg~tR86_NWW<4263zfdx<: shf,wlbX~fchiR< Mscn[DrsWmohW:SPPVP\666XgV}bhhQbuy]5=ZIR\0>97~b`t06,wlb({`nTzbgle^0,IwgjWH~Sikl[6_\TRTX:::TcRyfdd]nq}Y11VE^X4?;0:qokq73'zco#~gk_wmjg`Y5'DxjaRJjc^pfh^5ZWY]YS?=?_n]tmacXe|rT;>Q@UU62?vjh|8>$dj shf\rjodmV8$Aob_Eg`[wckS:WT\Z\P222\kZqnllUfyuQ83^MVP4253zfdx<: shf,wlbX~fchiR< Mscn[AcdW{ogW>SPPVP\666XgV}bhhQbuy]47ZIR\8:??6}cou37+voc'zcoS{afcd]1+HtfeVNnoR|jlZ1^[UQUW;9;SbQxieg\ip~X?:UDYY??1508wiis9=%xei!|ie]uklebW;%F~lcPDda\v`j\;TU[[_Q=31]l[rocmVg~tR9<_NWW54243zfdx<: shf,wlbX~fchiR< Mscn[AcdW{ogW>SPPVP\666XgV}bhhQbuy]47ZIR\8;:895|lnv20*unl&ybhRx`ibg\6*KuidUOinQ}emY0YZVPZV889TCXZ>10376>ukg};?#~gk/rkg[sinklU9#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ75<:1x`bz>4.qj`*unlV|denkP2.OqehYCmjUyiaU<]^RTVZ448VeT{djj_lw{[25XG\^:><:=;rnlp42({`n$djPvnk`aZ4(E{kfSIkl_sgo_6[XX^XT>>>Po^uj``Yj}qUukg};?#~gk/rkg[sinklU9#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ43:2ygcy?;/rkg+vocWebohQ=/LpbiZBbkVxn`V=R_QUQ[757WfU|eikPmtz\36YH]]8:8<5|lnv20*unl&ybhRx`ibg\6*KuidUOinQ}emY0YZVPZV889TCXZ<439phjr6<&ybh"}fd^tlmfcX:&Gym`QKeb]qai]4UVZ\^R<<0^m\slbbWdsS:=POTV0517<{ee=9!|ie-pmaYqg`inS?!Br`o\@`eXzlfP?PQ_WS]175YhW~coiRczx^50[JSS<=80aa{15-pma)tamU}cdmj_3-NvdkXLliT~hbT3\]SSWY5;9UdSzgke^ov|Z14WF__8<:>;rnlp42({`n$djPvnk`aZ4(E{kfSIkl_sgo_6[XX^XT>>>Po^uj``Yj}qU$dj shf\rjodmV8$Aob_Eg`[wckS:WT\Z\P222\kZqnllUfyuQ83^MVP07392ygcy?;/rkg+vocWebohQ=/LpbiZBbkVxn`V=R_QUQ[757WfU|eikPmtz\36YH]]6}cou37+voc'zcoS{afcd]1+HtfeVNnoR|jlZ1^[UQUW;9;SbQxieg\ip~X?:UDYY8>429phjr6<&ybh"}fd^tlmfcX:&Gym`QKeb]qai]4UVZ\^R<<0^m\slbbWdsS:=POTV554263zfdx<: shf,wlbX~fchiR< Mscn[AcdW{ogW>SPPVP\666XgV}bhhQbuy]47ZIR\>>97~b`t06,wlb({`nTzbgle^0,IwgjWMohSkc[2_\TRTX:::TcRyfdd]nq}Y0;VE^X:?;1:qokq73'zco#~gk_wmjg`Y5'DxjaRJjc^pfh^5ZWY]YS?=?_n]tmacXe|rT;>Q@UU:76>ukg};?#~gk/rkg[sinklU9#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ>6<81x`bz>4.qj`*unlV|denkP2.OqehYCmjUyiaU<]^RTVZ448VeT{djj_lw{[25XG\^28?5|lnv20*unl&ybhRx`ibg\6*KuidUOinQ}emY0YZVPZV889TCXZ612g8wiis9=%xei!|ie]uklebW;%[MRC\B^km[ded}dgmya}[31^[hsW88TCXZ30?1e?vjh|8>$dj shf\rjodmV8$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<1<27c=tdf~:8"}fd.qj`ZphajoT>"^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>24;273zfdx<: shf,wlbX~fchiR< P@]NWGYnfVkhoxczl`vlv^44UVg~tR?=_NWW84699:l0aa{15-pma)tamU}cdmj_3-SEZKTJVceSlmlulwoeqiuS;9VS`{w_00\KPR;984?<6}cou37+voc'zcoS{afcd]1+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\5;:2<=j;rnlp42({`n$djPvnk`aZ4(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__0<0$dj shf\rjodmV8$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<3<27`=tdf~:8"}fd.qj`ZphajoT>"^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>0:6`<{ee=9!|ie-pmaYqg`inS?!_A^OPFZoiWhihy`{caumq_75ZWdsS<2^MVP929;o1x`bz>4.qj`*unlV|denkP2.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:3689n7~b`t06,wlb({`nTzbgle^0,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS4<48j6}cou37+voc'zcoS{afcd]1+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\5?5=>k4smmw51)tam%xeiQyohaf[7)WIVGXNRga_`a`qhski}eyW?=R_lw{[44XG\^7:3=i;rnlp42({`n$djPvnk`aZ4(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__0;0>3d9phjr6<&ybh"}fd^tlmfcX:&ZJS@]M_hl\efere|fjxb|T22_\ip~X9;UDYY28>2d8wiis9=%xei!|ie]uklebW;%[MRC\B^km[ded}dgmya}[31^[hsW88TCXZ37?30a>ukg};?#~gk/rkg[sinklU9#]OPMR@\mkYfkjfyao{osY17XYj}qU:>RAZT=:=7c=tdf~:8"}fd.qj`ZphajoT>"^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>;:45b3zfdx<: shf,wlbX~fchiR< P@]NWGYnfVkhoxczl`vlv^44UVg~tR?=_NWW8<84n2ygcy?;/rkg+vocWebohQ=/QC\IVDXagUjon{bumcwkw]5;TUfyuQ>2^MVP9?99;?0aa{15-pma)tamU}cdmj_3-SEZKTJVceS}|jv328wiis9=%xei!|ie]uklebW;%Yi~{ct=2=64=tdf~:8"}fd.qj`ZphajoT>"\jstnw8586:81x`bz>4.qj`*unlV|denkP2.Pfwpjs4949><5|lnv20*unl&ybhRx`ibg\6*Tb{|f0<>1239phjr6<&ybh"}fd^tlmfcX:&Xnxb{<02=574<{ee=9!|ie-pmaYqg`inS?!]erwop9776;8:7~b`t06,wlb({`nTzbgle^0,V`urd}6:=3<=;rnlp42({`n$djPvnk`aZ4(Zly~`y2>1?316>ukg};?#~gk/rkg[sinklU9#_k|umv?5485:81x`bz>4.qj`*unlV|denkP2.Pfwpjs4885>?5|lnv20*unl&ybhRx`ibg\6*Tb{|f0<<11308wiis9=%xei!|ie]uklebW;%Yi~{ct=31:7463zfdx<: shf,wlbX~fchiR< Rdqvhq:6;7897~b`t06,wlb({`nTzbgle^0,V`urd}6:?3?=2:qokq73'zco#~gk_wmjg`Y5'[oxyaz312<164=tdf~:8"}fd.qj`ZphajoT>"\jstnw8429:;1x`bz>4.qj`*unlV|denkP2.Pfwpjs48>5=?<4smmw51)tam%xeiQyohaf[7)Umzgx1?;>302?vjh|8>$dj shf\rjodmV8$^h}zlu>21;453zfdx<: shf,wlbX~fchiR< Rdqvhq:6=7;9>6}cou37+voc'zcoS{afcd]1+Wct}e~7=80=209phjr6<&ybh"}fd^tlmfcX:&Xnxb{<04=67=tdf~:8"}fd.qj`ZphajoT>"\jstnw84099;80aa{15-pma)tamU}cdmj_3-Qavsk|5;=2?<>;rnlp42({`n$djPvnk`aZ4(Zly~`y2>7?01?vjh|8>$dj shf\rjodmV8$^h}zlu>23;75:2ygcy?;/rkg+vocWebohQ=/Sgpqir;9>49><5|lnv20*unl&ybhRx`ibg\6*Tb{|f0<61209phjr6<&ybh"}fd^tlmfcX:&Xnxb{<0;=65=tdf~:8"}fd.qj`ZphajoT>"\jstnw848592ygcy?;/rkg+vocWebohQ=/Sgpqir;97;9=6}cou37+voc'zcoS{afcd]1+Wct}e~7=3<=1:qokq73'zco#~gk_wmjg`Y5'[oxyaz321<15>ukg};?#~gk/rkg[sinklU9#_k|umv?648592ygcy?;/rkg+vocWebohQ=/Sgpqir;:;49=6}cou37+voc'zcoS{afcd]1+Wct}e~7>>0=0:qokq73'zco#~gk_wmjg`Y5'[oxyaz32?02?vjh|8>$dj shf\rjodmV8$^h}zlu>1:4463zfdx<: shf,wlbX~fchiR< Rdqvhq:56;8;7~b`t06,wlb({`nTzbgle^0,V`urd}682??4smmw51)tam%xeiQyohaf[7)Umzgx1=11338wiis9=%xei!|ie]uklebW;%Yi~{ct=1=676<{ee=9!|ie-pmaYqg`inS?!]erwop929:81x`bz>4.qj`*unlV|denkP2.Pfwpjs4=4:><5|lnv20*unl&ybhRx`ibg\6*Tb{|f090=219phjr6<&ybh"}fd^tlmfcX:&Xnxb{<4<15>ukg};?#~gk/rkg[sinklU9#_k|umv?1;7592ygcy?;/rkg+vocWebohQ=/Sgpqir;=789<6}cou37+voc'zcoS{afcd]1+Wct}e~7:3<>;rnlp42({`n$djPvnk`aZ4(Zly~`y29>002?vjh|8>$dj shf\rjodmV8$^h}zlu>5:7473zfdx<: shf,wlbX~fchiR< Rdqvhq:06;;0aa{15-pma)tamU}cdmj_3-Qavsk|5=5=??4smmw51)tam%xeiQyohaf[7)Umzgx1912328wiis9=%xei!|ie]uklebW;%Yi~{ct=:=64=tdf~:8"}fd.qj`ZphajoT>"\jstnw8=86:81x`bz>4.qj`*unlV|denkP2.Pfwpjs4149>=5|lnv20*unl&ybhRx`ibg\6*Tb{|f040=1:qokq73'zco#~gk_wmjg`Y5'[oxyaz39?315>ukg};?#~gk/rkg[sinklU9#_k|umv?=;4182ygcy?;/rkg+vocWebohQ=/^]\\IHJWVU<8RQPMbmntdtXx|fxizgktiY25XYfkjfyao{osY17XYKmzy_dl`P13]l[issl`<:7~b`t06,wlb({`nTzbgle^0,[ZY_DGGTSR9:_^]Ngjkwi{U{ya}jwhfwl^76UVkhoxczl`vlv^44UVFn~Zgao]26ZiXd|~oe<8>;rnlp42({`n$djPvnk`aZ4(WVUS@CCP_^55[ZYJkfg{mQumqfslbs`R;:QRolctovhdrhzR88QRBjsrVkekY6:VeT`xzki342?vjh|8>$dj shf\rjodmV8$SRQWLOO\[Z10WVUFobcas]sqiub`ndV?>]^c`gpkrdh~d~V<<]^NfwvRoigU:>RaPltvgm6063zfdx<: shf,wlbX~fchiR< _^][HKKXWV=3SRQBcnosewYw}eyn{dj{hZ32YZgdk|g~`lz`rZ00YZJb{z^cmcQ>2^m\hprca=8:7~b`t06,wlb({`nTzbgle^0,[k64;9Ubb{<=;rnlp42({`n$djPvnk`aZ4(Wg:8?=Qfnw32`>ukg};?#~gk/rkg[sinklU9#R`?35;2a>ukg};?#~gk/rkg[sinklU9#R`?35;25`=tdf~:8"}fd.qj`ZphajoT>"Qa026:64c<{ee=9!|ie-pmaYqg`inS?!Pn117=6433zfdx<: shf,wlbX~fchiR< abav[gosWyxnz?l4smmw51)tam%xeiQyohaf[7)fkjTndzPpsgu[wusxf~996}cou37+voc'zcoS{afcd]1+ded}dgmya}<1<12>ukg};?#~gk/rkg[sinklU9#lmlulwoeqiu48:5>;5|lnv20*unl&ybhRx`ibg\6*gdk|g~`lz`r=32:70<{ee=9!|ie-pmaYqg`inS?!ncbwnqigsg{6:>3<9;rnlp42({`n$djPvnk`aZ4(iji~axbntnp?5685>2ygcy?;/rkg+vocWebohQ=/`a`qhski}ey0<:1279phjr6<&ybh"}fd^tlmfcX:&khoxczl`vlv9726;<0aa{15-pma)tamU}cdmj_3-bgfsj}ekc2>6?05?vjh|8>$dj shf\rjodmV8$mnmzmtnbpjt;9>49:6}cou37+voc'zcoS{afcd]1+ded}dgmya}<0:=63=tdf~:8"}fd.qj`ZphajoT>"olctovhdrhz5;22?;4smmw51)tam%xeiQyohaf[7)fkjfyao{os>2:70<{ee=9!|ie-pmaYqg`inS?!ncbwnqigsg{69<3<9;rnlp42({`n$djPvnk`aZ4(iji~axbntnp?6485>2ygcy?;/rkg+vocWebohQ=/`a`qhski}ey0?<1279phjr6<&ybh"}fd^tlmfcX:&khoxczl`vlv9446;?0aa{15-pma)tamU}cdmj_3-bgfsj}ekc2=>378wiis9=%xei!|ie]uklebW;%jon{bumcwkw:46;?0aa{15-pma)tamU}cdmj_3-bgfsj}ekc2;>378wiis9=%xei!|ie]uklebW;%jon{bumcwkw:26;?0aa{15-pma)tamU}cdmj_3-bgfsj}ekc29>378wiis9=%xei!|ie]uklebW;%jon{bumcwkw:06;?0aa{15-pma)tamU}cdmj_3-bgfsj}ekc27>378wiis9=%xei!|ie]uklebW;%jon{bumcwkw:>6;>0aa{15-pma)tamU}cdmj_3-bgfsj}ekcQ?259phjr6<&ybh"}fd^tlmfcX:&khoxczl`vlvZ75=2ygcy?;/rkg+vocWebohQ=/`a`qhski}eyS<>=5:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq[475=2ygcy?;/rkg+vocWebohQ=/`a`qhski}eyS<<=5:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq[455=2ygcy?;/rkg+vocWebohQ=/`a`qhski}eyS<:=5:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq[435=2ygcy?;/rkg+vocWebohQ=/`a`qhski}eyS<8=5:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq[415=2ygcy?;/rkg+vocWebohQ=/`a`qhski}eyS<6=5:qokq73'zco#~gk_wmjg`Y5'hihy`{caumq[4?5<2ygcy?;/rkg+vocWebohQ=/`a`qhski}eyS?<:;rnlp42({`n$djPvnk`aZ4(iji~axbntnp\65423zfdx<: shf,wlbX~fchiR< abavipjf|fxT><<:;rnlp42({`n$djPvnk`aZ4(iji~axbntnp\67423zfdx<: shf,wlbX~fchiR< abavipjf|fxT>><;;rnlp42({`n$djPvnk`aZ4(iji~axbntnp\772<{ee=9!|ie-pmaYqg`inS?!ncbwnqigsg{U?>95|lnv20*unl&ybhRx`ibg\6*gdk|g~`lz`r^710>ukg};?#~gk/rkg[sinklU9#lmlulwoeqiuW?8?7~b`t06,wlb({`nTzbgle^0,efere|fjxb|P7368wiis9=%xei!|ie]uklebW;%jon{bumcwkwY?:=1x`bz>4.qj`*unlV|denkP2.c`gpkrdh~d~R7=9:qokq73'zco#~gk_wmjg`Y5'jyS~zntd]EWHYANm;9o6}cou37+voc'zcoS{afcd]1+fsuWz~jxhQISL]EBa7*Ag8o7~b`t06,wlb({`nTzbgle^0,gptX{}kiRH\M^DE`4+Nf88o7~b`t06,wlb({`nTzbgle^0,gptX{}kiRH\M^DE`4+Nf:9:7~b`t06,wlb({`nTzbgle^0,gptX{}kiRH\M^DE`4+Nf:UX[=<6;rnlp42({`n$djPvnk`aZ4(k|xTyo{e^DPIZ@Al;8h7~b`t06,wlb({`nTzbgle^0,gptX{}kiRH\M^DE`7+Nf;n0aa{15-pma)tamU}cdmj_3-`qwYt|h~nSK]B_GDg6(Oi9;n0aa{15-pma)tamU}cdmj_3-`qwYt|h~nSK]B_GDg6(Oi:;30aa{15-pma)tamU}cdmj_3-`qwYt|h~nSK]B_GDg77e<{ee=9!|ie-pmaYqg`inS?!lus]ppdrbWOYFSKHk3,Km6a=tdf~:8"}fd.qj`ZphajoT>"mzr^qweqcXNZGTJKj<-Hl26a=tdf~:8"}fd.qj`ZphajoT>"mzr^qweqcXNZGTJKj<-Hl16a=tdf~:8"}fd.qj`ZphajoT>"mzr^qweqcXNZGTJKj<-Hl06==tdf~:8"}fd.qj`ZphajoT>"jfvhffvZgs|hi`0=0=9:qokq73'zco#~gk_wmjg`Y5'mc}eik}_`vwefm;994956}cou37+voc'zcoS{afcd]1+aoqamoySlz{abi?548512ygcy?;/rkg+vocWebohQ=/ekumacuWh~mne313<1=>ukg};?#~gk/rkg[sinklU9#igyiegq[drsija7=>0=9:qokq73'zco#~gk_wmjg`Y5'mc}eik}_`vwefm;9=4946}cou37+voc'zcoS{afcd]1+aoqamoySlz{abi?5;4?3zfdx<: shf,wlbX~fchiR< dhtj``tXi}~jof2=>3:8wiis9=%xei!|ie]uklebW;%oe{gkes]bpqgdc595>55|lnv20*unl&ybhRx`ibg\6*bn~`nn~Ro{t`ah818502ygcy?;/rkg+vocWebohQ=/ekumacuWh~mne35?0;?vjh|8>$dj shf\rjodmV8$hdxfddp\eqrfkb6=2?64smmw51)tam%xeiQyohaf[7)cacoiQntuc`o919:11x`bz>4.qj`*unlV|denkP2.fjrlbbzVkxlmd<9<1<>ukg};?#~gk/rkg[sinklU9#igyiegq[drsija753<8;rnlp42({`n$djPvnk`aZ4(l`|bhh|PauvbgnY7:>1x`bz>4.qj`*unlV|denkP2.fjrlbbzVkxlmd_00;?vjh|8>$dj shf\rjodmV8$hdxfddp\eqrfkbU:4.qj`*unlV|denkP2.fjrlbbzVkxlmd_001<>ukg};?#~gk/rkg[sinklU9#igyiegq[drsijaT=><7;rnlp42({`n$djPvnk`aZ4(l`|bhh|PauvbgnY6<;=0aa{15-pma)tamU}cdmj_3-gmsocm{Ujxyolk^013>ukg};?#~gk/rkg[sinklU9#igyiegq[drsijaT??94smmw51)tam%xeiQyohaf[7)cacoiQntuc`oZ25?2ygcy?;/rkg+vocWebohQ=/ekumacuWh~mneP5358wiis9=%xei!|ie]uklebW;%oe{gkes]bpqgdcV<9;6}cou37+voc'zcoS{afcd]1+aoqamoySlz{abi\371<{ee=9!|ie-pmaYqg`inS?!kiwkgawYf|}khgR6=7:qokq73'zco#~gk_wmjg`Y5'mc}eik}_`vwefmX1;30aa{15-pma)tamU}cdmj_3-gmsocm{Uhcx`{es>3:7g<{ee=9!|ie-pmaYqg`inS?!kiwkgawYdg|di2>0?0b?vjh|8>$dj shf\rjodmV8$hdxfddp\gjsi|lx7=<0=a:qokq73'zco#~gk_wmjg`Y5'mc}eik}_bmvjqcu4885>l5|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rm`uovfv9746;k0aa{15-pma)tamU}cdmj_3-gmsocm{Uhcx`{es>20;4f3zfdx<: shf,wlbX~fchiR< dhtj``tXkfexh|314<1e>ukg};?#~gk/rkg[sinklU9#igyiegq[firf}oy0<812`9phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^alqkrbz5;<2?74smmw51)tam%xeiQyohaf[7)cacoiQlotlwaw:66;30aa{15-pma)tamU}cdmj_3-gmsocm{Uhcx`{es>1:7?<{ee=9!|ie-pmaYqg`inS?!kiwkgawYdg|di2<>3;8wiis9=%xei!|ie]uklebW;%oe{gkes]`kphsm{6?2?74smmw51)tam%xeiQyohaf[7)cacoiQlotlwaw:26;30aa{15-pma)tamU}cdmj_3-gmsocm{Uhcx`{es>5:7?<{ee=9!|ie-pmaYqg`inS?!kiwkgawYdg|di28>3;8wiis9=%xei!|ie]uklebW;%oe{gkes]`kphsm{632?74smmw51)tam%xeiQyohaf[7)cacoiQlotlwaw:>6;20aa{15-pma)tamU}cdmj_3-gmsocm{Uhcx`{es]36==tdf~:8"}fd.qj`ZphajoT>"jfvhffvZeh}g~n~R?=9:qokq73'zco#~gk_wmjg`Y5'mc}eik}_bmvjqcuW8:956}cou37+voc'zcoS{afcd]1+aoqamoySnaznugq[47512ygcy?;/rkg+vocWebohQ=/ekumacuWje~byk}_001=>ukg};?#~gk/rkg[sinklU9#igyiegq[firf}oyS<==9:qokq73'zco#~gk_wmjg`Y5'mc}eik}_bmvjqcuW8>956}cou37+voc'zcoS{afcd]1+aoqamoySnaznugq[43512ygcy?;/rkg+vocWebohQ=/ekumacuWje~byk}_041=>ukg};?#~gk/rkg[sinklU9#igyiegq[firf}oyS<9=8:qokq73'zco#~gk_wmjg`Y5'mc}eik}_bmvjqcuW;837~b`t06,wlb({`nTzbgle^0,`lpnllxTob{atdp\77><{ee=9!|ie-pmaYqg`inS?!kiwkgawYdg|diQ;299phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^alqkrbzV?946}cou37+voc'zcoS{afcd]1+aoqamoySnaznugq[34?3zfdx<: shf,wlbX~fchiR< dhtj``tXkfexh|P73:8wiis9=%xei!|ie]uklebW;%oe{gkes]`kphsm{U3>55|lnv20*unl&ybhRx`ibg\6*bn~`nn~Rm`uovfvZ?512ygcy?;/rkg+vocWebohQ=/ekumacuWmohSkc<1<1e>ukg};?#~gk/rkg[sinklU9#igyiegq[acdW{og0<>12`9phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^ffgZtbd5;:2?o4smmw51)tam%xeiQyohaf[7)cacoiQkeb]qai:6:78j7~b`t06,wlb({`nTzbgle^0,`lpnllxThhmPrdn?568512ygcy?;/rkg+vocWebohQ=/ekumacuWmohSkc<0<1=>ukg};?#~gk/rkg[sinklU9#igyiegq[acdW{og0?0=9:qokq73'zco#~gk_wmjg`Y5'mc}eik}_eg`[wck4:4956}cou37+voc'zcoS{afcd]1+aoqamoySikl_sgo818512ygcy?;/rkg+vocWebohQ=/ekumacuWmohSkc<4<1=>ukg};?#~gk/rkg[sinklU9#igyiegq[acdW{og0;0=9:qokq73'zco#~gk_wmjg`Y5'mc}eik}_eg`[wck4>4956}cou37+voc'zcoS{afcd]1+aoqamoySikl_sgo8=8512ygcy?;/rkg+vocWebohQ=/ekumacuWmohSkc<8<1<>ukg};?#~gk/rkg[sinklU9#igyiegq[acdW{ogS=<7;rnlp42({`n$djPvnk`aZ4(l`|bhh|Pdda\v`jX9;30aa{15-pma)tamU}cdmj_3-gmsocm{UoinQ}em]247?<{ee=9!|ie-pmaYqg`inS?!kiwkgawYcmjUyiaQ>13;8wiis9=%xei!|ie]uklebW;%oe{gkes]gafYumeU:>?74smmw51)tam%xeiQyohaf[7)cacoiQkeb]qaiY6;;20aa{15-pma)tamU}cdmj_3-gmsocm{UoinQ}em]16==tdf~:8"}fd.qj`ZphajoT>"jfvhffvZbbkVxn`R==8:qokq73'zco#~gk_wmjg`Y5'mc}eik}_eg`[wckW=837~b`t06,wlb({`nTzbgle^0,`lpnllxThhmPrdn\17><{ee=9!|ie-pmaYqg`inS?!kiwkgawYcmjUyiaQ9299phjr6<&ybh"}fd^tlmfcX:&nbzdjjr^ffgZtbdV=946}cou37+voc'zcoS{afcd]1+aoqamoySikl_sgo[=4?3zfdx<: shf,wlbX~fchiR< dhtj``tXlliT~hbP9358wiis9=%xei!|ie]uklebW;%oe{gkes]ueisb494946}cou37+voc'zcoS{afcd]1+aoqamoyS{ocud>3:44>3zfdx<: shf,wlbX~fchiR< dhtj``tX~hf~i1>1_10;?vjh|8>$dj shf\rjodmV8$hdxfddp\rdjrm5;;2?64smmw51)tam%xeiQyohaf[7)cacoiQyamwf8479:11x`bz>4.qj`*unlV|denkP2.fjrlbbzV|j`xk313<1<>ukg};?#~gk/rkg[sinklU9#igyiegq[sgk}l6:?3<7;rnlp42({`n$djPvnk`aZ4(l`|bhh|Pv`nva9736;=0aa{15-pma)tamU}cdmj_3-gmsocm{U}ma{j<0<13>ukg};?#~gk/rkg[sinklU9#igyiegq[sgk}l692?94smmw51)tam%xeiQyohaf[7)cacoiQyamwf8685?2ygcy?;/rkg+vocWebohQ=/ekumacuWkgyh2;>358wiis9=%xei!|ie]uklebW;%oe{gkes]ueisb4<49;6}cou37+voc'zcoS{afcd]1+aoqamoyS{ocud>5:71<{ee=9!|ie-pmaYqg`inS?!kiwkgawYqien0:0=7:qokq73'zco#~gk_wmjg`Y5'mc}eik}_wcoq`:?6;=0aa{15-pma)tamU}cdmj_3-gmsocm{U}ma{j<8<11>ukg};?#~gk/rkg[sinklU9#h`y_blw[pvXag|9:6}cou37+voc'zcoS{afcd]1+`hqWjdSx~Piot162=tdf~:8"}fd.qj`ZphajoT>"kav^alqkrX`ffoSL::;rnlp42({`n$djPvnk`aZ4(mg|Tob{at^jlhaYFWld}Snaznu]kkibXJVEYS>?;_n]jjs213zfdx<: shf,wlbX~fchiR< eot\gjsi|Vbd`iQN_dlu[firf}UccajPB^MQ[673WfUbb{?<0:qokq73'zco#~gk_wmjg`Y5'ld}Snaznu]kkibXIVmgyhQ|eu06?vjh|8>$dj shf\rjodmV8$icxPcnwmp`t;878=7~b`t06,wlb({`nTzbgle^0,akpXkfexh|311<12>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcu48;5>;5|lnv20*unl&ybhRx`ibg\6*ci~Vidyczjr=31:70<{ee=9!|ie-pmaYqg`inS?!jnw]`kphsm{6:?3<9;rnlp42({`n$djPvnk`aZ4(mg|Tob{atdp?5185>2ygcy?;/rkg+vocWebohQ=/dlu[firf}oy0<;1279phjr6<&ybh"}fd^tlmfcX:&oezRm`uovfv9716;<0aa{15-pma)tamU}cdmj_3-fjsYdg|di2>7?06?vjh|8>$dj shf\rjodmV8$icxPcnwmp`t;978>7~b`t06,wlb({`nTzbgle^0,akpXkfexh|32?06?vjh|8>$dj shf\rjodmV8$icxPcnwmp`t;;78>7~b`t06,wlb({`nTzbgle^0,akpXkfexh|34?06?vjh|8>$dj shf\rjodmV8$icxPcnwmp`t;=78>7~b`t06,wlb({`nTzbgle^0,akpXkfexh|36?06?vjh|8>$dj shf\rjodmV8$icxPcnwmp`t;?78>7~b`t06,wlb({`nTzbgle^0,akpXkfexh|38?06?vjh|8>$dj shf\rjodmV8$icxPcnwmp`t;179i7~b`t06,wlb({`nTzbgle^0,akpXkfexh|T16_\CKBX;==TcRk~u`n\66Yh;o1x`bz>4.qj`*unlV|denkP2.gmrZeh}g~n~V?8]^EM@Z53?VeTi|{nl^00[j:6?7>;7~b`t06,wlb({`nTzbgle^0,akpXkfexh|T16_\CKBX;==TcRk~u`n\66Yh48=5=9>4smmw51)tam%xeiQyohaf[7)bfUhcx`{esY23XY@FMU88:Q`_dsveiY5;Ve7=:0=419phjr6<&ybh"}fd^tlmfcX:&oezRm`uovfv^70UVMEHR=;7^m\atsfdV88Sb2>7?10f>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcuS8=VSJ@K_264[jYby|kgS>Ra316<77>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcuS8=VSJ@K_264[jYby|kgS>0aa{15-pma)tamU}cdmj_3-fjsYdg|diQ?259phjr6<&ybh"}fd^tlmfcX:&oezRm`uovfvZ75=2ygcy?;/rkg+vocWebohQ=/dlu[firf}oyS<>=5:qokq73'zco#~gk_wmjg`Y5'ld}Snaznugq[475=2ygcy?;/rkg+vocWebohQ=/dlu[firf}oyS<<=5:qokq73'zco#~gk_wmjg`Y5'ld}Snaznugq[455=2ygcy?;/rkg+vocWebohQ=/dlu[firf}oyS<:=5:qokq73'zco#~gk_wmjg`Y5'ld}Snaznugq[435=2ygcy?;/rkg+vocWebohQ=/dlu[firf}oyS<8=5:qokq73'zco#~gk_wmjg`Y5'ld}Snaznugq[415<2ygcy?;/rkg+vocWebohQ=/dlu[firf}oyS?<;;rnlp42({`n$djPvnk`aZ4(mg|Tob{atdp\772<{ee=9!|ie-pmaYqg`inS?!jnw]`kphsm{U?>95|lnv20*unl&ybhRx`ibg\6*ci~Vidyczjr^710>ukg};?#~gk/rkg[sinklU9#h`y_bmvjqcuW?8?7~b`t06,wlb({`nTzbgle^0,akpXkfexh|P7368wiis9=%xei!|ie]uklebW;%nb{QlotlwawY?:=1x`bz>4.qj`*unlV|denkP2.gmrZeh}g~n~R7=9:qokq73'zco#~gk_wmjg`Y5'lr{Syomld]ueisb4949m6}cou37+voc'zcoS{afcd]1+`~wW}ki`hQyamwf8469:h1x`bz>4.qj`*unlV|denkP2.g{tZrfjeoTzlbze=32:7g<{ee=9!|ie-pmaYqg`inS?!jxq]wegjbWkgyh2>2?0b?vjh|8>$dj shf\rjodmV8$iu~Pt``oaZpfd|o7=>0=a:qokq73'zco#~gk_wmjg`Y5'lr{Syomld]ueisb48>5>l5|lnv20*unl&ybhRx`ibg\6*cxV~jnakPv`nva9726;k0aa{15-pma)tamU}cdmj_3-f|uYsikfnS{ocud>22;4f3zfdx<: shf,wlbX~fchiR< eyr\pddkmV|j`xk316<1=>ukg};?#~gk/rkg[sinklU9#hv_ucah`Yqien0<0=9:qokq73'zco#~gk_wmjg`Y5'lr{Syomld]ueisb4;4956}cou37+voc'zcoS{afcd]1+`~wW}ki`hQyamwf868512ygcy?;/rkg+vocWebohQ=/dzs[qgedlU}ma{j<5<1=>ukg};?#~gk/rkg[sinklU9#hv_ucah`Yqien080=9:qokq73'zco#~gk_wmjg`Y5'lr{Syomld]ueisb4?4956}cou37+voc'zcoS{afcd]1+`~wW}ki`hQyamwf828512ygcy?;/rkg+vocWebohQ=/dzs[qgedlU}ma{j<9<1=>ukg};?#~gk/rkg[sinklU9#hv_ucah`Yqien040=8:qokq73'zco#~gk_wmjg`Y5'lr{Syomld]ueisbW98m7~b`t06,wlb({`nTzbgle^0,a}vX|hhgiRxnltg\4Ztt|ye>55|lnv20*unl&ybhRx`ibg\6*cxV~jnakPv`nvaZ7512ygcy?;/rkg+vocWebohQ=/dzs[qgedlU}ma{j_021=>ukg};?#~gk/rkg[sinklU9#hv_ucah`YqienSukg};?#~gk/rkg[sinklU9#hv_ucah`YqienS<;=9:qokq73'zco#~gk_wmjg`Y5'lr{Syomld]ueisbW8<956}cou37+voc'zcoS{afcd]1+`~wW}ki`hQyamwf[41502ygcy?;/rkg+vocWebohQ=/dzs[qgedlU}ma{j_30;?vjh|8>$dj shf\rjodmV8$iu~Pt``oaZpfd|oT??64smmw51)tam%xeiQyohaf[7)bpyUmobj_wcoq`Y3:11x`bz>4.qj`*unlV|denkP2.g{tZrfjeoTzlbze^71<>ukg};?#~gk/rkg[sinklU9#hv_ucah`YqienS;<7;rnlp42({`n$djPvnk`aZ4(mqzTxllce^tbhpcX?;20aa{15-pma)tamU}cdmj_3-f|uYsikfnS{ocud];6==tdf~:8"}fd.qj`ZphajoT>"kwp^vbficX~hf~iR7>c:qokq73'zco#~gk_wmjg`Y5'g:9<=?k;rnlp42({`n$djPvnk`aZ4(f98;<?l;rnlp42({`n$djPvnk`aZ4(f98;8;_RU35f=tdf~:8"}fd.qj`ZphajoT>"~zlrg77>ukg};?#~gk/rkg[sinklU9#}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44Xg::0aa{15-pma)tamU}cdmj_3-qavcsWJeexac[0_\KWY5=1Ud?<5|lnv20*unl&ybhRx`ibg\6*tb{l~TOb`{rnnX5XYHZV8>4Ra>309phjr6<&ybh"}fd^tlmfcX:&xnhzPdhtj``tXzz~TC_Q<14]l77=tdf~:8"}fd.qj`ZphajoT>"|jsdv\`lpnllxT~~zPOS]050Yh9:90aa{15-pma)tamU}cdmj_3-qavcsWld}Sn`{_bnfewYHZV9:?Ra<4:qokq73'zco#~gk_wmjg`Y5'{oxiyQjnw]`jqYddlkySB\P301\k4453zfdx<: shf,wlbX~fchiR< s`uwkjro4949?6}cou37+voc'zcoS{afcd]1+vgp|fed1??>318wiis9=%xei!|ie]uklebW;%xmzz`ouj?5485:2ygcy?;/rkg+vocWebohQ=/rctpjis`5;5>?5|lnv20*unl&ybhRx`ibg\6*uf}edxe2=>308wiis9=%xei!|ie]uklebW;%xmzz`ouj?7;453zfdx<: shf,wlbX~fchiR< s`uwkjro4=49>6}cou37+voc'zcoS{afcd]1+vgp|fed1;1239phjr6<&ybh"}fd^tlmfcX:&yj{ya`ti>5:74<{ee=9!|ie-pmaYqg`inS?!|avvlkqn;?7897~b`t06,wlb({`nTzbgle^0,wdqsgf~c050=2:qokq73'zco#~gk_wmjg`Y5'zk|xba{h=;=64=tdf~:8"}fd.qj`ZphajoT>"}nwumlpmY7:81x`bz>4.qj`*unlV|denkP2.qbsqih|aU:>?5|lnv20*unl&ybhRx`ibg\6*uf}edxeQ>0308wiis9=%xei!|ie]uklebW;%xmzz`ouj\54463zfdx<: shf,wlbX~fchiR< s`uwkjroW;8:7~b`t06,wlb({`nTzbgle^0,wdqsgf~cS><>;rnlp42({`n$djPvnk`aZ4({h}cbzg_502?vjh|8>$dj shf\rjodmV8$ly{onvk[0463zfdx<: shf,wlbX~fchiR< s`uwkjroW?8:7~b`t06,wlb({`nTzbgle^0,wdqsgf~cS:<>;rnlp42({`n$djPvnk`aZ4({h}cbzg_902?vjh|8>$dj shf\rjodmV8$ly{onvk[<453zfdx<: shf,wlbX~fchiR< tskbjbjb4949?6}cou37+voc'zcoS{afcd]1+qtnigmgi1??>318wiis9=%xei!|ie]uklebW;%~doagmg?5485:2ygcy?;/rkg+vocWebohQ=/upjekakm5;5>?5|lnv20*unl&ybhRx`ibg\6*ruahdl`h2=>308wiis9=%xei!|ie]uklebW;%~doagmg?7;453zfdx<: shf,wlbX~fchiR< tskbjbjb4=49>6}cou37+voc'zcoS{afcd]1+qtnigmgi1;1239phjr6<&ybh"}fd^tlmfcX:&~yel`hld>5:74<{ee=9!|ie-pmaYqg`inS?!{rhcmcic;?7897~b`t06,wlb({`nTzbgle^0,pwoffnfn050=2:qokq73'zco#~gk_wmjg`Y5'}xbmcice=;=71=tdf~:8"}fd.qj`ZphajoT>"z}i`ldh`]7UVdde~kT0\]LVZ4>=Ve846}cou37+voc'zcoS{afcd]1+qtnigmgiV>R_omjw`]7UVEYS?7:_n]PS4513zfdx<: shf,wlbX~fchiR< tskbjbjbS8:VScafsdY24XYHZV8=9Ra1\]mklubS8;VSB\P270\k6g<{ee=9!|ie-pmaYqg`inS?!{rhcmcic\98WTbbg|eZ32YZIUW;<9SbQ\W017?vjh|8>$dj shf\rjodmV8$xgnnfnf_4[XffcxiV?R_NP\6<4Xg:20aa{15-pma)tamU}cdmj_3-wvlgioeoP=PQaohqf_4[XG[U95?Q`_RU271=tdf~:8"}fd.qj`ZphajoT>"z}i`ldh`]5UVdde~kT2\]LVZ4?1Ve846}cou37+voc'zcoS{afcd]1+qtnigmgiV64smmw51)tam%xeiQyohaf[7)sz`kekakT3\]mklubS:WTC_Q=87]l[VQ6;=1x`bz>4.qj`*unlV|denkP2.vqmdh`dlQ?QR``irgX0XYHZV83?Ra<8:qokq73'zco#~gk_wmjg`Y5'}xbmciceZ6^[kin{lQ?QRA]_3:0[jYT_89?7~b`t06,wlb({`nTzbgle^0,pwoffnfnW8SPnnkpa^3ZWFXT>5>Po2:8wiis9=%xei!|ie]uklebW;%~doagmgX1XYig`ynW8SPOS]1<5YhWZ]:?95|lnv20*unl&ybhRx`ibg\6*ruahdl`hU9]^llmvc\>TUD^R<87^m0<>ukg};?#~gk/rkg[sinklU9#y|faoeoa^0ZWgebhU9]^MQ[710WfUX[<=;;rnlp42({`n$djPvnk`aZ4(|{cjbjbj[6_\jjotmR=VSB\P266\k6><{ee=9!|ie-pmaYqg`inS?!{rhcmcic\?TUecd}j[6_\KWY5?=UdS^Y>359phjr6<&ybh"}fd^tlmfcX:&~yel`hldY;YZhhazoP4PQ@R^045Zi402ygcy?;/rkg+vocWebohQ=/upjekakmR2VScafsdY;YZIUW;=:SbQ\W017?vjh|8>$dj shf\rjodmV8$xgnnfnf_<[XffcxiV7R_NP\63>Xg:20aa{15-pma)tamU}cdmj_3-wvlgioeoP5PQaohqf_<[XG[U9:5Q`_RU264=tdf~:8"}fd.qj`ZphajoT>"z}i`ldh`Y7:81x`bz>4.qj`*unlV|denkP2.vqmdh`dlU:>?5|lnv20*unl&ybhRx`ibg\6*ruahdl`hQ>0308wiis9=%xei!|ie]uklebW;%~doagmg\54463zfdx<: shf,wlbX~fchiR< tskbjbjbW;8:7~b`t06,wlb({`nTzbgle^0,pwoffnfnS><>;rnlp42({`n$djPvnk`aZ4(|{cjbjbj_502?vjh|8>$dj shf\rjodmV8$xgnnfnf[0463zfdx<: shf,wlbX~fchiR< tskbjbjbW?8:7~b`t06,wlb({`nTzbgle^0,pwoffnfnS:<>;rnlp42({`n$djPvnk`aZ4(|{cjbjbj_902?vjh|8>$dj shf\rjodmV8$xgnnfnf[<703zfdx<: shf,wlbX~fchiR= 209phjr6<&ybh"}fd^tlmfcX;&NnoR|jl=2=64=tdf~:8"}fd.qj`ZphajoT?"Jjc^pfh979:81x`bz>4.qj`*unlV|denkP3.FfgZtbd585><5|lnv20*unl&ybhRx`ibg\7*BbkVxn`1=12`9phjr6<&ybh"}fd^tlmfcX;&MEHR=;7^m\MKPX098Tc?l4smmw51)tam%xeiQyohaf[6)@FMU88:Q`_HLU[=65Wf89j6}cou37+voc'zcoS{afcd]0+BHCW:>h4smmw51)tam%xeiQyohaf[6)@FMU88:Q`_ekumacuWje~byk}[05^[`wrieU>=Ra;3:qokq73'zco#~gk_wmjg`Y4'NDOS>:8_n]gmsocm{Uhcx`{esY23XYby|kgS8?Po=34:12<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWmc}eik}_bmvjqcuS8=VShzam]65Zi;9>4:895|lnv20*unl&ybhRx`ibg\7*AILV9?;RaPdhtj``tXkfexh|T16_\atsfdV?:Sb2>7?070>ukg};?#~gk/rkg[sinklU8#J@K_264[jYcacoiQlotlwaw]6?TUn}xoc_43\k9706:>?7~b`t06,wlb({`nTzbgle^1,CKBX;==TcRjfvhffvZeh}g~n~V?8]^grqdjX=8Ud0<914568wiis9=%xei!|ie]uklebW:%LBIQ<46]l[aoqamoyS{ocudY27XYtmeohxR89_NWW8469<11x`bz>4.qj`*unlV|denkP3.EM@Z53?VeThdxfddp\rdjrmR;8QR}jldaw[30XG\^7==0PSV270>ukg};?#~gk/rkg[sinklU8#J@K_264[jYcacoiQyamwf_45ZWzoginzP67]LQQ:697>37~b`t06,wlb({`nTzbgle^1,CKBX;==TcRjfvhffvZpfd|oP=>SPsdnfgqY1>VE^X1?>>^QT412<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWmc}eik}_wcoq`]6;TUxiaklt^45[JSS4885855|lnv20*unl&ybhRx`ibg\7*AILV9?;RaPdhtj``tX~hf~iV?<]^qfh`esW?0aa{15-pma)tamU}cdmj_2-DJAY4<>UdSigyiegq[sgk}lQ:?PQ|emg`pZ01WF__0<:1499phjr6<&ybh"}fd^tlmfcX;&MEHR=;7^m\`lpnllxTzlbzeZ30YZubdliS;8POTV?518X[^:?86}cou37+voc'zcoS{afcd]0+BHCW:>?UDYY2>5?6;?vjh|8>$dj shf\rjodmV9$KCJP355\kZbn~`nn~RxnltgX56[X{lfnoyQ96^MVP9726VY\<9:4smmw51)tam%xeiQyohaf[6)@FMU88:Q`_ekumacuWkgyhU>3\]paicd|V<=SB[[<04=00=tdf~:8"}fd.qj`ZphajoT?"IAD^173ZiXl`|bhh|Pv`nva^74UVyn`hm{_74\KPR;9?4:895|lnv20*unl&ybhRx`ibg\7*AILV9?;RaPdhtj``tX~hf~iV?<]^qfh`esW?ukg};?#~gk/rkg[sinklU8#J@K_264[jYcacoiQyamwf_45ZWzoginzP67]LQQ:6?7;??6}cou37+voc'zcoS{afcd]0+BHCW:>?UDYY2>>568wiis9=%xei!|ie]uklebW:%LBIQ<46]l[aoqamoyS{ocudY27XYtmeohxR89_NWW8486<:1x`bz>4.qj`*unlV|denkP3.EM@Z53?VeThdxfddp\rdjrmR;8QR}jldaw[30XG\^7>3:;;rnlp42({`n$djPvnk`aZ5(OGNT?99Po^fjrlbbzV|j`xkT12_\w`jbk}U=:RAZT=0=515<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWmc}eik}_wcoq`]6;TUxiaklt^45[JSS4:4?;6}cou37+voc'zcoS{afcd]0+BHCW:>?UDYY2<>^QT415<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWmc}eik}_wcoq`]6;TUxiaklt^45[JSS4=4?;6}cou37+voc'zcoS{afcd]0+BHCW:>?UDYY2;>^QT415<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWmc}eik}_wcoq`]6;TUxiaklt^45[JSS4<4?;6}cou37+voc'zcoS{afcd]0+BHCW:>?UDYY2:>^QT415<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWmc}eik}_wcoq`]6;TUxiaklt^45[JSS4?4?;6}cou37+voc'zcoS{afcd]0+BHCW:>?UDYY29>^QT415<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWmc}eik}_wcoq`]6;TUxiaklt^45[JSS4>4?;6}cou37+voc'zcoS{afcd]0+BHCW:>?UDYY28>^QT415<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWmc}eik}_wcoq`]6;TUxiaklt^45[JSS414?;6}cou37+voc'zcoS{afcd]0+BHCW:>?UDYY27>^QT415<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWmc}eik}_wcoq`]6;TUxiaklt^45[JSS404?;6}cou37+voc'zcoS{afcd]0+BHCW:>?UDYY26>^QT46c<{ee=9!|ie-pmaYqg`inS>!HNE]002YhWld}Snaznugq_41ZWEoxYfnn^7:[j5a3zfdx<: shf,wlbX~fchiR= GOF\711XgVoezRm`uovfv^70UVFn~Zgao]6=Zi5<:1x`bz>4.qj`*unlV|denkP3.EM@Z53?VeTicxPcnwmp`t\9>WT@h}|Ticm[0?Xg;UX[==i;rnlp42({`n$djPvnk`aZ5(OGNT?99Po^gmrZeh}g~n~V?8]^NfwvRoigU==Ra<419phjr6<&ybh"}fd^tlmfcX;&MEHR=;7^m\akpXkfexh|T16_\H`ut\akeS;?Po230b>ukg};?#~gk/rkg[sinklU8#J@K_264[jYbfUhcx`{esY23XYKmzy_dl`P64]l54c<{ee=9!|ie-pmaYqg`inS>!CFRP?4;7a3zfdx<: shf,wlbX~fchiR= LGQQ84699o1x`bz>4.qj`*unlV|denkP3.NEWW:697;m7~b`t06,wlb({`nTzbgle^1,HCUU4885=k5|lnv20*unl&ybhRx`ibg\7*JA[[6:?3?i;rnlp42({`n$djPvnk`aZ5(DOYY0<:11g9phjr6<&ybh"}fd^tlmfcX;&FM__2>5?3e?vjh|8>$dj shf\rjodmV9$@K]]<04=5c=tdf~:8"}fd.qj`ZphajoT?"BISS>23;7a3zfdx<: shf,wlbX~fchiR= LGQQ84>99o1x`bz>4.qj`*unlV|denkP3.NEWW:617;n7~b`t06,wlb({`nTzbgle^1,HCUU484:j6}cou37+voc'zcoS{afcd]0+I@TZ58;2<0>f:qokq73'zco#~gk_wmjg`Y4'ELX^1<=>0g8wiis9=%xei!|ie]uklebW:%GJ^\32?3f?vjh|8>$dj shf\rjodmV9$@K]]<2<2a>ukg};?#~gk/rkg[sinklU8#AH\R=6=5`=tdf~:8"}fd.qj`ZphajoT?"BISS>6:4c<{ee=9!|ie-pmaYqg`inS>!CFRP?2;7b3zfdx<: shf,wlbX~fchiR= LGQQ8286m2ygcy?;/rkg+vocWebohQ99l1x`bz>4.qj`*unlV|denkP3.NEWW:>6:=0aa{15-pma)tamU}cdmj_2-OBVT\:;WTKCJP355\kZkrpV;=SB[[<1<0<>ukg};?#~gk/rkg[sinklU8#AH\RZ01YZAILV9?;RaPmtz\53YH]]6:<3=7;rnlp42({`n$djPvnk`aZ5(DOYYW?6^MVP9766:20aa{15-pma)tamU}cdmj_2-OBVT\:;WTKCJP355\kZkrpV;=SB[[<00=7==tdf~:8"}fd.qj`ZphajoT?"BISSY16XY@FMU88:Q`_lw{[40XG\^7=>0<8:qokq73'zco#~gk_wmjg`Y4'ELX^V<=]^EM@Z53?VeTaxvP17]LQQ:6<7937~b`t06,wlb({`nTzbgle^1,HCUUS;8VSJ@K_264[jYj}qU::RAZT=36:6><{ee=9!|ie-pmaYqg`inS>!CFRPX67[XOGNT?99Po^ov|Z71WF__0<81399phjr6<&ybh"}fd^tlmfcX;&FM__U=2\]DJAY4<>UdS`{w_04\KPR;9>4846}cou37+voc'zcoS{afcd]0+I@TZR89QRIAD^173ZiXe|rT=;Q@UU>2<;5?3zfdx<: shf,wlbX~fchiR= LGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X1?6>258wiis9=%xei!|ie]uklebW:%GJ^\T23_\CKBX;==TcRczx^35[JSS484846}cou37+voc'zcoS{afcd]0+I@TZR89QRIAD^173ZiXe|rT=;Q@UU>14;5?3zfdx<: shf,wlbX~fchiR= LGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X1<>>2:8wiis9=%xei!|ie]uklebW:%GJ^\T23_\CKBX;==TcRczx^35[JSS4;85?:5|lnv20*unl&ybhRx`ibg\7*JA[[Q9>PQHNE]002YhWdsS<8POTV?6;503zfdx<: shf,wlbX~fchiR= LGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X1=1369phjr6<&ybh"}fd^tlmfcX;&FM__U=2\]DJAY4<>UdS`{w_04\KPR;<79<7~b`t06,wlb({`nTzbgle^1,HCUUS;8VSJ@K_264[jYj}qU::RAZT=7=72=tdf~:8"}fd.qj`ZphajoT?"BISSY16XY@FMU88:Q`_lw{[40XG\^7:3=8;rnlp42({`n$djPvnk`aZ5(DOYYW?6^MVP919;>1x`bz>4.qj`*unlV|denkP3.NEWW]5:TULBIQ<46]l[hsW8$dj shf\rjodmV9$@K]][30^[BHCW:>e:qokq73'zco#~gk_wmjg`Y4'ELX^R?<1d9phjr6<&ybh"}fd^tlmfcX;&FM__Q>40g8wiis9=%xei!|ie]uklebW:%GJ^\P143f?vjh|8>$dj shf\rjodmV9$@K]]_042a>ukg};?#~gk/rkg[sinklU8#AH\R^345`=tdf~:8"}fd.qj`ZphajoT?"BISS]2<4c<{ee=9!|ie-pmaYqg`inS>!CFRP\5<7c3zfdx<: shf,wlbX~fchiR= LGQQ[77b3zfdx<: shf,wlbX~fchiR= LGQQ[766m2ygcy?;/rkg+vocWebohQ4.qj`*unlV|denkP3.NEWWY5:8n0aa{15-pma)tamU}cdmj_2-OBVTX;8n0aa{15-pma)tamU}cdmj_2-OBVTX<8n0aa{15-pma)tamU}cdmj_2-OBVTX=8n0aa{15-pma)tamU}cdmj_2-OBVTX>8n0aa{15-pma)tamU}cdmj_2-OBVTX?8n0aa{15-pma)tamU}cdmj_2-OBVTX08n0aa{15-pma)tamU}cdmj_2-OBVTX1;l0aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZe~4948<6}cou37+voc'zcoS{afcd]0+Hgdk|gTmnmzmtnbpjtXkp6:<3=?;rnlp42({`n$djPvnk`aZ5(Ehihy`Qncbwnqigsg{Uhu1?>>228wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rmv<00=75=tdf~:8"}fd.qj`ZphajoT?"Cncbwn[ded}dgmya}_b{?568482ygcy?;/rkg+vocWebohQ2>>4smmw51)tam%xeiQyohaf[6)Jiji~aRolctovhdrhzVir0<81329phjr6<&ybh"}fd^tlmfcX;&Gjon{b_`a`qhski}eySnw317<\vq573zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]`}9706:90aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZe~48=5Sz<0:qokq73'zco#~gk_wmjg`Y4'DkhoxcPabavipjf|fxTot2>8?10?vjh|8>$dj shf\rjodmV9$Almlul]bgfsj}ekcQly=3;:Zts;91x`bz>4.qj`*unlV|denkP3.ObgfsjWhihy`{caumq[f;9048?6}cou37+voc'zcoS{afcd]0+Hgdk|gTmnmzmtnbpjtXkp6:53Q}t3d8wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rmv<0<04>ukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pcx>14;543zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]`}9476Vx?=5|lnv20*unl&ybhRx`ibg\7*KfkjfSlmlulwoeqiuWjs7><0<3:qokq73'zco#~gk_wmjg`Y4'DkhoxcPabavipjf|fxTot2=1?]qp66<{ee=9!|ie-pmaYqg`inS>!BabaviZgdk|g~`lz`r^az8749;:1x`bz>4.qj`*unlV|denkP3.ObgfsjWhihy`{caumq[f;:;4T~y$dj shf\rjodmV9$Almlul]bgfsj}ekcQly=6=6c=tdf~:8"}fd.qj`ZphajoT?"Cncbwn[ded}dgmya}_b{?1;4a3zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]`}909:o1x`bz>4.qj`*unlV|denkP3.ObgfsjWhihy`{caumq[f;?78m7~b`t06,wlb({`nTzbgle^1,Ided}dUjon{bumcwkwYdq525>k5|lnv20*unl&ybhRx`ibg\7*KfkjfSlmlulwoeqiuWjs753=?;rnlp42({`n$djPvnk`aZ5(Ehihy`Qncbwnqigsg{Ugyy2?>238wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rbzt=33:67<{ee=9!|ie-pmaYqg`inS>!BabaviZgdk|g~`lz`r^nvp9766:;0aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZjr|5;92>?4smmw51)tam%xeiQyohaf[6)Jiji~aRolctovhdrhzVf~x1?<>238wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rbzt=37:67<{ee=9!|ie-pmaYqg`inS>!BabaviZgdk|g~`lz`r^nvp9726::0aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZjr|5;5?=5|lnv20*unl&ybhRx`ibg\7*KfkjfSlmlulwoeqiuWe0?0<0:qokq73'zco#~gk_wmjg`Y4'DkhoxcPabavipjf|fxT`xz33?13?vjh|8>$dj shf\rjodmV9$Almlul]bgfsj}ekcQcuu>7:66<{ee=9!|ie-pmaYqg`inS>!BabaviZgdk|g~`lz`r^nvp939;91x`bz>4.qj`*unlV|denkP3.ObgfsjWhihy`{caumq[iss4?48<6}cou37+voc'zcoS{afcd]0+Hgdk|gTmnmzmtnbpjtXd|~7;3=?;rnlp42({`n$djPvnk`aZ5(Ehihy`Qncbwnqigsg{Ugyy27>228wiis9=%xei!|ie]uklebW:%Fmnmzm^c`gpkrdh~d~Rbzt=;=75=tdf~:8"}fd.qj`ZphajoT?"Cncbwn[ded}dgmya}_ymq858492ygcy?;/rkg+vocWebohQukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pxnp?568492ygcy?;/rkg+vocWebohQukg};?#~gk/rkg[sinklU8#@olcto\efere|fjxb|Pxnp?528492ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$Almlul]bgfsj}ekcQwos>14;563zfdx<: shf,wlbX~fchiR= M`a`qhYfkjfyao{os]{kw:5979:7~b`t06,wlb({`nTzbgle^1,Ided}dUjon{bumcwkwYg{69>3=>;rnlp42({`n$djPvnk`aZ5(Ehihy`Qncbwnqigsg{Usc2=3?17?vjh|8>$dj shf\rjodmV9$Almlul]bgfsj}ekcQwos>17;Yu|::0aa{15-pma)tamU}cdmj_2-NefereVkhoxczl`vlvZ~hz585?=5|lnv20*unl&ybhRx`ibg\7*KfkjfSlmlulwoeqiuWqey0>0<0:qokq73'zco#~gk_wmjg`Y4'DkhoxcPabavipjf|fxTtb|34?13?vjh|8>$dj shf\rjodmV9$Almlul]bgfsj}ekcQwos>6:66<{ee=9!|ie-pmaYqg`inS>!BabaviZgdk|g~`lz`r^zlv909;91x`bz>4.qj`*unlV|denkP3.ObgfsjWhihy`{caumq[}iu4>48<6}cou37+voc'zcoS{afcd]0+Hgdk|gTmnmzmtnbpjtXpfx743=?;rnlp42({`n$djPvnk`aZ5(Ehihy`Qncbwnqigsg{Usc26>438wiis9=%xei!|ie]uklebW:%Fobcas]sqiub`ndV?>]^c`gpkrdh~d~V<<]^NfwvRoigU:>RaPcx>3:07<{ee=9!|ie-pmaYqg`inS>!BcnosewYw}eyn{dj{hZ32YZgdk|g~`lz`rZ00YZJb{z^cmcQ>2^m\g|:66<;0aa{15-pma)tamU}cdmj_2-Ngjkwi{U{ya}jwhfwl^76UVkhoxczl`vlv^44UVFn~Zgao]26ZiXkp6928?4smmw51)tam%xeiQyohaf[6)Jkfg{mQumqfslbs`R;:QRolctovhdrhzR88QRBjsrVkekY6:VeTot2<>438wiis9=%xei!|ie]uklebW:%Fobcas]sqiub`ndV?>]^c`gpkrdh~d~V<<]^NfwvRoigU:>RaPcx>7:07<{ee=9!|ie-pmaYqg`inS>!BcnosewYw}eyn{dj{hZ32YZgdk|g~`lz`rZ00YZJb{z^cmcQ>2^m\g|:26<80aa{15-pma)tamU}cdmj_2-Ngjkwi{U{ya}jwhfwl^76UVkhoxczl`vlv^44UVFn~Zgao]26ZiXd|~7<3;=;rnlp42({`n$djPvnk`aZ5(Ejef|l|Pptnparoc|aQ:=PQncbwnqigsg{Q9?PQCerqWldhX9;UdSa{{<0<66>ukg};?#~gk/rkg[sinklU8#@m`mqcq[usk{l}bhyfT10_\efere|fjxb|T22_\H`ut\akeS<4.qj`*unlV|denkP3.O`khvfzVz~`~kxievk_47ZWhihy`{caumq_75ZWEoxYfnn^31[jYk}}6828<4smmw51)tam%xeiQyohaf[6)Jkfg{mQumqfslbs`R;:QRolctovhdrhzR88QRBjsrVkekY6:VeT`xz34?72?vjh|8>$dj shf\rjodmV9$Anabp`p\tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`_mww`l353zfdx<: shf,wlbX~fchiR= MbmntdtXx|fxizgktiY25XYfkjfyao{osY17XYKmzy_dl`P13]l[issl`;>>6}cou37+voc'zcoS{afcd]0+HeheykyS}{csduj`qn\98WTmnmzmtnbpjt\::WT@h}|Ticm[44XgVf~xig=539phjr6<&ybh"}fd^tlmfcX;&Ghc`~nr^rvhvcpam~cW!BcnosewYw}eyn{dj{hZ32YZgdk|g~`lz`rZ00YZJb{z^cmcQ>2^m\hprca=987~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Uhu1>1379phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_b{?4;Yu|:>0aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVir0<>1359phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_b{?5484<2ygcy?;/rkg+vocWebohQ3=;;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQly=30:62<{ee=9!|ie-pmaYqg`inS>!BcnwmpZbn~`nn~Rm`uovfvZe~48>5?95|lnv20*unl&ybhRx`ibg\7*Kdg|dSigyiegq[firf}oySnw314<00>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\g|:6>7987~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Uhu1?1329phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_b{?6;543zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYdq595?>5|lnv20*unl&ybhRx`ibg\7*Kdg|dSigyiegq[firf}oySnw34?10?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]`}939;:1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWjs7:3=<;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQly=5=76=tdf~:8"}fd.qj`ZphajoT?"Clotlw[aoqamoySnaznugq[f;07987~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Uhu171329phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_dsm[5533zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYbygU;=>=4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rk~n^300>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\athX999>7~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Un}cQ>0017?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]fukY69:?0aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVozbR?>1268wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^grjZ75;<1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWl{eS<<>359phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_ekumacuWje~byk}_dsm[454=2ygcy?;/rkg+vocWebohQ?<4:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pepl\51523zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYbygU:8<=;;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQjqo]2163<{ee=9!|ie-pmaYqg`inS>!BcnwmpZbn~`nn~Rm`uovfvZcvfV;>=>:4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rk~n^3570=tdf~:8"}fd.qj`ZphajoT?"Clotlw[aoqamoySnaznugq[`wiW8<:?95|lnv20*unl&ybhRx`ibg\7*Kdg|dSigyiegq[firf}oySha_0501>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\athX9>;8?6}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxTi|`P2268wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^grjZ46;:1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWl{eS>=;;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQjqo]0565<{ee=9!|ie-pmaYqg`inS>!BcnwmpZbn~`nn~Rm`uovfvZcvfV>886}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxTi|`P4010?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]fukY2;=1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWl{eS8?<3:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pepl\262<{ee=9!|ie-pmaYqg`inS>!BcnwmpZbn~`nn~Rm`uovfvZcvfV<:?>5|lnv20*unl&ybhRx`ibg\7*Kdg|dSigyiegq[firf}oySha_617?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]fukY09:90aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVozbR6<4:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pepl\<4543zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYbygU2?95|lnv20*unl&ybhRx`ibg\7*Kdg|dSigyiegq[firf}oySha_8301>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\hpr;9948n6}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxT`xz311<\MKPX8:?0aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVf~x1?>>2`8wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^nvp9766VCEZR><5:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pltv?5784j2ygcy?;/rkg+vocWebohQ!BcnwmpZbn~`nn~Rm`uovfvZjr|5;82>l4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rbzt=30:ZOI^V:896}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxT`xz315<0f>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\hpr;9=4TECXP0278wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^nvp9726:h0aa{15-pma)tamU}cdmj_2-Ngjsi|Vnbzdjjr^alqkrbzVf~x1?:>^KMRZ64=2ygcy?;/rkg+vocWebohQ;4smmw51)tam%xeiQyohaf[6)JkfexRjfvhffvZeh}g~n~Rbzt=34:6d<{ee=9!|ie-pmaYqg`inS>!BcnwmpZbn~`nn~Rm`uovfvZjr|5;<2RGAV^200>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\hpr;979j7~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Ugyy2>>^KMRZ64<2ygcy?;/rkg+vocWebohQ3=n;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQcuu>1:ZOI^V:886}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxT`xz33?1b?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]oqq:46VCEZR><4:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pltv?0;5f3zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYk}}6?2RGAV^200>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\hpr;=79j7~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Ugyy2:>^KMRZ64<2ygcy?;/rkg+vocWebohQ5:ZOI^V:886}cou37+voc'zcoS{afcd]0+Heh}g~Thdxfddp\gjsi|lxT`xz37?1b?vjh|8>$dj shf\rjodmV9$Anaznu]gmsocm{Uhcx`{es]oqq:06VCEZR><4:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pltv?<;5f3zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYk}}632RGAV^200>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\hpr;179j7~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Ugyy26>^KMRZ64<2ygcy?;/rkg+vocWebohQ24;523zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{6:=3=:;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQwos>26;523zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{6:?3=:;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQwos>20;523zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{6:93=:;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQwos>22;523zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{6:;3=;;rnlp42({`n$djPvnk`aZ5(Eje~byQkiwkgawYdg|diQwos>2:62<{ee=9!|ie-pmaYqg`inS>!BcnwmpZbn~`nn~Rm`uovfvZ~hz585?95|lnv20*unl&ybhRx`ibg\7*Kdg|dSigyiegq[firf}oySua}<2<00>ukg};?#~gk/rkg[sinklU8#@m`uov\`lpnllxTob{atdp\|jt;<79?7~b`t06,wlb({`nTzbgle^1,Ifirf}Uoe{gkes]`kphsm{Usc2:>268wiis9=%xei!|ie]uklebW:%Fob{at^fjrlbbzVidyczjr^zlv909;=1x`bz>4.qj`*unlV|denkP3.O`kphsWmc}eik}_bmvjqcuWqey0:0<4:qokq73'zco#~gk_wmjg`Y4'DidyczPdhtj``tXkfexh|Pxnp?<;533zfdx<: shf,wlbX~fchiR= MbmvjqYcacoiQlotlwawYg{622?h4smmw51)tam%xeiQyohaf[6)JkfexRkav^alqkrbzVir0=0<0:qokq73'zco#~gk_wmjg`Y4'DidyczPeot\gjsi|lxTot2>0?13?vjh|8>$dj shf\rjodmV9$Anaznu]fjsYdg|diQly=32:66<{ee=9!|ie-pmaYqg`inS>!BcnwmpZci~Vidyczjr^az8449;91x`bz>4.qj`*unlV|denkP3.O`kphsWld}Snaznugq[f;9:48<6}cou37+voc'zcoS{afcd]0+Heh}g~TicxPcnwmp`tXkp6:83=?;rnlp42({`n$djPvnk`aZ5(Eje~byQjnw]`kphsm{Uhu1?:>228wiis9=%xei!|ie]uklebW:%Fob{at^gmrZeh}g~n~Rmv<04=6c=tdf~:8"}fd.qj`ZphajoT?"Clotlw[`hqWje~byk}_b{?5;4a3zfdx<: shf,wlbX~fchiR= MbmvjqYbfUhcx`{es]`}949:o1x`bz>4.qj`*unlV|denkP3.O`kphsWld}Snaznugq[f;;78m7~b`t06,wlb({`nTzbgle^1,Ifirf}Unb{QlotlwawYdq5>5>k5|lnv20*unl&ybhRx`ibg\7*Kdg|dSh`y_bmvjqcuWjs793$dj shf\rjodmV9$Anaznu]fjsYdg|diQly=:=6c=tdf~:8"}fd.qj`ZphajoT?"Clotlw[`hqWje~byk}_b{?=;573zfdx<: shf,wlbX~fchiR= MbmvjqYbfUhcx`{es]oqq:76:;0aa{15-pma)tamU}cdmj_2-Ngjsi|VoezRm`uovfvZjr|5;;2>?4smmw51)tam%xeiQyohaf[6)JkfexRkav^alqkrbzVf~x1?>>238wiis9=%xei!|ie]uklebW:%Fob{at^gmrZeh}g~n~Rbzt=31:67<{ee=9!|ie-pmaYqg`inS>!BcnwmpZci~Vidyczjr^nvp9746:;0aa{15-pma)tamU}cdmj_2-Ngjsi|VoezRm`uovfvZjr|5;?2>?4smmw51)tam%xeiQyohaf[6)JkfexRkav^alqkrbzVf~x1?:>238wiis9=%xei!|ie]uklebW:%Fob{at^gmrZeh}g~n~Rbzt=35:67<{ee=9!|ie-pmaYqg`inS>!BcnwmpZci~Vidyczjr^nvp9706::0aa{15-pma)tamU}cdmj_2-Ngjsi|VoezRm`uovfvZjr|5;5?=5|lnv20*unl&ybhRx`ibg\7*Kdg|dSh`y_bmvjqcuWe0?0<0:qokq73'zco#~gk_wmjg`Y4'DidyczPeot\gjsi|lxT`xz33?13?vjh|8>$dj shf\rjodmV9$Anaznu]fjsYdg|diQcuu>7:66<{ee=9!|ie-pmaYqg`inS>!BcnwmpZci~Vidyczjr^nvp939;91x`bz>4.qj`*unlV|denkP3.O`kphsWld}Snaznugq[iss4?48<6}cou37+voc'zcoS{afcd]0+Heh}g~TicxPcnwmp`tXd|~7;3=?;rnlp42({`n$djPvnk`aZ5(Eje~byQjnw]`kphsm{Ugyy27>228wiis9=%xei!|ie]uklebW:%Fob{at^gmrZeh}g~n~Rbzt=;=75=tdf~:8"}fd.qj`ZphajoT?"Clotlw[`hqWje~byk}_ymq858492ygcy?;/rkg+vocWebohQukg};?#~gk/rkg[sinklU8#@m`uov\akpXkfexh|Pxnp?568492ygcy?;/rkg+vocWebohQukg};?#~gk/rkg[sinklU8#@m`uov\akpXkfexh|Pxnp?528482ygcy?;/rkg+vocWebohQ>4smmw51)tam%xeiQyohaf[6)JkfexRkav^alqkrbzVrd~1=1319phjr6<&ybh"}fd^tlmfcX;&Ghcx`{_dlu[firf}oySua}<5<04>ukg};?#~gk/rkg[sinklU8#@m`uov\akpXkfexh|Pxnp?1;573zfdx<: shf,wlbX~fchiR= MbmvjqYbfUhcx`{es]{kw:16::0aa{15-pma)tamU}cdmj_2-Ngjsi|VoezRm`uovfvZ~hz5=5?=5|lnv20*unl&ybhRx`ibg\7*Kdg|dSh`y_bmvjqcuWqey050<0:qokq73'zco#~gk_wmjg`Y4'DidyczPeot\gjsi|lxTtb|39?6b?vjh|8>$dj shf\rjodmV9$A`{ct^qjckgkWdsW<9R_dlu[firf}oyW<9R_LwOpZ7?WF__S<>;a:qokq73'zco#~gk_wmjg`Y4'Dg~`yQ|iflbhZkrpR;4.qj`*unlV|denkP3.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]60<=tdf~:8"}fd.qj`ZphajoT?"Cbumv\wlaiieUfyuU>7\]fjsYdg|diU>7\]NqIrX91UDYYQ9489phjr6<&ybh"}fd^tlmfcX;&GfyazPshemeiYj}qQ:;PQjnw]`kphsm{Q:;PQBuMv\5=YH]]U<845|lnv20*unl&ybhRx`ibg\7*Kj}e~Tdiaam]nq}]6?TUnb{Qlotlwaw]6?TUFyAzP19]LQQY?<01x`bz>4.qj`*unlV|denkP3.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]:14=tdf~:8"}fd.qj`ZphajoT?"Cbumv\wlaiieUfyuU>7\]fjsYdg|diU>7\]NqIrX91UDYYQ|ucovhqY7==1x`bz>4.qj`*unlV|denkP3.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]pqgkrd}U;S<>:4:qokq73'zco#~gk_wmjg`Y4'Dg~`yQ|iflbhZkrpR;8:4smmw51)tam%xeiQyohaf[6)Je|fS~ghn`n\ip~\9>WTicxPcnwmp`t\9>WTAxB{_0:\KPRX{|hfyazP0^3011=tdf~:8"}fd.qj`ZphajoT?"Cbumv\wlaiieUfyuU>7\]fjsYdg|diU>7\]NqIrX91UDYYQ|ucovhqY7W8>>86}cou37+voc'zcoS{afcd]0+Hkrd}Uxej`nl^ov|^70UVoezRm`uovfv^70UVG~@yQ>8^MVPZurjdgxR>P1470?vjh|8>$dj shf\rjodmV9$A`{ct^qjckgkWdsW<9R_dlu[firf}oyW<9R_LwOpZ7?WF__S~{mmtnw[5Y?=:1x`bz>4.qj`*unlV|denkP3.OnqirX{`memaQbuyY23XYbfUhcx`{esY23XYJ}E~T=5Q@UU]pqgkrd}U;S4<7;rnlp42({`n$djPvnk`aZ5(EdsSIkl_sgo85869;20aa{15-pma)tamU}cdmj_2-Nip~XLliT~hb31?326==tdf~:8"}fd.qj`ZphajoT?"Cbuy]GafYume6926^MVP474k2ygcy?;/rkg+vocWebohQPQHNE]002YhWdsS<8POTV2545d3zfdx<: shf,wlbX~fchiR= Mlw{[I@TZR89QRIAD^173ZiXe|rT=;Q@UU3156e<{ee=9!|ie-pmaYqg`inS>!Bmtz\HCUUS;8VSJ@K_264[jYj}qU::RAZT0127f=tdf~:8"}fd.qj`ZphajoT?"Cbuy]OBVT\:;WTKCJP355\kZkrpV;=SB[[1530g>ukg};?#~gk/rkg[sinklU8#@czx^NEWW]5:TULBIQ<46]l[hsW8501`?vjh|8>$dj shf\rjodmV9$A`{w_MDPV^45UVMEHR=;7^m\ip~X9?UDYY?912a8wiis9=%xei!|ie]uklebW:%FaxvPLGQQ_74ZWNDOS>:8_n]nq}Y6>VE^X<9>3b9phjr6<&ybh"}fd^tlmfcX;&GfyuQCFRPX67[XOGNT?99Po^ov|Z71WF__=5?l4smmw51)tam%xeiQyohaf[6)Je|rT@K]][30^[BHCW:>UdS`{w_04\KPR5989h7~b`t06,wlb({`nTzbgle^1,IhsWELX^V<=]^EM@Z53?VeTaxvP17]LQQ459:i0aa{15-pma)tamU}cdmj_2-Nip~XDOYYW?6^MVP756;k1x`bz>4.qj`*unlV|denkP3.Onq}YKNZXP>?SPGOF\711XgVg~tR?9_NWW745e3zfdx<: shf,wlbX~fchiR= Mlw{[I@TZR89QRIAD^173ZiXe|rT=;Q@UU627g=tdf~:8"}fd.qj`ZphajoT?"Cbuy]OBVT\:;WTKCJP355\kZkrpV;=SB[[501a?vjh|8>$dj shf\rjodmV9$A`{w_MDPV^45UVMEHR=;7^m\ip~X9?UDYY8>3c9phjr6<&ybh"}fd^tlmfcX;&GfyuQCFRPX67[XOGNT?99Po^ov|Z71WF__;<=m;rnlp42({`n$djPvnk`aZ5(EdsSAH\RZ01YZAILV9?;RaPmtz\53YH]]2:?o5|lnv20*unl&ybhRx`ibg\7*Kj}qUGJ^\T23_\CKBX;==TcRczx^35[JSS188h7~b`t06,wlb({`nTzbgle^1,IhsWmc}eik}_wcoq`779;i0aa{15-pma)tamU}cdmj_2-Nip~Xl`|bhh|Pv`nva476:j1x`bz>4.qj`*unlV|denkP3.Onq}YcacoiQyamwf5775k2ygcy?;/rkg+vocWebohQ!Bmtz\`lpnllxTzlbze0726g=tdf~:8"}fd.qj`ZphajoT?"Cbuy]gmsocm{U}ma{j170a?vjh|8>$dj shf\rjodmV9$A`{w_ekumacuWkgyh?82b9phjr6<&ybh"}fd^tlmfcX;&GfyuQkiwkgawYqien=:?=b:qokq73'zco#~gk_wmjg`Y4'Dg~tRjfvhffvZpfd|o9=?l4smmw51)tam%xeiQyohaf[6)Je|rThdxfddp\rdjrm:;9n6}cou37+voc'zcoS{afcd]0+HkrpVnbzdjjr^tbhpc39;h0aa{15-pma)tamU}cdmj_2-Nip~Xl`|bhh|Pv`nva075j2ygcy?;/rkg+vocWebohQ!Bmtz\`lpnllxTzlbze631f>ukg};?#~gk/rkg[sinklU8#@czx^fjrlbbzV|j`xk713`8wiis9=%xei!|ie]uklebW:%FaxvPdhtj``tX~hf~i4?;0:qokq73'zco#~gk_wmjg`Y4'DxjaRO{t^ffg^1ZWY]YS?=?_n]tmacXe|rT:4Q@UU62?vjh|8>$dj shf\rjodmV9$Aob_@vw[acdS>WT\Z\P222\kZqnllUfyuQ99^MVP4253zfdx<: shf,wlbX~fchiR= Mscn[DrsWmohW:SPPVP\666XgV}bhhQbuy]5=ZIR\8:??6}cou37+voc'zcoS{afcd]0+HtfeVKxRjjcZ5^[UQUW;9;SbQxieg\ip~X>0UDYY??1508wiis9=%xei!|ie]uklebW:%F~lcPAuv\``e\?TU[[_Q=31]l[rocmVg~tR86_NWW54243zfdx<: shf,wlbX~fchiR= Mscn[DrsWmohW:SPPVP\666XgV}bhhQbuy]5=ZIR\8;:895|lnv20*unl&ybhRx`ibg\7*KuidUJxyQkebY4YZVPZV8810376>ukg};?#~gk/rkg[sinklU8#@|nm^CwpZbbkR=VS]Y]_313[jYpamoTaxvP68]LQQ75<:1x`bz>4.qj`*unlV|denkP3.OqehYF|}UoinU8]^RTVZ448VeT{djj_lw{[3?XG\^:><::;rnlp42({`n$djPvnk`aZ5(E{kfSLz{_eg`_2[XX^XT>>>Po^uj``Yj}qU=5RAZT00254233zfdx<: shf,wlbX~fchiR= Mscn[DrsWmohW:SPPVP\666XgV}bhhQbuy]5=ZIR\889=9<4smmw51)tam%xeiQyohaf[6)JzhgTMyzPddaX3XYW_[U9?=Q`_vkgaZkrpV<2SB[[1560?vjh|8>$dj shf\rjodmV9$Aob_@vw[acdS>WT\Z\P222\kZqnllUfyuQ99^MVP426<81x`bz>4.qj`*unlV|denkP3.OqehYF|}UoinU8]^RTVZ448VeT{djj_lw{[3?XG\^98?5|lnv20*unl&ybhRx`ibg\7*KuidUJxyQkebY4YZVPZV88!Br`o\EqrXlliP;PQ_WS]175YhW~coiRczx^4:[JSS;8>:7~b`t06,wlb({`nTzbgle^1,IwgjWH~Sikl[6_\TRTX:::TcRyfdd]nq}Y11VE^X9:=;rnlp42({`n$djPvnk`aZ5(E{kfSLz{_eg`_2[XX^XT>>>Po^uj``Yj}qU=5RAZT5375>ukg};?#~gk/rkg[sinklU8#@|nm^CwpZbbkR=VS]Y]_313[jYpamoTaxvP68]LQQ33:2ygcy?;/rkg+vocWebohQ!Br`o\EqrXlliP;PQ_WS]175YhW~coiRczx^4:[JSS?=80aa{15-pma)tamU}cdmj_2-NvdkXI}~ThhmT7\]SSWY5;9UdSzgke^ov|Z0>WF__;<:>;rnlp42({`n$djPvnk`aZ5(E{kfSLz{_eg`_2[XX^XT>>>Po^uj``Yj}qU=5RAZT961?vjh|8>$dj shf\rjodmV9$Aob_@vw[acdS>WT\Z\P222\kZqnllUfyuQ99^MVP=7392ygcy?;/rkg+vocWebohQ6}cou37+voc'zcoS{afcd]0+HtfeVKxRjjcZ5^[UQUW;9;SbQxieg\ip~X>0UDYY7>419phjr6<&ybh"}fd^tlmfcX;&Gym`QKeb]qai]4UVZ\^R<<0^m\slbbWdsS:=POTV75>ukg};?#~gk/rkg[sinklU8#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ73:2ygcy?;/rkg+vocWebohQ5|lnv20*unl&ybhRx`ibg\7*KuidUOinQ}emY0YZVPZV889TCXZ>0061?vjh|8>$dj shf\rjodmV9$Aob_Eg`[wckS:WT\Z\P222\kZqnllUfyuQ83^MVP473;2ygcy?;/rkg+vocWebohQQ@UU3004=tdf~:8"}fd.qj`ZphajoT?"C}al]GafYumeQ8QR^XR^004ZiX`nnS`{w_61\KPR5<;1x`bz>4.qj`*unlV|denkP3.OqehYCmjUyiaU<]^RTVZ448VeT{djj_lw{[25XG\^9=9?4smmw51)tam%xeiQyohaf[6)JzhgTHhmPrdnX7XYW_[U9?=Q`_vkgaZkrpV=8SB[[3508wiis9=%xei!|ie]uklebW:%F~lcPDda\v`j\;TU[[_Q=31]l[rocmVg~tR9<_NWW74263zfdx<: shf,wlbX~fchiR= Mscn[AcdW{ogW>SPPVP\666XgV}bhhQbuy]47ZIR\=>97~b`t06,wlb({`nTzbgle^1,IwgjWMohSkc[2_\TRTX:::TcRyfdd]nq}Y0;VE^X9?;1:qokq73'zco#~gk_wmjg`Y4'DxjaRJjc^pfh^5ZWY]YS?=?_n]tmacXe|rT;>Q@UU776>ukg};?#~gk/rkg[sinklU8#@|nm^FfgZtbdR9VS]Y]_313[jYpamoTaxvP72]LQQ36<81x`bz>4.qj`*unlV|denkP3.OqehYCmjUyiaU<]^RTVZ448VeT{djj_lw{[25XG\^=8?5|lnv20*unl&ybhRx`ibg\7*KuidUOinQ}emY0YZVPZV889TCXZ91518wiis9=%xei!|ie]uklebW:%F~lcPDda\v`j\;TU[[_Q=31]l[rocmVg~tR9<_NWW247392ygcy?;/rkg+vocWebohQ6}cou37+voc'zcoS{afcd]0+HtfeVNnoR|jlZ1^[UQUW;9;SbQxieg\ip~X?:UDYY9>409phjr6<&ybh"}fd^tlmfcX;&Gym`QKeb]qai]4UVZ\^R<<0^m\slbbWdsS:=POTV;07=tdf~:8"}fd.qj`ZphajoT?"C}al]GafYumeQ8QR^XR^004ZiX`nnS`{w_61\KPR?9=;0aa{15-pma)tamU}cdmj_2-NvdkXLliT~hbT3\]SSWY5;9UdSzgke^ov|Z14WF__59<4smmw51)tam%xeiQyohaf[6)JzhgTHhmPrdnX7XYW_[U9?=Q`_vkgaZkrpV=8SB[[901f?vjh|8>$dj shf\rjodmV9$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<1<0b>ukg};?#~gk/rkg[sinklU8#]OPMR@\mkYfkjfyao{osY17XYj}qU:>RAZT=2=56`<{ee=9!|ie-pmaYqg`inS>!_A^OPFZoiWhihy`{caumq_75ZWdsS<2^MVP977689m7~b`t06,wlb({`nTzbgle^1,TDYJ[KUbbRolctovhdrhzR88QRczx^31[JSS48;58=5|lnv20*unl&ybhRx`ibg\7*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]6:=3?>01f?vjh|8>$dj shf\rjodmV9$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<3<0b>ukg};?#~gk/rkg[sinklU8#]OPMR@\mkYfkjfyao{osY17XYj}qU:>RAZT=0=56c<{ee=9!|ie-pmaYqg`inS>!_A^OPFZoiWhihy`{caumq_75ZWdsS<4.qj`*unlV|denkP3.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:36:l0aa{15-pma)tamU}cdmj_2-SEZKTJVceSlmlulwoeqiuS;9VS`{w_00\KPR;<7;8i6}cou37+voc'zcoS{afcd]0+UGXEZHTecQncbwnqigsg{Q9?PQbuy]26ZIR\5?5?k5|lnv20*unl&ybhRx`ibg\7*VFWDYISd`Pabavipjf|fxP>>SPmtz\57YH]]6>2<=j;rnlp42({`n$djPvnk`aZ5(XHUF_OQfn^c`gpkrdh~d~V<<]^ov|Z75WF__0;0$dj shf\rjodmV9$\LQBSC]jjZgdk|g~`lz`rZ00YZkrpV;9SB[[<6<27`=tdf~:8"}fd.qj`ZphajoT?"^N_LQA[lhXiji~axbntnpX66[Xe|rT=?Q@UU>;:6`<{ee=9!|ie-pmaYqg`inS>!_A^OPFZoiWhihy`{caumq_75ZWdsS<2^MVP9?9;o1x`bz>4.qj`*unlV|denkP3.RB[HUEW`dTmnmzmtnbpjt\::WTaxvP13]LQQ:>688>7~b`t06,wlb({`nTzbgle^1,TDYJ[KUbbR~}ew03?vjh|8>$dj shf\rjodmV9$^h}zlu>3:77<{ee=9!|ie-pmaYqg`inS>!]erwop9699;;0aa{15-pma)tamU}cdmj_2-Qavsk|5:5>??4smmw51)tam%xeiQyohaf[6)Umzgx1??>308wiis9=%xei!|ie]uklebW:%Yi~{ct=33:4453zfdx<: shf,wlbX~fchiR= Rdqvhq:68789=6}cou37+voc'zcoS{afcd]0+Wct}e~7=<0=2:qokq73'zco#~gk_wmjg`Y4'[oxyaz310<267=tdf~:8"}fd.qj`ZphajoT?"\jstnw8479:;;0aa{15-pma)tamU}cdmj_2-Qavsk|5;92?<4smmw51)tam%xeiQyohaf[6)Umzgx1?=>001?vjh|8>$dj shf\rjodmV9$^h}zlu>26;4592ygcy?;/rkg+vocWebohQ6}cou37+voc'zcoS{afcd]0+Wct}e~7=>0>239phjr6<&ybh"}fd^tlmfcX;&Xnxb{<01=677<{ee=9!|ie-pmaYqg`inS>!]erwop9736;80aa{15-pma)tamU}cdmj_2-Qavsk|5;?2<<=;rnlp42({`n$djPvnk`aZ5(Zly~`y2>4?015>ukg};?#~gk/rkg[sinklU8#_k|umv?5085:2ygcy?;/rkg+vocWebohQ?5|lnv20*unl&ybhRx`ibg\7*Tb{|f0<;12338wiis9=%xei!|ie]uklebW:%Yi~{ct=35:74<{ee=9!|ie-pmaYqg`inS>!]erwop97168897~b`t06,wlb({`nTzbgle^1,V`urd}6::3<=1:qokq73'zco#~gk_wmjg`Y4'[oxyaz316<16>ukg};?#~gk/rkg[sinklU8#_k|umv?5286:;1x`bz>4.qj`*unlV|denkP3.Pfwpjs48=5>??4smmw51)tam%xeiQyohaf[6)Umzgx1?7>338wiis9=%xei!|ie]uklebW:%Yi~{ct=3::76<{ee=9!|ie-pmaYqg`inS>!]erwop979:81x`bz>4.qj`*unlV|denkP3.Pfwpjs484:><5|lnv20*unl&ybhRx`ibg\7*Tb{|f0<0=209phjr6<&ybh"}fd^tlmfcX;&Xnxb{<32=64=tdf~:8"}fd.qj`ZphajoT?"\jstnw8779:81x`bz>4.qj`*unlV|denkP3.Pfwpjs4;85><5|lnv20*unl&ybhRx`ibg\7*Tb{|f0?=1219phjr6<&ybh"}fd^tlmfcX;&Xnxb{<3<15>ukg};?#~gk/rkg[sinklU8#_k|umv?6;7592ygcy?;/rkg+vocWebohQ;rnlp42({`n$djPvnk`aZ5(Zly~`y2<>002?vjh|8>$dj shf\rjodmV9$^h}zlu>0:7473zfdx<: shf,wlbX~fchiR= Rdqvhq:36;;0aa{15-pma)tamU}cdmj_2-Qavsk|5>5=??4smmw51)tam%xeiQyohaf[6)Umzgx1:12328wiis9=%xei!|ie]uklebW:%Yi~{ct=7=64=tdf~:8"}fd.qj`ZphajoT?"\jstnw8086:81x`bz>4.qj`*unlV|denkP3.Pfwpjs4<49>=5|lnv20*unl&ybhRx`ibg\7*Tb{|f0;0=1:qokq73'zco#~gk_wmjg`Y4'[oxyaz36?315>ukg};?#~gk/rkg[sinklU8#_k|umv?2;4582ygcy?;/rkg+vocWebohQ;rnlp42({`n$djPvnk`aZ5(Zly~`y28>303?vjh|8>$dj shf\rjodmV9$^h}zlu>;:77<{ee=9!|ie-pmaYqg`inS>!]erwop9>99;;0aa{15-pma)tamU}cdmj_2-Qavsk|525>?>4smmw51)tam%xeiQyohaf[6)Umzgx171209phjr6<&ybh"}fd^tlmfcX;&Xnxb{<8<264=tdf~:8"}fd.qj`ZphajoT?"\jstnw8<85>91x`bz>4.qj`*unlV|denkP3.]\[]JIEVUT;9QP_LaliuguWyghyfdujX54[Xiji~axbntnpX66[XDlyxXeoa_00\kZjr|mc==6}cou37+voc'zcoS{afcd]0+ZYXPEDFSRQ85^]\IfijxhxT|xb|evkgpm]69TUjon{bumcwkw]5;TUGi~}[h`l\57YhWehd?91:qokq73'zco#~gk_wmjg`Y4'VUTTA@B_^]42ZYXEjef|l|Pptnparoc|aQ:=PQncbwnqigsg{Q9?PQCerqWldhX9;UdSa{{dh055>ukg};?#~gk/rkg[sinklU8#RQPXMLN[ZY0?VUTAnabp`p\tpjtm~coxeU>1\]bgfsj}ekcU=3\]OavuS`hdT=?Q`_mww`l5192ygcy?;/rkg+vocWebohQ9=6}cou37+voc'zcoS{afcd]0+Zh7;::Tecx=2:qokq73'zco#~gk_wmjg`Y4'Vd;?>>Piot25a=tdf~:8"}fd.qj`ZphajoT?"Qa026:5`=tdf~:8"}fd.qj`ZphajoT?"Qa026:54c<{ee=9!|ie-pmaYqg`inS>!Pn117=77b3zfdx<: shf,wlbX~fchiR= _o200<55<2ygcy?;/rkg+vocWebohQ85|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r=2=63=tdf~:8"}fd.qj`ZphajoT?"olctovhdrhz5;;2?84smmw51)tam%xeiQyohaf[6)fkjfyao{os>25;413zfdx<: shf,wlbX~fchiR= abavipjf|fx7=?0=6:qokq73'zco#~gk_wmjg`Y4'hihy`{caumq8459:?1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~1?;>348wiis9=%xei!|ie]uklebW:%jon{bumcwkw:6=78=7~b`t06,wlb({`nTzbgle^1,efere|fjxb|317<12>ukg};?#~gk/rkg[sinklU8#lmlulwoeqiu48=5>;5|lnv20*unl&ybhRx`ibg\7*gdk|g~`lz`r=3;:70<{ee=9!|ie-pmaYqg`inS>!ncbwnqigsg{6:53<:;rnlp42({`n$djPvnk`aZ5(iji~axbntnp?5;413zfdx<: shf,wlbX~fchiR= abavipjf|fx7>=0=6:qokq73'zco#~gk_wmjg`Y4'hihy`{caumq8779:?1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~1<=>348wiis9=%xei!|ie]uklebW:%jon{bumcwkw:5;78>7~b`t06,wlb({`nTzbgle^1,efere|fjxb|32?06?vjh|8>$dj shf\rjodmV9$mnmzmtnbpjt;;78>7~b`t06,wlb({`nTzbgle^1,efere|fjxb|34?06?vjh|8>$dj shf\rjodmV9$mnmzmtnbpjt;=78>7~b`t06,wlb({`nTzbgle^1,efere|fjxb|36?06?vjh|8>$dj shf\rjodmV9$mnmzmtnbpjt;?78>7~b`t06,wlb({`nTzbgle^1,efere|fjxb|38?06?vjh|8>$dj shf\rjodmV9$mnmzmtnbpjt;178?7~b`t06,wlb({`nTzbgle^1,efere|fjxb|P0368wiis9=%xei!|ie]uklebW:%jon{bumcwkwY6:<1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~R??249phjr6<&ybh"}fd^tlmfcX;&khoxczl`vlvZ76:<1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~R?=249phjr6<&ybh"}fd^tlmfcX;&khoxczl`vlvZ74:<1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~R?;249phjr6<&ybh"}fd^tlmfcX;&khoxczl`vlvZ72:<1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~R?9249phjr6<&ybh"}fd^tlmfcX;&khoxczl`vlvZ70:<1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~R?7249phjr6<&ybh"}fd^tlmfcX;&khoxczl`vlvZ7>:=1x`bz>4.qj`*unlV|denkP3.c`gpkrdh~d~R<=5:qokq73'zco#~gk_wmjg`Y4'hihy`{caumq[765=2ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$mnmzmtnbpjtX0;>0aa{15-pma)tamU}cdmj_2-bgfsj}ekcQ6289phjr6<&ybh"}fd^tlmfcX;&i~~R}{aug\BVKXNOn:>n5|lnv20*unl&ybhRx`ibg\7*erzVymykPFRO\BCb6%@d9h6}cou37+voc'zcoS{afcd]0+fsuWz~jxhQISL]EBa7*Ag89j6}cou37+voc'zcoS{afcd]0+fsuWz~jxhQISL]EBa7*Ag8TJ?h4smmw51)tam%xeiQyohaf[6)d}{Uxxlzj_GQN[C@c9$Ce>RI=d:qokq73'zco#~gk_wmjg`Y4'jyS~zntd]EWHYANm;&Ec==9:qokq73'zco#~gk_wmjg`Y4'jyS~zntd]EWHYANm89o6}cou37+voc'zcoS{afcd]0+fsuWz~jxhQISL]EBa4*Ag8o7~b`t06,wlb({`nTzbgle^1,gptX{}kiRH\M^DE`7+Nf;8m7~b`t06,wlb({`nTzbgle^1,gptX{}kiRH\M^DE`7+Nf;UM>k5|lnv20*unl&ybhRx`ibg\7*erzVymykPFRO\BCb5%@d9SJ<6;rnlp42({`n$djPvnk`aZ5(k|xTyo{e^DPIZ@Al:8h7~b`t06,wlb({`nTzbgle^1,gptX{}kiRH\M^DE`6+Nf;n0aa{15-pma)tamU}cdmj_2-`qwYt|h~nSK]B_GDg7(Oi9;n0aa{15-pma)tamU}cdmj_2-`qwYt|h~nSK]B_GDg7(Oi:;n0aa{15-pma)tamU}cdmj_2-`qwYt|h~nSK]B_GDg7(Oi;;20aa{15-pma)tamU}cdmj_2-gmsocm{Ujxyolk=2=6<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZgs|hi`0<>1289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^cwpdel48;5>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Ro{t`ah8449:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVkxlmd<01=6<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZgs|hi`0<:1299phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^cwpdel484946}cou37+voc'zcoS{afcd]0+aoqamoySlz{abi?6;4?3zfdx<: shf,wlbX~fchiR= dhtj``tXi}~jof2<>3:8wiis9=%xei!|ie]uklebW:%oe{gkes]bpqgdc5>5>55|lnv20*unl&ybhRx`ibg\7*bn~`nn~Ro{t`ah808502ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$hdxfddp\eqrfkb6<2?64smmw51)tam%xeiQyohaf[6)cacoiQntuc`o9>9:11x`bz>4.qj`*unlV|denkP3.fjrlbbzVkxlmd<8<13>ukg};?#~gk/rkg[sinklU8#igyiegq[drsijaT$dj shf\rjodmV9$hdxfddp\eqrfkbU:=?64smmw51)tam%xeiQyohaf[6)cacoiQntuc`oZ75:11x`bz>4.qj`*unlV|denkP3.fjrlbbzVkxlmd_011<>ukg};?#~gk/rkg[sinklU8#igyiegq[drsijaT=9<8;rnlp42({`n$djPvnk`aZ5(l`|bhh|PauvbgnY5:>1x`bz>4.qj`*unlV|denkP3.fjrlbbzVkxlmd_204?vjh|8>$dj shf\rjodmV9$hdxfddp\eqrfkbU?>:5|lnv20*unl&ybhRx`ibg\7*bn~`nn~Ro{t`ah[0403zfdx<: shf,wlbX~fchiR= dhtj``tXi}~jofQ9269phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^cwpdelW>8<7~b`t06,wlb({`nTzbgle^1,`lpnllxTmyzncj];62=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZgs|hi`S4<6;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pcnwmp`t;878j7~b`t06,wlb({`nTzbgle^1,`lpnllxTob{atdp?5585i2ygcy?;/rkg+vocWebohQ3c8wiis9=%xei!|ie]uklebW:%oe{gkes]`kphsm{6:?34.qj`*unlV|denkP3.fjrlbbzVidyczjr=35:7g<{ee=9!|ie-pmaYqg`inS>!kiwkgawYdg|di2>7?0:?vjh|8>$dj shf\rjodmV9$hdxfddp\gjsi|lx7=3<6;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pcnwmp`t;:7827~b`t06,wlb({`nTzbgle^1,`lpnllxTob{atdp?7;4>3zfdx<: shf,wlbX~fchiR= dhtj``tXkfexh|34?0:?vjh|8>$dj shf\rjodmV9$hdxfddp\gjsi|lx793<6;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pcnwmp`t;>7827~b`t06,wlb({`nTzbgle^1,`lpnllxTob{atdp?3;4>3zfdx<: shf,wlbX~fchiR= dhtj``tXkfexh|38?0:?vjh|8>$dj shf\rjodmV9$hdxfddp\gjsi|lx753<7;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pcnwmp`tX8;20aa{15-pma)tamU}cdmj_2-gmsocm{Uhcx`{es]26<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZeh}g~n~R??289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^alqkrbzV;:>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rm`uovfvZ75:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVidyczjr^306<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZeh}g~n~R?;289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^alqkrbzV;>>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rm`uovfvZ71:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVidyczjr^346==tdf~:8"}fd.qj`ZphajoT?"jfvhffvZeh}g~n~R<=8:qokq73'zco#~gk_wmjg`Y4'mc}eik}_bmvjqcuW:837~b`t06,wlb({`nTzbgle^1,`lpnllxTob{atdp\07><{ee=9!|ie-pmaYqg`inS>!kiwkgawYdg|diQ:299phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^alqkrbzV<946}cou37+voc'zcoS{afcd]0+aoqamoySnaznugq[24?3zfdx<: shf,wlbX~fchiR= dhtj``tXkfexh|P83:8wiis9=%xei!|ie]uklebW:%oe{gkes]`kphsm{U2>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rjjc^pfh969:h1x`bz>4.qj`*unlV|denkP3.fjrlbbzVnnoR|jl=33:7g<{ee=9!|ie-pmaYqg`inS>!kiwkgawYcmjUyia2>1?0b?vjh|8>$dj shf\rjodmV9$hdxfddp\``eXzlf7=?0=a:qokq73'zco#~gk_wmjg`Y4'mc}eik}_eg`[wck4895>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rjjc^pfh979:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVnnoR|jl=0=6<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZbbkVxn`1=1289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^ffgZtbd5>5>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rjjc^pfh939:01x`bz>4.qj`*unlV|denkP3.fjrlbbzVnnoR|jl=4=6<=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZbbkVxn`191289phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^ffgZtbd525>45|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rjjc^pfh9?9:11x`bz>4.qj`*unlV|denkP3.fjrlbbzVnnoR|jl^21<>ukg};?#~gk/rkg[sinklU8#igyiegq[acdW{ogS<<6;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pdda\v`jX99827~b`t06,wlb({`nTzbgle^1,`lpnllxThhmPrdn\544>3zfdx<: shf,wlbX~fchiR= dhtj``tXlliT~hbP130:?vjh|8>$dj shf\rjodmV9$hdxfddp\``eXzlfT=><7;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pdda\v`jX:;20aa{15-pma)tamU}cdmj_2-gmsocm{UoinQ}em]06==tdf~:8"}fd.qj`ZphajoT?"jfvhffvZbbkVxn`R:=8:qokq73'zco#~gk_wmjg`Y4'mc}eik}_eg`[wckW<837~b`t06,wlb({`nTzbgle^1,`lpnllxThhmPrdn\27><{ee=9!|ie-pmaYqg`inS>!kiwkgawYcmjUyiaQ8299phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^ffgZtbdV2946}cou37+voc'zcoS{afcd]0+aoqamoySikl_sgo[<403zfdx<: shf,wlbX~fchiR= dhtj``tX~hf~i1>1299phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^tbhpc;87;956}cou37+voc'zcoS{afcd]0+aoqamoyS{ocud>3:Z6502ygcy?;/rkg+vocWebohQ0?0;?vjh|8>$dj shf\rjodmV9$hdxfddp\rdjrm5;:2?64smmw51)tam%xeiQyohaf[6)cacoiQyamwf8449:11x`bz>4.qj`*unlV|denkP3.fjrlbbzV|j`xk312<1<>ukg};?#~gk/rkg[sinklU8#igyiegq[sgk}l6:83<8;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pv`nva979:>1x`bz>4.qj`*unlV|denkP3.fjrlbbzV|j`xk32?04?vjh|8>$dj shf\rjodmV9$hdxfddp\rdjrm595>:5|lnv20*unl&ybhRx`ibg\7*bn~`nn~Rxnltg?0;403zfdx<: shf,wlbX~fchiR= dhtj``tX~hf~i1;1269phjr6<&ybh"}fd^tlmfcX;&nbzdjjr^tbhpc;>78<7~b`t06,wlb({`nTzbgle^1,`lpnllxTzlbze=5=62=tdf~:8"}fd.qj`ZphajoT?"jfvhffvZpfd|o743<8;rnlp42({`n$djPvnk`aZ5(l`|bhh|Pv`nva9?9:<1x`bz>4.qj`*unlV|denkP3.gmrZei|V{Sd`y279phjr6<&ybh"}fd^tlmfcX;&oezRmat^ws[lhq:;=0aa{15-pma)tamU}cdmj_2-fjsYdg|dSeacd^C71>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqYogenTMRkav^alqkrX`ffoSOQ@R^120ZiXag|?:6}cou37+voc'zcoS{afcd]0+`hqWje~byQgomf\EZci~VidyczPhnng[GYHZV9:8RaPiot275=tdf~:8"}fd.qj`ZphajoT?"kav^alqkrX`ffoSLQhltg\w`r5=2ygcy?;/rkg+vocWebohQ4.qj`*unlV|denkP3.gmrZeh}g~n~1?>>348wiis9=%xei!|ie]uklebW:%nb{Qlotlwaw:6:78=7~b`t06,wlb({`nTzbgle^1,akpXkfexh|312<12>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcu48>5>;5|lnv20*unl&ybhRx`ibg\7*ci~Vidyczjr=36:70<{ee=9!|ie-pmaYqg`inS>!jnw]`kphsm{6::3<9;rnlp42({`n$djPvnk`aZ5(mg|Tob{atdp?5285=2ygcy?;/rkg+vocWebohQ0=5:qokq73'zco#~gk_wmjg`Y4'ld}Snaznugq8185=2ygcy?;/rkg+vocWebohQ:8_n]fupgkW;9Tc>h4smmw51)tam%xeiQyohaf[6)bfUhcx`{esY23XY@FMU88:Q`_dsveiY5;Ve7=:0;0:qokq73'zco#~gk_wmjg`Y4'ld}Snaznugq_41ZWNDOS>:8_n]fupgkW;9Tc1?8>063?vjh|8>$dj shf\rjodmV9$icxPcnwmp`t\9>WTKCJP355\kZcv}hfT>>Q`<05=616<{ee=9!|ie-pmaYqg`inS>!jnw]`kphsm{Q:;PQHNE]002YhWl{~maQ=3^m?5284;k1x`bz>4.qj`*unlV|denkP3.gmrZeh}g~n~V?8]^EM@Z53?VeTi|{nl^11[j5a3zfdx<: shf,wlbX~fchiR= eot\gjsi|lxP=:SPGOF\711XgVozylbP33]l8419<:1x`bz>4.qj`*unlV|denkP3.gmrZeh}g~n~V?8]^EM@Z53?VeTi|{nl^11[j:6?7UX[==m;rnlp42({`n$djPvnk`aZ5(mg|Tob{atdpX3XYT}zUYiaU8]^grqdjX:0Ud?n5|lnv20*unl&ybhRx`ibg\7*ci~VidyczjrZ5^[VstW[ogW:SPepwbhZ4>Wf>?<6}cou37+voc'zcoS{afcd]0+`hqWje~byk}[6_\WpuXZlfP;PQjqtco[7?Xg=UX[=<;;rnlp42({`n$djPvnk`aZ5(mg|Tob{atdp\472<{ee=9!|ie-pmaYqg`inS>!jnw]`kphsm{U:>85|lnv20*unl&ybhRx`ibg\7*ci~Vidyczjr^3360=tdf~:8"}fd.qj`ZphajoT?"kav^alqkrbzV;:>85|lnv20*unl&ybhRx`ibg\7*ci~Vidyczjr^3160=tdf~:8"}fd.qj`ZphajoT?"kav^alqkrbzV;8>85|lnv20*unl&ybhRx`ibg\7*ci~Vidyczjr^3760=tdf~:8"}fd.qj`ZphajoT?"kav^alqkrbzV;>>85|lnv20*unl&ybhRx`ibg\7*ci~Vidyczjr^3560=tdf~:8"}fd.qj`ZphajoT?"kav^alqkrbzV;<>95|lnv20*unl&ybhRx`ibg\7*ci~Vidyczjr^010>ukg};?#~gk/rkg[sinklU8#h`y_bmvjqcuW:8?7~b`t06,wlb({`nTzbgle^1,akpXkfexh|P4368wiis9=%xei!|ie]uklebW:%nb{QlotlwawY2:=1x`bz>4.qj`*unlV|denkP3.gmrZeh}g~n~R8=4:qokq73'zco#~gk_wmjg`Y4'ld}Snaznugq[2433zfdx<: shf,wlbX~fchiR= eot\gjsi|lxT4?:4smmw51)tam%xeiQyohaf[6)bfUhcx`{es]:6<=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~i1>12`9phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrm5;;2?o4smmw51)tam%xeiQyohaf[6)bpyUmobj_wcoq`:6978j7~b`t06,wlb({`nTzbgle^1,a}vX|hhgiRxnltg?5785i2ygcy?;/rkg+vocWebohQ3c8wiis9=%xei!|ie]uklebW:%nt}Q{acnf[sgk}l6:934.qj`*unlV|denkP3.g{tZrfjeoTzlbze=3=6<=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~i1<1289phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrm595>45|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nva929:01x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze=7=6<=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~i181289phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrm5=5>45|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nva9>9:01x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze=;=6==tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~iR>=f:qokq73'zco#~gk_wmjg`Y4'lr{Syomld]ueisbW9Uyy~`t3:8wiis9=%xei!|ie]uklebW:%nt}Q{acnf[sgk}lU:>45|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nvaZ77:01x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze^326<=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~iR?=289phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrmV;8>45|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nvaZ73:01x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze^366<=tdf~:8"}fd.qj`ZphajoT?"kwp^vbficX~hf~iR?9289phjr6<&ybh"}fd^tlmfcX;&os|Rznbmg\rdjrmV;<>55|lnv20*unl&ybhRx`ibg\7*cxV~jnakPv`nvaZ4502ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$iu~Pt``oaZpfd|oT8?64smmw51)tam%xeiQyohaf[6)bpyUmobj_wcoq`Y2:11x`bz>4.qj`*unlV|denkP3.g{tZrfjeoTzlbze^41<>ukg};?#~gk/rkg[sinklU8#hv_ucah`YqienS:<7;rnlp42({`n$djPvnk`aZ5(mqzTxllce^tbhpcX0;20aa{15-pma)tamU}cdmj_2-f|uYsikfnS{ocud]:5f=tdf~:8"}fd.qj`ZphajoT?"`?2122`>ukg};?#~gk/rkg[sinklU8#c>=0132`>ukg};?#~gk/rkg[sinklU8#c>=0102`>ukg};?#~gk/rkg[sinklU8#c>=0112g>ukg};?#~gk/rkg[sinklU8#c>=053g?vjh|8>$dj shf\rjodmV9$b=$dj shf\rjodmV9$b=4.qj`*unlV|denkP3.rvhvcpam~cW86Po238wiis9=%xei!|ie]uklebW:%yi~k{_BmmpwikS8WTC_Q=59]l567<{ee=9!|ie-pmaYqg`inS>!}ergw[aoqamoyS}{_NP\743Xg:80aa{15-pma)tamU}cdmj_2-qavcsWmc}eik}_sqw[JTX;8?Tc<=<;rnlp42({`n$djPvnk`aZ5(zlynxRkav^ampZekmhxTC_Q<12]l71=tdf~:8"}fd.qj`ZphajoT?"|jsdv\akpXkg~Toaknr^MQ[674Wf;9>6}cou37+voc'zcoS{afcd]0+vgp|fed1>1229phjr6<&ybh"}fd^tlmfcX;&yj{ya`ti>24;443zfdx<: shf,wlbX~fchiR= s`uwkjro48;5>?5|lnv20*unl&ybhRx`ibg\7*uf}edxe2>>308wiis9=%xei!|ie]uklebW:%xmzz`ouj?6;453zfdx<: shf,wlbX~fchiR= s`uwkjro4:49>6}cou37+voc'zcoS{afcd]0+vgp|fed1:1239phjr6<&ybh"}fd^tlmfcX;&yj{ya`ti>6:74<{ee=9!|ie-pmaYqg`inS>!|avvlkqn;>7897~b`t06,wlb({`nTzbgle^1,wdqsgf~c0:0=2:qokq73'zco#~gk_wmjg`Y4'zk|xba{h=:=67=tdf~:8"}fd.qj`ZphajoT?"}nwumlpm:>6;;0aa{15-pma)tamU}cdmj_2-perrhg}bTukg};?#~gk/rkg[sinklU8#~oxtnmwlZ2592ygcy?;/rkg+vocWebohQukg};?#~gk/rkg[sinklU8#~oxtnmwlZ>592ygcy?;/rkg+vocWebohQ$dj shf\rjodmV9$diaam]nq}:26;>0aa{15-pma)tamU}cdmj_2-pmbhfdVg~t181259phjr6<&ybh"}fd^tlmfcX;&ybkcoc_lw{8285<2ygcy?;/rkg+vocWebohQ!|iflbhZkrpV>9?6}cou37+voc'zcoS{afcd]0+vo`fhfTaxvP5318wiis9=%xei!|ie]uklebW:%xej`nl^ov|Z05;2ygcy?;/rkg+vocWebohQukg};?#~gk/rkg[sinklU8#~ghn`n\ip~X1;80aa{15-pma)tamU}cdmj_2-wvlgioeo7<3<<;rnlp42({`n$djPvnk`aZ5(|{cjbjbj<02=66=tdf~:8"}fd.qj`ZphajoT?"z}i`ldh`:697897~b`t06,wlb({`nTzbgle^1,pwoffnfn0<0=2:qokq73'zco#~gk_wmjg`Y4'}xbmcice=0=67=tdf~:8"}fd.qj`ZphajoT?"z}i`ldh`:46;80aa{15-pma)tamU}cdmj_2-wvlgioeo783<=;rnlp42({`n$djPvnk`aZ5(|{cjbjbj<4<16>ukg};?#~gk/rkg[sinklU8#y|faoeoa909:;1x`bz>4.qj`*unlV|denkP3.vqmdh`dl6<2?<4smmw51)tam%xeiQyohaf[6)sz`kekak38?01?vjh|8>$dj shf\rjodmV9$xgnnfnf8<84<2ygcy?;/rkg+vocWebohQSb=7;rnlp42({`n$djPvnk`aZ5(|{cjbjbj[1_\jjotmR:VSB\P287\kZUP9:<0aa{15-pma)tamU}cdmj_2-wvlgioeoP==SPnnkpa^77UVEYS?8:_n1b?vjh|8>$dj shf\rjodmV9$xgnnfnf_46ZWgebhU>0\]LVZ41=VeT_Z?<6:qokq73'zco#~gk_wmjg`Y4'}xbmciceZ32YZhhazoP=4.qj`*unlV|denkP3.vqmdh`dlQ:=PQaohqf_47ZWFXT>;!{rhcmcic\9TUecd}j[0_\KWY51;Ud?55|lnv20*unl&ybhRx`ibg\7*ruahdl`hU>]^llmvc\9TUD^R<62^m\WR74<2ygcy?;/rkg+vocWebohQ0aa{15-pma)tamU}cdmj_2-wvlgioeoP?PQaohqf_6[XG[U94;Q`399phjr6<&ybh"}fd^tlmfcX;&~yel`hldY0YZhhazoP?PQ@R^0;2ZiX[^;886}cou37+voc'zcoS{afcd]0+qtnigmgiV:R_omjw`]3UVEYS?6<_n1;?vjh|8>$dj shf\rjodmV9$xgnnfnf_1[XffcxiV:R_NP\6=5XgVY\=>:4smmw51)tam%xeiQyohaf[6)sz`kekakT5\]mklubS=TcR]X1268wiis9=%xei!|ie]uklebW:%~doagmgX3XYig`ynW:SPOS]131Yh;11x`bz>4.qj`*unlV|denkP3.vqmdh`dlQukg};?#~gk/rkg[sinklU8#y|faoeoa^>ZWgebhU7]^MQ[716Wf937~b`t06,wlb({`nTzbgle^1,pwoffnfnW5SPnnkpa^>ZWFXT>:?Po^QT562<{ee=9!|ie-pmaYqg`inS>!{rhcmcic\1TUecd}j[8_\KWY5>1Ud?55|lnv20*unl&ybhRx`ibg\7*ruahdl`hU6]^llmvc\1TUD^R<98^m\WR7592ygcy?;/rkg+vocWebohQ??4smmw51)tam%xeiQyohaf[6)sz`kekakP3338wiis9=%xei!|ie]uklebW:%~doagmg\077<{ee=9!|ie-pmaYqg`inS>!{rhcmcicX=;;0aa{15-pma)tamU}cdmj_2-wvlgioeoT:??4smmw51)tam%xeiQyohaf[6)sz`kekakP7338wiis9=%xei!|ie]uklebW:%~doagmg\<77<{ee=9!|ie-pmaYqg`inS>!{rhcmcicX1830aa{15-pma)qg`inS`gwee>3:4?<{ee=9!|ie-uklebWdcsii2>>0;8wiis9=%xei!yohaf[homm692<74smmw51)tam%}cdmj_lk{aa:46830aa{15-pma)qg`inS`gwee>7:4?<{ee=9!|ie-uklebWdcsii2:>0;8wiis9=%xei!yohaf[homm6=2<74smmw51)tam%}cdmj_lk{aa:06?1x`bz7/548wiis0&GjhiQluspfjqYh}}z~xRT1\]jjussWyoyoeoandn\u^>ZWhnoS8:7_NWW[f;87><7~b`t9-NeabXk|xyiczPotvsqqYvS8WTec~zt^rfvfnffgogS|U7]^cg`Z330VE^XRmv<02=02=tdf~3#@okd^avvwci|Ve~x}{{_pY2YZoix|~T|h|lh`lmaiYvS1WTmijP55:\KPRXkp6:=3:9;rnlp=)JimnTox|}eov\kprw}}UzW2ygcy6 M`fg[fsuzldSb{{ptv\u^7ZW`d{yyQesakekhbdV{P4PQnde]60=YH]]Uhu1<1479phjr?'DkohRmzrsgmpZir|yS|U>]^kmtprXxlxhdl`aem]r_=[XimnT996POTV\g|:46=<0aa{8.Ob`aYd}{xnbyQ`uurvpZw\9TUbb}{{_qgqgmgiflfT}V6R_`fg[02?WF__Snw34?65?vjh|1%FmijPctpqakrXg|~{yyQ~[0_\mkvr|Vzn~nfnnogo[t]?UVkohR;;8^MVPZe~4<4?:6}cou:,IdbcWjy~h`{_nwwtprXyR;VSd`uu]saweoigdn`RT8\]b`aY2<1UDYYQly=4=03=tdf~3#@okd^avvwci|Ve~x}{{_pY2YZoix|~T|h|lh`lmaiYvS1WTmijP55:\KPRXkp6<2984smmw<*KflmUhy|jnu]lqqvr|V{P=PQfnqww[ucukakebhbPqZ:^[dbcW<>3SB[[_b{?<;213zfdx5!Baef\gptumg~Tcxzuu]r_4[Xagz~xR~jrbjbjkckWxQ3QRokd^77(EhnoSn{}rdlw[jssx|~T}V?R_hlsqqYwm{icmc`jl^sX85Q@UU]oqq:76=20aa{8.Ob`aYd}{xnbyQ`uurvpZw\9TUbb}{{_qgqgmgiflfT}V6R_`fg[02?WF__Sa{{<02=0==tdf~3#@okd^avvwci|Ve~x}{{_pY2YZoix|~T|h|lh`lmaiYvS1WTmijP55:\KPRXd|~7=<0;8:qokq>(EhnoSn{}rdlw[jssx|~T}V?R_hlsqqYwm{icmc`jl^sX85Q@UU]oqq:6:7><7~b`t9-NeabXk|xyiczPotvsqqYvS8WTec~zt^rfvfnffgogS|U7]^cg`Z330VE^XRbzt=3=02=tdf~3#@okd^avvwci|Ve~x}{{_pY2YZoix|~T|h|lh`lmaiYvS1WTmijP55:\KPRXd|~7>3:8;rnlp=)JimnTox|}eov\kprw}}UzW1x`bz7/Lcg`Zerz{oexRaztqww[t]6UVce|xzPpdp`ldhimeUzW5SPaef\11>XG\^T`xz34?64?vjh|1%FmijPctpqakrXg|~{yyQ~[0_\mkvr|Vzn~nfnnogo[t]?UVkohR;;8^MVPZjr|5?58:5|lnv;+HgclVi~~kat^mvpussWxQ:QRgaptv\t`td`hdeiaQ~[9_\eabX==2TCXZPltv?2;203zfdx5!Baef\gptumg~Tcxzuu]r_4[Xagz~xR~jrbjbjkckWxQ3QRokd^77]^kmtprXxlxhdl`aem]r_=[XimnT996POTV\hpr;07><7~b`t9-NeabXk|xyiczPotvsqqYvS8WTec~zt^rfvfnffgogS|U7]^cg`Z330VE^XRbzt=;=02=tdf~3#@okd^avvwci|Ve~x}{{_pY2YZoix|~T|h|lh`lmaiYvS1WTmijP55:\KPRXpfx7<3:7;rnlp=)JimnTox|}eov\kprw}}UzW(EhnoSn{}rdlw[jssx|~T}V?R_hlsqqYwm{icmc`jl^sX85Q@UU]{kw:66==0aa{8.Ob`aYd}{xnbyQ`uurvpZw\9TUbb}{{_qgqgmgiflfT}V6R_`fg[02?WF__Sua}<3<73>ukg}2$Aljk_bwqv`hsWf|xzPqZ3^[lhw}}U{imgaolfhZw\0TUjhiQ:49]LQQYg{682994smmw<*KflmUhy|jnu]lqqvr|V{P=PQfnqww[ucukakebhbPqZ:^[dbcW<>3SB[[_ymq8183?2ygcy6 M`fg[fsuzldSb{{ptv\u^7ZW`d{yyQesakekhbdV{P4PQnde]60=YH]]Usc2:>558wiis0&GjhiQluspfjqYh}}z~xRT1\]jjussWyoyoeoandn\u^>ZWhnoS8:7_NWW[}iu4?4?;6}cou:,IdbcWjy~h`{_nwwtprXyR;VSd`uu]saweoigdn`RT8\]b`aY2<1UDYYQwos>4:11<{ee4"Cnde]`qwtbf}Udyy~zt^sX5XYnfyS}k}cicmj`jXyR2VSljk_46;[JSSWqey050;7:qokq>(EhnoSn{}rdlw[jssx|~T}V?R_hlsqqYwm{icmc`jl^sX85Q@UU]{kw:>6:h0aa{8.Ob`aYnfySljeurvf`Zw\0TULBIQ>99]l[dbcW<82SB[[_mww8584l2ygcy6 M`fg[lhw}}Ujhg{|tdf\u^>ZWNDOS<77_n]b`aY2:0UDYYQcuu>3:474j2ygcy6 M`fg[lhw}}Ujhg{|tdf\u^>ZWNDOS<77_n]b`aY2:0UDYYQcuu>2:6d<{ee4"Cnde]jjussWhnay~zjd^sXWF__Sa{{<3<0f>ukg}2$Aljk_hlsqqYflcxxhjPqZ:^[BHCW833SbQnde]662`8wiis0&GjhiQfnqww[dbm}z~nhRT8\]DJAY611UdSljk_40:[JSSWe090(EhnoSd`uu]b`ost|lnT}V6R_FLG[4??WfUjhiQ:28]LQQYk}}6>2>l4smmw<*KflmUbb}{{_`fiqvrblV{P4PQHNE]2==YhWhnoS8<6_NWW[iss4?48n6}cou:,IdbcW`d{yyQndkwpp`bXyR2VSJ@K_0;;[jYflmU>>4Q@UU]oqq:06:h0aa{8.Ob`aYnfySljeurvf`Zw\0TULBIQ>99]l[dbcW<82SB[[_mww8=84:2ygcy6 M`fg[lhw}}UzW:SPGOF\5<>XgVkohR;?4^MVPZjr|5:5?95|lnv;+HgclVce|xzPqZ5^[BHCW833SbQnde]641YH]]Ugyy2?>0306>ukg}2$Aljk_hlsqqYvS>WTKCJP18:\kZgclV?;8RAZT^nvp979;;1x`bz7/Lcg`Zoix|~T}V9R_FLG[4??WfUjhiQ:05]LQQYk}}692><4smmw<*KflmUbb}{{_pY4YZAILV;24RaPaef\152XG\^T`xz33?11?vjh|1%FmijPiorvpZw\?TULBIQ>99]l[dbcW<:?SB[[_mww8184:2ygcy6 M`fg[lhw}}UzW:SPGOF\5<>XgVkohR;?4^MVPZjr|5?5??5|lnv;+HgclVce|xzPqZ5^[BHCW833SbQnde]641YH]]Ugyy29>208wiis0&GjhiQfnqww[t]0UVMEHR?68^m\eabX=9>TCXZPltv?3;553zfdx5!Baef\mkvr|V{P;PQHNE]2==YhWhnoS8>;_NWW[iss4149<6}cou:,Ifirf}Uhy|jnu]jjZeoigUxia<>;rnlp=)JkfexRmzrsgmpZoiWjbjbR}jl002?vjh|1%Fob{at^avvwci|VceSnfnn^qfh7463zfdx5!BcnwmpZerz{oexRga_bjbjZubd:8m7~b`t9-Ngjsi|Vi~~kat^km[fnffVyn`Rv`r=2=54YNF_U;>45|lnv;+Heh}g~Tox|}eov\mkYd`hdThbPxnp?5;76:01x`bz7/LalqkrXk|xyiczPio]`ldhX{lfTtb|32?326<=tdf~3#@m`uov\gptumg~TecQlh`l\w`jXpfx7?3?>109phjr?'Dg~`yQa105354=tdf~3#@czlu]m54?69=1x`bz7/Lov|ZYi9;>:==?>3:qokq>(EdsSR`>2532542<{ee4"Cbuy]\j44398;:=95|lnv;+HkrpVUe=?:>13320>ukg}2$A`{w_^l26176;8;?7~b`t9-Nip~XWg;98ukg}2$A`{w_^l261719890aa{8.Onq}YXf88?=:?>3:qokq>(EdsSR`>253;545<{ee4"Cbuy]\j44390;?m6}cou:,IhsWjy~h`{_hl\gmgiWmfr=V=R_bwqv`hsWf|xzT1\]tmacXe|rT9?9POTV\6Z`?UDYYQ=_g:\61e<{ee4"Cbuy]`qwtbf}UbbRmgao]gh|7\;TUhy|jnu]lqqvr|R;VSzgke^ov|Z35?VE^XRukg}2$A`{w_bwqv`hsW`dToeoa_enz5^5ZWjy~h`{_nwwtpr\9TU|eikPmtz\171XG\^T>Rh7_46`?vjh|1%FaxvPctpqakrXagUhdl`Pdm{2_6[Xk|xyiczPotvsqq]6UV}bhhQbuy]662YH]]U9Sk6P65c8wiis0&GfyuQluspfjqYnfVicmcQklx3X7XYd}{xnbyQ`uurvp^7ZW~coiRczx^713ZIR\V9Tj::m;rnlp=)Je|rTox|}eov\mkYd`hdThaw>[2_\gptumg~TcxzuuY2YZqnllUfyuQ:26]LQQY4Wo=:8o5|lnv;+HkrpVi~~kat^km[fnffVngui7~b`t9-Nip~Xk|xyiczPio]`ldhXles:W>SPctpqakrXg|~{yyU>]^uj``Yj}qU>>:Q@UU]0[c14UDYYQ<_g560g=tdf~3#@czx^avvwci|VceSnfnn^fo}4]4UVi~~kat^mvpussS8WT{djj_lw{[040WF__S>Qi776a?vjh|1%FaxvPctpqakrXagUhdl`Pdm{2_6[Xk|xyiczPotvsqq]6UV}bhhQbuy]662YH]]U8Sk984b9phjr?'Dg~tRmzrsgmpZoiWjbjbRjcy0Y0YZerz{oexRaztqww_4[X`nnS`{w_404[JSSW:Um;R>;c:qokq>(EdsSn{}rdlw[lhXkakeSibv1Z1^[fsuzldSb{{ptvX5XYpamoTaxvP535\KPRX;Vl[2_\gptumg~TcxzuuY2YZqnllUfyuQ:26]LQQY4Wo=T>9m4smmw<*Kj}qUhy|jnu]jjZeoigUo`t?T3\]`qwtbf}Udyy~ztZ3^[rocmVg~tR;=7^MVPZ5Xn>U88n5|lnv;+HkrpVi~~kat^km[fnffVngu?o6}cou:,IhsWjy~h`{_hl\gmgiWmfr=V=R_bwqv`hsWf|xzT1\]tmacXe|rT9?9POTV\7Z`0W<>h7~b`t9-Nip~Xk|xyiczPio]`ldhXles:W>SPctpqakrXg|~{yyU>]^uj``Yj}qU>>:Q@UU]0[c1X>==0aa{8.Onq}Yd}{xnbyQfn^akekYcdp;P?PQluspfjqYh}}z~xV?R_vkgaZkrpV?9;RAZT^67<>ukg}2$A`{w_bwqv`hsW`dToeoa_enz5^5ZWjy~h`{_nwwtpr\9TU|eikPmtz\171XG\^T8<:7;rnlp=)Je|rTox|}eov\mkYd`hdThaw>[2_\gptumg~TcxzuuY2YZqnllUfyuQ:26]LQQY3:=20aa{8.Onq}Yd}{xnbyQfn^akekYcdp;P?PQluspfjqYh}}z~xV?R_vkgaZkrpV?9;RAZT^600==tdf~3#@czx^avvwci|VceSnfnn^fo}4]4UVi~~kat^mvpussS8WT{djj_lw{[040WF__S9:;8:qokq>(EdsSn{}rdlw[lhXkakeSibv1Z1^[fsuzldSb{{ptvX5XYpamoTaxvP535\KPRX<<>37~b`t9-Nip~Xk|xyiczPio]`ldhXles:W>SPctpqakrXg|~{yyU>]^uj``Yj}qU>>:Q@UU]721><{ee4"Cbuy]`qwtbf}UbbRmgao]gh|7\;TUhy|jnu]lqqvr|R;VSzgke^ov|Z35?VE^XR:84`9phjr?'Dg~tRmzrsgmpZoiWjbjbRjcy0Y0YZerz{oexRaztqww_4[X`nnS`{w_404[JSSW=Um;9l4smmw<*Kj}qUhy|jnu]jjZeoigUo`t?T3\]`qwtbf}Udyy~ztZ3^[rocmVg~tR;=7^MVPZ2Xn>;?n6}cou:,IhsWjy~h`{_hl\gmgiWmfr=V=R_bwqv`hsWf|xzT1\]tmacXe|rT9?9POTV\0Z`0:=h0aa{8.Onq}Yd}{xnbyQfn^akekYcdp;P?PQluspfjqYh}}z~xV?R_vkgaZkrpV?9;RAZT^6\b253j2ygcy6 Mlw{[fsuzldSd`Pcicm[aj~9R9VSn{}rdlw[jssx|~P=PQxieg\ip~X=;=TCXZP4^d401d<{ee4"Cbuy]`qwtbf}UbbRmgao]gh|7\;TUhy|jnu]lqqvr|R;VSzgke^ov|Z35?VE^XR:Pf677f>ukg}2$A`{w_bwqv`hsW`dToeoa_enz5^5ZWjy~h`{_nwwtpr\9TU|eikPmtz\171XG\^T8Rh865`8wiis0&GfyuQluspfjqYnfVicmcQklx3X7XYd}{xnbyQ`uurvp^7ZW~coiRczx^713ZIR\V>Tj:9;c:qokq>(EdsSn{}rdlw[lhXkakeSibv1Z1^[fsuzldSb{{ptvX5XYpamoTaxvP535\KPRX[2_\gptumg~TcxzuuY2YZqnllUfyuQ:26]LQQY3Wo=T=9m4smmw<*Kj}qUhy|jnu]jjZeoigUo`t?T3\]`qwtbf}Udyy~ztZ3^[rocmVg~tR;=7^MVPZ2Xn>U98n5|lnv;+HkrpVi~~kat^km[fnffVnguh7~b`t9-Nip~Xk|xyiczPio]`ldhXles:W>SPctpqakrXg|~{yyU>]^uj``Yj}qU>>:Q@UU]7[c1X==i0aa{8.Onq}Yd}{xnbyQfn^akekYcdp;P?PQluspfjqYh}}z~xV?R_vkgaZkrpV?9;RAZT^6\b2Y1<>1x`bz7/Lov|Zerz{oexRga_bjbjZbkq8Q8QRmzrsgmpZir|yW=974smmw<*Kj}qUhy|jnu]jjZeoigUo`t?T3\]`qwtbf}Udyy~ztZ3^[rocmVg~tR;=7^MVPZ368=30aa{8.Onq}Yd}{xnbyQfn^akekYcdp;P?PQluspfjqYh}}z~xV?R_vkgaZkrpV?9;RAZT^7251?<{ee4"Cbuy]`qwtbf}UbbRmgao]gh|7\;TUhy|jnu]lqqvr|R;VSzgke^ov|Z35?VE^XR;>25;8wiis0&GfyuQluspfjqYnfVicmcQklx3X7XYd}{xnbyQ`uurvp^7ZW~coiRczx^713ZIR\V?:?974smmw<*Kj}qUhy|jnu]jjZeoigUo`t?T3\]`qwtbf}Udyy~ztZ3^[rocmVg~tR;=7^MVPZ36<=30aa{8.Onq}Yd}{xnbyQfn^akekYcdp;P?PQluspfjqYh}}z~xV?R_vkgaZkrpV?9;RAZT^7211><{ee4"Cbuy]`qwtbf}UbbRmgao]gh|7\;TUhy|jnu]lqqvr|R;VSzgke^ov|Z35?VE^XR;=499phjr?'Dg~tRmzrsgmpZoiWjbjbRjcy0Y0YZerz{oexRaztqww_4[X`nnS`{w_404[JSSW<9?46}cou:,IhsWjy~h`{_hl\gmgiWmfr=V=R_bwqv`hsWf|xzT1\]tmacXe|rT9?9POTV\112?3zfdx5!Bmtz\gptumg~TecQlh`l\`i6S:WTox|}eov\kprw}}Q:QRyfdd]nq}Y2:>UDYYQ:55:8wiis0&GfyuQluspfjqYnfVicmcQklx3X7XYd}{xnbyQ`uurvp^7ZW~coiRczx^713ZIR\V?=855|lnv;+HkrpVi~~kat^km[fnffVngu5994smmw<*Kj}qUhy|jnu]jjZeoigUo`t?T3\]`qwtbf}Udyy~ztZ3^[rocmVg~tR;=7^MVPZ0302ygcy6 Mlw{[fsuzldSd`Pcicm[aj~9R9VSn{}rdlw[jssx|~P=PQxieg\ip~X=;=TCXZP606;?vjh|1%FaxvPctpqakrXagUhdl`Pdm{2_6[Xk|xyiczPotvsqq]6UV}bhhQbuy]662YH]]U=>964smmw<*Kj}qUhy|jnu]jjZeoigUo`t?T3\]`qwtbf}Udyy~ztZ3^[rocmVg~tR;=7^MVPZ04<11x`bz7/Lov|Zerz{oexRga_bjbjZbkq8Q8QRmzrsgmpZir|yWukg}2$A`{w_bwqv`hsW`dToeoa_enz5^5ZWjy~h`{_nwwtpr\9TU|eikPmtz\171XG\^T:8:7;rnlp=)Je|rTox|}eov\mkYd`hdThaw>[2_\gptumg~TcxzuuY2YZqnllUfyuQ:26]LQQY1>=20aa{8.Onq}Yd}{xnbyQfn^akekYcdp;P?PQluspfjqYh}}z~xV?R_vkgaZkrpV?9;RAZT^4404=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST0\Y4YZqnllUfyuQ:10]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X4X]0UV}bhhQbuy]654YH]]8:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\8TQ409phjr?'Dg~tRmzrsgmpZir|yW=ST0\Y4YZqnllUfyuQ:10]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X4X]0UV}bhhQbuy]654YH]]<:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\8TQ409phjr?'Dg~tRmzrsgmpZir|yW=ST11_X3XYpamoTaxvP533\KPR69=80aa{8.Onq}Yd}{xnbyQ`uurvp^7ZWfadvPqZ1^_46ZS>WT{djj_lw{[046WF__><:=;rnlp=)Je|rTox|}eov\kprw}}Q:QRaztlk{[t]4UR;;QV9R_vkgaZkrpV?9=RAZT2376>ukg}2$A`{w_bwqv`hsWf|xzT1\]lqqknpV{P?PU>0\Y4YZqnllUfyuQ:20]LQQ26<;1x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X55[\?TU|eikPmtz\177XG\^>=9<4smmw<*Kj}qUhy|jnu]lqqvr|R;VSb{{mhz\u^5ZS8:VW:SPwhff[hsW<8:SB[[6061?vjh|1%FaxvPctpqakrXg|~{yyU>]^mvphoWxQ8QV??]Z5^[rocmVg~tR;=1^MVP273:2ygcy6 Mlw{[fsuzldSb{{ptvX5XYh}}gbtRT3\Y24X]0UV}bhhQbuy]664YH]]2:8?5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\98WP;PQxieg\ip~X=;8TCXZ>1508wiis0&GfyuQluspfjqYh}}z~xV?R_nwwil~XyR9VW6}cou:,IhsWjy~h`{_nwwtpr\9TUdyycfx^sX7X]69TQ439phjr?'Dg~tRmzrsgmpZir|yW8>97~b`t9-Nip~Xk|xyiczPotvsqq]6UVe~x`gw_pY0Y^76UR=VSzgke^ov|Z35:VE^X:?;2:qokq>(EdsSn{}rdlw[jssx|~P=PQ`uuoj|Zw\;TQ:=PU8]^uj``Yj}qU>>?Q@UU:207=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST13_X3XYpamoTaxvP531\KPR69=80aa{8.Onq}Yd}{xnbyQ`uurvp^7ZWfadvPqZ1^_44ZS>WT{djj_lw{[044WF__><:=;rnlp=)Je|rTox|}eov\kprw}}Q:QRaztlk{[t]4UR;9QV9R_vkgaZkrpV?9?RAZT2376>ukg}2$A`{w_bwqv`hsWf|xzT1\]lqqknpV{P?PU>2\Y4YZqnllUfyuQ:22]LQQ26<;1x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X57[\?TU|eikPmtz\175XG\^>=9<4smmw<*Kj}qUhy|jnu]lqqvr|R;VSb{{mhz\u^5ZS88VW:SPwhff[hsW<88SB[[6061?vjh|1%FaxvPctpqakrXg|~{yyU>]^mvphoWxQ8QV?=]Z5^[rocmVg~tR;=3^MVP273:2ygcy6 Mlw{[fsuzldSb{{ptvX5XYh}}gbtRT3\Y26X]0UV}bhhQbuy]666YH]]2:8?5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\9:WP;PQxieg\ip~X=;>TCXZ>1508wiis0&GfyuQluspfjqYh}}z~xV?R_nwwil~XyR9VW<=R[6_\slbbWdsS8<;_NWW64253zfdx5!Bmtz\gptumg~TcxzuuY2YZir|dcsS|U<]Z30Y^1ZW~coiRczx^710ZIR\:;?>6}cou:,IhsWjy~h`{_nwwtpr\9TUdyycfx^sX7X]6;TQ439phjr?'Dg~tRmzrsgmpZir|yWST7\]tmacXe|rT9?:POTV6514<{ee4"Cbuy]`qwtbf}Udyy~ztZ3^[jsse`rT}V=R[01^_2[X`nnS`{w_407[JSS>8>97~b`t9-Nip~Xk|xyiczPotvsqq]6UVe~x`gw_pY0Y^74UR=VSzgke^ov|Z35(EdsSn{}rdlw[jssx|~P=PQ`uuoj|Zw\;TQ:?PU8]^uj``Yj}qU>>9Q@UU:207=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST15_X3XYpamoTaxvP537\KPR69=80aa{8.Onq}Yd}{xnbyQ`uurvp^7ZWfadvPqZ1^_42ZS>WT{djj_lw{[042WF__><:=;rnlp=)Je|rTox|}eov\kprw}}Q:QRaztlk{[t]4UR;?QV9R_vkgaZkrpV?99RAZT2376>ukg}2$A`{w_bwqv`hsWf|xzT1\]lqqknpV{P?PU>4\Y4YZqnllUfyuQ:24]LQQ26<;1x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X51[\?TU|eikPmtz\173XG\^>=9<4smmw<*Kj}qUhy|jnu]lqqvr|R;VSb{{mhz\u^5ZS8>VW:SPwhff[hsW<8>SB[[6061?vjh|1%FaxvPctpqakrXg|~{yyU>]^mvphoWxQ8QV?;]Z5^[rocmVg~tR;=5^MVP273:2ygcy6 Mlw{[fsuzldSb{{ptvX5XYh}}gbtRT3\Y20X]0UV}bhhQbuy]660YH]]2:8?5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\91508wiis0&GfyuQluspfjqYh}}z~xV?R_nwwil~XyR9VW<;R[6_\slbbWdsS8<9_NWW64253zfdx5!Bmtz\gptumg~TcxzuuY2YZir|dcsS|U<]Z36Y^1ZW~coiRczx^712ZIR\:;?>6}cou:,IhsWjy~h`{_nwwtpr\9TUdyycfx^sX7X]6=TQ439phjr?'Dg~tRmzrsgmpZir|yW8>97~b`t9-Nip~Xk|xyiczPotvsqq]6UVe~x`gw_pY0Y^72UR=VSzgke^ov|Z35>VE^X:?;2:qokq>(EdsSn{}rdlw[jssx|~P=PQ`uuoj|Zw\;TQ:9PU8]^uj``Yj}qU>>;Q@UU:204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST1\Y4YZqnllUfyuQ:13]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X5X]0UV}bhhQbuy]657YH]]8:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\9TQ409phjr?'Dg~tRmzrsgmpZir|yW=?Q@UU6204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST1\Y4YZqnllUfyuQ:13]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X5X]0UV}bhhQbuy]657YH]]<:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\9TQ409phjr?'Dg~tRmzrsgmpZir|yW=?Q@UU:204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST2\Y4YZqnllUfyuQ:12]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X6X]0UV}bhhQbuy]656YH]]8:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\:TQ409phjr?'Dg~tRmzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU6204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST2\Y4YZqnllUfyuQ:12]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X6X]0UV}bhhQbuy]656YH]]<:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\:TQ409phjr?'Dg~tRmzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU:204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST3\Y4YZqnllUfyuQ:15]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X7X]0UV}bhhQbuy]651YH]]8:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\;TQ409phjr?'Dg~tRmzrsgmpZir|yW=9Q@UU6204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST3\Y4YZqnllUfyuQ:15]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X7X]0UV}bhhQbuy]651YH]]<:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\;TQ409phjr?'Dg~tRmzrsgmpZir|yW=9Q@UU:204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST4\Y4YZqnllUfyuQ:14]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X0X]0UV}bhhQbuy]650YH]]8:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\409phjr?'Dg~tRmzrsgmpZir|yW=8Q@UU6204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST4\Y4YZqnllUfyuQ:14]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X0X]0UV}bhhQbuy]650YH]]<:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\409phjr?'Dg~tRmzrsgmpZir|yW=8Q@UU:204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST5\Y4YZqnllUfyuQ:17]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X1X]0UV}bhhQbuy]653YH]]8:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\=TQ409phjr?'Dg~tRmzrsgmpZir|yW=;Q@UU6204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST5\Y4YZqnllUfyuQ:17]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X1X]0UV}bhhQbuy]653YH]]<:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\=TQ409phjr?'Dg~tRmzrsgmpZir|yW=;Q@UU:204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST6\Y4YZqnllUfyuQ:16]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X2X]0UV}bhhQbuy]652YH]]8:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\>TQUDYY=>409phjr?'Dg~tRmzrsgmpZir|yW=:Q@UU6204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST6\Y4YZqnllUfyuQ:16]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X2X]0UV}bhhQbuy]652YH]]<:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\>TQUDYY9>409phjr?'Dg~tRmzrsgmpZir|yW=:Q@UU:204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST7\Y4YZqnllUfyuQ:19]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X3X]0UV}bhhQbuy]65=YH]]8:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\?TQ409phjr?'Dg~tRmzrsgmpZir|yW=5Q@UU6204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST7\Y4YZqnllUfyuQ:19]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X3X]0UV}bhhQbuy]65=YH]]<:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\?TQ409phjr?'Dg~tRmzrsgmpZir|yW=5Q@UU:204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST8\Y4YZqnllUfyuQ:18]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X409phjr?'Dg~tRmzrsgmpZir|yW=4Q@UU6204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST8\Y4YZqnllUfyuQ:18]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X409phjr?'Dg~tRmzrsgmpZir|yW=4Q@UU:204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST9\Y4YZqnllUfyuQ:21]LQQ76<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X=X]0UV}bhhQbuy]665YH]]8:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\1TQ409phjr?'Dg~tRmzrsgmpZir|yW>=Q@UU6204=tdf~3#@czx^avvwci|Ve~x}{{[0_\kprjaqUzW>ST9\Y4YZqnllUfyuQ:21]LQQ36<81x`bz7/Lov|Zerz{oexRaztqww_4[Xg|~feuQ~[2_X=X]0UV}bhhQbuy]665YH]]<:8<5|lnv;+HkrpVi~~kat^mvpussS8WTcxzbiy]r_6[\1TQ409phjr?'Dg~tRmzrsgmpZir|yW>=Q@UU:250=tdf~3#@czx^l264>X:Vl3=:5|lnv;+HkrpVd:><6P2^d;[5703zfdx5!Bmtz\j4460V8Tj5Q>169phjr?'Dg~tR`>20:\6Z`?W;;<7~b`t9-Nip~Xf88:4RX:Vl3S9?8;rnlp=)Je|rTb<<>8^0\b=Y29>1x`bz7/Lov|Zh6:82T>Rh7_736?vjh|1%FaxvPn002;=7~b`t9-Nip~Xf88:4R=Pf6322>ukg}2$A`{w_o315=Y4Wo=9=;5|lnv;+HkrpVd:><6P3^d4740<{ee4"Cbuy]m577?W:Um;9?9;rnlp=)Je|rTb<<>8^1\b236>2ygcy6 Mlw{[k7591U8Sk99179phjr?'Dg~tR`>20:\7Z`0?8=0aa{8.Onq}Yi9;;3S>Qi7^223>ukg}2$A`{w_o315=Y4Wo=T=<94smmw<*Kj}qUe=??7_2]e3Z46?2ygcy6 Mlw{[k7591U8Sk9P3058wiis0&GfyuQa133;[6Ya?V>:;6}cou:,IhsWg;9=5Q<_g5\141<{ee4"Cbuy]m577?W:Um;R8>2:qokq>(EdsSc?=19]756=tdf~3#@czx^l264>X<8;87~b`t9-Nip~Xf88:4R:=129phjr?'Dg~tR`>20:\06743zfdx5!Bmtz\j4460V>?=>5|lnv;+HkrpVd:><6P4430?vjh|1%FaxvPn0028^6\b2713zfdx5!Bmtz\j4460V>Tj:?>6:qokq>(EdsSc?=19]7[c159?1x`bz7/Lov|Zh6:82T8Rh83048wiis0&GfyuQa133;[1Ya?=;=7~b`t9-Nip~Xf88:4R:Pf6722>ukg}2$A`{w_o315=Y3Wo===;5|lnv;+HkrpVd:><6P4^d4341<{ee4"Cbuy]m577?W=Um;R>>7:qokq>(EdsSc?=19]7[c1X98=0aa{8.Onq}Yi9;;3S9Qi7^023>ukg}2$A`{w_o315=Y3Wo=T?<94smmw<*Kj}qUe=??7_5]e3Z26?2ygcy6 Mlw{[k7591U?Sk9P5058wiis0&GfyuQa133;[1Ya?V<:>6}cou:,IhsWg;9=5Q:129phjr?'Dg~tR`>20:\14733zfdx5!Bmtz\j4460V?:<<:4smmw<*Kj}qUe=??7_43251=tdf~3#@czx^l264>X=88:86}cou:,IhsWg;9=5Q:1237?vjh|1%FaxvPn0020aa{8.Onq}Yi9;;3S8?:129phjr?'Dg~tR`>20:\17743zfdx5!Bmtz\j4460V?8=>5|lnv;+HkrpVd:><6P5530?vjh|1%FaxvPn0028^7456=tdf~3#@czx^l264>X=1;87~b`t9-Nip~Xf88:4R;6139phjr?'Dg~tR`>20:\245<{ee4"Cbuy]m577?W?;:?6}cou:,IhsWg;9=5Q92018wiis0&GfyuQa133;[356;2ygcy6 Mlw{[k7591U=8<=4smmw<*Kj}qUe=??7_7727>ukg}2$A`{w_o315=Y1>890aa{8.Onq}Yi9;;3S;9i;rnlp=)Xf88?=1>1119phjr?'Vd:>9?311<24>ukg}2$Sc?=40>25;773zfdx5!Pn00759756o1x`bz7/^l2617;97l0aa{8.]m57264;4m7~b`t9-\j4439595j6}cou:,[k75<86?2k5|lnv;+Zh6:=;793h4smmw<*Yi9;>:0;0i;rnlp=)Xf88?=191f:qokq>(Wg;98<27>g9phjr?'Vd:>9?39?33?vjh|1%Tb<<81^kmr47<{ee4"Qa1352[lhq98:0aa{8.]m5664W`d}=?5|lnv;+Zh6;99Tecx>1068wiis0&Ue=>><_hlu54769;1x`bz7/^l2755Xag|8=<<4smmw<*Yi9::8Sd`y7036?vjh|1%Tb<=?3^kmrZdcl?;;7~b`t9-\j456:Vcez<<4smmw<*Yi9:;9Sd`y2033?vjh|1%Tb<==1^kmr44<{ee4"Qa1202[lhq:8;;7~b`t9-\j4548Vcez<<4smmw<*Yi9:9;Sd`y2033?vjh|1%Tb<=<9^kmr44<{ee4"Qa121:[lhq98;;7~b`t9-\j4530Vcez<<4smmw<*Yi9:>3Sd`y1033?vjh|1%Tb<=:7^kmr44<{ee4"Qa1274[lhq98;;7~b`t9-\j451>Vcez<<4smmw<*Yi9:<=Sd`y1033?vjh|1%Tb<=85^kmr44<{ee4"Qa1256[lhq98;;7~b`t9-\j45?1^kmr44<{ee4"Qa1532[lhq98;;7~b`t9-\j4258Vcez<<4smmw<*Yi9=8;Sd`y1033?vjh|1%Tb<:=9^kmr44<{ee4"Qa150:[lhq98;;7~b`t9-\j4240Vcez<<4smmw<*Yi9=93Sd`y1033?vjh|1%Tb<:;7^kmr44<{ee4"Qa1564[lhq<8;97~b`t9-\j423?Vcez4?>5:qokq>(Wg;?8:Qfnw]a`a>682ygcy6 _o3713Ynf;97~b`t9-\j422>Vcez??>0:qokq>(Wg;?:8Qfnw31?vjh|1%Tb<:95^kmr77682ygcy6 _o3731Ynf;97~b`t9-\j4200:qokq>(Wg;?4>Qfnw31?vjh|1%Tb<:73^kmr77682ygcy6 _o37=7Ynf;97~b`t9-\j42>:Vcez0:qokq>(Wg;><0:qokq>(Wg;>=4Qfnw31?vjh|1%Tb<;>9^kmr77682ygcy6 _o366=Ynf;97~b`t9-\j4350Vcez0:qokq>(Wg;>?:Qfnw31?vjh|1%Tb<;<7^kmr47682ygcy6 _o3603Ynf;97~b`t9-\j433>Vcez0:qokq>(Wg;>98Qfnw31?vjh|1%Tb<;:5^kmr77682ygcy6 _o3621Ynf;97~b`t9-\j4310:qokq>(Wg;>;>Qfnw31?vjh|1%Tb<;83^kmr47682ygcy6 _o36<7Ynf;97~b`t9-\j43?:Vcez0:qokq>(Wg;>5612\mkp6:2ygcy6 _o3545Ynf;:==5|lnv;+Zh6>93Tecx>2:qokq>(Wg;=<4Qfnw3255=tdf~3#R`>60:\mkp6:2ygcy6 _o355=Ynf;:==5|lnv;+Zh6>;=Tecx>2:qokq>(Wg;=>:Qfnw0255=tdf~3#R`>624\mkp6:2ygcy6 _o3573Ynf;:==5|lnv;+Zh6>=?Tecx>2:qokq>(Wg;=88Qfnw3255=tdf~3#R`>646\mkp6:2ygcy6 _o3511Ynf;:==5|lnv;+Zh6>?9Tecx>2:qokq>(Wg;=:>Qfnw0255=tdf~3#R`>660\mkp6:2ygcy6 _o3537Ynf;:==5|lnv;+Zh6>1;Tecx>2:qokq>(Wg;=4682\mkp6:2ygcy6 _o35=5Ynf;:==5|lnv;+Zh6>03Tecx>2:qokq>(Wg;=54Qfnw0255=tdf~3#R`>71:\mkp6:2ygcy6 _o344=Ynf;:==5|lnv;+Zh6?8=Tecx>2:qokq>(Wg;<=:Qfnw3255=tdf~3#R`>734\mkp6:2ygcy6 _o3463Ynf;:==5|lnv;+Zh6?:?Tecx>2:qokq>(Wg;756\mkp6:2ygcy6 _o3401Ynf;:==5|lnv;+Zh6?<9Tecx>2:qokq>(Wg;<9>Qfnw3255=tdf~3#R`>770\mkp6:2ygcy6 _o3427Ynf;:==5|lnv;+Zh6?>;Tecx>2:qokq>(Wg;<;792\mkp6:2ygcy6 _o34<5Ynf;:==5|lnv;+Zh6?13Tecx>2:qokq>(Wg;<44Qfnw3255=tdf~3#R`>78:\mkp6:2ygcy6 _o34==Ynf;:==5|lnv;+Zh609=Tecx>2:qokq>(Wg;3<:Qfnw3255=tdf~3#R`>804\mkp6:2ygcy6 _o3;53Ynf;:==5|lnv;+Zh60;?Tecx>2:qokq>(Wg;3>8Qfnw3255=tdf~3#R`>826\mkp6:2ygcy6 _o3;71Ynf;:==5|lnv;+Zh60=9Tecx>2:qokq>(Wg;38>Qfnw3255=tdf~3#R`>840\mkp6:2ygcy6 _o3;17Ynf;:==5|lnv;+Zh60?;Tecx>2:qokq>(Wg;3:862\mkp6:2ygcy6 _o3;35Ynf;:==5|lnv;+Zh60>3Tecx>2:qokq>(Wg;3;4Qfnw3255=tdf~3#R`>89:\mkp6:2ygcy6 _o3;<=Ynf;:==5|lnv;+Zh600=Tecx>2:qokq>(Wg;35:Qfnw3255=tdf~3#R`>914\mkp6:2ygcy6 _o3:43Ynf;:==5|lnv;+Zh618?Tecx>2:qokq>(Wg;2=8Qfnw3255=tdf~3#R`>936\mkp6:2ygcy6 _o3:61Ynf;:==5|lnv;+Zh61:9Tecx>2:qokq>(Wg;2?>Qfnw3255=tdf~3#R`>950\mkp6:2ygcy6 _o3:07Ynf;:==5|lnv;+Zh61<;Tecx>2:qokq>(Wg;29972\mkp6:2ygcy6 _o3:25Ynf;:==5|lnv;+Zh61?3Tecx>2:qokq>(Wg;2:4Qfnw3255=tdf~3#R`>96:\mkp6:2ygcy6 _o3:3=Ynf;:==5|lnv;+Zh611=Tecx>2:qokq>(Wg;24:Qfnw3255=tdf~3#R`>984\mkp6:2ygcy6 _o3:=3Ynf;:==5|lnv;+Zh589?Tecx>2:qokq>(Wg8;<8Qfnw3255=tdf~3#R`=006\mkp6:2ygcy6 _o0351Ynf;:n6}cou:,efmXa820aa{8.avvwci|VceSnfnn=2=5==tdf~3#n{}rdlw[lhXkake0<0>8:qokq>(k|xyiczPio]`ldh;:7;37~b`t9-`qwtbf}UbbRmgao>0:41<{ee4"mzrsgmpZoiWjbjbR>>7:qokq>(k|xyiczPio]`ldhX98=0aa{8.avvwci|VceSnfnn^023>ukg}2$ox|}eov\mkYd`hdT?ukg}2$ox|}eov\mkYd`hdThaw>[2_\gptumg~TcxzuuY2YZqnllUfyuQ:26]LQQ:66=90aa{8.avvwci|VceSnfnn^fo}4]4UVi~~kat^mvpussS8WT{djj_lw{[040WF__0?0;3:qokq>(k|xyiczPio]`ldhXles:W>SPctpqakrXg|~{yyU>]^uj``Yj}qU>>:Q@UU>0:15<{ee4"mzrsgmpZoiWjbjbRjcy0Y0YZerz{oexRaztqww_4[X`nnS`{w_404[JSS4=4??6}cou:,gptumg~TecQlh`l\`i6S:WTox|}eov\kprw}}Q:QRyfdd]nq}Y2:>UDYY2:>518wiis0&i~~kat^km[fnffVnguukg}2$ox|}eov\mkYd`hdThb32?3`?vjh|1%hy|jnu]jjZeoigUxia2<>2`8wiis0&i~~kat^km[fnffVyn`V=R_hlsqq72S>WT{djj_lw{[1>1WF__0=0(k|xyiczPio]`ldhX{lfP?PQfnqww50]0UV}bhhQbuy]7<3YH]]6;2<=m;rnlp=)d}{xnbyQfn^akekYtmeQ8QRgaptv21^1ZW~coiRczx^6;2ZIR\5;5?n5|lnv;+fsuzldSd`Pcicm[vckS:WTec~zt07X3XYpamoTaxvP494\KPR;97;8n6}cou:,gptumg~TecQlh`l\w`j\;TUbb}{{14Y4YZqnllUfyuQ;87]LQQ:56:i0aa{8.avvwci|VceSnfnn^qfh^5ZW`d{yy?:[6_\slbbWdsS969_NWW8786;k1x`bz7/bwqv`hsW`dToeoa_rgo_6[Xagz~x<;T7\]tmacXe|rT858POTV?7;5d3zfdx5!luspfjqYnfVicmcQ|emY0YZoix|~:9V9R_vkgaZkrpV>3:RAZT=1=56d<{ee4"mzrsgmpZoiWjbjbR}jlZ1^[lhw}};>W:SPwhff[hsW=2=SB[[<5<0g>ukg}2$ox|}eov\mkYd`hdThbT3\]jjuss901a?vjh|1%hy|jnu]jjZeoigUxiaU<]^kmtpr6=R=VSzgke^ov|Z2?>VE^X1;13b9phjr?'jy~h`{_hl\gmgiWzogW>SPiorvp43\?TU|eikPmtz\0=0XG\^793?(k|xyiczPio]`ldhX{lfP?PQfnqww50]0UV}bhhQbuy]7<3YH]]6=2>m4smmw<*erz{oexRga_bjbjZubdR9VSd`uu36_2[X`nnS`{w_5:5[JSS4?4:?o5|lnv;+fsuzldSd`Pcicm[vckS:WTec~zt07X3XYpamoTaxvP494\KPR;?79h7~b`t9-`qwtbf}UbbRmgao]pai]4UVce|xz>5Z5^[rocmVg~tR:76^MVP91998h0aa{8.avvwci|VceSnfnn^qfhZ66j2ygcy6 ctpqakrXagUhdl`Psdn\54d<{ee4"mzrsgmpZoiWjbjbR}jl^02f>ukg}2$ox|}eov\mkYd`hdThbP3058wiis0&i~~kat^mvpuss494:;6}cou:,gptumg~Tcxzuu>2:6b<{ee4"mzrsgmpZir|yW=3:6b<{ee4"mzrsgmpZir|yW=2:6b<{ee4"mzrsgmpZir|yW=1:6b<{ee4"mzrsgmpZir|yW=0:6b<{ee4"mzrsgmpZir|yW=7:6b<{ee4"mzrsgmpZir|yW=6:6b<{ee4"mzrsgmpZir|yW=5:6b<{ee4"mzrsgmpZir|yW=4:6c<{ee4"mzrsgmpZir|yWR[6_\slbbWdsS8<>_NWW8484m2ygcy6 ctpqakrXg|~{yyU>]^mvphoWxQ8QV??]Z5^[rocmVg~tR;=1^MVP949;l1x`bz7/bwqv`hsWf|xzT1\]lqqknpV{P?PU>0\Y4YZqnllUfyuQ:20]LQQ:46:o0aa{8.avvwci|Ve~x}{{[0_\kprjaqUzW>ST11_X3XYpamoTaxvP533\KPR;<79n7~b`t9-`qwtbf}Udyy~ztZ3^[jsse`rT}V=R[02^_2[X`nnS`{w_402[JSS4<48i6}cou:,gptumg~TcxzuuY2YZir|dcsS|U<]Z33Y^1ZW~coiRczx^715ZIR\5<5?h5|lnv;+fsuzldSb{{ptvX5XYh}}gbtRT3\Y24X]0UV}bhhQbuy]664YH]]6<2>k4smmw<*erz{oexRaztqww_4[Xg|~feuQ~[2_X54[\?TU|eikPmtz\174XG\^7<3=j;rnlp=)d}{xnbyQ`uurvp^7ZWfadvPqZ1^_47ZS>WT{djj_lw{[045WF__0<0(k|xyiczPotvsqq]6UVe~x`gw_pY0Y^76UR=VSzgke^ov|Z35:VE^X1<13d9phjr?'jy~h`{_nwwtpr\9TUdyycfx^sX7X]69TQ2g8wiis0&i~~kat^mvpussS8WTcxzbiy]r_6[\98WP;PQxieg\ip~X=;8TCXZ34?1f?vjh|1%hy|jnu]lqqvr|R;VSb{{mhz\u^5ZS8;VW:SPwhff[hsW<89SB[[<4<0a>ukg}2$ox|}eov\kprw}}Q:QRaztlk{[t]4UR;:QV9R_vkgaZkrpV?9>RAZT=4=7`=tdf~3#n{}rdlw[jssx|~P=PQ`uuoj|Zw\;TQ:=PU8]^uj``Yj}qU>>?Q@UU>4:6c<{ee4"mzrsgmpZir|yW]^mvphoWxQ8QV?=]Z5^[rocmVg~tR;=3^MVP949;l1x`bz7/bwqv`hsWf|xzT1\]lqqknpV{P?PU>2\Y4YZqnllUfyuQ:22]LQQ:46:o0aa{8.avvwci|Ve~x}{{[0_\kprjaqUzW>ST13_X3XYpamoTaxvP531\KPR;<79n7~b`t9-`qwtbf}Udyy~ztZ3^[jsse`rT}V=R[00^_2[X`nnS`{w_400[JSS4<48i6}cou:,gptumg~TcxzuuY2YZir|dcsS|U<]Z31Y^1ZW~coiRczx^717ZIR\5<5?h5|lnv;+fsuzldSb{{ptvX5XYh}}gbtRT3\Y26X]0UV}bhhQbuy]666YH]]6<2>k4smmw<*erz{oexRaztqww_4[Xg|~feuQ~[2_X56[\?TU|eikPmtz\172XG\^7<3=j;rnlp=)d}{xnbyQ`uurvp^7ZWfadvPqZ1^_45ZS>WT{djj_lw{[043WF__0<0(k|xyiczPotvsqq]6UVe~x`gw_pY0Y^74UR=VSzgke^ov|Z352g8wiis0&i~~kat^mvpussS8WTcxzbiy]r_6[\9:WP;PQxieg\ip~X=;>TCXZ34?1f?vjh|1%hy|jnu]lqqvr|R;VSb{{mhz\u^5ZS89VW:SPwhff[hsW<8?SB[[<4<0a>ukg}2$ox|}eov\kprw}}Q:QRaztlk{[t]4UR;8QV9R_vkgaZkrpV?98RAZT=4=7`=tdf~3#n{}rdlw[jssx|~P=PQ`uuoj|Zw\;TQ:?PU8]^uj``Yj}qU>>9Q@UU>4:6c<{ee4"mzrsgmpZir|yW]^mvphoWxQ8QV?;]Z5^[rocmVg~tR;=5^MVP949;l1x`bz7/bwqv`hsWf|xzT1\]lqqknpV{P?PU>4\Y4YZqnllUfyuQ:24]LQQ:46:o0aa{8.avvwci|Ve~x}{{[0_\kprjaqUzW>ST15_X3XYpamoTaxvP537\KPR;<79n7~b`t9-`qwtbf}Udyy~ztZ3^[jsse`rT}V=R[06^_2[X`nnS`{w_406[JSS4<48i6}cou:,gptumg~TcxzuuY2YZir|dcsS|U<]Z37Y^1ZW~coiRczx^711ZIR\5<5?h5|lnv;+fsuzldSb{{ptvX5XYh}}gbtRT3\Y20X]0UV}bhhQbuy]660YH]]6<2>k4smmw<*erz{oexRaztqww_4[Xg|~feuQ~[2_X50[\?TU|eikPmtz\170XG\^7<3=j;rnlp=)d}{xnbyQ`uurvp^7ZWfadvPqZ1^_43ZS>WT{djj_lw{[041WF__0<0(k|xyiczPotvsqq]6UVe~x`gw_pY0Y^72UR=VSzgke^ov|Z35>VE^X1<13d9phjr?'jy~h`{_nwwtpr\9TUdyycfx^sX7X]6=TQ2g8wiis0&i~~kat^mvpussS8WTcxzbiy]r_6[\9ukg}2$ox|}eov\kprw}}Q:QRaztlk{[t]4UR;>QV9R_vkgaZkrpV?9:RAZT=4=7`=tdf~3#n{}rdlw[jssx|~P=PQ`uuoj|Zw\;TQ:9PU8]^uj``Yj}qU>>;Q@UU>4:6b<{ee4"mzrsgmpZir|yW=?Q@UU>3:6b<{ee4"mzrsgmpZir|yW=?Q@UU>2:6b<{ee4"mzrsgmpZir|yW=?Q@UU>1:6b<{ee4"mzrsgmpZir|yW=?Q@UU>0:6b<{ee4"mzrsgmpZir|yW=?Q@UU>7:6b<{ee4"mzrsgmpZir|yW=?Q@UU>6:6b<{ee4"mzrsgmpZir|yW=?Q@UU>5:6b<{ee4"mzrsgmpZir|yW=?Q@UU>4:6b<{ee4"mzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU>3:6b<{ee4"mzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU>2:6b<{ee4"mzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU>1:6b<{ee4"mzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU>0:6b<{ee4"mzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU>7:6b<{ee4"mzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU>6:6b<{ee4"mzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU>5:6b<{ee4"mzrsgmpZir|yWPU8]^uj``Yj}qU>=>Q@UU>4:6b<{ee4"mzrsgmpZir|yW=9Q@UU>3:6b<{ee4"mzrsgmpZir|yW=9Q@UU>2:6b<{ee4"mzrsgmpZir|yW=9Q@UU>1:6b<{ee4"mzrsgmpZir|yW=9Q@UU>0:6b<{ee4"mzrsgmpZir|yW=9Q@UU>7:6b<{ee4"mzrsgmpZir|yW=9Q@UU>6:6b<{ee4"mzrsgmpZir|yW=9Q@UU>5:6b<{ee4"mzrsgmpZir|yW=9Q@UU>4:6b<{ee4"mzrsgmpZir|yW=8Q@UU>3:6b<{ee4"mzrsgmpZir|yW=8Q@UU>2:6b<{ee4"mzrsgmpZir|yW=8Q@UU>1:6b<{ee4"mzrsgmpZir|yW=8Q@UU>0:6b<{ee4"mzrsgmpZir|yW=8Q@UU>7:6b<{ee4"mzrsgmpZir|yW=8Q@UU>6:6b<{ee4"mzrsgmpZir|yW=8Q@UU>5:6b<{ee4"mzrsgmpZir|yW=8Q@UU>4:6b<{ee4"mzrsgmpZir|yW=;Q@UU>3:6b<{ee4"mzrsgmpZir|yW=;Q@UU>2:6b<{ee4"mzrsgmpZir|yW=;Q@UU>1:6b<{ee4"mzrsgmpZir|yW=;Q@UU>0:6b<{ee4"mzrsgmpZir|yW=;Q@UU>7:6b<{ee4"mzrsgmpZir|yW=;Q@UU>6:6b<{ee4"mzrsgmpZir|yW=;Q@UU>5:6b<{ee4"mzrsgmpZir|yW=;Q@UU>4:6b<{ee4"mzrsgmpZir|yW=:Q@UU>3:6b<{ee4"mzrsgmpZir|yW=:Q@UU>2:6b<{ee4"mzrsgmpZir|yW=:Q@UU>1:6b<{ee4"mzrsgmpZir|yW=:Q@UU>0:6b<{ee4"mzrsgmpZir|yW=:Q@UU>7:6b<{ee4"mzrsgmpZir|yW=:Q@UU>6:6b<{ee4"mzrsgmpZir|yW=:Q@UU>5:6b<{ee4"mzrsgmpZir|yW=:Q@UU>4:6b<{ee4"mzrsgmpZir|yW=5Q@UU>3:6b<{ee4"mzrsgmpZir|yW=5Q@UU>2:6b<{ee4"mzrsgmpZir|yW=5Q@UU>1:6b<{ee4"mzrsgmpZir|yW=5Q@UU>0:6b<{ee4"mzrsgmpZir|yW=5Q@UU>7:6b<{ee4"mzrsgmpZir|yW=5Q@UU>6:6b<{ee4"mzrsgmpZir|yW=5Q@UU>5:6b<{ee4"mzrsgmpZir|yW=5Q@UU>4:6b<{ee4"mzrsgmpZir|yW=4Q@UU>3:6b<{ee4"mzrsgmpZir|yW=4Q@UU>2:6b<{ee4"mzrsgmpZir|yW=4Q@UU>1:6b<{ee4"mzrsgmpZir|yW=4Q@UU>0:6b<{ee4"mzrsgmpZir|yW=4Q@UU>7:6b<{ee4"mzrsgmpZir|yW=4Q@UU>6:6b<{ee4"mzrsgmpZir|yW=4Q@UU>5:6b<{ee4"mzrsgmpZir|yW=4Q@UU>4:6b<{ee4"mzrsgmpZir|yW>=Q@UU>3:6b<{ee4"mzrsgmpZir|yW>=Q@UU>2:6b<{ee4"mzrsgmpZir|yW>=Q@UU>1:6b<{ee4"mzrsgmpZir|yW>=Q@UU>0:6b<{ee4"mzrsgmpZir|yW>=Q@UU>7:6b<{ee4"mzrsgmpZir|yW>=Q@UU>6:6b<{ee4"mzrsgmpZir|yW>=Q@UU>5:6b<{ee4"mzrsgmpZir|yW>=Q@UU>4:40<{ee4"mzrsgmpZir|yS=?7;rnlp=)d}{xnbyQ`uurvpZ6X9820aa{8.avvwci|Ve~x}{{_1]15==tdf~3#n{}rdlw[jssx|~T8:qokq>(k|xyiczPotvsqqY7W=;37~b`t9-`qwtbf}Udyy~zt^2\14><{ee4"mzrsgmpZir|yS=Q9199phjr?'jy~h`{_nwwtprX8V=:46}cou:,gptumg~Tcxzuu]3[=7?3zfdx5!luspfjqYh}}z~xR>P90d8wiis0&i~~kat^mvpussW9Ul`xkPsdv11>ukg}2$ox|}eov\kprw}}U;Sjbze^qfpZOI^V:::6}cou:,gptumg~Tcxzuu]25==tdf~3#n{}rdlw[jssx|~T=R?>8:qokq>(k|xyiczPotvsqqY6W;;37~b`t9-`qwtbf}Udyy~zt^3\74><{ee4"mzrsgmpZir|ySWF__0=0<0:qokq>(k|xyiczPotvsqqYvS8WTHhm`ddp\11?XG\^7<32>>00`?vjh|1%hy|jnu]lqqvr|V{P=PQKebmgawY2<0UDYY2>>228wiis0&i~~kat^mvpussWxQ:QRJjcnffvZ331VE^X1?1<0<26f=tdf~3#n{}rdlw[jssx|~T}V?R_Eg`kacuW<>2SB[[<3<04>ukg}2$ox|}eov\kprw}}UzW2:44d3zfdx5!luspfjqYh}}z~xRT1\]Gaficm{U>84Q@UU>0:66<{ee4"mzrsgmpZir|yS|U>]^FfgjbbzV??5RAZT=1=8486;l1x`bz7/bwqv`hsWf|xzPqZ3^[lhw}}U{imgaolfhZw\0TUjhiQ:49]LQQ:76:l0aa{8.avvwci|Ve~x}{{_pY2YZoix|~T|h|lh`lmaiYvS1WTmijP55:\KPR;9948j6}cou:,gptumg~Tcxzuu]r_4[Xagz~xR~jrbjbjkckWxQ3QRokd^77h4smmw<*erz{oexRaztqww[t]6UVce|xzPpdp`ldhimeUzW5SPaef\11>XG\^7=?0(k|xyiczPotvsqqYvS8WTec~zt^rfvfnffgogS|U7]^cg`Z330VE^X1?13d9phjr?'jy~h`{_nwwtprXyR;VSd`uu]saweoigdn`RT8\]b`aY2<1UDYY2=>2g8wiis0&i~~kat^mvpussWxQ:QRgaptv\t`td`hdeiaQ~[9_\eabX==2TCXZ33?1f?vjh|1%hy|jnu]lqqvr|V{P=PQfnqww[ucukakebhbPqZ:^[dbcW<>3SB[[<5<0a>ukg}2$ox|}eov\kprw}}UzW85Q@UU>5:6c<{ee4"mzrsgmpZir|yS|U>]^kmtprXxlxhdl`aem]r_=[XimnT996POTV?3;5b3zfdx5!luspfjqYh}}z~xRT1\]jjussWyoyoeoandn\u^>ZWhnoS8:7_NWW8=84m2ygcy6 ctpqakrXg|~{yyQ~[0_\mkvr|Vzn~nfnnogo[t]?UVkohR;;8^MVP9?9911x`bz7/bwqv`hsWf|xzPq^22<>ukg}2$ox|}eov\kprw}}UzSukg}2$ja{|h^mvpuss9:80aa{8.kmtprXim`~ykk_pY;YZAILV;24RaPaef\17?XG\^7=3=<;rnlp=)nfySljeurvf`Zw\0TULBIQ>99]l[dbcW<82SB[[<0<277=tdf~3#d`uu]b`ost|lnT}V6R_FLG[4??WfUjhiQ:28]LQQ:56:90aa{8.kmtprXim`~ykk_pY;YZAILV;24RaPaef\17?XG\^7>3?<2:qokq>(agz~xRokjtqwaaYvS1WTKCJP18:\kZgclV?95RAZT=1=76=tdf~3#d`uu]b`ost|lnT}V6R_FLG[4??WfUjhiQ:28]LQQ:468997~b`t9-jjussWhnay~zjd^sXWF__090<3:qokq>(agz~xRokjtqwaaYvS1WTKCJP18:\kZgclV?95RAZT=6=564<{ee4"gaptv\ealr{}ooS|U7]^EM@Z7>0VeTmijP53;\KPR;=79=7~b`t9-jjussWhnay~zjd^sXWF__080PSV206>ukg}2$ec~zt^cgnpusmmUzW5SPGOF\5<>XgVkohR;=9^MVP909;?1x`bz7/hlsqqYflcxxhjPqZ:^[BHCW833SbQnde]664T_Z><2:qokq>(agz~xRokjtqwaaYvS1WTKCJP18:\kZgclV?95RAZT=:=73=tdf~3#d`uu]b`ost|lnT}V6R_FLG[4??WfUjhiQ:28]LQQ:?6VY\<0:4d<{ee4"gaptv\t`td`hdeiaQ~<5<2f>ukg}2$ec~zt^rfvfnffgogS|2:>0`8wiis0&ce|xzPpdp`ldhimeUz0;0>b:qokq>(agz~xR~jrbjbjkckWx6<2a:qokq>(agz~xR~jrbjbjkckWxU?=l5|lnv;+lhw}}U{imgaolfhZwX=8k0aa{8.kmtprXxlxhdl`aem]r[37f3zfdx5!fnqww[ucukakebhbPq^52e>ukg}2$ec~zt^rfvfnffgogS|Q7289phjr?'`d{yyQ~[6_\CKBX902TcRokd^730ZIR\5;5>l5|lnv;+lhw}}UzW:SPGOF\5<>XgVkohR;?4^MVP9799;30aa{8.kmtprXyR=VSJ@K_0;;[jYflmU><9Q@UU>1:7g<{ee4"gaptv\u^1ZWNDOS<77_n]b`aY28=UDYY2=>00:?vjh|1%bb}{{_pY4YZAILV;24RaPaef\152XG\^7?30VeTmijP516\KPR;;7;956}cou:,mkvr|V{P;PQHNE]2==YhWhnoS8>;_NWW8185i2ygcy6 iorvpZw\?TULBIQ>99]l[dbcW<:?SB[[<5<26<=tdf~3#d`uu]r_2[XOGNT=46Po^cg`Z37i5|lnv;+lhw}}UzW:SPGOF\5<>XgVkohR;?4^MVP909WZ];>45|lnv;+lhw}}UzW:SPGOF\5<>XgVkohR;?4^MVP919:m1x`bz7/hlsqqYvS>WTKCJP18:\kZgclV?;8RAZT=5=[VQ7:01x`bz7/hlsqqYvS>WTKCJP18:\kZgclV?;8RAZT=:=`>ukg}2$axv>003g?vjh|1%fyu?>10g8wiis0&g~t10f8wiis0&g~t<<>1e9phjr?'ds=>?>c:qokq>(e|r:8ukg}2$axv710a8wiis0&g~t4?>e:qokq>(f8;<<161f:qokq>(f8;2=1?8>d9phjr?'g;:5<27>d9phjr?'g;9=52?>d9phjr?'g;9=52>>d9phjr?'g;9=52=>d9phjr?'g;9=52<>d9phjr?'g;9=52;>d9phjr?'g;9=52:>d9phjr?'g;9=529>d9phjr?'g;9=528>d9phjr?'g;9?;2?>g9phjr?'g;9?;2>0?d8wiis0&d:>>8310ukg}2$b<<<6=3=a>ukg}2$b<<<6=0=a>ukg}2$b<<<6=1=a>ukg}2$b<<<6=6=a>ukg}2$b<<<6=7=a>ukg}2$b<<<6=4=a>ukg}2$b<<<6=5=a>ukg}2$b<<<6=:=a>ukg}2$b<<<6=;=56=tdf~3#b{{aba\uZ6;87;?7~b`t9-lqqgdkV{T<1??>068wiis0&e~xlml_p]384799=1x`bz7/nwwefeXyV:7=?0>3:qokq>(g|~jonQ~_1>2:45<{ee4"azt`a`[tY74;4:?6}cou:,kprfkjUzS=2<>018wiis0&e~xlml_p]38186;2ygcy6 otvbgfYvW96>2<=4smmw<*ir|hihS|Q?<7<27>ukg}2$cxzncb]r[5:06890aa{8.mvpdedWxU;050>3:qokq>(g|~jonQ~_1>::44<{ee4"azt`a`[tY7W9;97~b`t9-lqqgdkV{T3:qokq>(g|~jonQ~_1]2445<{ee4"azt`a`[tY7W8;:?6}cou:,kprfkjUzS=Q>2008wiis0&e~xlml_p]3[7753zfdx5!`uuc`gZwX8V9:>6}cou:,kprfkjUzS=Q;139phjr?'fmnmPq^2\144<{ee4"azt`a`[tY7W?;97~b`t9-lqqgdkV{T2:qokq>(g|~jonQ~_1];57=tdf~3#b{{aba\uZ6X1890aa{8.mvpdedWxU:0=0>4:qokq>(g|~jonQ~_0>24;733zfdx5!`uuc`gZwX95;:2<:4smmw<*ir|hihS|Q><00=56=tdf~3#b{{aba\uZ7;97;87~b`t9-lqqgdkV{T=1<1129phjr?'fmnmPq^3?7;743zfdx5!`uuc`gZwX95>5=>5|lnv;+jssijiT}R?35?30?vjh|1%dyyolc^s\59099:1x`bz7/nwwefeXyV;7;3?<;rnlp=)h}}khoRP1=:=56=tdf~3#b{{aba\uZ7;17;97~b`t9-lqqgdkV{T=R>>2:qokq>(g|~jonQ~_0]256=tdf~3#b{{aba\uZ7X99;87~b`t9-lqqgdkV{T=R?>129phjr?'fmnmPq^3\57753zfdx5!`uuc`gZwX9V8:>6}cou:,kprfkjUzS2:qokq>(g|~jonQ~_0]457=tdf~3#b{{aba\uZ7X0880aa{8.mvpdedWxU:S4?<;rnlp=)h}}khoRP2=2=51=tdf~3#b{{aba\uZ4;994:86}cou:,kprfkjUzS?2>1?37?vjh|1%dyyolc^s\69756890aa{8.mvpdedWxU90<0>3:qokq>(g|~jonQ~_3>1:45<{ee4"azt`a`[tY54:4:?6}cou:,kprfkjUzS?2;>018wiis0&e~xlml_p]18086;2ygcy6 otvbgfYvW;6=2<=4smmw<*ir|hihS|Q=<6<27>ukg}2$cxzncb]r[7:?6890aa{8.mvpdedWxU9040>2:qokq>(g|~jonQ~_3]357=tdf~3#b{{aba\uZ4X9890aa{8.mvpdedWxU9S<>>3:qokq>(g|~jonQ~_3]2545<{ee4"azt`a`[tY5W88:>6}cou:,kprfkjUzS?Q=139phjr?'fmnmPq^0\744<{ee4"azt`a`[tY5W=;97~b`t9-lqqgdkV{T>R;>2:qokq>(g|~jonQ~_3]557=tdf~3#b{{aba\uZ4X?880aa{8.mvpdedWxU9S5?=;rnlp=)h}}khoRP2^;27>ukg}2$cxzncb]r[6:768>0aa{8.mvpdedWxU80<>1159phjr?'fmnmPq^1?5486<2ygcy6 otvbgfYvW:6:>3?<;rnlp=)h}}khoRP3=3=56=tdf~3#b{{aba\uZ5;:7;87~b`t9-lqqgdkV{T?1=1129phjr?'fmnmPq^1?0;743zfdx5!`uuc`gZwX;5?5=>5|lnv;+jssijiT}R=36?30?vjh|1%dyyolc^s\79199:1x`bz7/nwwefeXyV9743?<;rnlp=)h}}khoRP3=;=57=tdf~3#b{{aba\uZ5X8880aa{8.mvpdedWxU8S2:qokq>(g|~jonQ~_2]657=tdf~3#b{{aba\uZ5X>880aa{8.mvpdedWxU8S:?=;rnlp=)h}}khoRP3^:26>ukg}2$cxzncb]r[6Y>9?1x`bz7/nwwil~Xy5:5S=2?>048wiis0&e~x`gw_p>3:Z6;97;=7~b`t9-lqqknpV{7<3Q?<3<22>ukg}2$cxzbiy]r858X8595=;5|lnv;+jsse`rT}1>1_1>7:40<{ee4"aztlk{[t:76V:793?9;rnlp=)h}}gbtR30?]38386>2ygcy6 otvnm}Yv494T<191149phjr?'fadvPq=2=[5Y79<1x`bz7/nwwil~Xy5:5S=Q>149phjr?'fadvPq=2=[5Y59<1x`bz7/nwwil~Xy5:5S=Q<149phjr?'fadvPq=2=[5Y39<1x`bz7/nwwil~Xy5:5S=Q:149phjr?'fadvPq=2=[5Y19<1x`bz7/nwwil~Xy5:5S=Q8169phjr?'fadvPq=2=[46;87;<7~b`t9-lqqknpV{7<3Q>0=3=52=tdf~3#b{{mhz\u969W8:7>3?8;rnlp=)h}}gbtR30?]249599>1x`bz7/nwwil~Xy5:5S<>34?34?vjh|1%dyycfx^s?4;Y685?5=:5|lnv;+jsse`rT}1>1_02?2;703zfdx5!`uuoj|Zw;87U:<191179phjr?'fadvPq=2=[46X88<0aa{8.mvphoWx6;2R??_035?vjh|1%dyycfx^s?4;Y68V8::6}cou:,kprjaqUz0=0P11]053=tdf~3#b{{mhz\u969W8:T8<84smmw<*ir|dcsS|2?>^33[0713zfdx5!`uuoj|Zw;87U:6:qokq>(g|~feuQ~<1<\55Y09>1x`bz7/nwwil~Xy5:5S1_03?6;703zfdx5!`uuoj|Zw;87U:=1=1169phjr?'fadvPq=2=[47;<7;<7~b`t9-lqqknpV{7<3Q>1=7=52=tdf~3#b{{mhz\u969W8;7:3?8;rnlp=)h}}gbtR30?]259199?1x`bz7/nwwil~Xy5:5S3:Z76W8;=7~b`t9-lqqknpV{7<3Q>1^022>ukg}2$cxzbiy]r858X98U8=;5|lnv;+jsse`rT}1>1_03\040<{ee4"aztlk{[t:76V;:S8?9;rnlp=)h}}gbtR30?]25Z06>2ygcy6 otvnm}Yv494T=2=3=52=tdf~3#b{{mhz\u969W887>3?8;rnlp=)h}}gbtR30?]269599>1x`bz7/nwwil~Xy5:5S<<34?34?vjh|1%dyycfx^s?4;Y6:5?5=:5|lnv;+jsse`rT}1>1_00?2;703zfdx5!`uuoj|Zw;87U:>191179phjr?'fadvPq=2=[44X88<0aa{8.mvphoWx6;2R?=_035?vjh|1%dyycfx^s?4;Y6:V8::6}cou:,kprjaqUz0=0P13]053=tdf~3#b{{mhz\u969W88T8<84smmw<*ir|dcsS|2?>^31[0713zfdx5!`uuoj|Zw;87U:>R8>6:qokq>(g|~feuQ~<1<\57Y09>1x`bz7/nwwil~Xy5:5S<=30?34?vjh|1%dyycfx^s?4;Y6;5;5=:5|lnv;+jsse`rT}1>1_01?6;703zfdx5!`uuoj|Zw;87U:?1=1169phjr?'fadvPq=2=[45;<7;<7~b`t9-lqqknpV{7<3Q>3=7=52=tdf~3#b{{mhz\u969W897:3?8;rnlp=)h}}gbtR30?]279199?1x`bz7/nwwil~Xy5:5S<=P0048wiis0&e~x`gw_p>3:Z74W8;=7~b`t9-lqqknpV{7<3Q>3^022>ukg}2$cxzbiy]r858X9:U8=;5|lnv;+jsse`rT}1>1_01\040<{ee4"aztlk{[t:76V;8S8?9;rnlp=)h}}gbtR30?]27Z06>2ygcy6 otvnm}Yv494T=>Q8169phjr?'fadvPq=2=[42;87;<7~b`t9-lqqknpV{7<3Q>4=3=52=tdf~3#b{{mhz\u969W8>7>3?8;rnlp=)h}}gbtR30?]209599>1x`bz7/nwwil~Xy5:5S<:34?34?vjh|1%dyycfx^s?4;Y6<5?5=:5|lnv;+jsse`rT}1>1_06?2;703zfdx5!`uuoj|Zw;87U:8191179phjr?'fadvPq=2=[42X88<0aa{8.mvphoWx6;2R?;_035?vjh|1%dyycfx^s?4;Y6T8<84smmw<*ir|dcsS|2?>^37[0713zfdx5!`uuoj|Zw;87U:8R8>6:qokq>(g|~feuQ~<1<\51Y09>1x`bz7/nwwil~Xy5:5S<;30?34?vjh|1%dyycfx^s?4;Y6=5;5=:5|lnv;+jsse`rT}1>1_07?6;703zfdx5!`uuoj|Zw;87U:91=1169phjr?'fadvPq=2=[43;<7;<7~b`t9-lqqknpV{7<3Q>5=7=52=tdf~3#b{{mhz\u969W8?7:3?8;rnlp=)h}}gbtR30?]219199?1x`bz7/nwwil~Xy5:5S<;P0048wiis0&e~x`gw_p>3:Z72W8;=7~b`t9-lqqknpV{7<3Q>5^022>ukg}2$cxzbiy]r858X91_07\040<{ee4"aztlk{[t:76V;>S8?9;rnlp=)h}}gbtR30?]21Z06>2ygcy6 otvnm}Yv494T=8Q8179phjr?'fadvPq=2=[4:768<0aa{8.mvphoWx6;2R?31?35?vjh|1%dyycfx^s?4;Y64;4::6}cou:,kprjaqUz0=0P1=1=53=tdf~3#b{{mhz\u969W86?2<84smmw<*ir|dcsS|2?>^3?1;713zfdx5!`uuoj|Zw;87U:0;0>6:qokq>(g|~feuQ~<1<\59199<1x`bz7/nwwil~Xy5:5S048wiis0&e~x`gw_p>3:Z4;97;=7~b`t9-lqqknpV{7<3Q=<3<22>ukg}2$cxzbiy]r858X:595=;5|lnv;+jsse`rT}1>1_3>7:40<{ee4"aztlk{[t:76V8793?9;rnlp=)h}}gbtR30?]18386>2ygcy6 otvnm}Yv494T>191149phjr?'fadvPq=2=[7Y79<1x`bz7/nwwil~Xy5:5S?Q>149phjr?'fadvPq=2=[7Y59<1x`bz7/nwwil~Xy5:5S?Q<149phjr?'fadvPq=2=[7Y39<1x`bz7/nwwil~Xy5:5S?Q:149phjr?'fadvPq=2=[7Y19<1x`bz7/nwwil~Xy5:5S?Q8179phjr?'fadvPq=2=[6:768<0aa{8.mvphoWx6;2R=31?35?vjh|1%dyycfx^s?4;Y44;4::6}cou:,kprjaqUz0=0P3=1=53=tdf~3#b{{mhz\u969W:6?2<84smmw<*ir|dcsS|2?>^1?1;713zfdx5!`uuoj|Zw;87U80;0>6:qokq>(g|~feuQ~<1<\79199<1x`bz7/nwwil~Xy5:5S>Q?149phjr?'fadvPq=2=[6Y69<1x`bz7/nwwil~Xy5:5S>Q=149phjr?'fadvPq=2=[6Y49<1x`bz7/nwwil~Xy5:5S>Q;149phjr?'fadvPq=2=[6Y29<1x`bz7/nwwil~Xy5:5S>Q9149phjr?'fadvPq=2=[6Y09?1x`bz7/nwwil~Xy5:5S92?>048wiis0&e~x`gw_p>3:Z2;97;=7~b`t9-lqqknpV{7<3Q;<3<22>ukg}2$cxzbiy]r858X<595=;5|lnv;+jsse`rT}1>1_5>7:40<{ee4"aztlk{[t:76V>793?9;rnlp=)h}}gbtR30?]78386>2ygcy6 otvnm}Yv494T8191149phjr?'fadvPq=2=[1Y79<1x`bz7/nwwil~Xy5:5S9Q>149phjr?'fadvPq=2=[1Y59<1x`bz7/nwwil~Xy5:5S9Q<149phjr?'fadvPq=2=[1Y39<1x`bz7/nwwil~Xy5:5S9Q:149phjr?'fadvPq=2=[1Y19<1x`bz7/nwwil~Xy5:5S9Q8179phjr?'fadvPq=2=[0:768<0aa{8.mvphoWx6;2R;31?35?vjh|1%dyycfx^s?4;Y24;4::6}cou:,kprjaqUz0=0P5=1=53=tdf~3#b{{mhz\u969W<6?2<84smmw<*ir|dcsS|2?>^7?1;713zfdx5!`uuoj|Zw;87U>0;0>6:qokq>(g|~feuQ~<1<\19199<1x`bz7/nwwil~Xy5:5S8Q?149phjr?'fadvPq=2=[0Y69<1x`bz7/nwwil~Xy5:5S8Q=149phjr?'fadvPq=2=[0Y49<1x`bz7/nwwil~Xy5:5S8Q;149phjr?'fadvPq=2=[0Y29<1x`bz7/nwwil~Xy5:5S8Q9149phjr?'fadvPq=2=[0Y09?1x`bz7/nwwil~Xy5:5S;2?>048wiis0&e~x`gw_p>3:Z0;97;=7~b`t9-lqqknpV{7<3Q9<3<22>ukg}2$cxzbiy]r858X>595=;5|lnv;+jsse`rT}1>1_7>7:40<{ee4"aztlk{[t:76V<793?9;rnlp=)h}}gbtR30?]58386>2ygcy6 otvnm}Yv494T:191149phjr?'fadvPq=2=[3Y79<1x`bz7/nwwil~Xy5:5S;Q>149phjr?'fadvPq=2=[3Y59<1x`bz7/nwwil~Xy5:5S;Q<149phjr?'fadvPq=2=[3Y39<1x`bz7/nwwil~Xy5:5S;Q:149phjr?'fadvPq=2=[3Y19<1x`bz7/nwwil~Xy5:5S;Q8179phjr?'fadvPq=2=[2:768<0aa{8.mvphoWx6;2R931?35?vjh|1%dyycfx^s?4;Y04;4::6}cou:,kprjaqUz0=0P7=1=53=tdf~3#b{{mhz\u969W>6?2<84smmw<*ir|dcsS|2?>^5?1;713zfdx5!`uuoj|Zw;87U<0;0>6:qokq>(g|~feuQ~<1<\39199<1x`bz7/nwwil~Xy5:5S:Q?149phjr?'fadvPq=2=[2Y69<1x`bz7/nwwil~Xy5:5S:Q=149phjr?'fadvPq=2=[2Y49<1x`bz7/nwwil~Xy5:5S:Q;149phjr?'fadvPq=2=[2Y29<1x`bz7/nwwil~Xy5:5S:Q9149phjr?'fadvPq=2=[2Y09?1x`bz7/nwwil~Xy5:5S52?>048wiis0&e~x`gw_p>3:Z>;97;=7~b`t9-lqqknpV{7<3Q7<3<22>ukg}2$cxzbiy]r858X0595=;5|lnv;+jsse`rT}1>1_9>7:40<{ee4"aztlk{[t:76V2793?9;rnlp=)h}}gbtR30?];8386>2ygcy6 otvnm}Yv494T4191149phjr?'fadvPq=2=[=Y79<1x`bz7/nwwil~Xy5:5S5Q>149phjr?'fadvPq=2=[=Y59<1x`bz7/nwwil~Xy5:5S5Q<149phjr?'fadvPq=2=[=Y39<1x`bz7/nwwil~Xy5:5S5Q:149phjr?'fadvPq=2=[=Y19<1x`bz7/nwwil~Xy5:5S5Q8179phjr?'fadvPq=2=[<:768<0aa{8.mvphoWx6;2R731?35?vjh|1%dyycfx^s?4;Y>4;4::6}cou:,kprjaqUz0=0P9=1=53=tdf~3#b{{mhz\u969W06?2<84smmw<*ir|dcsS|2?>^;?1;713zfdx5!`uuoj|Zw;87U20;0>6:qokq>(g|~feuQ~<1<\=9199<1x`bz7/nwwil~Xy5:5S4Q?149phjr?'fadvPq=2=[048wiis0&e~x`gw_p>2:Z6;97;=7~b`t9-lqqknpV{7=3Q?<3<22>ukg}2$cxzbiy]r848X8595=;5|lnv;+jsse`rT}1?1_1>7:40<{ee4"aztlk{[t:66V:793?9;rnlp=)h}}gbtR31?]38386>2ygcy6 otvnm}Yv484T<191149phjr?'fadvPq=3=[5Y79<1x`bz7/nwwil~Xy5;5S=Q>149phjr?'fadvPq=3=[5Y59<1x`bz7/nwwil~Xy5;5S=Q<149phjr?'fadvPq=3=[5Y39<1x`bz7/nwwil~Xy5;5S=Q:149phjr?'fadvPq=3=[5Y19<1x`bz7/nwwil~Xy5;5S=Q8169phjr?'fadvPq=3=[46;87;<7~b`t9-lqqknpV{7=3Q>0=3=52=tdf~3#b{{mhz\u979W8:7>3?8;rnlp=)h}}gbtR31?]249599>1x`bz7/nwwil~Xy5;5S<>34?34?vjh|1%dyycfx^s?5;Y685?5=:5|lnv;+jsse`rT}1?1_02?2;703zfdx5!`uuoj|Zw;97U:<191179phjr?'fadvPq=3=[46X88<0aa{8.mvphoWx6:2R??_035?vjh|1%dyycfx^s?5;Y68V8::6}cou:,kprjaqUz0<0P11]053=tdf~3#b{{mhz\u979W8:T8<84smmw<*ir|dcsS|2>>^33[0713zfdx5!`uuoj|Zw;97U:6:qokq>(g|~feuQ~<0<\55Y09>1x`bz7/nwwil~Xy5;5S1=7=52=tdf~3#b{{mhz\u979W8;7:3?8;rnlp=)h}}gbtR31?]259199?1x`bz7/nwwil~Xy5;5S2:Z76W8;=7~b`t9-lqqknpV{7=3Q>1^022>ukg}2$cxzbiy]r848X98U8=;5|lnv;+jsse`rT}1?1_03\040<{ee4"aztlk{[t:66V;:S8?9;rnlp=)h}}gbtR31?]25Z06>2ygcy6 otvnm}Yv484T=2=3=52=tdf~3#b{{mhz\u979W887>3?8;rnlp=)h}}gbtR31?]269599>1x`bz7/nwwil~Xy5;5S<<34?34?vjh|1%dyycfx^s?5;Y6:5?5=:5|lnv;+jsse`rT}1?1_00?2;703zfdx5!`uuoj|Zw;97U:>191179phjr?'fadvPq=3=[44X88<0aa{8.mvphoWx6:2R?=_035?vjh|1%dyycfx^s?5;Y6:V8::6}cou:,kprjaqUz0<0P13]053=tdf~3#b{{mhz\u979W88T8<84smmw<*ir|dcsS|2>>^31[0713zfdx5!`uuoj|Zw;97U:>R8>6:qokq>(g|~feuQ~<0<\57Y09>1x`bz7/nwwil~Xy5;5S<=30?34?vjh|1%dyycfx^s?5;Y6;5;5=:5|lnv;+jsse`rT}1?1_01?6;703zfdx5!`uuoj|Zw;97U:?1=1169phjr?'fadvPq=3=[45;<7;<7~b`t9-lqqknpV{7=3Q>3=7=52=tdf~3#b{{mhz\u979W897:3?8;rnlp=)h}}gbtR31?]279199?1x`bz7/nwwil~Xy5;5S<=P0048wiis0&e~x`gw_p>2:Z74W8;=7~b`t9-lqqknpV{7=3Q>3^022>ukg}2$cxzbiy]r848X9:U8=;5|lnv;+jsse`rT}1?1_01\040<{ee4"aztlk{[t:66V;8S8?9;rnlp=)h}}gbtR31?]27Z06>2ygcy6 otvnm}Yv484T=>Q8169phjr?'fadvPq=3=[42;87;<7~b`t9-lqqknpV{7=3Q>4=3=52=tdf~3#b{{mhz\u979W8>7>3?8;rnlp=)h}}gbtR31?]209599>1x`bz7/nwwil~Xy5;5S<:34?34?vjh|1%dyycfx^s?5;Y6<5?5=:5|lnv;+jsse`rT}1?1_06?2;703zfdx5!`uuoj|Zw;97U:8191179phjr?'fadvPq=3=[42X88<0aa{8.mvphoWx6:2R?;_035?vjh|1%dyycfx^s?5;Y6T8<84smmw<*ir|dcsS|2>>^37[0713zfdx5!`uuoj|Zw;97U:8R8>6:qokq>(g|~feuQ~<0<\51Y09>1x`bz7/nwwil~Xy5;5S<;30?34?vjh|1%dyycfx^s?5;Y6=5;5=:5|lnv;+jsse`rT}1?1_07?6;703zfdx5!`uuoj|Zw;97U:91=1169phjr?'fadvPq=3=[43;<7;<7~b`t9-lqqknpV{7=3Q>5=7=52=tdf~3#b{{mhz\u979W8?7:3?8;rnlp=)h}}gbtR31?]219199?1x`bz7/nwwil~Xy5;5S<;P0048wiis0&e~x`gw_p>2:Z72W8;=7~b`t9-lqqknpV{7=3Q>5^022>ukg}2$cxzbiy]r848X9S8?9;rnlp=)h}}gbtR31?]21Z06>2ygcy6 otvnm}Yv484T=8Q8179phjr?'fadvPq=3=[4:768<0aa{8.mvphoWx6:2R?31?35?vjh|1%dyycfx^s?5;Y64;4::6}cou:,kprjaqUz0<0P1=1=53=tdf~3#b{{mhz\u979W86?2<84smmw<*ir|dcsS|2>>^3?1;713zfdx5!`uuoj|Zw;97U:0;0>6:qokq>(g|~feuQ~<0<\59199<1x`bz7/nwwil~Xy5;5S048wiis0&e~x`gw_p>2:Z4;97;=7~b`t9-lqqknpV{7=3Q=<3<22>ukg}2$cxzbiy]r848X:595=;5|lnv;+jsse`rT}1?1_3>7:40<{ee4"aztlk{[t:66V8793?9;rnlp=)h}}gbtR31?]18386>2ygcy6 otvnm}Yv484T>191149phjr?'fadvPq=3=[7Y79<1x`bz7/nwwil~Xy5;5S?Q>149phjr?'fadvPq=3=[7Y59<1x`bz7/nwwil~Xy5;5S?Q<149phjr?'fadvPq=3=[7Y39<1x`bz7/nwwil~Xy5;5S?Q:149phjr?'fadvPq=3=[7Y19<1x`bz7/nwwil~Xy5;5S?Q8179phjr?'fadvPq=3=[6:768<0aa{8.mvphoWx6:2R=31?35?vjh|1%dyycfx^s?5;Y44;4::6}cou:,kprjaqUz0<0P3=1=53=tdf~3#b{{mhz\u979W:6?2<84smmw<*ir|dcsS|2>>^1?1;713zfdx5!`uuoj|Zw;97U80;0>6:qokq>(g|~feuQ~<0<\79199<1x`bz7/nwwil~Xy5;5S>Q?149phjr?'fadvPq=3=[6Y69<1x`bz7/nwwil~Xy5;5S>Q=149phjr?'fadvPq=3=[6Y49<1x`bz7/nwwil~Xy5;5S>Q;149phjr?'fadvPq=3=[6Y29<1x`bz7/nwwil~Xy5;5S>Q9149phjr?'fadvPq=3=[6Y09?1x`bz7/nwwil~Xy5;5S92?>048wiis0&e~x`gw_p>2:Z2;97;=7~b`t9-lqqknpV{7=3Q;<3<22>ukg}2$cxzbiy]r848X<595=;5|lnv;+jsse`rT}1?1_5>7:40<{ee4"aztlk{[t:66V>793?9;rnlp=)h}}gbtR31?]78386>2ygcy6 otvnm}Yv484T8191149phjr?'fadvPq=3=[1Y79<1x`bz7/nwwil~Xy5;5S9Q>149phjr?'fadvPq=3=[1Y59<1x`bz7/nwwil~Xy5;5S9Q<149phjr?'fadvPq=3=[1Y39<1x`bz7/nwwil~Xy5;5S9Q:149phjr?'fadvPq=3=[1Y19<1x`bz7/nwwil~Xy5;5S9Q8179phjr?'fadvPq=3=[0:768<0aa{8.mvphoWx6:2R;31?35?vjh|1%dyycfx^s?5;Y24;4::6}cou:,kprjaqUz0<0P5=1=53=tdf~3#b{{mhz\u979W<6?2<84smmw<*ir|dcsS|2>>^7?1;713zfdx5!`uuoj|Zw;97U>0;0>6:qokq>(g|~feuQ~<0<\19199<1x`bz7/nwwil~Xy5;5S8Q?149phjr?'fadvPq=3=[0Y69<1x`bz7/nwwil~Xy5;5S8Q=149phjr?'fadvPq=3=[0Y49<1x`bz7/nwwil~Xy5;5S8Q;149phjr?'fadvPq=3=[0Y29<1x`bz7/nwwil~Xy5;5S8Q9149phjr?'fadvPq=3=[0Y09?1x`bz7/nwwil~Xy5;5S;2?>048wiis0&e~x`gw_p>2:Z0;97;=7~b`t9-lqqknpV{7=3Q9<3<22>ukg}2$cxzbiy]r848X>595=;5|lnv;+jsse`rT}1?1_7>7:40<{ee4"aztlk{[t:66V<793?9;rnlp=)h}}gbtR31?]58386>2ygcy6 otvnm}Yv484T:191149phjr?'fadvPq=3=[3Y79<1x`bz7/nwwil~Xy5;5S;Q>149phjr?'fadvPq=3=[3Y59<1x`bz7/nwwil~Xy5;5S;Q<149phjr?'fadvPq=3=[3Y39<1x`bz7/nwwil~Xy5;5S;Q:149phjr?'fadvPq=3=[3Y19<1x`bz7/nwwil~Xy5;5S;Q8179phjr?'fadvPq=3=[2:768<0aa{8.mvphoWx6:2R931?35?vjh|1%dyycfx^s?5;Y04;4::6}cou:,kprjaqUz0<0P7=1=53=tdf~3#b{{mhz\u979W>6?2<84smmw<*ir|dcsS|2>>^5?1;713zfdx5!`uuoj|Zw;97U<0;0>6:qokq>(g|~feuQ~<0<\39199<1x`bz7/nwwil~Xy5;5S:Q?149phjr?'fadvPq=3=[2Y69<1x`bz7/nwwil~Xy5;5S:Q=149phjr?'fadvPq=3=[2Y49<1x`bz7/nwwil~Xy5;5S:Q;149phjr?'fadvPq=3=[2Y29<1x`bz7/nwwil~Xy5;5S:Q9149phjr?'fadvPq=3=[2Y09?1x`bz7/nwwil~Xy5;5S52?>048wiis0&e~x`gw_p>2:Z>;97;=7~b`t9-lqqknpV{7=3Q7<3<22>ukg}2$cxzbiy]r848X0595=;5|lnv;+jsse`rT}1?1_9>7:40<{ee4"aztlk{[t:66V2793?9;rnlp=)h}}gbtR31?];8386>2ygcy6 otvnm}Yv484T4191149phjr?'fadvPq=3=[=Y79<1x`bz7/nwwil~Xy5;5S5Q>149phjr?'fadvPq=3=[=Y59<1x`bz7/nwwil~Xy5;5S5Q<149phjr?'fadvPq=3=[=Y39<1x`bz7/nwwil~Xy5;5S5Q:149phjr?'fadvPq=3=[=Y19<1x`bz7/nwwil~Xy5;5S5Q8179phjr?'fadvPq=3=[<:768<0aa{8.mvphoWx6:2R731?35?vjh|1%dyycfx^s?5;Y>4;4::6}cou:,kprjaqUz0<0P9=1=53=tdf~3#b{{mhz\u979W06?2<84smmw<*ir|dcsS|2>>^;?1;713zfdx5!`uuoj|Zw;97U20;0>6:qokq>(g|~feuQ~<0<\=9199<1x`bz7/nwwil~Xy5;5S4Q?149phjr?'fadvPq=3=[048wiis0&e~x`gw_p>1:Z6;97;=7~b`t9-lqqknpV{7>3Q?<3<22>ukg}2$cxzbiy]r878X8595=;5|lnv;+jsse`rT}1<1_1>7:40<{ee4"aztlk{[t:56V:793?9;rnlp=)h}}gbtR32?]38386>2ygcy6 otvnm}Yv4;4T<191149phjr?'fadvPq=0=[5Y79<1x`bz7/nwwil~Xy585S=Q>149phjr?'fadvPq=0=[5Y59<1x`bz7/nwwil~Xy585S=Q<149phjr?'fadvPq=0=[5Y39<1x`bz7/nwwil~Xy585S=Q:149phjr?'fadvPq=0=[5Y19<1x`bz7/nwwil~Xy585S=Q8169phjr?'fadvPq=0=[46;87;<7~b`t9-lqqknpV{7>3Q>0=3=52=tdf~3#b{{mhz\u949W8:7>3?8;rnlp=)h}}gbtR32?]249599>1x`bz7/nwwil~Xy585S<>34?34?vjh|1%dyycfx^s?6;Y685?5=:5|lnv;+jsse`rT}1<1_02?2;703zfdx5!`uuoj|Zw;:7U:<191179phjr?'fadvPq=0=[46X88<0aa{8.mvphoWx692R??_035?vjh|1%dyycfx^s?6;Y68V8::6}cou:,kprjaqUz0?0P11]053=tdf~3#b{{mhz\u949W8:T8<84smmw<*ir|dcsS|2=>^33[0713zfdx5!`uuoj|Zw;:7U:6:qokq>(g|~feuQ~<3<\55Y09>1x`bz7/nwwil~Xy585S3Q>1=7=52=tdf~3#b{{mhz\u949W8;7:3?8;rnlp=)h}}gbtR32?]259199?1x`bz7/nwwil~Xy585S1:Z76W8;=7~b`t9-lqqknpV{7>3Q>1^022>ukg}2$cxzbiy]r878X98U8=;5|lnv;+jsse`rT}1<1_03\040<{ee4"aztlk{[t:56V;:S8?9;rnlp=)h}}gbtR32?]25Z06>2ygcy6 otvnm}Yv4;4T=3Q>2=3=52=tdf~3#b{{mhz\u949W887>3?8;rnlp=)h}}gbtR32?]269599>1x`bz7/nwwil~Xy585S<<34?34?vjh|1%dyycfx^s?6;Y6:5?5=:5|lnv;+jsse`rT}1<1_00?2;703zfdx5!`uuoj|Zw;:7U:>191179phjr?'fadvPq=0=[44X88<0aa{8.mvphoWx692R?=_035?vjh|1%dyycfx^s?6;Y6:V8::6}cou:,kprjaqUz0?0P13]053=tdf~3#b{{mhz\u949W88T8<84smmw<*ir|dcsS|2=>^31[0713zfdx5!`uuoj|Zw;:7U:>R8>6:qokq>(g|~feuQ~<3<\57Y09>1x`bz7/nwwil~Xy585S<=30?34?vjh|1%dyycfx^s?6;Y6;5;5=:5|lnv;+jsse`rT}1<1_01?6;703zfdx5!`uuoj|Zw;:7U:?1=1169phjr?'fadvPq=0=[45;<7;<7~b`t9-lqqknpV{7>3Q>3=7=52=tdf~3#b{{mhz\u949W897:3?8;rnlp=)h}}gbtR32?]279199?1x`bz7/nwwil~Xy585S<=P0048wiis0&e~x`gw_p>1:Z74W8;=7~b`t9-lqqknpV{7>3Q>3^022>ukg}2$cxzbiy]r878X9:U8=;5|lnv;+jsse`rT}1<1_01\040<{ee4"aztlk{[t:56V;8S8?9;rnlp=)h}}gbtR32?]27Z06>2ygcy6 otvnm}Yv4;4T=>Q8169phjr?'fadvPq=0=[42;87;<7~b`t9-lqqknpV{7>3Q>4=3=52=tdf~3#b{{mhz\u949W8>7>3?8;rnlp=)h}}gbtR32?]209599>1x`bz7/nwwil~Xy585S<:34?34?vjh|1%dyycfx^s?6;Y6<5?5=:5|lnv;+jsse`rT}1<1_06?2;703zfdx5!`uuoj|Zw;:7U:8191179phjr?'fadvPq=0=[42X88<0aa{8.mvphoWx692R?;_035?vjh|1%dyycfx^s?6;Y6T8<84smmw<*ir|dcsS|2=>^37[0713zfdx5!`uuoj|Zw;:7U:8R8>6:qokq>(g|~feuQ~<3<\51Y09>1x`bz7/nwwil~Xy585S<;30?34?vjh|1%dyycfx^s?6;Y6=5;5=:5|lnv;+jsse`rT}1<1_07?6;703zfdx5!`uuoj|Zw;:7U:91=1169phjr?'fadvPq=0=[43;<7;<7~b`t9-lqqknpV{7>3Q>5=7=52=tdf~3#b{{mhz\u949W8?7:3?8;rnlp=)h}}gbtR32?]219199?1x`bz7/nwwil~Xy585S<;P0048wiis0&e~x`gw_p>1:Z72W8;=7~b`t9-lqqknpV{7>3Q>5^022>ukg}2$cxzbiy]r878X9S8?9;rnlp=)h}}gbtR32?]21Z06>2ygcy6 otvnm}Yv4;4T=8Q8179phjr?'fadvPq=0=[4:768<0aa{8.mvphoWx692R?31?35?vjh|1%dyycfx^s?6;Y64;4::6}cou:,kprjaqUz0?0P1=1=53=tdf~3#b{{mhz\u949W86?2<84smmw<*ir|dcsS|2=>^3?1;713zfdx5!`uuoj|Zw;:7U:0;0>6:qokq>(g|~feuQ~<3<\59199<1x`bz7/nwwil~Xy585S048wiis0&e~x`gw_p>1:Z4;97;=7~b`t9-lqqknpV{7>3Q=<3<22>ukg}2$cxzbiy]r878X:595=;5|lnv;+jsse`rT}1<1_3>7:40<{ee4"aztlk{[t:56V8793?9;rnlp=)h}}gbtR32?]18386>2ygcy6 otvnm}Yv4;4T>191149phjr?'fadvPq=0=[7Y79<1x`bz7/nwwil~Xy585S?Q>149phjr?'fadvPq=0=[7Y59<1x`bz7/nwwil~Xy585S?Q<149phjr?'fadvPq=0=[7Y39<1x`bz7/nwwil~Xy585S?Q:149phjr?'fadvPq=0=[7Y19<1x`bz7/nwwil~Xy585S?Q8179phjr?'fadvPq=0=[6:768<0aa{8.mvphoWx692R=31?35?vjh|1%dyycfx^s?6;Y44;4::6}cou:,kprjaqUz0?0P3=1=53=tdf~3#b{{mhz\u949W:6?2<84smmw<*ir|dcsS|2=>^1?1;713zfdx5!`uuoj|Zw;:7U80;0>6:qokq>(g|~feuQ~<3<\79199<1x`bz7/nwwil~Xy585S>Q?149phjr?'fadvPq=0=[6Y69<1x`bz7/nwwil~Xy585S>Q=149phjr?'fadvPq=0=[6Y49<1x`bz7/nwwil~Xy585S>Q;149phjr?'fadvPq=0=[6Y29<1x`bz7/nwwil~Xy585S>Q9149phjr?'fadvPq=0=[6Y09?1x`bz7/nwwil~Xy585S92?>048wiis0&e~x`gw_p>1:Z2;97;=7~b`t9-lqqknpV{7>3Q;<3<22>ukg}2$cxzbiy]r878X<595=;5|lnv;+jsse`rT}1<1_5>7:40<{ee4"aztlk{[t:56V>793?9;rnlp=)h}}gbtR32?]78386>2ygcy6 otvnm}Yv4;4T8191149phjr?'fadvPq=0=[1Y79<1x`bz7/nwwil~Xy585S9Q>149phjr?'fadvPq=0=[1Y59<1x`bz7/nwwil~Xy585S9Q<149phjr?'fadvPq=0=[1Y39<1x`bz7/nwwil~Xy585S9Q:149phjr?'fadvPq=0=[1Y19<1x`bz7/nwwil~Xy585S9Q8179phjr?'fadvPq=0=[0:768<0aa{8.mvphoWx692R;31?35?vjh|1%dyycfx^s?6;Y24;4::6}cou:,kprjaqUz0?0P5=1=53=tdf~3#b{{mhz\u949W<6?2<84smmw<*ir|dcsS|2=>^7?1;713zfdx5!`uuoj|Zw;:7U>0;0>6:qokq>(g|~feuQ~<3<\19199<1x`bz7/nwwil~Xy585S8Q?149phjr?'fadvPq=0=[0Y69<1x`bz7/nwwil~Xy585S8Q=149phjr?'fadvPq=0=[0Y49<1x`bz7/nwwil~Xy585S8Q;149phjr?'fadvPq=0=[0Y29<1x`bz7/nwwil~Xy585S8Q9149phjr?'fadvPq=0=[0Y09?1x`bz7/nwwil~Xy585S;2?>048wiis0&e~x`gw_p>1:Z0;97;=7~b`t9-lqqknpV{7>3Q9<3<22>ukg}2$cxzbiy]r878X>595=;5|lnv;+jsse`rT}1<1_7>7:40<{ee4"aztlk{[t:56V<793?9;rnlp=)h}}gbtR32?]58386>2ygcy6 otvnm}Yv4;4T:191149phjr?'fadvPq=0=[3Y79<1x`bz7/nwwil~Xy585S;Q>149phjr?'fadvPq=0=[3Y59<1x`bz7/nwwil~Xy585S;Q<149phjr?'fadvPq=0=[3Y39<1x`bz7/nwwil~Xy585S;Q:149phjr?'fadvPq=0=[3Y19<1x`bz7/nwwil~Xy585S;Q8179phjr?'fadvPq=0=[2:768<0aa{8.mvphoWx692R931?35?vjh|1%dyycfx^s?6;Y04;4::6}cou:,kprjaqUz0?0P7=1=53=tdf~3#b{{mhz\u949W>6?2<84smmw<*ir|dcsS|2=>^5?1;713zfdx5!`uuoj|Zw;:7U<0;0>6:qokq>(g|~feuQ~<3<\39199<1x`bz7/nwwil~Xy585S:Q?149phjr?'fadvPq=0=[2Y69<1x`bz7/nwwil~Xy585S:Q=149phjr?'fadvPq=0=[2Y49<1x`bz7/nwwil~Xy585S:Q;149phjr?'fadvPq=0=[2Y29<1x`bz7/nwwil~Xy585S:Q9149phjr?'fadvPq=0=[2Y09?1x`bz7/nwwil~Xy585S52?>048wiis0&e~x`gw_p>1:Z>;97;=7~b`t9-lqqknpV{7>3Q7<3<22>ukg}2$cxzbiy]r878X0595=;5|lnv;+jsse`rT}1<1_9>7:40<{ee4"aztlk{[t:56V2793?9;rnlp=)h}}gbtR32?];8386>2ygcy6 otvnm}Yv4;4T4191149phjr?'fadvPq=0=[=Y79<1x`bz7/nwwil~Xy585S5Q>149phjr?'fadvPq=0=[=Y59<1x`bz7/nwwil~Xy585S5Q<149phjr?'fadvPq=0=[=Y39<1x`bz7/nwwil~Xy585S5Q:149phjr?'fadvPq=0=[=Y19<1x`bz7/nwwil~Xy585S5Q8179phjr?'fadvPq=0=[<:768<0aa{8.mvphoWx692R731?35?vjh|1%dyycfx^s?6;Y>4;4::6}cou:,kprjaqUz0?0P9=1=53=tdf~3#b{{mhz\u949W06?2<84smmw<*ir|dcsS|2=>^;?1;713zfdx5!`uuoj|Zw;:7U20;0>6:qokq>(g|~feuQ~<3<\=9199<1x`bz7/nwwil~Xy585S4Q?149phjr?'fadvPq=0=[048wiis0&e~x`gw_p>0:Z6;97;=7~b`t9-lqqknpV{7?3Q?<3<22>ukg}2$cxzbiy]r868X8595=;5|lnv;+jsse`rT}1=1_1>7:40<{ee4"aztlk{[t:46V:793?9;rnlp=)h}}gbtR33?]38386>2ygcy6 otvnm}Yv4:4T<191149phjr?'fadvPq=1=[5Y79<1x`bz7/nwwil~Xy595S=Q>149phjr?'fadvPq=1=[5Y59<1x`bz7/nwwil~Xy595S=Q<149phjr?'fadvPq=1=[5Y39<1x`bz7/nwwil~Xy595S=Q:149phjr?'fadvPq=1=[5Y19<1x`bz7/nwwil~Xy595S=Q8169phjr?'fadvPq=1=[46;87;<7~b`t9-lqqknpV{7?3Q>0=3=52=tdf~3#b{{mhz\u959W8:7>3?8;rnlp=)h}}gbtR33?]249599>1x`bz7/nwwil~Xy595S<>34?34?vjh|1%dyycfx^s?7;Y685?5=:5|lnv;+jsse`rT}1=1_02?2;703zfdx5!`uuoj|Zw;;7U:<191179phjr?'fadvPq=1=[46X88<0aa{8.mvphoWx682R??_035?vjh|1%dyycfx^s?7;Y68V8::6}cou:,kprjaqUz0>0P11]053=tdf~3#b{{mhz\u959W8:T8<84smmw<*ir|dcsS|2<>^33[0713zfdx5!`uuoj|Zw;;7U:6:qokq>(g|~feuQ~<2<\55Y09>1x`bz7/nwwil~Xy595S1=7=52=tdf~3#b{{mhz\u959W8;7:3?8;rnlp=)h}}gbtR33?]259199?1x`bz7/nwwil~Xy595S0:Z76W8;=7~b`t9-lqqknpV{7?3Q>1^022>ukg}2$cxzbiy]r868X98U8=;5|lnv;+jsse`rT}1=1_03\040<{ee4"aztlk{[t:46V;:S8?9;rnlp=)h}}gbtR33?]25Z06>2ygcy6 otvnm}Yv4:4T=2=3=52=tdf~3#b{{mhz\u959W887>3?8;rnlp=)h}}gbtR33?]269599>1x`bz7/nwwil~Xy595S<<34?34?vjh|1%dyycfx^s?7;Y6:5?5=:5|lnv;+jsse`rT}1=1_00?2;703zfdx5!`uuoj|Zw;;7U:>191179phjr?'fadvPq=1=[44X88<0aa{8.mvphoWx682R?=_035?vjh|1%dyycfx^s?7;Y6:V8::6}cou:,kprjaqUz0>0P13]053=tdf~3#b{{mhz\u959W88T8<84smmw<*ir|dcsS|2<>^31[0713zfdx5!`uuoj|Zw;;7U:>R8>6:qokq>(g|~feuQ~<2<\57Y09>1x`bz7/nwwil~Xy595S<=30?34?vjh|1%dyycfx^s?7;Y6;5;5=:5|lnv;+jsse`rT}1=1_01?6;703zfdx5!`uuoj|Zw;;7U:?1=1169phjr?'fadvPq=1=[45;<7;<7~b`t9-lqqknpV{7?3Q>3=7=52=tdf~3#b{{mhz\u959W897:3?8;rnlp=)h}}gbtR33?]279199?1x`bz7/nwwil~Xy595S<=P0048wiis0&e~x`gw_p>0:Z74W8;=7~b`t9-lqqknpV{7?3Q>3^022>ukg}2$cxzbiy]r868X9:U8=;5|lnv;+jsse`rT}1=1_01\040<{ee4"aztlk{[t:46V;8S8?9;rnlp=)h}}gbtR33?]27Z06>2ygcy6 otvnm}Yv4:4T=>Q8169phjr?'fadvPq=1=[42;87;<7~b`t9-lqqknpV{7?3Q>4=3=52=tdf~3#b{{mhz\u959W8>7>3?8;rnlp=)h}}gbtR33?]209599>1x`bz7/nwwil~Xy595S<:34?34?vjh|1%dyycfx^s?7;Y6<5?5=:5|lnv;+jsse`rT}1=1_06?2;703zfdx5!`uuoj|Zw;;7U:8191179phjr?'fadvPq=1=[42X88<0aa{8.mvphoWx682R?;_035?vjh|1%dyycfx^s?7;Y60P15]053=tdf~3#b{{mhz\u959W8>T8<84smmw<*ir|dcsS|2<>^37[0713zfdx5!`uuoj|Zw;;7U:8R8>6:qokq>(g|~feuQ~<2<\51Y09>1x`bz7/nwwil~Xy595S<;30?34?vjh|1%dyycfx^s?7;Y6=5;5=:5|lnv;+jsse`rT}1=1_07?6;703zfdx5!`uuoj|Zw;;7U:91=1169phjr?'fadvPq=1=[43;<7;<7~b`t9-lqqknpV{7?3Q>5=7=52=tdf~3#b{{mhz\u959W8?7:3?8;rnlp=)h}}gbtR33?]219199?1x`bz7/nwwil~Xy595S<;P0048wiis0&e~x`gw_p>0:Z72W8;=7~b`t9-lqqknpV{7?3Q>5^022>ukg}2$cxzbiy]r868X9S8?9;rnlp=)h}}gbtR33?]21Z06>2ygcy6 otvnm}Yv4:4T=8Q8179phjr?'fadvPq=1=[4:768<0aa{8.mvphoWx682R?31?35?vjh|1%dyycfx^s?7;Y64;4::6}cou:,kprjaqUz0>0P1=1=53=tdf~3#b{{mhz\u959W86?2<84smmw<*ir|dcsS|2<>^3?1;713zfdx5!`uuoj|Zw;;7U:0;0>6:qokq>(g|~feuQ~<2<\59199<1x`bz7/nwwil~Xy595S048wiis0&e~x`gw_p>0:Z4;97;=7~b`t9-lqqknpV{7?3Q=<3<22>ukg}2$cxzbiy]r868X:595=;5|lnv;+jsse`rT}1=1_3>7:40<{ee4"aztlk{[t:46V8793?9;rnlp=)h}}gbtR33?]18386>2ygcy6 otvnm}Yv4:4T>191149phjr?'fadvPq=1=[7Y79<1x`bz7/nwwil~Xy595S?Q>149phjr?'fadvPq=1=[7Y59<1x`bz7/nwwil~Xy595S?Q<149phjr?'fadvPq=1=[7Y39<1x`bz7/nwwil~Xy595S?Q:149phjr?'fadvPq=1=[7Y19<1x`bz7/nwwil~Xy595S?Q8179phjr?'fadvPq=1=[6:768<0aa{8.mvphoWx682R=31?35?vjh|1%dyycfx^s?7;Y44;4::6}cou:,kprjaqUz0>0P3=1=53=tdf~3#b{{mhz\u959W:6?2<84smmw<*ir|dcsS|2<>^1?1;713zfdx5!`uuoj|Zw;;7U80;0>6:qokq>(g|~feuQ~<2<\79199<1x`bz7/nwwil~Xy595S>Q?149phjr?'fadvPq=1=[6Y69<1x`bz7/nwwil~Xy595S>Q=149phjr?'fadvPq=1=[6Y49<1x`bz7/nwwil~Xy595S>Q;149phjr?'fadvPq=1=[6Y29<1x`bz7/nwwil~Xy595S>Q9149phjr?'fadvPq=1=[6Y09?1x`bz7/nwwil~Xy595S92?>048wiis0&e~x`gw_p>0:Z2;97;=7~b`t9-lqqknpV{7?3Q;<3<22>ukg}2$cxzbiy]r868X<595=;5|lnv;+jsse`rT}1=1_5>7:40<{ee4"aztlk{[t:46V>793?9;rnlp=)h}}gbtR33?]78386>2ygcy6 otvnm}Yv4:4T8191149phjr?'fadvPq=1=[1Y79<1x`bz7/nwwil~Xy595S9Q>149phjr?'fadvPq=1=[1Y59<1x`bz7/nwwil~Xy595S9Q<149phjr?'fadvPq=1=[1Y39<1x`bz7/nwwil~Xy595S9Q:149phjr?'fadvPq=1=[1Y19<1x`bz7/nwwil~Xy595S9Q8179phjr?'fadvPq=1=[0:768<0aa{8.mvphoWx682R;31?35?vjh|1%dyycfx^s?7;Y24;4::6}cou:,kprjaqUz0>0P5=1=53=tdf~3#b{{mhz\u959W<6?2<84smmw<*ir|dcsS|2<>^7?1;713zfdx5!`uuoj|Zw;;7U>0;0>6:qokq>(g|~feuQ~<2<\19199<1x`bz7/nwwil~Xy595S8Q?149phjr?'fadvPq=1=[0Y69<1x`bz7/nwwil~Xy595S8Q=149phjr?'fadvPq=1=[0Y49<1x`bz7/nwwil~Xy595S8Q;149phjr?'fadvPq=1=[0Y29<1x`bz7/nwwil~Xy595S8Q9149phjr?'fadvPq=1=[0Y09?1x`bz7/nwwil~Xy595S;2?>048wiis0&e~x`gw_p>0:Z0;97;=7~b`t9-lqqknpV{7?3Q9<3<22>ukg}2$cxzbiy]r868X>595=;5|lnv;+jsse`rT}1=1_7>7:40<{ee4"aztlk{[t:46V<793?9;rnlp=)h}}gbtR33?]58386>2ygcy6 otvnm}Yv4:4T:191149phjr?'fadvPq=1=[3Y79<1x`bz7/nwwil~Xy595S;Q>149phjr?'fadvPq=1=[3Y59<1x`bz7/nwwil~Xy595S;Q<149phjr?'fadvPq=1=[3Y39<1x`bz7/nwwil~Xy595S;Q:149phjr?'fadvPq=1=[3Y19<1x`bz7/nwwil~Xy595S;Q8179phjr?'fadvPq=1=[2:768<0aa{8.mvphoWx682R931?35?vjh|1%dyycfx^s?7;Y04;4::6}cou:,kprjaqUz0>0P7=1=53=tdf~3#b{{mhz\u959W>6?2<84smmw<*ir|dcsS|2<>^5?1;713zfdx5!`uuoj|Zw;;7U<0;0>6:qokq>(g|~feuQ~<2<\39199<1x`bz7/nwwil~Xy595S:Q?149phjr?'fadvPq=1=[2Y69<1x`bz7/nwwil~Xy595S:Q=149phjr?'fadvPq=1=[2Y49<1x`bz7/nwwil~Xy595S:Q;149phjr?'fadvPq=1=[2Y29<1x`bz7/nwwil~Xy595S:Q9149phjr?'fadvPq=1=[2Y09?1x`bz7/nwwil~Xy595S52?>048wiis0&e~x`gw_p>0:Z>;97;=7~b`t9-lqqknpV{7?3Q7<3<22>ukg}2$cxzbiy]r868X0595=;5|lnv;+jsse`rT}1=1_9>7:40<{ee4"aztlk{[t:46V2793?9;rnlp=)h}}gbtR33?];8386>2ygcy6 otvnm}Yv4:4T4191149phjr?'fadvPq=1=[=Y79<1x`bz7/nwwil~Xy595S5Q>149phjr?'fadvPq=1=[=Y59<1x`bz7/nwwil~Xy595S5Q<149phjr?'fadvPq=1=[=Y39<1x`bz7/nwwil~Xy595S5Q:149phjr?'fadvPq=1=[=Y19<1x`bz7/nwwil~Xy595S5Q8179phjr?'fadvPq=1=[<:768<0aa{8.mvphoWx682R731?35?vjh|1%dyycfx^s?7;Y>4;4::6}cou:,kprjaqUz0>0P9=1=53=tdf~3#b{{mhz\u959W06?2<84smmw<*ir|dcsS|2<>^;?1;713zfdx5!`uuoj|Zw;;7U20;0>6:qokq>(g|~feuQ~<2<\=9199<1x`bz7/nwwil~Xy595S4Q?149phjr?'fadvPq=1=[028wiis0&e~x}{{0=1=55=tdf~3#b{{ptv3818682ygcy6 otvsqq6;=7;;7~b`t9-lqqvr|96=2<>4smmw<*ir|y<191f:qokq>(g|~{yy>P0g9phjr?'f|xz?_0d8wiis0&e~x}{{0^0e?vjh|1%dyy~zt1]0b>ukg}2$cxzuu2\0c=tdf~3#b{{ptv3[0`<{ee4"aztqww4Z0a3zfdx5!`uurvp5Y09l1x`bz7/rvbc`YhflUccajPIOT\1=1Xg;80aa{8.qwebcXggoTdbbk_HLU[0>0Wf6:2028wiis0&|d`RP0=3=55=tdf~3#{ac_p]3878682ygcy6 vnn\uZ6;;7;;7~b`t9-ukiYvW96?2<>4smmw<*phdV{T<1;1119phjr?'egS|Q?<7<24>ukg}2$zbbPq^2?3;`<{ee4"x`l^s\4Z6a3zfdx5!yom]r[5Y6n2ygcy6 vnn\uZ6X:o1x`bz7/wmo[tY7W:l0aa{8.tlhZwX8V>m7~b`t9-ukiYvW9U>j6}cou:,rjjXyV:T:k5|lnv;+sikWxU;S:?>;rnlp=)qgeUzS<>30?32?vjh|1%}caQ~_02?5;763zfdx5!yom]r[46;:7;:7~b`t9-ukiYvW8:7?3?>;rnlp=)qgeUzS<>34?32?vjh|1%}caQ~_02?1;763zfdx5!yom]r[46;>7;:7~b`t9-ukiYvW8:7;3??;rnlp=)qgeUzS<>P0028wiis0&|d`RP11]255=tdf~3#{ac_p]24Z4682ygcy6 vnn\uZ77W:;;7~b`t9-ukiYvW8:T8<>4smmw<*phdV{T==Q:119phjr?'egS|Q>0^424>ukg}2$zbbPq^33[2763zfdx5!yom]r[47;87;:7~b`t9-ukiYvW8;7=3?>;rnlp=)qgeUzS;rnlp=)qgeUzS0:qokq>(~ffT}R?>_233?vjh|1%}caQ~_03\046<{ee4"x`l^s\54Y2991x`bz7/wmo[tY69V<:<6}cou:,rjjXyV;:S:?>;rnlp=)qgeUzS<<30?32?vjh|1%}caQ~_00?5;763zfdx5!yom]r[44;:7;:7~b`t9-ukiYvW887?3?>;rnlp=)qgeUzS<<34?32?vjh|1%}caQ~_00?1;763zfdx5!yom]r[44;>7;:7~b`t9-ukiYvW887;3??;rnlp=)qgeUzS<4smmw<*phdV{T=?Q:119phjr?'egS|Q>2^424>ukg}2$zbbPq^31[2763zfdx5!yom]r[45;87;:7~b`t9-ukiYvW897=3?>;rnlp=)qgeUzS<=32?32?vjh|1%}caQ~_01?7;763zfdx5!yom]r[45;<7;:7~b`t9-ukiYvW89793?>;rnlp=)qgeUzS<=36?32?vjh|1%}caQ~_01?3;773zfdx5!yom]r[45X88:0aa{8.tlhZwX9:U:==5|lnv;+sikWxU:?R<>0:qokq>(~ffT}R?<_233?vjh|1%}caQ~_01\046<{ee4"x`l^s\56Y2991x`bz7/wmo[tY6;V<:<6}cou:,rjjXyV;8S:?>;rnlp=)qgeUzS<:30?32?vjh|1%}caQ~_06?5;763zfdx5!yom]r[42;:7;:7~b`t9-ukiYvW8>7?3?>;rnlp=)qgeUzS<:34?32?vjh|1%}caQ~_06?1;763zfdx5!yom]r[42;>7;:7~b`t9-ukiYvW8>7;3??;rnlp=)qgeUzS<:P0028wiis0&|d`RP15]255=tdf~3#{ac_p]20Z4682ygcy6 vnn\uZ73W:;;7~b`t9-ukiYvW8>T8<>4smmw<*phdV{T=9Q:119phjr?'egS|Q>4^424>ukg}2$zbbPq^37[2763zfdx5!yom]r[43;87;:7~b`t9-ukiYvW8?7=3?>;rnlp=)qgeUzS<;32?32?vjh|1%}caQ~_07?7;763zfdx5!yom]r[43;<7;:7~b`t9-ukiYvW8?793?>;rnlp=)qgeUzS<;36?32?vjh|1%}caQ~_07?3;773zfdx5!yom]r[43X88:0aa{8.tlhZwX90:qokq>(~ffT}R?:_233?vjh|1%}caQ~_07\046<{ee4"x`l^s\50Y2991x`bz7/wmo[tY6=V<:<6}cou:,rjjXyV;>S:??;rnlp=)qgeUzS<2?>028wiis0&|d`RP1=3=55=tdf~3#{ac_p]2878682ygcy6 vnn\uZ7;;7;;7~b`t9-ukiYvW86?2<>4smmw<*phdV{T=1;1119phjr?'egS|Q><7<24>ukg}2$zbbPq^3?3;`<{ee4"x`l^s\5Z6a3zfdx5!yom]r[4Y6n2ygcy6 vnn\uZ7X:o1x`bz7/wmo[tY6W:l0aa{8.tlhZwX9V>m7~b`t9-ukiYvW8U>j6}cou:,rjjXyV;T:k5|lnv;+sikWxU:S:??;rnlp=)qgeUzS?2?>028wiis0&|d`RP2=3=55=tdf~3#{ac_p]1878682ygcy6 vnn\uZ4;;7;;7~b`t9-ukiYvW;6?2<>4smmw<*phdV{T>1;1119phjr?'egS|Q=<7<24>ukg}2$zbbPq^0?3;`<{ee4"x`l^s\6Z6a3zfdx5!yom]r[7Y6n2ygcy6 vnn\uZ4X:o1x`bz7/wmo[tY5W:l0aa{8.tlhZwX:V>m7~b`t9-ukiYvW;U>j6}cou:,rjjXyV8T:k5|lnv;+sikWxU9S:??;rnlp=)qgeUzS>2?>028wiis0&|d`RP3=3=55=tdf~3#{ac_p]0878682ygcy6 vnn\uZ5;;7;;7~b`t9-ukiYvW:6?2<>4smmw<*phdV{T?1;1119phjr?'egS|Q<<7<24>ukg}2$zbbPq^1?3;`<{ee4"x`l^s\7Z6a3zfdx5!yom]r[6Y6n2ygcy6 vnn\uZ5X:o1x`bz7/wmo[tY4W:l0aa{8.tlhZwX;V>m7~b`t9-ukiYvW:U>j6}cou:,rjjXyV9T:k5|lnv;+sikWxU8S:??;rnlp=)qgeUzS92?>028wiis0&|d`RP4=3=55=tdf~3#{ac_p]7878682ygcy6 vnn\uZ2;;7;;7~b`t9-ukiYvW=6?2<>4smmw<*phdV{T81;1119phjr?'egS|Q;<7<24>ukg}2$zbbPq^6?3;`<{ee4"x`l^s\0Z6a3zfdx5!yom]r[1Y6n2ygcy6 vnn\uZ2X:o1x`bz7/wmo[tY3W:l0aa{8.tlhZwXm7~b`t9-ukiYvW=U>j6}cou:,rjjXyV>T:k5|lnv;+sikWxU?S:??;rnlp=)qgeUzS82?>028wiis0&|d`RP5=3=55=tdf~3#{ac_p]6878682ygcy6 vnn\uZ3;;7;;7~b`t9-ukiYvW<6?2<>4smmw<*phdV{T91;1119phjr?'egS|Q:<7<24>ukg}2$zbbPq^7?3;`<{ee4"x`l^s\1Z6a3zfdx5!yom]r[0Y6n2ygcy6 vnn\uZ3X:o1x`bz7/wmo[tY2W:l0aa{8.tlhZwX=V>m7~b`t9-ukiYvWj6}cou:,rjjXyV?T:k5|lnv;+sikWxU>S:??;rnlp=)qgeUzS;2?>028wiis0&|d`RP6=3=55=tdf~3#{ac_p]5878682ygcy6 vnn\uZ0;;7;;7~b`t9-ukiYvW?6?2<>4smmw<*phdV{T:1;1119phjr?'egS|Q9<7<24>ukg}2$zbbPq^4?3;`<{ee4"x`l^s\2Z6a3zfdx5!yom]r[3Y6n2ygcy6 vnn\uZ0X:o1x`bz7/wmo[tY1W:l0aa{8.tlhZwX>V>m7~b`t9-ukiYvW?U>j6}cou:,rjjXyV028wiis0&|d`RP7=3=55=tdf~3#{ac_p]4878682ygcy6 vnn\uZ1;;7;;7~b`t9-ukiYvW>6?2<>4smmw<*phdV{T;1;1119phjr?'egS|Q8<7<24>ukg}2$zbbPq^5?3;`<{ee4"x`l^s\3Z6a3zfdx5!yom]r[2Y6n2ygcy6 vnn\uZ1X:o1x`bz7/wmo[tY0W:l0aa{8.tlhZwX?V>m7~b`t9-ukiYvW>U>j6}cou:,rjjXyV=T:k5|lnv;+sikWxU028wiis0&|d`RP8=3=55=tdf~3#{ac_p];878682ygcy6 vnn\uZ>;;7;;7~b`t9-ukiYvW16?2<>4smmw<*phdV{T41;1119phjr?'egS|Q7<7<24>ukg}2$zbbPq^:?3;`<{ee4"x`l^s\X:o1x`bz7/wmo[tY?W:l0aa{8.tlhZwX0V>m7~b`t9-ukiYvW1U>j6}cou:,rjjXyV2T:k5|lnv;+sikWxU3S:??;rnlp=)qgeUzS42?>028wiis0&|d`RP9=3=55=tdf~3#{ac_p]:878682ygcy6 vnn\uZ?;;7;;7~b`t9-ukiYvW06?2<>4smmw<*phdV{T51;1119phjr?'egS|Q6<7<24>ukg}2$zbbPq^;?3;`<{ee4"x`l^s\=Z6a3zfdx5!yom]r[W:l0aa{8.tlhZwX1V>m7~b`t9-ukiYvW0U>j6}cou:,rjjXyV3T:k5|lnv;+sikWxU2S:?9;rnlpZgcl{ox1>1<34=[376?2ygcyQndepfwv:7658=2R8>00;8wiisWhno~h}|<18:TJ<74smmw[dbczlyx0=0327<\246XO8=0aa{_`fgv`ut4947>;0P60323>ukg}Ujhi|jsr>3:9416V<:><94smmw[dbczlyx0=0327<\2456?2ygcyQndepfwv:7658=2R8>4058wiisWhno~h}|<18?:;6}cou]b`atb{z6;21<9>^42241<{eeSljkrdqp858;:?4T:<9>7:qokqYflmxn~2?>=05:Z0608=0aa{_`fgv`ut4947>;0P60;22>ukg}Ujhi|jsr>3:9416V<9=:5|lnv\eabumzy7<32=6?]565703zfdxRokdsgpw9694;<5S;<>189phjrXimnyi~}30?>12;Y1:8UM=45|lnv\eabumzy7<32=6?]564Y@9>1x`bzPaefqavu;8769:3Q92334?vjh|Vkohk|s=2=8709W?88=:5|lnv\eabumzy7<32=6?]5617>3zfdxRokdsgpw9694;<5S;<;_G3:?vjh|Vkohk|s=2=8709W?8?SJ?8;rnlpZgcl{ox1>1<34=[342901x`bzPaefqavu;8769:3Q924]E5<=tdf~Tmij}erq?4;:5>7U=>8QH169phjrXimnyi~}30?>12;Y1:?;27~b`t^cg`wct{5:50?81_705[C7>3zfdxRokdsgpw9694;<5S;<9_F34?vjh|Vkohk|s=2=8709W?8<=45|lnv\eabumzy7<32=6?]562YA901x`bzPaefqavu;8769:3Q926]D52=tdf~Tmij}erq?4;:5>7U=>5?6;rnlpZgcl{ox1>1<34=[34?WO;27~b`t^cg`wct{5:50?81_70;[B703zfdxRokdsgpw9694;<5S;<6179phjrXimnyi~}30?>12;Y1;8=0aa{_`fgv`ut4947>;0P6222=>ukg}Ujhi|jsr>3:9416V<89:qokqYflmxn~2?>=05:Z048VM::6}cou]b`atb{z6;21<9>^4753=tdf~Tmij}erq?4;:5>7U=9<84smmw[dbczlyx0=0327<\227f3zfdxRokdsgpw9694;<5S;9PSV222>ukg}Ujhi|jsr>3:9416V<3=;5|lnv\eabumzy7<32=6?]5=43<{eeSljkrdqp858;:?4T;<94smmw[dbczlyx0=0327<\3466>2ygcyQndepfwv:7658=2R9=169phjrXimnyi~}30?>12;Y0:9;<7~b`t^cg`wct{5:50?81_60253=tdf~Tmij}erq?4;:5>7U<8<94smmw[dbczlyx0=0327<\3146?2ygcyQndepfwv:7658=2R9;3058wiisWhno~h}|<1^56541<{eeSljkrdqp858;:?4T;8<>7:qokqYflmxn~2?>=05:Z12;8=0aa{_`fgv`ut4947>;0P74623>ukg}Ujhi|jsr>3:9416V=>9<94smmw[dbczlyx0=0327<\3006?2ygcyQndepfwv:7658=2R9:7058wiisWhno~h}|<1^5552=tdf~Tmij}erq?4;:5>7U<:=?9;rnlpZgcl{ox1>1<34=[2>6>2ygcyQndepfwv:7658=2R6>169phjrXimnyi~}30?>12;Y?99;<7~b`t^cg`wct{5:50?81_93252=tdf~Tmij}erq?4;:5>7U3=??8;rnlpZgcl{ox1>1<34=[=749>1x`bzPaefqavu;8769:3Q71534?vjh|Vkohk|s=2=8709W1;>=:5|lnv\eabumzy7<32=6?];53703zfdxRokdsgpw9694;<5S5?8169phjrXimnyi~}30?>12;Y?91;<7~b`t^cg`wct{5:50?81_93:53=tdf~Tmij}erq?4;:5>7U3><94smmw[dbczlyx0=0327<\<766?2ygcyQndepfwv:7658=2R6=1058wiisWhno~h}|<1^:1741<{eeSljkrdqp858;:?4T4?:>7:qokqYflmxn~2?>=05:Z>5=8=0aa{_`fgv`ut4947>;0P83423>ukg}Ujhi|jsr>3:9416V29;<94smmw[dbczlyx0=0327<\<7>6?2ygcyQndepfwv:7658=2R6=9048wiisWhno~h}|<17U38<84smmw[dbczlyx0=0327<\<0713zfdxRokdsgpw9694;<5S57m;rnlpZe~k5:5o6}cou]`}f:768i0aa{_b{`8479l2ygcyQlyb>25;7d3zfdxRmvc=30:a=tdf~Totm312<2f>ukg}Uhun2>>b9phjrXkpi7=3?m;rnlpZe~k585o6}cou]`}f:568h0aa{_b{`808d3zfdxRmvc=7=5g=tdf~Totm36?a8wiisWjsh0;0>b:qokqYdqj6<2n5|lnv\g|e;?7;i7~b`t^azg9>9k2ygcyQlyb>;:4773zfdxR|jae>3:97768:0aa{_sgb`96948<5j6}cou]qadb;87632<>4smmw[wcfl5;821>1119phjrXzlko0<=1<0<24>ukg}Uyilj31227;:468:0aa{_sgb`97465>5==5|lnv\v`gc4895080>0:qokqYumhn7=>036?33?vjh|Vxnmi2>3?>4:46<{eeSknd=36:969981x`bzPrdcg843948:5=<5|lnv\v`gc48?505=<5|lnv\v`gc48?50<;1109phjrXzlko0<;1<04=54=tdf~T~hok<07=8419981x`bzPrdcg84394825=<5|lnv\v`gc48?50<71119phjrXzlko0<;1<0<25>ukg}Uyilj314?0>1:qokqYumhn7=80322<25>ukg}Uyilj314;0>1:qokqYumhn7=80326<25>ukg}Uyilj3143?>;rnlpZtbim6:932<0?32?vjh|Vxnmi2>5?>05;773zfdxR|jae>21;:468:0aa{_sgb`97265>5==5|lnv\v`gc48?5080>0:qokqYumhn7=8036?33?vjh|Vxnmi2>5?>4:46<{eeSknd=36:9>9991x`bzPrdcg8439404:<6}cou]qadb;:76:<3??;rnlpZtbim6921?>>028wiisW{ojh1<1<00=55=tdf~T~hok<32<>4smmw[wcfl5850<81119phjrXzlko0?0316<24>ukg}Uyilj32?>2<;773zfdxR|jae>1:97>68:0aa{_sgb`9494;:5==5|lnv\v`gc4;47><0>0:qokqYumhn7>32=2?33?vjh|Vxnmi2=>=00:46<{eeSknd=0=8729991x`bzPrdcg878;:<4:<6}cou]qadb;:769:3??;rnlpZtbim6921<8>028wiisW{ojh1<1<3:=55=tdf~T~hok<3329>g9phjrXzlko0?037?d8wiisW{ojh1<1<9=;=b>ukg}Uyilj33?>3:46<{eeSknd=1=8469991x`bzPrdcg868;984:<6}cou]qadb;;76:>3??;rnlpZtbim6821?<>028wiisW{ojh1=1<06=55=tdf~T~hok<27;;7~b`t^pfea:465;32<>4smmw[wcfl5950<71f:qokqYumhn7?32>>028wiisW{ojh1=1<32=55=tdf~T~hok<24smmw[wcfl5950?:1119phjrXzlko0>0324<24>ukg}Uyilj33?>12;773zfdxR|jae>0:94068:0aa{_sgb`9594;25==5|lnv\v`gc4:47>40i;rnlpZtbim6821<1119phjrXzlko0>0331<24>ukg}Uyilj33?>05;`<{eeSknd=1=8=8a3zfdxR|jae>5:959n2ygcyQ}e`f?3;:768:0aa{_sgb`91948:5==5|lnv\v`gc4>47=<0>0:qokqYumhn7;32>2?33?vjh|Vxnmi28>=30:46<{eeSknd=5=8429991x`bzPrdcg828;9<4m7~b`t^pfea:065;5j6}cou]qadb;?7692k5|lnv\v`gc4>47?3h4smmw[wcfl5=5090i;rnlpZtbim6<21;1f:qokqYumhn7;329>g9phjrXzlko0:037?d8wiisW{ojh191<9=;=<>uwi{~jb;=4sqk:?vvnkealico4sscn[lht|&l0ob_hlpp*esze%886}}al]jjvr(k}xg#J@K_225[jYuW{lTob{atdpX74[Xmxj`R<>_n1;?vtfeVcey!ltsn,CKBX;974sscn[lht|&i~a!HNE]043YhW{UyjRm`uovfv^56UVozylbP20]l86799:30ob_hlpp*esze%LBIQ<07]l[wYunVidyczjrZ12YZcv}hfT>]^grqdjX:8Ud0>?132;8wwgjW`dxx"m{rm-DJAY48?UdSQ}f^alqkrbzR9:QRk~u`n\64Yh4:;58>74sscn[lht|&i~a!HNE]043YhW{UyjRm`uovfv^56UVozylbP20]l8679=:30ob_hlpp*esze%LBIQ<07]l[wYunVidyczjrZ12YZcv}hfT>]^grqdjX:8Ud0>?172d8wwgjW`dxx"m{rm-NeabXzVxmSnaznugq_67ZWNDOS>>9_n]b`aY5:VE^XRmv<1<74>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ<07]l[dbcW;8TCXZPcx>24;243zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^132ZiXimnT>?Q@UU]`}9776Vx8=5|r`o\mkus'j~y`"Cnde]q[w`Xkfexh|T30_\CKBX;91?]qp16<{{kfSd`|t.awvi)JimnT~R|i_bmvjqcuS:;VSJ@K_225[jYflmU9>RAZT^az8449<:1x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP?518wwgjW`dxx"m{rm-NeabXzVxmSnaznugq_67ZWNDOS>>9_n]b`aY5:VE^XRmv<01=[wr382yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]043YhWhnoS?87~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z57>VeTmijP23]LQQYdq5;?2R|{419pvdkXagy#nz}l.Ob`aYuW{lTob{atdpX74[XOGNT?=8Po^cg`Z45WF__Snw314<77>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ<07]l[dbcW;8TCXZPcx>21;Yu|=:0ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[661WfUjhiQ=2^MVPZe~48<58>5|r`o\mkus'j~y`"Cnde]q[w`Xkfexh|T30_\CKBX;9RAZT^az8419W{~?<6}}al]jjvr(k}xg#@okd^p\vcYdg|diU<1\]DJAY48?UdSljk_30\KPRXkp6:43:<;rpbiZoi{}%hxb M`fg[wYunVidyczjrZ12YZAILV9;:RaPaef\67YH]]Uhu1?7>^pw05=tzhgTec}{/bvqh*KflmUyShPcnwmp`t\;8WTKCJP314\kZgclV89SB[[_b{?5<83;2yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]043YhWhnoS?h4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW::=SbQnde]16ZIR\Vir0<0;2:qqehYnfz~$oy|c/Lcg`ZtXzoUhcx`{esY05XY@FMU8<;Q`_`fg[74XG\^Tot2>>^pw05=tzhgTec}{/bvqh*KflmUyShPcnwmp`t\;8WTKCJP314\kZgclV89SB[[_b{?6583;2yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]043YhWhnoS?4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW::=SbQnde]16ZIR\Vir0??1429pvdkXagy#nz}l.Ob`aYuW{lTob{atdpX74[XOGNT?=8Po^cg`Z45WF__Snw320<\vq273zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^132ZiXimnT>?Q@UU]`}9456=90ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[661WfUjhiQ=2^MVPZe~4;85Sz;0:qqehYnfz~$oy|c/Lcg`ZtXzoUhcx`{esY05XY@FMU8<;Q`_`fg[74XG\^Tot2=3?60?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR=?6^m\eabX:;UDYYQly=00:Zts<91x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP?>9_n]b`aY5:VE^XRmv<37=06=tzhgTec}{/bvqh*KflmUyShPcnwmp`t\;8WTKCJP314\kZgclV89SB[[_b{?608Xz}>;7~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z57>VeTmijP23]LQQYdq58=29=4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW::=SbQnde]16ZIR\Vir0?81_sv74>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ<07]l[dbcW;8TCXZPcx>13;243zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^132ZiXimnT>?Q@UU]`}9406Vx8=5|r`o\mkus'j~y`"Cnde]q[w`Xkfexh|T30_\CKBX;950;3:qqehYnfz~$oy|c/Lcg`ZtXzoUhcx`{esY05XY@FMU8<;Q`_`fg[74XG\^Tot2=8?]qp16<{{kfSd`|t.awvi)JimnT~R|i_bmvjqcuS:;VSJ@K_225[jYflmU9>RAZT^az87?9<:1x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP?RAZT^az8669<:1x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP?RAZT^az8183:2yym`Qfnrv,gqtk'DkohR|Prg]`kphsm{Q8=PQHNE]043YhWhnoS?uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ<07]l[dbcW;8TCXZPcx>5:14<{{kfSd`|t.awvi)JimnT~R|i_bmvjqcuS:;VSJ@K_225[jYflmU9>RAZT^az838Xz}9m7~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z57>VeTmijP23]LQQYdq5=58?5|r`o\mkus'j~y`"Cnde]q[w`Xkfexh|T30_\CKBX;9>9_n]b`aY5:VE^XRmv<9<76>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ<07]l[dbcW;8TCXZPcx>;:Zts;o1x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP?97~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z57>VeTmijP23]LQQYdq535Sz;0:qqehYnfz~$oy|c/Lcg`ZtXzoUhcx`{esY05XY@FMU8<;Q`_`fg[74XG\^T`xz30?65?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR=?6^m\eabX:;UDYYQcuu>3:ZOI^V:?<6}}al]jjvr(k}xg#@okd^p\vcYdg|diU<1\]DJAY48?UdSljk_30\KPRXpfx7<3:>;rpbiZoi{}%hxb M`fg[wYunVidyczjrZ12YZAILV9;:RaPaef\67YH]]Usc2>0?62?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR=?6^m\eabX:;UDYYQwos>25;263zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^132ZiXimnT>?Q@UU]{kw:6:7>:7~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z57>VeTmijP23]LQQYg{6:?3:>;rpbiZoi{}%hxb M`fg[wYunVidyczjrZ12YZAILV9;:RaPaef\67YH]]Usc2>4?62?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR=?6^m\eabX:;UDYYQwos>21;263zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^132ZiXimnT>?Q@UU]{kw:6>7>:7~|nm^kmwq)d|{f$Aljk_s]qbZeh}g~n~V=>]^EM@Z57>VeTmijP23]LQQYg{6:;3:>;rpbiZoi{}%hxb M`fg[wYunVidyczjrZ12YZAILV9;:RaPaef\67YH]]Usc2>8?62?vtfeVcey!ltsn,IdbcW{UyjRm`uovfv^56UVMEHR=?6^m\eabX:;UDYYQwos>2=;273zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^132ZiXimnT>?Q@UU]{kw:66=;0ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[661WfUjhiQ=2^MVPZ~hz58;29?4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW::=SbQnde]16ZIR\Vrd~1<>>538wwgjW`dxx"m{rm-NeabXzVxmSnaznugq_67ZWNDOS>>9_n]b`aY5:VE^XRv`r=01:17<{{kfSd`|t.awvi)JimnT~R|i_bmvjqcuS:;VSJ@K_225[jYflmU9>RAZT^zlv9446=;0ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[661WfUjhiQ=2^MVPZ~hz58?29?4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW::=SbQnde]16ZIR\Vrd~1<:>538wwgjW`dxx"m{rm-NeabXzVxmSnaznugq_67ZWNDOS>>9_n]b`aY5:VE^XRv`r=05:17<{{kfSd`|t.awvi)JimnT~R|i_bmvjqcuS:;VSJ@K_225[jYflmU9>RAZT^zlv9406=;0ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[661WfUjhiQ=2^MVPZ~hz58329?4sscn[lht|&i~a!Baef\vZtaWje~byk}[23^[BHCW::=SbQnde]16ZIR\Vrd~1<6>528wwgjW`dxx"m{rm-NeabXzVxmSnaznugq_67ZWNDOS>>9_n]b`aY5:VE^XRv`r=0=04=tzhgTec}{/bvqh*KflmUyShPcnwmp`t\;8WTKCJP314\kZgclV89SB[[_ymq8669<81x~lcPioqw+frud&GjhiQ}_sd\gjsi|lxP??1_sv74>uuidUbb~z cupo+HgclVxT~kQlotlwaw]49TULBIQ<07]l[dbcW;8TCXZPxnp?7;273zxjaRgasu-`pwj(EhnoSQ}f^alqkrbzR9:QRIAD^132ZiXimnT>?Q@UU]{kw:36=:0ob_hlpp*esze%FmijPr^pe[firf}oyW>?R_FLG[661WfUjhiQ=2^MVPZ~hz5?58=5|r`o\mkus'j~y`"Cnde]q[w`Xkfexh|T30_\CKBX;9;:16<{{kfSd`|t.awvi)JimnT~R|i_bmvjqcuS:;VSJ@K_225[jYflmU9>RAZT^zlv9?9:=1x~lcPioqw+frud&Ghcx`{_s]jjlrXkfexh|=5:qqehYnfz~$oy|c/LalqkrXzVceeyQlotlwaw75>2yym`Qfnrv,gqtk'DidyczPr^kmmqYdg|di??279pvdkXagy#nz}l.O`kphsW{UbbdzPcnwmp`t69;<0ob_hlpp*esze%Fob{at^p\mkosWje~byk}1305?vtfeVcey!ltsn,Ifirf}UySd`ft^alqkrbz899:6}}al]jjvr(k}xg#@m`uov\vZoia}Uhcx`{es3760=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lx9>85|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp060=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lx?>85|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp660=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lx=>85|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp460=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lx3>85|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp:6d=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lxTot2?>3`8wwgjW`dxx"m{rm-Ngjsi|VxTecg{_bmvjqcuWjs7==0=b:qqehYnfz~$oy|c/LalqkrXzVceeyQlotlwawYdq5;:2?l4sscn[lht|&i~a!BcnwmpZtXagcSnaznugq[f;9;49n6}}al]jjvr(k}xg#@m`uov\vZoia}Uhcx`{es]`}9746;k0ob_hlpp*esze%Fob{at^p\mkosWje~byk}_b{?5;4f3zxjaRgasu-`pwj(Eje~byQ}_hljpZeh}g~n~Rmv<3<1e>uuidUbb~z cupo+Heh}g~T~Rgaiu]`kphsm{Uhu1=12`9pvdkXagy#nz}l.O`kphsW{UbbdzPcnwmp`tXkp6?2?o4sscn[lht|&i~a!BcnwmpZtXagcSnaznugq[f;=78j7~|nm^kmwq)d|{f$Anaznu]q[lhn|Vidyczjr^az8385i2yym`Qfnrv,gqtk'DidyczPr^kmmqYdg|diQly=5=6d=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lxTot27>3c8wwgjW`dxx"m{rm-Ngjsi|VxTecg{_bmvjqcuWjs753n5|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp\hpr;9949o6}}al]jjvr(k}xg#@m`uov\vZoia}Uhcx`{es]oqq:6978h7~|nm^kmwq)d|{f$Anaznu]q[lhn|Vidyczjr^nvp9756;i0ob_hlpp*esze%Fob{at^p\mkosWje~byk}_mww8459:j1x~lcPioqw+frud&Ghcx`{_s]jjlrXkfexh|Pltv?5185j2yym`Qfnrv,gqtk'DidyczPr^kmmqYdg|diQcuu>2:7d<{{kfSd`|t.awvi)JkfexR|Piokw[firf}oySa{{<3<1f>uuidUbb~z cupo+Heh}g~T~Rgaiu]`kphsm{Ugyy2<>3`8wwgjW`dxx"m{rm-Ngjsi|VxTecg{_bmvjqcuWe090=b:qqehYnfz~$oy|c/LalqkrXzVceeyQlotlwawYk}}6>2?l4sscn[lht|&i~a!BcnwmpZtXagcSnaznugq[iss4?49n6}}al]jjvr(k}xg#@m`uov\vZoia}Uhcx`{es]oqq:06;h0ob_hlpp*esze%Fob{at^p\mkosWje~byk}_mww8=85j2yym`Qfnrv,gqtk'DidyczPr^kmmqYdg|diQcuu>::7d<{{kfSd`|t.awvi)JkfexR|Piokw[firf}oySua}<1<1g>uuidUbb~z cupo+Heh}g~T~Rgaiu]`kphsm{Usc2>0?0`?vtfeVcey!ltsn,Ifirf}UySd`ft^alqkrbzVrd~1?>>3a8wwgjW`dxx"m{rm-Ngjsi|VxTecg{_bmvjqcuWqey0<<12b9pvdkXagy#nz}l.O`kphsW{UbbdzPcnwmp`tXpfx7=>0=c:qqehYnfz~$oy|c/LalqkrXzVceeyQlotlwawYg{6:83o5|r`o\mkus'j~y`"Clotlw[wYnf`~Tob{atdp\|jt;:78i7~|nm^kmwq)d|{f$Anaznu]q[lhn|Vidyczjr^zlv959:k1x~lcPioqw+frud&Ghcx`{_s]jjlrXkfexh|Pxnp?0;4e3zxjaRgasu-`pwj(Eje~byQ}_hljpZeh}g~n~Rv`r=7=6g=tzhgTec}{/bvqh*Kdg|dSQfnhv\gjsi|lxTtb|36?0a?vtfeVcey!ltsn,Ifirf}UySd`ft^alqkrbzVrd~1912c9pvdkXagy#nz}l.O`kphsW{UbbdzPcnwmp`tXpfx743:=i5|r`o\mkus'j~y`"Cbuy]\j52??8?:=i5|r`o\mkus'j~y`"Cbuy]\j52??8<:=n5|r`o\mkus'j~y`"Cbuy]\j52??8=:o6}}al]jjvr(k}xg#@czx^]m41>0:8;h7~|nm^kmwq)d|{f$A`{w_^l30=1498i0ob_hlpp*esze%FaxvP_o27<2269j1x~lcPioqw+frud&GfyuQPn16;3076k2yym`Qfnrv,gqtk'Dg~tRQa05:4247d3zxjaRgasu-`pwj(EdsSR`?495454e<{{kfSd`|t.awvi)Je|rTSc>;86:25f=tzhgTec}{/bvqh*Kj}qUTb=:77832a>uuidUbb~z cupo+HkrpVdTmnzPb`325`=tzhgTec}{/bvqh*Kj}qUeSlm{_cc1576<{{kfSd`|t.awvi)Je|rTbRokdsgpw446:91x~lcPioqw+frud&GfyuQa_`fgv`ut9<;:j6}}al]jjvr(k}xg#@czx^l\eabumzy:4?>4sscn[lht|&i~a!Bmtz\jZgcl{ox<6>259pvdkXagy#nz}l.Onq}YiWhno~h}|193\WR7582yym`Qfnrv,gqtk'Dg~tR`Paefqavu60;8:7~|nm^kmwq)d|{f$A`{w_o]b`atb{z;3><<>;rpbiZoi{}%hxb Mlw{[kYflmxn~?72300?vtfeVcey!ltsn,IhsWgUjhi|jsr3;[VQ79l1x~lcPioqw+frud&GfyuQa_`fgv`ut:8l0ob_hlpp*esze%FaxvPn^cg`wct{;;9?6}}al]jjvr(k}xg#@czx^l\eabumzy9=R]X00d8wwgjW`dxx"m{rm-Nip~XfVkohk|s302b>uuidUbb~z cupo+HkrpVdTmij}erq1776<{{kfSd`|t.awvi)Je|rTbRokdsgpw726:91x~lcPioqw+frud&GfyuQa_`fgv`ut:>;9<6}}al]jjvr(k}xg#@czx^l\eabumzy95<10g8wwgjW`dxx"m{rm-Nip~XfVkohk|s53e?vtfeVcey!ltsn,IhsWgUjhi|jsr625c=tzhgTec}{/bvqh*Kj}qUeSljkrdqp07473zxjaRgasu-`pwj(EdsScQndepfwv2598l0ob_hlpp*esze%FaxvPn^cg`wct{=99<6}}al]jjvr(k}xg#@czx^l\eabumzy??<<<;rpbiZoi{}%hxb Mlw{[kYflmxn~:<_RU35c=tzhgTec}{/bvqh*Kj}qUeSljkrdqp01473zxjaRgasu-`pwj(EdsScQndepfwv229;:0ob_hlpp*esze%FaxvPn^cg`wct{=?9>=5|r`o\mkus'j~y`"Cbuy]m[dbczlyx8;?=1:qqehYnfz~$oy|c/Lov|ZhXimnyi~};6032b>uuidUbb~z cupo+HkrpVdTmij}erq554`<{{kfSd`|t.awvi)Je|rTbRokdsgpw<7582yym`Qfnrv,gqtk'Dg~tR`Pdp]nevmt988:7~|nm^kmwq)d|{f$A`{w_o]guZkf{by:=<<=;rpbiZoi{}%hxb Mlw{[kYcyVgjf}>10314>uuidUbb~z cupo+HkrpVdTh|Qbarip64463zxjaRgasu-`pwj(EdsScQ}d^rfjaoio8897~|nm^kmwq)d|{f$A`{w_o]q`Zvbfmcek?5|r`o\mkus'j~y`"Cbuy]m[wbXxldoeci>2318wwgjW`dxx"m{rm-Nip~XfVxoS}kadhld5775:2yym`Qfnrv,gqtk'Dg~tR`Pre]sakbnfn;8>>5|r`o\mkus'j~y`"Cbuy]m[w`Xkfexh|>0001?vtfeVcey!ltsn,IhsWgUyjRm`uovfv475;2yym`Qfnrv,gqtk'Dg~tR`Prg]`kphsm{;:=?=4sscn[lht|&i~a!Bmtz\jZtaWje~byk}13317>uuidUbb~z cupo+HkrpVdT~kQlotlwaw749;90ob_hlpp*esze%FaxvPn^pe[firf}oy=9?=3:qqehYnfz~$oy|c/Lov|ZhXzoUhcx`{es36575<{{kfSd`|t.awvi)Je|rTbR|i_bmvjqcu9?;9?6}}al]jjvr(k}xg#@czx^l\vcYdg|di?81318wwgjW`dxx"m{rm-Nip~XfVxmSnaznugq5=75;2yym`Qfnrv,gqtk'Dg~tR`Prg]`kphsm{;2=?=4sscn[lht|&i~a!Bmtz\jZtaWje~byk}21316>uuidUbb~z cupo+HkrpVdT~kQlotlwaw46::1x~lcPioqw+frud&GfyuQa_sd\gjsi|lx9=<<<;rpbiZoi{}%hxb Mlw{[kYunVidyczjr30266=tzhgTec}{/bvqh*Kj}qUeShPcnwmp`t5;8887~|nm^kmwq)d|{f$A`{w_o]qbZeh}g~n~?:>229pvdkXagy#nz}l.Onq}YiW{lTob{atdp114443zxjaRgasu-`pwj(EdsScQ}f^alqkrbz;<:>>5|r`o\mkus'j~y`"Cbuy]m[w`Xkfexh|=7000?vtfeVcey!ltsn,IhsWgUyjRm`uovfv7>6::1x~lcPioqw+frud&GfyuQa_sd\gjsi|lx95<<<;rpbiZoi{}%hxb Mlw{[kYunVidyczjr22267=tzhgTec}{/bvqh*Kj}qUeShPcnwmp`t49;90ob_hlpp*esze%FaxvPn^pe[firf}oy?uuidUbb~z cupo+HkrpVdT~kQeofjjb76:;1x~lcPioqw+frud&GfyuQa_vp\t`hcagm:=<;4sscn[lht|&i~a!Pn11754?<{{kfSd`|t.awvi)Xf99?=16110;8wwgjW`dxx"m{rm-\j5223zxjaRgasu-`pwj(Wg:?;;Qfnw3a?vtfeVcey!ltsn,[k63??Ubb{<>199pvdkXagy#nz}l.]m41>0494:56}}al]jjvr(k}xg#R`?495?558612yym`Qfnrv,gqtk'Vd;859310<2=>uuidUbb~z cupo+Zh7<1=7=?0>9:qqehYnfz~$oy|c/^l30=1;9:4:56}}al]jjvr(k}xg#R`?495?518612yym`Qfnrv,gqtk'Vd;859314<2<>uuidUbb~z cupo+Zh7<1=7=3?7;rpbiZoi{}%hxb _o27<2:56820ob_hlpp*esze%Tb=:77=1=5==tzhgTec}{/bvqh*Yi8=2<090>8:qqehYnfz~$oy|c/^l30=1;=7;37~|nm^kmwq)d|{f$Sc>;86>5:4><{{kfSd`|t.awvi)Xf9>3;191199pvdkXagy#nz}l.]m41>0414:46}}al]jjvr(k}xg#R`?495?=;7>3zxjaRgasu-`pwj(Wg:>=;Qfnw3b?vtfeVcey!ltsn,[k629?Ubb{?>9:qqehYnfz~$oy|c/^l3247Xag|:m6}}al]jjvr(k}xg#R`?603\mkp69h1x~lcPioqw+frud&Ue<;?>_hlu64e<{{kfSd`|t.awvi)Xf9<:=Rgav3325d=tzhgTec}{/bvqh*Yi8?;:Sd`y30;8wwgjW`dxx"m{rm-\j5179Vcez800]jjs7X[^::56}}al]jjvr(k}xg#R`?717\mkp6i2yym`Qfnrv,gqtk'Vd;;=;Piot25g=tzhgTec}{/bvqh*Yi8>:>Sd`y103a?vtfeVcey!ltsn,[k608?m;rpbiZoi{}%hxb _o2440Ynf;==i5|r`o\mkus'j~y`"Qa0626[lhq9?UM=i5|r`o\mkus'j~y`"Qa0626[lhq9?UL=45|r`o\mkus'j~y`"Qa0637[lhq9h1x~lcPioqw+frud&Ue<:?;_hlu54?<{{kfSd`|t.awvi)Xf9=95Rgav0c8wwgjW`dxx"m{rm-\j5151Vcez832]jjs76=2yym`Qfnrv,gqtk'Vd;4<;>9:qqehYnfz~$oy|c/^l3<43;07;:96}}al]jjvr(k}xg#R`?80;22>uuidUbb~z cupo+Zh7083:?=5|r`o\mkus'j~y`"okds]aekm\9TUySooakZ3^[`wrieU?9Ra<2:qqehYnfz~$oy|c/`fgvZdffbQ:QR|Pb`lh_4[Xmxj`R::_n025d=tzhgTec}{/bvqh*efx}yii2?>0`8wwgjW`dxx"m{rm-`eurrzln7==0>b:qqehYnfz~$oy|c/bcspptbl5;:2a:qqehYnfz~$oy|c/bcspptbl595=l5|r`o\mkus'j~y`"mnpuwqaa:368k0ob_hlpp*esze%hm}zzrdf?1;7f3zxjaRgasu-`pwj(khzykk<7<2e>uuidUbb~z cupo+fgw||xnh1911`9pvdkXagy#nz}l.abtqsumm6323zxjaRgasu-`pwj(khzykk_03b?vtfeVcey!ltsn,gdvs}{ooS<>>a:qqehYnfz~$oy|c/bcspptblV;:=l5|r`o\mkus'j~y`"mnpuwqaaY6:8k0ob_hlpp*esze%hm}zzrdf\567f3zxjaRgasu-`pwj(khzykk_062e>uuidUbb~z cupo+fgw||xnhR?:189pvdkXagy#nz}l.abtqsummU9=45|r`o\mkus'j~y`"mnpuwqaaY4901x~lcPioqw+frud&ij|y{}ee]75<=tzhgTec}{/bvqh*efx}yiiQ:189pvdkXagy#nz}l.abtqsummU==45|r`o\mkus'j~y`"mnpuwqaaY0901x~lcPioqw+frud&ij|y{}ee];5<=tzhgTec}{/bvqh*efx}yiiQ6159pvdkXagy#nz}l.aokfm6i2yym`Qfnrv,gqtk'gUjoyQma=2=5d=tzhgTec}{/bvqh*hXij~Tnl2>>0`8wwgjW`dxx"m{rm-m[dbczlyx0=0>c:qqehYnfz~$oy|c/o]b`atb{z6:<3?l;rpbiZoi{}%hxb n^cg`wct{5;:27:4d<{{kfSd`|t.awvi)iWhno~h}|<4<2f>uuidUbb~z cupo+kYflmxn~29>0`8wwgjW`dxx"m{rm-m[dbczlyx0:0>b:qqehYnfz~$oy|c/o]b`atb{z6323zxjaRgasu-`pwj(fVhjbf2?>03;?vtfeVcey!ltsn,jZdffb6:2<74sscn[lht|&i~a!a_ccmo97998i0ob_hlpp*esze%eSiPm`qhw9699j1x~lcPioqw+frud&dTh|Qbarip8486j2yym`Qfnrv,gqtk'gUyhR~jnekmc4c<{{kfSd`|t.awvi)iW{lTob{atdp?4;7a3zxjaRgasu-`pwj(fVxmSnaznugq84699o1x~lcPioqw+frud&dT~kQlotlwaw:697;m7~|nm^kmwq)d|{f$bR|i_bmvjqcu4885=k5|r`o\mkus'j~y`"`Prg]`kphsm{6:?3?i;rpbiZoi{}%hxb n^pe[firf}oy0<:11g9pvdkXagy#nz}l.l\vcYdg|di2>5?3e?vtfeVcey!ltsn,jZtaWje~byk}<04=5c=tzhgTec}{/bvqh*hXzoUhcx`{es>23;7a3zxjaRgasu-`pwj(fVxmSnaznugq84>99o1x~lcPioqw+frud&dT~kQlotlwaw:617;n7~|nm^kmwq)d|{f$bR|i_bmvjqcu484:j6}}al]jjvr(k}xg#cQ}f^alqkrbz58;2<0>f:qqehYnfz~$oy|c/o]qbZeh}g~n~1<=>0d8wwgjW`dxx"m{rm-m[w`Xkfexh|322<2b>uuidUbb~z cupo+kYunVidyczjr=07:4`<{{kfSd`|t.awvi)iW{lTob{atdp?6086n2yym`Qfnrv,gqtk'gUyjRm`uovfv94168l0ob_hlpp*esze%eShPcnwmp`t;:>4:j6}}al]jjvr(k}xg#cQ}f^alqkrbz583240>e:qqehYnfz~$oy|c/o]qbZeh}g~n~1<11g9pvdkXagy#nz}l.l\vcYdg|di2<0?3e?vtfeVcey!ltsn,jZtaWje~byk}<23=5`=tzhgTec}{/bvqh*hXzoUhcx`{es>0:4c<{{kfSd`|t.awvi)iW{lTob{atdp?0;7b3zxjaRgasu-`pwj(fVxmSnaznugq8086m2yym`Qfnrv,gqtk'gUyjRm`uovfv9099l1x~lcPioqw+frud&dT~kQlotlwaw:068o0ob_hlpp*esze%eShPcnwmp`t;07;n7~|nm^kmwq)d|{f$bR|i_bmvjqcu404:n6}}al]jjvr(k}xg#cQ}f^rfjaoio830ob_hlpp*esze%eSy|fsucwa4d<{{kfSd`|t.awvi)iW~xT|h`kioe1<>uuidUbb~z cupo+kisWjfdofQ>01]gaig~mmU8b~99k1x~lcPioqw+frud&xTmnzPrnu?=;533zxjaRgasu-`pwj(zVkhxR|`wZ32YZgcl{UyczU>1\]fupgkW>>Tc>84sscn[lht|&i~a!}_`aw[wipS8;VSljkr^pls^76UVozylbP75]l57503zxjaRgasu-`pwj(zVkhxR|`wZ32YZgcl{UyczU>1\]fupgkW>>Tc<<>369pvdkXagy#nz}l.p\efrXzf}P=9=8;rpbiZoi{}%hxb r^c`pZthR;:QRokds]qkr]69TUn}xoc_66\k4429h1x~lcPioqw+frud&xTmnzPrnu\44g<{{kfSd`|t.awvi)uWhiSax_03a?vtfeVcey!ltsn,vZgd|Vxd{R??1c9pvdkXagy#nz}l.p\efrXzf}T=a:qqehYnfz~$oy|c/s]bgqYug~U<=l5|r`o\mkus'j~y`"|Pabv\vjqX08k0ob_hlpp*esze%ySlm{_smt[<7e3zxjaRgasu-`pwj(zVkohk|s=2=5f=tzhgTec}{/bvqh*tXimnyi~}311<2g>uuidUbb~z cupo+wYflmxn~2>1?3a?vtfeVcey!ltsn,vZgcl{ox1?11c9pvdkXagy#nz}l.p\eabumzy7>3?m;rpbiZoi{}%hxb r^cg`wct{595=o5|r`o\mkus'j~y`"|Paefqavu;<7;i7~|nm^kmwq)d|{f$~Rokdsgpw9399k1x~lcPioqw+frud&xTmij}erq?2;7e3zxjaRgasu-`pwj(zVkohk|s=5=5g=tzhgTec}{/bvqh*tXimnyi~}38?3a?vtfeVcey!ltsn,vZgcl{ox1711`9pvdkXagy#nz}l.p\eabumzyT<1`9pvdkXagy#nz}l.p\eabumzyT?1`9pvdkXagy#nz}l.p\eabumzyT;U:=l5|r`o\mkus'j~y`"|PaefqavuX08i0ob_hlpp*esze%ySljkrdqp[=Y69h1x~lcPioqw+frud&xTmij}erq\=4e<{{kfSd`|t.awvi)uWhno~h}|_8]25==tzhgTec}{/bvqh*tXjhd`0=0>8:qqehYnfz~$oy|c/s]aekm;97;<7~|nm^kmwq)d|{f$~Rlnnj]35<=tzhgTec}{/bvqh*tXjhd`S=Q>169pvdkXagy#nz}l.p\fdhlW8;27~|nm^kmwq)d|{f$~Rlnnj]2[4473zxjaRgasu-`pwj(zVnjxlQ`uu]okr:76;;0ob_hlpp*esze%ySio{a^mvpZjh5;;2??4sscn[lht|&i~a!}_ecweZir|Vfd{1?>>338wwgjW`dxx"m{rm-q[agsiVe~xRb`w=31:77<{{kfSd`|t.awvi)uWmkmRazt^nls9746;;0ob_hlpp*esze%ySio{a^mvpZjh5;?2??4sscn[lht|&i~a!}_ecweZir|Vfd{1?:>328wwgjW`dxx"m{rm-q[agsiVe~xRb`w=3=65=tzhgTec}{/bvqh*tXlh~jSb{{_mmt878582yym`Qfnrv,gqtk'{UomyoPotv\hjq;;78;7~|nm^kmwq)d|{f$~Rjnt`]lqqYkg~6?2?>4sscn[lht|&i~a!}_ecweZir|Vfd{1;1219pvdkXagy#nz}l.p\`drfWfSaax<7<14>uuidUbb~z cupo+wYci}kTcxzPlnu?3;473zxjaRgasu-`pwj(zVnjxlQ`uu]okr:?6;:0ob_hlpp*esze%ySio{a^mvpZjh535=k5|r`o\mkus'j~y`"|Pd`vb[jssWee|S=?i;rpbiZoi{}%hxb r^fbpdYh}}UgczQ>219pvdkXagy#nz}l.p\`drfWfSaax_0214>uuidUbb~z cupo+wYci}kTcxzPlnu\54473zxjaRgasu-`pwj(zVnjxlQ`uu]okrY6:;:0ob_hlpp*esze%ySio{a^mvpZjhV;8>=5|r`o\mkus'j~y`"|Pd`vb[jssWee|S<:=0:qqehYnfz~$oy|c/s]geqgXg|~T`byP143e?vtfeVcey!ltsn,vZbf|hUdyyQcov]15c=tzhgTec}{/bvqh*tXlh~jSb{{_mmt[67a3zxjaRgasu-`pwj(zVnjxlQ`uu]okrY39o1x~lcPioqw+frud&xThlzn_nww[iipW<;m7~|nm^kmwq)d|{f$~Rjnt`]lqqYkg~U==k5|r`o\mkus'j~y`"|Pd`vb[jssWee|S:?i;rpbiZoi{}%hxb r^fbpdYh}}UgczQ71g9pvdkXagy#nz}l.p\`drfWfSaax_83e?vtfeVcey!ltsn,vZbf|hUdyyQyamkg61=tzhgTec}{/bvqh*tXlh~jSb{{_wcomaYEZK:986}}al]jjvr(k}xg#Qkauc\kprX~hfbhRL]B007?vtfeVcey!ltsn,vZbf|hUdyyQyamkg[GTE:;>0ob_hlpp*esze%ySio{a^mvpZpfd`nTN_L<259pvdkXagy#nz}l.p\`drfWfS{ocie]AVG25<2yym`Qfnrv,gqtk'{UomyoPotv\rdjnlVHYN8?l;rpbiZoi{}%hxb r^fr[hgtcz6;2uuidUbb~z cupo+wYnf`~Tob{atdp?578592yym`Qfnrv,gqtk'{UbbdzPcnwmp`t;9:49=6}}al]jjvr(k}xg#Qfnhv\gjsi|lx7=90=0:qqehYnfz~$oy|c/s]jjlrXkfexh|31?03?vtfeVcey!ltsn,vZoia}Uhcx`{es>1:76<{{kfSd`|t.awvi)uW`dbxRm`uovfv959:91x~lcPioqw+frud&xTecg{_bmvjqcu4=49<6}}al]jjvr(k}xg#Qfnhv\gjsi|lx793328wwgjW`dxx"m{rm-q[lhn|Vidyczjr=5=65=tzhgTec}{/bvqh*tXagcSnaznugq8=8582yym`Qfnrv,gqtk'{UbbdzPcnwmp`t;17;m7~|nm^kmwq)d|{f$~Rgaiu]`kphsm{U;=k5|r`o\mkus'j~y`"|Piokw[firf}oyS<0328wwgjW`dxx"m{rm-q[lhn|Vidyczjr^3265=tzhgTec}{/bvqh*tXagcSnaznugq[44582yym`Qfnrv,gqtk'{UbbdzPcnwmp`tX9:8;7~|nm^kmwq)d|{f$~Rgaiu]`kphsm{U:8f:qqehYnfz~$oy|c/s]jjlrXkfexh|P30d8wwgjW`dxx"m{rm-q[lhn|Vidyczjr^62b>uuidUbb~z cupo+wYnf`~Tob{atdp\14`<{{kfSd`|t.awvi)uW`dbxRm`uovfvZ06n2yym`Qfnrv,gqtk'{UbbdzPcnwmp`tX?8l0ob_hlpp*esze%ySd`ft^alqkrbzV2:j6}}al]jjvr(k}xg#Qfnhv\gjsi|lxT53?i;rpbiZoi{}%hxb r^pfuZgcl{Uz0<=11g9pvdkXagy#nz}l.p\v`wXimnyS|2>4?3e?vtfeVcey!ltsn,vZtbyVkohQ~<07=5c=tzhgTec}{/bvqh*tXzl{Tmij}_p>22;7a3zxjaRgasu-`pwj(zVxn}Rokds]r84199o1x~lcPioqw+frud&xT~hPaefq[t:607;m7~|nm^kmwq)d|{f$~R|jq^cg`wYv4835=k5|r`o\mkus'j~y`"|Prds\eabuWx69<3?i;rpbiZoi{}%hxb r^pfuZgcl{Uz0??11g9pvdkXagy#nz}l.p\v`wXimnyS|2=2?3f?vtfeVcey!ltsn,vZtbyVkohQ~<3<2a>uuidUbb~z cupo+wYumxUjhi|Pq=1=5`=tzhgTec}{/bvqh*tXzl{Tmij}_p>7:4c<{{kfSd`|t.awvi)uW{ozSljkr^s?1;7b3zxjaRgasu-`pwj(zVxn}Rokds]r8386m2yym`Qfnrv,gqtk'{Uyi|Qndep\u9199l1x~lcPioqw+frud&xT~hPaefq[t:?68o0ob_hlpp*esze%ySk~_`fgvZw;17;n7~|nm^kmwq)d|{f$~R|jq^cg`wYvW8::i6}}al]jjvr(k}xg#Q}ep]b`atXyV;:=h5|r`o\mkus'j~y`"|Prds\eabuWxU:>?j;rpbiZoi{}%hxb r^pfuZgcl{UzS<:>e:qqehYnfz~$oy|c/s]qatYflmxT}R?:1d9pvdkXagy#nz}l.p\v`wXimnyS|Q>60g8wwgjW`dxx"m{rm-q[wcvWhno~RP163f?vtfeVcey!ltsn,vZtbyVkohQ~_0:2a>uuidUbb~z cupo+wYumxUjhi|Pq^3:5a=tzhgTec}{/bvqh*tXzl{Tmij}_p]15`=tzhgTec}{/bvqh*tXzl{Tmij}_p]144c<{{kfSd`|t.awvi)uW{ozSljkr^s\647b3zxjaRgasu-`pwj(zVxn}Rokds]r[746l2yym`Qfnrv,gqtk'{Uyi|Qndep\uZ56l2yym`Qfnrv,gqtk'{Uyi|Qndep\uZ26l2yym`Qfnrv,gqtk'{Uyi|Qndep\uZ36l2yym`Qfnrv,gqtk'{Uyi|Qndep\uZ06l2yym`Qfnrv,gqtk'{Uyi|Qndep\uZ16l2yym`Qfnrv,gqtk'{Uyi|Qndep\uZ>6l2yym`Qfnrv,gqtk'{Uyi|Qndep\uZ?5:2yym`Qfnrv,gqtk'{Uyi|Qkauc\swosm5:5>>5|r`o\mkus'j~y`"|Prds\`drfW~xbxh2>0?00?vtfeVcey!ltsn,vZtbyVnjxlQxrhvf8479::1x~lcPioqw+frud&xT~hPd`vb[rtn|l6:>3<<;rpbiZoi{}%hxb r^pfuZbf|hU|~dzj<01=66=tzhgTec}{/bvqh*tXzl{Thlzn_vpjp`:6<7887~|nm^kmwq)d|{f$~R|jq^fbpdYpz`~n0<;1229pvdkXagy#nz}l.p\v`wXlh~jSz|ftd>22;443zxjaRgasu-`pwj(zVxn}Rjnt`]tvlrb48=5>>5|r`o\mkus'j~y`"|Prds\`drfW~xbxh2>8?00?vtfeVcey!ltsn,vZtbyVnjxlQxrhvf84?9:;1x~lcPioqw+frud&xT~hPd`vb[rtn|l6:2?=4sscn[lht|&i~a!}_sgr[agsiV}yeyk321<17>uuidUbb~z cupo+wYumxUomyoPwskwa9466;90ob_hlpp*esze%ySk~_ecweZqua}o7>?0=3:qqehYnfz~$oy|c/s]qatYci}kT{g{e=00:75<{{kfSd`|t.awvi)uW{ozSio{a^uqmqc;:=49?6}}al]jjvr(k}xg#Q}ep]geqgX{ci1<:>318wwgjW`dxx"m{rm-q[wcvWmkmRy}iug?6385;2yym`Qfnrv,gqtk'{Uyi|Qkauc\swosm58<2?=4sscn[lht|&i~a!}_sgr[agsiV}yeyk329<17>uuidUbb~z cupo+wYumxUomyoPwskwa94>6;80ob_hlpp*esze%ySk~_ecweZqua}o7>3<<;rpbiZoi{}%hxb r^pfuZbf|hU|~dzj<22=66=tzhgTec}{/bvqh*tXzl{Thlzn_vpjp`:497897~|nm^kmwq)d|{f$~R|jq^fbpdYpz`~n0>0=2:qqehYnfz~$oy|c/s]qatYci}kT{g{e=6=67=tzhgTec}{/bvqh*tXzl{Thlzn_vpjp`:26;80ob_hlpp*esze%ySk~_ecweZqua}o7:3<=;rpbiZoi{}%hxb r^pfuZbf|hU|~dzj<6<16>uuidUbb~z cupo+wYumxUomyoPwskwa9>9:;1x~lcPioqw+frud&xT~hPd`vb[rtn|l622??4sscn[lht|&i~a!}_sgr[agsiV}yeykP0338wwgjW`dxx"m{rm-q[wcvWmkmRy}iug\574<{{kfSd`|t.awvi)uW{ozSio{a^uqmqcX99897~|nm^kmwq)d|{f$~R|jq^fbpdYpz`~nSuuidUbb~z cupo+wYumxUomyoPwskwaZ71:;1x~lcPioqw+frud&xT~hPd`vb[rtn|lU:;?<4sscn[lht|&i~a!}_sgr[agsiV}yeykP1901?vtfeVcey!ltsn,vZtbyVnjxlQxrhvf[4?592yym`Qfnrv,gqtk'{Uyi|Qkauc\swosmV89>6}}al]jjvr(k}xg#Q}ep]geqgX{ciR;<=;rpbiZoi{}%hxb r^pfuZbf|hU|~dzj_3516>uuidUbb~z cupo+wYumxUomyoPwskwaZ4?:;1x~lcPioqw+frud&xT~hPd`vb[rtn|lU95??4sscn[lht|&i~a!}_sgr[agsiV}yeykP3308wwgjW`dxx"m{rm-q[wcvWmkmRy}iug\75453zxjaRgasu-`pwj(zVxn}Rjnt`]tvlrbW:;9=6}}al]jjvr(k}xg#Q}ep]geqgX{ciR:=1:qqehYnfz~$oy|c/s]qatYci}kT{g{e^715>uuidUbb~z cupo+wYumxUomyoPwskwaZ0592yym`Qfnrv,gqtk'{Uyi|Qkauc\swosmV=9=6}}al]jjvr(k}xg#Q}ep]geqgX{ciR6=1:qqehYnfz~$oy|c/s]qatYci}kT{g{e^;2g>uuidUbb~z cupo+wYumxUfm~e30?3`?vtfeVcey!ltsn,vZtbyVgjf2>>0a8wwgjW`dxx"m{rm-q[wcvWdkxg1<11b9pvdkXagy#nz}l.p\v`wXehy`0>0>b:qqehYnfz~$oy|c/s]qatYjizaT<3?i;rpbiZoi{}%hxb r^pe[firf}oy0<=11g9pvdkXagy#nz}l.p\vcYdg|di2>4?3e?vtfeVcey!ltsn,vZtaWje~byk}<07=5c=tzhgTec}{/bvqh*tXzoUhcx`{es>22;7a3zxjaRgasu-`pwj(zVxmSnaznugq84199o1x~lcPioqw+frud&xT~kQlotlwaw:607;m7~|nm^kmwq)d|{f$~R|i_bmvjqcu4835=h5|r`o\mkus'j~y`"|Prg]`kphsm{6:2=0>f:qqehYnfz~$oy|c/s]qbZeh}g~n~1<>>0d8wwgjW`dxx"m{rm-q[w`Xkfexh|323<2b>uuidUbb~z cupo+wYunVidyczjr=00:4`<{{kfSd`|t.awvi)uW{lTob{atdp?6186n2yym`Qfnrv,gqtk'{UyjRm`uovfv94268l0ob_hlpp*esze%yShPcnwmp`t;:?4:j6}}al]jjvr(k}xg#Q}f^alqkrbz58<250>f:qqehYnfz~$oy|c/s]qbZeh}g~n~1<6>0g8wwgjW`dxx"m{rm-q[w`Xkfexh|32?3e?vtfeVcey!ltsn,vZtaWje~byk}<22=5c=tzhgTec}{/bvqh*tXzoUhcx`{es>05;7b3zxjaRgasu-`pwj(zVxmSnaznugq8686m2yym`Qfnrv,gqtk'{UyjRm`uovfv9299l1x~lcPioqw+frud&xT~kQlotlwaw:268o0ob_hlpp*esze%yShPcnwmp`t;>7;n7~|nm^kmwq)d|{f$~R|i_bmvjqcu4>4:i6}}al]jjvr(k}xg#Q}f^alqkrbz525=h5|r`o\mkus'j~y`"|Prg]`kphsm{622>94sscn[lht|&i~a!}_sd\gjsi|lxP?0?1;?vtfeVcey!ltsn,vZtaWje~byk}[23^[BHCW::=SbQnde]16ZIR\5;:2>64sscn[lht|&i~a!}_sd\gjsi|lxP?uuidUbb~z cupo+wYunVidyczjrZ12YZAILV9;:RaPaef\67YH]]6:83=7;rpbiZoi{}%hxb r^pe[firf}oyW>?R_FLG[661WfUjhiQ=2^MVP9726:20ob_hlpp*esze%yShPcnwmp`t\;8WTKCJP314\kZgclV89SB[[<04=7==tzhgTec}{/bvqh*tXzoUhcx`{esY05XY@FMU8<;Q`_`fg[74XG\^7=:0<8:qqehYnfz~$oy|c/s]qbZeh}g~n~V=>]^EM@Z57>VeTmijP23]LQQ:607937~|nm^kmwq)d|{f$~R|i_bmvjqcuS:;VSJ@K_225[jYflmU9>RAZT=3::61<{{kfSd`|t.awvi)uW{lTob{atdpX74[XOGNT?=8Po^cg`Z45WF__0<0<8:qqehYnfz~$oy|c/s]qbZeh}g~n~V=>]^EM@Z57>VeTmijP23]LQQ:587937~|nm^kmwq)d|{f$~R|i_bmvjqcuS:;VSJ@K_225[jYflmU9>RAZT=02:6><{{kfSd`|t.awvi)uW{lTob{atdpX74[XOGNT?=8Po^cg`Z45WF__0?<1399pvdkXagy#nz}l.p\vcYdg|diU<1\]DJAY48?UdSljk_30\KPR;::4846}}al]jjvr(k}xg#Q}f^alqkrbzR9:QRIAD^132ZiXimnT>?Q@UU>10;5?3zxjaRgasu-`pwj(zVxmSnaznugq_67ZWNDOS>>9_n]b`aY5:VE^X1<:>2:8wwgjW`dxx"m{rm-q[w`Xkfexh|T30_\CKBX;994sscn[lht|&i~a!}_sd\gjsi|lxP?94sscn[lht|&i~a!}_sd\gjsi|lxP?258wwgjW`dxx"m{rm-q[w`Xkfexh|T30_\CKBX;9?Q@UU>5:61<{{kfSd`|t.awvi)uW{lTob{atdpX74[XOGNT?=8Po^cg`Z45WF__0:0<7:qqehYnfz~$oy|c/s]qbZeh}g~n~V=>]^EM@Z57>VeTmijP23]LQQ:?6:=0ob_hlpp*esze%yShPcnwmp`t\;8WTKCJP314\kZgclV89SB[[<8<2`>uuidUbb~z cupo+wYunVidyczjr^22`>uuidUbb~z cupo+wYunVidyczjr^32a>uuidUbb~z cupo+wYunVidyczjr^335`=tzhgTec}{/bvqh*tXzoUhcx`{es]254c<{{kfSd`|t.awvi)uW{lTob{atdp\577b3zxjaRgasu-`pwj(zVxmSnaznugq[456m2yym`Qfnrv,gqtk'{UyjRm`uovfvZ739l1x~lcPioqw+frud&xT~kQlotlwawY6=8o0ob_hlpp*esze%yShPcnwmp`tX9?;n7~|nm^kmwq)d|{f$~R|i_bmvjqcuW8=:i6}}al]jjvr(k}xg#Q}f^alqkrbzV;3=h5|r`o\mkus'j~y`"|Prg]`kphsm{U:5=?j;rpbiZoi{}%hxb r^pe[firf}oyS??>e:qqehYnfz~$oy|c/s]qbZeh}g~n~R<=1d9pvdkXagy#nz}l.p\vcYdg|diQ=30g8wwgjW`dxx"m{rm-q[w`Xkfexh|P253f?vtfeVcey!ltsn,vZtaWje~byk}_372a>uuidUbb~z cupo+wYunVidyczjr^055`=tzhgTec}{/bvqh*tXzoUhcx`{es]134c<{{kfSd`|t.awvi)uW{lTob{atdp\6=7b3zxjaRgasu-`pwj(zVxmSnaznugq[7?6l2yym`Qfnrv,gqtk'{UyjRm`uovfvZ56m2yym`Qfnrv,gqtk'{UyjRm`uovfvZ579l1x~lcPioqw+frud&xT~kQlotlwawY49;=0ob_hlpp*esze%yShPcnwmp`tX;8Ul`xkPrrv2`>uuidUbb~z cupo+wYunVidyczjr^62`>uuidUbb~z cupo+wYunVidyczjr^72`>uuidUbb~z cupo+wYunVidyczjr^42`>uuidUbb~z cupo+wYunVidyczjr^52`>uuidUbb~z cupo+wYunVidyczjr^:2`>uuidUbb~z cupo+wYunVidyczjr^;2f>uuidUbb~z cupo+wYunVznbigag0;8wwgjW`dxx"m{rm-q[qtn{}ki3?k;rpbiZoi{}%hxb recweZqua}o7?3?k;rpbiZoi{}%hxb recweZqua}o783?k;rpbiZoi{}%hxb recweZqua}o793?k;rpbiZoi{}%hxb recweZqua}o7:3?k;rpbiZoi{}%hxb recweZqua}o7;3?k;rpbiZoi{}%hxb recweZqua}o743?k;rpbiZoi{}%hxb recweZqua}o753?l;rpbiZoi{}%hxb recweZqua}oT<=n5|r`o\mkus'j~y`"|kauc\swosmV8:o6}}al]jjvr(k}xg#jnt`]tvlrbW:;h7~|nm^kmwq)d|{f$~io{a^uqmqcX<8i0ob_hlpp*esze%yhlzn_vpjp`Y29j1x~lcPioqw+frud&xomyoPwskwaZ06k2yym`Qfnrv,gqtk'{njxlQxrhvf[27d3zxjaRgasu-`pwj(zmkmRy}iug\<4e<{{kfSd`|t.awvi)ulh~jSz|ftd]:5`=tzhgTec}{/bvqh*tt|h~nSK]B_GDg577<{{kfSd`|t.awvi)u{}kiRH\M^DE`4+Nf;80ob_hlpp*esze%yyo{e^DPIZ@Al8'Bb<<=;rpbiZoi{}%hxb rrvbp`YA[DUMJi?"Io016>uuidUbb~z cupo+wusi}oTJ^CPFGf2)Lh49l1x~lcPioqw+frud&xxxlzj_GQN[C@c:;;0ob_hlpp*esze%yyo{e^DPIZ@Al;'Bb?<4sscn[lht|&i~a!}sucwaZ@TEVLMh?#Fn001?vtfeVcey!ltsn,vvrf|lUM_@QIFe0.Mk45:2yym`Qfnrv,gqtk'{ymykPFRO\BCb5%@d8>?5|r`o\mkus'j~y`"||t`vf[CUJWOLo> Ga40g8wwgjW`dxx"m{rm-qwqgsmVLXARHId202?vtfeVcey!ltsn,vvrf|lUM_@QIFe1.Mk453zxjaRgasu-`pwj(zz~jxhQISL]EBa5*Ag;9>6}}al]jjvr(k}xg#}{aug\BVKXNOn8!D`=229pvdkXagy#nz}l.pppdrbWOYFSKHk3,Km64433zxjaRgasu-`pwj(zz~jxhQISL]EBa5*Ag8:=?:4sscn[lht|&i~a!}sucwaZ@TEVLMh>#Fn30260=tzhgTec}{/bvqh*tt|h~nSK]B_GDg7(Oi:;;:>?5|r`o\mkus'j~y`"||t`vf[CUJWOLo? Ga3308wwgjW`dxx"m{rm-qwqgsmVLXARHId2/Jj1453zxjaRgasu-`pwj(zz~jxhQISL]EBa5*Ag?:i6}}al]jjvr(k}xg#}{aug\BVKXNOn?><5|r`o\mkus'j~y`"||t`vf[CUJWOLo8 Ga239pvdkXagy#nz}l.pppdrbWOYFSKHk4,Km574<{{kfSd`|t.awvi)u{}kiRH\M^DE`1+Nf;897~|nm^kmwq)d|{f$~~zntd]EWHYANm>&Ec==2:qqehYnfz~$oy|c/sqweqcXNZGTJKj;-Hl767=tzhgTec}{/bvqh*tt|h~nSK]B_GDg0(Oi=;80ob_hlpp*esze%yyo{e^DPIZ@Al='Bb;?j;rpbiZoi{}%hxb rrvbp`YA[DUMJi;=1:qqehYnfz~$oy|c/sqweqcXNZGTJKj:-Hl16>uuidUbb~z cupo+wusi}oTJ^CPFGf6)Lh6:;1x~lcPioqw+frud&xxxlzj_GQN[C@c=$Ce>?<4sscn[lht|&i~a!}sucwaZ@TEVLMh8#Fn205?vtfeVcey!ltsn,vvrf|lUM_@QIFe7.Mk5X[^:9>6}}al]jjvr(k}xg#}{aug\BVKXNOn>!D`;239pvdkXagy#nz}l.pppdrbWOYFSKHk5,Km175<{{kfSd`|t.awvi)u{}kiRH\M^DE`0+Nf<;9>6}}al]jjvr(k}xg#}{aug\BVKXNOn>!D`91d9pvdkXagy#nz}l.pppdrbWOYFSKHk7338wwgjW`dxx"m{rm-qwqgsmVLXARHId6/Jj74<{{kfSd`|t.awvi)u{}kiRH\M^DE`2+Nf8887~|nm^kmwq)d|{f$~~zntd]EWHYANm=&Ec?>239pvdkXagy#nz}l.pppdrbWOYFSKHk7,Km675<{{kfSd`|t.awvi)u{}kiRH\M^DE`2+Nf;;9>6}}al]jjvr(k}xg#}{aug\BVKXNOn?5|r`o\mkus'j~y`"||t`vf[CUJWOLo; Ga7318wwgjW`dxx"m{rm-qwqgsmVLXARHId6/Jj275:2yym`Qfnrv,gqtk'{ymykPFRO\BCb0%@d3>=5|r`o\mkus'j~y`"||t`vf[CUJWOLo;R?>e:qqehYnfz~$oy|c/sqweqcXNZGTJKj7209pvdkXagy#nz}l.pppdrbWOYFSKHk8,Km67=tzhgTec}{/bvqh*tt|h~nSK]B_GDg<(Oi9;90ob_hlpp*esze%yyo{e^DPIZ@Al1'BbuuidUbb~z cupo+wusi}oTJ^CPFGf;)Lh29;90ob_hlpp*esze%yyo{e^DPIZ@Al1'Bb8<=2:qqehYnfz~$oy|c/sqweqcXNZGTJKj7-Hl567=tzhgTec}{/bvqh*tt|h~nSK]B_GDg<(Oi?;80ob_hlpp*esze%yyo{e^DPIZ@Al1'Bb56}}al]jjvr(k}xg#}{aug\BVKXNOn2!D`=239pvdkXagy#nz}l.pppdrbWOYFSKHk9,Km775<{{kfSd`|t.awvi)u{}kiRH\M^DE`<+Nf:;986}}al]jjvr(k}xg#}{aug\BVKXNOn2!D`<1007?vtfeVcey!ltsn,vvrf|lUM_@QIFe;.Mk56:;>0ob_hlpp*esze%yyo{e^DPIZ@Al0'Bb>?<239pvdkXagy#nz}l.pppdrbWOYFSKHk9,Km075<{{kfSd`|t.awvi)u{}kiRH\M^DE`<+Nf=;9>6}}al]jjvr(k}xg#}{aug\BVKXNOn2!D`:219pvdkXagy#nz}l.pppdrbWOYFSKHk9^314>uuidUbb~z cupo+wusi}oTSc>871>3:4473zxjaRgasu-`pwj(zz~jxhQPn15449799880ob_hlpp*qeWhi`Sb?:;rpbiZoi{}%|nRjnt^m?4;713zxjaRgasu-tfZbf|Ve7==0>6:qqehYnfz~${oQkau]l84799?1x~lcPioqw+rdXlh~Tc1?=>048wwgjW`dxx"ym_ecw[j:6;7;=7~|nm^kmwq)pjVnjxRa315<22>uuidUbb~z wc]geqYh48?5=;5|r`o\mkus'~hThlzPo=35:40<{{kfSd`|t.ua[agsWf6:;3?9;rpbiZoi{}%|nRjnt^m?5=86>2yym`Qfnrv,sgYci}Ud0<71149pvdkXagy#zlPd`v\k9799?1x~lcPioqw+rdXlh~Tc1048wwgjW`dxx"ym_ecw[j:597;=7~|nm^kmwq)pjVnjxRa323<22>uuidUbb~z wc]geqYh4;95=;5|r`o\mkus'~hThlzPo=07:40<{{kfSd`|t.ua[agsWf6993?9;rpbiZoi{}%|nRjnt^m?6386>2yym`Qfnrv,sgYci}Ud0?91179pvdkXagy#zlPd`v\k94?68<0ob_hlpp*qeWmkSb2=9?36?vtfeVcey!xb^fbpZi;:7;=7~|nm^kmwq)pjVnjxRa331<22>uuidUbb~z wc]geqYh4:;5=85|r`o\mkus'~hThlzPo=1=50=tzhgTec}{/v`\`drXg5>5=85|r`o\mkus'~hThlzPo=7=50=tzhgTec}{/v`\`drXg5<5=85|r`o\mkus'~hThlzPo=5=50=tzhgTec}{/v`\`drXg525=85|r`o\mkus'~hThlzPo=;=51=tzhgTec}{/v`\`drXgV::86}}al]jjvr(kUomyQ`_036?vtfeVcey!xb^fbpZiX99;>7~|nm^kmwq)pjVnjxRaP1036?vtfeVcey!xb^fbpZiX9;;>7~|nm^kmwq)pjVnjxRaP1236?vtfeVcey!xb^fbpZiX9=;>7~|nm^kmwq)pjVnjxRaP1436?vtfeVcey!xb^fbpZiX9?;>7~|nm^kmwq)pjVnjxRaP1636?vtfeVcey!xb^fbpZiX91;>7~|nm^kmwq)pjVnjxRaP1837?vtfeVcey!xb^fbpZiX:8?0ob_hlpp*qeWmkSbQ=0078wwgjW`dxx"ym_ecw[jY598?0ob_hlpp*qeWmkSbQ=2078wwgjW`dxx"ym_ecw[jY5;8?0ob_hlpp*qeWmkSbQ=4078wwgjW`dxx"ym_ecw[jY5=8?0ob_hlpp*qeWmkSbQ=6078wwgjW`dxx"ym_ecw[jY5?8?0ob_hlpp*qeWmkSbQ=8078wwgjW`dxx"ym_ecw[jY518>0ob_hlpp*qeWmkSbQ<149pvdkXagy#zlPd`v\kZ579<1x~lcPioqw+rdXlh~TcR=>159pvdkXagy#zlPd`v\kZ26<2yym`Qfnrv,sgYci}UdS8?;;rpbiZoi{}%|nRjnt^m\242<{{kfSd`|t.ua[agsWfU<=95|r`o\mkus'~hThlzPo^:20>uuidUbb~z wc]geqYhW0;:7~|nm^ua[dbuW`6:<3?>;rpbiZqeWhnySd2>1?32?vtfeV}iSlj}_h>26;763zxjaRym_`fq[l:6;7;:7~|nm^ua[dbuW`6:83?>;rpbiZqeWhnySd2>5?32?vtfeV}iSlj}_h>22;763zxjaRym_`fq[l:6?7;:7~|nm^ua[dbuW`6:43?>;rpbiZqeWhnySd2>9?32?vtfeV}iSlj}_h>14;763zxjaRym_`fq[l:597;:7~|nm^ua[dbuW`69>3??;rpbiZqeWhnySd2=>028wwgjW~hTmi|Pi=1=55=tzhgT{oQnds]j818682yym`Qxb^cgvZo;=7;;7~|nm^ua[dbuW`6=2<>4sscn[rdXimxTe191119pvdkXkUjhQf<9<24>uuidU|nRokr^k?=;b<{{kfSzlPcxa\m46<{{kfSzlPsdn\m969991x~lcPwc]paiYn484:<6}}al]tfZubdVc7>3??;rpbiZqeWzogSd2<>g9pvdkXkUxxlbc_nf8wwgjW~hTylPib9pvdkXkU|iRg:;rvbp`0<{pyh`fl4sxq`hnY6eapn7~w|cmi\wwgjW~o=7~w|rrv4?qojm{U:;6zfmdp\6f=sadoyRgasu-2`>rnelxxSd`|t.Onq}YsadoyrnelxxSd`|t.Onq}YsadoyrnelxxSd`|t.Onq}Ysadoy1c9wmhcu{Vcey!Bmtz\sgYci}Ud=??l;uknawuXagy#@czx^ua[agsWf;9=rnelxxSd`|t.Onq}YpjVnjxRa>903b?qojm{yTec}{/Lov|ZqeWmkSb<>c:vji`ttW`dxx"Cbuy]tfZbf|Ve9<rnelxxSd`|t.Onq}YpjVnjxRa=403`?qojm{yTec}{/Lov|ZqeWmkSb<:10`8plkbzzUbb~z Mlw{[rdXlh~Tc?8>c:vji`ttW`dxx"Cbuy]tfZbf|Ve9:6k2~bah||_hlpp*Kj}qU|nRjnt^m1<47d3}cfi}Pioqw+HkrpV}iSio{_n0;64d<|`gn~~Qfnrv,IhsW~hThlzPo3;2g>rnelxxSd`|t.Onq}YpjVnjxRa=903`?qojm{yTec}{/Lov|ZqeWmkSb<620`8plkbzzUbb~z Mlw{[rdXlh~Tc>>>c:vji`ttW`dxx"Cbuy]tfZbf|Ve8<rnelxxSd`|t.Onq}YpjVnjxRa910`8plkbzzUbb~z Mlw{[rdXlh~Tc:?>b:vji`ttW`dxx"Cbuy]tfZbf|Ve3=<84thofvvYnfz~$xdcjr1]`}f7?3}cfi}Pioqw+qojm{:Tec}{/3;8plkbzzUbb~z thofv5Ynfz~$Anabp`p\ks`Xkp6;2?74thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Powd\g|:66;30xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxTc{hPcx>1:7?<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXglTot2<>3;8plkbzzUbb~z thofv5Ynfz~$Anabp`p\ks`Xkp6?2?74thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Powd\g|:26;k0xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxTc{hPltv?4;4f3}cfi}Pioqw+qojm{:Tec}{/LaliuguWf|mSa{{<0<1e>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZiqnVf~x1<12`9wmhcu{Vcey!{ilgq4Zoi{}%Fobcas]lrcYk}}682?o4thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Powd\hpr;<78j7ygbesq\mkus'}cfi>Pioqw+HeheykySbxi_mww808212~bah||_hlpp*rnelx;Sd`|t.O`khvfzV~f~QlnuY21XYse{xT|zc}[1_\ghvoanbP=8SPLdqpPmgiW:rnelxxSd`|t.vji`t7W`dxx"ClolrbvZrjz{UhbyU>5\]wiwtXx~gyW=SPclrkmbn\956zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rzbrs]`jq]6=TUa|Ppvoq_5[XkdzcejfT14_\H`ut\akeS>8Po^az868212~bah||_hlpp*rnelx;Sd`|t.O`khvfzV~f~QlnuY21XYse{xT|zc}[1_\ghvoanbP=8SPLdqpPmgiW:rnelxxSd`|t.vji`t7W`dxx"ClolrbvZrjz{UhbyU>5\]wiwtXx~gyW=SPclrkmbn\9m6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rzbrs]`jq]6=TUa|Ppvoq_5[XkdzcejfT14_\H`ut\akeS>8Po^nvp969=h1e`k}s^kmwq)sadoy2:0g<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtX|dxySn`{[07^[qkuzVz|aU?]^antmo``R;>QRBjsrVkekY4>VeT`xz32?7b?qojm{yTec}{/uknaw6Xagy#@m`mqcq[qkuzViexV?:]^vnvwYwdxP0:a:vji`ttW`dxx"zfmdp3[lht|&Ghc`~nr^vnvwYdf}Q:9PQ{msp\trkuS9WTo`~gifjX50[XDlyxXeoa_24\kZjr|5>59l5{ilgqwZoi{}%e`k}0^kmwq)Jkfg{mQ{msp\gkr\93}cfi}Pioqw+qojm{:Tec}{/LaliuguW}gy~RmatZ36YZrjz{U{{`|T0\]`iunnoaQ:9PQCerqWldhX;?UdSa{{dh7b?qojm{yTec}{/uknaw6Xagy#@m`mqcq[qkuzViexV?:]^vnvwYwdxPrnelxxSd`|t.vji`t7W`dxx"ClolrbvZrjz{UhbyU>5\]wiwtXx~gyW=SPclrkmbn\946zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rzbrs]ssht\8TUha}b`wZ36YZrjz{UhbyU>5\]OavuS`hdT?8Q`_b{?5;3?3}cfi}Pioqw+qojm{:Tec}{/LaliuguW}gy~R~xmsY3YZejxee|W<;R_uoqvZei|R;>QRBjsrVkekY4=VeTot2=>4:8plkbzzUbb~z thofv5Ynfz~$Anabp`p\phtuWy}f~V>R_boshjq\9;Po^az818202~bah||_hlpp*rnelx;Sd`|t.O`khvfzV~f~QwlpX4XYdeyfd{V?:]^vnvwYdf}Q:9PQCerqWldhX;SbQcuu>2:0?<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtX|dxyS}ybrZ2^[fkwdf}P=8SPtlpq[fhsS8?VSAk|sUjbjZ52WfUgyy2=>4;8plkbzzUbb~z thofv5Ynfz~$Anabp`p\phtuWy}f~V>R_boshjq\9SbQcuu>6:0?<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtX|dxyS}ybrZ2^[fkwdf}P=8SPtlpq[fhsS8?VSAk|sUjbjZ52WfUgyy29>4:8plkbzzUbb~z thofv5Ynfz~$Anabp`p\phtuWy}f~V>R_boshjq\9;Po^nvpao6=01e`k}s^kmwq)sadoy5\]wiwtXkg~P=8SPLdqpPmgiW:?TcRbztek11<=sadoyRgasu-wmhcu8Vcey!BcnosewYse{xT|zc}[1_\ghvkg~Q:9PQ{msp\gkr\9;Po^nvpao2=01e`k}s^kmwq)sadoy5\]wiwtXkg~P=8SPLdqpPmgiW:?TcRbztek56d=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2?>3`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7==0=e:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYdq5;;2R|{2c9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp6:=3h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:6;7Uyx?l4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;9=49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}9736Vx>o5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:6=78n7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az8439W{~9n6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}9716;o0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?538Xz}8i7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az8419:l1e`k}s^kmwq)sadoy23;Yu|;h0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?5=85m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=3;:Zts:k1e`k}s^kmwq)sadoy2=;4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<0;=[wr5i2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=3=6a=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2>>^pw6g=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2=0?0f?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0?>1_sv1f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1<>>3g8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7><0Pru0a?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0?<12d9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp69>3Q}t3`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7>>0=e:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYdq5882R|{2c9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp69835Sz=b:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYdq58>2?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;:<4T~yh5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:5>7Uyx?l4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;:>49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}9406Vx>o5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:5078n7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az87>9W{~9n6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}94>6;o0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?6<8Xz}8j7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az8785l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=0=[wr5j2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=13:7c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw331<\vq4f3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<2<1`>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1=1_sv1e>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1:12e9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp6?2R|{2`9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp6>2?j4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;=7Uyx?o4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;>78o7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az838Xz}8j7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az8285l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=5=[wr5i2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=:=6a=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot27>^pw6d=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot26>3f8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs753Q}t3`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWe0=0<1:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYk}}6;2RGAV^21f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc2?>3a8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey0<>12b9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXpfx7=<0=c:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{6:>35>n5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\|jt;9<49o6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]{kw:6>78h7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^zlv9706;i0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_ymq84>9:j1e`k}s^kmwq)sadoy2:7e<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<32=6f=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Ttb|320<1g>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc2=2?0`?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVrd~1<<>3a8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey0?:12b9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXpfx7>80=c:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{69:3n5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\|jt;:049n6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]{kw:56;i0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_ymq8669:j1e`k}s^kmwq)sadoy05;Yu|;h0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_ymq8685j2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qwos>7:7d<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<4<1f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc29>3`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey0:0=b:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{632?l4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[}iu4049;6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r^amp7><|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{xTocz>289wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^vnvwYdf};;>45{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jq76:01e`k}s^kmwq)sadoy45{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jq72:01e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}_blw744?3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{43:8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~>>55{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jq0502~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat60;?qojm{yTec}{/uknaw6Xagy#@m`uov\phtuWjd4?64thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp\gkr>:j1e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}_blw[f;9:49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r^ampZe~48>5>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq5;5>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq585>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq595>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq5>5>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq5?5>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq5<5>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq5=5>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq525>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYdq535>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYk}}6;2?h4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp\gkrXd|~7==0=f:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_uoqvZei|Vf~x1?>>3d8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~T`xz313<1b>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRbzt=30:7`<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{xToczPltv?5185n2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat^nvp9726;o0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}Ua|Pcov\hpr;978n7ygbesq\mkus'}cfi>Pioqw+Heh}g~Tx`|}_blw[iss4;49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r^ampZjr|595>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYk}}6?2?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp\gkrXd|~7933g8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~T`xz39?0`?qojm{yTec}{/uknaw6Xagy#@m`uov\phtuWjdS`oad3f8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~Tal`k13g8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~Tal`k110f?qojm{yTec}{/uknaw6Xagy#@m`uov\phtuWjdS`oad031a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRcnne316`=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{UhbyQbaof277c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{xToczPm`lg514c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`74c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`64c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`14c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`04c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`34c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`24c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`=4c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`<4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`Z65m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat^objaY6:o1e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}_blw[hgilV;:>k5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYjignT=?rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRcnne]66`=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{UhbyQbaof\27c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{xToczPm`lg[24b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_lcm`Z>5m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat^objaY>:l1e`k}s^kmwq)sadoy3d8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwtXkg~Ttb|315<1b>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuzViexRv`r=36:7c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{xToczPxnp?5;4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxySn`{_ymq8785m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~Rmat^zlv959:l1e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}_blw[}iu4?49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r^ampZ~hz5=5>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs]`jqYg{632?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp\gkrXpfx75385{ilgqwZoi{}%e`k}0^kmwq)Je|rT{R{dq3260=sadoyRgasu-wmhcu8Vcey!Bmtz\sZswly8:>85{ilgqwZoi{}%e`k}0^kmwq)Umzgx1>1_GPA63=sadoyRgasu-wmhcu8Vcey!]erwop9776VLYN?84thofvvYnfz~$xdcjr1]jjvr(Zly~`y2>1?]EVG413}cfi}Pioqw+qojm{:Tec}{/Sgpqir;9;4TJ_L=6:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<01=[CTE:?1e`k}s^kmwq)sadoy21;YAZK8=7ygbesq\mkus'}cfi>Pioqw+Wct}e~7=;0PFS@12>rnelxxSd`|t.vji`t7W`dxx"\jstnw8419WOXI>;5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1?7>^DQF70<|`gn~~Qfnrv,plkbz9Ubb~z Rdqvhq:617UM^O<:;uknawuXagy#ygbes2\mkus'[oxyaz31?]EVG413}cfi}Pioqw+qojm{:Tec}{/Sgpqir;:94TJ_L=6:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<33=[CTE:?1e`k}s^kmwq)sadoy17;YAZK8=7ygbesq\mkus'}cfi>Pioqw+Wct}e~7>90PFS@12>rnelxxSd`|t.vji`t7W`dxx"\jstnw8739WOXI>;5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1<9>^DQF70<|`gn~~Qfnrv,plkbz9Ubb~z Rdqvhq:5?7UM^O<9;uknawuXagy#ygbes2\mkus'[oxyaz329<\BWD5>2~bah||_hlpp*rnelx;Sd`|t.Pfwpjs4;35SK\M249wmhcu{Vcey!{ilgq4Zoi{}%Yi~{ct=0=[CTE:?1e`k}s^kmwq)sadoy05;YAZK8>7ygbesq\mkus'}cfi>Pioqw+Wct}e~7?3QIRC06?qojm{yTec}{/uknaw6Xagy#_k|umv?0;YAZK8>7ygbesq\mkus'}cfi>Pioqw+Wct}e~793QIRC06?qojm{yTec}{/uknaw6Xagy#_k|umv?2;YAZK8>7ygbesq\mkus'}cfi>Pioqw+Wct}e~7;3QIRC06?qojm{yTec}{/uknaw6Xagy#_k|umv?<;YAZK8>7ygbesq\mkus'}cfi>Pioqw+Wct}e~753QIRC03?qojm{yTec}{/uknaw6Xagy#Y]L_p>3:77<|`gn~~Qfnrv,plkbz9Ubb~z TRA\u9776;;0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXy5;:2??4thofvvYnfz~$xdcjr1]jjvr(\ZIT}1?=>338plkbzzUbb~z thofv5Ynfz~$X^MPq=30:77<|`gn~~Qfnrv,plkbz9Ubb~z TRA\u9736;;0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXy5;>2??4thofvvYnfz~$xdcjr1]jjvr(\ZIT}1?9>338plkbzzUbb~z thofv5Ynfz~$X^MPq=34:77<|`gn~~Qfnrv,plkbz9Ubb~z TRA\u97?6;;0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXy5;22?>4thofvvYnfz~$xdcjr1]jjvr(\ZIT}1?1209wmhcu{Vcey!{ilgq4Zoi{}%__NQ~<32=64=sadoyRgasu-wmhcu8Vcey![SB]r8779:81e`k}s^kmwq)sadoy<5{ilgqwZoi{}%e`k}0^kmwq)S[JUz0?=1209wmhcu{Vcey!{ilgq4Zoi{}%__NQ~<36=64=sadoyRgasu-wmhcu8Vcey![SB]r8739:81e`k}s^kmwq)sadoy<5{ilgqwZoi{}%e`k}0^kmwq)S[JUz0?91209wmhcu{Vcey!{ilgq4Zoi{}%__NQ~<3:=64=sadoyRgasu-wmhcu8Vcey![SB]r87?9:91e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"Z\C^s?7;473}cfi}Pioqw+qojm{:Tec}{/UQ@[t:36;:0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXy5?5>=5{ilgqwZoi{}%e`k}0^kmwq)S[JUz0;0=0:vji`ttW`dxx"zfmdp3[lht|&^XOR37?03?qojm{yTec}{/uknaw6Xagy#Y]L_p>;:76<|`gn~~Qfnrv,plkbz9Ubb~z TRA\u9?99o1e`k}s^kmwq)sadoyPioqw+QUDWxU:>=5{ilgqwZoi{}%e`k}0^kmwq)S[JUzS<>=0:vji`ttW`dxx"zfmdp3[lht|&^XORP1003?qojm{yTec}{/uknaw6Xagy#Y]L_p]2676<|`gn~~Qfnrv,plkbz9Ubb~z TRA\uZ74:91e`k}s^kmwq)sadoy9<6zfmdpp[lht|&~bah|?_hlpp*RTKV{T=86328plkbzzUbb~z thofv5Ynfz~$X^MPq^3465=sadoyRgasu-wmhcu8Vcey![SB]r[4>582~bah||_hlpp*rnelx;Sd`|t.VPGZwX90;m7ygbesq\mkus'}cfi>Pioqw+QUDWxU9>=5{ilgqwZoi{}%e`k}0^kmwq)S[JUzS?>=0:vji`ttW`dxx"zfmdp3[lht|&^XORP2003?qojm{yTec}{/uknaw6Xagy#Y]L_p]1676<|`gn~~Qfnrv,plkbz9Ubb~z TRA\uZ44:91e`k}s^kmwq)sadoy9<6zfmdpp[lht|&~bah|?_hlpp*RTKV{T>8582~bah||_hlpp*rnelx;Sd`|t.VPGZwX:0;m7ygbesq\mkus'}cfi>Pioqw+QUDWxU8>=5{ilgqwZoi{}%e`k}0^kmwq)S[JUzS>>=0:vji`ttW`dxx"zfmdp3[lht|&^XORP303e?qojm{yTec}{/uknaw6Xagy#Y]L_p]75c=sadoyRgasu-wmhcu8Vcey![SB]r[07a3}cfi}Pioqw+qojm{:Tec}{/UQ@[tY19o1e`k}s^kmwq)sadoy;m7ygbesq\mkus'}cfi>Pioqw+QUDWxU3=k5{ilgqwZoi{}%e`k}0^kmwq)S[JUzS488;uknawuXagy#ygbes2\mkus'VUTTA@B_^]06ZYXEjef|l|Ptlpq[uqjzR:VSnclnuX50[X|dxySn`{[07^[Ict{]bjbR=:_n]oqqbn>11e`k}s^kmwq)sadoy=P_^O`khvfzV~f~QwlpX4XYdeyfd{V?:]^vnvwYdf}Q:9PQCerqWldhX;rnelxxSd`|t.vji`t7W`dxx"QP_YNMIZYX;=UTS@m`mqcq[qkuzVz|aU?]^antiipS8?VSyc}r^amp^72UVFn~Zgao]01ZiXd|~oe?87;uknawuXagy#ygbes2\mkus'VUTTA@B_^]01ZYXEjef|l|Ptlpq[uqjzR:VSnclnuX50[X|dxySn`{[07^[Ict{]bjbR=:_n]oqqbn;?20xdcjrr]jjvr(|`gn~=Qfnrv,[ZY_DGGTSR=9_^]Ngjkwi{Ua|Ppvoq_5[XkdzgczU>5\]wiwtXkg~P=8SPLdqpPmgiW:?TcRbztek72==sadoyRgasu-wmhcu8Vcey!P_^ZOJHYXW:=TSRClolrbvZrjz{U{{`|T0\]`iujhR;>QRzbrs]`jq]6=TUGi~}[h`l\70YhWehd;98:vji`ttW`dxx"zfmdp3[lht|&UTSUBAM^]\7=YXWDida}o}_uoqvZvpe{Q;QRmbpmmt_43ZW}gy~RmatZ36YZJb{z^cmcQ<5^m\hprca?<37ygbesq\mkus'}cfi>Pioqw+ZYXPEDFSRQ;0^]\IfijxhxTx`|}_blw_43ZW}gy~R~xmsY3YZejxacldV?:]^NfwvRoigU8:RaPltvgm3?<|`gn~~Qfnrv,plkbz9Ubb~z _^][HKKXWV>:SRQBcnosewYse{xToczT14_\phtuWy}f~V>R_bosllaoS8?VSAk|sUjbjZ51WfUgyyjf17;8plkbzzUbb~z thofv5Ynfz~$SRQWLOO\[Z25WVUFobcas]wiwtXkg~P=8SPtlpq[uqjzR:VSnchhek_43ZWEoxYfnn^15[jYk}}nb>;74thofvvYnfz~$xdcjr1]jjvr(WVUS@CCP_^60[ZYJkfg{mQ{msp\gkr\9>SRQBcnosewYse{xToczT14_\phtuWy}f~V>R_bosllaoS8?VSAk|sUjbjZ51WfUgyyjf57;8plkbzzUbb~z thofv5Ynfz~$SRQWLOO\[Z21WVUFobcas]wiwtXkg~P=8SPtlpq[uqjzR:VSnchhek_43ZWEoxYfnn^15[jYk}}nb:3328plkbzzUbb~z thofv5Ynfz~$Sc>>463765=sadoyRgasu-wmhcu8Vcey!Pn137343582~bah||_hlpp*rnelx;Sd`|t.]m44209>8?7ygbesq\mkus'}cfi>Pioqw+Zh79==:;R]X00g8plkbzzUbb~z thofv5Ynfz~$Sc>>5303?qojm{yTec}{/uknaw6Xagy#R`?1400574<|`gn~~Qfnrv,plkbz9Ubb~z _o2212Ynf8?7ygbesq\mkus'}cfi>Pioqw+Zh79<=Tecx>1308plkbzzUbb~z thofv5Ynfz~$Sc>>61]jjs433}cfi}Pioqw+qojm{:Tec}{/^l3536Xag|:=?<4thofvvYnfz~$xdcjr1]jjvr(Wg:::5Qfnw00?qojm{yTec}{/uknaw6Xagy#R`?17:\mkp6:;1e`k}s^kmwq)sadoyQfnw3267=sadoyRgasu-wmhcu8Vcey!Pn13:4Zoi~;>0xdcjrr]jjvr(|`gn~=Qfnrv,[k6619Ubb{?>239wmhcu{Vcey!{ilgq4Zoi{}%Tb=?5{ilgqwZoi{}%e`k}0^kmwq)Xf98:>Rgav318plkbzzUbb~z thofv5Ynfz~$Sc>=13]jjs75?2~bah||_hlpp*rnelx;Sd`|t.]m4775W`d}=R]X1308plkbzzUbb~z thofv5Ynfz~$Sc>=21]jjs433}cfi}Pioqw+qojm{:Tec}{/^l3676Xag|:??:4thofvvYnfz~$xdcjr1]jjvr(Wg:9>=Qfnw3761=sadoyRgasu-wmhcu8Vcey!Pn1014Zoi~8?986zfmdpp[lht|&~bah|?_hlpp*Yi8;8;Sd`y160;?qojm{yTec}{/uknaw6Xagy#R`?232\mkp6?VY\<rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Ngjsi|Vidyczjr^az848Xz}>:7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&Ghcx`{_bmvjqcuWjs7>3:;;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySnw32?]qp17<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Heh}g~Tob{atdp\g|:46=>0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'DidyczPcnwmp`tXkp682R|{409wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z MbmvjqYdg|diQly=6=01=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,Ifirf}Uhcx`{es]`}929W{~?=6zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%Fob{at^alqkrbzVir080;4:vji`ttW`dxx"zfmdp3[lht|&zy"yc}0qpfwfgkmVcey!BcnwmpZeh}g~n~Rmv<4<\vq263}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*Kdg|dSnaznugq[f;>7>?7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&Ghcx`{_bmvjqcuWjs7:3Q}t538plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/LalqkrXkfexh|Pcx>4:12<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Heh}g~Tob{atdp\g|:06Vx8<5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$Anaznu]`kphsm{Uhu161459wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z MbmvjqYdg|diQly=:=[wr3:2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)JkfexRm`uovfvZjr|5:5855{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$Anaznu]`kphsm{Ugyy2?>^KMRZ63:2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)JkfexRm`uovfvZ~hz5:58?5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$Anaznu]`kphsm{Usc2>>508plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/LalqkrXkfexh|Pxnp?6;253}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*Kdg|dSnaznugq[}iu4:4?>6zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%Fob{at^alqkrbzVrd~1:1439wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z MbmvjqYdg|diQwos>6:14<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Heh}g~Tob{atdp\|jt;>7>97ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&Ghcx`{_bmvjqcuWqey0:0;2:vji`ttW`dxx"zfmdp3[lht|&zy"yc}0qpfwfgkmVcey!BcnwmpZeh}g~n~Rv`r=:=07=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,Ifirf}Uhcx`{es]{kw:>6=?0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'DidyczPcnwmp`tXpfx753Q}t2c8plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/Lov|Zcqmg~Te<=m;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Cbuy]fr`hsW`;:?o5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$A`{w_dtfjqYn9;9i7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&GfyuQjvdlw[l74;k1e`k}s^kmwq)sadoy^DQF6g<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Wct}e~793QIRC1b?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.Pfwpjs4?4TJ_L;:Z@UJ:k0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'[oxyaz39?]EVG523}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*el998?S|=<;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"md17]r71=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,gn42>V{8>6zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%hg?Q~339wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z cj6\u65<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+fm16zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%hg5Q~369wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z cnwmp`t;879<7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&idyczjr=3=72=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,gjsi|lx7>3=8;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"m`uovfv959;>1e`k}s^kmwq)sadoy<6:vji`ttW`dxx"zfmdp3[lht|&zy"yc}0qpfwfgkmVcey!lotlwawY6;?1e`k}s^kmwq)sadoyPioqw+ut)|dx;|k|c`nf[lht|&idyczjr^702>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-`kphsm{U0?07?qojm{yTec}{/uknaw6Xagy#yc}r^ant9766;>0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjg{0<<1259wmhcu{Vcey!{ilgq4Zoi{}%a|Pclr?5685<2~bah||_hlpp*rnelx;Sd`|t.vnvwYdey6:83<;;uknawuXagy#ygbes2\mkus'}gy~Rmbp=36:75<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fkw4849?6zfmdpp[lht|&~bah|?_hlpp*rjz{Uha}2=>318plkbzzUbb~z thofv5Ynfz~$x`|}_bos8685;2~bah||_hlpp*rnelx;Sd`|t.vnvwYdey6?2?=4thofvvYnfz~$xdcjr1]jjvr(|dxySnc<4<17>rnelxxSd`|t.vji`t7W`dxx"zbrs]`iu:16;90xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjg{0:0=3:vji`ttW`dxx"zfmdp3[lht|&~f~Qlmq>;:75<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fkw4049>6zfmdpp[lht|&~bah|?_hlpp*rjz{Uha}Q?239wmhcu{Vcey!{ilgq4Zoi{}%a|Pclr\575<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fkwW8:9?6zfmdpp[lht|&~bah|?_hlpp*rjz{Uha}Q>1318plkbzzUbb~z thofv5Ynfz~$x`|}_bos[445;2~bah||_hlpp*rnelx;Sd`|t.vnvwYdeyU:??=4thofvvYnfz~$xdcjr1]jjvr(|dxySnc_0617>rnelxxSd`|t.vji`t7W`dxx"zbrs]`iuY6=;80xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjg{S?<=;uknawuXagy#ygbes2\mkus'}gy~Rmbp^116>rnelxxSd`|t.vji`t7W`dxx"zbrs]`iuY3:;1e`k}s^kmwq)sadoy?5{ilgqwZoi{}%e`k}0^kmwq)se{xTo`~P9318plkbzzUbb~z thofv5Ynfz~$x`|}_blw8585<2~bah||_hlpp*rnelx;Sd`|t.vnvwYdf}6:<3<;;uknawuXagy#ygbes2\mkus'}gy~Rmat=32:72<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fhs4885>95{ilgqwZoi{}%e`k}0^kmwq)se{xTocz312<10>rnelxxSd`|t.vji`t7W`dxx"zbrs]`jq:6<78?7ygbesq\mkus'}cfi>Pioqw+qkuzViex1?:>318plkbzzUbb~z thofv5Ynfz~$x`|}_blw8485;2~bah||_hlpp*rnelx;Sd`|t.vnvwYdf}692?=4thofvvYnfz~$xdcjr1]jjvr(|dxySn`{<2<17>rnelxxSd`|t.vji`t7W`dxx"zbrs]`jq:36;90xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjd080=3:vji`ttW`dxx"zfmdp3[lht|&~f~Qlnu>5:75<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fhs4>49?6zfmdpp[lht|&~bah|?_hlpp*rjz{Uhby27>318plkbzzUbb~z thofv5Ynfz~$x`|}_blw8<85:2~bah||_hlpp*rnelx;Sd`|t.vnvwYdf}U;>?5{ilgqwZoi{}%e`k}0^kmwq)se{xToczP1318plkbzzUbb~z thofv5Ynfz~$x`|}_blw[465;2~bah||_hlpp*rnelx;Sd`|t.vnvwYdf}U:=?=4thofvvYnfz~$xdcjr1]jjvr(|dxySn`{_0017>rnelxxSd`|t.vji`t7W`dxx"zbrs]`jqY6;;90xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjdS<:=3:vji`ttW`dxx"zfmdp3[lht|&~f~Qlnu]2174<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fhsW;897ygbesq\mkus'}cfi>Pioqw+qkuzViexR==2:vji`ttW`dxx"zfmdp3[lht|&~f~Qlnu]767=sadoyRgasu-wmhcu8Vcey!{msp\gkrX=;80xdcjrr]jjvr(|`gn~=Qfnrv,phtuWjdS;<=;uknawuXagy#ygbes2\mkus'}gy~Rmat^516>rnelxxSd`|t.vji`t7W`dxx"zbrs]`jqY?:;1e`k}s^kmwq)sadoy4thofvvYnfz~$xdcjr1]jjvr(|dxySig}1g9wmhcu{Vcey!{ilgq4Zoi{}%a|Peo03?qojm{yTec}{/uknaw6Xagy#yc}r^kfj77<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[lhsz;>0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWyxn1>1259wmhcu{Vcey!{ilgq4Zoi{}%a|Ppsgp8485<2~bah||_hlpp*rnelx;Sd`|t.vnvwYwzly7>3<<;uknawuXagy#ygbes2\mkus'}gy~R~}er]366=sadoyRgasu-wmhcu8Vcey!{msp\twctW8887ygbesq\mkus'}cfi>Pioqw+qkuzVzyi~Q=259wmhcu{Vcey!{ilgq4Zoi{}%a|Ppsgpvvr5n2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxPR_bosllao48:5?=5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvoanb7=<0<0:vji`ttW`dxx"zfmdp3[lht|&~f~QwlpX4XYdeybbke2>2?13?qojm{yTec}{/uknaw6Xagy#yc}r^rtiw]7UVif|eghh=30:66<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzR:VSnchhek8429;91e`k}s^kmwq)sadoy3d8plkbzzUbb~z thofv5Ynfz~$x`|}_qunv^6ZWjg{ddig<5<1b>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht\8TUha}ffgi>6:7`<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzR:VSnchhek8385n2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxPR_bosllao4149j6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|T0\]`iunnoa622?k4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrZ2^[fkw``mcS=03d8plkbzzUbb~z thofv5Ynfz~$x`|}_qunv^6ZWjg{ddig_031b>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht\8TUha}ffgi]267`<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzR:VSnchhek[455n2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxPR_bosllaoW8?9i6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|T0\]`iunnoaU9>h5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvoanbT??k4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrZ2^[fkw``mcS9rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht\8TUha}b`w=2=6c=sadoyRgasu-wmhcu8Vcey!{msp\trkuS9WTo`~cov>24;4a3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{Q;QRmbpmmt8479:o1e`k}s^kmwq)sadoyPioqw+qkuzVz|aU?]^antiip4895>k5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~6:83>3g8plkbzzUbb~z thofv5Ynfz~$x`|}_qunv^6ZWjg{`by32?0f?qojm{yTec}{/uknaw6Xagy#yc}r^rtiw]7UVif|aax<2<1a>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht\8TUha}b`w=6=6`=sadoyRgasu-wmhcu8Vcey!{msp\trkuS9WTo`~cov>6:7c<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzR:VSnclnu?2;4b3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{Q;QRmbpmmt8285m2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxP9:l1e`k}s^kmwq)sadoy6=h0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~V>R_boshjq\9R_boshjqX9:8n7ygbesq\mkus'}cfi>Pioqw+qkuzVz|aU?]^antiipW8>9i6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|T0\]`iujhV;>>i5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~U9>i5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~U8>i5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~U?>i5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~U>>i5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~U=>i5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~U<>i5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~U3>i5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ghvkg~U2>:5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}[1_\ak573}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{hP?4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrcY3YZejxacld1?>>238plkbzzUbb~z thofv5Ynfz~$x`|}_qunvg]7UVif|eghh=31:67<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzkQ;QRmbpikdl9746:;0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~oU?]^antmo``5;?2>?4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrcY3YZejxacld1?:>228plkbzzUbb~z thofv5Ynfz~$x`|}_qunvg]7UVif|eghh=3=75=sadoyRgasu-wmhcu8Vcey!{msp\trkujR:VSnchhek878482~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxiW=SPclrkmbn;;79;7ygbesq\mkus'}cfi>Pioqw+qkuzVz|alT0\]`iunnoa6?2>>4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrcY3YZejxacld1;1319wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoqf^6ZWjg{ddig<7<04>rnelxxSd`|t.vji`t7W`dxx"zbrs]sshteS9WTo`~gifj?3;573}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{hPk5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}bZ2^[fkw``mcS=319wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoqf^6ZWjg{ddig_0204>rnelxxSd`|t.vji`t7W`dxx"zbrs]sshteS9WTo`~gifj\54573}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{hPR_bosllaoW<8m7ygbesq\mkus'}cfi>Pioqw+qkuzVz|alT0\]`iunnoaU=>k5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}bZ2^[fkw``mcS:Pioqw+qkuzVz|alT0\]`iujh5;92>>4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrcY3YZejxee|0<=1319wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoqf^6ZWjg{`by315<04>rnelxxSd`|t.vji`t7W`dxx"zbrs]sshteS9WTo`~cov>21;4a3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{hPR_boshjq;:78m7ygbesq\mkus'}cfi>Pioqw+qkuzVz|alT0\]`iujh595>k5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}bZ2^[fkwdf}783R_boshjqX8;o0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~oU?]^antiipW88m7ygbesq\mkus'}cfi>Pioqw+qkuzVz|alT0\]`iujhV;;>k5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}bZ2^[fkwdf}T=<R_boshjqX<;o0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~oU?]^antiipW<8n7ygbesq\mkus'}cfi>Pioqw+qkuzVz|alT0\]`iujhV<9i6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|m[1_\ghvkg~U<>h5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}bZ2^[fkwdf}T4?k4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrcY3YZejxee|S4<7;uknawuXagy#ygbes2\mkus'}gy~R~xms`X4XYbf;>0xdcjrr]jjvr(|`gn~=Qfnrv,phtuW|zo|1>1259wmhcu{Vcey!{ilgq4Zoi{}%a|Puqfs8485;2~bah||_hlpp*rnelx;Sd`|t.vnvwYrxmzTrnelxxSd`|t.vji`t7W`dxx"yPpvoq_5[Xmg8>7ygbesq\mkus'}cfi>Pioqw+rYwdxiW=SPeo0:?qojm{yTec}{/uknaw6Xagy#zQwlpa_5[XmgUX[<<>;uknawuXagy#ygbes2\mkus'~U~|i~30?02?qojm{yTec}{/uknaw6Xagy#zQzper?5;423}cfi}Pioqw+qojm{:Tec}{/vpjp`Ydf}Ubb{<8;uknawuXagy#ygbes2\mkus'~xbxhQlnu]jjs76:;1e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7Wz~i=85{ilgqwZoi{}%e`k}0^uf53=sadoyRgasu-wmhcu9Viro<64thofvvYnfz~$xdcjr0]jjvr(:>1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~Qlnu0;?qojm{yTec}{/uknaw7Xagy#@m`uov\phtuWjd=?64thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp\gkr5:11e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~Qlnu11<>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViex9<7;uknawuXagy#ygbes3\mkus'DidyczPtlpq[fhs=;20xdcjrr]jjvr(|`gn~78n7ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}_blw[iss4949i6zfmdpp[lht|&~bah|>_hlpp*Kdg|dSyc}r^ampZjr|5;5>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYk}}692?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp\gkrXd|~7?33g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~T`xz37?0`?qojm{yTec}{/uknaw7Xagy#@m`uov\phtuWjdS`oad3f8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~Tal`k13f8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~Tal`k23f8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~Tal`k33f8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~Tal`k43f8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~Tal`k53f8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~Tal`k63g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwtXkg~Tal`k_10f?qojm{yTec}{/uknaw7Xagy#@m`uov\phtuWjdS`oad^31a>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuzViexRcnne]16`=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{UhbyQbaof\77c<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{xToczPm`lg[14b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxySn`{_lcm`Z35m2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~Rmat^zlv969:l1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~Qlnu]{kw:66;o0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r^ampZ~hz5>5>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs]`jqYg{6>2?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp\gkrXpfx7:3?<=;uknawuXagy#ygbes3\mkus'Vd;=?<37?312>rnelxxSd`|t.vji`t6W`dxx"Qa00018286WZ];>?5{ilgqwZoi{}%e`k}1^kmwq)Xf9;9>1912318plkbzzUbb~z thofv4Ynfz~$Sc>>23>4:776m2~bah||_hlpp*rnelx:Sd`|t.]m444>9o1e`k}s^kmwq)sadoy=Rgasu-\j57518;m7ygbesq\mkus'}cfi?Pioqw+Zh79;38>=5{ilgqwZoi{}%e`k}1^kmwq)Xf9;95>?=0:vji`ttW`dxx"zfmdp2[lht|&Ue<<<63203?qojm{yTec}{/uknaw7Xagy#R`?13;0072<|`gn~~Qfnrv,plkbz8Ubb~z _o226<53WZ];>?5{ilgqwZoi{}%e`k}1^kmwq)Xf9;8?Rgav318plkbzzUbb~z thofv4Ynfz~$Sc>>32]jjs75:2~bah||_hlpp*rnelx:Sd`|t.]m4451W`d}>>5{ilgqwZoi{}%e`k}1^kmwq)Xf9;8:Rgav001?qojm{yTec}{/uknaw7Xagy#R`?151\mkp5;2~bah||_hlpp*rnelx:Sd`|t.]m4424W`d}=?<4thofvvYnfz~$xdcjr0]jjvr(Wg::9=Qfnw00?qojm{yTec}{/uknaw7Xagy#R`?142\mkp6:;1e`k}s^kmwq)sadoy=Rgasu-\j571=Vcez?=4thofvvYnfz~$xdcjr0]jjvr(Wg:::8Qfnw316>rnelxxSd`|t.vji`t6W`dxx"Qa0050[lhq::1e`k}s^kmwq)sadoy=Rgasu-\j570;Vcez<<=;uknawuXagy#ygbes3\mkus'Vd;=5?Piot17>rnelxxSd`|t.vji`t6W`dxx"Qa00:2[lhq9;;0xdcjrr]jjvr(|`gn~_hlpp*rjz{Uha}2?>318plkbzzUbb~z thofv4Ynfz~$x`|}_bos8485;2~bah||_hlpp*rnelx:Sd`|t.vnvwYdey692?=4thofvvYnfz~$xdcjr0]jjvr(|dxySnc<2<17>rnelxxSd`|t.vji`t6W`dxx"zbrs]`iu:36;90xdcjrr]jjvr(|`gn~5:75<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[fkw4>49>6zfmdpp[lht|&~bah|>_hlpp*rjz{Uha}Q?239wmhcu{Vcey!{ilgq5Zoi{}%a|Pclr\574<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[fkwW;897ygbesq\mkus'}cfi?Pioqw+qkuzVif|R==2:vji`ttW`dxx"zfmdp2[lht|&~f~Qlmq]767=sadoyRgasu-wmhcu9Vcey!{msp\ghvX=;80xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W`dxx"zbrs]`jq:76;90xdcjrr]jjvr(|`gn~1:75<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[fhs4:49?6zfmdpp[lht|&~bah|>_hlpp*rjz{Uhby2;>318plkbzzUbb~z thofv4Ynfz~$x`|}_blw8085;2~bah||_hlpp*rnelx:Sd`|t.vnvwYdf}6=2?=4thofvvYnfz~$xdcjr0]jjvr(|dxySn`{<6<7e>rnelxxSd`|t.vji`t6W`dxx"zbrs]`jq]0UV~f~QwlpX4XYdeybbkeU8]^NfwvRoigU94Ra>4c9wmhcu{Vcey!{ilgq5Zoi{}%a|PcovX3XYse{xT|zc}[1_\ghvoanbP;PQCerqWldhX:1Ud=<:m;uknawuXagy#ygbes3\mkus'}gy~RmatZ5^[qkuzVz|aU?]^antmo``R=VSAk|sUjbjZ4?Wf;98l5{ilgqwZoi{}%e`k}1^kmwq)se{xToczT7\]wiwtXx~gyW=SPclrkmbn\?TUGi~}[h`l\6=Yh:;80xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W`dxx"zbrs]`jqY5:;1e`k}s^kmwq)sadoy=Rgasu-wiwtXkg~T??<4thofvvYnfz~$xdcjr0]jjvr(|dxySn`{_501?qojm{yTec}{/uknaw7Xagy#yc}r^ampZ35:2~bah||_hlpp*rnelx:Sd`|t.vnvwYdf}U=>?5{ilgqwZoi{}%e`k}1^kmwq)se{xToczP7328plkbzzUbb~z thofv4Ynfz~$x`|}_ekq5c=sadoyRgasu-wmhcu9Vcey!{msp\ak473}cfi}Pioqw+qojm{;Tec}{/uoqvZobf;;0xdcjrr]jjvr(|`gn~_hlpp*rjz{U{~h}32?00?qojm{yTec}{/uknaw7Xagy#yc}r^rqavY7::1e`k}s^kmwq)sadoy=Rgasu-wiwtXx{oxS<<<;uknawuXagy#ygbes3\mkus'}gy~R~}er]16c=sadoyRgasu-wmhcu9Vcey!{msp\trkuS9WTo`~gifj?4;4a3}cfi}Pioqw+qojm{;Tec}{/uoqvZvpe{Q;QRmbpikdl979:o1e`k}s^kmwq)sadoy=Rgasu-wiwtXx~gyW=SPclrkmbn;:78m7ygbesq\mkus'}cfi?Pioqw+qkuzVz|aU?]^antmo``595>k5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvoanb783R_bosllaoW<8n7ygbesq\mkus'}cfi?Pioqw+qkuzVz|aU?]^antmo``V<9i6zfmdpp[lht|&~bah|>_hlpp*rjz{U{{`|T0\]`iunnoaU<>h5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~6;2?k4thofvvYnfz~$xdcjr0]jjvr(|dxyS}ybrZ2^[fkwdf}7=33g8plkbzzUbb~z thofv4Ynfz~$x`|}_qunv^6ZWjg{`by35?0f?qojm{yTec}{/uknaw7Xagy#yc}r^rtiw]7UVif|aax<7<1a>rnelxxSd`|t.vji`t6W`dxx"zbrs]ssht\8TUha}b`w=5=0<=sadoyRgasu-wmhcu9Vcey!{msp\trkuS9WTo`~covY4YZrjz{UhbyU8]^NfwvRoigU9;Ra>4`9wmhcu{Vcey!{ilgq5Zoi{}%a|Ppvoq_5[XkdzgczU8]^vnvwYdf}QR_boshjq\?TUa|PcovX3XYKmzy_dl`P26]l642f3}cfi}Pioqw+qojm{;Tec}{/uoqvZvpe{Q;QRmbpmmt_2[X|dxySn`{[6_\H`ut\akeS?9Po307=>rnelxxSd`|t.vji`t6W`dxx"zbrs]ssht\8TUha}b`wZ5^[qkuzViexV9R_MgpwQnffV8i5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~U;>i5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~U:>i5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~U9>i5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~U8>i5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~U?>i5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~U>>i5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~U=>i5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ghvkg~U<>:5{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}[1_\ak433}cfi}Pioqw+qojm{;Tec}{/uoqvZswly6;2?:4thofvvYnfz~$xdcjr0]jjvr(|dxySx~kp=3=66=sadoyRgasu-wmhcu9Vcey!{msp\qubwW9887ygbesq\mkus'}cfi?Pioqw+qkuzV{h}Q>259wmhcu{Vcey!{ilgq5Zoi{}%|S}ybrZ2^[`h592~bah||_hlpp*rnelx:Sd`|t.uqmqcXkg~9>6zfmdpp[lht|&~bah|>_hlpp*qua}oTocz>249wmhcu{Vcey!{ilgq5Zoi{}%|~dzj_blw[lhq:?1e`k}s^kmwq)sadoy=Rgasu-tvlrbWjdSd`y1308plkbzzUbb~z thofv4Ynfz~${g{e^awvig<}hxSo|heoc8qdtsW`dxx"?;;tcqpZoi{}%Tb=>;8^kmr43<}hxSd`|t.]m452?W`d}=sfz}Ubb~z dhtj``tXzqUz03|kyxRgasu-gmsocm{UytRP113:?pgu|Vcey!kiwkgawYupV{T=3|kyxRgasu-gmsocm{UytRP153:?pgu|Vcey!kiwkgawYupV{T=8?7;tcqpZoi{}%oe{gkes]q|ZwX:820yl|{_hlpp*bn~`nn~R|w_p]05==ri{~Tec}{/ekumacuW{rT}R:>8:wbvqYnfz~$hdxfddp\v}YvW<;37xo}t^kmwq)cacoiQ}x^s\24><}hxSd`|t.fjrlbbzVxsS|Q8199vewrXagy#igyiegq[w~XyV2:46{nru]jjvr(l`|bhh|Pry]r[<753|kyxRgasu-gv`gcqV{:56{nru]jjvr(l{ojhtQ~_sqwtjr6>2j~yQfnrv,bl`hWmkm1>1179vewrXagy#kgio^fbpd:668<0yl|{_hlpp*`nnfUomyo32?35?pgu|Vcey!iigm\`drf4:4::6{nru]jjvr(n`ldSio{a=6=53=ri{~Tec}{/gkekZbf|h6>2<84u`pw[lht|&lbjbQkauc?2;713|kyxRgasu-emciXlh~j0:0>8:wbvqYnfz~$jdh`_hlppdhdm&8;7xo}t^kmwq)aaoeTec}{aoaf+GSTW9::;?l4u`pw[lht|&lbjbQfnrvbjfc(Eje~byQ}d`fgvZe~4949n6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSjndep\g|:66;o0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}Uyhljkr^az848Xz}8i7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T~iokds]`}949:l1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_b{?6;Yu|;h0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}Uyhljkr^az8685m2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pcx>0:Zts:k1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_b{?0;4b3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQly=6=[wr5j2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pcx>6:7c<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rmv<4<\vq4e3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQly=4=6`=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZtcimnySnw36?]qp7d<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rmv<6<1a>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[wbflmxTot28>^pw6g=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZtcimnySnw38?0f?pgu|Vcey!iigm\mkusigin#@m`uov\vagcl{Uhu161_sv1f>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[wbflmxTot26>3g8qdtsW`dxx"hffn]jjvrffjo$Anaznu]q`dbczVir040Pru0`?pgu|Vcey!iigm\mkusigin#@m`uov\vagcl{Ugyy2?>208qdtsW`dxx"hffn]jjvrffjo$Anaznu]q`dbczVf~x1>1_HLU[54d3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQwos>3:7b<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rv`r=33:66<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rv`r=33:Zts:j1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_ymq8485k2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pxnp?6;4d3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQwos>0:7e<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rv`r=6=6f=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZtcimnySua}<4<1g>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[wbflmxTtb|36?0`?pgu|Vcey!iigm\mkusigin#@m`uov\vagcl{Usc28>3a8qdtsW`dxx"hffn]jjvrffjo$Anaznu]q`dbczVrd~1612b9vewrXagy#kgio^kmwqgikl%Fob{at^pgeabuWqey040=b:wbvqYnfz~$jdh`_hlppdhdm&Ghcx`{_vpb`atXkp6;2?l4u`pw[lht|&lbjbQfnrvbjfc(Eje~byQxr`fgvZe~4849i6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSz|ndep\g|:66Vx>o5zasv\mkus'ocmcRgasucmg`)JkfexRy}aefq[f;:78n7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]`}949W{~9n6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSz|ndep\g|:46;o0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^az868Xz}8i7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]`}929:l1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_b{?0;Yu|;h0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^az8085m2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pcx>6:Zts:k1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_b{?2;4b3|kyxRgasu-emciXagymcmj/LalqkrX{kohQly=4=[wr5j2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pcx>4:7c<}hxSd`|t.djbjYnfz~jbnk MbmvjqYpzhno~Rmv<6<\vq4e3|kyxRgasu-emciXagymcmj/LalqkrX{kohQly=:=6`=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZquimnySnw38?]qp7d<}hxSd`|t.djbjYnfz~jbnk MbmvjqYpzhno~Rmv<8<1a>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[rtflmxTot26>^pw6f=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZquimnySa{{<1<06>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[rtflmxT`xz30?]JJSY7:j1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_ymq8585l2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pxnp?558482j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pxnp?558Xz}8h7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]{kw:66;i0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^zlv949:j1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_ymq8685k2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pxnp?0;4d3|kyxRgasu-emciXagymcmj/LalqkrX{kohQwos>6:7e<}hxSd`|t.djbjYnfz~jbnk MbmvjqYpzhno~Rv`r=4=6f=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZquimnySua}<6<1g>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[rtflmxTtb|38?0`?pgu|Vcey!iigm\mkusigin#@m`uov\swgcl{Usc26>358qdtsW`dxx"hffn]jjvrffjo$A`{w_CWP[566?8;9?6{nru]jjvr(n`ldSd`|t`l`a*KuidUfi`a}y3f8qdtsW`dxx"hffn]jjvrffjo$Aob_lgnkwXagy~`lzj03g8qdtsW`dxx"hffn]jjvrffjo$Aob_lgnkwXagy~`lzj1001?pgu|Vcey!iigm\mkusigin#_k|umv?4;75=2j~yQfnrv,bl`hW`dxxl`le.Pfwpjs494TJ_L=3:wbvqYnfz~$jdh`_hlppdhdm&Xnxb{<02=570<}hxSd`|t.djbjYnfz~jbnk Rdqvhq:687UM^O<=;tcqpZoi{}%mekaPioqwekeb'[oxyaz31?311>sfz}Ubb~z fhdl[lht|hdhi"\jstnw848XN[H9>6{nru]jjvr(n`ldSd`|t`l`a*Tb{|f0?0>249vewrXagy#kgio^kmwqgikl%Yi~{ct=0=[CTE:;1~mzPioqw+coagVceyoacd-Qavsk|595=?;4u`pw[lht|&lbjbQfnrvbjfc(Zly~`y2<>^DQF74<}hxSd`|t.djbjYnfz~jbnk Rdqvhq:3688>7xo}t^kmwq)aaoeTec}{aoaf+Wct}e~783QIRC01?pgu|Vcey!iigm\mkusigin#_k|umv?1;75=2j~yQfnrv,bl`hW`dxxl`le.Pfwpjs4<4TJ_L=2:wbvqYnfz~$jdh`_hlppdhdm&Xnxb{<7<260=ri{~Tec}{/gkekZoi{}keoh!]erwop909WOXI>?5zasv\mkus'ocmcRgasucmg`)Umzgx1911378qdtsW`dxx"hffn]jjvrffjo$^h}zlu>4:Z@UJ;80yl|{_hlpp*`nnfUbb~znnbg,V`urd}632<<:;tcqpZoi{}%mekaPioqwekeb'[oxyaz38?]EVG453|kyxRgasu-emciXagymcmj/Sgpqir;17;996{nru]jjvr(n`ldSd`|t`l`a*Tb{|f040PFS@2`>sfz}Ubb~z fhdl[lht|hdhi"kbpu{2b>sfz}Ubb~z fhdl[lht|hdhi"kbpu{\r77<}hxSd`|t.djbjYnfz~jbnk elrw}Zp69;80yl|{_hlpp*`nnfUbb~znnbg,ahvsqV|:=?<<;tcqpZoi{}%mekaPioqwekeb'lg{xtQy100260=ri{~Tec}{/gkekZoi{}keoh!jmqvz[s76WZ];>85zasv\mkus'ocmcRgasucmg`)bey~rS{?>_RU264=ri{~Tec}{/gkekZoi{}keoh!jmqvz[s26:91~mzPioqw+coagVceyoacd-fiur~W?9=6{nru]jjvr(n`ldSd`|t`l`a*cjx}sTz8?=0:wbvqYnfz~$jdh`_hlppdhdm&of|ywPv702?pgu|Vcey!iigm\mkusigin#hctx]u<4473|kyxRgasu-emciXagymcmj/dosp|Yq1;;0yl|{_hlpp*`nnfUbb~znnbg,bpjkW;:=??4u`pw[lht|&lbjbQfnrvbjfc(n|fgS{?>2308qdtsW`dxx"hffn]jjvrffjo$jxbc_w3267443|kyxRgasu-emciXagymcmj/gwohZp69;8:><5zasv\mkus'ocmcRgasucmg`)a}efTz<5zasv\mkus'ocmcRgasucmg`)a}efTz:<1~mzPioqw+coagVceyoacd-eqijX~8;2S^Y?219vewrXagy#kgio^kmwqgikl%myabPv7315>sfz}Ubb~z fhdl[lht|hdhi"hzlm]u247482j~yQfnrv,bl`hW`dxxl`le.pg[wbflmxP==SPAOF\575?Wf9:7xo}t^kmwq)aaoeTec}{aoaf+wbXzmkohU>0\]BJAY6::2Tc<<>;tcqpZoi{}%mekaPioqwekeb'{njhi|30?01?pgu|Vcey!iigm\mkusigin#jndep?558592j~yQfnrv,bl`hW`dxxl`le.pgeabu4849=6{nru]jjvr(n`ldSd`|t`l`a*tcimny0?0=1:wbvqYnfz~$jdh`_hlppdhdm&xomij}<2<15>sfz}Ubb~z fhdl[lht|hdhi"|kaefq818592j~yQfnrv,bl`hW`dxxl`le.pgeabu4<49=6{nru]jjvr(n`ldSd`|t`l`a*tcimny0;0=1:wbvqYnfz~$jdh`_hlppdhdm&xomij}<6<15>sfz}Ubb~z fhdl[lht|hdhi"|kaefq8=8592j~yQfnrv,bl`hW`dxxl`le.pgeabu4049<6{nru]jjvr(n`ldSd`|t`l`a*tcimnyS=>4u`pw[lht|&lbjbQfnrvbjfc({U|~ljkrZ33YZGILV;9?:Q`309vewrXagy#kgio^kmwqgikl%|~Ry}aefq_46ZWHDOS<<<7^m264=ri{~Tec}{/gkekZoi{}keoh!xr`fgv969:;1~mzPioqw+coagVceyoacd-tvdbcz5;;2??4u`pw[lht|&lbjbQfnrvbjfc({koh2>>338qdtsW`dxx"hffn]jjvrffjo${okds>1:77<}hxSd`|t.djbjYnfz~jbnk wscg`w:46;;0yl|{_hlpp*`nnfUbb~znnbg,swgcl{6?2??4u`pw[lht|&lbjbQfnrvbjfc({koh2:>338qdtsW`dxx"hffn]jjvrffjo${okds>5:77<}hxSd`|t.djbjYnfz~jbnk wscg`w:06;;0yl|{_hlpp*`nnfUbb~znnbg,swgcl{632??4u`pw[lht|&lbjbQfnrvbjfc({koh26>328qdtsW`dxx"hffn]jjvrffjo${okds]365=ri{~Tec}{/gkekZoi{}keoh!xr`fgvZ7592j~yQfnrv,bl`hW`dxxl`le.uqeabuW8:9<6{nru]jjvr(n`ldSd`|t`l`a*quimnyS?4u`pw[lht|&lbjbQfnrvbjfc({kohQ7219vewrXagy#kgio^kmwqgikl%|~ljkr^;26>sfz}Ubb~z ry]jjvr(:;1~mzPioqw+w~Xagy#@m`uov\`drfkfex?=4u`pw[lht|&xsSd`|t.O`kphsWmkmnaznu317>sfz}Ubb~z ry]jjvr(Eje~byQkauc`kphs:;h0yl|{_hlpp*tW`dxx"Clotlw[agsije~byQwos>3:475j2j~yQfnrv,v}Ynfz~$Anaznu]geqgdg|dSua}<0<257d<}hxSd`|t.p{[lht|&Ghcx`{_ecwefirf}Usc2=>032g>sfz}Ubb~z ry]jjvr(Wg::<Sd`y1d9vewrXagy#vPioqw+Zh798?Tecx=10c8qdtsW`dxx"|w_hlpp*df}mmnb"=n;tcqpZoi{}%ytRgasu-aepb`mg%LBIQ>61]l[BHCW8<;SbQ|uc]1[JSS4948m6{nru]jjvr(zqUbb~z b`wgc`h(OGNT=;>Po^EM@Z718VeTxlP2^MVP979;h1~mzPioqw+w~Xagy#oozdfgm+BHCW8<;SbQHNE]225YhWziS?Q@UU>1:6g<}hxSd`|t.p{[lht|&hjyiijn.EM@Z718VeTKCJP172\kZurjV8TCXZ33?16?pgu|Vcey!}x^kmwq)ei|nlic!HNE]225YhWjdW<;R_dsveiY6Wf9j7xo}t^kmwq)upVcey!matfdak)@FMU::=Q`_blw_43ZWl{~maQ>_n>21;74l2j~yQfnrv,v}Ynfz~$nl{kgdl,IhsWjdW<;R_bmvjq]6=TUfyuQ;_NWW5574k2j~yQfnrv,v}Ynfz~$nl{kgdl,IhsWjdW<;R_bmvjq]6=TUfyuQ;_NWW545d3|kyxRgasu-q|Zoi{}%imxjheo-Nip~Xkg~P=8SPcnwmp^72UVg~tR:POTV236b<}hxSd`|t.p{[lht|&hjyiijn.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU3456e<}hxSd`|t.p{[lht|&hjyiijn.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU:27f=ri{~Tec}{/sz\mkus'kk~hjka/Lov|Zei|R;>QRm`uovX50[Xe|rT8RAZT837<>sfz}Ubb~z ry]jjvr(jhokh` Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wjs7<3:m;tcqpZoi{}%ytRgasu-aepb`mg%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\g|:76Vx855zasv\mkus'{rTec}{/ccv`bci'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^az848302j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Snw32?6:?pgu|Vcey!}x^kmwq)ei|nlic!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xd|~7=3:i;tcqpZoi{}%ytRgasu-aepb`mg%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;97UBB[Q?489vewrXagy#vPioqw+ggrlnoe#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Zjr|5858k5zasv\mkus'{rTec}{/ccv`bci'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp949W@D]S=:6;tcqpZoi{}%ytRgasu-aepb`mg%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;;7>m7xo}t^kmwq)upVcey!matfdak)J{|hTKCJP172\kZAILV;=6=0Pltv?7;YNF_U;845zasv\mkus'{rTec}{/ccv`bci'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^zlv969<01~mzPioqw+w~Xagy#oozdfgm+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rv`r=3=0<=ri{~Tec}{/sz\mkus'kk~hjka/LqvfZAILV;=95zasv\mkus'{rTec}{/ccv`bci'Vd;Piot11>sfz}Ubb~z ry]jjvr(jhokh` _o2365Ynf;9<6{nru]jjvr(zqUbb~z b`wgc`h(keadyy<8;tcqpZoi{}%ytRgasu-aepb`mg%h`fazt^pppuis:91~mzPioqw+w~Xagy#oozdfgm+fhs4949<6{nru]jjvr(zqUbb~z b`wgc`h(kg~7=3328qdtsW`dxx"|w_hlpp*df}mmnb"mat=1=7==ri{~Tec}{/sz\mkus'kk~hjka/blw_43ZWje~byU>5\]nq}Y3WF__0=0<8:wbvqYnfz~$~uQfnrv,fdscold$oczT14_\gjsi|R;>QRczx^6\KPR;97937xo}t^kmwq)upVcey!matfdak)df}Q:9PQlotlw_43ZWdsS9Q@UU>1:6><}hxSd`|t.p{[lht|&hjyiijn.amp^72UVidyczT14_\ip~X3?k;tcqpZoi{}%ytRgasu-geqgdg|dS=?k;tcqpZoi{}%ytRgasu-geqgdg|dS1:4g<}hxSd`|t.p{[lht|&njxla33?3b?pgu|Vcey!}x^kmwq)ci}kd090>a:wbvqYnfz~$~uQfnrv,`drfg5?5=l5zasv\mkus'{rTec}{/ecwej:168k0yl|{_hlpp*tW`dxx"jnt`m?3;7>3|kyxRgasu-q|Zoi{}%omyo`_13:?pgu|Vcey!}x^kmwq)ci}kdS3|kyxRgasu-q|Zoi{}%omyo`_53:?pgu|Vcey!}x^kmwq)ci}kdS8?6;tcqpZoi{}%ytRgasu-geqghW?;27xo}t^kmwq)upVcey!kaucl[27e3|kyxRgasu-q|Zoi{}%omyo}e`fz61=ri{~Tec}{/sz\mkus'mkmkndx]dhpcX{l~:46{nru]jjvr(zqUbb~z ryf?4;7?3|kyxRgasu-q|Zoi{}%yti2>>0:8qdtsW`dxx"|w_hlpp*tl585=55zasv\mkus'{rTec}{/szg868602j~yQfnrv,v}Ynfz~$~uj34?3;?pgu|Vcey!}x^kmwq)upm6>2<64u`pw[lht|&xsSd`|t.p{`909911~mzPioqw+w~Xagy#vk<6<23>sfz}Ubb~z ry]jjvr(zqnT<<94u`pw[lht|&xsSd`|t.p{`Z76?2j~yQfnrv,v}Ynfz~$~ujP2058qdtsW`dxx"|w_hlpp*tlV9:;6{nru]jjvr(zqUbb~z ryf\041<}hxSd`|t.p{[lht|&xshR;>7:wbvqYnfz~$~uQfnrv,v}bX>8=0yl|{_hlpp*tW`dxx"|wd^52`>sfz}Ubb~z ry]jjvr(zqg}jdb{es-1f>sfz}Ubb~z ry]jjvr(zqg}jdb{es-Ngjsi|VidyczPq3a8qdtsW`dxx"|w_hlpp*telb`yk}/LalqkrXkfexR>2b9vewrXagy#vPioqw+w~j~ocgxh| MbmvjqYdg|dS|<=c:wbvqYnfz~$~uQfnrv,v}kqn`fi!BcnwmpZeh}g~T}>=;;tcqpZoi{}%ytRgasu-q|hpaae~n~"Clotlw[firf}UzSua}<1<2562<}hxSd`|t.p{[lht|&xsa{hflugq+Heh}g~Tob{at^s\|jt;97;:?95zasv\mkus'{rTec}{/sznrcok|lx$Anaznu]`kphsWxUsc2=>0300>sfz}Ubb~z ry]jjvr(zqg}jdb{es-Ngjsi|VidyczPq^zlv959988<7xo}t^kmwq)upVcey!}xltemirbz&Ue<=<8_hlu6==ri{~Tec}{/sz\mkus'{rfzkgctdp,[k67:>Ubb{?=7:wbvqYnfz~$~uQfnrv,v}kqn`fi!lotlw[t:76;=0yl|{_hlpp*tW`dxx"|wmwdjhqcu'je~byQ~<0<13>sfz}Ubb~z ry]jjvr(zqg}jdb{es-`kphsWx692?94u`pw[lht|&xsSd`|t.p{is`nd}oy#naznu]r8685>2j~yQfnrv,v}Ynfz~$~ucyfhnwaw)dg|dS|Q?279vewrXagy#vPioqw+w~j~ocgxh| cnwmpZwX9;<0yl|{_hlpp*tW`dxx"|wmwdjhqcu'je~byQ~_305?pgu|Vcey!}x^kmwq)upd|meazjr.alqkrXyV99=6{nru]jjvr(zqUbb~z ryoubljsm{%xcxz=a:wbvqYnfz~$~uQfnrv,v}kqn`fi!|otv\cisbWzo>=5zasv\mkus'{rTec}{/rvbp`YA[DUMJi?=3:wbvqYnfz~$~uQfnrv,wqgsmVLXARHId0/Jj72<}hxSd`|t.p{[lht|&ymykPFRO\BCb6%@d:>=5zasv\mkus'{rTec}{/rvbp`YA[DUMJi<=3:wbvqYnfz~$~uQfnrv,wqgsmVLXARHId3/Jj71<}hxSd`|t.p{[lht|&ymykPFRO\BCb5%@dT_Z>>3:wbvqYnfz~$~uQ{ilgq+65<}hxSd`|t.p{[qojm{%LBIQ>61]l[BHCW8<;SbQ|uc]1[JSS494886{nru]jjvr(zqUe`k}/FLG[407WfULBIQ>61]l[vseW;UDYY2>0?17?pgu|Vcey!}x^vji`t(OGNT=;>Po^EM@Z718VeTxlP2^MVP9766:>0yl|{_hlpp*tW}cfi!HNE]225YhWNDOS<8?_n]pqgY5WF__0<<1359vewrXagy#vPthofv*AILV;=RAZT=36:65<}hxSd`|t.p{[qojm{%LBIQ>61]l[BHCW8<;SbQ|uc]1[JSS4848?6{nru]jjvr(zqUe`k}/FLG[407WfULBIQ>61]l[vseW;UDYY2=>218qdtsW`dxx"|w_uknaw)@FMU::=Q`_FLG[407WfUxyoQ=_NWW8684;2j~yQfnrv,v}Ysadoy#J@K_043[jY@FMU::=Q`_rwa[7YH]]6?2>=4u`pw[lht|&xsSygbes-DJAY6>9UdSJ@K_043[jYt}kU9SB[[<4<07>sfz}Ubb~z ry]wmhcu'NDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:16:90yl|{_hlpp*tW}cfi!HNE]225YhWNDOS<8?_n]pqgY5WF__0:0<3:wbvqYnfz~$~uQ{ilgq+BHCW8<;SbQHNE]225YhWziS?Q@UU>;:65<}hxSd`|t.p{[qojm{%LBIQ>61]l[BHCW8<;SbQ|uc]1[JSS4049i6{nru]jjvr(zqUe`k}/FLG[407WfUhbyU>5\]fupgkW8Ud??5zasv\mkus'{rTxdcjr.EM@Z718VeToczT14_\atsfdV;Tc1?:>218qdtsW`dxx"|w_uknaw)@FMU::=Q`_blw_43ZWl{~maQ>_n>21;74;2j~yQfnrv,v}Ysadoy#J@K_043[jYdf}Q:9PQjqtco[4Yh48?5>>=4u`pw[lht|&xsSygbes-DJAY6>9UdSn`{[07^[`wrieU:Sb2>5?102>sfz}Ubb~z ry]wmhcu'Dg~tRmatZ36YZeh}g~P=8SPmtz\0ZIR\8::?;5zasv\mkus'{rTxdcjr.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU32560<}hxSd`|t.p{[qojm{%FaxvPcovX50[XkfexV?:]^ov|Z2XG\^:><=9;tcqpZoi{}%ytRzfmdp,IhsWjdW<;R_bmvjq]6=TUfyuQ;_NWW5674>2j~yQfnrv,v}Ysadoy#@czx^amp^72UVidyczT14_\ip~X379vewrXagy#vPthofv*Kj}qUhbyU>5\]`kphsS8?VS`{w_5]LQQ729:<0yl|{_hlpp*tW}cfi!Bmtz\gkr\9TCXZ>6016?pgu|Vcey!}x^vji`t(EdsSn`{[07^[firf}Q:9PQbuy]7[JSS919>7xo}t^kmwq)upV~bah| Mlw{[fhsS8?VSnaznuY21XYj}qU?SB[[2016?pgu|Vcey!}x^vji`t(EdsSn`{[07^[firf}Q:9PQbuy]7[JSS;89>7xo}t^kmwq)upV~bah| Mlw{[fhsS8?VSnaznuY21XYj}qU?SB[[4016?pgu|Vcey!}x^vji`t(EdsSn`{[07^[firf}Q:9PQbuy]7[JSS=89>7xo}t^kmwq)upV~bah| Mlw{[fhsS8?VSnaznuY21XYj}qU?SB[[6016?pgu|Vcey!}x^vji`t(EdsSn`{[07^[firf}Q:9PQbuy]7[JSS?89>7xo}t^kmwq)upV~bah| Mlw{[fhsS8?VSnaznuY21XYj}qU?SB[[8016?pgu|Vcey!}x^vji`t(EdsSn`{[07^[firf}Q:9PQbuy]7[JSS18>:7xo}t^kmwq)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wjs7<3:;;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Snw30?]qp14<}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\g|:687>97xo}t^kmwq)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wjs7=<0;2:wbvqYnfz~$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rmv<00=07=ri{~Tec}{/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]`}9746=80yl|{_hlpp*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xkp6:83:>;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Snw31?62?pgu|Vcey!}x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_b{?6;263|kyxRgasu-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[f;;7>:7xo}t^kmwq)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wjs783:>;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Snw35?62?pgu|Vcey!}x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_b{?2;263|kyxRgasu-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[f;?7>:7xo}t^kmwq)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wjs743:>;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Snw39?60?pgu|Vcey!}x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_mww8469<01~mzPioqw+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yk}}6:<3QFNW]306=ri{~Tec}{/sz\plkbz&GxyoQHNE]225YhWNDOS<8?_n]pqgY5WF__0<;50?]oqq:697>27xo}t^kmwq)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69We061]l[vseW;UDYY2>5;2=[iss4885845zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Ugyy2>2?]JJSY7<:1~mzPioqw+w~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Yk}}6:?3:6;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<01=[LHQW9>87xo}t^kmwq)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69We0<:1489vewrXagy#vPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>20;YNF_U;8>5zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Ugyy2>5?6:?pgu|Vcey!}x^vji`t(EziSJ@K_043[jY@FMU::=Q`_rwa[7YH]]6:97>1_mww8439W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<0<7<>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp979W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<3<7<>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp949W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<2<7<>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp959W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<5<7<>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp929W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<4<7<>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp939W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<7<7<>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp909W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<6<7<>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp919W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<9<7<>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp9>9W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sa{{<8<7<>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^nvp9?9W@D]S=:=;tcqpZoi{}%ytRzfmdp,IvseWNDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:6=3:5Sua}<1<77>sfz}Ubb~z ry]wmhcu'Dy~nRIAD^354ZiXOGNT=;>Po^qvfZ4XG\^7=84?>^zlv9776=90yl|{_hlpp*tW}cfi!Bst`\CKBX9?:TcRIAD^354ZiX{|hT>RAZT=36>58Xpfx7=<0;3:wbvqYnfz~$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rv`r=31:15<}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;9:4??6{nru]jjvr(zqUe`k}/LqvfZAILV;=518qdtsW`dxx"|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pxnp?5083:2j~yQfnrv,v}Ysadoy#@}zb^EM@Z718VeTKCJP172\kZurjV8TCXZ31483:Z~hz5;58?5zasv\mkus'{rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Usc2=>508qdtsW`dxx"|w_uknaw)J{|hTKCJP172\kZAILV;=6=0Pxnp?7;253|kyxRgasu-q|Zrnelx$A~{m_FLG[407WfULBIQ>61]l[vseW;UDYY2>5;2=[}iu4=4?>6{nru]jjvr(zqUe`k}/LqvfZAILV;=61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qwos>5:14<}hxSd`|t.p{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;?7>97xo}t^kmwq)upV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wqey050;2:wbvqYnfz~$~uQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rv`r=;=5<=ri{~Tec}{/sz\plkbz&iggb{{189vewrXagy#vPthofv*ei|5:5=l5zasv\mkus'{rTxdcjr.amp97768k0yl|{_hlpp*tW}cfi!lnu>25;7f3|kyxRgasu-q|Zrnelx$ocz313<2e>sfz}Ubb~z ry]wmhcu'jd0<=11`9vewrXagy#vPthofv*ei|5;?23|kyxRgasu-q|Zrnelx$ocz32?3:?pgu|Vcey!}x^vji`t(kg~7?3?6;tcqpZoi{}%ytRzfmdp,gkr;<7;27xo}t^kmwq)upV~bah| cov?1;7>3|kyxRgasu-q|Zrnelx$ocz36?3:?pgu|Vcey!}x^vji`t(kg~7;3?6;tcqpZoi{}%ytRzfmdp,gkr;07;27xo}t^kmwq)upV~bah| cov?=;563|kyxRgasu-q|Zrnelx$oczT14_\gjsi|R;>QRczx^6\KPR;87997xo}t^kmwq)upV~bah| covX50[XkfexV?:]^ov|Z2XG\^7==0<2:wbvqYnfz~$~uQ{ilgq+fhsS8?VSnaznuY21XYj}qU?SB[[<03=77=ri{~Tec}{/sz\plkbz&iexV?:]^alqkr\9TCXZ315<06>sfz}Ubb~z ry]wmhcu'jdW<;R_bmvjq]6=TUfyuQ;_NWW8439;81~mzPioqw+w~X|`gn~"matZ36YZeh}g~P=8SPmtz\0ZIR\5;5?<5zasv\mkus'{rTxdcjr.amp^72UVidyczT14_\ip~XQRm`uovX50[Xe|rT8RAZT=1=74=ri{~Tec}{/sz\plkbz&iexV?:]^alqkr\9QRm`uovX50[Xe|rT8RAZT=5=74=ri{~Tec}{/sz\plkbz&iexV?:]^alqkr\99;81~mzPioqw+w~X|`gn~"matZ36YZeh}g~P=8SPmtz\0ZIR\535=55zasv\mkus'{rTxdcjr.ampZ6602j~yQfnrv,v}Ysadoy#n`{_03:?pgu|Vcey!}x^vji`t(kg~T==?6;tcqpZoi{}%ytRzfmdp,gkrX98;27xo}t^kmwq)upV~bah| cov\577>3|kyxRgasu-q|Zrnelx$oczP123:?pgu|Vcey!}x^vji`t(kg~T=9?6;tcqpZoi{}%ytRzfmdp,gkrX9<;37xo}t^kmwq)upV~bah| cov\64><}hxSd`|t.p{[qojm{%hbyQ<199vewrXagy#vPthofv*ei|V>:46{nru]jjvr(zqUe`k}/blw[07?3|kyxRgasu-q|Zrnelx$oczP60:8qdtsW`dxx"|w_uknaw)df}U<=55zasv\mkus'{rTxdcjr.ampZ>602j~yQfnrv,v}Ysadoy#n`{_831?pgu|Vcey!{x^alv`)5i2j~yQfnrv,p}Ydg{o$KCJP161\kZrXzR=VS@{w_5]l71=ri{~Tec}{/uz\gjtb'Dg~tRIAD^347ZiX|VxP;PQBuy]7[jY5Wo=8:6{nru]jjvr(|qUhck Mlw{[BHCW8=8SbQ{_sY4YZKrpV>TcRl4u`pw[lht|&~sSna}e.Onq}YSpYxdo#lftqmp_6[XOGNT=:=Po^Ov|Z0Xg8;8i6{nru]jjvr(|qUhck Mlw{[Q~Wzfi%ndzorY0YZAILV;Q`_lw{[45XG\^9=>k4u`pw[lht|&~sSna}e.Onq}YSpYxdo#lftqmp_6[XOGNT=:=Po^ov|Z74WF__?<=j;tcqpZoi{}%tRm`rd-Nip~X\qZycn miurlw^5ZWNDOS<9<_n]nq}Y6;VE^X9?sfz}Ubb~z ty]`kwc(\qZycn miurlw979:;1~mzPioqw+q~Xkfxn#Yv_rna-flrwgz692?<4u`pw[lht|&~sSna}e.V{Twid&kc|b}33?17?pgu|Vcey!{x^alv`)SpYxdo#lftqmp_6[XOGNT=:=Po^Ov|Z0Xg:k0yl|{_hlpp*rWjeyi"ZwPsm`*gosxfyP?PQHNE]236YhWdsS<=POTV?4;5f3|kyxRgasu-w|Zehzl%_t]|`c/`jpuitS:WTKCJP161\kZkrpV;8SB[[<0<0e>sfz}Ubb~z ty]`kwc(\qZycn miurlw^5ZWNDOS<9<_n]nq}Y6;VE^X1<13`9vewrXagy#yvPcnpf+Q~Wzfi%ndzorY0YZAILV;sfz}Ubb~z ty]`kwc(Wg::sfz}Ubb~z ty]`kwc(Wg::>4Qfnw3g?pgu|Vcey!{x^alv`)Xf9;95Rgav03f?pgu|Vcey!{x^alv`)Xf9;95Rgav032g>sfz}Ubb~z ty]`kwc(Wg::?:Qfnw3g?pgu|Vcey!{x^alv`)Xf9;8;Rgav03`?pgu|Vcey!{x^alv`)Xf9;?8Rgav0f8qdtsW`dxx"zw_bmqa*Yi88>?Sd`y1048qdtsW`dxx"zw_bmqa*ocdl;m7xo}t^kmwq)spVid~h!fdmg\cisbW{y=55zasv\mkus'}rTob|j/hlw|Zi592j~yQfnrv,p}Ydg{o$eczw_n]dhpcX{l~:m6{nru]jjvr(|qUhck lncgaaYu;<1~mzPioqw+q~Xkfxn#aanddf\vZRX{eh"og{pnqX7XYJ]QU38>Q`199vewrXagy#yvPcnpf+qYu494:46{nru]jjvr(|qUhck t^p?5;7?3|kyxRgasu-w|Zehzl%S2=>0:8qdtsW`dxx"zw_bmqa*rXz595=55zasv\mkus'}rTob|j/u]q818602j~yQfnrv,p}Ydg{o$xR|35?3;?pgu|Vcey!{x^alv`)sW{6=2<64u`pw[lht|&~sSna}e.v\v9199>1~mzPioqw+q~Xkfxn#yQ}_134?pgu|Vcey!{x^alv`)sW{U:=:5zasv\mkus'}rTob|j/u]q[7703|kyxRgasu-w|Zehzl%SQ<169vewrXagy#yvPcnpf+qYuW=;<7xo}t^kmwq)spVid~h!{_s]652=ri{~Tec}{/uz\gjtb'}UyS;?8;tcqpZoi{}%tRm`rd-w[wY09j1~mzPioqw+q~Xkfxn#ylzfg]q8586k2j~yQfnrv,p}Ydg{o$xo{if^p?5;7d3|kyxRgasu-w|Zehzl%nxhi_s>1:4e<}hxSd`|t.v{[fium&~iykhPr=1=5f=ri{~Tec}{/uz\gjtb'}h~jkQ}<5<2g>sfz}Ubb~z ty]`kwc(|kmjR|35?3`?pgu|Vcey!{x^alv`)sj|lmS29>0a8qdtsW`dxx"zw_bmqa*re}olT~1911c9vewrXagy#yvPcnpf+qdrnoUyS=?m;tcqpZoi{}%tRm`rd-wfp`aW{U:=o5zasv\mkus'}rTob|j/u`vbcYuW;;i7xo}t^kmwq)spVid~h!{btde[wY49k1~mzPioqw+q~Xkfxn#ylzfg]q[17e3|kyxRgasu-w|Zehzl%nxhi_s]65g=ri{~Tec}{/uz\gjtb'}h~jkQ}_73a?pgu|Vcey!{x^alv`)sj|lmSQ8169vewrXagy#yvPcnpf+q~cW{;87xo}t^kmwq)spV~bah| 319vewrXagy#yvPthofv*AILV;=sfz}Ubb~z ty]wmhcu'NDOS<8?_n]DJAY6>9UdS~{m_3]LQQ:76:90yl|{_hlpp*rW}cfi!HNE]225YhWNDOS<8?_n]pqgY5WF__0<0<3:wbvqYnfz~$xuQ{ilgq+BHCW8<;SbQHNE]225YhWziS?Q@UU>1:65<}hxSd`|t.v{[qojm{%LBIQ>61]l[BHCW8<;SbQ|uc]1[JSS4:49i6{nru]jjvr(|qUe`k}/FLG[407WfUhbyU>5\]fupgkW8Ud?>5zasv\mkus'}rTxdcjr.EM@Z718VeToczT14_\atsfdV;Tc1?:>014?pgu|Vcey!{x^vji`t(EdsSJ@K_043[jY@FMU::=Q`_LW[[=4>Wf;:?;5zasv\mkus'}rTxdcjr.Onq}Ydf}Q:9PQlotlw_43ZWdsS9Q@UU33563<}hxSd`|t.v{[qojm{%FaxvPcovX50[XkfexV?:]^ov|Z2XG\^:=>;4u`pw[lht|&~sSygbes-Nip~Xkg~P=8SPcnwmp^72UVg~tR:POTV;563<}hxSd`|t.v{[qojm{%FaxvPcovX50[XkfexV?:]^ov|Z2XG\^2=9?4u`pw[lht|&~sSygbes-NwpdXOGNT=;>Po^EM@Z718VeTxlP2^MVP972294Tot2?>568qdtsW`dxx"zw_uknaw)J{|hTKCJP172\kZAILV;=6=0Pcx>3:Zts<81~mzPioqw+q~X|`gn~"C|uc]DJAY6>9UdSJ@K_043[jYt}kU9SB[[<0794;Ydq5;58<5zasv\mkus'}rTxdcjr.OpqgY@FMU::=Q`_FLG[407WfUxyoQ=_NWW843=87Uhu1<1439vewrXagy#yvPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>2:1><}hxSd`|t.v{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;97UBB[Q?439vewrXagy#yvPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>1:1><}hxSd`|t.v{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;:7UBB[Q?439vewrXagy#yvPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qcuu>0:1><}hxSd`|t.v{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\hpr;;7UBB[Q?439vewrXagy#yvPthofv*Kt}kULBIQ>61]l[BHCW8<;SbQ|uc]1[JSS48?1<3Qwos>3:14<}hxSd`|t.v{[qojm{%FxlPGOF\536XgVMEHR?90^m\wpdX:VE^X1?::1<\|jt;97>97xo}t^kmwq)spV~bah| Mrwa[BHCW8<;SbQHNE]225YhWziS?Q@UU>21?69Wqey0?0;2:wbvqYnfz~$xuQ{ilgq+HurjVMEHR?90^m\CKBX9?:TcR}zb^0\KPR;9<0;2Rv`r=1=5a=ri{~Tec}{/uz\plkbz&Ue<=1:4?<}hxSd`|t.v{[qojm{%hby2<>238qdtsW`dxx"zw_uknaw)df}Q:9PQlotlw_43ZWdsS9Q@UU>3:67<}hxSd`|t.v{[qojm{%hbyU>5\]`kphsS8?VS`{w_5]LQQ:66:;0yl|{_hlpp*rW}cfi!lnuY21XYdg|dW<;R_lw{[1YH]]692>?4u`pw[lht|&~sSygbes-`jq]6=TUhcx`{[07^[hsW=UDYY2<>0:8qdtsW`dxx"zw_uknaw)df}U;=55zasv\mkus'}rTxdcjr.ampZ7602j~yQfnrv,p}Ysadoy#n`{_33;?pgu|Vcey!{x^vji`t(kg~T?i5xb^`lkqjhimoy=:5xb^obwqcuWgzTxbQ|l`tf[ug<kUxei8:80c8sgguj;U:S~d<9:;i!xfrug{(sou|lr>46vflhl{qk7?3qfetRoztn]3[}be'jy"ulld058~usXkfxnShv{rdof[lefkao<7w~ziom,g>|w}`dd#na}e.14?vrage$ob|j/FLG[00XgVzyik|Pddal``bHxjeoiV;R_dsveiY5;9Ud?o5uptkmk*ehzl%LBIQ:6^m\twcazVnnobjjdNr`kac\=TUn}xoc_313[j:2689i7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]`}969;j1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_b{?5584k2p{yd``/bmqa*KflmUntQ{orY16XYwzllyS}mT23_\eabX;9?TCXZPcx>25;5d3sz~eca cnpf+HgclVos~Rz`sZ01YZvumoxT|nU=2\]b`aY48|w}`dd#na}e.Ob`aYbp{Uc~U=2\]sv``uWyiP>?SPaef\753XG\^Tot2>5?1`?vrage$ob|j/Lcg`ZczV~dV<=]^rqactXxjQ9>PQnde]040YH]]Uhu1?9>2a8~usnff%hck M`fg[`~uW}exW??SPpsgevZvdS;8VSljk_226[JSSWjs7=50PQrddq[ue\:;WTmijP317\KPRXkp6:53=m;{rvmki(kfxn#@okd^g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQYdq5;5?n5uptkmk*ehzl%FmijPeyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW[f;:948o6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\g|:5979i7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]`}949;k1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_b{?7;5e3sz~eca cnpf+HgclVos~Rz`sZ01YZvumoxT|nU=2\]b`aY48PQnde]040YH]]Uhu1813c9ytpoig&id~h!Baef\a}tX|fyP>?SPpsgevZvdS;8VSljk_226[JSSWjs7;3=m;{rvmki(kfxn#@okd^g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQYdq525?o5uptkmk*ehzl%FmijPeyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW[f;179h7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]oqq:76:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp9776:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp9766:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp9756:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp9746:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp9736:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp9726:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp9716:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp9706:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp97?6:n0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp97>6:i0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^nvp979;m1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_mww8769;m1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_mww8779;m1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_mww8749;j1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_mww8784k2p{yd``/bmqa*KflmUntQ{orY16XYwzllyS}mT23_\eabX;9?TCXZPltv?7;5d3sz~eca cnpf+HgclVos~Rz`sZ01YZvumoxT|nU=2\]b`aY487:6e|w}`dd#na}e.Ob`aYbp{Uc~U=2\]sv``uWyiP>?SPaef\753XG\^T`xz37?1`?vrage$ob|j/Lcg`ZczV~dV<=]^rqactXxjQ9>PQnde]040YH]]Ugyy27>2a8~usnff%hck M`fg[`~uW}exW??SPpsgevZvdS;8VSljk_226[JSSWqey0=0PQrddq[ue\:;WTmijP317\KPRXpfx7==0PQrddq[ue\:;WTmijP317\KPRXpfx7=<0PQrddq[ue\:;WTmijP317\KPRXpfx7=?0PQrddq[ue\:;WTmijP317\KPRXpfx7=>0PQrddq[ue\:;WTmijP317\KPRXpfx7=90PQrddq[ue\:;WTmijP317\KPRXpfx7=80PQrddq[ue\:;WTmijP317\KPRXpfx7=;0PQrddq[ue\:;WTmijP317\KPRXpfx7=:0PQrddq[ue\:;WTmijP317\KPRXpfx7=50PQrddq[ue\:;WTmijP317\KPRXpfx7=40PQrddq[ue\:;WTmijP317\KPRXpfx7=3=k;{rvmki(kfxn#@okd^g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQYg{69<3=k;{rvmki(kfxn#@okd^g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQYg{69=3=k;{rvmki(kfxn#@okd^g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQYg{69>3=l;{rvmki(kfxn#@okd^g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQYg{692>m4zqwjjj)dg{o$Aljk_dzq[qitS;8VS}|jfs]sg^45UVkohR=?5^MVPZ~hz595?n5uptkmk*ehzl%FmijPeyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW[}iu4=48o6tuhll+fium&GjhiQjxs]wkv]5:TU{~hh}_qaX67[XimnT?=;POTV\|jt;=79h7w~ziom,gjtb'DkohRkwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU]{kw:16:i0v}{fnn-`kwc(EhnoShv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT^zlv919;j1q|xgao.alv`)JimnTiu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[_ymq8=84k2p{yd``/bmqa*KflmUntQ{orY16XYwzllyS}mT23_\eabX;9?TCXZPxnp?=;513sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vir0=0<7:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU]`}9776:=0v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Snw310<03>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]mkv]49TUjhiQ=22]LQQYdq5;92>94zqwjjj)dg{o$Aljk_dzq[qitS:;VSca|[23^[dbcW;88SB[[_b{?5684?2p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Uhu1?;>258~usnff%hck M`fg[`~uW}exW>?R_omp_67ZWhnoS?<<_NWW[f;9<48;6tuhll+fium&GjhiQjxs]wkv]49TUec~U<1\]b`aY5::UDYYQly=35:61>Q@UU]`}97?6:=0v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Snw318<02>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]mkv]49TUjhiQ=22]LQQYdq5;5?:5uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPcx>14;503sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vir0??1369ytpoig&id~h!Baef\a}tX|fyP??=POTV\g|:5:79<7w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRmv<31=72=}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXkp6983=8;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^az8739;>1q|xgao.alv`)JimnTiu|PtnqX74[XffyP?]^llw^56UVkohR<=3^MVPZe~4;=5?:5uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPcx>1<;503sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vir0?71379ytpoig&id~h!Baef\a}tX|fyP??=POTV\g|:56:=0v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Snw331<02>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]mkv]49TUjhiQ=22]LQQYdq595?;5uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPcx>7:602p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Uhu191379ytpoig&id~h!Baef\a}tX|fyP??=POTV\g|:?6:<0v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Snw39?14?vrage$ob|j/Lcg`ZczV~dV=>]^llw^56UVkohR<=3^MVPZjr|5:5?55uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPltv?558402p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Ugyy2>1?1;?vrage$ob|j/Lcg`ZczV~dV=>]^llw^56UVkohR<=3^MVPZjr|5;92>64zqwjjj)dg{o$Aljk_dzq[qitS:;VSca|[23^[dbcW;88SB[[_mww8459;11q|xgao.alv`)JimnTiu|PtnqX74[XffyP?|w}`dd#na}e.Ob`aYbp{Uc~U<1\]mkv]49TUjhiQ=22]LQQYk}}6:93=7;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^nvp9716:20v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Sa{{<05=7==}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXd|~7=50<8:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU]oqq:6179<7w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRbzt=3=7==}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXd|~7>=0<8:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU]oqq:597937w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRbzt=01:6>?=POTV\hpr;:=4846tuhll+fium&GjhiQjxs]wkv]49TUec~U<1\]b`aY5::UDYYQcuu>11;5?3sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vf~x1<9>2:8~usnff%hck M`fg[`~uW}exW>?R_omp_67ZWhnoS?<<_NWW[iss4;=5?55uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPltv?6=8402p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Ugyy2=9?14?vrage$ob|j/Lcg`ZczV~dV=>]^llw^56UVkohR<=3^MVPZjr|585?55uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPltv?758402p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Ugyy2<1?14?vrage$ob|j/Lcg`ZczV~dV=>]^llw^56UVkohR<=3^MVPZjr|595?:5uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPltv?0;503sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vf~x1;1369ytpoig&id~h!Baef\a}tX|fyP??=POTV\hpr;>79<7w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRbzt=5=72=}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXd|~743=8;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^nvp9?9;>1q|xgao.alv`)JimnTiu|PtnqX74[XffyP?]^llw^56UVkohR<=3^MVPZ~hz5;;2>64zqwjjj)dg{o$Aljk_dzq[qitS:;VSca|[23^[dbcW;88SB[[_ymq8479;11q|xgao.alv`)JimnTiu|PtnqX74[XffyP?|w}`dd#na}e.Ob`aYbp{Uc~U<1\]mkv]49TUjhiQ=22]LQQYg{6:?3=7;{rvmki(kfxn#@okd^g{vZrh{R9:QR``sZ12YZgclV89?RAZT^zlv9736:20v}{fnn-`kwc(EhnoShv}_ump_67ZWgexW>?R_`fg[744WF__Sua}<07=7==}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXpfx7=;0<8:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU]{kw:6?7937w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRv`r=3;:6>?=POTV\|jt;97937w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRv`r=03:6>?=POTV\|jt;:;4846tuhll+fium&GjhiQjxs]wkv]49TUec~U<1\]b`aY5::UDYYQwos>17;5?3sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vrd~1<;>2:8~usnff%hck M`fg[`~uW}exW>?R_omp_67ZWhnoS?<<_NWW[}iu4;?5?55uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPxnp?638402p{yd``/bmqa*KflmUntQ{orY05XYigzQ8=PQnde]166YH]]Usc2=7?1;?vrage$ob|j/Lcg`ZczV~dV=>]^llw^56UVkohR<=3^MVPZ~hz5832>64zqwjjj)dg{o$Aljk_dzq[qitS:;VSca|[23^[dbcW;88SB[[_ymq87?9;>1q|xgao.alv`)JimnTiu|PtnqX74[XffyP?]^llw^56UVkohR<=3^MVPZ~hz59;2>64zqwjjj)dg{o$Aljk_dzq[qitS:;VSca|[23^[dbcW;88SB[[_ymq8679;>1q|xgao.alv`)JimnTiu|PtnqX74[XffyP?]^llw^56UVkohR<=3^MVPZ~hz5>5?:5uptkmk*ehzl%FmijPeyp\pju\;8WTbb}T30_\eabX:;9TCXZPxnp?1;503sz~eca cnpf+HgclVos~Rz`sZ12YZhh{R9:QRokd^017ZIR\Vrd~181369ytpoig&id~h!Baef\a}tX|fyP??=POTV\|jt;?79<7w~ziom,gjtb'DkohRkwr^vlw^56UVddV=>]^cg`Z45;VE^XRv`r=:=72=}x|cec"m`rd-NeabXmqxTxb}T30_\jju\;8WTmijP231\KPRXpfx753=i;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Uhu1>1419ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Snw311<74>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPcx>25;273sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]`}9756=:0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZe~48958=5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWjs7=90;0:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQ|t`ah[gYumhnP?5?63?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQly=35:16RAZT^az8419<91q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[f;914?<6tuhll+fium&GjhiQjxs]wkv]49TUxxlmd_c]qadb\;8WTmijP230\KPRXkp6:53=i;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Uhu1?1419ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Snw321<74>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPcx>15;273sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]`}9456=:0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZe~4;958=5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWjs7>90;0:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQ|t`ah[gYumhnP?]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQly=05:16RAZT^az8719<91q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[f;:14?<6tuhll+fium&GjhiQjxs]wkv]49TUxxlmd_c]qadb\;8WTmijP230\KPRXkp6953=i;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Uhu1<1419ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Snw331<0b>|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPcx>0:6`RAZT^az8184n2p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?h4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vir050528~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRbzt=2=04=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_mww8469<81q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[iss48;58<5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWe0<<1409ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Sa{{<01=04=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_mww8429<81q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[iss48?58<5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWe0<81409ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Sa{{<05=04=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_mww84>9<81q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[iss48358=5uptkmk*ehzl%FmijPeyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSSWe0<0;1:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQ|t`ah[gYumhnP?|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPltv?648392p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?>0;1:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQ|t`ah[gYumhnP?|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPltv?608392p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?:0;1:xsqlhh'jeyi"Cnde]f|wYsgzQ8=PQ|t`ah[gYumhnP?|w}`dd#na}e.Ob`aYbp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZPltv?6<8382p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?:7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYk}}68<3:>;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Ugyy2<1?63?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQcuu>0:16RAZT^nvp929<91q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[iss4<4?<6tuhll+fium&GjhiQjxs]wkv]49TUxxlmd_c]qadb\;8WTmijP230\KPRXd|~7:3:?;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Ugyy28>528~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRbzt=:=05=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_mww8<8382p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?:7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYg{6:<3:>;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Usc2>1?62?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQwos>26;263sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]{kw:6;7>:7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYg{6:83:>;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Usc2>5?62?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQwos>22;263sz~eca cnpf+HgclVos~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU]{kw:6?7>:7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYg{6:43:>;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Usc2>9?63?vrage$ob|j/Lcg`ZczV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYYQwos>2:17RAZT^zlv9476=;0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZ~hz58:29?4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vrd~1<=>538~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRv`r=00:17RAZT^zlv9436=;0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZ~hz58>29?4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vrd~1<9>538~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRv`r=04:17RAZT^zlv94?6=;0v}{fnn-`kwc(EhnoShv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVPZ~hz58229>4zqwjjj)dg{o$Aljk_dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\Vrd~1<1409ytpoig&id~h!Baef\a}tX|fyP??R_`fg[745WF__Sua}<22=04=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_ymq8679<91q|xgao.alv`)JimnTiu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW[}iu4:4?<6tuhll+fium&GjhiQjxs]wkv]49TUxxlmd_c]qadb\;8WTmijP230\KPRXpfx783:?;{rvmki(kfxn#@okd^g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]Usc2:>528~usnff%hck M`fg[`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^XRv`r=4=05=}x|cec"m`rd-NeabXmqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[_ymq828382p{yd``/bmqa*KflmUntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?;7w~ziom,gjtb'DkohRkwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQYg{622;{rvmki(kfxn#@okd^r`j`~sWjs7==0Pru3f?vrage$ob|j/Lcg`ZvdflrSnw310<15>|w}`dd#na}e.Ob`aYwkgosxRmv<03=[wr6m2p{yd``/bmqa*KflmU{ockwt^az8449:81q|xgao.alv`)JimnT|n`jxu]`}9756Vx=h5uptkmk*ehzl%FmijPpblf|qYdq5;82??4zqwjjj)dg{o$Aljk_qama}rXkp6:?3Q}t0g8~usnff%hck M`fg[ueimq~Tot2>4?02?vrage$ob|j/Lcg`ZvdflrSnw315<\vq7b3sz~eca cnpf+HgclVzhbhv{_b{?508592p{yd``/bmqa*KflmU{ockwt^az8439W{~:i6tuhll+fium&GjhiQcog{pZe~48<5><5uptkmk*ehzl%FmijPpblf|qYdq5;=2R|{1d9ytpoig&id~h!Baef\tfhbp}Uhu1?8>338~usnff%hck M`fg[ueimq~Tot2>7?]qp4c2<;463sz~eca cnpf+HgclVzhbhv{_b{?5=8Xz};n7w~ziom,gjtb'DkohR~lndzw[f;9049=6tuhll+fium&GjhiQcog{pZe~4835Sz>d:xsqlhh'jeyi"Cnde]sgkc|Vir0<0=0:xsqlhh'jeyi"Cnde]sgkc|Vir0<0Pru3f?vrage$ob|j/Lcg`ZvdflrSnw321<15>|w}`dd#na}e.Ob`aYwkgosxRmv<32=[wr6m2p{yd``/bmqa*KflmU{ockwt^az8779:81q|xgao.alv`)JimnT|n`jxu]`}9466Vx=i5uptkmk*ehzl%FmijPpblf|qYdq585>=5uptkmk*ehzl%FmijPpblf|qYdq585Sz>d:xsqlhh'jeyi"Cnde]sgkc|Vir0>0=0:xsqlhh'jeyi"Cnde]sgkc|Vir0>0Pru3g?vrage$ob|j/Lcg`ZvdflrSnw34?03?vrage$ob|j/Lcg`ZvdflrSnw34?]qp4b6:766:Zts9m1q|xgao.alv`)JimnT|n`jxu]`}909:91q|xgao.alv`)JimnT|n`jxu]`}909W{~:h6tuhll+fium&GjhiQcog{pZe~4>49<6tuhll+fium&GjhiQcog{pZe~4>4T~y?k;{rvmki(kfxn#@okd^r`j`~sWjs743328~usnff%hck M`fg[ueimq~Tot26>^pw5`=}x|cec"m`rd-NeabXxjdntyQcuu>3:72f:xsqlhh'jeyi"Cnde]sgkc|Vrd~1?>>0d8~usnff%hck M`fg[ueimq~Ttb|313<2b>|w}`dd#na}e.Ob`aYwkgosxRv`r=30:4`f:xsqlhh'jeyi"Cnde]sgkc|Vrd~1?6>0g8~usnff%hck M`fg[ueimq~Ttb|31?3e?vrage$ob|j/Lcg`ZvdflrSua}<32=5c=}x|cec"m`rd-NeabXxjdntyQwos>15;7a3sz~eca cnpf+HgclVzhbhv{_ymq8749:;1q|xgao.alv`)JimnT|n`jxu]{kw:5:7Uyx3?j;{rvmki(kfxn#@okd^r`j`~sWqey0>0>e:xsqlhh'jeyi"Cnde]sgkc|Vrd~1:11d9ytpoig&id~h!Baef\tfhbp}Usc2:>0g8~usnff%hck M`fg[ueimq~Ttb|36?3f?vrage$ob|j/Lcg`ZvdflrSua}<6<2a>|w}`dd#na}e.Ob`aYwkgosxRv`r=:=5`=}x|cec"m`rd-NeabXxjdntyQwos>::6>1399ytpoig&id~h!Baef\twcazVy{W<:RaPaef\671XG\^Tot2<>2:8~usnff%hck M`fg[utbn{Ux|V?=]^EM@Z31WfUjhiQ=26]LQQYdq5>5?55uptkmk*ehzl%FmijPpsgevZuwS88VSJ@K_44\kZgclV89;RAZT^az8084j2p{yd``/bmqa*KflmU{~hh}_rrX57[XOGNT9;Q`_`fg[740WF__Snw35?]qp6>PQHNE]62ZiXimnT>?9POTV\g|:06:h0v}{fnn-`kwc(EhnoS}|jfs]pt^75UVMEHR;9_n]b`aY5:>UDYYQly=5=[wr402p{yd``/bmqa*KflmU{~hh}_rrX57[XOGNT9;Q`_`fg[740WF__Snw38?1a?vrage$ob|j/Lcg`ZvumoxT}U>2\]DJAY2>VeTmijP235\KPRXkp632R|{399ytpoig&id~h!Baef\twcazVy{W<UDYYQcuu>3:6?>2;8~usnff%hck M`fg[utbn{Ux|V?=]^EM@Z31WfUjhiQ=26]LQQYk}}692>74zqwjjj)dg{o$Aljk_qpfbwYtxR;9QRIAD^75[jYflmU9>:Q@UU]oqq:46:30v}{fnn-`kwc(EhnoS}|jfs]pt^75UVMEHR;9_n]b`aY5:>UDYYQcuu>7:6?2c8~usnff%hck M`fg[utbn{Ux|V?=]^EM@Z31WfUjhiQ=26]LQQYg{6:<3=k;{rvmki(kfxn#@okd^rqactX{yQ:>PQHNE]62ZiXimnT>?9POTV\|jt;994T~y=6;{rvmki(kfxn#@okd^rqactX{yQ:>PQHNE]62ZiXimnT>?9POTV\|jt;97927w~ziom,gjtb'DkohR~}egp\wu]6:TULBIQ:6^m\eabX:;=TCXZPxnp?6;5>3sz~eca cnpf+HgclVzyik|PsqY26XY@FMU>:RaPaef\671XG\^Ttb|33?1:?vrage$ob|j/Lcg`ZvumoxT}U>2\]DJAY2>VeTmijP235\KPRXpfx783=6;{rvmki(kfxn#@okd^rqactX{yQ:>PQHNE]62ZiXimnT>?9POTV\|jt;=7927w~ziom,gjtb'DkohR~}egp\wu]6:TULBIQ:6^m\eabX:;=TCXZPxnp?2;5>3sz~eca cnpf+HgclVzyik|PsqY26XY@FMU>:RaPaef\671XG\^Ttb|37?1:?vrage$ob|j/Lcg`ZvumoxT}U>2\]DJAY2>VeTmijP235\KPRXpfx743=6;{rvmki(kfxn#@okd^rqactX{yQ:>PQHNE]62ZiXimnT>?9POTV\|jt;179h7w~ziom,gjtb'DkohR~}egp\wuhbp}Q:>PQHNE]62ZiXimnT=:;POTV\g|:?6:o0v}{fnn-`kwc(EhnoS}|jfs]ptkc|R;9QRIAD^75[jYflmU:;8Q@UU]`}9>998>;7w~ziom,gjtb'DkohR~}egp\wuhbp}Q:>PQHNE]62ZiXimnT=:;POTV\|jt;07;:=9?4zqwjjj)dg{o$Aljk_qpfbwYtxgosxV?=]^EM@Z31WfUjhiQ>74]LQQYg{63212d8~usnff%hck M`fg[utbn{Ux|ckwtZ31YZAILV?=SbQnde]23=YH]]Usc29>0374>|w}`dd#na}e.Ob`aYwzllyS~~aeyvX57[XOGNT9;Q`_`fg[41?WF__Sua}<7<2545d3sz~eca cnpf+HgclVzyik|Psqlf|q]6:TULBIQ:6^m\eabX913:6eVE^XRmv<3<0g>|w}`dd#na}e.Ob`aYwzllyS~~aeyvX57[XOGNT9;Q`_`fg[4>1WF__Snw33?1`?vrage$ob|j/Lcg`ZvumoxT}`jxuY26XY@FMU>:RaPaef\5=0XG\^Tot2;>2a8~usnff%hck M`fg[utbn{Ux|ckwtZ31YZAILV?=SbQnde]2<3YH]]Uhu1;13g9ytpoig&id~h!Baef\twcazVy{bhv{[00^[BHCW<:RaPaef\5=0XG\^Tot29>2d8~usnff%hck M`fg[utbn{Ux|ckwtZ31YZAILV?=SbQnde]2<3YH]]Uhu181_sv0g>|w}`dd#na}e.Ob`aYwzllyS~~aeyvX57[XOGNT9;Q`_`fg[4>1WF__Snw37?1e?vrage$ob|j/Lcg`ZvumoxT}`jxuY26XY@FMU>:RaPaef\5=0XG\^Tot28>^pw7f=}x|cec"m`rd-NeabXx{om~R}ndzw_44ZWNDOS88Po^cg`Z7?>VE^XRmv<9<0b>|w}`dd#na}e.Ob`aYwzllyS~~aeyvX57[XOGNT9;Q`_`fg[4>1WF__Snw38?]qp6eVE^XRmv<8<\vq5c3sz~eca cnpf+HgclVzyik|Psqlf|q]6:TULBIQ:6^m\eabX9148h6tuhll+fium&GjhiQrddq[vvimq~P=?SPGOF\13YhWhnoS<69_NWW[}iu4148h6tuhll+fium&GjhiQrddq[vvimq~P=?SPGOF\13YhWhnoS<69_NWW[}iu4048o6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[f;879o7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\g|:6879o7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\g|:6979o7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\g|:6:79o7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\g|:6;79o7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\g|:6<7>;7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\g|:6<7Ug=>j4zqwjjj)dg{o$Anabp`p\a}tX|fyP?29>4zqwjjj)dg{o$Anabp`p\a}tX|fyP?2Rmv409ytpoig&id~h!BcnosewYbp{Uc~U<1\]mkv]49TUGi~}[h`l\64>XgVir0<;1_b{204=}x|cec"m`rd-Ngjkwi{UntQ{orY05XYigzQ8=PQCerqWldhX:82TcRmv<07=[iss<;1q|xgao.alv`)Jkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^az8439We=>m4zqwjjj)dg{o$Anabp`p\a}tX|fyP?8^m\g|:36:i0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]`}939;j1q|xgao.alv`)Jkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^az8384k2p{yd``/bmqa*Kdgdzj~Rkwr^vlw^56UVddV=>]^NfwvRoigU9=5Q`_b{?3;5d3sz~eca cnpf+HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPcx>;:6e25;5b3sz~eca cnpf+HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPltv?5784m2p{yd``/bmqa*Kdgdzj~Rkwr^vlw^56UVddV=>]^NfwvRoigU9=5Q`_mww8459;l1q|xgao.alv`)Jkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvp9736:n0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:66:n0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:56:n0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:46:n0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:36:n0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:26:n0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:16:n0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:06:n0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:?6:n0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqq:>6:i0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqqbn;m1q|xgao.alv`)Jkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao6;l1q|xgao.alv`)Jkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao68:o0v}{fnn-`kwc(Ejef|l|Peyp\pju\;8WTbb}T30_\H`ut\akeS??7_n]oqqbn989n7w~ziom,gjtb'Dida}o}_dzq[qitS:;VSca|[23^[Ict{]bjbR<>8^m\hprca888i6tuhll+fium&Ghc`~nr^g{vZrh{R9:QR``sZ12YZJb{z^cmcQ=19]l[issl`;8?h5uptkmk*ehzl%Fobcas]f|wYsgzQ8=PQaorY05XYKmzy_dl`P20:\kZjr|mc:8>j4zqwjjj)dg{o$Anabp`p\a}tX|fyP?>j4zqwjjj)dg{o$Anabp`p\a}tX|fyP?j4zqwjjj)dg{o$Anabp`p\a}tX|fyP?j4zqwjjj)dg{o$Anabp`p\a}tX|fyP?j4zqwjjj)dg{o$Anabp`p\a}tX|fyP?j4zqwjjj)dg{o$Anabp`p\a}tX|fyP?j4zqwjjj)dg{o$Anabp`p\a}tX|fyP?j4zqwjjj)dg{o$Anabp`p\a}tX|fyP?m4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYdq5:5?i5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZe~48:5?i5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZe~48;5?i5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZe~4885?i5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZe~4895?i5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZe~48>58=5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZe~48>5Sa??R_dzq[qitS:;VSAk|sUjbjZ458VeTot2>5?]`}4263sz~eca cnpf+HeheykySca|[23^[`~uW}exW>?R_MgpwQnffV8921;Yk}}>97w~ziom,gjtb'Dida}o}_omp_67ZWlrySya|[23^[Ict{]bjbR<=0^m\g|:6=7Ugyy?m4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYdq595?n5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZe~4=48o6tuhll+fium&Ghc`~nr^llw^56UVos~Rz`sZ12YZJb{z^cmcQ=21]l[f;=79h7w~ziom,gjtb'Dida}o}_omp_67ZWlrySya|[23^[Ict{]bjbR<=0^m\g|:16:i0v}{fnn-`kwc(Ejef|l|PnnqX74[XmqxTxb}T30_\H`ut\akeS??>Po^az8=84k2p{yd``/bmqa*Kdgdzj~R``sZ12YZczV~dV=>]^NfwvRoigU9>=Q`_b{?=;5c3sz~eca cnpf+HeheykySca|[23^[`~uW}exW>?R_MgpwQnffV89?R_MgpwQnffV89]^NfwvRoigU9>=Q`_mww8479;l1q|xgao.alv`)Jkfg{mQaorY05XYbp{Uc~U<1\]OavuS`hdT>?>Po^nvp9756:o0v}{fnn-`kwc(Ejef|l|PnnqX74[XmqxTxb}T30_\H`ut\akeS?48h6tuhll+fium&Ghc`~nr^llw^56UVos~Rz`sZ12YZJb{z^cmcQ=21]l[iss4148h6tuhll+fium&Ghc`~nr^llw^56UVos~Rz`sZ12YZJb{z^cmcQ=21]l[iss4048o6tuhll+fium&Ghc`~nr^llw^56UVos~Rz`sZ12YZJb{z^cmcQ=21]l[issl`9o7w~ziom,gjtb'Dida}o}_omp_67ZWlrySya|[23^[Ict{]bjbR<=0^m\hprca89n7w~ziom,gjtb'Dida}o}_omp_67ZWlrySya|[23^[Ict{]bjbR<=0^m\hprca8:8i6tuhll+fium&Ghc`~nr^llw^56UVos~Rz`sZ12YZJb{z^cmcQ=21]l[issl`;:?h5uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZjr|mc:>>k4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}nb=>=j;{rvmki(kfxn#@m`mqcq[kitS:;VShv}_ump_67ZWEoxYfnn^014ZiXd|~oe<:<8Po^az8469;01q|xgao.alv`)Jkfg{mQaorY05XYbp{Uc~U<1\]fupgkW;;=SbQly=3=7<=}x|cec"m`rd-Ngjkwi{Uec~U<1\]f|wYsgzQ8=PQjqtco[771WfUhu1<1389ytpoig&id~h!BcnosewYigzQ8=PQjxs]wkv]49TUn}xoc_335[jYdq595?45uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYby|kgS??9_n]`}929;01q|xgao.alv`)Jkfg{mQaorY05XYbp{Uc~U<1\]fupgkW;;=SbQly=7=7<=}x|cec"m`rd-Ngjkwi{Uec~U<1\]f|wYsgzQ8=PQjqtco[771WfUhu181389ytpoig&id~h!BcnosewYigzQ8=PQjxs]wkv]49TUn}xoc_335[jYdq5=5?45uptkmk*ehzl%Fobcas]mkv]49TUntQ{orY05XYby|kgS??9_n]`}9>9;01q|xgao.alv`)Jkfg{mQaorY05XYbp{Uc~U<1\]fupgkW;;=SbQly=;=7d=}x|cec"m`rd-Ngjkwi{Uec~U<1\]f|wYsgzQ8=PQjqtco[771WfUgyy2?>2`8~usnff%hck MbmntdtXffyP??R_dsveiY59?UdSa{{<5<0e>|w}`dd#na}e.O`khvfzVddV=>]^g{vZrh{R9:QRk~u`n\640XgVf~x1;13`9ytpoig&id~h!BcnosewYigzQ8=PQjxs]wkv]49TUn}xoc_335[jYk}}6=2>o4zqwjjj)dg{o$Anabp`p\jju\;8WTiu|PtnqX74[Xmxj`R<>6^m\hpr;?79j7w~ziom,gjtb'Dida}o}_omp_67ZWlrySya|[23^[`wrieU9=;Q`_mww8=84i2p{yd``/bmqa*Kdgdzj~R``sZ12YZczV~dV=>]^grqdjX:8c:xsqlhh'jeyi"Cbuy]\j456>8UX[=?i;{rvmki(kfxn#@czx^abgmcX{}xdnh?>329ytpoig&id~h!Bmtz\gdeomV|j`djPGOF\13YhWD_SS?7;_n3144533sz~eca cnpf+HkrpVijoekPv`nj`ZAILV?=SbQBUY]1=1Yh9;::=>=4zqwjjj)dg{o$A`{w_bc`l`YqiecoSJ@K_44\kZKRPV828Ra>20100>|w}`dd#na}e.Onq}YdijbnS{ocie]DJAY2>VeTAXVP286\k446;8987w~ziom,gjtb'Dg~tRmncig\rdjnlVMEHR;9_n]NQ]Y51=Ud=?;>299ytpoig&id~h!Bmtz\``ehllIdby|`l/vLtficm8;946tuhll+fium&GfyuQkebmgaFii|{eg"yAcnff644?3sz~eca cnpf+HkrpVnnobjjCnlwvjj)|Fzhcik<13:8~usnff%hck Mlw{[acdgmoHccz}om,wKuehll>:>55uptkmk*ehzl%FaxvPddal``Ehf}xd`#z@pbmga07502p{yd``/bmqa*Kj}qUoinakeBmmpwik&}E{objj600b?vrage$ob|j/Lov|ZbbkfnnOb`{rnn-pJvdgmo==R?=8:xsqlhh'jeyi"Cbuy]gaficmJeexac.uMsgjbb?88j7w~ziom,gjtb'Dg~tRjjcnffGjhszff%xB~loeg45Z76m2p{yd``/bmqa*Kj}qUoinake^hviu769o1q|xgao.alv`)Je|rThhm`dd]iqhv698;n7w~ziom,gjtb'Dg~tRjjcnff[osjx889<6tuhll+fium&GfyuQkebmgaZlrey;9S<<>;{rvmki(kfxn#@czx^ffgjbbWeejhR}106`?vrage$ob|j/Lov|Zbbk{UxxlmdOqgqeqohfR;VS}|jfs]ptkc|R;9QRyfdd]nq}Y6?0UDYY?>25f8~usnff%hck Mlw{[acdzVymne@pdpbpliiS8WT|kir^qsj`~sS88VSzgke^ov|Z701VE^X:=i0v}{fnn-`kwc(EdsSiklr^qwefmHxlxjxdaa[0_\twcazVy{bhv{[00^[rocmVg~tR?89^MVP<46;:1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=<=;;{rvmki(kfxn#@czx^ffgwYt|h~nW:RaPMtz\56?Xg8;;=>84zqwjjj)dg{o$A`{w_eg`vZusi}oP=PQHNE]62ZiXE|rT=>7Po033545?3sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;:VeTAxvP12;\k476;11q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=|w}`dd#na}e.Onq}YcmjxTyo{eZ3^[BHCW<2^QT462:RaPMtz\56?Xg8;8?55uptkmk*ehzl%FaxvPddaq[vrf|lQ:QRIAD^75[jYJ}qU:?4Q`101\WR64<2p{yd``/bmqa*Kj}qUoin|Psucwa^7ZWNDOS88Po^Ov|Z741Ve:=9=7;{rvmki(kfxn#@czx^ffgwYt|h~nW0v}{fnn-`kwc(EdsSiklr^qweqc\9TULBIQ:6^m\Ip~X9:3Tc]^EM@Z31WfUFyuQ>38]l543X[^:886tuhll+fium&GfyuQkebp\wqgsmR;VSJ@K_44\kZKrpV;85Ra>171;?vrage$ob|j/Lov|Zbbk{Uxxlzj[0_\CKBX=?UdS@{w_01:[j76>VY\<>:4zqwjjj)dg{o$A`{w_eg`vZusi}oP=PQHNE]62ZiXE|rT=>7Po0347==}x|cec"m`rd-Nip~XlliyS~zntdY2YZAILV?=SbQBuy]27<4:xsqlhh'jeyi"Cbuy]gaftX{}kiV?R_FLG[00XgVG~tR?<9^m25=5?3sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;:4R]X0268~usnff%hck Mlw{[acdzVymykT1\]DJAY2>VeTAxvP12;\k47>;11q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=<7PSV207>|w}`dd#na}e.Onq}YcmjxTyo{eZ3^[BHCW<0v}{fnn-`kwc(EdsSiklr^qweqc\9TULBIQ:6^m\Ip~X9:3Tc<]^EM@Z31WfUFyuQ>38]l5766;?1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=?>>1278~usnff%hck Mlw{[acdzVymykT1\]DJAY2>VeTAxvP12;\k447::<0v}{fnn-`kwc(EdsSiklr^qweqc\9TULBIQ:6^m\Ip~X9:3Tc<VeTAxvP12;\k4469:?0v}{fnn-`kwc(EdsSiklr^qweqc\9TULBIQ:6^m\Ip~X9:3Tc<<>2278~usnff%hck Mlw{[acdzVymykT1\]DJAY2>VeTAxvP12;\k446;:>0v}{fnn-`kwc(EdsSiklr^qweqc\9TULBIQ:6^m\Ip~X9:3Tc<<=349ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l5746;<1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=?<=349ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l5744;=1q|xgao.alv`)Je|rThhm}_rvbp`]6UVMEHR;9_n]Nq}Y6;0Ud=?=<5:xsqlhh'jeyi"Cbuy]gaftX{}kiV?R_FLG[00XgVG~tR?<9^m26674=2p{yd``/bmqa*Kj}qUoin|Psucwa^7ZWNDOS88Po^Ov|Z741Ve:>><<5:xsqlhh'jeyi"Cbuy]gaftX{}kiV?R_FLG[00XgVG~tR?<9^m26654<2p{yd``/bmqa*Kj}qUoin|Psucwa^7ZWNDOS88Po^Ov|Z741Ve:>9=:;{rvmki(kfxn#@czx^ffgwYt|h~nWWf;98?=:;{rvmki(kfxn#@czx^ffgwYt|h~nWWf;9S^Y?329ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l56503sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;8S^Y?329ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l51503sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;?S^Y?329ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l50503sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;>S^Y?329ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l53503sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;=S^Y?329ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l52503sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;]^EM@Z31WfUFyuQ>38]l5=503sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;3S^Y?329ytpoig&id~h!Bmtz\``euWz~jxhU>]^EM@Z31WfUFyuQ>38]l5<503sz~eca cnpf+HkrpVnnoQ|t`vf_4[XOGNT9;Q`_Lw{[45>Wf;2S^Y?349ytpoig&id~h!Bmtz\``euWz~jxhU>]^ffgwYezlk`S@{w_071[j769h1q|xgao.alv`)Je|rTiu{Pbtqz54d|w}`dd#na}e.Onq}Ybp|Uiy~w=103e?vrage$ob|j/Lov|Zc}Vh~t<<_RU35g=}x|cec"m`rd-Nip~XmqTnx}v253e?vrage$ob|j/Lov|Zc}Vh~t<;_RU35c=}x|cec"m`rd-Nip~XdzThlzn_rgo547a3sz~eca cnpf+HkrpVfxyRjnt`]pai469o1q|xgao.alv`)Je|rT`~{Pd`vb[vck;8;m7w~ziom,gjtb'Dg~tRb|u^fbpdYtme>:>?5uptkmk*ehzl%FaxvPlrw\`drfW~xbxh??1338~usnff%hck Mlw{[iurWmkmRy}iug2574|w}`dd#na}e.Onq}Yk{|UomyoPwskwa415=2p{yd``/bmqa*Kj}qUgxQkauc\swosm8=T_Z?=1:xsqlhh'jeyi"Cbuy]owpYci}kT{g{e0:11>|w}`dd#na}e.Onq}Yk{|UomyoPwskwa4>X[^;9=6tuhll+fium&GfyuQcst]geqgX{ci<7=5:xsqlhh'jeyi"Cbuy]owpYci}kT{g{e0;\WR7592p{yd``/bmqa*Kj}qUgxQkauc\swosm;:996tuhll+fium&GfyuQcst]geqgX{ci?>PSV315>|w}`dd#na}e.Onq}Yk{|UomyoPwskwa775=2p{yd``/bmqa*Kj}qUgxQkauc\swosm;;T_Z?=1:xsqlhh'jeyi"Cbuy]owpYci}kT{g{e3011>|w}`dd#na}e.Onq}Yk{|UomyoPwskwa74X[^;9=6tuhll+fium&GfyuQcst]geqgX{ci?==2:xsqlhh'jeyi"Cbuy]owpYci}kT{g{e31264=}x|cec"m`rd-Nip~XdzThlzn_vpjp`43:<1q|xgao.alv`)Je|rT`~{Pd`vb[rtn|l8?S^Y>209ytpoig&id~h!Bmtz\hvsXlh~jSz|ftd0660=}x|cec"m`rd-Nip~XdzThlzn_vpjp`42WZ]:>?5uptkmk*ehzl%FaxvPlrw\`drfW~xbxh<91308~usnff%hck Mlw{[iurWmkmRy}iug134453sz~eca cnpf+HkrpVfxyRjnt`]tvlrb:1;9>6tuhll+fium&GfyuQcst]geqgX{ci?7>239ytpoig&id~h!Bmtz\hvsXlh~jSz|ftd13574|w}`dd#na}e.Onq}Yk{|UomyoPwskwa17592p{yd``/bmqa*Kj}qUgxQkauc\swosm<;9=6tuhll+fium&GfyuQcst]geqgX{ci;?=1:xsqlhh'jeyi"Cbuy]owpYci}kT{g{e6315>|w}`dd#na}e.Onq}Yk{|UomyoPwskwa=7592p{yd``/bmqa*Kj}qUgxQkauc\swosm0;:56tuhll+fium&GfyuQcst]qat76l2p{yd``/bmqa*Kj}qUgxQ}ep3\WR6592p{yd``/bmqa*Kj}qUgxQ}ep3\WR6XN[H:;6tuhll+fium&GfyuQaor335401q|xgao.alv`)Je|rTbb}>2034?vrage$ob|j/Lov|Zhh{89:=:5uptkmk*ehzl%FaxvPnnq204703sz~eca cnpf+HkrpVdd<;>169ytpoig&id~h!Bmtz\jju6>8;<7w~ziom,gjtb'Dg~tR``s05252=}x|cec"m`rd-Nip~Xffy:41q|xgao.alv`)Je|rTbb}=0034?vrage$ob|j/Lov|Zhh{;;:=;5uptkmk*ehzl%FaxvPnnq1641??>7:xsqlhh'jeyi"Cbuy]mkv4498=0v}{fnn-`kwc(EdsSca|25323>|w}`dd#na}e.Onq}Yigz8>=<94zqwjjj)dg{o$A`{w_omp6376?2p{yd``/bmqa*Kj}qUec~<81058~usnff%hck Mlw{[kit:1;:;6tuhll+fium&GfyuQaor0:5417:xsqlhh'jeyi"Cbuy]mkv5698=0v}{fnn-`kwc(EdsSca|33322>|w}`dd#na}e.Onq}Yigz98=;5uptkmk*ehzl%FaxvPnnq75402p{yd``/bmqa*Kj}qUec~9>179ytpoig&id~h!Bmtz\jju?98<0v}{fnn-`kwc(EdsSca|901a?vrage$ob|j/Lov|ZvumoxT}`jxuY26XYtxVfdmiU>2\]nq}Y602WF__==?2\]ptZjhimQ:>PQbuy]2<0YH]];;?>l4zqwjjj)dg{o$A`{w_qpfbwYtxgosxV?=]^qs[iiflR;9QRczx^3;1ZIR\8;8o6tuhll+fium&GfyuQrddq[vvimq~P=?SPsq]okdb\9;WTaxvP197\KPR6989h7w~ziom,gjtb'Dg~tR~}egp\wuhbp}Q:>PQ|p^nlea]6:TUfyuQ>84]LQQ76::i0v}{fnn-`kwc(EdsS}|jfs]ptkc|R;9QR}_mmb`^75UVg~tR?75^MVP474;k1q|xgao.alv`)Je|rT|kir^qsj`~sS88VS~~Plncg_44ZWdsS<6:_NWW575e3sz~eca cnpf+HkrpVzyik|Psqlf|q]6:TUx|Rb`aeY26XYj}qU:48Q@UU027d=}x|cec"m`rd-Nip~Xx{om~R}ndzw_44ZWzzT`bok[00^[hsW82>SB[[32g8~usnff%hck Mlw{[utbn{Ux|ckwtZ31YZuwWeejhV?=]^ov|Z7?=VE^X>Q\W11b?vrage$ob|j/Lov|ZvumoxT}`jxuY26XYtxVfdmiU>2\]nq}Y602WF__9<=n;{rvmki(kfxn#@czx^rqactX{ydntyU>2\]ptZjhimQ:>PQbuy]2<0YH]]<8i6tuhll+fium&GfyuQrddq[vvimq~P=?SPsq]okdb\9;WTaxvP197\KPR1WZ]:?l5uptkmk*ehzl%FaxvPpsgevZuwflrW<PQ|p^nlea]6:TUfyuQ>84]LQQ>4j2p{yd``/bmqa*Kj}qU{~hh}_rrma}r\9;WT}Qco`fX57[Xe|rT=5;POTV;56eSB[[831a?vrage$ob|j/Lov|ZvumoxT}`jxuY26XYtxVfdmiU>2\]nq}Y602WF__49=m;{rvmki(kfxn#@czx^rqactX{ydntyU>2\]ptZjhimQ:>PQbuy]2<0YH]]3:?o5uptkmk*ehzl%FaxvPpsgevZuwflrW<PQ|p^nlea]6:TUfyuQ>84]LQQ?5WO9o7w~ziom,gjtb'Dg~tR~}egp\wuhbp}Q:>PQ|p^nlea]6:TUfyuQ>84]LQQ?5WN9i7w~ziom,gjtb'Dg~tR~}egp\wuhbp}Q:>PQ|p^nlea]6:TUfyuQ>84]LQQ?4:11q|xgao.alv`)Je|rTlcldfGaficmmE{objj1001<>|w}`dd#na}e.Onq}YtidzgiiJjcnff`Jvdgmo:=>|w}`dd#na}e.Onq}YtidzgiiJjcnff`Jvdgmo:>?64zqwjjj)dg{o$A`{w_rcnticcLlidhhj@pbmga446:11q|xgao.alv`)Je|rTlcldfGaficmmE{objj1301<>|w}`dd#na}e.Onq}YtidzgiiJjcnff`Jvdgmo:>><8;{rvmki(kfxn#@czx^qbiujblMohcikkOqal``74:11q|xgao.alv`)Je|rTlcldfGaficmmE{objj1231<>|w}`dd#na}e.Onq}YtidzgiiJjcnff`Jvdgmo:??<7;{rvmki(kfxn#@czx^qbiujblMohcikkOqal``74;;20v}{fnn-`kwc(EdsS~obpmgg@`ehllnD|nake0176<=}x|cec"m`rd-Nip~X{hg{`hjKebmgaaIwkfnn=>QI289ytpoig&id~h!Bmtz\wdkwdlnOinakeeMsgjbb9:UL>:5uptkmk*ehzl%FaxvPs`osh`bCmjeoiiAcnff514?3sz~eca cnpf+HkrpVyja}bjdEg`kaccGyidhh?;13:8~usnff%hck Mlw{[vgjxeooHhm`ddfLtficm8>9>55uptkmk*ehzl%FaxvPs`osh`bCmjeoiiAcnff515502p{yd``/bmqa*Kj}qUxm`~ceeFfgjbblFzhcik>500;?vrage$ob|j/Lov|ZufeyfnhIkloeggKuehll;>>?64zqwjjj)dg{o$A`{w_rcnticcLlidhhj@pbmga434:>1q|xgao.alv`)Je|rTlcldfGaficmmE{objj170;?vrage$ob|j/Lov|ZufeyfnhIkloeggKuehll;==?64zqwjjj)dg{o$A`{w_rcnticcLlidhhj@pbmga405:11q|xgao.alv`)Je|rTlcldfGaficmmE{objj17113>|w}`dd#na}e.Onq}YtidzgiiJjcnff`Jvdgmo:;?64zqwjjj)dg{o$A`{w_rcnticcLlidhhj@pbmga416:01q|xgao.alv`)Je|rTlcldfGaficmmE{objj16326g=}x|cec"m`rd-Nip~X{hg{`hjKebmgaaIwkfnn=:Q\W104?vrage$ob|j/Lov|ZufeyfnhIkloeggKuehll;3>o5uptkmk*ehzl%FaxvPs`osh`bCmjeoiiAcnff5=YT_98<7w~ziom,gjtb'Dg~tR}nmqnf`AcdgmooC}m`dd3:62=}x|cec"m`rd-Nip~X{hg{`hjKebmgaaIwkfnn><<8;{rvmki(kfxn#@czx^qbiujblMohcikkOqal``56:>1q|xgao.alv`)Je|rTlcldfGaficmmE{objj4005?vrage$ob|j/Lov|ZufeyfnhIkloeggKuehll?9;6tuhll+fium&GfyuQ|alroaaBbkfnnhB~loeg6571|w}`dd#na}e.Onq}YtidzgiiJjcnff`Jvdgmo==?94zqwjjj)dg{o$A`{w_rcnticcLlidhhj@pbmga345?2p{yd``/bmqa*Kj}qUxm`~ceeFfgjbblFzhcik953`8~usnff%hck Mlw{[vgjxeooHhm`ddfLtficm??T_Z>>f:xsqlhh'jeyi"Cbuy]ppdelWhUjhi|>0328~usnff%hck Mlw{[vrfkbUjSljkr02264=}x|cec"m`rd-Nip~X{}khgRoPaefq5576:81q|xgao.alv`)Je|rTyolk^c\eabu99;9>=5uptkmk*ehzl%FaxvPsuc`oZgXimny==<=4:xsqlhh'jeyi"Cbuy]ppdelWhUjhi|>03]PS5473sz~eca cnpf+HkrpVymnePa^cg`w77;;;0v}{fnn-`kwc(EdsS~zncj]b[dbcz8:8=??4zqwjjj)dg{o$A`{w_rvbgnYfWhno~<>;1308~usnff%hck Mlw{[vrfkbUjSljkr02754443sz~eca cnpf+HkrpVymnePa^cg`w77WZ];=k5uptkmk*ehzl%FaxvPsuc`oZgXimny=?<<;{rvmki(kfxn#@czx^qwefmXiVkoh?=_RU35c=}x|cec"m`rd-Nip~X{}khgRoPaefq51443sz~eca cnpf+HkrpVymnePa^cg`w73WZ];=k5uptkmk*ehzl%FaxvPsuc`oZgXimny=;<<;{rvmki(kfxn#@czx^qwefmXiVkoh?9_RU35c=}x|cec"m`rd-Nip~X{}khgRoPaefq5=443sz~eca cnpf+HkrpVymnePa^cg`w7?WZ];=h5uptkmk*ehzl%FaxvPsuc`oZgXimny>=3:xsqlhh'jeyi"Cbuy]ppdelWhUjhi|=0^QT44`|w}`dd#na}e.Onq}Yt|hi`SlQndep7[VQ79l1q|xgao.alv`)Je|rTyolk^c\eabu>;80v}{fnn-`kwc(EdsS~zncj]b[dbcz?UX[=?j;{rvmki(kfxn#@czx^qwefmXiVkoh6=2:xsqlhh'jeyi"Cbuy]ppdelWhUjhi|7_RU365=}x|cec"m`rd-Nip~X{}khgRoPeocah`75:2p{yd``/bmqa*Kj}qUxxlmd_`]fjddkm8;:>?5uptkmk*ehzl%FaxvPsuc`oZgXmgki`h?>2318~usnff%hck Mlw{[vrfkbUjSh`nbmg25775=2p{yd``/bmqa*Kj}qUxxlmd_`]fjddkm8;9=R?=2:xsqlhh'jeyi"Cbuy]ppdelWhUnbllce03061=}x|cec"m`rd-Nip~X{}khgRoPeocah`7X[^::j6tuhll+fium&GfyuQ|t`ah[dYpz`~n=??4zqwjjj)dg{o$A`{w_rvbgnYfW~xbxh??1308~usnff%hck Mlw{[vrfkbUjSz|ftd3354473sz~eca cnpf+HkrpVymnePa^uqmqc69;;0v}{fnn-`kwc(EdsS~zncj]b[rtn|l;:=?>4zqwjjj)dg{o$A`{w_rvbgnYfW~xbxh?=209ytpoig&id~h!Bmtz\wqgdcVkT{g{e00264=}x|cec"m`rd-Nip~X{}khgRoPwskwa456:81q|xgao.alv`)Je|rTyolk^c\swosm8>:><5uptkmk*ehzl%FaxvPsuc`oZgX{ci<;>209ytpoig&id~h!Bmtz\wqgdcVkT{g{e04267=}x|cec"m`rd-Nip~X{}khgRoPwskwa4069;;0v}{fnn-`kwc(EdsS~zncj]b[rtn|l;<=??4zqwjjj)dg{o$A`{w_rvbgnYfW~xbxh?71338~usnff%hck Mlw{[vrfkbUjSz|ftd3:577<5uptkmk*ehzl%FaxvPsuc`oZgX{ci??>209ytpoig&id~h!Bmtz\wqgdcVkT{g{e30264=}x|cec"m`rd-Nip~X{}khgRoPwskwa756:81q|xgao.alv`)Je|rTyolk^c\swosm;>:><5uptkmk*ehzl%FaxvPsuc`oZgX{ci?;>209ytpoig&id~h!Bmtz\wqgdcVkT{g{e34264=}x|cec"m`rd-Nip~X{}khgRoPwskwa716:81q|xgao.alv`)Je|rTyolk^c\swosm;2:><5uptkmk*ehzl%FaxvPsuc`oZgX{ci?7>209ytpoig&id~h!Bmtz\wqgdcVkT{g{e22264=}x|cec"m`rd-Nip~X{}khgRoPwskwa665:;1q|xgao.alv`)Je|rTyolk^c\swosm::9=?<4zqwjjj)dg{o$A`{w_rvbgnYfW~xbxh=?2300?vrage$ob|j/Lov|ZusijaTmRy}iug04746:81q|xgao.alv`)Je|rTyolk^c\swosm:;:><5uptkmk*ehzl%FaxvPsuc`oZgX{ci><>219ytpoig&id~h!Bmtz\wqgdcVkT{g{e2114>|w}`dd#na}e.Onq}Yt|hi`SlQxrhvf04473sz~eca cnpf+HkrpVymnePa^uqmqc29;:0v}{fnn-`kwc(EdsS~zncj]b[rtn|l<:>=5uptkmk*ehzl%FaxvPsuc`oZgX{ci:?=0:xsqlhh'jeyi"Cbuy]ppdelWhU|~dzj8003?vrage$ob|j/Lov|ZusijaTmRy}iug:5702p{yd``/bmqa*Kj}qUxxlmd_`]tvlrbmgki`h<=279ytpoig&id~h!Bmtz\wqgdcVkT{g{edlbfic49;<0v}{fnn-`kwc(EdsS~zncj]b[rtn|loemobj403f?vrage$ob|j/Lov|ZusijaTnRokds314>|w}`dd#na}e.Onq}Yt|hi`SoQndep2447a3sz~eca cnpf+HkrpVymnePb^cg`w76::1q|xgao.alv`)Je|rTyolk^`\eabu98UX[=<=;{rvmki(kfxn#@czx^qwefmXjVkoh?PSV22b>|w}`dd#na}e.Onq}Yt|hi`SoQndep154`|w}`dd#na}e.Onq}Yt|hi`SoQndep654`|w}`dd#na}e.Onq}Yt|hi`SoQndep:67=}x|cec"m`rd-Nip~X{}khgRlPaefq=ZUP8:<0v}{fnn-`kwc(EdsSyAcnff[vckS8WTKCJP57]l[hsW>3TCXZ>1314?vrage$ob|j/Lov|ZrHxjeoiR}jlZ3^[BHCW<]^EM@Z31WfUfyuQ73^MVP414>2p{yd``/bmqa*Kj}qUC}m`dd]pai]6UVMEHR;9_n]nq}Y?;VE^X<9>379ytpoig&id~h!Bmtz\pJvdgmoThbT1\]DJAY2>VeTaxvP82]LQQ70::<0v}{fnn-`kwc(EdsSyAcnff[vckS8WTKCJP57]l[hsW19TCXZ>7215?vrage$ob|j/Lov|ZrHxjeoiR}jlZ3^[BHCW<>8:6tuhll+fium&GfyuQ{Oqal``YtmeQ:QRIAD^75[jYj}qU3?RAZT05673=}x|cec"m`rd-Nip~X|FzhcikPsdnX5XY@FMU>:RaPmtz\<6YH]];<:>84zqwjjj)dg{o$A`{w_uMsgjbbWzogW4WF__=5?=369ytpoig&id~h!Bmtz\pJvdgmoThbT1\]DJAY2>VeTaxvP82]LQQ7?9?927w~ziom,gjtb'Dg~tRz@pbmgaZubdR;VSJ@K_44\kZkrpV28SB[[1935[C5>3sz~eca cnpf+HkrpV~D|nake^qfh^7ZWNDOS88Po^ov|Z>4WF__=5?9_F1a?vrage$ob|j/Lov|ZrHxjeoiR}jlZ3^[BHCW<|w}`dd#na}e.Onq}YpW`dBbyk}rtrw547582p{yd``/bmqa*Kj}qU|Sd`Fnugqvpvs9;;37w~ziom,gjtb'Dg~tRyPtnq244?|w}`dd#na}e.Onq}YpW}ex==?>40`8~usnff%hck Mlw{[rYsgz;;=<;>b:xsqlhh'jeyi"Cbuy]t[qit99;::0012e>|w}`dd#na}e.Onq}YpW}ex==?;1`9ytpoig&id~h!Bmtz\sZrh{8::9|w}`dd#na}e.Onq}YpW}ex==:>189ytpoig&id~h!Bmtz\sZrh{8:>=l5uptkmk*ehzl%FaxvPw^vlw46298h0v}{fnn-`kwc(EdsSzQ{or331466j2p{yd``/bmqa*Kj}qU|Sya|117254ga:xsqlhh'jeyi"Cbuy]t[qit99??=l5uptkmk*ehzl%FaxvPw^vlw462?8o0v}{fnn-`kwc(EdsSzQ{or3312YT_9;j7w~ziom,gjtb'Dg~tRyPtnq240>6i2p{yd``/bmqa*Kj}qU|Sya|117:5<=}x|cec"m`rd-Nip~XV~d<>9189ytpoig&id~h!Bmtz\sZrh{8:<=45uptkmk*ehzl%FaxvPw^vlw46?901q|xgao.alv`)Je|rT{Rz`s02:5d=}x|cec"m`rd-Nip~XV~d<>610`8~usnff%hck Mlw{[rYsgz;;5<>>b:xsqlhh'jeyi"Cbuy]t[qit993:=9>;h7w~ziom,gjtb'Dg~tRyPtnq24<7098k0v}{fnn-`kwc(EdsSzQ{or33=77f3sz~eca cnpf+HkrpV}Txb}>0812e>|w}`dd#na}e.Onq}YpW}ex==7;1`9ytpoig&id~h!Bmtz\sZrh{8:29690c8~usnff%hck Mlw{[rYsgz;:815375c=}x|cec"m`rd-Nip~XV~d1502a>|w}`dd#na}e.Onq}YpW}ex=<:=_RU35d=}x|cec"m`rd-Nip~XV~d493b?vrage$ob|j/Lov|ZqX|fy:=97>a:xsqlhh'jeyi"Cbuy]t[qit983:=o5uptkmk*ehzl%FaxvPw^vlw47>99;i7w~ziom,gjtb'Dg~tRyPtnq25<769k1q|xgao.alv`)Je|rT{Rz`s03:577e3sz~eca cnpf+HkrpV}Txb}>18305g=}x|cec"m`rd-Nip~XV~de:xsqlhh'jeyi"Cbuy]t[qit9839S^Y?1`9ytpoig&id~h!Bmtz\sZrh{8;2?18k0v}{fnn-`kwc(EdsSzQ{or31047e3sz~eca cnpf+HkrpV}Txb}>25335g=}x|cec"m`rd-Nip~XV~d<<;103a?vrage$ob|j/Lov|ZqX|fy:>9?=1c9ytpoig&id~h!Bmtz\sZrh{88?=>?m;{rvmki(kfxn#@czx^u\pju6:=;?=k5uptkmk*ehzl%FaxvPw^vlw4439=UX[=?n;{rvmki(kfxn#@czx^u\pju6:=8:i6tuhll+fium&GfyuQx_ump5725WZ];=l5uptkmk*ehzl%FaxvPw^vlw443;8k0v}{fnn-`kwc(EdsSzQ{or31017f3sz~eca cnpf+HkrpV}Txb}>2572e>|w}`dd#na}e.Onq}YpW}ex=?:91`9ytpoig&id~h!Bmtz\sZrh{88?;4?>1c9ytpoig&id~h!Bmtz\sZrh{882=??m;{rvmki(kfxn#@czx^u\pju6:0;8=o5uptkmk*ehzl%FaxvPw^vlw44>9=;m7w~ziom,gjtb'Dg~tRyPtnq26<73WZ];=l5uptkmk*ehzl%FaxvPw^vlw44>:8o0v}{fnn-`kwc(EdsSzQ{or31=7YT_9;j7w~ziom,gjtb'Dg~tRyPtnq26<56i2p{yd``/bmqa*Kj}qU|Sya|13;75d=}x|cec"m`rd-Nip~XV~d<<650c8~usnff%hck Mlw{[rYsgz;95;?n;{rvmki(kfxn#@czx^u\pju6:0=:m6tuhll+fium&GfyuQx_ump57??9h1q|xgao.alv`)Je|rT{Rz`s00:=4g?6;{rvmki(kfxn#@czx^u\pju6;<;j7w~ziom,gjtb'Dg~tRyPtnq27076j2p{yd``/bmqa*Kj}qU|Sya|127244d|w}`dd#na}e.Onq}YpW}ex=>;>20`8~usnff%hck Mlw{[rYsgz;89<=>b:xsqlhh'jeyi"Cbuy]t[qit9:?:8T_Z>>b:xsqlhh'jeyi"Cbuy]t[qit9:?:93412f>|w}`dd#na}e.Onq}YpW}ex=>;<10c8~usnff%hck Mlw{[rYsgz;899?l;{rvmki(kfxn#@czx^u\pju6;<>:=34425d=}x|cec"m`rd-Nip~XV~d<=:70`8~usnff%hck Mlw{[rYsgz;89:?>c:xsqlhh'jeyi"Cbuy]t[qit9:?<=|w}`dd#na}e.Onq}YpW}ex=9?>a:xsqlhh'jeyi"Cbuy]t[qit9=;:=o5uptkmk*ehzl%FaxvPw^vlw42699;m7w~ziom,gjtb'Dg~tRyPtnq20477WZ];=o5uptkmk*ehzl%FaxvPw^vlw42698;i7w~ziom,gjtb'Dg~tRyPtnq204759k1q|xgao.alv`)Je|rT{Rz`s062567e3sz~eca cnpf+HkrpV}Txb}>40375d=}x|cec"m`rd-Nip~XV~d<:>20c8~usnff%hck Mlw{[rYsgz;?=>?m;{rvmki(kfxn#@czx^u\pju6<89:=l5uptkmk*ehzl%FaxvPw^vlw426<8k0v}{fnn-`kwc(EdsSzQ{or37537>3sz~eca cnpf+HkrpV}Txb}>473b?vrage$ob|j/Lov|ZqX|fy:8;?>b:xsqlhh'jeyi"Cbuy]t[qit9=<:<8;:n6tuhll+fium&GfyuQx_ump5106:8k0v}{fnn-`kwc(EdsSzQ{or37277f3sz~eca cnpf+HkrpV}Txb}>4712e>|w}`dd#na}e.Onq}YpW}ex=98;1`9ytpoig&id~h!Bmtz\sZrh{8>=9?;j7w~ziom,gjtb'Dg~tRyPtnq20316i2p{yd``/bmqa*Kj}qU|Sya|154;5d=}x|cec"m`rd-Nip~XV~d<:990:8~usnff%hck Mlw{[rYsgz;>=45uptkmk*ehzl%FaxvPw^vlw4369h1q|xgao.alv`)Je|rT{Rz`s07244g9:xsqlhh'jeyi"Cbuy]t[qit9<8:56tuhll+fium&GfyuQx_ump505612p{yd``/bmqa*Kj}qU|Sya|1462=>|w}`dd#na}e.Onq}YpW}ex=8;>9:xsqlhh'jeyi"Cbuy]t[qit9<<:56tuhll+fium&GfyuQx_ump501612p{yd``/bmqa*Kj}qU|Sya|14:2=>|w}`dd#na}e.Onq}YpW}ex=87>8:xsqlhh'jeyi"Cbuy]t[qit:9;27w~ziom,gjtb'Dg~tRyPtnq1447f3sz~eca cnpf+HkrpV}Txb}=0022e>|w}`dd#na}e.Onq}YpW}ex>=?>1`9ytpoig&id~h!Bmtz\sZrh{;::><74zqwjjj)dg{o$A`{w_v]wkv47:830v}{fnn-`kwc(EdsSzQ{or0374?830v}{fnn-`kwc(EdsSzQ{or0334?0^QT44ga:xsqlhh'jeyi"Cbuy]t[qit:<;8=l5uptkmk*ehzl%FaxvPw^vlw736<8i0v}{fnn-`kwc(EdsSzQ{or0651YA9j1q|xgao.alv`)Je|rT{Rz`s3720ZA6i2p{yd``/bmqa*Kj}qU|Sya|24365`=}x|cec"m`rd-Nip~XV~d?;>5^QT44?5>>00g8~usnff%hck Mlw{[rYsgz9;==Q\W13b?vrage$ob|j/Lov|ZqX|fy8<a:xsqlhh'jeyi"Cbuy]t[qit;9;9=l5uptkmk*ehzl%FaxvPw^vlw666;8k0v}{fnn-`kwc(EdsSzQ{or13517f3sz~eca cnpf+HkrpV}Txb}<0072a>|w}`dd#na}e.Onq}YpW}ex?=?:_RU35<=}x|cec"m`rd-Nip~XV~d>>:189ytpoig&id~h!Bmtz\sZrh{::2=i5uptkmk*ehzl%FaxvPw^vlw66>WZ];=55uptkmk*ehzl%FaxvPw^vlw63612p{yd``/bmqa*Kj}qU|Sya|3432e>|w}`dd#na}e.Onq}YpW}ex?8??1d9ytpoig&id~h!Bmtz\sZrh{:?:=3sz~eca cnpf+HkrpV}Txb}<553:?vrage$ob|j/Lov|ZqX|fy898?6;{rvmki(kfxn#@czx^u\pju4=1;27w~ziom,gjtb'Dg~tRyPtnq01<7c3sz~eca cnpf+HkrpV}Txb}<58]PS57?3sz~eca cnpf+HkrpV}Txb}<90;8~usnff%hck Mlw{[rYsgz92=99;j7w~ziom,gjtb'Dg~tRyPtnq0=476j2p{yd``/bmqa*Kj}qU|Sya|383254g1c9ytpoig&id~h!Bmtz\sZrh{:3:?7=189ytpoig&id~h!Bmtz\sZrh{:38=45uptkmk*ehzl%FaxvPw^vlw6?2901q|xgao.alv`)Je|rT{Rz`s2;55<=}x|cec"m`rd-Nip~XV~d>78189ytpoig&id~h!Bmtz\sZrh{:33=i5uptkmk*ehzl%FaxvPw^vlw6??WZ];=45uptkmk*ehzl%FaxvPw^vlw6?>901q|xgao.alv`)Je|rT{Rz`s5125d=}x|cec"m`rd-Nip~XV~d9=>00c8~usnff%hck Mlw{[rYsgz>8=|w}`dd#na}e.Onq}YpW}ex8:?<189ytpoig&id~h!Bmtz\sZrh{==9=45uptkmk*ehzl%FaxvPw^vlw114901q|xgao.alv`)Je|rT{Rz`s5575<=}x|cec"m`rd-Nip~XV~d998189ytpoig&id~h!Bmtz\sZrh{==3=i5uptkmk*ehzl%FaxvPw^vlw11?WZ];=45uptkmk*ehzl%FaxvPw^vlw11>9m1q|xgao.alv`)Je|rT{Rz`s55:[VQ79>1q|xgao.alv`)Je|rT{Rz`s43b?vrage$ob|j/Lov|ZqX|fy><<<>b:xsqlhh'jeyi"Cbuy]t[qit=9;9=<64zqwjjj)dg{o$A`{w_v]wkv36901q|xgao.alv`)Je|rT{Rz`s4335<=}x|cec"m`rd-Nip~XV~d8?>1`9ytpoig&id~h!Bmtz\sZrh{<;:<40c8~usnff%hck Mlw{[rYsgz?:=8?n;{rvmki(kfxn#@czx^u\pju298<:m6tuhll+fium&GfyuQx_ump14709j1q|xgao.alv`)Je|rT{Rz`s431[f6l2p{yd``/bmqa*Kj}qU|Sya|500\g|76l2p{yd``/bmqa*Kj}qU|Sya|500\hpr6m2p{yd``/bmqa*Kj}qU|Sya|500\hpr6901q|xgao.alv`)Je|rT{Rz`s4305<=}x|cec"m`rd-Nip~XV~d8?;189ytpoig&id~h!Bmtz\sZrh{<;>=45uptkmk*ehzl%FaxvPw^vlw071901q|xgao.alv`)Je|rT{Rz`s4345<=}x|cec"m`rd-Nip~XV~d8?7189ytpoig&id~h!Bmtz\sZrh{<;2=55uptkmk*ehzl%FaxvPw^vlw04612p{yd``/bmqa*Kj}qU|Sya|5312e>|w}`dd#na}e.Onq}YpW}ex9?=>199ytpoig&id~h!Bmtz\sZrh{<9:46tuhll+fium&GfyuQx_ump117?3sz~eca cnpf+HkrpV}Txb}:50:8~usnff%hck Mlw{[rYsgz?==45uptkmk*ehzl%FaxvPw^vlw0069h1q|xgao.alv`)Je|rT{Rz`s44244g:<<>a:xsqlhh'jeyi"Cbuy]t[qit=?;8=l5uptkmk*ehzl%FaxvPw^vlw006<830v}{fnn-`kwc(EdsSzQ{or7564?602p{yd``/bmqa*Kj}qU|Sya|583:?vrage$ob|j/Lov|ZqX|fy=<>2^E2e>|w}`dd#na}e.Onq}YpW}ex:=?<189ytpoig&id~h!Bmtz\sZrh{?:9=45uptkmk*ehzl%FaxvPw^vlw364901q|xgao.alv`)Je|rT{Rz`s7245<=}x|cec"m`rd-Nip~XV~d;>71e9ytpoig&id~h!Bmtz\sZrh{?:3S^Y?189ytpoig&id~h!Bmtz\sZrh{?:2=i5uptkmk*ehzl%FaxvPw^vlw36>WZ];=45uptkmk*ehzl%FaxvPw^vlw3269h1q|xgao.alv`)Je|rT{Rz`s76244ga:xsqlhh'jeyi"Cbuy]t[qit>=;8=45uptkmk*ehzl%FaxvPw^vlw325901q|xgao.alv`)Je|rT{Rz`s7605<=}x|cec"m`rd-Nip~XV~d;:;189ytpoig&id~h!Bmtz\sZrh{?><=45uptkmk*ehzl%FaxvPw^vlw32?9m1q|xgao.alv`)Je|rT{Rz`s76;[VQ7901q|xgao.alv`)Je|rT{Rz`s76:5a=}x|cec"m`rd-Nip~XV~d;:6_RU35<=}x|cec"m`rd-Nip~XV~d;6>1`9ytpoig&id~h!Bmtz\sZrh{?2:<3901q|xgao.alv`)Je|rT{Rz`s7:;5a=}x|cec"m`rd-Nip~XV~d;67_RU35<=}x|cec"m`rd-Nip~XV~d;661c9ytpoig&id~h!Bmtz\sZrh{?22SK?m;{rvmki(kfxn#@czx^u\pju100UL=55uptkmk*ehzl%FaxvPw^vlw24612p{yd``/bmqa*Kj}qU|Sya|7332e>|w}`dd#na}e.Onq}YpW}ex;???1`9ytpoig&id~h!Bmtz\sZrh{>8:=<74zqwjjj)dg{o$A`{w_v]wkv15:830v}{fnn-`kwc(EdsSzQ{or5174?:;27w~ziom,gjtb'Dg~tRyPtnq4217>3sz~eca cnpf+HkrpV}Txb}8673:?vrage$ob|j/Lov|ZqX|fy<::?k;{rvmki(kfxn#@czx^u\pju0>>UX[=?6;{rvmki(kfxn#@czx^u\pju0>1;27w~ziom,gjtb'Dg~tRyPtnq42<7?3sz~eca cnpf+HkrpV}Txb}700;8~usnff%hck Mlw{[rYsgz2;=799;j7w~ziom,gjtb'Dg~tRyPtnq;447612p{yd``/bmqa*Kj}qU|Sya|8102=>|w}`dd#na}e.Onq}YpW}ex4==>9:xsqlhh'jeyi"Cbuy]t[qit09>:56tuhll+fium&GfyuQx_ump<50612p{yd``/bmqa*Kj}qU|Sya|8152`>|w}`dd#na}e.Onq}YpW}ex4=9PSV22=>|w}`dd#na}e.Onq}YpW}ex4=6>9:xsqlhh'jeyi"Cbuy]t[qit093:46tuhll+fium&GfyuQx_ump<17>3sz~eca cnpf+HkrpV}Txb}7403b?vrage$ob|j/Lov|ZqX|fy38<>>a:xsqlhh'jeyi"Cbuy]t[qit0=;:=45uptkmk*ehzl%FaxvPw^vlw=25901q|xgao.alv`)Je|rT{Rz`s9605<=}x|cec"m`rd-Nip~XV~d5:;189ytpoig&id~h!Bmtz\sZrh{1>==45uptkmk*ehzl%FaxvPw^vlw=209m1q|xgao.alv`)Je|rT{Rz`s964[VQ7901q|xgao.alv`)Je|rT{Rz`s96;5<=}x|cec"m`rd-Nip~XV~d5:6199ytpoig&id~h!Bmtz\sZrh{12:56tuhll+fium&GfyuQx_ump<=76i2p{yd``/bmqa*Kj}qU|Sya|89335d=}x|cec"m`rd-Nip~XV~d56>10;8~usnff%hck Mlw{[rYsgz23><74zqwjjj)dg{o$A`{w_v]wkv>?;830v}{fnn-`kwc(EdsSzQ{or:;04???VY\<<74zqwjjj)dg{o$A`{w_v]wkv>?0830v}{fnn-`kwc(EdsSzQ{or:;=4>3sz~eca cnpf+HkrpV}Txb}6233:?vrage$ob|j/Lov|ZqX|fy2>>?6;{rvmki(kfxn#@czx^u\pju>:=;27w~ziom,gjtb'Dg~tRyPtnq:637>3sz~eca cnpf+HkrpV}Txb}6263g?vrage$ob|j/Lov|ZqX|fy2>:Q\W13:?vrage$ob|j/Lov|ZqX|fy2>5?6;{rvmki(kfxn#@czx^u\pju>:0;37w~ziom,gjtb'Dg~tRyPtnq:24?>8;:56tuhll+fium&GfyuQx_ump=34612p{yd``/bmqa*Kj}qU|Sya|9712=>|w}`dd#na}e.Onq}YpW}ex5;:>9:xsqlhh'jeyi"Cbuy]t[qit1?<:56tuhll+fium&GfyuQx_ump=316l2p{yd``/bmqa*Kj}qU|Sya|975\WR6612p{yd``/bmqa*Kj}qU|Sya|97:2=>|w}`dd#na}e.Onq}YpW}ex5;7>7:xsqlhh'jeyi"C}al]owpYpm830v}{fnn-`kwc(E{kfSa}z_vg256g76]LQQ:683:5Snw38?1`?vrage$ob|j/LqvfZAILV?=SbQHNE]62ZiX{|hT=:9POTV?55<76Vir050>13;8~usnff%hck RdqfpZIUWMxbzh|NNEAokfmBfhhgi?o4zqwjjj)dg{o$^h}jt^MQ[Atn~lxJBIMcobiFjddkm8>j7w~ziom,gjtb'VUTTA@B_^]3[ZYJkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao3l2p{yd``/bmqa*YXWQFEARQP11]\[HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPltvgm463l2p{yd``/bmqa*YXWQFEARQP10]\[HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPltvgm473l2p{yd``/bmqa*YXWQFEARQP13]\[HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPltvgm443l2p{yd``/bmqa*YXWQFEARQP12]\[HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPltvgm453l2p{yd``/bmqa*YXWQFEARQP15]\[HeheykyShv}_ump_67ZWgexW>?R_MgpwQnffV8:4RaPltvgm423j2p{yd``/bmqa*YXWQFEARQP17]\[HeheykySca|[23^[`~uW}exW>?R_MgpwQnffV89]^g{vZrh{R9:QRBjsrVkekY5:9UdSa{{dh07g>|w}`dd#na}e.]\[]JIEVUT=4QP_LaliuguWgexW>?R_dzq[qitS:;VSAk|sUjbjZ458VeT`xzki26a?vrage$ob|j/^]\\IHJWVU:SRQBcnosewYbp{Uc~U<1\]mkv]49TUGi~}[h`l\64>XgVf~xig>4b9ytpoig&id~h!P_^ZOJHYXW;:TSRClolrbvZhh{R9:QRkwr^vlw^56UVFn~Zgao]165YhWehd:;c:xsqlhh'jeyi"QP_YNMIZYX:8UTS@m`mqcq[kitS:;VShv}_ump_67ZWEoxYfnn^014ZiXd|~oe8:l;{rvmki(kfxn#RQPXMLN[ZY5:VUTAnabp`p\jju\;8WTiu|PtnqX74[XDlyxXeoa_303[jYk}}nb:9m4zqwjjj)dg{o$SRQWLOO\[Z44WVUFobcas]mkv]49TUntQ{orY05XYKmzy_dl`P232\kZjr|mc<8n5uptkmk*ehzl%TSRVCNL]\[72XWVGhc`~nr^llw^56UVos~Rz`sZ12YZJb{z^cmcQ=21]l[issl`2?o6tuhll+fium&UTSUBAM^]\60YXWDida}o}_omp_67ZWlrySya|[23^[Ict{]bjbR<=0^m\hprca0>o7w~ziom,gjtb'VUTTA@B_^]12ZYXEjef|l|PnnqX74[XmqxTxb}T30_\H`ut\akeS?o7w~ziom,gjtb'VUTTA@B_^]13ZYXEjef|l|PnnqX74[XmqxTxb}T30_\H`ut\akeS?o7w~ziom,gjtb'VUTTA@B_^]1o7w~ziom,gjtb'VUTTA@B_^]1=ZYXEjef|l|PnnqX74[XmqxTxb}T30_\H`ut\akeS?i7w~ziom,gjtb'VUTTA@B_^]1[ZYJkfg{mQjxs]wkv]49TUec~U<1\]OavuS`hdT><6Po^nvpao5]^NfwvRoigU9>=Q`_mww`l73?R_MgpwQnffV8:4RaPltvgm62e3sz~eca cnpf+ZYXPEDFSRQ;_^]Ngjkwi{UntQ{orY05XYigzQ8=PQCerqWldhX:82TcRbztek70g=}x|cec"m`rd-\[Z^KFDUTS8QP_LaliuguWlrySya|[23^[kitS:;VSAk|sUjbjZ460VeT`xzki46a?vrage$ob|j/^]\\IHJWVU=SRQBcnosewYbp{Uc~U<1\]mkv]49TUGi~}[h`l\64>XgVf~xig94c9ytpoig&id~h!P_^ZOJHYXW>UTS@m`mqcq[`~uW}exW>?R_omp_67ZWEoxYfnn^028^m\hprca0;97w~ziom,gjtb'Vd;;4<>6:xsqlhh'jeyi"Qa06;18086911q|xgao.alv`)Xf9=2>1;11^323>|w}`dd#na}e.]m5564W`d}=<64zqwjjj)dg{o$Sc??02]jjs76911q|xgao.alv`)Xf8:;?Rgav002=>|w}`dd#na}e.]m5564W`d}=R?>9:xsqlhh'jeyi"Qa1120[lhq9V8:56tuhll+fium&Ue==><_hlu5Z56j2p{yd``/bmqa*Yi99:8Sd`y1^QT44`_sqwtjr6m2p{yd``/bmqa*Yi99:8Sd`y2^pppuis9l1q|xgao.alv`)Xf8:>4Rgav0]qwqvh|8<0v}{fnn-`kwc(Wg;:9?Qfnw34?vrage$ob|j/^l2504Xag|:=:5uptkmk*ehzl%Tb?Tecx>7:xsqlhh'jeyi"Qa1056[lhq98o0v}{fnn-`kwc(Wg;9=>280\mkp6?2p{yd``/bmqa*Yi9;39Sd`y10:8~usnff%hck _o31=7Ynf;:=:5uptkmk*ehzl%Tb<<62^kmr77?3sz~eca cnpf+Zh6:08Tecx=20:8~usnff%hck _o31=7Ynf8?=l5uptkmk*ehzl%Tb<<62^kmr72XN8k0v}{fnn-`kwc(Wg;95?Qfnw07[B703sz~eca cnpf+Zh6:08Tecx;1c9ytpoig&id~h!Pn00:6Zoi~=UX[280\mkpX[^:T_Z>>2:xsqlhh'jeyi"Qa123553=}x|cec"m`rd-\j427?Vcez<94zqwjjj)dg{o$Sc?;06]jjs7602p{yd``/bmqa*Yi9=:415\mkp59>1q|xgao.alv`)Xf8>;;Rgav234?vrage$ob|j/^l2051Xag|?=;5uptkmk*ehzl%Tb<:=2^kmr4g8:xsqlhh'jeyi"Qa1505[lhq98;>7w~ziom,gjtb'Vd:84?30?36?vrage$ob|j/^l20<7;97;>7w~ziom,gjtb'Vd:84?32?35?vrage$ob|j/^l210>;>7;:;6tuhll+fium&Ue=8;7<7<25447w~ziom,gjtb'Vd:;?835?34?vrage$ob|j/^l2370;=7UM=?5uptkmk*ehzl%Tb?:;5018~usnff%hck _o070076:2p{yd``/bmqa*efkao$>o5uptkmk*ehzl%hmnfj/FLG[0?XgVoemobj_LW[[76>Wf8:7w~ziom,gjtb'jkhdh!BcnwmpZ`k}zbhby<=;{rvmki(kfxn#nolhd-Ngjsi|Vlgy~flnu316>|w}`dd#na}e.abgmc(Eje~byQiltqkgkr5:;1q|xgao.alv`)dijbn#@m`uov\bist`jd??<4zqwjjj)dg{o$olmge.O`kphsWof~emat501?vrage$ob|j/bc`l`)JkfexRhcurj`jq35:2p{yd``/bmqa*efkao$Anaznu]ehpuokg~=>l5uptkmk*ehzl%hmnfj/LalqkrXnexdn`{_ymq85869;k0v}{fnn-`kwc(khici"Clotlw[cjr{aiexRv`r=3=544f3sz~eca cnpf+fgd`l%Fob{at^doqvndf}Usc2=>031e>|w}`dd#na}e.abgmc(Eje~byQiltqkgkrXpfx7?3?>2`9ytpoig&id~h!labjf+Heh}g~Tja{|hblw[}iu4=4:=?74zqwjjj)dg{o$olmge.O`kphsWof~emat^zlv9399;n0v}{fnn-`kwc(khici"Clotlw[cjr{aiexRv`r=7=5ZUP8;30v}{fnn-`kwc(khici"Clotlw[cjr{aiexRv`r=4=57b?>4zqwjjj)dg{o$olmge.O`kphsWflmocz<219ytpoig&id~h!labjf+Heh}g~Tckhlnu611>|w}`dd#na}e.abgmc(Eje~byQ`fgampZqua}o9:6tuhll+fium&ijoek MbmvjqYhnoiexRy}iug263=}x|cec"m`rd-`efnb'DidyczPogd`jqYpz`~n>?84zqwjjj)dg{o$olmge.O`kphsWflmoczPwskwa64b3sz~eca cnpf+fgd`l%Fob{at^mebfhsW~xbxhQwos>3:475m2p{yd``/bmqa*efkao$Anaznu]lbcei|V}yeykPxnp?5;76:l1q|xgao.alv`)dijbn#@m`uov\kc`df}U|~dzj_ymq87869;o0v}{fnn-`kwc(khici"Clotlw[j`akg~T{g{e^zlv95998837w~ziom,gjtb'jkhdh!BcnwmpZianjdSua}<1<257>299ytpoig&id~h!labjf+Heh}g~Tckhlnu]{kw:568;946tuhll+fium&ijoek MbmvjqYhnoiexRv`r=1=544?3sz~eca cnpf+fgd`l%Fob{at^mebfhsWqey090>1208~usnff%hck c`aka*Kj}qULBIQ:9^m\akgedlUFYUQ=08]l544>3sz~eca cnpf+fgd`l%FaxvPc`akaZgcl{Uyilj>000:?vrage$ob|j/bc`l`)Je|rTolmge^cg`wYumhn:=<<7;{rvmki(kfxn#nolhd-Nip~XkhiciRokds]qadb6:;20v}{fnn-`kwc(khici"Cbuy]`efnbWhno~R|jae026==}x|cec"m`rd-`efnb'Dg~tRmncig\eabuW{ojh>?=8:xsqlhh'jeyi"mncig,IhsWjkhdhQndep\v`gc<8837w~ziom,gjtb'jkhdh!Bmtz\gdeomVkohQ}e`f657>299ytpoig&id~h!labjf+HkrpVijoekPaefq[wcfl>;946tuhll+fium&ijoek Mlw{[fgd`lUjhi|Prdcg<44?3sz~eca cnpf+fgd`l%FaxvPc`akaZgcl{Uyilj61238~usnff%hck c`aka*Kj}qUxxlzj[3_\\Z43WfUFyuQ=7^m25674Q`10325==}x|cec"m`rd-`efnb'Vd;=:8>b:xsqlhh'jeyi"mncig,[k66??6;2>c:xsqlhh'jeyi"mncig,[k6619Ubb{?k;{rvmki(kfxn#nolhd-\j57>8Vcez378~usnff%hck c`aka*efkaoTmij}_sgb`9766;?0v}{fnn-`kwc(khici"mncig\eabuW{ojh1?=>368~usnff%hck c`aka*efkaoTmij}_sgb`949:=1q|xgao.alv`)dijbn#nolhd]b`atXzlko0>0=4:xsqlhh'jeyi"mncig,gdeomVkohQ}e`f?0;433sz~eca cnpf+fgd`l%hmnfj_`fgvZtbim6>2?:4zqwjjj)dg{o$olmge.abgmcXimnySknd=4=61=}x|cec"m`rd-`efnb'jkhdhQndep\v`gc4>4986tuhll+fium&ijoek c`akaZgcl{Uyilj38?07?vrage$ob|j/bc`l`)dijbnSljkr^pfea:>68h0v}{fnn-`kwc(khici"mncignah)5<2p{yd``/bmqa*efkao$olmgelgn+HtfeVXJA<0v}{fnn-`kwc(khici"mncignah)JzhgT^LC<2d9ytpoig&id~h!labjf+fgd`lgna"C}al]QEH5Xagy~`lzj23g8~usnff%hck c`aka*efkaofi`!Br`o\VDK4W`dxyao{e807?vrage$ob|j/bc`l`)dijbnahc Mscn[WGJ<;o0v}{fnn-`kwc(khici"mncignah)JzhgT^LC;_hlpqigsm<8n7w~ziom,gjtb'jkhdh!labjfi`k(E{kfS_OB4^kmwpjf|l<:56tuhll+fium&ijoek cucd858612p{yd``/bmqa*efkao$oyoh<0<2=>|w}`dd#na}e.abgmc(k}kl0?0>9:xsqlhh'jeyi"mncig,gqg`4:4:56tuhll+fium&ijoek cucd818612p{yd``/bmqa*efkao$oyoh<4<2=>|w}`dd#na}e.abgmc(k}kl0;0>9:xsqlhh'jeyi"mncig,gqg`4>4:56tuhll+fium&ijoek cucd8=8612p{yd``/bmqa*efkao$oyoh<8<21>|w}`dd#na}e.abgmc(kpi:i6tuhll+fium&ijoek fhno[vsdklyx=i5uptkmk*ehzl%hmnfj/gnvwmei|5:5=i5uptkmk*ehzl%hmnfj/gnvwmei|5;5=i5uptkmk*ehzl%hmnfj/gnvwmei|585=i5uptkmk*ehzl%hmnfj/gnvwmei|595=i5uptkmk*ehzl%hmnfj/gnvwmei|5>5=i5uptkmk*ehzl%hmnfj/gnvwmei|5?5=i5uptkmk*ehzl%hmnfj/gnvwmei|5<5?=5uptkmk*ehzl%hmnfj/gnvwmei|R5:61>c:xsqlhh'jeyi"mncig,bist`jdS=?l;{rvmki(kfxn#nolhd-ehpuokg~T=|w}`dd#na}e.abgmc(golhbyQxrhvf868592p{yd``/bmqa*efkao$ckhlnu]tvlrb4=49=6tuhll+fium&ijoek ogd`jqYpz`~n080=0:xsqlhh'jeyi"mncig,kc`df}U|~dzj_303?vrage$ob|j/bc`l`)hnoiexRy}iug\776f:xsqlhh'jeyi"mncig,wdpbWhno~1?=>0d8~usnff%hck c`aka*uf~lUjhi|312<2b>|w}`dd#na}e.abgmc({h|nSljkr=37:4`4:j6tuhll+fium&ijoek s`tf[dbcz5;32f:xsqlhh'jeyi"mncig,wdpbWhno~10d8~usnff%hck c`aka*uf~lUjhi|320<2b>|w}`dd#na}e.abgmc({h|nSljkr=01:4c7;n7w~ziom,gjtb'jkhdh!|awg\eabu4>4:i6tuhll+fium&ijoek s`tf[dbcz525=h5uptkmk*ehzl%hmnfj/rcuaZgcl{622Pdqmw5`=}x|cec"m`rd-`efnb'zk}iRokds]2575>5uptkmk*ehzl%hmnfj/rcuaZgcl{U:>Rjou3f?vrage$ob|j/bc`l`)tioTmij}_0117>|w}`dd#na}e.abgmc({h|nSljkr^30[avh|8o0v}{fnn-`kwc(khici"}nvd]b`atX9=887w~ziom,gjtb'jkhdh!|awg\eabuW8>Th}a{1d9ytpoig&id~h!labjf+vgqmVkohQ>5318~usnff%hck c`aka*uf~lUjhi|P14]gtjr6m2p{yd``/bmqa*efkao$lxj_`fgvZ71::1q|xgao.alv`)dijbn#~oye^cg`wY6>Vn{cy?j;{rvmki(kfxn#nolhd-pescXimnyS<9=3:xsqlhh'jeyi"mncig,wdpbWhno~R?8_erlp4cXlye=h5uptkmk*ehzl%hmnfj/rcuaZgcl{U:5?=4zqwjjj)dg{o$olmge.qbr`YflmxT=4Qkpnv2`>|w}`dd#na}e.abgmc({h|nSljkr^02a>|w}`dd#na}e.abgmc({h|nSljkr^0366=}x|cec"m`rd-`efnb'zk}iRokds]14Zbwg};n7w~ziom,gjtb'jkhdh!|awg\eabuW;;9?6tuhll+fium&ijoek s`tf[dbczV8:Si~`t0g8~usnff%hck c`aka*uf~lUjhi|P2300?vrage$ob|j/bc`l`)tioTmij}_30\`uis:;1q|xgao.alv`)dijbn#~oye^cg`wY5Wmzdx?5uptkmk*ehzl%hmnfj/rcuaZgcl{U=Si~`t0f8~usnff%hck c`aka*uf~lUjhi|P7308~usnff%hck c`aka*uf~lUjhi|P7^fskq7c3sz~eca cnpf+fgd`l%xm{kPaefq[=453sz~eca cnpf+fgd`l%xm{kPaefq[=Ycxf~:h6tuhll+fium&ijoek s`tf[dbczV39>6tuhll+fium&ijoek s`tf[dbczV3Th}a{329ytpoig&id~h!labjf+vrfdeUbS~z}ocg\EKBX9999Sb?Prrvskq4f3sz~eca cnpf+fgd`l%xxlzj[3_\\Z43WfUFyuQ=7^m1e>|w}`dd#na}e.abgmc({}kiV4Q`219ytpoig&id~h!labjf+vrf|lUM_@QIFe313>|w}`dd#na}e.abgmc({}kiRH\M^DE`4Yu{}zdx?>4zqwjjj)dg{o$olmge.qweqcXNZGTJKj=229ytpoig&id~h!labjf+vrf|lUM_@QIFe0.Mk433sz~eca cnpf+fgd`l%xxlzj_GQN[C@c:$Ce=?;4zqwjjj)dg{o$olmge.qweqcXNZGTJKj=-Hl2576>55uptkmk*ehzl%hmnfj/rvbp`YA[DUMJi="Io7\WR66=2p{yd``/bmqa*efkao$yl>b:xsqlhh'jeyi"mncig,pdaXeh~hd<5uptkmk*ehzl%hmnfj/ucd[hcjWhno~1>1239ytpoig&id~h!labjf+qg`WdofSljkr=2=577;{rvmki(kfxn#nolhd-webYjmdUjhi|32?01?vrage$ob|j/bc`l`)sinUfi`Qndep?6;7592p{yd``/bmqa*efkao$xliPmdo\eabu4:49>6tuhll+fium&ijoek t`e\i`kXimny0>0>209ytpoig&id~h!labjf+qg`WdofSljkr=6=67=}x|cec"m`rd-`efnb'}klS`kb_`fgv9299;;0v}{fnn-`kwc(khici"zng^ofiZgcl{6>2?<4zqwjjj)dg{o$olmge.vbcZkbeVkoh2:>002?vrage$ob|j/bc`l`)sinUfi`Qndep?2;453sz~eca cnpf+fgd`l%mjQbel]b`at;>7;9=6tuhll+fium&ijoek t`e\i`kXlh~j040=3:xsqlhh'jeyi"mncig,pdaXelgThlzn<8<254b3sz~eca cnpf+fgd`l%mjcjm.02?vrage$ob|j/bc`l`)singna"C}al]QEH4e3sz~eca cnpf+fgd`l%mjcjm.OqehYUIDUbb~{caug26f=}x|cec"m`rd-`efnb'}klahc Mscn[WGJW`dxyao{e022=>|w}`dd#na}e.abgmcXlh~j0=0>a:xsqlhh'jeyi"mncig\`drf48:5=l5uptkmk*ehzl%hmnfj_ecwe97668k0v}{fnn-`kwc(khiciRjnt`>26;7f3sz~eca cnpf+fgd`lUomyo312<2e>|w}`dd#na}e.abgmcXlh~j0<:11`9ytpoig&id~h!labjf[agsi5;>27;j7w~ziom,gjtb'jkhdhQkauc?5286i2p{yd``/bmqa*efkaoThlzn<0:=5d=}x|cec"m`rd-`efnbWmkm1?6>0;8~usnff%hck c`akaZbf|h6:20c8~usnff%hck c`akaZbf|h6983?n;{rvmki(kfxn#nolhd]geqg;:<4:m6tuhll+fium&ijoekPd`vb87099h1q|xgao.alv`)dijbnSio{a=04:4g40>9:xsqlhh'jeyi"mncig\`drf4;4:m6tuhll+fium&ijoekPd`vb86699h1q|xgao.alv`)dijbnSio{a=12:4?0;8~usnff%hck c`akaZbf|h6?2<74zqwjjj)dg{o$olmge^fbpd:26830v}{fnn-`kwc(khiciRjnt`>5:4?0;8~usnff%hck c`akaZbf|h632<74zqwjjj)dg{o$olmge^fbpd:>6820v}{fnn-`kwc(khiciR}{rn`f61=}x|cec"m`rd-gaficmJeexac.uMsgjbb494986tuhll+fium&nnobjjCnlwvjj)|Fzhcik31?07?vrage$ob|j/eg`kacDgg~yca {Oqal``:56;>0v}{fnn-`kwc(llidhhM`nuplh+rHxjeoi1=1259ytpoig&id~h!kebmgaFii|{eg"yAcnff8185<2p{yd``/bmqa*bbkfnnOb`{rnn-pJvdgmo793<;;{rvmki(kfxn#ikloeg@kkruge$C}m`dd>5:7285uptkmk*ehzl%oinakeBmmpwik&}E{objj<6<252=}x|cec"m`rd-gaficmV`~a}?n;{rvmki(kfxn#ikloeg\hjgcWzz::6tuhll+fium&nnoQmrdch64=}x|cec"m`rd-gaftXllidhhj@pbmga969:81q|xgao.alv`)cmjxThhm`ddfLtficm5;5><5uptkmk*ehzl%oin|Pddal``bHxjeoi1<1209ytpoig&id~h!kebp\``ehllnD|nake=1=64=}x|cec"m`rd-gaftXllidhhj@pbmga929:81q|xgao.alv`)cmjxThhm`ddfLtficm5?5>=5uptkmk*ehzl%oin|Pddal``bHxjeoiR>=5:xsqlhh'jeyi"jjcs]gaficmmE{objj_1]gtjr582p{yd``/bmqa*bbk{UoinakeeMsgjbbW88>7w~ziom,gjtb'moh~Rjjcnff`JvdgmoT=Rjou03?vrage$ob|j/eg`vZbbkfnnhB~loeg\6734zqwjjj)dg{o$hhm}_eg`kaccGyidhhQ<249ytpoig&id~h!kebp\``ehllnD|nake^1\`uis:91q|xgao.alv`)cmjxThhm`ddfLtficmV>996tuhll+fium&nnoQkebmgaaIwkfnnS9Qkpnv14>|w}`dd#na}e.ffgwYcmjeoiiAcnff[0423sz~eca cnpf+acdzVnnobjjdNr`kacX=Vn{cy?m;{rvmki(kfxn#iklr^dfpfnwk5:5=n5uptkmk*ehzl%oin|Pfdv`lue;994:o6tuhll+fium&nnoQieuaktf:697;h7w~ziom,gjtb'moh~Rhjtbjsg97568i0v}{fnn-`kwc(lliySkk{cir`84599j1q|xgao.alv`)cmjxTjhzlhqa?5186k2p{yd``/bmqa*bbk{Umiymgpb>21;7d3sz~eca cnpf+acdzVlnxnfc=35:4e|w}`dd#na}e.ffgwYam}ic|n2>9?3a?vrage$ob|j/eg`vZ`b|jb{o1?11b9ytpoig&id~h!kebp\b`rd`yi7>=0>c:xsqlhh'jeyi"jjcs]eaqeoxj69=3?l;{rvmki(kfxn#iklr^dfpfnwk58926:4d|w}`dd#na}e.ffgwYam}ic|n28>0`8~usnff%hck ddaq[ccskazh050>b:xsqlhh'jeyi"jjcs]eaqeoxj622|w}`dd#na}e.ffgwYam}ic|nQ>20`8~usnff%hck ddaq[ccskazhS<=>b:xsqlhh'jeyi"jjcs]eaqeoxjU:88h0v}{fnn-`kwc(lliySkk{cir`[416j2p{yd``/bmqa*bbk{Umiymgpb]2<4d|w}`dd#na}e.ffgwYam}ic|nQ=1c9ytpoig&id~h!kebp\b`rd`yiT>=?m;{rvmki(kfxn#iklr^dfpfnwkV8:=o5uptkmk*ehzl%oin|Pfdv`lueX:;;j7w~ziom,gjtb'moh~RhjtbjsgZ56i2p{yd``/bmqa*bbk{Umiymgpb]75d=}x|cec"m`rd-gaftXnl~hd}mP50c8~usnff%hck ddaq[ccskazhS;?n;{rvmki(kfxn#iklr^dfpfnwkV=:m6tuhll+fium&nnoQieuaktfY?9h1q|xgao.alv`)cmjxTjhzlhqa\=450c8~usnff%hck ddaq[jvdgmo7=3?n;{rvmki(kfxn#iklr^msgjbb4;4:m6tuhll+fium&nnoQ`pbmga9599h1q|xgao.alv`)cmjxTc}m`dd>7:4g9:xsqlhh'jeyi"jjcs]ltficmV::i6tuhll+fium&nnoQ`pbmgaZ6Xlye=45uptkmk*ehzl%oin|Poqal``Y69l1q|xgao.alv`)cmjxTc}m`dd]2[avh|830v}{fnn-`kwc(lliySb~loeg\64c3sz~eca cnpf+acdzVe{objj_23f?vrage$ob|j/eg`vZiwkfnnS>Qkpnv2=>|w}`dd#na}e.ffgwYhxjeoiR:>e:xsqlhh'jeyi"jjcs]ltficmV>Th}a{189ytpoig&id~h!kebp\kuehllU>=h5uptkmk*ehzl%oin|Poqal``Y2Wmzdx<74zqwjjj)dg{o$hhm}_nr`kacX>8o0v}{fnn-`kwc(lliySb~loeg\2Zbwg};=7w~ziom,gjtb'moh~R~l<1<23>|w}`dd#na}e.ffgwYwk5;;2<94zqwjjj)dg{o$hhm}_qa?5486?2p{yd``/bmqa*bbk{U{o1?=>058~usnff%hck ddaq[ue;9:4:;6tuhll+fium&nnoQc=37:417:xsqlhh'jeyi"jjcs]sg97168=0v}{fnn-`kwc(lliyS}m316<23>|w}`dd#na}e.ffgwYwk5;32<94zqwjjj)dg{o$hhm}_qa?5<86>2p{yd``/bmqa*bbk{U{o1?1169ytpoig&id~h!kebp\tf:587;<7w~ziom,gjtb'moh~R~l<33=52=}x|cec"m`rd-gaftXxj69>3?9;{rvmki(kfxn#iklr^r`8786>2p{yd``/bmqa*bbk{U{o1=1179ytpoig&id~h!kebp\tf:368<0v}{fnn-`kwc(lliyS}m35?35?vrage$ob|j/eg`vZvd4?4::6tuhll+fium&nnoQc=5=53=}x|cec"m`rd-gaftXxj632<84zqwjjj)dg{o$hhm}_qa?=;723sz~eca cnpf+acdzVzhS=?n;{rvmki(kfxn#iklr^r`[5Ycxf~:96tuhll+fium&nnoQc^322>|w}`dd#na}e.ffgwYwkV;;=o5uptkmk*ehzl%oin|Ppb]24Zbwg};=7w~ziom,gjtb'moh~R~l_032f>|w}`dd#na}e.ffgwYwkV;:Si~`t048~usnff%hck ddaq[ueX9;;i7w~ziom,gjtb'moh~R~l_00\`uis9?1q|xgao.alv`)cmjxT|nQ>30`8~usnff%hck ddaq[ueX9:Uo|bz>6:xsqlhh'jeyi"jjcs]sgZ739k1q|xgao.alv`)cmjxT|nQ>4^fskq713sz~eca cnpf+acdzVzhS<;>b:xsqlhh'jeyi"jjcs]sgZ72Wmzdx<84zqwjjj)dg{o$hhm}_qa\537e3sz~eca cnpf+acdzVzhS<8Pdqmw53=}x|cec"m`rd-gaftXxjU:;?Qkpnv2e>|w}`dd#na}e.ffgwYwkV8Th}a{149ytpoig&id~h!kebp\tfY49h1q|xgao.alv`)cmjxT|nQ<_erlp437w~ziom,gjtb'moh~R~l_43b?vrage$ob|j/eg`vZvdW5:xsqlhh'jeyi"jjcs]sgZ06i2p{yd``/bmqa*bbk{U{oR8Pdqmw50=}x|cec"m`rd-gaftXxjU<=l5uptkmk*ehzl%oin|Ppb]4[avh|8?0v}{fnn-`kwc(lliyS}mP80c8~usnff%hck ddaq[ueX0Vn{cy?:;{rvmki(kfxn#iklr^r`[<7f3sz~eca cnpf+acdzVzhS4Qkpnv2=>|w}`dd#na}e.ffgwYwk`d0=0>a:xsqlhh'jeyi"jjcs]sglhs48:5=l5uptkmk*ehzl%oin|Ppbkmp97668k0v}{fnn-`kwc(lliyS}mfnu>26;7f3sz~eca cnpf+acdzVzhecz312<2e>|w}`dd#na}e.ffgwYwk`d0<:11`9ytpoig&id~h!kebp\tfoi|5;>27;j7w~ziom,gjtb'moh~R~liov?5286i2p{yd``/bmqa*bbk{U{od`{<0:=5d=}x|cec"m`rd-gaftXxjcex1?6>0;8~usnff%hck ddaq[uenf}6:29901q|xgao.alv`)cmjxT|ngat=;=5==}x|cec"m`rd-gaftXxjcexR>>d:xsqlhh'jeyi"jjcs]sglhsW9Uo|bz>8:xsqlhh'jeyi"jjcs]sglhsW8;27w~ziom,gjtb'moh~R~liov\557b3sz~eca cnpf+acdzVzheczP11]gtjr612p{yd``/bmqa*bbk{U{od`{_032a>|w}`dd#na}e.ffgwYwk`dS70g8~usnff%hck ddaq[uenf}U:;Rjou3:?vrage$ob|j/eg`vZvdag~T=5?j;{rvmki(kfxn#iklr^r`mkrX91Uo|bz>9:xsqlhh'jeyi"jjcs]sglhsW83:i6tuhll+fium&nnoQchlw[4?Xlye=i5uptkmk*ehzl%oin|PpbkmpZ7Xlye=55uptkmk*ehzl%oin|PpbkmpZ4612p{yd``/bmqa*bbk{U{od`{_322a>|w}`dd#na}e.ffgwYwk`dS?>Pdqmw5<=}x|cec"m`rd-gaftXxjcexR<>1d9ytpoig&id~h!kebp\tfoi|V8:Si~`t0;8~usnff%hck ddaq[uenf}U9>d:xsqlhh'jeyi"jjcs]sglhsW8:xsqlhh'jeyi"jjcs]sglhsW?;o7w~ziom,gjtb'moh~R~liov\2Zbwg};37w~ziom,gjtb'moh~R~liov\34b6tuhll+fium&nnoQ|t`ahKucui}cdb1>1239ytpoig&id~h!kebp\wqgdcFzn~lzfoo>2:77>Ra<8:xsqlhh'jeyi"jjcs]ppdrbS8WT|n`jxuY16XYpamoTaxvP157\KPR;87927w~ziom,gjtb'moh~R}{augX5XYwkgosxV<=]^uj``Yj}qU:88Q@UU>24;5>3sz~eca cnpf+acdzVymykT1\]sgkc|R89QRyfdd]nq}Y6<1?1:?vrage$ob|j/eg`vZusi}oP=PQcog{p^45UV}bhhQbuy]200YH]]6:>3=6;{rvmki(kfxn#iklr^qweqc\9TU{ockwtZ01YZqnllUfyuQ>44]LQQ:6;7927w~ziom,gjtb'moh~R}{augX5XYwkgosxV<=]^uj``Yj}qU:88Q@UU>20;5>3sz~eca cnpf+acdzVymykT1\]sgkc|R89QRyfdd]nq}Y6<5?1:?vrage$ob|j/eg`vZusi}oP=PQcog{p^45UV}bhhQbuy]200YH]]6::3=6;{rvmki(kfxn#iklr^qweqc\9TU{ockwtZ01YZqnllUfyuQ>44]LQQ:6?7927w~ziom,gjtb'moh~R}{augX5XYwkgosxV<=]^uj``Yj}qU:88Q@UU>2<;5>3sz~eca cnpf+acdzVymykT1\]sgkc|R89QRyfdd]nq}Y6<9?1;?vrage$ob|j/eg`vZusi}oP=PQcog{p^45UV}bhhQbuy]200YH]]6:2>74zqwjjj)dg{o$hhm}_rvbp`]6UVzhbhv{[30^[rocmVg~tR?;5^MVP9476:30v}{fnn-`kwc(lliyS~zntdY2YZvdflrW?]^r`j`~sS;8VSzgke^ov|Z73=VE^X1<=>2:8~usnff%hck ddaq[vrf|lQ:QR~lndzw_74ZW~coiRczx^371ZIR\585?55uptkmk*ehzl%oin|Psucwa^7ZWyieiuzT23_\slbbWdsS<::_NWW868402p{yd``/bmqa*bbk{Uxxlzj[0_\tfhbp}Q9>PQxieg\ip~X9=?TCXZ34?1;?vrage$ob|j/eg`vZusi}oP=PQcog{p^45UV}bhhQbuy]200YH]]6>2>64zqwjjj)dg{o$hhm}_rvbp`]6UVzhbhv{[30^[rocmVg~tR?;5^MVP909;11q|xgao.alv`)cmjxTyo{eZ3^[ueimq~P>?SPwhff[hsW8>>SB[[<6<0<>|w}`dd#na}e.ffgwYt|h~nW44]LQQ:>68l0v}{fnn-`kwc(lliyS~zntd]EWHYANm89>6tuhll+fium&nnoQ|t`vf[CUJWOLo> Ga229ytpoig&id~h!kebp\wqgsmVLXARHId3/Jj47c3sz~eca cnpf+acdzV~d^azrbg?4;7c3sz~eca cnpf+acdzV~d^azrbg?5;7c3sz~eca cnpf+acdzV~d^azrbg?6;7c3sz~eca cnpf+acdzV~d^azrbg?7;7c3sz~eca cnpf+acdzV~d^azrbg?0;463sz~eca cnpf+acdzV~d^azrbg\4ZDUJ98=7w~ziom,gjtb'moh~Rz`sRmvvfcX8VHYN=Qkpnv15>|w}`dd#na}e.ffgwYsgzYdymj_1]AVG75>2p{yd``/bmqa*bbk{Uc~]`usaf[5YEZK;Th}a{209ytpoig&id~h!kebp\pjuTg|xhiR>PBS@163=}x|cec"m`rd-gaftX|fyXcx|le^2\FWD5Wmzdx??4zqwjjj)dg{o$hhm}_umpWjsuklU;SO\M3348~usnff%hck ddaq[qit[fyohQ?_CPA7Zbwg}8:7w~ziom,gjtb'moh~Rz`sRmvvfcX8VHYN9<9;{rvmki(kfxn#iklr^vlwVirzjoTPdqmw64=}x|cec"m`rd-gaftX|fyXcx|le^3\FWD6:?1q|xgao.alv`)cmjxTxb}\otp`aZ7XJ[H:Si~`t338~usnff%hck ddaq[qit[fyohQ>_CPA670;{rvmki(kfxn#iklr^vlwVirzjoT=RL]B205?vrage$ob|j/eg`vZrh{Ze~~nkP1^@QF6Ycxf~9=6tuhll+fium&nnoQ{orQlqwebW8UI^O:=6:xsqlhh'jeyi"jjcs]wkvUh}{inS>;5uptkmk*ehzl%oin|PtnqPkptdmV;TN_L:_erlp77|w}`dd#na}e.ffgwYsgzYdymj_3]AVG4Xlye><5uptkmk*ehzl%oin|PtnqPkptdmV8TN_L<279ytpoig&id~h!kebp\pjuTg|xhiR|w}`dd#na}e.ffgwYsgzYdymj_2]AVG65>2p{yd``/bmqa*bbk{Uc~]`usaf[6YEZK:Th}a{209ytpoig&id~h!kebp\pjuTg|xhiR=PBS@263=}x|cec"m`rd-gaftX|fyXcx|le^1\FWD6Wmzdx??4zqwjjj)dg{o$hhm}_umpWjsuklU8SO\M2348~usnff%hck ddaq[qit[fyohQ<_CPA6Zbwg}8:7w~ziom,gjtb'moh~Rz`sRmvvfcX;VHYN><9;{rvmki(kfxn#iklr^vlwVirzjoT?RL]B2]gtjr592p{yd``/bmqa*bbk{Uc~]`usaf[6YEZK>9:6tuhll+fium&nnoQ{orQlqwebW:UI^O:Pdqmw64=}x|cec"m`rd-gaftX|fyXcx|le^6\FWD7:?1q|xgao.alv`)cmjxTxb}\otp`aZ2XJ[H;Si~`t338~usnff%hck ddaq[qit[fyohQ;_CPA570;{rvmki(kfxn#iklr^vlwVirzjoT8RL]B305?vrage$ob|j/eg`vZrh{Ze~~nkP4^@QF7Ycxf~9=6tuhll+fium&nnoQ{orQlqwebW=UI^O==6:xsqlhh'jeyi"jjcs]wkvUh}{inS9QMRC1\`uis:81q|xgao.alv`)cmjxTxb}\otp`aZ2XJ[H?>;5uptkmk*ehzl%oin|PtnqPkptdmV>TN_L;_erlp4027;7d3sz~eca cnpf+`~uWgexS~oye=37:4e|w}`dd#na}e.g{vZhh{Vyjzh2>7?3`?vrage$ob|j/dzq[kitWzk}i1?7>0a8~usnff%hck eyp\jjuX{h|n0<711c9ytpoig&id~h!jxs]mkvYtio7=3?l;{rvmki(kfxn#hv}_omp[vgqm58;213;7d3sz~eca cnpf+`~uWgexS~oye=0;:4e0a8~usnff%hck eyp\jjuX{h|n0>?11c9ytpoig&id~h!jxs]mkvYtio7?3?m;{rvmki(kfxn#hv}_omp[vgqm5>5=o5uptkmk*ehzl%ntQaor]pesc;=7;i7w~ziom,gjtb'lrySca|_rcua9099k1q|xgao.alv`)bp{Uec~Q|awg?3;7e3sz~eca cnpf+`~uWgexS~oye=:=5g=}x|cec"m`rd-f|wYigzUxm{k39?05?vrage$ob|j/dzq[kitWzk}iV=>]^KMRZ441Ve9m6tuhll+fium&os~R``s^qbr`]49TUBB[Q=38]l8679:k1q|xgao.alv`)bp{Uec~Q|awgX74[XAG\T>>7Po=12:44e3sz~eca cnpf+`~uWgexS~oyeZ12YZOI^V885Ra330<16g=}x|cec"m`rd-f|wYigzUxm{kT30_\MKPX::3Tc1=>>20a?vrage$ob|j/dzq[kitWzk}iV=>]^KMRZ441Ve7?<0;2c9ytpoig&id~h!jxs]mkvYtioP?o5uptkmk*ehzl%ntQaor]pesc\;8WTECXP22;\k9566>;j7w~ziom,gjtb'lrySca|_rcuaZ66i2p{yd``/bmqa*czVddR}nvd]25g=}x|cec"m`rd-f|wYigzUxm{kP113a?vrage$ob|j/dzq[kitWzk}iR?>1c9ytpoig&id~h!jxs]mkvYtioT=??m;{rvmki(kfxn#hv}_omp[vgqmV;8=o5uptkmk*ehzl%ntQaor]pescX9=;i7w~ziom,gjtb'lrySca|_rcuaZ729k1q|xgao.alv`)bp{Uec~Q|awg\537e3sz~eca cnpf+`~uWgexS~oye^345g=}x|cec"m`rd-f|wYigzUxm{kP193a?vrage$ob|j/dzq[kitWzk}iR?61`9ytpoig&id~h!jxs]mkvYtioT>|w}`dd#na}e.g{vZhh{VyjzhQ=50`8~usnff%hck eyp\jjuX{h|nS?8>b:xsqlhh'jeyi"kwr^llwZuf~lU9;a:xsqlhh'jeyi"kwr^llwZuf~lU>=l5uptkmk*ehzl%ntQaor]pescX>8k0v}{fnn-`kwc(mqxTbb}Ps`tf[27f3sz~eca cnpf+`~uWgexS~oye^:2e>|w}`dd#na}e.g{vZhh{VyjzhQ61d9ytpoig&id~h!jxs]ppdrbWOYFSKHk1338~usnff%hck eyp\wqgsmVLXARHId0/Jj734zqwjjj)dg{o$iu|PsucwaZ@TEVLMh219ytpoig&id~h!jxs]ppdrbWOYFSKHk1^02a>|w}`dd#na}e.g{vZusi}oTJ^CPFGf164=}x|cec"m`rd-f|wYt|h~nSK]B_GDg6(Oi:;1q|xgao.alv`)bp{Uxxlzj_GQN[C@c:$Ce=?<4zqwjjj)dg{o$iu|PsucwaZ@TEVLMh?#Fn301?vrage$ob|j/dzq[vrf|lUM_@QIFe0.Mk5582p{yd``/bmqa*czVymykPFRO\BCb5W88;7w~ziom,gjtb'lryS~zntd]EWHYANm8T><>;{rvmki(kfxn#hv}_rvbp`YA[DUMJi="Io01?vrage$ob|j/dzq[vrf|lUM_@QIFe1.Mk75<2p{yd``/bmqa*czVymykPFRO\BCb4%@d:8<<7;{rvmki(kfxn#hv}_rvbp`YA[DUMJi="Io375Z@UJ;80v}{fnn-`kwc(mqxTyo{e^DPIZ@Al:'Bb?<=;{rvmki(kfxn#hv}_rvbp`YA[DUMJi="Io116>|w}`dd#na}e.g{vZusi}oTJ^CPFGf0)Lh3:;1q|xgao.alv`)bp{Uxxlzj_GQN[C@c;$Ce9?<4zqwjjj)dg{o$iu|PsucwaZ@TEVLMh>#Fn701?vrage$ob|j/dzq[vrf|lUM_@QIFe1.Mk1582p{yd``/bmqa*czVymykPFRO\BCb4W8;n7w~ziom,gjtb'lryS~zntd]EWHYANm>9=6tuhll+fium&os~R}{aug\BVKXNOn?!D`=2:xsqlhh'jeyi"kwr^qweqcXNZGTJKj;-Hl266=}x|cec"m`rd-f|wYt|h~nSK]B_GDg0(Oi9<8?7w~ziom,gjtb'lryS~zntd]EWHYANm>&Ec?:1308~usnff%hck eyp\wqgsmVLXARHId5/Jj7453sz~eca cnpf+`~uWz~jxhQISL]EBa2*Ag99>6tuhll+fium&os~R}{aug\BVKXNOn?!D`;239ytpoig&id~h!jxs]ppdrbWOYFSKHk4,Km1767:xsqlhh'jeyi"kwr^vlw97768=0v}{fnn-`kwc(mqxTxb}310<23>|w}`dd#na}e.g{vZrh{5;92<94zqwjjj)dg{o$iu|Ptnq?5686?2p{yd``/bmqa*czV~d1?;>058~usnff%hck eyp\pju;9<4:;6tuhll+fium&os~Rz`s=35:417:xsqlhh'jeyi"kwr^vlw97?68=0v}{fnn-`kwc(mqxTxb}318<22>|w}`dd#na}e.g{vZrh{5;5=:5uptkmk*ehzl%ntQ{or>14;703sz~eca cnpf+`~uW}ex0??1169ytpoig&id~h!jxs]wkv:5:7;<7w~ziom,gjtb'lrySya|<31=52=}x|cec"m`rd-f|wYsgz6983?8;{rvmki(kfxn#hv}_ump87399>1q|xgao.alv`)bp{Uc~2=6?34?vrage$ob|j/dzq[qit4;=5=:5uptkmk*ehzl%ntQ{or>1<;703sz~eca cnpf+`~uW}ex0?71179ytpoig&id~h!jxs]wkv:568=0v}{fnn-`kwc(mqxTxb}331<23>|w}`dd#na}e.g{vZrh{59:2<84zqwjjj)dg{o$iu|Ptnq?7;713sz~eca cnpf+`~uW}ex090>6:xsqlhh'jeyi"kwr^vlw9399?1q|xgao.alv`)bp{Uc~29>048~usnff%hck eyp\pju;?7;=7w~ziom,gjtb'lrySya|<9<22>|w}`dd#na}e.g{vZrh{535?>5uptkmk*ehzl%ntQ{orY16XYwzllyS}mT23_\eabX;9?TCXZ30?17?vrage$ob|j/dzq[qitS;8VS}|jfs]sg^45UVkohR=?5^MVP9776:>0v}{fnn-`kwc(mqxTxb}T23_\twcazVzhW?PQnde]040YH]]6:?3=;;{rvmki(kfxn#hv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT=37:62?SPpsgevZvdS;8VSljk_226[JSS48?5?95uptkmk*ehzl%ntQ{orY16XYwzllyS}mT23_\eabX;9?TCXZ317<00>|w}`dd#na}e.g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQ:6?79?7w~ziom,gjtb'lrySya|[30^[utbn{U{oV<=]^cg`Z57=VE^X1?7>268~usnff%hck eyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW84?9;:1q|xgao.alv`)bp{Uc~U=2\]sv``uWyiP>?SPaef\753XG\^7=3=;;{rvmki(kfxn#hv}_ump_74ZWyxnjQcZ01YZgclV9;9RAZT=03:62?SPpsgevZvdS;8VSljk_226[JSS4;;5?95uptkmk*ehzl%ntQ{orY16XYwzllyS}mT23_\eabX;9?TCXZ323<07>|w}`dd#na}e.g{vZrh{R89QR~}egp\tf]5:TUjhiQ<04]LQQ:56:90v}{fnn-`kwc(mqxTxb}T23_\twcazVzhW?0<3:xsqlhh'jeyi"kwr^vlw^45UVzyik|PpbY16XYflmU8<8Q@UU>7:65?SPpsgevZvdS;8VSljk_226[JSS4<48?6tuhll+fium&os~Rz`sZ01YZvumoxT|nU=2\]b`aY48218~usnff%hck eyp\pju\:;WT|kir^r`_74ZWhnoS>>:_NWW8284;2p{yd``/bmqa*czV~dV<=]^rqactXxjQ9>PQnde]040YH]]632>=4zqwjjj)dg{o$iu|PtnqX67[Xx{om~R~l[30^[dbcW::>SB[[<8<1a>|w}`dd#na}e.g{vZrh{R9:QR``sZ12YZgclV89?RAZT=2=6c=}x|cec"m`rd-f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU>24;4a3sz~eca cnpf+`~uW}exW>?R_omp_67ZWhnoS?<<_NWW8479:o1q|xgao.alv`)bp{Uc~U<1\]mkv]49TUjhiQ=22]LQQ:6:78m7w~ziom,gjtb'lrySya|[23^[kitS:;VSljk_300[JSS4895>k5uptkmk*ehzl%ntQ{orY05XYigzQ8=PQnde]166YH]]6:83?R_`fg[744WF__0<;12g9ytpoig&id~h!jxs]wkv]49TUec~U<1\]b`aY5::UDYY2>6?0e?vrage$ob|j/dzq[qitS:;VSca|[23^[dbcW;88SB[[<05=6c=}x|cec"m`rd-f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU>2<;4a3sz~eca cnpf+`~uW}exW>?R_omp_67ZWhnoS?<<_NWW84?9:l1q|xgao.alv`)bp{Uc~U<1\]mkv]49TUjhiQ=22]LQQ:66;l0v}{fnn-`kwc(mqxTxb}T30_\jju\;8WTmijP231\KPR;:949j6tuhll+fium&os~Rz`sZ12YZhh{R9:QRokd^017ZIR\58:2?h4zqwjjj)dg{o$iu|PtnqX74[XffyP??0=f:xsqlhh'jeyi"kwr^vlw^56UVddV=>]^cg`Z45;VE^X1<<>3d8~usnff%hck eyp\pju\;8WTbb}T30_\eabX:;9TCXZ325<1b>|w}`dd#na}e.g{vZrh{R9:QR``sZ12YZgclV89?RAZT=06:7`?=POTV?6385n2p{yd``/bmqa*czV~dV=>]^llw^56UVkohR<=3^MVP9406;l0v}{fnn-`kwc(mqxTxb}T30_\jju\;8WTmijP231\KPR;:149j6tuhll+fium&os~Rz`sZ12YZhh{R9:QRokd^017ZIR\5822?k4zqwjjj)dg{o$iu|PtnqX74[XffyP?3?R_`fg[744WF__0>>12g9ytpoig&id~h!jxs]wkv]49TUec~U<1\]b`aY5::UDYY2<1?0f?vrage$ob|j/dzq[qitS:;VSca|[23^[dbcW;88SB[[<2<1a>|w}`dd#na}e.g{vZrh{R9:QR``sZ12YZgclV89?RAZT=6=6`=}x|cec"m`rd-f|wYsgzQ8=PQaorY05XYflmU9>>Q@UU>6:7c?=POTV?2;4b3sz~eca cnpf+`~uW}exW>?R_omp_67ZWhnoS?<<_NWW8285m2p{yd``/bmqa*czV~dV=>]^llw^56UVkohR<=3^MVP9>9:l1q|xgao.alv`)bp{Uc~U<1\]mkv]49TUjhiQ=22]LQQ:>6:=0v}{fnn-`kwc(mqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[<1<0<>|w}`dd#na}e.g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]6:<3=7;{rvmki(kfxn#hv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVP9766:20v}{fnn-`kwc(mqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[<00=7==}x|cec"m`rd-f|wYsgzQ8=PQ|t`ah[gYumhnP?0<8:xsqlhh'jeyi"kwr^vlw^56UVymnePb^pfea]49TUjhiQ=23]LQQ:6<7937w~ziom,gjtb'lrySya|[23^[vrfkbUiSkndZ12YZgclV89>RAZT=36:6>?R_`fg[745WF__0<81399ytpoig&id~h!jxs]wkv]49TUxxlmd_c]qadb\;8WTmijP230\KPR;9>4846tuhll+fium&os~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU>2<;5?3sz~eca cnpf+`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^X1?6>258~usnff%hck eyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSS484846tuhll+fium&os~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU>14;5?3sz~eca cnpf+`~uW}exW>?R_rvbgnYeW{ojhV=>]^cg`Z45:VE^X1<>>2:8~usnff%hck eyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSS4;85?55uptkmk*ehzl%ntQ{orY05XYt|hi`SoQ}e`fX74[XimnT>?]^qwefmXjVxnmiU<1\]b`aY5:;UDYY2=4?1;?vrage$ob|j/dzq[qitS:;VS~zncj]a[wcflR9:QRokd^016ZIR\58>2>64zqwjjj)dg{o$iu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW8709;11q|xgao.alv`)bp{Uc~U<1\]ppdelWkUyiljT30_\eabX:;8TCXZ326<0<>|w}`dd#na}e.g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]6943=7;{rvmki(kfxn#hv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVP94>6:=0v}{fnn-`kwc(mqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[<3<0<>|w}`dd#na}e.g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]68<3=7;{rvmki(kfxn#hv}_ump_67ZWz~jofQm_sgb`^56UVkohR<=2^MVP9566:=0v}{fnn-`kwc(mqxTxb}T30_\wqgdcVhT~hok[23^[dbcW;89SB[[<2<03>|w}`dd#na}e.g{vZrh{R9:QR}{abi\fZtbimQ8=PQnde]167YH]]6?2>94zqwjjj)dg{o$iu|PtnqX74[X{}khgRlPrdcg_67ZWhnoS?<=_NWW8084?2p{yd``/bmqa*czV~dV=>]^qwefmXjVxnmiU<1\]b`aY5:;UDYY29>258~usnff%hck eyp\pju\;8WTyolk^`\v`gcS:;VSljk_301[JSS4>48;6tuhll+fium&os~Rz`sZ12YZusijaTnR|jaeY05XYflmU9>?Q@UU>;:61?R_`fg[745WF__040>a:xsqlhh'jeyi"kwr^vlwZ6XJ[H;=l5uptkmk*ehzl%ntQ{or]3[GTE98k0v}{fnn-`kwc(mqxTxb}P0^@QF77f3sz~eca cnpf+`~uW}exS=QMRC12e>|w}`dd#na}e.g{vZrh{V:TN_L;149ytpoig&id~h!jxs]wkvY69?1q|xgao.alv`)bp{Uc~Q>0048~usnff%hck eyp\pjuX98;=7w~ziom,gjtb'lrySya|_0022>|w}`dd#na}e.g{vZrh{V;8=;5uptkmk*ehzl%ntQ{or]20402p{yd``/bmqa*czV~dR?8179ytpoig&id~h!jxs]wkvY608<0v}{fnn-`kwc(mqxTxb}P1836?vrage$ob|j/dzq[qitW;;=7w~ziom,gjtb'lrySya|_3222>|w}`dd#na}e.g{vZrh{V8:=;5uptkmk*ehzl%ntQ{or]1640>?9;{rvmki(kfxn#hv}_ump[726>2p{yd``/bmqa*czV~dR<:179ytpoig&id~h!jxs]wkvY5>8<0v}{fnn-`kwc(mqxTxb}P2635?vrage$ob|j/dzq[qitW;2::6tuhll+fium&os~Rz`s^0:50=}x|cec"m`rd-f|wYsgzU8=;5uptkmk*ehzl%ntQ{or]044024;7d3sz~eca cnpf+`~uW}exS~oye=32:4e|w}`dd#na}e.g{vZrh{Vyjzh2>4?3`?vrage$ob|j/dzq[qitWzk}i1?:>0a8~usnff%hck eyp\pjuX{h|n0<811b9ytpoig&id~h!jxs]wkvYtio7=:0>c:xsqlhh'jeyi"kwr^vlwZuf~l6:43?l;{rvmki(kfxn#hv}_ump[vgqm5;2210;7d3sz~eca cnpf+`~uW}exS~oye=06:4e|w}`dd#na}e.g{vZrh{Vyjzh2=8?3`?vrage$ob|j/dzq[qitWzk}i1<6>0`8~usnff%hck eyp\pjuX{h|n0?0>c:xsqlhh'jeyi"kwr^vlwZuf~l68<3?l;{rvmki(kfxn#hv}_ump[vgqm59:25:4d|w}`dd#na}e.g{vZrh{Vyjzh27>0`8~usnff%hck eyp\pjuX{h|n040>a:xsqlhh'jeyi"kwr^vlwZuf~lU;=l5uptkmk*ehzl%ntQ{or]pescX98h0v}{fnn-`kwc(mqxTxb}Ps`tf[466j2p{yd``/bmqa*czV~dR}nvd]254d|w}`dd#na}e.g{vZrh{VyjzhQ>30`8~usnff%hck eyp\pjuX{h|nS<:>b:xsqlhh'jeyi"kwr^vlwZuf~lU:96j2p{yd``/bmqa*czV~dR}nvd]2=4g4?n;{rvmki(kfxn#hv}_ump[vgqmV9:n6tuhll+fium&os~Rz`s^qbr`Y488h0v}{fnn-`kwc(mqxTxb}Ps`tf[676i2p{yd``/bmqa*czV~dR}nvd]75d=}x|cec"m`rd-f|wYsgzUxm{kP50c8~usnff%hck eyp\pjuX{h|nS;?n;{rvmki(kfxn#hv}_ump[vgqmV=:m6tuhll+fium&os~Rz`s^qbr`Y?9h1q|xgao.alv`)bp{Uc~Q|awg\=4101;7a3sz~eca cnpf+iuoao~n~Raztqww86099o1q|xgao.alv`)k{acmxh|Potvsqq:4?7;m7w~ziom,gjtb'eycekzjr^mvpuss4:25=k5uptkmk*ehzl%gegitdp\kprw}}6853?i;{rvmki(kfxn#a}gigvfvZir|y09>11g9ytpoig&id~h!csikep`tXg|~{yy2;1?3e?vrage$ob|j/mqkmcrbzVe~x}{{<50=5c=}x|cec"m`rd-owmoa|lxTcxzuu>77;7a3sz~eca cnpf+iuoao~n~Raztqww81299o1q|xgao.alv`)k{acmxh|Potvsqq:3=7;m7w~ziom,gjtb'eycekzjr^mvpuss4=<5=k5uptkmk*ehzl%gegitdp\kprw}}6?;3??;{rvmki(kfxn#a}z/0c8~usnff%hck lrw,IhsWkxu>f:xsqlhh'jeyi"b|u.Onq}YpjViroRa>10c8~usnff%hck lrw,[k67=8Ubb{?m;{rvmki(kfxn#a}z/^l3407Xag|:=l5uptkmk*ehzl%gx!}_`fgv97768k0v}{fnn-`kwc(dz$~Rokds>25;7f3sz~eca cnpf+iur'{Ujhi|313<2e>|w}`dd#na}e.npq*tXimny0<=11`9ytpoig&id~h!cst-q[dbcz5;?20c8~usnff%hck lrw,vZgcl{6:53?n;{rvmki(kfxn#a}z/s]b`at;:94:m6tuhll+fium&fxy"|Paefq87799h1q|xgao.alv`)k{|%ySljkr=01:4g90>a:xsqlhh'jeyi"b|u.p\eabu4;?5=l5uptkmk*ehzl%gx!}_`fgv94168k0v}{fnn-`kwc(dz$~Rokds>13;7>3sz~eca cnpf+iur'{Ujhi|32?3:?vrage$ob|j/mqv+wYflmx7?3?6;{rvmki(kfxn#a}z/s]b`at;<7;27w~ziom,gjtb'ey~#Qndep?1;7>3sz~eca cnpf+iur'{Ujhi|36?3:?vrage$ob|j/mqv+wYflmx7;3?6;{rvmki(kfxn#a}z/s]b`at;07;27w~ziom,gjtb'ey~#Qndep?=;7>3sz~eca cnpf+iur'{Ujhi|P113:?vrage$ob|j/mqv+wYflmxT=3sz~eca cnpf+iur'{Ujhi|P153:?vrage$ob|j/mqv+wYflmxT=8?6;{rvmki(kfxn#a}z/s]b`atX9?;27w~ziom,gjtb'ey~#Qndep\527>3sz~eca cnpf+iur'{Ujhi|P193:?vrage$ob|j/mqv+wYflmxT=4?7;{rvmki(kfxn#a}z/s]b`atX:830v}{fnn-`kwc(dz$~Rokds]144?<74zqwjjj)dg{o$`~{ r^cg`wY5;830v}{fnn-`kwc(dz$~Rokds]104?|w}`dd#na}e.npq*tXimnyS:?7;{rvmki(kfxn#a}z/s]b`atX0820v}{fnn-`kwc(dz$~Rokds]:5<=}x|cec"m`rd-owp)uWmkm1>11`9ytpoig&id~h!cst-q[agsi5;;20c8~usnff%hck lrw,vZbf|h6:93?n;{rvmki(kfxn#a}z/s]geqg;9?4:m6tuhll+fium&fxy"|Pd`vb84199h1q|xgao.alv`)k{|%ySio{a=3;:4g9?3:?vrage$ob|j/mqv+wYci}k7=3?n;{rvmki(kfxn#a}z/s]geqg;:94:m6tuhll+fium&fxy"|Pd`vb87799h1q|xgao.alv`)k{|%ySio{a=01:4g90>a:xsqlhh'jeyi"b|u.p\`drf4;?5=l5uptkmk*ehzl%gx!}_ecwe94168k0v}{fnn-`kwc(dz$~Rjnt`>13;7f3sz~eca cnpf+iur'{Uomyo329<2e>|w}`dd#na}e.npq*tXlh~j0?71189ytpoig&id~h!cst-q[agsi585=l5uptkmk*ehzl%gx!}_ecwe95768k0v}{fnn-`kwc(dz$~Rjnt`>05;7>3sz~eca cnpf+iur'{Uomyo33?3:?vrage$ob|j/mqv+wYci}k783?6;{rvmki(kfxn#a}z/s]geqg;=7;27w~ziom,gjtb'ey~#Qkauc?2;7>3sz~eca cnpf+iur'{Uomyo37?3:?vrage$ob|j/mqv+wYci}k743?6;{rvmki(kfxn#a}z/s]geqg;17;37w~ziom,gjtb'ey~#Qkauc\44>189ytpoig&id~h!cst-q[agsiV;;=45uptkmk*ehzl%gx!}_ecweZ76901q|xgao.alv`)k{|%ySio{a^315<=}x|cec"m`rd-owp)uWmkmR?<189ytpoig&id~h!cst-q[agsiV;?=45uptkmk*ehzl%gx!}_ecweZ72901q|xgao.alv`)k{|%ySio{a^355<=}x|cec"m`rd-owp)uWmkmR?8189ytpoig&id~h!cst-q[agsiV;3=45uptkmk*ehzl%gx!}_ecweZ7>911q|xgao.alv`)k{|%ySio{a^02=>|w}`dd#na}e.npq*tXlh~jS?>>9:xsqlhh'jeyi"b|u.p\`drfW;;:56tuhll+fium&fxy"|Pd`vb[74612p{yd``/bmqa*jt}&xThlzn_312=>|w}`dd#na}e.npq*tXlh~jS?:>9:xsqlhh'jeyi"b|u.p\`drfW;?:56tuhll+fium&fxy"|Pd`vb[70612p{yd``/bmqa*jt}&xThlzn_352=>|w}`dd#na}e.npq*tXlh~jS?6>9:xsqlhh'jeyi"b|u.p\`drfW;3:46tuhll+fium&fxy"|Pd`vb[67>3sz~eca cnpf+iur'{UomyoP313:?vrage$ob|j/mqv+wYci}kT?8:xsqlhh'jeyi"b|u.p\`drfW>;37w~ziom,gjtb'ey~#Qkauc\<4>0:8~usnff%hck lrw,vZubd595=:5uptkmk*ehzl%gx!}_rgo[5703sz~eca cnpf+iur'{UxiaQ>169ytpoig&id~h!cst-q[vckW;;<7w~ziom,gjtb'ey~#Q|em]065=}x|cec"m`rd-owp)uWz~jxhQISL]EBa75;2p{yd``/bmqa*jt}&xTyo{e^DPIZ@Al8'Bb?:4zqwjjj)dg{o$`~{ r^qweqcXNZGTJKj>-Hl265=}x|cec"m`rd-owp)uWz~jxhQISL]EBa45;2p{yd``/bmqa*jt}&xTyo{e^DPIZ@Al;'Bb?:4zqwjjj)dg{o$`~{ r^qweqcXNZGTJKj=-Hl251=}x|cec"m`rd-owpYe}zs:n6tuhll+fium&fxyRjnt`]pai:768h0v}{fnn-`kwc(dzThlzn_rgo8486j2p{yd``/bmqa*jt}VnjxlQ|em>1:4d|w}`dd#na}e.npqZbf|hU|~dzj<1<2a>|w}`dd#na}e.npqZbf|hU|~dzj<02=5`=}x|cec"m`rd-owpYci}kT{g{e=32:4c26;7b3sz~eca cnpf+iurWmkmRy}iug?5686m2p{yd``/bmqa*jt}VnjxlQxrhvf84299l1q|xgao.alv`)k{|UomyoPwskwa97268o0v}{fnn-`kwc(dzThlzn_vpjp`:6>7;n7w~ziom,gjtb'ey~Sio{a^uqmqc;9>4:i6tuhll+fium&fxyRjnt`]tvlrb4825=h5uptkmk*ehzl%gxQkauc\swosm5;22<0>e:xsqlhh'jeyi"b|u^fbpdYpz`~n0?<11d9ytpoig&id~h!cst]geqgX{ci1<<>0g8~usnff%hck lrw\`drfW~xbxh2=4?3f?vrage$ob|j/mqv[agsiV}yeyk324<2a>|w}`dd#na}e.npqZbf|hU|~dzj<34=5`=}x|cec"m`rd-owpYci}kT{g{e=04:4c1<;7b3sz~eca cnpf+iurWmkmRy}iug?6<86l2p{yd``/bmqa*jt}VnjxlQxrhvf8786m2p{yd``/bmqa*jt}VnjxlQxrhvf86699l1q|xgao.alv`)k{|UomyoPwskwa95668n0v}{fnn-`kwc(dzThlzn_vpjp`:468n0v}{fnn-`kwc(dzThlzn_vpjp`:368n0v}{fnn-`kwc(dzThlzn_vpjp`:268n0v}{fnn-`kwc(dzThlzn_vpjp`:168n0v}{fnn-`kwc(dzThlzn_vpjp`:068n0v}{fnn-`kwc(dzThlzn_vpjp`:?68n0v}{fnn-`kwc(dzThlzn_vpjp`:>6890v}{fnn-`kwc(dzT~h>2:xsqlhh'jeyi"``s=2=56=}x|cec"m`rd-mkv:687;87w~ziom,gjtb'gex026;743sz~eca cnpf+kit4895=>5uptkmk*ehzl%ec~2>4?30?vrage$ob|j/omp84399:1q|xgao.alv`)igz6::3?<;{rvmki(kfxn#ca|<05=56=}x|cec"m`rd-mkv:607;87w~ziom,gjtb'gex0<71139ytpoig&id~h!aor>2:45>018~usnff%hck nnq?6786;2p{yd``/bmqa*hh{5882<=4zqwjjj)dg{o$bb}325<27>|w}`dd#na}e.llw9426890v}{fnn-`kwc(ffy7>;0>3:xsqlhh'jeyi"``s=04:45008~usnff%hck nnq?6;743sz~eca cnpf+kit4::5=>5uptkmk*ehzl%ec~2<1?31?vrage$ob|j/omp8686:2p{yd``/bmqa*hh{5>5=?5uptkmk*ehzl%ec~2:>008~usnff%hck nnq?2;753sz~eca cnpf+kit4>4:>6tuhll+fium&dd161139ytpoig&id~h!aor>::7d6^m1a>|w}`dd#na}e.llw^56UVos~Rz`sZ12YZcv}hfT><8Po^n250=}x|cec"m`rd-sgkc|5:5=;5uptkmk*ehzl%{ockwt=33:402p{yd``/bmqa*vdflr0<=1179ytpoig&id~h!cog{p97368<0v}{fnn-`kwc(xjdnty2>5?35?vrage$ob|j/qama}r;9?4::6tuhll+fium&zhbhv{<05=53=}x|cec"m`rd-sgkc|5;32<84zqwjjj)dg{o$|n`jxu>2=;723sz~eca cnpf+ueimq~7=3?9;{rvmki(kfxn#}maeyv?6586>2p{yd``/bmqa*vdflr0??1179ytpoig&id~h!cog{p94568?0v}{fnn-`kwc(xjdnty2=>078~usnff%hck pblf|q:468?0v}{fnn-`kwc(xjdnty2;>078~usnff%hck pblf|q:268?0v}{fnn-`kwc(xjdnty29>078~usnff%hck pblf|q:068?0v}{fnn-`kwc(xjdnty27>078~usnff%hck pblf|q:>68=0v}{fnn-`kwc(x{om~Rl}e`i16>|w}`dd#na}e.rqactXllidhhj@pbmga969:;1q|xgao.alv`)wzllySikloeggKuehll6:2?<4zqwjjj)dg{o$|kir^ffgjbblFzhcik32?01?vrage$ob|j/qpfbwYcmjeoiiAcnff8685:2p{yd``/bmqa*vumoxThhm`ddfLtficm5>5>?5uptkmk*ehzl%{~hh}_eg`kaccGyidhh2:>338~usnff%hck psgevZbbkfnnhB~loeg\477??4zqwjjj)dg{o$|kir^ffgjbblFzhcikP3338~usnff%hck psgevZbbkfnnhB~loeg\07799m1q|xgao.alv`)wzllySkk{cir`84?99j1q|xgao.alv`)wzllySkk{cir`8486l2p{yd``/bmqa*vumoxTjhzlhqa?6586l2p{yd``/bmqa*vumoxTjhzlhqa?6486l2p{yd``/bmqa*vumoxTjhzlhqa?6786k2p{yd``/bmqa*vumoxTjhzlhqa?6;7d3sz~eca cnpf+utbn{Umiymgpb>0:4e|w}`dd#na}e.rqactXnl~hd}m36?3`?vrage$ob|j/qpfbwYam}ic|n28>0a8~usnff%hck psgevZ`b|jb{o1611b9ytpoig&id~h!rddq[ccskazh040>b:xsqlhh'jeyi"~}egp\b`rd`yiT<|w}`dd#na}e.rqactXnl~hd}mP193`?vrage$ob|j/qpfbwYam}ic|nQ>90`8~usnff%hck psgevZ`b|jb{oR<>c:xsqlhh'jeyi"~}egp\b`rd`yiT>=?l;{rvmki(kfxn#}|jfs]eaqeoxjU9=b:xsqlhh'jeyi"~}egp\kuehll6;27:4d|w}`dd#na}e.rqactXgyidhh29>0c8~usnff%hck psgevZiwkfnnS=?n;{rvmki(kfxn#}|jfs]ltficmV;:m6tuhll+fium&zyik|Poqal``Y59h1q|xgao.alv`)wzllySb~loeg\74ga:xsqlhh'jeyi"~}egp\kuehllU==:5uptkmk*ehzl%{~hh}_qa?4;7?3sz~eca cnpf+utbn{U{o1??>0:8~usnff%hck psgevZvd48;5=55uptkmk*ehzl%{~hh}_qa?578602p{yd``/bmqa*vumoxT|n2>3?3;?vrage$ob|j/qpfbwYwk5;?2<64zqwjjj)dg{o$|kir^r`8439911q|xgao.alv`)wzllyS}m317<2<>|w}`dd#na}e.rqactXxj6:;3?7;{rvmki(kfxn#}|jfs]sg97?6820v}{fnn-`kwc(x{om~R~l<0;=52=}x|cec"m`rd-sv``uWyi7=3?7;{rvmki(kfxn#}|jfs]sg9476820v}{fnn-`kwc(x{om~R~l<33=5==}x|cec"m`rd-sv``uWyi7>?0>7:xsqlhh'jeyi"~}egp\tf:568=0v}{fnn-`kwc(x{om~R~l<2<23>|w}`dd#na}e.rqactXxj6?2<94zqwjjj)dg{o$|kir^r`8086?2p{yd``/bmqa*vumoxT|n29>058~usnff%hck psgevZvd4>4:;6tuhll+fium&zyik|Ppb>;:416:xsqlhh'jeyi"~}egp\tfY79?1q|xgao.alv`)wzllyS}mP1058~usnff%hck psgevZvdW8::;6tuhll+fium&zyik|Ppb]25417:xsqlhh'jeyi"~}egp\tfY6;8=0v}{fnn-`kwc(x{om~R~l_0623>|w}`dd#na}e.rqactXxjU:9<94zqwjjj)dg{o$|kir^r`[406?2p{yd``/bmqa*vumoxT|nQ>7058~usnff%hck psgevZvdW82:;6tuhll+fium&zyik|Ppb]2=401q|xgao.alv`)wzllyS}mP2034?vrage$ob|j/qpfbwYwkV89=;5uptkmk*ehzl%{~hh}_qa\7402p{yd``/bmqa*vumoxT|nQ9179ytpoig&id~h!rddq[ueX?8<0v}{fnn-`kwc(x{om~R~l_935?vrage$ob|j/qpfbwYwkV3:i6tuhll+fium&zyik|PrdaliussmVy{=55uptkmk*ehzl%{~hh}_rr?558602p{yd``/bmqa*vumoxT}2>1?3;?vrage$ob|j/qpfbwYtx5;92<94zqwjjj)dg{o$|kir^qs8786?2p{yd``/bmqa*vumoxT}2<>058~usnff%hck psgevZuw4=4:;6tuhll+fium&zyik|Psq>6:417:xsqlhh'jeyi"~}egp\wu:068=0v}{fnn-`kwc(x{om~R}<9<23>|w}`dd#na}e.rqactX{y622>>4zqwjjj)dg{o$|kir^qs_44ZWNDOS88Po^cg`Z45?VE^X1>1309ytpoig&id~h!rddq[vv\9;WTKCJP57]l[dbcW;82\]DJAY2>VeTmijP235\KPR;:79;7w~ziom,gjtb'yxnjQ|pZ31YZAILV?=SbQnde]162YH]]682>>4zqwjjj)dg{o$|kir^qs_44ZWNDOS88Po^cg`Z45?VE^X1:1319ytpoig&id~h!rddq[vv\9;WTKCJP57]l[dbcW;8|w}`dd#na}e.rqactX{yQ:>PQHNE]62ZiXimnT>?9POTV?2;573sz~eca cnpf+utbn{Ux|V?=]^EM@Z31WfUjhiQ=26]LQQ:06::0v}{fnn-`kwc(x{om~R}[00^[BHCW<7:xsqlhh'jeyi"~}egp\wuY688i0v}{fnn-`kwc(x{om~R}_02\`uis9>1q|xgao.alv`)wzllyS~~P103`?vrage$ob|j/qpfbwYtxV;:Si~`t058~usnff%hck psgevZuwW88:o6tuhll+fium&zyik|Psq]26Zbwg};=7w~ziom,gjtb'yxnjQ|p^02f>|w}`dd#na}e.rqactX{yU9Si~`t048~usnff%hck psgevZuwW:;i7w~ziom,gjtb'yxnjQ|p^1\`uis9?1q|xgao.alv`)wzllyS~~P40`8~usnff%hck psgevZuwW=Uo|bz>6:xsqlhh'jeyi"~}egp\wuY29k1q|xgao.alv`)wzllyS~~P5^fskq713sz~eca cnpf+utbn{Ux|R8>b:xsqlhh'jeyi"~}egp\wuY1Wmzdx<84zqwjjj)dg{o$|kir^qs[27e3sz~eca cnpf+utbn{Ux|R9Pdqmw53=}x|cec"m`rd-sv``uWzzT40a8~usnff%hck psgevZuwflr0b:xsqlhh'jeyi"~}egp\wuhbp}6925:4d|w}`dd#na}e.rqactX{ydnty27>0`8~usnff%hck psgevZuwflr040<4:xsqlhh'jeyi"~}egp\wuhbp}Q:>PQHNE]62ZiXimnT=58POTV?4;523sz~eca cnpf+utbn{Ux|ckwtZ31YZAILV?=SbQnde]2<3YH]]6:<3=;;{rvmki(kfxn#}|jfs]ptkc|R;9QRIAD^75[jYflmU:4;Q@UU>2:62|w}`dd#na}e.rqactX{ydntyU>2\]DJAY2>VeTmijP194\KPR;<79?7w~ziom,gjtb'yxnjQ|pog{p^75UVMEHR;9_n]b`aY60?UDYY2:>268~usnff%hck psgevZuwflrW<1WF__0:0<4:xsqlhh'jeyi"~}egp\wuhbp}Q:>PQHNE]62ZiXimnT=58POTV?<;533sz~eca cnpf+utbn{Ux|ckwtZ31YZAILV?=SbQnde]2<3YH]]622>94zqwjjj)dg{o$|kir^qsj`~sS88VS~~Plncg_44ZWdsS<6:_NWW858402p{yd``/bmqa*vumoxT}`jxuY26XYtxVfdmiU>2\]nq}Y600?14?vrage$ob|j/qpfbwYtxgosxV?=]^qs[iiflR;9QRczx^3;1ZIR\5;5?:5uptkmk*ehzl%{~hh}_rrma}r\9;WT}Qco`fX57[Xe|rT=5;POTV?6;503sz~eca cnpf+utbn{Ux|ckwtZ31YZuwWeejhV?=]^ov|Z7?=VE^X1=1369ytpoig&id~h!rddq[vvimq~P=?SPsq]okdb\9;WTaxvP197\KPR;<79<7w~ziom,gjtb'yxnjQ|pog{p^75UVy{SaandZ31YZkrpV;39RAZT=7=72=}x|cec"m`rd-sv``uWzzeiuzT13_\wuYkghnP=?SPmtz\5=3XG\^7:3=8;{rvmki(kfxn#}|jfs]ptkc|R;9QR}_mmb`^75UVg~tR?75^MVP919;>1q|xgao.alv`)wzllyS~~aeyvX57[X{yUgcljT13_\ip~X91?TCXZ38?14?vrage$ob|j/qpfbwYtxgosxV?=]^qs[iiflR;9QRczx^3;1ZIR\535=o5uptkmk*ehzl%{~hh}_rrma}rX99;i7w~ziom,gjtb'yxnjQ|pog{pZ769k1q|xgao.alv`)wzllyS~~aeyv\577f3sz~eca cnpf+utbn{Ux|ckwt^02e>|w}`dd#na}e.rqactX{ydntyQ<1`9ytpoig&id~h!rddq[vvimq~T8e:xsqlhh'jeyi"~}egp\pjuTg|xhi1?11d9ytpoig&id~h!rddq[qit[fyoh2=>0g8~usnff%hck psgevZrh{Ze~~nk33?3f?vrage$ob|j/qpfbwYsgzYdymj<5<2`>|w}`dd#na}e.rqactX|fyXcx|le^22`>|w}`dd#na}e.rqactX|fyXcx|le^32`>|w}`dd#na}e.rqactX|fyXcx|le^02`>|w}`dd#na}e.rqactX|fyXcx|le^12`>|w}`dd#na}e.rqactX|fyXcx|le^623>|w}`dd#na}e.rqactX~hfbh?=4zqwjjj)dg{o$lcldfGaficmmE{objj<1<17>|w}`dd#na}e.qbiujblMohcikkOqal``:66;90v}{fnn-`kwc({hg{`hjKebmgaaIwkfnn0?0=3:xsqlhh'jeyi"}nmqnf`AcdgmooC}m`dd>0:75368~usnff%hck s`osh`bT|hi`C}k}auklj969:=1q|xgao.alv`)tidzgii]{abiLt`tf|`ee0<0>0:xsqlhh'jeyi"}gl.16?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]9=7w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU374>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:SO>Pthgpmb263sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY?PB02\plctan>:7w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU3\F47X|`oxej:>;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ7XJ88Txdk|if62?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]];TN<=Pthgpmb263sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY?PB06\plctan>:7w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU3\F43X|`oxej:>;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ7XJ8RAZT0]A6Zrnmzcl8=5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?VS@{Ct^0\KPR6WK>Txdk|if63?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]];TN8Q{idqjc16X|`oxej:?;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ7XJ0Ueh}fg7f8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8U[OB[[_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\;U[OD@P07f8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8U[OB[[_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\;U[OD@P17g8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8U[OB[[_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\;U[OD@P114f?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]];T\NAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\NGA_035a>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:S]M@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]MFN^312`=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__=R^LOTV\pjYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__>R^LIO]273c_QALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_QAJJZ71>l1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[1^R@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^R@MKY6??o0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT0]SGJSSW}eTA`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT3]SGLHX91?R_hlsqqD\?R_hlsqqD\?8j;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ7XXJE^XRz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XXJCES?=9e:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WYIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WYIBBR<;6d9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS9VZHCXZPtn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VZHECQ=57g8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8U[OB[[_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\;U[OD@P274f?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]];T\NAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\NGA_355a>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:S]M@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]MFN^0;2`=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__=R^LOTV\pjYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__>R^LIO]1=3b_QALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_QAJJZ55>l1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[1^R@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^R@MKY4;?o0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT0]SGJSSW}eTA`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT3]SGLHX;=?R_hlsqqD\?R_hlsqqD\]^kmtprES=WTAxB{_3]LQQ7XXJE^XRz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XXJCES>79d:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WYIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WYIBBR:9e:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6WYIDYYQ{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WYIBBR:?6d9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS9VZHCXZPtn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VZHECQ;17g8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\8U[OB[[_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\;U[OD@P434f?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]];T\NAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\NGA_515a>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^:S]M@UU]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]MFN^672`=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__=R^LOTV\pjYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__>R^LIO]713c_QALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_QAJJZ31l2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>_QALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_QAJJZ01l2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>_QALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_QAJJZ11l2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>_QALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_QAJJZ>1l2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>_QALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_QAJJZ?4>2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=6`9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VHHCXZPtn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS;VHT<;o4zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\?R_hlsqqD\k1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^@@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[3^@\540e3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYRLLOTV\pjYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__?RLP124a?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8TNNAZT^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]9TNR?;6c9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VHHCXZPtn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS;VHT=88m;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XJJE^XRz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ5XJV;=:o5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RLLOTV\pjYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__?RLP47c8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\;UIOB[[_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\:UIS88n;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XJJE^XRz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ5XJV<=m6tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxRh1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^@@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[3^@\<3gQM_847?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\<9Ptn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS;?>0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT3]S5=YsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ<659ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VZ:5Rz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ51<2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_Q03[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X>8;;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XX;;TxbQBmtnw[lhw}}KP?|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]<;_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\:;Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR4>=1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^R13ZrhWDg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY=94:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WY83SyaPMlwopZoix|~JW>?R_hlsqqD\?R_hlsqqD\R^<1^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]9=86tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxRRAZT247?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]8T\>=Ptn]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS;?>0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT3]S71YsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ<659ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS:VZ89Rz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ51<2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ=_Q15[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X>8;;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ4XX:=TxbQBmtnw[lhw}}KP?|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^9S]:?_um\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\:VS@{Ct^0\KPR4>=1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[2^R77ZrhWDg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY=94:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR5WY>?SyaPMlwopZoix|~JW>?R_hlsqqD\?R_hlsqqD\R^;7^vl[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]98:6tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxRVS@{Ct^0\KPR4WK;9Sygjshe75>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^8SO?<_ukfwla392p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ<_C37[qob{`m?=6tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxRVS@{Ct^0\KPR4WK;=Sygjshe75>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^8SO?8_ukfwla382p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ<_C3\plctan>;7w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU1\F7Ysalybk9>4zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^8SO;Pthgpmb273sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY=PB7]wm`uno=:0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT2]A3Zrnmzcl8=5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?VS@{Ct^0\KPR4WK3Txdk|if1;?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]64zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\389ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS>V;9?45uptkmk*ehzl%xda!Bmtnw[lhw}}KP?V;=?55uptkmk*ehzl%xda!Bmtnw[lhw}}KP?64zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^=S:=7;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ0X0:20v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT7]:7==}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__4R><8:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR?W8927w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU:\555>3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY6P101:?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]2T=?=6;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ>X9:927w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU:\515>3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYY6P141:?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]2T=;=7;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ>X::20v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT9]07==}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__4R:<8:xsqlhh'jeyi"}gl.OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR?W<937w~ziom,gjtb'zbg#@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU:\26>3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYQMCNWW[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X]^kmtprES=WTAxB{_3]LQQYEKF__SyaPMlwopZoix|~JW>?R_hlsqqD\h1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_CALQQYsgVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ>_C]263gVS@{Ct^0\KPRXJJE^XRz`_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ7XJV;>:l5uptkmk*ehzl%xda!Bmtnw[lhw}}KP??k0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT^@@KPRX|fUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[1^@\520>3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYQMCNWW[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X86;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYEKF__SyaPMlwopZoix|~JW>?R_hlsqqD\RAZT0]A[00>3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYQMCNWW[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X]^kmtprES=WTAxB{_3]LQQYEKF__SyaPMlwopZoix|~JW>?R_hlsqqD\RAZT0]A[<043sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYQ_16]wkZKj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^::>5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT040?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]U[=4Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6>:1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_Q03[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X<8<;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYW:8UcRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR629ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWY8?SyaPMlwopZoix|~JW>?R_hlsqqD\5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT040?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]U[>:Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6>:1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_Q0;[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X<8<;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYW:0UcRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR629ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWY99SyaPMlwopZoix|~JW>?R_hlsqqD\5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT040?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]U[?8Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6>:1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_Q15[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X<8<;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYW;>UcRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR629ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSWY>;SyaPMlwopZoix|~JW>?R_hlsqqD\5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT040?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]U[8>Q{o^OnqirXagz~xLU<1\]jjussJR>VS@{Ct^0\KPR6>:1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_Q67[qiXEdgxRgaptvB_67ZW`d{yyLT4\]NqIrX:VE^X<8<;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYW<3c9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSW}g{S==m;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYseyU:?n5uptkmk*ehzl%xda!Bmtnw[lhw}}KP?RAZT^vntZ74;j1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_uos[424k2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZPtlr\505d3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYQ{mq]226e|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^Tx`~P181a?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]Ua}Q=3b9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSW}g{S?>VS@{Ct^0\KPRX|dzT><=l;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYseyU9>>m4zqwjjj)dg{o$eb MlwopZoix|~JW>?R_hlsqqD\8o6tuhll+fium&yc`"Cbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR:i0v}{fnn-`kwc({af$A`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT^vntZ40;j1q|xgao.alv`)t`e%Faxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[_uos[7>4k2p{yd``/bmqa*uod&GfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZPtlr\6<5e3sz~eca cnpf+vnk'Dg~`yQfnqwwE^56UVce|xzM[5_\IpJsW;UDYYQ{mq]07f=}x|cec"m`rd-pli)Je|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__Syc_220g>|w}`dd#na}e.qkh*Kj}e~Tec~zt@Y05XYnfyNV:R_LwOpZ4XG\^Tx`~P301`?vrage$ob|j/rjo+Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]Ua}Q<22a8~usnff%hck sin,Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\V~f|R=<3c9ytpoig&id~h!|hm-NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSSW}g{S9=m;{rvmki(kfxn#~fc/LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQYseyU>?o5uptkmk*ehzl%xda!Bmtnw[lhw}}KP??R_lw{[4YH]];;=>94zqwjjj)dg{o$eb Mlw{[lhw}}HP8PQfnqwwF^56UVg~tR?POTV24474>2p{yd``/bmqa*uod&GfyuQfnqwwF^2ZW`d{yyLT30_\ip~X9VE^X<>=379ytpoig&id~h!|hm-Nip~Xagz~xOU;]^kmtprES:;VS`{w_0]LQQ768:<0v}{fnn-`kwc({af$A`{w_hlsqqD\1016?vrage$ob|j/rjo+HkrpVce|xzM[5_\mkvr|KQ8=PQbuy]2[JSS9;9=7w~ziom,gjtb'zbg#@czx^kmtprES=WTec~ztCY05XYj}qU:SB[[13302>|w}`dd#na}e.qkh*Kj}qUbb}{{BZ6^[lhw}}HP?]^ov|Z7XG\^:9<=9;{rvmki(kfxn#~fc/Lov|Zoix|~IW9SPiorvpG]49TUfyuQ>_NWW5374>2p{yd``/bmqa*uod&GfyuQfnqwwF^2ZW`d{yyLT30_\ip~X9VE^X<9>369ytpoig&id~h!|hm-Nip~Xagz~xOU;]^kmtprES:;VS`{w_0]LQQ70989=7w~ziom,gjtb'zbg#@czx^kmtprES=WTec~ztCY05XYj}qU:SB[[16002>|w}`dd#na}e.qkh*Kj}qUbb}{{BZ6^[lhw}}HP?]^ov|Z7XG\^9<<=:;{rvmki(kfxn#~fc/Lov|Zoix|~IW9SPiorvpG]49TUfyuQ>_NWW64513sz~eca cnpf+vnk'Dg~tRgaptvA_1[Xagz~xOU<1\]nq}Y6WF__><><7:xsqlhh'jeyi"}gl.Onq}YnfyNV:R_hlsqqD\;8WTaxvP1^MVP7779:<0v}{fnn-`kwc({af$A`{w_hlsqqD\VSd`uu@X74[Xe|rT=RAZT31273=}x|cec"m`rd-pli)Je|rTec~ztCY7YZoix|~IW>?R_lw{[4YH]]8?=>84zqwjjj)dg{o$eb Mlw{[lhw}}HP8PQfnqwwF^56UVg~tR?POTV114503sz~eca cnpf+vnk'Dg~tRgaptvA_1[Xagz~xOU<1\]nq}Y6WF__>;?>379ytpoig&id~h!|hm-Nip~Xagz~xOU;]^kmtprES:;VS`{w_0]LQQ41::<0v}{fnn-`kwc({af$A`{w_hlsqqD\VSd`uu@X74[Xe|rT=RAZT3;273=}x|cec"m`rd-pli)Je|rTec~ztCY7YZoix|~IW>?R_lw{[4YH]]9;=>;4zqwjjj)dg{o$eb Mlw{[lhw}}HP8PQfnqwwF^56UVg~tR?POTV0560]^ov|Z7XG\^8=<=9;{rvmki(kfxn#~fc/Lov|Zoix|~IW9SPiorvpG]49TUfyuQ>_NWW7774=2p{yd``/bmqa*uod&GfyuQfnqwwF^2ZW`d{yyLT30_\ip~X9VE^X9?<5:xsqlhh'jeyi"}gl.Onq}YnfyNV:R_hlsqqD\;8WTaxvP1^MVP074=2p{yd``/bmqa*uod&GfyuQfnqwwF^2ZW`d{yyLT30_\ip~X9VE^X;?<5:xsqlhh'jeyi"}gl.Onq}YnfyNV:R_hlsqqD\;8WTaxvP1^MVP274=2p{yd``/bmqa*uod&GfyuQfnqwwF^2ZW`d{yyLT30_\ip~X9VE^X5?<5:xsqlhh'jeyi"}gl.Onq}YnfyNV:R_hlsqqD\;8WTaxvP1^MVP<74n2p{yd``/bmqa*uod&Gxdkh_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ0X8:l0v}{fnn-`kwc({af$A~f}ef]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS>V;?<6tuhll+fium&yc`"C|hsgd[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]1528~usnff%hck sin,IvnumnUFaxb{_hlsqqG\;8WTec~ztCY7YZKrD}U9SB[[6^3105=}x|cec"m`rd-pli)J{axnkRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR]^kmtprES=WTAxB{_3]LQQ0X9=>;7w~ziom,gjtb'zbg#@}grde\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\?U:99>4zqwjjj)dg{o$eb MrjqabYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__:R?93g9ytpoig&id~h!|hm-NwmtboVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ9_31e?vrage$ob|j/rjo+HuozlmTA`{ct^kmtprFS:;VSd`uu@X0XYJ}E~T>RAZT7]07c=}x|cec"m`rd-pli)J{axnkRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR?R_hlsqqD\VS@{Ct^0\KPR1W?9m7w~ziom,gjtb'zbg#@}grde\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\?URAZT9]205=}x|cec"m`rd-pli)J{axnkRCbumv\mkvr|HQ8=PQfnqwwF^2ZWDGxR]^kmtprES=WTAxB{_3]LQQ>X98>;7w~ziom,gjtb'zbg#@}grde\Ihsk|Vce|xzN[23^[lhw}}HP8PQBuMv\6ZIR\1U:>9>4zqwjjj)dg{o$eb MrjqabYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__4R?<419ytpoig&id~h!|hm-NwmtboVGfyazPiorvpD]49TUbb}{{BZ6^[HsK|V8TCXZ7_0674>|w}`dd#na}e.qkh*Kt`{olS@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU:\50273sz~eca cnpf+vnk'Dyc~hiPMlwopZoix|~JW>?R_hlsqqD\:l0v}{fnn-`kwc({af$A~f}ef]NipjsW`d{yyOT30_\mkvr|KQ?QRCzLu]1[JSS0V88j6tuhll+fium&yc`"C|hsgd[Hkrd}Ubb}{{AZ12YZoix|~IW9SPMtNw[7YH]]2T?>h4zqwjjj)dg{o$eb MrjqabYJe|fSd`uuCX74[Xagz~xOU;]^OvHqY5WF__4R:|w}`dd#na}e.qkh*Kt`{olS@czlu]jjussIR9:QRgaptvA_1[XE|FS?Q@UU:\36`4n2p{yd``/bmqa*uod&Gxdkh_LovhqYnfyMV=>]^kmtprES=WTAxB{_3]LQQ>X18<0v}{fnn-`kwc({af$Sc>?4534?vrage$ob|j/rjo+Zh78=>:=o5uptkmk*ehzl%xda!Pn1270v}{fnn-`kwc({af$h1>1159ytpoig&id~h!|hm-g8486<2p{yd``/bmqa*uod&n7>3?<;{rvmki(kfxn#~fc/e]356=}x|cec"m`rd-pli)cW8;87w~ziom,gjtb'zbg#iQ=159ytpoig&id~h!|hm-gkkc6j2p{yd``/bmqa*uod&ndbhQ}surlp67?R_lw{[4YH]]6:<3==;{rvmki(kfxn#~fc/hlsqqD\|w}`dd#na}e.qkh*oix|~IW9SPiorvpG]49TUfyuQ>_NWW8449;;1q|xgao.alv`)t`e%bb}{{BZ6^[lhw}}HP?<4zqwjjj)dg{o$eb iorvpG]3UVce|xzM[23^[hsW8UDYY2>4?11?vrage$ob|j/rjo+lhw}}HP8PQfnqwwF^56UVg~tR?POTV?5084:2p{yd``/bmqa*uod&ce|xzM[5_\mkvr|KQ8=PQbuy]2[JSS48<5??5uptkmk*ehzl%xda!fnqwwF^2ZW`d{yyLT30_\ip~X9VE^X1?8>208~usnff%hck sin,mkvr|KQ?QRgaptvA_67ZWdsS2<;553sz~eca cnpf+vnk'`d{yyLT4\]jjussJR9:QRczx^3\KPR;9048=6tuhll+fium&yc`"gaptvA_1[Xagz~xOU<1\]nq}Y6WF__0<0<2:xsqlhh'jeyi"}gl.kmtprES=WTec~ztCY05XYj}qU:SB[[<32=77=}x|cec"m`rd-pli)nfyNV:R_hlsqqD\;8WTaxvP1^MVP9466:80v}{fnn-`kwc({af$ec~ztCY7YZoix|~IW>?R_lw{[4YH]]69>3==;{rvmki(kfxn#~fc/hlsqqD\|w}`dd#na}e.qkh*oix|~IW9SPiorvpG]49TUfyuQ>_NWW8729;;1q|xgao.alv`)t`e%bb}{{BZ6^[lhw}}HP?2><4zqwjjj)dg{o$eb iorvpG]3UVce|xzM[23^[hsW8UDYY2=6?11?vrage$ob|j/rjo+lhw}}HP8PQfnqwwF^56UVg~tR?POTV?6284:2p{yd``/bmqa*uod&ce|xzM[5_\mkvr|KQ8=PQbuy]2[JSS4;25??5uptkmk*ehzl%xda!fnqwwF^2ZW`d{yyLT30_\ip~X9VE^X1<6>238~usnff%hck sin,mkvr|KQ?QRgaptvA_67ZWdsS1:64]^ov|Z7XG\^7?<0<1:xsqlhh'jeyi"}gl.kmtprES=WTec~ztCY05XYj}qU:SB[[<2<05>|w}`dd#na}e.qkh*oix|~IW9SPiorvpG]49TUfyuQ>_NWW818492p{yd``/bmqa*uod&ce|xzM[5_\mkvr|KQ8=PQbuy]2[JSS4<48=6tuhll+fium&yc`"gaptvA_1[Xagz~xOU<1\]nq}Y6WF__0;0<1:xsqlhh'jeyi"}gl.kmtprES=WTec~ztCY05XYj}qU:SB[[<6<05>|w}`dd#na}e.qkh*oix|~IW9SPiorvpG]49TUfyuQ>_NWW8=8492p{yd``/bmqa*uod&ce|xzM[5_\mkvr|KQ8=PQbuy]2[JSS4048=6tuhll+fium&~D|nake^qfh^7ZWNDOS88Po^ov|Z?4WF__0<0>9:xsqlhh'jeyi"yPioKmp`tu}y~:96tuhll+fium&}Txb}311<21>|w}`dd#na}e.u\pju;984:96tuhll+fium&}Txb}313<21>|w}`dd#na}e.u\pju;9:4:96tuhll+fium&}Txb}315<21>|w}`dd#na}e.u\pju;9<4:96tuhll+fium&}Txb}317<21>|w}`dd#na}e.u\pju;9>4:96tuhll+fium&}Txb}319<21>|w}`dd#na}e.u\pju;904:86tuhll+fium&}Txb}31?36?vrage$ob|j/v]wkv:587;>7w~ziom,gjtb'~Uc~2=1?36?vrage$ob|j/v]wkv:5:7;>7w~ziom,gjtb'~Uc~2=3?36?vrage$ob|j/v]wkv:5<7;>7w~ziom,gjtb'~Uc~2=5?36?vrage$ob|j/v]wkv:5>7;>7w~ziom,gjtb'~Uc~2=7?36?vrage$ob|j/v]wkv:507;>7w~ziom,gjtb'~Uc~2=9?37?vrage$ob|j/v]wkv:568?0v}{fnn-`kwc(V~d1=?>078~usnff%hck w^vlw95668>0v}{fnn-`kwc(V~d1=1159ytpoig&id~h!x_ump8186<2p{yd``/bmqa*qX|fy793?;;{rvmki(kfxn#zQ{or>5:42|w}`dd#na}e.u\pju;17;<7w~ziom,gjtb'~xdxhlncj]r5d=}x|cec"m`rd]NvdkXWg;?510;8~usnff%hckPMscn[Zh6<0;:><74zqwjjj)dg{oTAob_^l20<7598o0v}{fnn-`kwcXE{kfSdQ`p^dqa`|b98k0v}{fnn-jk*243sz~eca in-Nip~XafUjhi|jsrY12XYtdf~T~hok_hY21X]49TU|eikPmtz\=3YH]]U=885uptkmk*oh'Dg~tRg`_`fgv`utS;?R_vkgaZkrpV3=SB[[_7]E00=}x|cec"g`/Lov|ZohWhno~h}|[34^[vjh|VxnmiQf[07^_67ZW~coiRczx^;5[JSSW?UL895uptkmk*oh'Dg~tRg`_`fgv`utS;?R_vkgaZkrpV3=SB[[_6377>|w}`dd#da Mlw{[liXimnyi~}T27_\wiisW{ojhRgT14_X74[X`nnS`{w_84\KPRX08o0v}{fnn-jk*Kj}qUbcRjjvhaf[del98;n7w~ziom,mj)Je|rTebQkewk`aZgdc88:i6tuhll+li(EdsSdaPddtjg`Yfkb;8=h5uptkmk*oh'Dg~tRg`_egumfcXija:8|w}`dd#da Mlw{[liXzlkoS~kcebvf`465;2p{yd``/hm,IhsW`eT~hok_rgoafrbl8:TJ?=4zqwjjj)ng&GfyuQfo^pfeaYtmeohxhj>0^E15>|w}`dd#da Mlw{[liXzlkoS~kcebvf`475:2p{yd``/hm,IhsW`eT~hok_rgoafrbl8;:>95uptkmk*oh'Dg~tRg`_sgb`Zubdliii?>1^D10>|w}`dd#da Mlw{[liXzlkoS~kcebvf`476WN897w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo=<<=5:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd03\WR6592p{yd``/hm,IhsW`eT~hok_rgoafrbl88996tuhll+li(EdsSdaPrdcg[vckmj~nh<|w}`dd#da Mlw{[liXzlkoS~kcebvf`455=2p{yd``/hm,IhsW`eT~hok_rgoafrbl89T_Z>=1:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd0611>|w}`dd#da Mlw{[liXzlkoS~kcebvf`42X[^:9=6tuhll+li(EdsSdaPrdcg[vckmj~nh<;=5:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd07\WR6592p{yd``/hm,IhsW`eT~hok_rgoafrbl8<996tuhll+li(EdsSdaPrdcg[vckmj~nh<8PSV215>|w}`dd#da Mlw{[liXzlkoS~kcebvf`415=2p{yd``/hm,IhsW`eT~hok_rgoafrbl8=T_Z>=1:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd0:11>|w}`dd#da Mlw{[liXzlkoS~kcebvf`4>X[^:9=6tuhll+li(EdsSdaPrdcg[vckmj~nh<7=5:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd0;\WR6582p{yd``/hm,IhsW`eT~hok_rgoafrbl;8:7w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo>=<:;{rvmki(af%FaxvPin]qadbX{lfnoykk21]PS5463sz~eca in-Nip~XafUyiljPsdnfgqcc:88>7w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo>;{rvmki(af%FaxvPin]qadbX{lfnoykk2206?vrage$eb!Bmtz\mjYumhnThbjcugg66YT_98:7w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo>9<:;{rvmki(af%FaxvPin]qadbX{lfnoykk25]PS5463sz~eca in-Nip~XafUyiljPsdnfgqcc:<8>7w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo>8Q\W101?vrage$eb!Bmtz\mjYumhnThbjcugg6375:2p{yd``/hm,IhsW`eT~hok_rgoafrbl;<9>;5uptkmk*oh'Dg~tRg`_sgb`Zubdliii<92^QT474:897w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo>:?=2:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd35161=}x|cec"g`/Lov|ZohW{ojhR}jldawaa40:VL986tuhll+li(EdsSdaPrdcg[vckmj~nh?9=_F01?vrage$eb!Bmtz\mjYumhnThbjcugg625592p{yd``/hm,IhsW`eT~hok_rgoafrbl;2996tuhll+li(EdsSdaPrdcg[vckmj~nh?6PSV215>|w}`dd#da Mlw{[liXzlkoS~kcebvf`7?5=2p{yd``/hm,IhsW`eT~hok_rgoafrbl;3T_Z>=2:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd3]E67=}x|cec"g`/Lov|ZohW{ojhR}jldawaa4XO;>0v}{fnn-jk*Kj}qUbcR|jae]paicd|ln9S^Y?219ytpoig&cd#@czx^kl[wcflVyn`hm{ee115>|w}`dd#da Mlw{[liXzlkoS~kcebvf`665=2p{yd``/hm,IhsW`eT~hok_rgoafrbl::T_Z>=1:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd2311>|w}`dd#da Mlw{[liXzlkoS~kcebvf`67X[^:9=6tuhll+li(EdsSdaPrdcg[vckmj~nh><=5:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd20\WR65<2p{yd``/hm,IhsW`eT~hok_rgoafrbl:UX[==5uptkmk*oh'Dg~tRg`_sgb`Zubdliii9=4:xsqlhh'`e$A`{w_hm\v`gcWzoginzjd6]PS5463sz~eca in-Nip~XafUyiljPsdnfgqcc0:887w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo4>QI229ytpoig&cd#@czx^kl[wcflVyn`hm{ee:0[B403sz~eca in-Nip~XafUyiljPsdnfgqcc0:ULS^Y?209ytpoig&cd#@czx^kl[wcflVyn`hm{ee;066=}x|cec"g`/Lov|ZohW{ojhR}jldawaa?4WO8<7w~ziom,mj)Je|rTebQ}e`f\w`jbk}oo5>QI_RU366=}x|cec"g`/Lov|ZohW{ojhR}jldawaa?4WN;n7w~ziom,mj)Je|rTaa{_b{`[l:368;:<6tuhll+li(Wg::4:?>;{rvmki(af%Tb=?77033?vrage$eb!Pn13:4470v}{fnn-jk*Yi8838Sd`y149ytpoig&cd#R`?181\mkp69=1q|xgao.kl+Zh790=Tecx>5:xsqlhh'`e$Sc>>96]jjs76<2p{yd``/hm,[k6588Ubb{?:;{rvmki(af%Tb=3sz~eca in-b`atX{h|nS|2>0?3:?vrage$eb!ndep\wdpbWx6:=3?6;{rvmki(af%jhi|Ps`tf[t:5;7;27w~ziom,mj)flmxTlxj_p>10;7>3sz~eca in-b`atX{h|nS|2=5?3:?vrage$eb!ndep\wdpbWx69:3?7;{rvmki(af%jhi|Ps`tf[t:56820v}{fnn-jk*gcl{Uxm{kPq=1=5==}x|cec"g`/`fgvZuf~lUz090>8:xsqlhh'`e$mij}_rcuaZw;=7;37w~ziom,mj)flmxTlxj_p>5:4>00:8~usnff%bc"okds]pescXyV;:=:5uptkmk*oh'hno~R}nvd]r[77?3sz~eca in-b`atX{h|nS|Q=30:8~usnff%bc"okds]pescXyV8?=55uptkmk*oh'hno~R}nvd]r[73602p{yd``/hm,eabuWzk}iRP2734?vrage$eb!ndep\wdpbWxU8=:5uptkmk*oh'hno~R}nvd]r[1703sz~eca in-b`atX{h|nS|Q:169ytpoig&cd#ljkr^qbr`YvW?;<7w~ziom,mj)flmxTlxj_p]452=}x|cec"g`/`fgvZuf~lUzS5?8;{rvmki(af%jhi|Ps`tf[tY>9=1q|xgao.kl+lhszVcey!=c:xsqlhh'`e$ecz}_hlpp*AILV23SbQfeo]r[HS_W?<2Sb==;{rvmki(af%bby|Pioqw+BHCW12TcRym_`fq[l]3UVG^TR898^m07>|w}`dd#da iovq[lht|&GfyuQHNE];XgV}iSlj}_hY7YZKRPV<=4Ra>1010?vrage$eb!fnup\mkus'Dg~tRgjn^s\CKBX01UdS@[W_752[j76:<1q|xgao.kl+lhszVcey!Bmtz\mkruWecei<=>209ytpoig&cd#d`{r^kmwq)Je|rTb==9300264=}x|cec"g`/hlwvZoi{}%FaxvPn1157456:91q|xgao.kl+lhszVcey!Bmtz\j551;829=6tuhll+li(ag~ySd`|t.Onq}Yi8:<8=5?>a:xsqlhh'`e$ecz}_hlpp*Yi8=:9=o5uptkmk*oh'`d~Rgasu-\j527:8;n7w~ziom,mj)nf}xTec}{/^l3045Xag|:j6tuhll+li(ag~ySd`|t.]m4174W`d}=Ubb{?i;{rvmki(af%bby|Pioqw+Zh7<8=Tecx>1d9ytpoig&cd#d`{r^kmwq)Xf9>:5Rgav0d8~usnff%bc"gats]jjvr(Wg:?=4Qfnw32a>|w}`dd#da iovq[lht|&Ue<9<<_hlu5c=}x|cec"g`/hlwvZoi{}%Tb=:=3^kmr47b3sz~eca in-jjqtXagy#R`?435\mkp6n2p{yd``/hm,mkruW`dxx"Qa0504[lhq98l0v}{fnn-jk*oi|{Ubb~z c`akaZ`k}zb:56tuhll+li(ag~ySd`|t.kfjZw5k2p{yd``/hm,mkruW`dxx"gjn^s\CKBX01UdS@[W_752[j4a3sz~eca in-jjqtXagy#dka_p]skuvdW`dxxROAD^32<=Yh;91q|xgao.kl+lhszVcey!feo]r[uiwxjUbb~zPAOF\54>?Wf;9:6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq=1=63=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWx6?2?;4zqwjjj)ng&cexQfnrv,mkruWmoinzjd^s\7734zqwjjj)ng&cexQfnrv,mkruW`dT}1=1219ytpoig&cd#d`{r^kmwq)nf}xTecQ~<5<2b>|w}`dd#da iovq[lht|&cexQfn^s\74`7328~usnff%bc"gats]jjvr(ag~ySagae=1=65=}x|cec"g`/hlwvZoi{}%bby|Plhlf8185<2p{yd``/hm,mkruW`dxx"gats]pawpblV{7?3<;;{rvmki(af%bby|Pioqw+lhszVyn~{kk_p>7:75e:xsqlhh'`e$ecz}_hlpp*kf{bUz0<911e9ytpoig&cd#d`{r^kmwq)jizaT}1?11e9ytpoig&cd#d`{r^kmwq)jizaT}1<11e9ytpoig&cd#d`{r^kmwq)jizaT}1=11e9ytpoig&cd#d`{r^kmwq)jizaT}1:11e9ytpoig&cd#d`{r^kmwq)jizaT}1;11e9ytpoig&cd#d`{r^kmwq)jizaT}1811e9ytpoig&cd#d`{r^kmwq)jizaT}1911e9ytpoig&cd#d`{r^kmwq)jizaT}1611e9ytpoig&cd#d`{r^kmwq)jizaT}1711b9ytpoig&cd#d`{r^kmwq)jizaT}R>>c:xsqlhh'`e$ecz}_hlpp*kf{bUzS?k;{rvmki(af%bby|Pioqw+hgtcV{T=9?k;{rvmki(af%bby|Pioqw+hgtcV{T=8?k;{rvmki(af%bby|Pioqw+hgtcV{T=;?k;{rvmki(af%bby|Pioqw+hgtcV{T=:?l;{rvmki(af%bby|Pioqw+hgtcV{T>:o6tuhll+li(ag~ySd`|t.obwnYvW<;h7w~ziom,mj)nf}xTec}{/lcpoZwX>8i0v}{fnn-jk*oi|{Ubb~z m`qh[tY09j1q|xgao.kl+lhszVcey!bari\uZ>6k2p{yd``/hm,mkruW`dxx"cnsj]r[<4f3sz~eca in-jjqtXagy#`o|kdf\mscd{5;<6=033?315>|w}`dd#da iovq[lht|&gnab|v_dlbfic6k2p{yd``/hm,mkruW`dxx"`?371?7;7d3sz~eca in-jjqtXagy#c><62>7:4b;{rvmki(af%bby|Pioqw+rdXzz~TeRgav033?vrage$eb!fo^azg41d:xsqlhh'`e$ebQ}e`f\w`jbk}oo0=0>e:xsqlhh'`e$ebQ}e`f\w`jbk}oo0<>11d9ytpoig&cd#daPrdcg[vckmj~nh1?>>0g8~usnff%bc"g`_sgb`Zubdliii2>2?3f?vrage$eb!fo^pfeaYtmeohxhj312<2a>|w}`dd#da in]qadbX{lfnoykk<06=5`=}x|cec"g`/hm\v`gcWzoginzjd=36:4c22;7b3sz~eca in-jkZtbimUxiakltdf?5286m2p{yd``/hm,mjYumhnThbjcugg84>99l1q|xgao.kl+liXzlkoS~kcebvf`97>68n0v}{fnn-jk*ohW{ojhR}jldawaa:668o0v}{fnn-jk*ohW{ojhR}jldawaa:587;n7w~ziom,mj)ngVxnmiQ|emg`p`b;:84:i6tuhll+li(afUyiljPsdnfgqcc4;85=h5uptkmk*oh'`eT~hok_rgoafrbl588280>e:xsqlhh'`e$ebQ}e`f\w`jbk}oo0?811d9ytpoig&cd#daPrdcg[vckmj~nh1<8>0g8~usnff%bc"g`_sgb`Zubdliii2=8?3f?vrage$eb!fo^pfeaYtmeohxhj328<2`>|w}`dd#da in]qadbX{lfnoykk<3<2a>|w}`dd#da in]qadbX{lfnoykk<22=5`=}x|cec"g`/hm\v`gcWzoginzjd=12:4b0:4b7:4b6:4b5:4b4:4b;:4b::466:xsqlhh'`e${oQkau]l84799?1q|xgao.kl+rdXlh~Tc1?=>048~usnff%bc"ym_ecw[j:6;7;=7w~ziom,mj)pjVnjxRa315<22>|w}`dd#da wc]geqYh48?5=;5uptkmk*oh'~hThlzPo=35:402p{yd``/hm,sgYci}Ud0<71149ytpoig&cd#zlPd`v\k9799?1q|xgao.kl+rdXlh~Tc1048~usnff%bc"ym_ecw[j:597;=7w~ziom,mj)pjVnjxRa323<22>|w}`dd#da wc]geqYh4;95=;5uptkmk*oh'~hThlzPo=07:402p{yd``/hm,sgYci}Ud0?91179ytpoig&cd#zlPd`v\k94?68<0v}{fnn-jk*qeWmkSb2=9?36?vrage$eb!xb^fbpZi;:7;=7w~ziom,mj)pjVnjxRa331<22>|w}`dd#da wc]geqYh4:;5=85uptkmk*oh'~hThlzPo=1=50=}x|cec"g`/v`\`drXg5>5=85uptkmk*oh'~hThlzPo=7=50=}x|cec"g`/v`\`drXg5<5=85uptkmk*oh'~hThlzPo=5=50=}x|cec"g`/v`\`drXg525=85uptkmk*oh'~hThlzPo=;=51=}x|cec"g`/v`\`drXgV::86tuhll+li(kUomyQ`_036?vrage$eb!xb^fbpZiX99;>7w~ziom,mj)pjVnjxRaP1036?vrage$eb!xb^fbpZiX9;;>7w~ziom,mj)pjVnjxRaP1236?vrage$eb!xb^fbpZiX9=;>7w~ziom,mj)pjVnjxRaP1436?vrage$eb!xb^fbpZiX9?;>7w~ziom,mj)pjVnjxRaP1636?vrage$eb!xb^fbpZiX91;>7w~ziom,mj)pjVnjxRaP1837?vrage$eb!xb^fbpZiX:8?0v}{fnn-jk*qeWmkSbQ=0078~usnff%bc"ym_ecw[jY598?0v}{fnn-jk*qeWmkSbQ=2078~usnff%bc"ym_ecw[jY5;8?0v}{fnn-jk*qeWmkSbQ=4078~usnff%bc"ym_ecw[jY5=8?0v}{fnn-jk*qeWmkSbQ=6078~usnff%bc"ym_ecw[jY5?8?0v}{fnn-jk*qeWmkSbQ=8078~usnff%bc"ym_ecw[jY518>0v}{fnn-jk*qeWmkSbQ<149ytpoig&cd#zlPd`v\kZ579<1q|xgao.kl+rdXlh~TcR=>159ytpoig&cd#zlPd`v\kZ26<2p{yd``/hm,sgYci}UdS8?;;{rvmki(af%|nRjnt^m\242|w}`dd#da wc]geqYhW0;i7w~ziom,mj)pjVceSy|nnrc`plii901q|xgao.kl+rtn|lUxm{kPq=2=5d=}x|cec"g`/vpjp`YtioT}1??>0c8~usnff%bc"y}iug\wdpbWx6:=3?n;{rvmki(af%|~dzj_rcuaZw;9;4:m6tuhll+li({ciR}nvd]r84599h1q|xgao.kl+rtn|lUxm{kPq=37:4g5?3b?vrage$eb!xrhvf[vgqmV{7=;0>a:xsqlhh'`e${g{e^qbr`Yv48=5=l5uptkmk*oh'~xbxhQ|awg\u97?68k0v}{fnn-jk*qua}oTlxj_p>2=;7>3sz~eca in-tvlrbWzk}iR31?3b?vrage$eb!xrhvf[vgqmV{7>=0>a:xsqlhh'`e${g{e^qbr`Yv4;;5=l5uptkmk*oh'~xbxhQ|awg\u94568k0v}{fnn-jk*qua}oTlxj_p>17;7f3sz~eca in-tvlrbWzk}iR325<2e>|w}`dd#da wskwaZuf~lUz0?;11`9ytpoig&cd#z|ftd]pescXy58=23sz~eca in-tvlrbWzk}iR34?3:?vrage$eb!xrhvf[vgqmV{793?6;{rvmki(af%|~dzj_rcuaZw;>7;27w~ziom,mj)pz`~nS~oye^s?3;7>3sz~eca in-tvlrbWzk}iR38?3:?vrage$eb!xrhvf[vgqmV{753?7;{rvmki(af%|~dzj_rcuaZwX8820v}{fnn-jk*qua}oTlxj_p]25<=}x|cec"g`/vpjp`YtioT}R??189ytpoig&cd#z|ftd]pescXyV;:=45uptkmk*oh'~xbxhQ|awg\uZ75901q|xgao.kl+rtn|lUxm{kPq^305<=}x|cec"g`/vpjp`YtioT}R?;189ytpoig&cd#z|ftd]pescXyV;>=45uptkmk*oh'~xbxhQ|awg\uZ71901q|xgao.kl+rtn|lUxm{kPq^345<=}x|cec"g`/vpjp`YtioT}R?7189ytpoig&cd#z|ftd]pescXyV;2=55uptkmk*oh'~xbxhQ|awg\uZ4612p{yd``/hm,swosmVyjzhQ~_322=>|w}`dd#da wskwaZuf~lUzS??>9:xsqlhh'`e${g{e^qbr`YvW;8:56tuhll+li({ciR}nvd]r[75612p{yd``/hm,swosmVyjzhQ~_362=>|w}`dd#da wskwaZuf~lUzS?;>9:xsqlhh'`e${g{e^qbr`YvW;<:56tuhll+li({ciR}nvd]r[71612p{yd``/hm,swosmVyjzhQ~_3:2=>|w}`dd#da wskwaZuf~lUzS?7>8:xsqlhh'`e${g{e^qbr`YvW:;27w~ziom,mj)pz`~nS~oye^s\757>3sz~eca in-tvlrbWzk}iRP303;?vrage$eb!xrhvf[vgqmV{T8<64zqwjjj)ng&}yeykPs`tf[tY2911q|xgao.kl+rtn|lUxm{kPq^42<>|w}`dd#da wskwaZuf~lUzS:?7;{rvmki(af%|~dzj_rcuaZwX0820v}{fnn-jk*qua}oTlxj_p]:55=}x|cec"g`mdonq})592p{yd``/hmnahkrp&GfyuQb_v`\efmXg8;:>6tuhll+vjh|Viro1:1d:xsqlhh'z~jof!=1:xsqlhh'z~jof!|t`ahvdk\8T$xxlmdmdo1f>|w}`dd#~zncj-ppdelzhgP

    |w}`dd#~zncj-ppdelzhgP=P |t`ahi`kXagy~`lzj43`8~usnff%xxlmd/rvbgntfeR;V"~zncjofiZoi{|fjxh9=1:xsqlhh'z~jof!|t`ahvdk\:T$xxlmdmdo1f>|w}`dd#~zncj-ppdelzhgP>P |t`ahi`kXagy~`lzj33`8~usnff%xxlmd/rvbgntfeR8V"~zncjofiZoi{|fjxh6=1:xsqlhh'z~jof!|t`ahvdk\;T$xxlmdmdo1f>|w}`dd#~zncj-ppdelzhgP?P |t`ahi`kXagy~`lzj23`8~usnff%xxlmd/rvbgntfeR9V"~zncjofiZoi{|fjxh7>7:xsqlhh'z~jofQn_`fgv97768=0v}{fnn-ppdelWhUjhi|310<23>|w}`dd#~zncj]b[dbcz5;92<84zqwjjj)t|hi`SlQndep?6;713sz~eca suc`oZgXimny0>0>6:xsqlhh'z~jofQn_`fgv9299?1q|xgao.qwefmXiVkoh2:>048~usnff%xxlmd_`]b`at;>7;=7w~ziom,wqgdcVkTmij}<6<22>|w}`dd#~zncj]b[dbcz525=;5uptkmk*usijaTmRokds>::431169ytpoig&ymnePa^pfea:697;<7w~ziom,wqgdcVkT~hok<00=52=}x|cec"}{abi\eZtbim6:?3?8;{rvmki({}khgRoPrdcg84299>1q|xgao.qwefmXiVxnmi2>5?34?vrage$yolk^c\v`gc48<5=:5uptkmk*usijaTmR|jae>23;703sz~eca suc`oZgXzlko0<61169ytpoig&ymnePa^pfea:617;=7w~ziom,wqgdcVkT~hok<0<23>|w}`dd#~zncj]b[wcfl58;2<94zqwjjj)t|hi`SlQ}e`f?6486?2p{yd``/rvbgnYfW{ojh1<=>058~usnff%xxlmd_`]qadb;::4:;6tuhll+vrfkbUjSknd=07:4180>7:xsqlhh'z~jofQn_sgb`94168=0v}{fnn-ppdelWhUyilj326<23>|w}`dd#~zncj]b[wcfl5832<94zqwjjj)t|hi`SlQ}e`f?6<86>2p{yd``/rvbgnYfW{ojh1<1169ytpoig&ymnePa^pfea:487;<7w~ziom,wqgdcVkT~hok<23=53=}x|cec"}{abi\eZtbim682<84zqwjjj)t|hi`SlQ}e`f?0;713sz~eca suc`oZgXzlko080>6:xsqlhh'z~jofQn_sgb`9099?1q|xgao.qwefmXiVxnmi28>048~usnff%xxlmd_`]qadb;07;=7w~ziom,wqgdcVkT~hok<8<23>|w}`dd#~zncj]b[rtn|l6;2<64zqwjjj)t|hi`SlQxrhvf8469911q|xgao.qwefmXiV}yeyk310<2<>|w}`dd#~zncj]b[rtn|l6:>3?7;{rvmki({}khgRoPwskwa9746820v}{fnn-ppdelWhU|~dzj<06=5==}x|cec"}{abi\eZqua}o7=80>8:xsqlhh'z~jofQn_vpjp`:6>7;37w~ziom,wqgdcVkT{g{e=34:4>2:4>1199ytpoig&ymnePa^uqmqc;:84:46tuhll+vrfkbUjSz|ftd>16;7?3sz~eca suc`oZgX{ci1<<>0:8~usnff%xxlmd_`]tvlrb4;>5=55uptkmk*usijaTmRy}iug?608602p{yd``/rvbgnYfW~xbxh2=6?3;?vrage$yolk^c\swosm58<2<64zqwjjj)t|hi`SlQxrhvf87>9911q|xgao.qwefmXiV}yeyk328<23>|w}`dd#~zncj]b[rtn|l692<64zqwjjj)t|hi`SlQxrhvf8669911q|xgao.qwefmXiV}yeyk330<23>|w}`dd#~zncj]b[rtn|l682<94zqwjjj)t|hi`SlQxrhvf8186?2p{yd``/rvbgnYfW~xbxh2:>058~usnff%xxlmd_`]tvlrb4?4:;6tuhll+vrfkbUjSz|ftd>4:417:xsqlhh'z~jofQn_vpjp`:>68n0v}{fnn-ppdelWhU|~dzjeocah`:768n0v}{fnn-ppdelWhU|~dzjeocah`:668n0v}{fnn-ppdelWhU|~dzjeocah`:568n0v}{fnn-ppdelWhU|~dzjeocah`:468=0v}{fnn-ppdelWkUjhi|311<23>|w}`dd#~zncj]a[dbcz5;:2<94zqwjjj)t|hi`SoQndep?5786>2p{yd``/rvbgnYeWhno~1<1179ytpoig&ymnePb^cg`w:468<0v}{fnn-ppdelWkUjhi|34?35?vrage$yolk^`\eabu4<4::6tuhll+vrfkbUiSljkr=4=53=}x|cec"}{abi\fZgcl{6<2<84zqwjjj)t|hi`SoQndep?<;713sz~eca suc`oZdXimny040>5:xsqlhh'z~jofQm_dlbfic6>2p{yd``/rvbgnYeW{ojh1>1169ytpoig&ymnePb^pfea:687;<7w~ziom,wqgdcVhT~hok<03=52=}x|cec"}{abi\fZtbim6:>3?8;{rvmki({}khgRlPrdcg84599>1q|xgao.qwefmXjVxnmi2>4?34?vrage$yolk^`\v`gc48?5=:5uptkmk*usijaTnR|jae>22;703sz~eca suc`oZdXzlko0<91169ytpoig&ymnePb^pfea:607;<7w~ziom,wqgdcVhT~hok<0;=53=}x|cec"}{abi\fZtbim6:2<94zqwjjj)t|hi`SoQ}e`f?6586?2p{yd``/rvbgnYeW{ojh1<>>058~usnff%xxlmd_c]qadb;:;4:;6tuhll+vrfkbUiSknd=00:4190>7:xsqlhh'z~jofQm_sgb`94268=0v}{fnn-ppdelWkUyilj327<23>|w}`dd#~zncj]a[wcfl58<2<94zqwjjj)t|hi`SoQ}e`f?6=86?2p{yd``/rvbgnYeW{ojh1<6>048~usnff%xxlmd_c]qadb;:7;<7w~ziom,wqgdcVhT~hok<22=52=}x|cec"}{abi\fZtbim68=3?9;{rvmki({}khgRlPrdcg8686>2p{yd``/rvbgnYeW{ojh1:1179ytpoig&ymnePb^pfea:268<0v}{fnn-ppdelWkUyilj36?35?vrage$yolk^`\v`gc4>4::6tuhll+vrfkbUiSknd=:=53=}x|cec"}{abi\fZtbim622i5uptkmk*qeWhi`h6tuhll+rdXkpih7w~ziom\gwe6>8;0v}{fnn]gags`Wjeyi<=4zqwjjjYbey~rSikyibga?vrageTk}g`d:xsqlhhW`ijoekm;{rvmkiXag~y56tuhll[li682p{yd``_hm\]H46<0k0v}{fnn]owp753sz~ecaPsdpjeitb{l~:=6tuhll[vo`ehnn`yon;{rvmkiX{ych7w~ziom\wqgdcm1q|xgao^vji`tt981q|xgao^vltZodijbnh6tuhll[pgu|V;o7w~ziom\qdtsW;;>7w~ziom\qdtsWd|Tjdb{esg8~usnffU~mzPry37?vrageT{oQnueklil~bztJK|?mn4b9CD}7d:=0M6:4>{R64`?759>0n?n4>320:17<5kkkmwc?=1482?k759?0=7)?=07825c3<;7?=168f7f<6;:829?4=cb:2?V>a:3;h=84>:0106<352;ih4o5\46595f72280:?><65381gf?23Z2m>7?mf581>454:0?96?ml9c9P=1e=9kl?6h=m:0106<352;ihon5\46595g`32;0:?><65381gfed3m;h>94?:081V20l3;9=:4j3b82764>=;09ooln;%1:b?5el=1C;;64n86f>0771?=ho6*64e80fa2<,0>m6h=m;wV:0g<7280:6?9k7zQ73a<6:8=1i>m51211=04=:jhim6*>111974=r1<31<6s+eed95ge<,>3>6=5+781900d>3-=2876j3:`2g72=83?m6?8:f;04gc}O99lm7)??fc82g72`?=l10:?:4j6;305+114g>44302d:><=50:k76=<722c:<5950;9j55052900'-69:>1<7*ia982563<50;&ee=<69:?0bko8:298/47493:1(ko7:0301>hai>0?76%>12294?"ai10:=>;4ngc4>0=<#8;8j7>5$gc;>474=2dmm:49;:)256c=83.mm54>1278jcg02>10'-69:i1<7*ia982563o50;&ee=<69:?0bko8:c98/47413:1(ko7:0301>hai>0h76%>12:94?"ai10:=>;4ngc4>a=<#8;8;7>5$gc;>474=2dmm:4j;:)257`=83.mm54>1278jcg02o10'-69>?1<7*ia982520<0bko8:298/470:3:1(ko7:0342>hai>0?76%>16394?"ai10:=:84ngc4>0=<#8;3<7>5$gc;>470>2dmm:49;:)252`=83.mm54>1648jcg02>10'-69>n1<7*ia982520<0bko8:c98/470i3:1(ko7:0342>hai>0h76%>16;94?"ai10:=:84ngc4>a=<#8;<47>5$gc;>470>2dmm:4j;:)2526=83.mm54>1648jcg02o10'-69k<1<7*ia9825g1hai>0?76%>1c094?"ai10:=o94ngc4>0=<#8;h=7>5$gc;>47e?2dmm:49;:)25f6=83.mm54>1c58jcg02>10'-69ko1<7*ia9825g1hai>0h76%>1cc94?"ai10:=o94ngc4>a=<#8;i57>5$gc;>47e?2dmm:4j;:)25g7=83.mm54>1c58jcg02o10c;hn:18'bd>=>o30bko8:198k3`?290/jl656g;8jcg02810c;h8:18'bd>=>o30bko8:398k264290/jl656g;8jcg02:10c:>=:18'bd>=>o30bko8:598k266290/jl656g;8jcg02<10c:>?:18'bd>=>o30bko8:798k3`a290/jl656g;8jcg02>10c;hj:18'bd>=>o30bko8:998k3`c290/jl656g;8jcg02010c;hl:18'bd>=>o30bko8:`98k3`e290/jl656g;8jcg02k10c;h9:18'bd>=>o30bko8:b98k274290/jl657008jcg02910c:?>:18'bd>=?880bko8:098/242290/jl657368jcg02910':<<:18'bd>=?;>0bko8:098/245290/jl657368jcg02;10':<>:18'bd>=?;>0bko8:298/247290/jl657368jcg02=10':?i:18'bd>=?;>0bko8:498/24b290/jl657368jcg02?10':=?;>0bko8:698/24d290/jl657368jcg02110':=?;>0bko8:898/24f290/jl657368jcg02h10':<6:18'bd>=?;>0bko8:c98/24?290/jl657368jcg02j10':<8:18'bd>=?;>0bko8:e98/241290/jl657368jcg02l10':?j:18'bd>=?;>0bko8:g98k256290/jl657228jcg02910c:=?::0bko8:098k46?j3:17b??8`83>>i681<1<75`11db>5<;=6=44o63;>5<;j6=44o63`>5<5<0g`9<2g<@8;m46F>0gd8 44693;9845+8g2900d?3g;9=>4>;%33<`<6k;>0c598:18'57762l9276sm1320>4<6290;w)??fc82557<@8;m46F>0gd8 44693o9>6*7f1871g>o50;&2647=m:307pl:3`795?>=83:p(<>ib;5`e>N69o20D<>if:&2647=997e;71e3=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo;<8282>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742=1/==6j:40b2>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn8=n6;392?6=8r.::50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg349k0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=56:&24=c==;k=7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl:33295?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742>1/==6j:40b2>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e=:8:6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;:8 46?m3?9m;5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb4116?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:027)??8d866d014?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=>4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019e>"681o19?o9;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`6772=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86o5+11:f>04f>2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9><::085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?e<,8:3i7;=a79j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f055>3;1:7>50z&24cd=?j<0D4k;%33<`<2:h<0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;<1882>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=m2.:<5k553c5?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd2;8k1=7850;2x 46aj3=h:6F>1g:8L46an2.:>b2<8j:6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm523`>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3;;7)??8d866d014?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201954=#992n68:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;<1g82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=9:1/==6j:40b2>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e=:i36<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;37?!770l0>>l84i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c70g<<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891=85+11:f>04f>2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9>j;:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?713-;;4h4:2`48m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg34lo0:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5169'55>b2<8j:6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm52g1>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3;37)??8d866d014?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=4>:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20195<=#992n680290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo;3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=9k1/==6j:40b2>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e=:o=6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;3`?!770l0>>l84i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c70a2<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891=i5+11:f>04f>2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9>mn:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?7b3-;;4h4:2`48m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg34kk0:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=51g9'55>b2<8j:6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm52a`>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;38;7)??8d866d014?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201964=#992n680290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo;3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::1/==6j:40b2>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e=:n;6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;07?!770l0>>l84i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c70`4<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>85+11:f>04f>2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9>j=:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?413-;;4h4:2`48m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg34l:0:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5269'55>b2<8j:6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm52f6>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3837)??8d866d014?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20196<=#992n680290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo;3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=:k1/==6j:40b2>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e=:n26<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;0`?!770l0>>l84i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c70`d<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>i5+11:f>04f>2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9>jm:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?4b3-;;4h4:2`48m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg34lj0:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=52g9'55>b2<8j:6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm52fg>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;39;7)??8d866d014?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201974=#992n680290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo;3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=;:1/==6j:40b2>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e=:k<6<47:183!77nk0:025g>"?110?7E??519'"681o19?o9;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e=:o36<47:183!77nk0:025g>"?110?7E??519'"681o19?o9;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e==:26<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;15?!770l0>>l84i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c774d<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891?:5+11:f>04f>2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi99?::085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?5?3-;;4h4:2`48m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg33:90:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5389'55>b2<8j:6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm5500>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;39j7)??8d866d014?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=94>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20197g=#992n68:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;;2782>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=;m1/==6j:40b2>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e==8<6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;1f?!770l0>>l84i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c776=<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891?k5+11:f>04f>2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi99>m:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?273-;;4h4:2`48m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg338j0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5409'55>b2<8j:6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm552g>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>97)??8d866d014?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201906=#992n68:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;;1182>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=<<1/==6j:40b2>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e==;:6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;65?!770l0>>l84i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c7757<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8918:5+11:f>04f>2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi99?<:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?2?3-;;4h4:2`48m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg339=0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5489'55>b2<8j:6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm5535>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>j7)??8d866d014?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20190g=#992n68:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;;1882>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e==;j6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;6f?!770l0>>l84i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c775g<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8918k5+11:f>04f>2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi99?l:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?373-;;4h4:2`48m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg339m0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5509'55>b2<8j:6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm553f>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?97)??8d866d014?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201916=#992n68:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;;2382>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==<1/==6j:40b2>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9mo96<47:183!77nk0:025g>"?110?7E??519'"681o1=i?=;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:<:>6<47:183!77nk0:025g>"?110?7E??519'"681o1>8>;;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9m?96<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>c3o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0>56*>09g95a753`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f4b0:3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>20191d=#992n62:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=55c9'55>b28n:>6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1e1f>4<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;868m4$02;a?7c9;1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>n1=7=50;2x 46aj3;:j:5G10d;?M77no1/=??>:03e2>"?n90?9o64n0027?3c3-;;4h4>d008m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi=i87:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2o?0b<<>3;7f?!770l0:h<<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c3g0<<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4:f:&24=c=9m;97d:=4;29 4469323n65f4e194?"6:8;145o4;h3321<72-;9=<4>07:8?jd113:1(<<>1;g0=>=zj8n347?53;294~"68oh1=91/==6j:0f26>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?110m96`>201924=#992n62:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a5a2e280?6=4?{%33bg<>=<1C=:025<>=hj?31<7*>2039a6?<3th:h5l51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3<87)??8d82`44=>>32c3ik4?:%31544<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336k;4n0027?033-;;4h4>d008m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;97E?>f99K55`a3-;9=<46559'"681o1=i?=;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vnh6:891:;5+11:f>4b6:2c?>94?:%3154!75980n?454}c3g2a<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554i5:l2645=>>1/==6j:0f26>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9m>o6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>3><,8:3i7?k139j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl>d9f95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>!7598034454oc4:>5<#9;;:6h=6;:a5a0b280?6=4?{%33bg:3:&;b5<3=k20(577:g78j446;36663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<1j2.:<5k51e31?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb0f;a?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=56b9'55>b28n:>6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg7c>o0:694?:1y'55`e21lj7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998e1>h6:891:i5+11:f>4b6:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=i:i:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742?o0(<>7e;3g57=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2`=`=9391<7>t$02ef?76n>1C=3;4e?!770l0:h<<4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e9m=;6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>c3o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0<=6*>09g95a753`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f4b>83;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201937=#992n62:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=5729'55>b28n:>6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1e72>4<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86::4$02;a?7c9;1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?123-;;4h4>d008m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi=i;<:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2o?0b<<>3;55?!770l0:h<<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c3g71<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>487:&24=c=9m;97d:=4;29 4469323n65f4e194?"6:8;145o4;h3321<72-;9=<4>07:8?jd113:1(<<>1;g0=>=zj8no3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?110m96`>20193<=#992n62:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a5a52280?6=4?{%33bg<>=<1C=:025<>=hj?31<7*>2039a6?<3th:h::51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3=i7)??8d82`44=>>32c3ik4?:%31544<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336k;4n0027?1d3-;;4h4>d008m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;97E?>f99K55`a3-;9=<46559'"681o1=i?=;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vnh6:891;h5+11:f>4b6:2c?>94?:%3154!75980n?454}c3g13<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554i5:l2645=?o1/==6j:0f26>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9m9<6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>=6<,8:3i7?k139j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl>d6495?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>;%33<`<6l880e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a5a30280?6=4?{%33bg:3:&;b5<3=k20(577:g78j446;3297)??8d82`446663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o31561;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb0f43?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=5859'55>b28n:>6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg7c=10:694?:1y'55`e21lj7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998e1>h6:891485+11:f>4b6:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=i=6:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577421<0(<>7e;3g57=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2`2>=9391<7>t$02ef?76n>1C=3;:4?!770l0:h<<4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e9m?26<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>c3o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0356*>09g95a753`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f4b013;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>20192:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=58c9'55>b28n:>6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1e1a>4<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;865m4$02;a?7c9;1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>k1=7=50;2x 46aj3;:j:5G10d;?M77no1/=??>:03e2>"?n90?9o64n0027?>c3-;;4h4>d008m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi=i;m:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2o?0b<<>3;:f?!770l0:h<<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c3g7f<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>47f:&24=c=9m;97d:=4;29 4469323n65f4e194?"6:8;145o4;h3321<72-;9=<4>07:8?jd113:1(<<>1;g0=>=zj8no3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?110m96`>2019=4=#992n62:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a5a5c280?6=4?{%33bg<>=<1C=:025<>=hj?31<7*>2039a6?<3th:h:m51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3387)??8d82`44=>>32c3ik4?:%31544<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336k;4n0027??33-;;4h4>d008m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;97E?>f99K55`a3-;9=<46559'"681o1=i?=;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vnh6:8915;5+11:f>4b6:2c?>94?:%3154!75980n?454}c3g1c<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554i5:l2645=1>1/==6j:0f26>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9m>;6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330><><,8:3i7?k139j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl>d6d95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>!7598034454oc4:>5<#9;;:6h=6;:a5a07280?6=4?{%33bg:3:&;b5<3=k20(577:g78j446;33j7)??8d82`446663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<>j2.:<5k51e31?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb0f;4?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=59b9'55>b28n:>6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg7c>80:694?:1y'55`e21lj7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998e1>h6:8915i5+11:f>4b6:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=i:=:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577420o0(<>7e;3g57=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2`=7=9391<7>t$02ef?76n>1C=3;;e?!770l0:h<<4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e9m<96<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>c3o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0j=6*>09g95a753`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f4b?:3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019e7=#992n62:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=4>:583>5}#99li65hn;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=5a29'55>b28n:>6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1e67>4<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86l:4$02;a?7c9;1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?g23-;;4h4>d008m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi=i8;:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2o?0b<<>3;c5?!770l0:h<<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c3g00<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4n7:&24=c=9m;97d:=4;29 4469323n65f4e194?"6:8;145o4;h3321<72-;9=<4>07:8?jd113:1(<<>1;g0=>=zj8n387?53;294~"68oh1=o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?110m96`>2019e<=#992n62:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a5a21280?6=4?{%33bg<>=<1C=:025<>=hj?31<7*>2039a6?<3th:h5;51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3ki7)??8d82`44=>>32c3ik4?:%31544<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336k;4n0027?gd3-;;4h4>d008m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;90:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'"681o1=i?=;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vnh6:891mh5+11:f>4b6:2c?>94?:%3154!75980n?454}c3g22<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554i5:l2645=io1/==6j:0f26>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9m>36<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>g6<,8:3i7?k139j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl>d9595?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>;%33<`<6l880e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a5a0>280?6=4?{%33bg:3:&;b5<3=k20(577:g78j446;3h97)??8d82`446663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o31561;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb0f;=?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=5b59'55>b28n:>6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg7c>h0:694?:1y'55`e21lj7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998e1>h6:891n85+11:f>4b6:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=i6n:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0i:6*>09g95a753`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb0fag?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891n:5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg0g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891n55+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fa`?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891n45+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0f`g?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891nl5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fga?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891no5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fgg?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891nn5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg`?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891ni5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fgb?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891nh5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0ff4?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891nk5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0ff5?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o=5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0faa?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o<5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0f`6?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o?5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fab?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o>5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0f`7?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o95+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0f`0?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o85+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0f`f?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o;5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg4?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o:5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0f``?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o55+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg7?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o45+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg5?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891ol5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg6?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891oo5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg2?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891on5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg0?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891oi5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg1?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891oh5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg3?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891ok5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0fg=?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891h=5+11:f>4b6:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb2`7g?7==3:10g`90gd8 cge288nn6*>203955343-2m<7::b99'<<>=::n0(kol:00ff>h6:891h<5+11:f>4e5<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=ec9'577628:>?6*7f1871g><,1336?=k;%dbg?75mk1e=??<:e08 46?m3;h>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8n<851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;f0?!770l0:o?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:9i;o31560n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th8n9651;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?>l0b<<>3;f6?!770l0:o?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;90:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799843c=i9;;86i84$02;a?7d:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb2`72?7=>3:10g`90gd8 44693;;9>5+8g2900d?3-224798f:l2645=l>1/==6j:0a10>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi?o:::085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>=m7c?=128g<>"681o1=n<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1=7;50;2x 46aj32mn6F>1g:8L46an2.mmo4>2dc8 44693;;9>5+8g2900d?3-2247<3;f:?!770l0:o?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi?o:<:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957cf3-;9=<4>0418 =`72=?i46*799817a=#nhi1=?kn;o31560n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-224798f:l2645=lk1/==6j:0a10>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi?o:>:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957c>3-;9=<4>0418 =`72=?i46*799817a=#nhi1=?k6;o31560n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288n56*>203955343-2m<7::b99'<<>=::n0(kol:00f=>h6:891hi5+11:f>4e5<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=e99'577628:>?6*7f1871g><,1336?=k;%dbg?75m11e=??<:eg8 46?m3;h>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8n>j51;794?6|,8:mn76ib:J25c><@8:mj6*iac826`><,88:=7??529'"aij0:>h64n0027?ba3-;;4h4>c368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;o<7)?=1082405<,1l;69;m8:&;==<5;m1/jlm513g4?k759:0n<6*>09g95f433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D>j4$gc`>44b?2d:><=5e09'55>b28i986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31a3=#9;;:6<>:3:&;b5<3=k20(577:31g?!`fk3;9i;5a1330>`4<,8:3i7?l259j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:l<0(<<>1;3316=#0o:188l7;%::2d48j446;3o87)??8d82g726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a7g5?280>6=4?{%33bg"?1109?i5+f`a957c23g;9=>4j4:&24=c=9j8?7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj:h8;7?55;294~"68oh14kl4H03e<>N68ol0(kom:00f1>"6:8;1==;<;%:e4?22j11/446522f8 cgd288n96`>2019a0=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<;k5a1330>`0<,8:3i7?l259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:h?j7?55;294~"68oh14kl4H03e<>N68ol0(kom:00fa>"6:8;1==;<;%:e4?22j11/446522f8 cgd288ni6`>2019a2=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?75ml1/=??>:0267>"?n90?9o64$9;;>75c3-ljo7?=ed9m57742l20(<>7e;3`61=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`0f1b=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>hj4$0025?77=:1/4k>544`;?!>>0388h6*iab826`b0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e;k>i6<4::183!77nk03jo5G10d;?M77no1/jll513gg?!75980:<8=4$9d3>13e02.3554=3e9'bde=9;oo7c?=128fe>"681o1=n<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn>l;0;391?6=8r.:44bk2.:>a83>>n55+88:966b<,okh6<o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c1a70<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;9in5+1332>462;2.3j=4;5c:8 =??2;9o7)hnc;31af=i9;;86hm4$02;a?7d:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:932`o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c3`5f<62;0;6=u+11da>`7a3A;:j55G11de?!27j320397"?n90?9o64n64a>f=i99=i6<:4n0027?cb3-;;4h4>c0`8m=1b290/=??>:9::?>ie>00;6)?=108f7<=<4>:383>5}#99li6h?i;I32b==O99lm7):?b;4`b>"6:8;1?4o4H9g;?!>bi3o856*7f1871g>06!75980n?454}c3`5d<62;0;6=u+11da>`7a3A;:j55G11de?!27j320397"?n90?9o64n64a>f=i99=i68>4n0027?`73-;;4h4>c0;8m=1b290/=??>:9::?>ie>00;6)?=108f7<=:383>5}#99li6h?i;I32b==O99lm7):?b;4`b>"6:8;1?4o4H9g;?!>bi3o856*7f1871g>06;%33<`<6k8o0e59j:18'5776212276am6883>!75980n?454}c3`66<62;0;6=u+11da>`7a3A;:j55G11de?!27j320397"?n90?9o64n64a>f=i99=i68>4n0027?`53-;;4h4>c308m=1b290/=??>:9::?>ie>00;6)?=108f7<=4>:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019b6=#992n6>lk7:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=i9182>3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=n<1/==6j:2`g3>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;o2m6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;d5?!770l08ni94i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1e<`<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891j:5+11:f>6dc?2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?k6k:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?`?3-;;4h4:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg5a0j0:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5f89'55>b2:ho;6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3g:a>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3lj7)??8d80fa114?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019bg=#992n6>lk7:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==>280=6=4?{%33bg<0k91C=<6*7f1871g>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=i8982>3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=nm1/==6j:2`g3>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;o2<6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;df?!770l08ni94i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1e<0<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891jk5+11:f>6dc?2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?k6;:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?7782.:<5k53cf4?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4n191=7850;2x 46aj3=h<6F>1g:8L46an2.:>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1e<7<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891==<4$02;a?5el>1b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vn>h71;392?6=8r.:o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;o2;6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;330>"681o1?oj8;h1b6?6=,88:=7:=8:9j0=1=83.:>17f32c:!75980:<8:4;|`0b2`=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86<>:;%33<`<4jm=0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=i7d82>3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=99<0(<>7e;1a`2=n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:l4H03e<>N68ol0(<<>1;5gf>"?110?7E??519'lk7:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>9465f49594?"6:8;14574;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07plib;5`4>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m577428:27)??8d80fa114?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201955g<,8:3i7=md69j7d4=83.:>1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f6`>?3;1:7>50z&24cd=?j:0D4>0c9'55>b2:ho;6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3g;5>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3;;o6*>09g97gb03`9j>7>5$0025?25021b85950;&2647=01307b??3283>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th8j4;51;494?6|,8:mn79l0:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>46c3-;;4h4:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg5a1=0:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=511g8 46?m39ih:5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb2d:5?7=>3:10g`93f6<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0:6dc?2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?k69:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?7682.:<5k53cf4?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4n>h1=7850;2x 46aj3=h<6F>1g:8L46an2.:>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1e3d<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891=<<4$02;a?5el>1b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vn>h:9;392?6=8r.:o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;m9<6<47:183!77nk0n><5G10d;?M77no1/8no5b758 =??2=1/==7>:232?M77j?1/=??>:d02?!5783;;:o5+8g2900d?3g;9=>4>159'55>b2:ho46g;8982>>o3000:66gM`f121b?l951;Jee<=O9;:376g;1`82>>o68:?1=75f1147>4<t$02ef?76n>1C=3;321>"681o1?oj7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj:i847?53;294~"68oh1=7e;1a`==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307plib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891=<94$02;a?5el11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?7602.:<5k53cf;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn>m?b;390?6=8r.:09g97gb?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f6e4=3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201954g<,8:3i7=md99j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th8o=751;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=98h0(<>7e;1a`==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`0g62=9391<7>t$02ef?76n>1C=3;32g>"681o1?oj7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj:i;;7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?76l2.:<5k53cf;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb2a07?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=510g8 46?m39ih55f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>201954`<,8:3i7=md99j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307plib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;315>"681o1?oj7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn>m<1;397?6=8r.:h6:891=?<4$02;a?5el11b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`0g57=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=51318 46?m39ih55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th8o>>51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;986*>09g97gb?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb2`eb?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj:i9j7?53;294~"68oh1=7e;1a`==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307plib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891=?94$02;a?5el11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?7502.:<5k53cf;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn>lib;390?6=8r.:09g97gb?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f6e5l3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201957g<,8:3i7=md99j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th8nk751;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=9;h0(<>7e;1a`==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`0g7d=9391<7>t$02ef?76n>1C=3;31g>"681o1?oj7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj:hm97?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75l2.:<5k53cf;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb2a1e?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=513g8 46?m39ih55f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>201957`<,8:3i7=md99j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307plib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;305>"681o1?oj7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn>m=8;397?6=8r.:h6:891=><4$02;a?5el11b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`0f``=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=51218 46?m39ih55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th8o?951;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;886*>09g97gb?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb2`f`?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj:i9:7?53;294~"68oh1=7e;1a`==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307plib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891=>94$02;a?5el11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?7402.:<5k53cf;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn>lj9;390?6=8r.:09g97gb?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f6e5<3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201956g<,8:3i7=md99j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th8nh951;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=9:h0(<>7e;1a`==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`0g75=9391<7>t$02ef?76n>1C=3;30g>"681o1?oj7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj:hn97?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?74l2.:<5k53cf;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb2a16?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=512g8 46?m39ih55f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>201956`<,8:3i7=md99j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307plib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;375>"681o1?oj7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn>m;0;397?6=8r.:h6:891=9<4$02;a?5el11b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`0g4d=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=51518 46?m39ih55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th8o>h51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;?86*>09g97gb?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb2a2=?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj:i8i7?53;294~"68oh1=7e;1a`==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307plib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891=994$02;a?5el11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?7302.:<5k53cf;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn>m>5;390?6=8r.:09g97gb?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f6e4k3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201951g<,8:3i7=md99j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th8o<=51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=9=h0(<>7e;1a`==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`0g6d=9391<7>t$02ef?76n>1C=3;37g>"681o1?oj7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj:i:=7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?73l2.:<5k53cf;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb2a03?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=515g8 46?m39ih55f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>201951`<,8:3i7=md99j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307plib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;365>"681o1?oj7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn>m=1;397?6=8r.:h6:891=8<4$02;a?5el11b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`0f`4=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=51418 46?m39ih55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th8j=751;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?72<2.:<5k53cf;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?k>i:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<6=<1/==6j:2`g<>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>h?4;39j1/44654:J2406<,1l;69;m8:l2645=9<<0(<>7e;1a`==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=i2382>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m577428?<7)??8d80fa>14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=83:p(<>ib;5`=>N69o20D<>if:&2647=99201950><,8:3i7=md99j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>43>3-;;4h4:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8j9o51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64$9g6>46a02d:><=514c8 46?m39ih55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg5b890:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=514`8 46?m39ih55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb2feb?7=>3:10g`93f6<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0:9n5+11:f>6dc02c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?ihj:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?72l2.:<5k53cf;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4lon1=7850;2x 46aj3=h<6F>1g:8L46an2.:>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1gbf<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891=8h4$02;a?5el11b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vn>k?8;392?6=8r.:91/==6j:2`g<>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;l:<6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;355>"681o1?oj7;h1b6?6=,88:=7:=8:9j0=1=83.:>17f32c:!75980:<8:4;|`0a50=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86<8=;%33<`<4jm20e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=j0482>3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=9?90(<>7e;1a`==n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:o;87?56;294~"68oh1;n>4H03e<>N68ol0(<<>1;5gf>"?110?7E??519'lk8:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>9465f49594?"6:8;14574;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07plib;5`4>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m577428<=7)??8d80fa>14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019531<,8:3i7=md99j7d4=83.:>1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f6baj3;1:7>50z&24cd=?j:0D4>699'55>b2:ho46g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3edb>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3;=56*>09g97gb?3`9j>7>5$0025?25021b85950;&2647=01307b??3283>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th8j>m51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64$9g6>46a02d:><=517c8 46?m39ih55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg5d?:0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>40e3-;;4h4:9::?>o?mo0;6)?=108;<<=`5>32wi?n9=:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0::n5+11:f>6dc02c?>94?:%3154!75980n?454}c1`10<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>6e9'55>b2:ho46g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m577428=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<8i;%33<`<4jm20e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e;j=;6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<6?91/==6j:2`g<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:;<5+11:f>6dc02c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg5d>o0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>4153-;;4h4:9::?>o?mo0;6)?=108;<<=`5>32wi?n;=:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428=87)??8d80fa>=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a7f1d28086=4?{%33bg<69o=0Df79'lk8:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6?<1/==6j:2`g<>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c1`3g<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e;j?o6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>4103-;;4h4:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm3b5b>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4>799'55>b2:ho46g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg5d=j0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'lk8:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86<9n;%33<`<4jm20e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a7f3e280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi?n97:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0:;n5+11:f>6dc02c?>94?:%3154!75980n?454}c1`1d<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>7e9'55>b2:ho46g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m577428=n7)??8d80fa>=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<9i;%33<`<4jm20e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e;j==6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<6091/==6j:2`g<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:4<5+11:f>6dc02c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg5d?<0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>4>53-;;4h4:9::?>o?mo0;6)?=108;<<=`5>32wi?n;8:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428287)??8d80fa>=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a7f1328086=4?{%33bg<69o=0Df79'lk8:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<60<1/==6j:2`g<>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c1`2`<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e;j?:6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>4>03-;;4h4:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm3ec4>4544`;?!>b=3;;j55a1330>4>?3-;;4h4:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8hl;51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64$9g6>46a02d:><=519;8 46?m39ih55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg5cj10:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11/4h;511d;?k759:0:4l5+11:f>6dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb2fa2?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>"?m<0:1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?il;:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;%:f1?77n11e=??<:0:`?!770l08ni64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd4lk81=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20(5k::02e<>h6:891=5j4$02;a?5el11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c1gf5<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==#0l?1==h7;o3156<60l1/==6j:2`g<>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>jne;39j1/44654:J2406<,1l;69;m8:&;a0<68o20b<<>3;3;b>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;mkh6<47:183!77nk0:025g>"?110?7E??519'o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`0`dg=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g><,1o>6<>i8:l2645=90;0(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=ka582>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99'<`3=99l37c?=1282=7=#992n6>lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:nj>7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46*7e4824c>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a7ab028036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4>959'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f6bc>3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=51878 46?m39ih55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg5cl<0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:0;5?!770l08ni64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd4lm>1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;3:3>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;mn86<47:183!77nk0:025g>"?110?7E??519'lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:no>7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201951;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>4?f3-;;4h4:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8hik51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?7>j2.:<5k53cf;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?ijk:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<61j1/==6j:2`g<>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>jkc;39j1/44654:J2406<,1l;69;m8:l2645=90n0(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=kdc82>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m5774283n7)??8d80fa>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g97gb?3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm3ef:>4544`;?k759:0:m=5+11:f>6dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb2fg0g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891=l?4$02;a?5el11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c1g`4<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`0`a6=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a7a4b280=6=4?{%33bg<0kl1C=<6*7f1871g><,1o>6<>i8:l2645=9h>0(<>7e;1a`==n;h81<7*>203907><@88:<65f49594?"6:8;14574;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07plib;5`a>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99'<`3=99l37c?=1282e0=#992n6>lk8:k0e7<72-;9=<4;299K577732c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=n4>:783>5}#99li6:mj;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46*7e4824c>946F>2028?l2??3:1(<<>1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f6b5j3;1:7>50z&24cd=?jo0D14?3A;9==54i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3e0b>4<1290;w)??fc84g`=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8 =c228:m46`>20195d><,8:3i7=md99j7d4=83.:>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th8h?751;494?6|,8:mn79le:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55+8d7955`?3g;9=>4>a89'55>b2:ho46g!75980?>55G1333?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg5c;?0:6;4?:1y'55`e2>in7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02.3i84>0g:8j446;3;jm6*>09g97gb?3`9j>7>5$0025?2502B:><>4;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb2f01?7=>3:10g`93fc<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?!>b=3;;j55a1330>4ge3-;;4h4:50;?M759910e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?i=;:085>5<7s-;;jo48cd9K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64$9g6>46a02d:><=51`a8 46?m39ih55f3`094?"6:8;18?64H0024>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4l:91=7850;2x 46aj3=hi6F>1g:8L46an2.:>6dc02c8m?4?:%3154<3:11C=???;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1g77<62?0;6=u+11da>2eb3A;:j55G11de?!75980N68<:0(5h?:57a<>"?m<0:1;61<>N6:8:07d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vn>j<1;392?6=8r.:5<#9;;:69<7;I3155==>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;m9;6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20(5k::02e<>h6:891=o>4$02;a?5el11b?l<50;&2647=<;20D<<>0:9j0=1=83.:>17f32c:!75980:<8:4;|`0`7`=93<1<7>t$02ef?1dm2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==#0l?1==h7;o3156<6j81/==6j:2`g<>o4i;0;6)?=10876==O9;;;76g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=k2982>3<729q/==hm:6af?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:&;a0<68o20b<<>3;3a6>"681o1?oj7;h1b6?6=,88:=7:=8:J2646<3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:n9;7?56;294~"68oh1;nk4H03e<>N68ol0(<<>1;5gf>"?110?7E??519'o=:18'57762=837E?=1198m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g><,1o>6<>i8:l2645=9k>0(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:omm7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=jf882>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:&;a0<68o20b<<>3;3a2>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`0ac>=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==#0l?1==h7;o3156<6j>1/==6j:2`g<>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;ll<6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20(5k::02e<>h6:891=o64$02;a?5el11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn>ki6;392?6=8r.:5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1e46<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>"?m<0:1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4n981=7850;2x 46aj3=h:6F>1g:8L46an2.:>6dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?k>>:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64$9g6>46a02d:><=51ca8 46?m39ih55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb2d34?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?!>b=3;;j55a1330>4dc3-;;4h4:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg5bno0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02.3i84>0g:8j446;3;ii6*>09g97gb?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th8ikk51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55+8d7955`?3g;9=>4>bg9'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3ddg>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8 =c228:m46`>20195f6<,8:3i7=md99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f6cak3;1:7>50z&24cd=?j<0D14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46*7e4824c>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07plib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99'<`3=99l37c?=1282g6=#992n6>lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==9c:&;==09g97gb?3`9j>7>5$0025?25021b85950;&2647=01307d??6583>!75980:<4:4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<4>50;&2647=993;7E??fd98yg5cmh0:654?:1y'55`e2>ih7E?>f99K55`a3-;9=<4>07a8 =??2k30(5h?:57a<>"?m<0:1;61<>=n<1=1<7*>2039<=?<3`;;:94?:%3154<680>07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o680:1<7*>203955?73A;;jh54}c1ga<<6210;6=u+11da>2ed3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:&;a0<68o20b<<>3;3`2>"681o1?oj7;h1b6?6=,88:=7:=8:9j0=1=83.:>=0;6)?=10824<2<3f;;?>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k24<6=83.:>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m577428i<7)??8d80fa>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=83:p(<>ib;5`e>N69o20D<>if:&2647=9920195f><,8:3i7=md99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55+8d7955`?3g;9=>4>c89'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f6c713;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=51bc8 46?m39ih55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg5bl?0:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:0aa?!770l08ni64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd4mm>1=7650;2x 46aj3=hm6F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3`g>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;ln86<47:183!77nk0lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:oo>7?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>20195fc<,8:3i7=md99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>4ea3-;;4h4:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8ii>51;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?7c82.:<5k53cf;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?hmi:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6l81/==6j:2`g<>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>kle;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9m80(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=jce82>=<729q/==hm:6ab?M76n11C==hi;%::14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;o86*>09g97gb?3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm3daa>4=<2.:>544`;?k759:0:h85+11:f>6dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb2g`=?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=i84$02;a?5el11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c1fg=<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`0af1=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a7`e128036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>d89'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f6cd=3;147>50z&24cd=?jk0D461k2B:<8>4$9d3>13e02d:><=51ec8 46?m39ih55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg5bk=0:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:0fa?!770l08ni64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd4mj91=7650;2x 46aj3=hm6F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3gg>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;li96<47:183!77nk0lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:oh=7?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>20195ac<,8:3i7=md99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>4ba3-;;4h4:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8iik51;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?7b82.:<5k53cf;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?hjk:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6m81/==6j:2`g<>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>kkc;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9l80(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=jdc82>=<729q/==hm:6ab?M76n11C==hi;%::14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;n86*>09g97gb?3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm3df:>4=<2.:>544`;?k759:0:i85+11:f>6dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb2gg1?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=h84$02;a?5el11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c1fgd<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`0ag`=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a7`db28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>e89'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f6b0>3;1:7>50z&24cd=?j<0D4>e`9'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3e56>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3;nn6*>09g97gb?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th8h5j51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?7bk2.:<5k53cf;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?i6l:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<6mm1/==6j:2`g<>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>j65;39j1/44654:J2406<,1l;69;m8:l2645=9lo0(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=k9582>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m577428om7)??8d80fa>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g97gb?3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm3e;1>4544`;?k759:0:j<5+11:f>6dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb2f:5?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891=k<4$02;a?5el11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c1g=5<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`0`=`=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a7a>b28036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4>f49'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f6b?j3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=51g48 46?m39ih55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg5c0h0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:0d4?!770l08ni64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd4mml1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;3e<>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;l:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;3e=>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`0a3c=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=j6b82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=9oh0(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:o=n7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07plib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m577428ln7)??8d80fa>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20195c`<,8:3i7=md99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f6c1?3;1:7>50z&24cd=?j<0D4=019'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3d45>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;38;=6*>09g97gb?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th8i;;51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>7653-;;4h4:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg5b>=0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=52118 46?m39ih55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb2g57?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:09<95+11:f>6dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?h8>:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?47=2.:<5k53cf;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4m?:1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1f1c<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>=94$02;a?5el11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn>k:e;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;l?o6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;03=>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`0a0e=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86?>n;%33<`<4jm20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=j5c82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=:9h0(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:o>m7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==280=6=4?{%33bg<0k?1C=<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07plib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742;:n7)??8d80fa>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201965`<,8:3i7=md99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f6c0<3;1:7>50z&24cd=?j<0D4=119'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3d50>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;38:=6*>09g97gb?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th8i:<51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>7753-;;4h4:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg5b?80:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=52018 46?m39ih55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb2g44?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:09=95+11:f>6dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?h8k:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?46=2.:<5k53cf;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4m?81=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1f12<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891><94$02;a?5el11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn>k:6;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;l8n6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;02=>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`0a7b=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86??n;%33<`<4jm20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=j2b82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=:8h0(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:o9m7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==280=6=4?{%33bg<0k?1C=<6*7f1871g>d:&24=c=;kn37d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07plib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742;;n7)??8d80fa>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201964`<,8:3i7=md99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f6c5>3;1:7>50z&24cd=?j<0D4=219'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3d06>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;389=6*>09g97gb?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th8i?:51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>7453-;;4h4:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg5b::0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=52318 46?m39ih55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb2g16?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:09>95+11:f>6dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?h<>:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?45=2.:<5k53cf;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4m:?1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1f71<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>?94$02;a?5el11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn>k<3;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;l996<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;01=>"681o1?oj7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`0a67=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86?o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=j3182>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=:;h0(<>7e;1a`==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:o9j7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07plib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742;8n7)??8d80fa>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201967`<,8:3i7=md99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f6c0j3;1:7>50z&24cd=?j<0D4=319'55>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3d5b>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;388=6*>09g97gb?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:88<51;0e>5<7s-;;jo4;c69K54`?3A;;jk5U3`295~7an3wm8>m5179m010=92d?8:4<;%67a?77n11/89h515;8 1132k<<7):85;`53>"3km0:=`13-?n>76i6:&42`>1/;:>511d;?!1e>39j86`ia483?!77?:0:<;84$02:2?1e02.:==<57c:8 =bb28:m46F>0c48 44693>h;6*<018243d<,1l;69;m8:l2645=::80(<>7e;3714=O0mi0c906c8?j25i3:17b:=b;29?l77ik0;6E??7`98m1>7290C==9n;:k2420=83B:<:o4;h6;1?6=@8:k07d??5582>k77n:0:76a>07594?N68>k07d??3082>k77n:0:76g;4982>M`f121b8>j51;Jee<=i68>=1<7F>06c8?l77?=0;6E??7`9Kbd?<3`;;?=4>:o33b6<632c?4;4?:I333d=o68hk1<7F>06c8?j2513:17b:;5;29?l77?<0;6E??7`9Kbd?<3`>387>5H024e>=h<>31<7F>06c8?l>0=3:1D<>8a:9j55g>290C==9n;:m77g<622e:=99k<7chn7;28 g0328:2;6`m6284?>i68h<1<7*ia9824d10858jg042<10c<>n4;29 cg?28:j;6`ia680?!d1<3;;5:5ab7190>=h99k86=4+f`:955g03glj;7:4$c47>46>?2di:>4<;:m24d4=83.mm54>0`58jcg02<1/n;:511;4?kd1;3807b??a083>!`f03;;m:5af`592>"e>=0:<494nc40>4=5$gc;>46f?2dmm:48;%`50?771>1en;=50:9~f422i3;1>7>50z&24cd=;0h0D3;007>"681o1=9;>;n`5=?6=,88:=7k<9:9j<2c=83.:>1g:8L46an2.:>2019662<,8:3i7?;509lf3?=83.:>0m3:1(<<>1;:;=>=zj8>>57?52;294~"68oh1?4l4H03e<>N68ol0(<<>1;611>"?n90?9o64n0027?44=2.:<5k51572?jd113:1(<<>1;g0=>=n0>o1<7*>2039<=?<3th:88651;094?6|,8:mn7=6b:J25c><@8:mj6*>2039073<,1l;69;m8:l2645=::<0(<>7e;3714=O;0i0co86:08'57762l9276g77d82>!7598034454}c3726<62?91<7>t$02ef?`fm2B:=k64H02eb>\4i90?w`g=mm0v(>:;:d14?!2393h=;6`;4382a>`3<:0;7):;a;37=>"3h3=;0j7k::3;2-1>`3==0;7)::6;`53>h3=>0j7k::8;2-1>`3=0099=5+44`9f31m1:l6`c<63-=2<79m8:&244`=?k20j<>;8;2-44=#99?:6:l7;%331c<3<01/==9=:02;1>h68;<1:=5af`d96>h68;>1<6`>00194>hanm097chm5;28jcd0291e==<=:09mbc3=92dmno4?;o3355<73gljh7<4n0221?c73g;;<<4=;oda7?6han:0:7chi6;28j466<390b<>>d;:8jcdf291e==<8:19mbg>=82dmn;4?;o335`<63gli87>4$gf0>12<,oi86:l7;%dgf?77n11/jo7511d;?!`a:3=i46*if5824c><,oi:655+fdd901=#99;j6;5+1120>46a02.:<=m57c:8 467=3;;j55+112f>2d?3-ln8764$gde>7c<,8:9?79m8:&ea2<3<2.mh;47;%dg0?77n11/jnm5459'b`3=99l37)??0`84f==#99:26:l7;%335=<69l1/==<7:02e<>"al00?86*if6824c><,ol;6<>i8:&2447=?2.mio47;%dgg?3<,oi;6<>i8:&2451=?k20(kj=:568 c`f2=>0(<>?f;33b==#njn1==h7;%dga?77n11/joh5459'b`g==2.:<<,8::;7?8f:&2473=?k20(km::568 cbf2=>0(kh7:99'b`6=<=1/jom58:&ebf<68o20(kk9:6`;?!778k0<,onm6:l7;%dea?1e02.:<<<59:&2452=99l37)??0984f==#nm:1==h7;%3343<0j11/ji657c:8 46613;;j55+112g>2d?3-;;=o4>0g:8 ceb2<1/==<>:02e<>"akk0?86*ib184f==#nmn146*id486?!`el3;;j55+1103>2d?3-lii7:;;%d`6?77n11/jn:5459'b`4=99l37)hl7;78 cc>28:m46*ied870>"ako037)hl6;33b==#nm;1;o64$gg;>12<,oo:69:4$gda>12<,8:::7?;5:&eb4<23-lm57??f99'556728:m46*id6824c><@8:i:6*>2039bdc<,::;6<>9b:&;b5<3=k20b<<>3;003>"681o1=9;>;I:gg>N?lm1d88h50;9j06`=93Bmm454o552>5<5H024e>=h<=:1=75f4b495?Nai010e4:n:18K551f32e?;?4?::m710<622c?on4>::m72<<722c?8i4?::k70g<72Alj565`46294?=h??31<75`47d94?=n99??6<44i024=?6=@8:91<75f42:94?N68>k07d??7583>M77?h10c9=j:188k1372800c98;:188m<2>290C==9n;:k4?57?5Hgc:?>o68>?1<7F>06c8?j22i3:17b:9e;29?j>a<3;17d:l1;39 cg?2=i;7chn7;28 g032=h=7cl93;68?l2en3;1(ko7:5a3?k`f?3;0(o8;:5`5?kd1;3907d:me;39 cg?2=i;7chn7;08 g032=h=7cl93;08?l2el3;1(ko7:5a3?k`f?390(o8;:5`5?kd1;3;07d:mc;39 cg?2=i;7chn7;68 g032=h=7cl93;28?l2bj3;1(ko7:5gb?k`f?3:0(o8;:5f0?kd1;3l07d:j9;39 cg?2=oj7chn7;38 g032=n87cl93;g8?l2b03;1(ko7:5gb?k`f?380(o8;:5f0?kd1;3n07d:j7;39 cg?2=oj7chn7;18 g032=n87cl93;a8?l2b>3;1(ko7:5gb?k`f?3>0(o8;:5f0?kd1;3h07d:j5;39 cg?2=oj7chn7;78 g032=n87cl93;c8?l2a<3;1(ko7:5gb?k`f?3<0(o8;:5f0?kd1;3307d:i3;39 cg?2=oj7chn7;58 g032=n87cl93;:8?l2a:3;1(ko7:5gb?k`f?320(o8;:5f0?kd1;3=07d:i1;39 cg?2=oj7chn7;;8 g032=n87cl93;48?l2a83;1(ko7:5gb?k`f?3k0(o8;:5f0?kd1;3?07d:jf;39 cg?2=oj7chn7;`8 g032=n87cl93;68?l2bm3;1(ko7:5gb?k`f?3i0(o8;:5f0?kd1;3907d:jd;39 cg?2=oj7chn7;f8 g032=n87cl93;08?l2bk3;1(ko7:5gb?k`f?3o0(o8;:5f0?kd1;3;07d:j4;39 cg?2=oj7chn7;d8 g032=n87cl93;28?j77mh0;6)hn8;33a<=inh=1<6F>06c8 g0328:i;6`m6282g>=h99o36=4+f`:955c>3glj;7?4H024e>"e>=0:4d<3f;;i:4?:%dbm7:la26<6i21d==k9:18'bd>=99o27chn7;18L460i2.i:94>0c58jg0428307b??e483>!`f03;;i45af`590>N68>k0(o8;:02a3>he>:0:465`11g7>5<#nh21==k6;odb3?3<@8:2B:<:o4$c47>46e?2di:>4>6:9l55c5290/jl6511g:?k`f?3=0D<>8a:&a21<68k=0bo8<:078?j77m80;6)hn8;33a<=inh=146F>06c8 g0328:i;6`m62820>=h99nm6=4+f`:955c>3glj;774H024e>"e>=0:45<3f;;hh4?:%dbm7:la26<6:21d==jk:18'bd>=99o27chn7;`8L460i2.i:94>0c58jg0428;07b??db83>!`f03;;i45af`59g>N68>k0(o8;:02a3>he>:0:<65`11fa>5<#nh21==k6;odb3?b<@8:j9:lee246e?2di:>4k;:m24a>=83.mm54>0d;8jcg028:0D<>8a:&a21<68k=0bo8<:b98k46c?3:1(ko7:02f=>hai>0:=6F>06c8 g0328:i;6`m628a?>i68m<1<7*ia9824`?"e>=0:d=7>5$gc;>46b12dmm:4>3:J242g<,km7:la26<>32e:0c58jg042110c<>i0;29 cg?28:n56`ia6821>N68>k0(o8;:02a3>he>:0<76a>0dd94?"ai10:40<@8:j9:lee2<6?2B:<:o4$c47>46e?2di:>4:;:m24`b=83.mm54>0d;8jcg02820D<>8a:&a21<68k=0bo8<:598k46bk3:1(ko7:02f=>hai>0:56F>06c8 g0328:i;6`m6280?>i68lh1<7*ia9824`?"e>=0:7=5$gc;>46b12dmm:4>b:J242g<,km7:la26<632e:0c58jg042910c8hm:18'bd>==ok0bko8:19'f32==l90bo8<:g98k0`>290/jl655gc8jcg0281/n;:55d18jg042l10c8h7:18'bd>==ok0bko8:39'f32==l90bo8<:e98k0`0290/jl655gc8jcg02:1/n;:55d18jg042j10c8h9:18'bd>==ok0bko8:59'f32==l90bo8<:c98k0`2290/jl655gc8jcg02<1/n;:55d18jg042h10c;>;:18'bd>==ok0bko8:79'f32==l90bo8<:898k364290/jl655gc8jcg02>1/n;:55d18jg042110c;>=:18'bd>==ok0bko8:99'f32==l90bo8<:698k366290/jl655gc8jcg0201/n;:55d18jg042?10c;>?:18'bd>==ok0bko8:`9'f32==l90bo8<:498k0`a290/jl655gc8jcg02k1/n;:55d18jg042=10c8hj:18'bd>==ok0bko8:b9'f32==l90bo8<:298k0`c290/jl655gc8jcg02m1/n;:55d18jg042;10c8hl:18'bd>==ok0bko8:d9'f32==l90bo8<:098k0`3290/jl655gc8jcg02o1/n;:55d18jg042910qo?;5b82>7<729q/==hm:9:5?M76n11C==hi;%63f?0dn2.3454>0g:8 44693>996*7e`8;<<=O0l20(5h?:57a<>"?0>0?7c?=12817==#992n6<::1:k;3`<72-;9=<478898kg0>290/=??>:d1:?>{e9=<=6<49:183!77nk0ni7)6i0;66f==i9;;86?=6;%33<`<6<<;0e>o=:18'57762=8376g;8683>!7598034454i021e?6=,88:=7??6`98k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3th:8;951;494?6|,8:mn79l8:J25c><@8:mj6*7998a=>"6:8;1;il4$9d3>13e02d:><=522c8 46?m3;?9<5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j554f290/=??>:025e>=h99986=4+1332>`5>32c?=l4?:%3154<39h1C==hj;:k2402=83.:>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::h0(<>7e;7062=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj<9?:7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl:34695?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742;9n7)??8d8677114?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>75a3-;;4h4:3358m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th>?>h51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?4382.:<5k55204?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi9>9k:08;>5<7s-;;jo48ce9K54`?3A;;jk5+1332>461k2.3554m9:&;b5<3=k20b<<>3;075>"681o19><8;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n9946><21d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k24<6=83.:>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742;>97)??8d8677114?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl:36095?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g916403`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm5252>4544`;?k759:09895+11:f>055?2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb4144?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891>9;4$02;a?34:>1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c702c<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86?:9;%33<`<2;;=0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`673c=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a160c28036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4=499'55>b2<99;6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f051k3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=525;8 46?m3?8>:5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg34?k0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:36b?!770l0>??94i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd2;>k1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;07f>"681o19><8;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e=:=26<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj<9<47?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201961b<,8:3i7;<269j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>72b3-;;4h4:3358m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th>?:851;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?43n2.:<5k55204?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi9>9::08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<5=91/==6j:4113>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn8=84;39j1/44654:J2406<,1l;69;m8:l2645=:<;0(<>7e;7062=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo;<6c82>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742;?97)??8d8677114?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl:37c95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=99?6*>09g916403`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm526e>4544`;?k759:09995+11:f>055?2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb417a?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891>8;4$02;a?34:>1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c700g<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>884$02;a?34:>1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn8=;a;392?6=8r.:1/==6j:4113>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<>2?6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>73?3-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm46;:>4<2290;w)??fc8;bg=O98l37E??fg9'bdd=13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7:m359'577628:>?6*7f1871g><,1336lo4$gc`>1d4<2d:><=524c8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?;5=51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=:7e;66ff=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`73<>=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0?n><4$0025?77=:1/4k>544`;?!>>038:<6*iab87f6421d94>=n01:1<7*>20397571;g0=>=zj==j47?55;294~"68oh14kl4H03e<>N68ol0(kom:5`06>"6:8;1==;<;%:e4?22j11/4465a`9'bde=o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:099h5+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg201>0:684?:1y'55`e21li7E?>f99K55`a3-ljn7:m309'577628:>?6*7f1871g><,1336???;%dbg?2e;81e=??<:37e?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8:o8:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``90g563-;9=<4>0418 =`72=?i46*7998be>"aij0?n>?4n0027?4182.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj==2:7?55;294~"68oh14kl4H03e<>N68ol0(kom:5`04>"6:8;1==;<;%:e4?22j11/44652028 cgd2=h8<6`>2019634<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<3j::0(<<>1;3316=#0o:188l7;%::6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a02>7280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8:7::086>5<7s-;;jo47fc9K54`?3A;;jk5+f``90g4a3-;9=<4>0418 =`72=?i46*7998155=#nhi18o<1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c64e0<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3>i>k5+1332>462;2.3j=4;5c:8 =??2hk0(kol:5`1b>h6:891>;84$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>96F>1g:8L46an2.:>2019631<,8:3i7::bb9j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;7`095?3=83:p(<>ib;:ef>N69o20D<>if:&eeg<3j;o0(<<>1;3316=#0o:188l7;%::09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D4=689'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=<5?4>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<5>h1/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c64e4<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3>i>i5+1332>462;2.3j=4;5c:8 =??2;;;7)hnc;6a6a=i9;;86?8m;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e<>h;6<4::183!77nk03jo5G10d;?M77no1/jll54c0g?!75980:<8=4$9d3>13e02.3554na:&eef<3j;n0b<<>3;05g>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn9961;390?6=8r.:09g900dd3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f11f83;197>50z&24cd=0oh0D<>4$gc`>1d5k2d:><=527g8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?;lh51;794?6|,8:mn76ib:J25c><@8:mj6*iac87f7e<,88:=7??529'i>n5a1330>70a3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86?9?;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<>3m6<4::183!77nk03jo5G10d;?M77no1/jll54c0a?!75980:<8=4$9d3>13e02.3554=119'bde=o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?2e:k1/=??>:0267>"?n90?9o64$9;;>dg<,okh69l=b:l2645=:>80(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`73=`=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=52618 46?m3>>nn5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?;4k51;794?6|,8:mn76ib:J25c><@8:mj6*iac87f7g<,88:=7??529'"aij0?n?o4n0027?40<2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2=h9m6*>203955343-2m<7::b99'<<>=ih1/jlm54c0b?k759:09;85+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;97E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?2e:01/=??>:0267>"?n90?9o64$9;;>7773-ljo7:m289m57742;=<7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a02gd280>6=4?{%33bg"?110jm6*iab87f7?21d94>=n01:1<7*>20397571;g0=>=zj==3h7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?4012.:<5k544``?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb55:g?7==3:10g`90gd8 cge2=h9;6*>203955343-2m<7::b99'<<>=:8:0(kol:5`13>h6:891>:o4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4;b358 44693;;9>5+8g2900d?3-2247on;%dbg?2e:>1e=??<:35a?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8:6l:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742;=h7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a02?e280>6=4?{%33bg"?1109==5+f`a90g413g;9=>4=7e9'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;6a63=#9;;:6<>:3:&;b5<3=k20(577:`c8 cgd2=h9:6`>201962c<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891>:h4$02;a?22jj1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.mmo4;b378 44693;;9>5+8g2900d?3-2247<>0:&eef<3j;?0b<<>3;0;4>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn99n4;391?6=8r.:1d5=2.:>a83>>n55+88:9ed=#nhi18o<:;o3156<5081/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c643c<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4=839'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=<594>:483>5}#99li65hm;I32b==O99lm7)hnb;6a61=#9;;:6<>:3:&;b5<3=k20(577:333?!`fk3>i>95a1330>7>43-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=13;0;1>"681o188ll;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn99me;391?6=8r.:544`;?!>>038:<6`>20196=0<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891>594$02;a?22jj1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:964721d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn99mb;390?6=8r.:09g900dd3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f11d>3;1;7>50z&24cd=0on0Dh6:891>5o4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?;oo51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=:1h0(<>7e;66ff=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`73f3=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44652038j446;383o6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj==i57?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?4?l2.:<5k544``?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb55`0?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-2247<>1:l2645=:1o0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>96F>1g:8L46an2.:>20196=`<,8:3i7::bb9j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;7b195?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>82.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=13;0:5>"681o188ll;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn99l2;393?6=8r.:544`;?!>>038:=6`>20196<4<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`73g0=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=52818 46?m3>>nn5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?;n?51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:8;0b<<>3;0:0>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj==h<7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=52848 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891>494$02;a?22jj1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:964721d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn99m2;390?6=8r.:09g900dd3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f11el3;1;7>50z&24cd=0on0Dh6:891>4o4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?;8?51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=:0h0(<>7e;66ff=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`7330=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0?n?=4$0025?77=:1/4k>544`;?!>>038:<6*iab87f7521d94>=n01:1<7*>20397571;g0=>=zj==<:7?55;294~"68oh14kl4H03e<>N68ol0(kom:5`17>"6:8;1==;<;%:e4?22j11/4465a`9'bde=o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:095h5+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg20><0:684?:1y'55`e21li7E?>f99K55`a3-ljn7:m239'577628:>?6*7f1871g><,1336???;%dbg?2e:;1e=??<:3;e?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8:9::086>5<7s-;;jo47fc9K54`?3A;;jk5+f``90g453-;9=<4>0418 =`72=?i46*7998be>"aij0?n?<4n0027?4f82.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj===87?55;294~"68oh14kl4H03e<>N68ol0(kom:5`15>"6:8;1==;<;%:e4?22j11/44652028 cgd2=h9=6`>20196d4<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<3j;;0(<<>1;3316=#0o:188l7;%::6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a022b280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8:8<:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``90g473-;9=<4>0418 =`72=?i46*7998155=#nhi18oo??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6436<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3>i>=5+1332>462;2.3j=4;5c:8 =??2hk0(kol:5`14>h6:891>l84$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>96F>1g:8L46an2.:>20196d1<,8:3i7::bb9j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;77095?3=83:p(<>ib;:ef>N69o20D<>if:&eeg<3j8l0(<<>1;3316=#0o:188l7;%::09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0Df:&2647=99?87)6i0;66f==#0021ml5+f`a90g7a3g;9=>4=a89'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=<:=4>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<5ih1/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c642c<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3>i?o5+1332>462;2.3j=4;5c:8 =??2;;;7)hnc;6a7g=i9;;86?om;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e<>=n6<4::183!77nk03jo5G10d;?M77no1/jll54c1a?!75980:<8=4$9d3>13e02.3554na:&eef<3j:h0b<<>3;0bg>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn99:f;390?6=8r.:09g900dd3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f111m3;197>50z&24cd=0oh0D<>4$gc`>1d4i2d:><=52`g8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?;:j51;794?6|,8:mn76ib:J25c><@8:mj6*iac87f6g<,88:=7??529'i?l5a1330>7ga3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86?l?;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<>13e02.3554=119'bde=o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?2e;01/=??>:0267>"?n90?9o64$9;;>dg<,okh69l<9:l2645=:k80(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`730b=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=52c18 46?m3>>nn5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?;;m51;794?6|,8:mn76ib:J25c><@8:mj6*iac87f6><,88:=7??529'"aij0?n>64n0027?4e<2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2=h846*>203955343-2m<7::b99'<<>=ih1/jlm54c1;?k759:09n85+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;97E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?2e;>1/=??>:0267>"?n90?9o64$9;;>7773-ljo7:m369m57742;h<7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a021f280>6=4?{%33bg"?110jm6*iab87f6121d94>=n01:1<7*>20397571;g0=>=zj==>n7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?4e12.:<5k544``?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb555e?7==3:10g`90gd8 cge2=h8:6*>203955343-2m<7::b99'<<>=:8:0(kol:5`02>h6:891>oo4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>31=7;50;2x 46aj32mn6F>1g:8L46an2.mmo4;b248 44693;;9>5+8g2900d?3-2247on;%dbg?2e;?1e=??<:3`a?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8:;n:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742;hh7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a020>280>6=4?{%33bg"?1109==5+f`a90g523g;9=>4=be9'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=<;54>:483>5}#99li65hm;I32b==O99lm7)hnb;6a70=#9;;:6<>:3:&;b5<3=k20(577:`c8 cgd2=h896`>20196gc<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891>oh4$02;a?22jj1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.mmo4;b218 44693;;9>5+8g2900d?3-2247<>0:&eef<3j:90b<<>3;0`4>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn9987;391?6=8r.:1d4;2.:>a83>>n55+88:9ed=#nhi18o=<;o3156<5k81/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c641=<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4=c39'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=<::4>:483>5}#99li65hm;I32b==O99lm7)hnb;6a6==#9;;:6<>:3:&;b5<3=k20(577:333?!`fk3>i>55a1330>7e43-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=13;0`1>"681o188ll;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9991;391?6=8r.:1d6m2.:>a83>>n55+88:9646<,okh69l>e:l2645=:j<0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7326=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0?n544`;?!>>03kj7)hnc;6a5`=i9;;86?m8;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{eN68ol0(<<>1;32b3=#0o:188l7;o3156<5k11/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86?m6;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a037f280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;;8:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:09oo5+11:f>13ek2c?>94?:%3154!75980n?454}c655=<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4=cb9'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<==9;4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742;io7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86?mj;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<5ko1/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:09h=5+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg21==0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>7b63-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;?::087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742;n97)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a033428086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<===94>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<5l=1/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c6517<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>7b13-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm4772>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4=d69'55>b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg219;0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86?j6;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a0376280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;:i:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:09ho5+11:f>13ek2c?>94?:%3154!75980n?454}c6555<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4=db9'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<==8h4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742;no7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86?jj;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{eh6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<5lo1/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:09i=5+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg214?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>7c63-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;>l:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742;o97)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a032f28086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<==:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<5m=1/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c650<<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>7c13-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm476;>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4=e69'55>b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg21800:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86?k6;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a036?280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;:9:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:09io5+11:f>13ek2c?>94?:%3154!75980n?454}c6542<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4=eb9'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<==884>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742;oo7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86?kj;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e?6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<5mo1/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:09j=5+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg21<:0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>7`63-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;>;:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742;l97)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a030628086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<==><4>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<5n=1/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c6525<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>7`13-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm477e>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4=f69'55>b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg219o0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86?h6;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a037b280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;;k:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:09jo5+11:f>13ek2c?>94?:%3154!75980n?454}c655a<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4=fb9'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<==9n4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742;lo7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86?hj;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{eN68ol0(<<>1;32b3=#0o:188l7;o3156<5no1/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:08<=5+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg21=10:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6663-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;?6:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742::97)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a032c28086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<==

    :583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<48=1/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c6507<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6613-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm4662>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4<069'55>b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg204?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>66?3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8:=6:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742::27)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a022f28086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<48k1/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c640<<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<>9>6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>66c3-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm466;>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4<0d9'55>b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg20;:0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>??;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a0256280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8::9:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:08=?5+11:f>13ek2c?>94?:%3154!75980n?454}c6475<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4<129'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li65h6;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i11e=??<:237?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e<>>>6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<49<1/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:08=;5+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg20;l0:6>4?:1y'55`e21l27E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982e==i9;;86>?8;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a022328086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<=<>h4>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<4901/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c647a<62:0;6=u+11da>=`>3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a99m57742:;j7)??8d871ge6663g;94<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4<1c9'55>b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg20:m0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:9d:?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m55a1330>67c3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi8::?:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:08=h5+11:f>13ek2c?>94?:%3154!75980n?454}c646f<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4<1g9'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li65h6;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i11e=??<:203?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e<>;i6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<4:81/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86><=;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a0274280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8:<;:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:08>95+11:f>13ek2c?>94?:%3154!75980n?454}c6454<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4<249'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=<>>4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:8=7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86><8;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<>896<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<4:11/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:08>45+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg20:80:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>64f3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8:>m:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:8i7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a024728086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<=<:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<4:m1/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c645c<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<>:26<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>64a3-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm463;>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>=>;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a026?280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8:?8:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=128076=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=<=i4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:9?7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>=:;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<>;=6<4<:183!77nk03j45G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g?3g;9=>4<379'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg209h0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6503-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8:>9:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:937)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a027228086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08?45+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c66bd<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<:0267>"?n90?9o64$9;;>4g?3g;9=>4<3c9'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg22n00:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>65d3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi88ml:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:9o7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a00c528086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08?h5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c66b=<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<:877?!>a83>>n55a1330>6273-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm44g2>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>:=;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a00e>280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi88ji:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=128001=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=>j84>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:>>7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>:9;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<:0267>"?n90?9o64$9;;>4g?3g;9=>4<469'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg22n=0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>62?3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi88m8:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:>27)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a00bc28086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:088l5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c66b6<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<:877?!>a83>>n55a1330>62d3-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm44f`>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>:j;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a00e2280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi88jm:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=128015=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=>j<4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:?:7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>;=;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<:0267>"?n90?9o64$9;;>4g?3g;9=>4<529'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg22n90:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6333-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi88m<:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:?>7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a00b>28086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:089;5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c66ac<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<:877?!>a83>>n55a1330>63?3-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm44f;>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>;n;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a00e6280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi88j8:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=12801f=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=>ii4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:?o7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>;j;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<:0267>"?n90?9o64$9;;>4g?3g;9=>4<5g9'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg218;0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6073-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi88j;:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:<:7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a00ce28086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08:?5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c6544<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<:877?!>a83>>n55a1330>6033-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm44gb>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336<1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>89;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a00b5280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi88k6:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=12802==#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=>jk4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:<27)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>8n;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<:0267>"?n90?9o64$9;;>4g?3g;9=>4<6c9'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg22nl0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>60d3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi88j?:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a00c028086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08:h5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c66ba<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<:877?!>a83>>n55a1330>6173-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm44g5>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>9=;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a00eb280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi88k::080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=128031=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=>jo4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:=>7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>99;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<:0267>"?n90?9o64$9;;>4g?3g;9=>4<769'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg22n>0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>61?3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi88mn:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:=27)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a00c728086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08;l5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c66af<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<:877?!>a83>>n55a1330>61d3-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47d`>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4<7e9'55>b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg21nk0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>61b3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;jn:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:=m7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a03`f28086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<==h54>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<4081/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c65b=<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6>43-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47d4>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4<859'55>b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg21l;0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>69;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a03b7280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;h::080>5<7s-;;jo4>1g58L47a02B:544`;?k759:08455+11:f>13ek2c?>94?:%3154!75980n?454}c65g`<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4<889'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<==j94>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:2j7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>6m;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{eN68ol0(<<>1;32b3=#0o:188l7;o3156<40j1/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:084i5+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg21n;0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6>b3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;m7:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:2m7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a03`628086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<==o;4>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<4181/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c65b5<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6?43-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47ge>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4<959'55>b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg21k;0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>79;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a03cf280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8:>;:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:08555+11:f>13ek2c?>94?:%3154!75980n?454}c65a=<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4<989'55>b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=<<>4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:3j7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>7m;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<>:96<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<41j1/==6j:57ag>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:085i5+11:f>13ek2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg20880:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6?b3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;k=:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:3m7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a026728086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<==i=4>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<4i81/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c65bc<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6g43-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47df>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4b2=?io6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg21lj0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>o9;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a03b1280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;kj:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:08m55+11:f>13ek2c?>94?:%3154!75980n?454}c65g4<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4b2=?io6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<==n44>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:kj7)??8d871ge=>>32c3ik4?:%31544<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>ol;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a031>280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;77:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=1280e`=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<==n;4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:km7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>l?;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4g?3g;9=>4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg21j<0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6d53-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;99:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:h87)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a03?228086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08n95+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c65f1<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>6d13-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47;7>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,13361/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>l7;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a0313280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;7<:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=1280fd=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<==n?4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:hi7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>ll;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4g?3g;9=>4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg21j80:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6db3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;9=:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:hm7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a03?628086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08o=5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c65f5<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6e53-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47;3>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>m;;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a0317280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;6i:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=1280g3=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<==mh4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:i<7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>m7;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4g?3g;9=>4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg21im0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6ef3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;8j:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:ii7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a03>c28086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08on5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c65eg<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6eb3-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47:a>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>j?;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a030e280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;6n:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=1280`7=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<==m44>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:n87)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>j;;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4g?3g;9=>4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg21i10:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6b13-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;86:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:n<7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a03>?28086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08h55+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c65e2<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6bf3-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47:4>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>jl;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a0300280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;69:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=1280``=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<==m84>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:nm7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>k?;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e6<4<:183!77nk03j45G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g?3g;9=>4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg21i=0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6c53-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;8::087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:o87)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a03>328086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08i95+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c65e6<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6c13-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47:0>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,13361/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>k7;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a0304280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;6=:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=1280ad=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<==o=4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:oi7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>kl;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4g?3g;9=>4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg21jo0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6cb3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;9i:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:om7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a03?a28086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08j=5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c65f`<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6`53-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47;f>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86>h;;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a031c280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;7k:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=1280b3=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<==nn4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742:l<7)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86>h7;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4g?3g;9=>4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg21jk0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>6`f3-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;9m:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742:li7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a03?e28086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:08jn5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c65fd<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:877?!>a83>>n55a1330>6`b3-;;4h4;5ca8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm47;b>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;869>?;%33<`<3=ki0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a031?280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8;78:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=128747=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<==mn4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742=:87)??8d871ge=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;869>;;%33<`<3=ki0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4g?3g;9=>4;049'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg21i80:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>1613-;;4h4;5ca8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8;8=:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742=:<7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a0ag128036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4;099'55>b2=?io6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f1dam3;1:7>50z&24cd=?j<0D4;089'55>b2=h<:6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4cdg>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>;m6*>09g90g113`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?nkm51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>16e3-;;4h4;b648m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2enk0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=541a8 46?m3>i;;5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5g1b?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:8918=j4$02;a?22jj1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c6f71<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869>j;%33<`<3=ki0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`7fc0=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a0g`228036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4;119'55>b2=h<:6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f1da<3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=54038 46?m3>i;;5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg2en:0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:531?!770l0?n:84i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd3jo81=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;627>"681o18o99;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<6<47:183!77nk00290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=o8n7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019043<,8:3i7::bb9j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=h8:4>:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>1713-;;4h4;b648m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?nhm51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:534?!770l0?n:84i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn9ljb;39j1/4465b89':53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl;bdc95?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>17>3-;;4h4;b648m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb5`f=?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156<39h1/==6j:5`42>o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:=9321<7>t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742=;i7)??8d87f2014?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f1db?3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0?=n5+11:f>1d0>2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd3jl<1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;869?k;%33<`<3j><0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj=i??7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201904c<,8:3i7:m779j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>17a3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th><>=51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>1473-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg37;;0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=54338 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb4205?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:0?>?5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9==?:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?25;2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd28;l1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:507?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c736`<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8918?;4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9mn7;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156<3:?1/==6j:57ag>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e6<49:183!77nk0:0:&;b5<3=k20b<<>3;613>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7gd5=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;869<7;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:la082>3<729q/==hm:6a5?M76n11C==hi;%::7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=i2j7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;ce595?>=83:p(<>ib;5`e>N69o20D<>if:&2647=999o6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4e:6>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>9h6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?h5=51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>14b3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2c080:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=543d8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5f4b?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0??=5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8i9k:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?2492.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3k;n1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6`6g<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8918>=4$02;a?2e??1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9m=9;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;601>"681o18o99;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7g72=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;869=9;%33<`<3j><0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:l2382>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=<:=0(<>7e;6a33=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=i9<7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;c0a95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742=9j7)??8d87f2014?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=h=l4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201906d<,8:3i7:m779j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1e603;1:7>50z&24cd=?j<0D4;3b9'55>b2=h<:6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4b35>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>8h6*>09g90g113`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?o<:51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>15b3-;;4h4;b648m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2d;j0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=542d8 46?m3>i;;5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5a0e?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0?8=5+11:f>1d0>2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8n=7:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?2392.:<5k54c55?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3k:<1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6`71<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:89189=4$02;a?2e??1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9m<2;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;671>"681o18o99;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7g7>=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;869:9;%33<`<3j><0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:lcg82>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742=><7)??8d871ge14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;cbg95?>=83:p(<>ib;5`=>N69o20D<>if:&2647=99?46*>09g900dd3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4bag>4544`;?k759:0?845+11:f>13ek2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb5a`f?7=03:10g`93f?<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:89189o4$02;a?22jj1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c6`gd<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;869:m;%33<`<3=ki0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`7gf?=9321<7>t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a0fe?28036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4;4e9'55>b2=?io6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f1ed?3;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=545g8 46?m3>>nn5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg2dk?0:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:56e?!770l0?9om4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd3kj?1=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;664>"681o188ll;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:025g>"?110?7E??519'0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=ih?7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019004<,8:3i7::bb9j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=ho?4>:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>1343-;;4h4;5ca8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?oi851;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?22<2.:<5k544``?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8nj::08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<3=<1/==6j:57ag>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9mk4;39j1/44654:J2406<,1l;69;m8:l2645=<<<0(<>7e;66ff=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:ld282>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742=?<7)??8d871ge14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;ce095?>=83:p(<>ib;5`=>N69o20D<>if:&2647=99>46*>09g900dd3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4bf2>4544`;?k759:0?945+11:f>13ek2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb5ag4?7=03:10g`93f?<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:89188o4$02;a?22jj1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c6`gf<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;869;m;%33<`<3=ki0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`7gf7=9321<7>t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a0fe728036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4;5e9'55>b2=?io6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f1cb?3;1:7>50z&24cd=?j<0D4;5d9'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4dg5>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>>j6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?ih:51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>1073-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2bm:0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=54738 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5gf6?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0?:?5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8hk>:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?21;2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3ml:1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6f`c<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8918;;4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9kke;392?6=8r.:?1/==6j:57ag>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;653>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7aae=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86987;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:jdc82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=oo57?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;ee595?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742=14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=nh;4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201903b<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1cc=3;1:7>50z&24cd=?j<0D4;6d9'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4df7>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>=j6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?ii=51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>1173-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2bl;0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=54638 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5gg5?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0?;?5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8hj?:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?20;2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3mlo1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6faa<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8918:;4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9kjc;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;643>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7a`g=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86997;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:je882>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=<>30(<>7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=on97?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;ebd95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742==h7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201902b<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f06e03;1:7>50z&24cd=?j<0D4;7d9'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm51`5>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>1>73-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg37k10:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=54938 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb42`2?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0?4?5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9=m;:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?2?;2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd28j81=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c73g5<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:89185;4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn8>me;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e=9hh6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;6;3>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`64``=9321<7>t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742=237)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f06bm3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0?445+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd28ln1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;8696n;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj<:m;7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4;8c9'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:5:`?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn8>i5;39j1/4465b89':53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl:0g695?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>1>b3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb42e7?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156<30o1/==6j:57ag>o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742=3;7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f06a93;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0?5<5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd28o:1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;6:6>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e6<47:183!77nk0:025g>"?110?7E??519'0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj<:2j7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20190<2<,8:3i7::bb9j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>1?23-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?hh:51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?2>>2.:<5k544``?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi9=<8:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?2>?2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3k0<1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;6:<>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e6<47:183!77nk0:025g>"?110?7E??519'0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=hon7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201901;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=in94>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>1?e3-;;4h4;b648m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?o;;51;:94?6|,8:mn79lc:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:5;`?!770l0?n:84i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn8??1;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156<31m1/==6j:57ag>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e=8:;6<49:183!77nk0:0:&;b5<3=k20b<<>3;6:a>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`64c`=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;8697i;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;?fd82>3<729q/==hm:6a5?M76n11C==hi;%::7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj<:mh7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl:08495?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742=k87)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20190d2<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f06>;3;1:7>50z&24cd=?j<0D4;a49'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm51;1>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>j:6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th><4?51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>1g03-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg37190:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=54`:8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb42;b?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0?m45+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9=6j:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?2fi2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd281n1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c732e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8918lm4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn8>7b;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e=92j6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;6ba>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`64t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;869oi;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;?9e82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj<:2o7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl:08c95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742=h87)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20190g2<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f06>03;1:7>50z&24cd=?j<0D4;b49'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm51;7>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>i:6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th><5751;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>1d03-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg37010:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=54c:8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5f;f?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:0?n45+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8i66:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?2ei2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3l;81=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;6af>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=n:i7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20190gb<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=o=l4>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>1db3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?h<651;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?2en2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8i?9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<3k91/==6j:57ag>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9j>4;39j1/44654:J2406<,1l;69;m8:l2645=7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:k1382>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742=i97)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;d0295?>=83:p(<>ib;5`e>N69o20D<>if:&2647=99h?6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4e2f>4544`;?k759:0?o95+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb5f3g?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:8918n;4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c6g4d<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;869m9;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`7`5>=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a0a5628036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4;c99'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f1b5n3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=54b;8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg2c:m0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:5ab?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd3l;h1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;6`f>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=n9;7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20190fb<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=o>84>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>1eb3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?h<@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?2dn2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8i>8:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<3l91/==6j:57ag>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9j?5;39j1/44654:J2406<,1l;69;m8:l2645=7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo;?6382>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj<:>m7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==280=6=4?{%33bg<0k?1C=<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl:04:95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742=n>7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20190a0<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f062=3;1:7>50z&24cd=?j<0D4;d69'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm5177>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>o46*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th><8=51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>1b>3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg37=;0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=54ec8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb4265?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0?ho5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9=;?:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?2ck2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd28=l1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c730`<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8918ik4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn8>;d;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e=9<:6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;6f4>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`6436=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;869k>;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;?5g82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj<:>i7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl:04a95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742=o>7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20190`0<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f062?3;1:7>50z&24cd=?j<0D4;e69'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm516`>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3>n46*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th><9l51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>1c>3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg37?90:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=54dc8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb425b?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0?io5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9=8j:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?2bk2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd28?n1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c732f<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8918hk4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn8>9b;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e=9:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;6e4>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7fag=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;869h>;%33<`<3j><0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:l4b82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=7e;6a33=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=n=;7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20190c5<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=o:84>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>1`33-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?h;=51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?2a=2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8i;i:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<3n?1/==6j:57ag>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9j:d;39j1/44654:J2406<,1l;69;m8:l2645=7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:k5c82>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742=l37)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;d4;95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=99m56*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4e74>4544`;?k759:0?jl5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb5f61?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:8918kl4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c6g16<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;869hl;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`7`07=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a0a2a28036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4;fd9'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f1b3l3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=54gd8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg2c??0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:423?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd3l>>1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;735>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=n<<7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019155<,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=o:h4>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>0633-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?h;m51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?37=2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8i8n:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<28?1/==6j:57ag>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9j92;39j1/44654:J2406<,1l;69;m8:l2645==9=0(<>7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:k4b82>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742<:37)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;d5c95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g900dd3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4g0;>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?;m6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?j?951;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>06e3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2a:<0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=551a8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5d10?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8k<<:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?37m2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3n;81=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6e64<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919<>4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9h=0;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;726>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7b4c=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868?<;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:i1e82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==8>0(<>7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=l:o7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>6:&24=c=<9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;f0;95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742<;<7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=m=54>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201914><,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1`6?3;1:7>50z&24cd=?j<0D4:189'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4g35>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?:m6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?j<;51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>07e3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2a9=0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=550a8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5d27?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>=i5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8k?=:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?36m2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3n8;1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6e6`<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919?>4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9h=d;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;716>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7b7d=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868<<;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:i2`82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==;>0(<>7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=l957?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;f0`95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742<8<7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=m==4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201917><,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1`7n3;1:7>50z&24cd=?j<0D4:289'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4d0;>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?9m6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?i?951;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>04e3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2b:<0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=553a8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5g10?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>>i5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8h<<:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?35m2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3m;81=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6f64<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919>>4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9k=0;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;706>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7a4c=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868=<;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:j1e82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==:>0(<>7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=o:o7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;e0;95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742<9<7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=n=54>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201916><,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1c6?3;1:7>50z&24cd=?j<0D4:389'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4d35>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?8m6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?i<;51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>05e3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2b9=0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=552a8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5g27?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>?i5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8h?=:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?34m2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3m8;1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6f6`<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:89199>4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9k=d;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;776>"681o188ll;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7a7d=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868:<;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:j2`82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645===>0(<>7e;66ff=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=o957?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;e0`95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742<><7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=n==4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201911><,8:3i7::bb9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1c7n3;1:7>50z&24cd=?j<0D4:489'55>b2=?io6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4bg`>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3??m6*>09g900dd3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?oho51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>02e3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2dm10:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=555a8 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5af2?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>8i5+11:f>13ek2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8nk;:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?33m2.:<5k544``?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3kl81=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6`a5<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:89198>4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9hl2;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645==<;0(<>7e;6e7c=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:ic482>=<729q/==hm:6a:?M76n11C==hi;%::14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;f6395?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m5774214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=m;=4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019102<,8:3i7:i3g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1`1n3;1:7>50z&24cd=?j<0D4:549'55>b2=l8j6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4g4f>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?>:6*>09g90c5a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?j;j51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>0303-;;4h4;f2d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2a>j0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=554:8 46?m3>m?k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5d5f?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>945+11:f>1`4n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8k8n:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?32i2.:<5k54g1e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3n?21=7850;2x 46aj3=h:6F>1g:8L46an2.:>h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6e22<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:89198m4$02;a?2a;o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9h96;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;76a>"681o18k=i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7b32=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868;i;%33<`<3n:l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:i6282>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==?:0(<>7e;6e7c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=l=>7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;f7295?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742<<87)??8d87b6`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=m9k4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019132<,8:3i7:i3g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1`013;1:7>50z&24cd=?j<0D4:649'55>b2=l8j6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4g5;>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?=:6*>09g90c5a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?j:951;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>0003-;;4h4;f2d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2a??0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=557:8 46?m3>m?k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5d41?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>:45+11:f>1`4n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8k9;:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?31i2.:<5k54g1e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3n>91=7850;2x 46aj3=h:6F>1g:8L46an2.:>h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6e2<<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919;m4$02;a?2a;o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9hl1;392?6=8r.:m1/==6j:5d0b>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;75a>"681o18k=i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7bg`=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;8688i;%33<`<3n:l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:ibd82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==>:0(<>7e;6e7c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=li?7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;fc295?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742<=87)??8d87b6`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=mmk4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019122<,8:3i7:i3g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1`fm3;1:7>50z&24cd=?j<0D4:749'55>b2=l8j6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4gcg>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?<:6*>09g90c5a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?jlm51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>0103-;;4h4;f2d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2aik0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=556:8 46?m3>m?k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5dbe?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>;45+11:f>1`4n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8ko6:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?30i2.:<5k54g1e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3nh21=7850;2x 46aj3=h:6F>1g:8L46an2.:>h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6ee2<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919:m4$02;a?2a;o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9hn5;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;74a>"681o18k=i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7bd5=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;8689i;%33<`<3n:l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:ia382>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==1:0(<>7e;6e7c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=lj=7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;f8d95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742<287)??8d87b6`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=m5h4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20191=2<,8:3i7:i3g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1`>l3;1:7>50z&24cd=?j<0D4:849'55>b2=l8j6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4g;`>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?3:6*>09g90c5a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?jo751;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>0>03-;;4h4;f2d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2aj10:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=559:8 46?m3>m?k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5da3?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>445+11:f>1`4n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8kl9:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?3?i2.:<5k54g1e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3nk?1=7850;2x 46aj3=h:6F>1g:8L46an2.:>h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6ef1<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:89195m4$02;a?2a;o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9hm1;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;7;a>"681o18k=i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7bt$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;8686i;%33<`<3n:l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:i9`82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==0:0(<>7e;6e7c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj<;3>7?514c94?6|,8:mn799c:J25c><@8:mj6T1=:951679b7c6=9<>1q):?e;g1e>h5:90:7c<=a;38j673281e?=7?4n021g?7h3980;7)??5d824d`203933e<,::;6<>9b:J;3a=#0o:188l7;o3156<2181/==6j:433f>o39l0:66g>04:95?h68o91<65f117:>44<m7?5n02e7?6<3`>9>7?5;h62`?7=3f>:<7>5;h331f<62g;;j>4?;:k240d=93d:=93Bmm45a11d0>5=:7?5n02e7?6<3`>9=7?5;h62g?7=3`>;o7>5;h617?7=3`>:n7?5;n63b?6=3`;;984>:o33b6<732c:<8j51;l24c5=821b?>:51;&ee=<4;:1ejl950:l24c5=82.i:94<139mf35=9810e>==:08'bd>=;:90bko8:09m55`4291/n;:53008jg0428:07d=<1;39 cg?2:987chn7;08j46a;3:0(o8;:231?kd1;3l07d=<0;39 cg?2:987chn7;18j46a;3:0(o8;:231?kd1;3o07d==f;39 cg?2:987chn7;68j46a;3:0(o8;:231?kd1;3n07d==e;39 cg?2:987chn7;78j46a;3:0(o8;:231?kd1;3i07d==d;39 cg?2:987chn7;48j46a;3:0(o8;:231?kd1;3h07d==c;39 cg?2:987chn7;58j46a;3:0(o8;:231?kd1;3k07d=07d=<7;39 cg?2:987chn7;g8j46a;3:0(o8;:231?kd1;3907d=<6;39 cg?2:987chn7;d8j46a;3:0(o8;:231?kd1;3807d=<5;39 cg?2:987chn7;33?k77n:0;7)l94;126>he>:0:76g<2c82>!`f0398?6`ia6825>h68o91<6*m658057=ij?91<65`39g94?"ai1084i5af`594>"e>=08;?5ab71954=4;n1;f?6=,ok36>6k;odb3?4<,k9=;o`57?`<3f93m7>5$gc;>6>c3glj;7=4$c47>6153gh=?7k4;n1;=?6=,ok36>6k;odb3?2<,k9=;o`57?b<3f9347>5$gc;>6>c3glj;7;4$c47>6153gh=?7m4;n1;3?6=,ok36>6k;odb3?0<,k9=;o`57?d<3f93:7>5$gc;>6>c3glj;794$c47>6153gh=?7o4;n1:3?6=,ok36>6k;odb3?><,k9=;o`57??<3f92:7>5$gc;>6>c3glj;774$c47>6153gh=?764;n1:1?6=,ok36>6k;odb3?g<,k9=;o`57?1<3f9287>5$gc;>6>c3glj;7l4$c47>6153gh=?784;n1:7?6=,ok36>6k;odb3?e<,k9=;o`57?3<3f92>7>5$gc;>6>c3glj;7j4$c47>6153gh=?7:4;n1:5?6=,ok36>6k;odb3?c<,k9=;o`57?5<3f92<7>5$gc;>6>c3glj;7h4$c47>6153gh=?7<4;n1;b?6=,ok36>6k;odb3?773-h=87=82:la26<632e8484?:%db1:&a21<4?;1en;=50:9jb6d=83.mm54i3`9mbd1=82.i:94je19mf35=:o10ek=6:18'bd>=n:k0bko8:09'f32=ml:0bo8<:3g8?l`403:1(ko7:g1b?k`f?380(o8;:dg3?kd1;38o76gi3683>!`f03l8m6`ia680?!d1<3on<6`m6281g>=nn:<1<7*ia98e7d=inh=186*m658fa5=ij?91>o54ig16>5<#nh21j>o4ngc4>0=#j?>1ih>4nc40>7g<3`l887>5$gc;>c5f3glj;784$c47>`c73gh=?7<6;:ke76<72-lj47h?50;&ee=oa:l0;6)hn8;d0e>hai>0i7)l94;gf4>he>:09865ff3f94?"ai10m?l5af`59g>"e>=0ni=5ab71966=l4?:%db4=0:9jb7?=83.mm54i3`9mbd1=991/n;:5ed28jg0428l07dh=8;29 cg?2o9j7chn7;32?!d1<3on<6`m6282a>=nn;<1<7*ia98e7d=inh=1=?5+b769a`67)l94;gf4>he>:0:m65ff3094?"ai10m?l5af`5953=#j?>1ih>4nc40>4?<3`l9=7>5$gc;>c5f3glj;7?8;%`50?cb82di:>4>8:9jb76=83.mm54i3`9mbd1=911/n;:5ed28jg0428=07dh>f;29 cg?2o9j7chn7;3:?!d1<3on<6`m62822>=nn8o1<7*ia98e7d=inh=1=l5+b769a`6he>:0:>65ff0;94?"ai10m?l5af`595`=#j?>1ih>4nc40>47<3`l:47>5$gc;>c5f3glj;7?i;%`50?cb82di:>4>0:9jb41=83.mm54i3`9mbd1=:91/n;:5ed28jg042o10ek?9:18'bd>=n:k0bko8:338 g032lo;7cl93;g8?l`6=3:1(ko7:g1b?k`f?3897)l94;gf4>he>:0o76gi1583>!`f03l8m6`ia6817>"e>=0ni=5ab719g>=nn891<7*ia98e7d=inh=1>95+b769a`65<#nh21j>o4ngc4>73<,k5$gc;>c5f3glj;7<9;%`50?cb82di:>46;:ke05<72-lj47hh50;&ee=oa;j0;6)hn8;d0e>hai>09n6*m658fa5=ij?91865ff2094?"ai10m?l5af`596f=#j?>1ih>4nc40>6=`c73gh=?7<4;hd2g?6=,ok36k=n;odb3?4b3-h=87kj0:la26<632cm=<4?:%dbi3;28 g032:k97cl93;0g?>o4no0:6)hn8;636>hai>087c??f283?!d1<39j>6`m6281g>=n;oo1=7*ia98747=inh=186`>0g194>"e>=08m?5ab7196g=5=#j?>1?l<4nc40>7g<3`9mo7?5$gc;>1653glj;784n02e7?6<,ko=;o`57?4>32c8jo4>:%db10e>h7:08'bd>=<980bko8:89m55`4291/n;:53`08jg042;<07d=i7;39 cg?2=:97chn7;c8j46a;3:0(o8;:2c1?kd1;38>76g!`f03>;>6`ia68a?k77n:0;7)l94;1b6>he>:09865f3g795?"ai10?h68o91<6*m6580e7=ij?91>>54i2d7>4<#nh218=<4ngc4>a=i99l86=5+b7697d4=;odb3?c4$c47>6g53gh=?7<>;:k0b7<62-lj47:?2:lee24?;%`50?5f:2di:>4=0:9j7c7=93.mm54;039mbd1=991e==h<:19'f32=;h80bo8<:0d8?l5a83;1(ko7:521?k`f?3;:7c??f283?!d1<39j>6`m6282a>=n;lo1=7*ia98747=inh=1=?5a11d0>5=#j?>1?l<4nc40>4b<3`9nh7?5$gc;>1653glj;7?<;o33b6<73-h=87=n2:la26<6k21b?hm51;&ee=<38;1ejl95159m55`4291/n;:53`08jg0428h07d=jb;39 cg?2=:97chn7;36?k77n:0;7)l94;1b6>he>:0:m65f3dc95?"ai10?=;odb3?703g;;j>4?;%`50?5f:2di:>4>8:9j7`>=93.mm54;039mbd1=911e==h<:19'f32=;h80bo8<:058?l5b?3;1(ko7:521?k`f?3;27c??f283?!d1<39j>6`m62822>=n;l<1=7*ia98747=inh=1=l5a11d0>5=#j?>1?l<4nc40>43<3`9n97?5$gc;>1653glj;7?m;o33b6<73-h=87=n2:la26<6<21b?h=51;&ee=<38;1ejl951b9m55`4291/n;:53`08jg0428907d=j2;39 cg?2=:97chn7;3g?k77n:0;7)l94;1b6>he>:0:>65f3d395?"ai10?=;odb3?7a3g;;j>4?;%`50?5f:2di:>4>0:9j7a`=93.mm54;039mbd1=:91e==h<:19'f32=;h80bo8<:g98m6bb280/jl654108jcg02;;0b<>i3;28 g032:k97cl93;g8?l5cl3;1(ko7:521?k`f?3897c??f283?!d1<39j>6`m628g?>o4lj0:6)hn8;636>hai>09?6`>0g194>"e>=08m?5ab719g>=n;mh1=7*ia98747=inh=1>95a11d0>5=#j?>1?l<4nc40>g=o=;o`57?g<3`>;57?5$gc;>1653glj;7<9;o33b6<73-h=87=n2:la26<>32c?<54>:%db10e9>9:08'bd>=<980bko8:3;8j46a;3:0(o8;:2c1?kd1;3<07d:?5;39 cg?2=:97chn7;0b?k77n:0;7)l94;1b6>he>:0>76g;0582>!`f03>;>6`ia681f>h68o91<6*m6580e7=ij?91865f3gc95?"ai10?54i2ge>4<#nh218=<4ngc4>7b4$c47>6g53gh=?7<4;h1f0?7=,ok369>=;odb3?4b3g;;j>4?;%`50?5f:2di:>4>;:k0`<<62-lj47:?2:lee2<5n2d:=mm<0bko8:09'f32=m:k0bo8<:3g8?jcc<3:1(ko7:df5?k`f?380(o8;:d1b?kd1;38o76ajd283>!`f03oo:6`ia680?!d1<3o8m6`m6281g>=hmm81<7*ia98f`3=inh=186*m658f7d=ij?91>o54odf2>5<#nh21ii84ngc4>0=#j?>1i>o4nc40>7g<3foo<7>5$gc;>`b13glj;784$c47>`5f3gh=?7<6;:mfgc<72-lj47kk6:lee2<03-h=87kibkh0;6)hn8;gg2>hai>0i7)l94;g0e>he>:09865`eb;94?"ai10nh;5af`59g>"e>=0n?l5ab71966=2dmm:4i;%`50?c4i2di:>4=0:9laf3=83.mm54jd79mbd1=991/n;:5e2c8jg0428l07bkl4;29 cg?2ln=7chn7;32?!d1<3o8m6`m6282a>=hmj81<7*ia98f`3=inh=1=?5+b769a6g50;&ee=7)l94;g0e>he>:0:m65`ecg94?"ai10nh;5af`5953=#j?>1i>o4nc40>4?<3foih7>5$gc;>`b13glj;7?8;%`50?c4i2di:>4>8:9lage=83.mm54jd79mbd1=911/n;:5e2c8jg0428=07bkmb;29 cg?2ln=7chn7;3:?!d1<3o8m6`m62822>=hmkk1<7*ia98f`3=inh=1=l5+b769a6g3:1(ko7:df5?k`f?3;o7)l94;g0e>he>:0:>65`ec794?"ai10nh;5af`595`=#j?>1i>o4nc40>47<3foi87>5$gc;>`b13glj;7?i;%`50?c4i2di:>4>0:9lag5=83.mm54jd79mbd1=:91/n;:5e2c8jg042o10chl=:18'bd>=mm<0bko8:338 g032l9j7cl93;g8?jce93;1(ko7:df5?k`f?3897)l94;g0e>he>:0o76ajb182>!`f03oo:6`ia6817>"e>=0n?l5ab719g>=hmhl1=7*ia98f`3=inh=1>95+b769a6g4<#nh21ii84ngc4>73<,k`b13glj;7<9;%`50?c4i2di:>46;:mf`f<62-lj47kk6:lee2<5?2.i:94j3`9mf35=021diil51;&ee=ibl10:6)hn8;gg2>hai>09n6*m658f7d=ij?91865`ebg95?"ai10nh;5af`596f=#j?>1i>o4nc40>6=`5f3gh=?7<4;nga:%db2dmm:4=f:&a212d:1en;=51098m1gf280/jl654``8jcg0281e==h<:19'f32=<1=0bo8<:028?l2f13;1(ko7:5ca?k`f?380b<>i3;28 g032=2<7cl93;d8?l2f03;1(ko7:5ca?k`f?390b<>i3;28 g032=2<7cl93;g8?l2f?3;1(ko7:5ca?k`f?3>0b<>i3;28 g032=2<7cl93;f8?l2f>3;1(ko7:5ca?k`f?3?0b<>i3;28 g032=2<7cl93;a8?l2f=3;1(ko7:5ca?k`f?3<0b<>i3;28 g032=2<7cl93;`8?l2f<3;1(ko7:5ca?k`f?3=0b<>i3;28 g032=2<7cl93;c8?l2e=3;1(ko7:5ca?k`f?320b<>i3;28 g032=2<7cl93;;8?l2e<3;1(ko7:5ca?k`f?330b<>i3;28 g032=2<7cl93;:8?l2e;3;1(ko7:5ca?k`f?3k0b<>i3;28 g032=2<7cl93;58?l2e:3;1(ko7:5ca?k`f?3h0b<>i3;28 g032=2<7cl93;48?l2e93;1(ko7:5ca?k`f?3i0b<>i3;28 g032=2<7cl93;78?l2e83;1(ko7:5ca?k`f?3n0b<>i3;28 g032=2<7cl93;68?l2fn3;1(ko7:5ca?k`f?3o0b<>i3;28 g032=2<7cl93;18?l2fm3;1(ko7:5ca?k`f?3l0b<>i3;28 g032=2<7cl93;08?l2fl3;1(ko7:5ca?k`f?3;;7c??f283?!d1<3>3;6`m6282?>o3i:0:6)hn8;6bf>hai>0:=6`>0g194>"e>=0?4:5ab7194>=hn0n1<7*ia98e=f=inh=1<6*m658e07=ij?91>k54og;a>5<#nh21j4m4ngc4>4=#j?>1j9<4nc40>7c<3fl2m7>5$gc;>c?d3glj;7<4$c47>c253gh=?797cl93;0:?>ia1<0;6)hn8;d:g>hai>0<7)l94;d76>he>:09465`f8194?"ai10m5n5af`59<>"e>=0m8?5ab71962=k2dmm:4m;%`50?`3:2di:>4=4:9lb=`=83.mm54i9b9mbd1=k2.i:94i439mf35=::10ck6j:18'bd>=n0i0bko8:e9'f32=n=80bo8<:308?j`?l3:1(ko7:g;`?k`f?3o0(o8;:g61?kd1;38:76ai8b83>!`f03l2o6`ia68e?!d1<3l?>6`m62814>=hn1h1<7*ia98e=f=inh=1==5+b769b14he>:0:o65`f9494?"ai10m5n5af`5951=#j?>1j9<4nc40>4d<3fl397>5$gc;>c?d3glj;7?:;%`50?`3:2di:>4>a:9lb=2=83.mm54i9b9mbd1=9?1/n;:5f508jg0428307bh73;29 cg?2o3h7chn7;34?!d1<3l?>6`m6282<>=hn181<7*ia98e=f=inh=1=55+b769b143-h=87h;2:la26<6>21dj5>50;&ee=he>:0:865`f6f94?"ai10m5n5af`595f=#j?>1j9<4nc40>45<3fl5$gc;>c?d3glj;7?k;%`50?`3:2di:>4>2:9lb2d=83.mm54i9b9mbd1=9l1/n;:5f508jg0428;07bh8a;29 cg?2o3h7chn7;3e?!d1<3l?>6`m62824>=hn>31<7*ia98e=f=inh=1>=5+b769b145<#nh21j4m4ngc4>77<,k5$gc;>c?d3glj;7<=;%`50?`3:2di:>4k;:me33<72-lj47h6c:lee2<5;2.i:94i439mf35=k21dj:;50;&ee=6`m628:?>iai;0;6)hn8;d:g>hai>09;6*m658e07=ij?91465`f`394?"ai10m5n5af`596==#j?>1j9<4nc40>2=c253gh=?784;nd:b?6=,ok36k7l;odb3?4f3-h=87h;2:la26<232em5h4?:%dbk2dmm:4=b:&a21=n0i0bko8:3f8 g032o>97cl93;08?j`0m3:1(ko7:g;`?k`f?38n7)l94;d76>he>:0:76ai7283>!`f03l2o6`ia681b>"e>=0m8?5ab7194>=n:<91=7*ia98117=inh=1<6`>0g194>"e>=09=i5ab71954=5=#j?>1>46<3`8><7?5$gc;>7353glj;7<4n02e7?6<,k7353glj;7=4n02e7?6<,k7353glj;7:4n02e7?6<,k7353glj;7;4n02e7?6<,k7353glj;784n02e7?6<,k7353glj;794n02e7?6<,ko7?5$gc;>7353glj;764n02e7?6<,kn7?5$gc;>7353glj;774n02e7?6<,k<3`8>m7?5$gc;>7353glj;7o4n02e7?6<,k57?5$gc;>7353glj;7l4n02e7?6<,k47?5$gc;>7353glj;7m4n02e7?6<,k;7?5$gc;>7353glj;7j4n02e7?6<,k:7?5$gc;>7353glj;7k4n02e7?6<,k97?5$gc;>7353glj;7h4n02e7?6<,k87?5$gc;>7353glj;7??;o33b6<73-h=87<>d:la26<632c98l4>:%db1:l24c5=82.i:94=1e9mf35=821d59?50;&ee=<><91ejl950:&a21;7chn7;08 g0321lm7cl93;02?>i>;m0;6)hn8;;74>hai>087)l94;:eb>he>:09<65`92a94?"ai1028=5af`590>"e>=03jk5ab7195c=an2di:>4>c:9l=61=83.mm546419mbd1=02.i:947fg9mf35=9k10c4=9:18'bd>=1=:0bko8:89'f32=0ol0bo8<:0c8?j?4=3:1(ko7:863?k`f?3k0(o8;:9de?kd1;3;276a63583>!`f033?<6`ia68a?!d1<32mj6`m6282<>=h1:91<7*ia98:05=inh=1o6*m658;bc=ij?91=:54o811>5<#nh2159>4ngc4>a=#j?>14kh4nc40>40<3f38=7>5$gc;><273glj;7k4$c47>=`a3gh=?7?:;:m:75<72-lj477;0:lee2<91ejl95119'f32=0ol0bo8<:018?j?5l3:1(ko7:863?k`f?3;:7)l94;:eb>he>:0:>65`93a94?"ai1028=5af`5957=#j?>14kh4nc40>47<3f39n7>5$gc;><273glj;7?<;%`50?>an2di:>4>0:9l=7g=83.mm546419mbd1=9=1/n;:58gd8jg042o10c4<6:18'bd>=1=:0bko8:078 g0321lm7cl93;g8?j?503:1(ko7:863?k`f?3;=7)l94;:eb>he>:0o76a62683>!`f033?<6`ia6823>"e>=03jk5ab719g>=h1;<1<7*ia98:05=inh=1=55+b7695<#nh2159>4ngc4>4?<,k5$gc;><273glj;7?n;%`50?>an2di:>46;:m:02<72-lj477;0:lee2<6j2.i:947fg9mf35=021d59850;&ee=<><91ejl951b9'f32=0ol0bo8<:698k<22290/jl659528jcg028n0(o8;:9de?kd1;3<07b7;4;29 cg?20>;7chn7;3f?!d1<32mj6`m6286?>i><:0;6)hn8;;74>hai>0:j6*m658;bc=ij?91865`95094?"ai1028=5af`5965=#j?>14kh4nc40>6==`a3gh=?7<4;n;1b?6=,ok364:?;odb3?453-h=876if:la26<632e2>94?:%db=83.mm54<669mbd1=82.i:94<4b9mf35=981C==9?;:k023<72-lj47=97:lee2<63-h=87=;c:la26<682B:<:>4;h151?6=,ok36>88;odb3?4<,k:l;o`57?`<@8:<<65f37694?"ai108::5af`597>"e>=088n5ab719a>N68>:07d=93;29 cg?2:<<7chn7;68 g032:>h7cl93;f8L460821b?;<50;&ee=<4>>1ejl955:&a21<45$gc;>6003glj;784$c47>62d3gh=?7l4H0244>=n;?:1<7*ia98022=inh=1;6*m65800f=ij?91m6F>0628?l5093:1(ko7:244?k`f?320(o8;:26`?kd1;330D<>80:9j726=83.mm54<669mbd1=12.i:94<4b9mf35=02B:<:>4;h15b?6=,ok36>88;odb3?g<,k:l;o`57?1<@8:<<65f37g94?"ai108::5af`59f>"e>=088n5ab7192>N68>:07d=9d;29 cg?2:<<7chn7;a8 g032:>h7cl93;78L460821b?;m50;&ee=<4>>1ejl95d:&a21<45$gc;>6003glj;7k4$c47>62d3gh=?7=4H0244>=n;?k1<7*ia98022=inh=1j6*m65800f=ij?91>6F>0628?l5113:1(ko7:244?k`f?3;;7)l94;17g>he>:0:7E??7198m63a290/jl653758jcg028;0(o8;:26`?kd1;3:0D<>80:9~f07283;1=8o50;2x 46aj3==o6F>1g:8L46an2P8m=4m{0df>c2=9>=1=:;5f38e5?70<3;<:7h<:g29502=u->;i7k=a:l165<73g89m7?4n237>5=i;8o1<6`;7d83?k?293;0b<>=c;38j`51291e8=j50:l754<73-;;9h4>0`d8j20f291/?l?55ef8L46e>2.:>i3;28?l25:3:17d:>d;29?j2683:17d??5b83>k77n:0;76g>04`94?h68o91<65f43:94?Nai01e==h<:198m462>3:1b<>i3;28?l2593:17d:>c;29?l27k3:17d:=3;29?l26j3:17b:?f;29?l77=<0;6c??f283?>o680g194>=n;:>1<7*ia98076=inh=1<6`>0g194>"e>=08=?5ab71954=5=#j?>1?<<4nc40>46<3`98=7>5$gc;>6543glj;7<4n02e7?6<,k?=;o`57?`<3`98<7>5$gc;>6543glj;7=4n02e7?6<,k?=;o`57?c<3`99j7>5$gc;>6543glj;7:4n02e7?6<,k?=;o`57?b<3`99i7>5$gc;>6543glj;7;4n02e7?6<,k?=;o`57?e<3`99h7>5$gc;>6543glj;784n02e7?6<,k?=;o`57?d<3`99o7>5$gc;>6543glj;794n02e7?6<,k?=;o`57?g<3`98h7>5$gc;>6543glj;764n02e7?6<,k?=;o`57??<3`98o7>5$gc;>6543glj;774n02e7?6<,k?=;o`57?><3`98n7>5$gc;>6543glj;7o4n02e7?6<,k?=;o`57?1<3`98m7>5$gc;>6543glj;7l4n02e7?6<,k?=;o`57?0<3`9857>5$gc;>6543glj;7m4n02e7?6<,k?=;o`57?3<3`9847>5$gc;>6543glj;7j4n02e7?6<,k?=;o`57?2<3`98;7>5$gc;>6543glj;7k4n02e7?6<,k?=;o`57?5<3`98:7>5$gc;>6543glj;7h4n02e7?6<,k?=;o`57?4<3`9897>5$gc;>6543glj;7??;o33b6<73-h=87=>2:la26<632c8>o4?:%db1:l24c5=82.i:94<139mf35=821d?5k50;&ee=<40m1ejl950:&a21<4?;1en;=51098k6>d290/jl6539f8jcg0281/n;:53608jg0428:07b=7b;29 cg?2:2o7chn7;08 g032:=97cl93;d8?j5?i3:1(ko7:2:g?k`f?390(o8;:251?kd1;3o07b=79;29 cg?2:2o7chn7;68 g032:=97cl93;f8?j5?03:1(ko7:2:g?k`f?3?0(o8;:251?kd1;3i07b=77;29 cg?2:2o7chn7;48 g032:=97cl93;`8?j5?>3:1(ko7:2:g?k`f?3=0(o8;:251?kd1;3k07b=67;29 cg?2:2o7chn7;:8 g032:=97cl93;;8?j5>>3:1(ko7:2:g?k`f?330(o8;:251?kd1;3207b=65;29 cg?2:2o7chn7;c8 g032:=97cl93;58?j5><3:1(ko7:2:g?k`f?3h0(o8;:251?kd1;3<07b=63;29 cg?2:2o7chn7;a8 g032:=97cl93;78?j5>:3:1(ko7:2:g?k`f?3n0(o8;:251?kd1;3>07b=61;29 cg?2:2o7chn7;g8 g032:=97cl93;18?j5>83:1(ko7:2:g?k`f?3l0(o8;:251?kd1;3807b=7f;29 cg?2:2o7chn7;33?!d1<39<>6`m6282?>i40<0;6)hn8;1;`>hai>0:=6*m658037=ij?91<65ff2`94?"ai10m?l5af`594>"e>=0ni=5ab7196c=4=c:9jb60=83.mm54i3`9mbd1=<2.i:94je19mf35=:k10ek=::18'bd>=n:k0bko8:49'f32=ml:0bo8<:3c8?l`4<3:1(ko7:g1b?k`f?3<0(o8;:dg3?kd1;38276gi3283>!`f03l8m6`ia684?!d1<3on<6`m6281<>=nn:;1<7*ia98e7d=inh=146*m658fa5=ij?91>:54ig13>5<#nh21j>o4ngc4><=#j?>1ih>4nc40>70<3`l9j7>5$gc;>c5f3glj;7o4$c47>`c73gh=?7<:;:ke6`<72-lj47hoa:h0;6)hn8;d0e>hai>0m7)l94;gf4>he>:09<65ff3;94?"ai10m?l5af`5955=#j?>1ih>4nc40>4`<3`l947>5$gc;>c5f3glj;7?>;%`50?cb82di:>4>e:9jb70=83.mm54i3`9mbd1=9;1/n;:5ed28jg0428n07dh=5;29 cg?2o9j7chn7;30?!d1<3on<6`m6282g>=nn;>1<7*ia98e7d=inh=1=95+b769a`6he>:0:465ff3294?"ai10m?l5af`595==#j?>1ih>4nc40>41<3`l:j7>5$gc;>c5f3glj;7?6;%`50?cb82di:>4>6:9jb4c=83.mm54i3`9mbd1=9h1/n;:5ed28jg0428?07dh>d;29 cg?2o9j7chn7;3a?!d1<3on<6`m62820>=nn8h1<7*ia98e7d=inh=1=n5+b769a`6he>:0:<65ff0594?"ai10m?l5af`5965=#j?>1ih>4nc40>c=`c73gh=?7k4;hd21?6=,ok36k=n;odb3?453-h=87kj0:la26=n:k0bko8:378 g032lo;7cl93;c8?l`393:1(ko7:g1b?k`f?38=7)l94;gf4>he>:0276gi4183>!`f03l8m6`ia6813>"e>=0ni=5ab719<>=nn:l1<7*ia98e7d=inh=1>55+b769a`65<#nh21j>o4ngc4>7?<,k5$gc;>c5f3glj;74:;:ke7f<72-lj47h<50;&ee=c;29 cg?2o9j7chn7;0f?!d1<3on<6`m6282?>oa980;6)hn8;d0e>hai>09j6*m658fa5=ij?91<65f41194?"ai10?h68o91<6*m6580e7=ij?91>k54i522>5<#nh218=<4ngc4>4=i99l86=5+b7697d4=;odb3?44$c47>6g53gh=?74?;%`50?5f:2di:>4=c:9j7cc=83.mm54;039mbd1=<2d:i3;28 g032:k97cl93;0:?>o4nk0;6)hn8;636>hai>0<7c??f283?!d1<39j>6`m6281<>=n;o31<7*ia98747=inh=146`>0g194>"e>=08m?5ab71962=5=#j?>1?l<4nc40>70<3`9m;7>5$gc;>1653glj;7o4n02e7?6<,ko=;o`57?4232c8j;4?:%dbh;:18'bd>=<980bko8:e9m55`4291/n;:53`08jg042;807d=i3;29 cg?2=:97chn7;g8j46a;3:0(o8;:2c1?kd1;38:76g!`f03>;>6`ia68e?k77n:0;7)l94;1b6>he>:09<65f3g394?"ai10?=;odb3?763g;;j>4?;%`50?5f:2di:>4>e:9j7`c=83.mm54;039mbd1=9;1e==h<:19'f32=;h80bo8<:0f8?l5bl3:1(ko7:521?k`f?3;87c??f283?!d1<39j>6`m6282g>=n;li1<7*ia98747=inh=1=95a11d0>5=#j?>1?l<4nc40>4d<3`9nn7>5$gc;>1653glj;7?:;o33b6<73-h=87=n2:la26<6i21b?ho50;&ee=<38;1ejl95179m55`4291/n;:53`08jg0428307d=j9;29 cg?2=:97chn7;34?k77n:0;7)l94;1b6>he>:0:465f3d:94?"ai10?=;odb3?7>3g;;j>4?;%`50?5f:2di:>4>6:9j7`0=83.mm54;039mbd1=9h1e==h<:19'f32=;h80bo8<:078?l5b=3:1(ko7:521?k`f?3;i7c??f283?!d1<39j>6`m62820>=n;l91<7*ia98747=inh=1=n5a11d0>5=#j?>1?l<4nc40>45<3`9n>7>5$gc;>1653glj;7?k;o33b6<73-h=87=n2:la26<6:21b?h?50;&ee=<38;1ejl951d9m55`4291/n;:53`08jg0428;07d=j0;29 cg?2=:97chn7;3e?k77n:0;7)l94;1b6>he>:0:<65f3ed94?"ai10?5<#nh218=<4ngc4>774$c47>6g53gh=?7k4;h1g`?6=,ok369>=;odb3?453g;;j>4?;%`50?5f:2di:>4k;:k0`f<72-lj47:?2:lee2<5;2d:i3;28 g032:k97cl93;c8?l2713:1(ko7:521?k`f?38=7c??f283?!d1<39j>6`m628:?>o3810;6)hn8;636>hai>09;6`>0g194>"e>=08m?5ab719<>=n<9=1<7*ia98747=inh=1>55a11d0>5=#j?>1?l<4nc40>2=o=;o`57?0<3`>;97>5$gc;>1653glj;7ki:18'bd>=<980bko8:3f8j46a;3:0(o8;:2c1?kd1;3807d=j4;29 cg?2=:97chn7;0f?k77n:0;7)l94;1b6>he>:0:76g!`f03>;>6`ia681b>h68o91<6*m6580e7=ij?91<65`ee594?"ai10nh;5af`594>"e>=0n?l5ab7196c=6=4+f`:9aa04?:%db2dmm:4<;%`50?c4i2di:>4=c:9laa4=83.mm54jd79mbd1=<2.i:94j3`9mf35=:k10chj>:18'bd>=mm<0bko8:49'f32=m:k0bo8<:3c8?jcc83:1(ko7:df5?k`f?3<0(o8;:d1b?kd1;38276ajcg83>!`f03oo:6`ia684?!d1<3o8m6`m6281<>=hmjn1<7*ia98f`3=inh=146*m658f7d=ij?91>:54oda`>5<#nh21ii84ngc4><=#j?>1i>o4nc40>70<3fohn7>5$gc;>`b13glj;7o4$c47>`5f3gh=?7<:;:mfgd<72-lj47kk6:lee2ibk?0;6)hn8;gg2>hai>0m7)l94;g0e>he>:09<65`eb794?"ai10nh;5af`5955=#j?>1i>o4nc40>4`<3foh87>5$gc;>`b13glj;7?>;%`50?c4i2di:>4>e:9laf4=83.mm54jd79mbd1=9;1/n;:5e2c8jg0428n07bkl1;29 cg?2ln=7chn7;30?!d1<3o8m6`m6282g>=hmj:1<7*ia98f`3=inh=1=95+b769a6ghe>:0:465`eca94?"ai10nh;5af`595==#j?>1i>o4nc40>41<3foin7>5$gc;>`b13glj;7?6;%`50?c4i2di:>4>6:9lagg=83.mm54jd79mbd1=9h1/n;:5e2c8jg0428?07bkm9;29 cg?2ln=7chn7;3a?!d1<3o8m6`m62820>=hmk=1<7*ia98f`3=inh=1=n5+b769a6ghe>:0:<65`ec194?"ai10nh;5af`5965=#j?>1i>o4nc40>c=`5f3gh=?7k4;nga5?6=,ok36hj9;odb3?453-h=87k2dmm:4=3:&a21=mm<0bko8:378 g032l9j7cl93;c8?jccl3:1(ko7:df5?k`f?38=7)l94;g0e>he>:0276ajdb83>!`f03oo:6`ia6813>"e>=0n?l5ab719<>=hmmh1<7*ia98f`3=inh=1>55+b769a6g5<#nh21ii84ngc4>7?<,k5$gc;>`b13glj;74:;:mf`=<72-lj47kk6:lee2<5j2.i:94j3`9mf35=<21dink50;&ee=ibim0;6)hn8;gg2>hai>09j6*m658f7d=ij?91<65fe2794?"ai10n?95af`594>h68o91<6*m658f61=ij?91;65fe2194?"ai10n?95af`595>h68o91<6*m658f61=ij?91:65fe2094?"ai10n?95af`596>h68o91<6*m658f61=ij?91965fe2394?"ai10n?95af`597>h68o91<6*m658f61=ij?91865fe2294?"ai10n?95af`590>h68o91<6*m658f61=ij?91?65fe3d94?"ai10n?95af`591>h68o91<6*m658f61=ij?91>65fe3g94?"ai10n?95af`592>h68o91<6*m658f61=ij?91=65fe3f94?"ai10n?95af`593>h68o91<6*m658f61=ij?91<65f4`a94?"ai10?mo5af`594>h68o91<6*m6587<2=ij?91=<54i5cb>5<#nh218ll4ngc4>4=i99l86=5+b7690=14;h6b=?6=,ok369om;odb3?44$c47>1>03gh=?7h4;h6b4$c47>1>03gh=?7k4;h6b3?6=,ok369om;odb3?24$c47>1>03gh=?7j4;h6b2?6=,ok369om;odb3?34$c47>1>03gh=?7m4;h6b1?6=,ok369om;odb3?04$c47>1>03gh=?7l4;h6b0?6=,ok369om;odb3?14$c47>1>03gh=?7o4;h6a1?6=,ok369om;odb3?>4$c47>1>03gh=?774;h6a0?6=,ok369om;odb3??4$c47>1>03gh=?764;h6a7?6=,ok369om;odb3?g4$c47>1>03gh=?794;h6a6?6=,ok369om;odb3?d4$c47>1>03gh=?784;h6a5?6=,ok369om;odb3?e4$c47>1>03gh=?7;4;h6a4?6=,ok369om;odb3?b4$c47>1>03gh=?7:4;h6bb?6=,ok369om;odb3?c4$c47>1>03gh=?7=4;h6ba?6=,ok369om;odb3?`4$c47>1>03gh=?7<4;h6b`?6=,ok369om;odb3?773g;;j>4?;%`50?2??2di:>4>;:k7e6<72-lj47:nb:lee2<692d:1en;=50:9lb=n0i0bko8:09'f32=n=80bo8<:3g8?j`>i3:1(ko7:g;`?k`f?380(o8;:g61?kd1;38o76ai9883>!`f03l2o6`ia680?!d1<3l?>6`m6281g>=hn021<7*ia98e=f=inh=186*m658e07=ij?91>o54og;4>5<#nh21j4m4ngc4>0=#j?>1j9<4nc40>7g<3fl2:7>5$gc;>c?d3glj;784$c47>c253gh=?7<6;:me=0<72-lj47h6c:lee2<03-h=87h;2:la26<5021dj4=50;&ee=97cl93;06?>ia190;6)hn8;d:g>hai>0i7)l94;d76>he>:09865`f9d94?"ai10m5n5af`59g>"e>=0m8?5ab71966=k2dmm:4i;%`50?`3:2di:>4=0:9lb=d=83.mm54i9b9mbd1=991/n;:5f508jg0428l07bh7a;29 cg?2o3h7chn7;32?!d1<3l?>6`m6282a>=hn121<7*ia98e=f=inh=1=?5+b769b147)l94;d76>he>:0:m65`f9694?"ai10m5n5af`5953=#j?>1j9<4nc40>4?<3fl3?7>5$gc;>c?d3glj;7?8;%`50?`3:2di:>4>8:9lb=4=83.mm54i9b9mbd1=911/n;:5f508jg0428=07bh71;29 cg?2o3h7chn7;3:?!d1<3l?>6`m62822>=hn1:1<7*ia98e=f=inh=1=l5+b769b14he>:0:>65`f6`94?"ai10m5n5af`595`=#j?>1j9<4nc40>47<3fl5$gc;>c?d3glj;7?i;%`50?`3:2di:>4>0:9lb2?=83.mm54i9b9mbd1=:91/n;:5f508jg042o10ck97:18'bd>=n0i0bko8:338 g032o>97cl93;g8?j`0?3:1(ko7:g;`?k`f?3897)l94;d76>he>:0o76ai7783>!`f03l2o6`ia6817>"e>=0m8?5ab719g>=hn>?1<7*ia98e=f=inh=1>95+b769b145<#nh21j4m4ngc4>73<,k5$gc;>c?d3glj;7<9;%`50?`3:2di:>46;:mee7<72-lj47h6c:lee2<5?2.i:94i439mf35=021djl?50;&ee=6`m6286?>ia1l0;6)hn8;d:g>hai>09n6*m658e07=ij?91865`f8694?"ai10m5n5af`596f=#j?>1j9<4nc40>6=c253gh=?7<4;nd4a?6=,ok36k7l;odb3?4b3-h=87h;2:la26<632em;>4?:%dbk2dmm:4=f:&a21i3;28 g032;;o7cl93;d8?l43n3:1(ko7:371?k`f?390b<>i3;28 g032;;o7cl93;g8?l43m3:1(ko7:371?k`f?3>0b<>i3;28 g032;;o7cl93;f8?l43l3:1(ko7:371?k`f?3?0b<>i3;28 g032;;o7cl93;a8?l43k3:1(ko7:371?k`f?3<0b<>i3;28 g032;;o7cl93;`8?l43j3:1(ko7:371?k`f?3=0b<>i3;28 g032;;o7cl93;c8?l42k3:1(ko7:371?k`f?320b<>i3;28 g032;;o7cl93;;8?l42j3:1(ko7:371?k`f?330b<>i3;28 g032;;o7cl93;:8?l42i3:1(ko7:371?k`f?3k0b<>i3;28 g032;;o7cl93;58?l4213:1(ko7:371?k`f?3h0b<>i3;28 g032;;o7cl93;48?l4203:1(ko7:371?k`f?3i0b<>i3;28 g032;;o7cl93;78?l42?3:1(ko7:371?k`f?3n0b<>i3;28 g032;;o7cl93;68?l42>3:1(ko7:371?k`f?3o0b<>i3;28 g032;;o7cl93;18?l42=3:1(ko7:371?k`f?3l0b<>i3;28 g032;;o7cl93;08?l42<3:1(ko7:371?k`f?3;;7c??f283?!d1<38:h6`m6282?>o5hai>0:=6`>0g194>"e>=09=i5ab7194>=h1=;1<7*ia98:05=inh=1<6*m658;bc=ij?91>>54o81e>5<#nh2159>4ngc4>4=#j?>14kh4nc40>74<3f38i7>5$gc;><273glj;7<4$c47>=`a3gh=?7<>;:m:7a<72-lj477;0:lee2<43-h=876if:la26<5821d5>m50;&ee=<><91ejl954:&a21;7chn7;48 g0321lm7cl93;3g?>i>;10;6)hn8;;74>hai>0<7)l94;:eb>he>:0:o65`92594?"ai1028=5af`59<>"e>=03jk5ab7195g=32e2?94?:%dban2di:>4>8:9l=65=83.mm546419mbd1=k2.i:947fg9mf35=9>10c4==:18'bd>=1=:0bko8:e9'f32=0ol0bo8<:048?j?493:1(ko7:863?k`f?3o0(o8;:9de?kd1;3;>76a63183>!`f033?<6`ia68e?!d1<32mj6`m62820>=h1;o1<7*ia98:05=inh=1==5+b769<91ejl95139'f32=0ol0bo8<:038?j?5j3:1(ko7:863?k`f?3;87)l94;:eb>he>:0:<65`93c94?"ai1028=5af`5951=#j?>14kh4nc40>c==`a3gh=?7k4;n;1:4?:%db7:&a21=1=:0bko8:0;8 g0321lm7cl93;c8?j?303:1(ko7:863?k`f?3;j7)l94;:eb>he>:0276a64683>!`f033?<6`ia682f>"e>=03jk5ab719<>=h1=<1<7*ia98:05=inh=1=n5+b7695<#nh2159>4ngc4>4b<,k5$gc;><273glj;7?j;%`50?>an2di:>4:;:m:06<72-lj477;0:lee2<6n2.i:947fg9mf35=<21d59<50;&ee=<><91ejl95219'f32=0ol0bo8<:298k<5f290/jl659528jcg02;;0(o8;:9de?kd1;3807b7=f;29 cg?20>;7chn7;01?!d1<32mj6`m6282?>i>:=0;6)hn8;;74>hai>09?6*m658;bc=ij?91<65f37:94?"ai108::5af`594>"e>=088n5ab71954=O99=;76g<6783>!`f039=;6`ia682?!d1<39?o6`m62824>N68>:07d=95;29 cg?2:<<7chn7;08 g032:>h7cl93;d8L460821b?;:50;&ee=<4>>1ejl953:&a21<45$gc;>6003glj;7:4$c47>62d3gh=?7j4H0244>=n;?81<7*ia98022=inh=196*m65800f=ij?91o6F>0628?l5193:1(ko7:244?k`f?3<0(o8;:26`?kd1;3h0D<>80:9j736=83.mm54<669mbd1=?2.i:94<4b9mf35=i2B:<:>4;h145?6=,ok36>88;odb3?><,k:l;o`57??<@8:<<65f36294?"ai108::5af`59=>"e>=088n5ab719<>N68>:07d=9f;29 cg?2:<<7chn7;c8 g032:>h7cl93;58L460821b?;k50;&ee=<4>>1ejl95b:&a21<45$gc;>6003glj;7m4$c47>62d3gh=?7;4H0244>=n;?i1<7*ia98022=inh=1h6*m65800f=ij?9186F>0628?l51j3:1(ko7:244?k`f?3o0(o8;:26`?kd1;390D<>80:9j73g=83.mm54<669mbd1=n2.i:94<4b9mf35=:2B:<:>4;h15=?6=,ok36>88;odb3?773-h=87=;c:la26<63A;;;=54i27e>5<#nh21?;94ngc4>47<,k:l;o`57?6<@8:<<65rb433`?7=9t$02ef?11k2B:=k64H02eb>\4i90iw?1j?4i1;340?70>3l86k>51469y!27m3o9m6`=2183?k45i3;0b>?;:19m74c=82d?;h4?;o;65?74nd15>5=i<9n1<6`;1083?!77=l0:5=#;h;19ij4H02a2>"6:8;1;;m4$223>461j2B3;i5+8g2900d?3g;9=>4:929'55>b2<;;n6g;1d82>>o68<21=7`>0g194>=n99?26<4a11d0>5=4;h616?7=3`>:h7?5;n624?6=3`;;9n4>:o33b6<732c:<8l51;l24c5=821b8?651;Jee<=i99l86=54i0262?7=f8:m?7>4;h615?7=3`>:o7?5;h63g?6=3`>9?7?5;h62f?7=3f>;j7>5;h3310<62g;;j>4?;:k240b=93d:i3;28 g032:;97cl93;d8?l5483;1(ko7:210?k`f?390b<>i3;28 g032:;97cl93;g8?l55n3;1(ko7:210?k`f?3>0b<>i3;28 g032:;97cl93;f8?l55m3;1(ko7:210?k`f?3?0b<>i3;28 g032:;97cl93;a8?l55l3;1(ko7:210?k`f?3<0b<>i3;28 g032:;97cl93;`8?l55k3;1(ko7:210?k`f?3=0b<>i3;28 g032:;97cl93;c8?l54l3;1(ko7:210?k`f?320b<>i3;28 g032:;97cl93;;8?l54k3;1(ko7:210?k`f?330b<>i3;28 g032:;97cl93;:8?l54j3;1(ko7:210?k`f?3k0b<>i3;28 g032:;97cl93;58?l54i3;1(ko7:210?k`f?3h0b<>i3;28 g032:;97cl93;48?l5413;1(ko7:210?k`f?3i0b<>i3;28 g032:;97cl93;78?l5403;1(ko7:210?k`f?3n0b<>i3;28 g032:;97cl93;68?l54?3;1(ko7:210?k`f?3o0b<>i3;28 g032:;97cl93;18?l54>3;1(ko7:210?k`f?3l0b<>i3;28 g032:;97cl93;08?l54=3;1(ko7:210?k`f?3;;7c??f283?!d1<39:>6`m6282?>o4:k0:6)hn8;107>hai>0:=6`>0g194>"e>=08=?5ab7194>=h;1o1<7*ia9805<#nh21?5j4ngc4>4=#j?>1?:<4nc40>46<3f93n7>5$gc;>6>c3glj;7<4$c47>6153gh=?7h4;n1;e?6=,ok36>6k;odb3?5<,k9=;o`57?c<3f9357>5$gc;>6>c3glj;7:4$c47>6153gh=?7j4;n1;6k;odb3?3<,k9=;o`57?e<3f93;7>5$gc;>6>c3glj;784$c47>6153gh=?7l4;n1;2?6=,ok36>6k;odb3?1<,k9=;o`57?g<3f92;7>5$gc;>6>c3glj;764$c47>6153gh=?774;n1:2?6=,ok36>6k;odb3??<,k9=;o`57?><3f9297>5$gc;>6>c3glj;7o4$c47>6153gh=?794;n1:0?6=,ok36>6k;odb3?d<,k9=;o`57?0<3f92?7>5$gc;>6>c3glj;7m4$c47>6153gh=?7;4;n1:6?6=,ok36>6k;odb3?b<,k9=;o`57?2<3f92=7>5$gc;>6>c3glj;7k4$c47>6153gh=?7=4;n1:4?6=,ok36>6k;odb3?`<,k9=;o`57?4<3f93j7>5$gc;>6>c3glj;7??;%`50?50:2di:>4>;:m0<0<72-lj47=7d:lee2<692.i:94<739mf35=821bj>l50;&ee=290/jl65f2c8jcg0281/n;:5ed28jg042;o07dh<8;29 cg?2o9j7chn7;08 g032lo;7cl93;0g?>oa;>0;6)hn8;d0e>hai>087)l94;gf4>he>:09o65ff2494?"ai10m?l5af`590>"e>=0ni=5ab7196g=6=4+f`:9b6g32cm?>4?:%db4=8:9jb67=83.mm54i3`9mbd1=02.i:94je19mf35=:>10ek=?:18'bd>=n:k0bko8:89'f32=ml:0bo8<:348?l`5n3:1(ko7:g1b?k`f?3k0(o8;:dg3?kd1;38>76gi2d83>!`f03l8m6`ia68a?!d1<3on<6`m62810>=nn;n1<7*ia98e7d=inh=1o6*m658fa5=ij?91>>54ig0`>5<#nh21j>o4ngc4>a=#j?>1ih>4nc40>74<3`l9n7>5$gc;>c5f3glj;7k4$c47>`c73gh=?7<>;:ke6d<72-lj47hhe>:0:i65ff3494?"ai10m?l5af`5957=#j?>1ih>4nc40>4b<3`l997>5$gc;>c5f3glj;7?<;%`50?cb82di:>4>c:9jb72=83.mm54i3`9mbd1=9=1/n;:5ed28jg0428h07dh=3;29 cg?2o9j7chn7;36?!d1<3on<6`m6282e>=nn;81<7*ia98e7d=inh=1=;5+b769a`650;&ee=he>:0::65ff0g94?"ai10m?l5af`595d=#j?>1ih>4nc40>43<3`l:h7>5$gc;>c5f3glj;7?m;%`50?cb82di:>4>4:9jb4d=83.mm54i3`9mbd1=9j1/n;:5ed28jg0428907dh>a;29 cg?2o9j7chn7;3g?!d1<3on<6`m62826>=nn831<7*ia98e7d=inh=1=h5+b769a`65;29 cg?2o9j7chn7;01?!d1<3on<6`m628g?>oa9=0;6)hn8;d0e>hai>09?6*m658fa5=ij?91o65ff0194?"ai10m?l5af`5961=#j?>1ih>4nc40>g=`c73gh=?7o4;hd75?6=,ok36k=n;odb3?413-h=87kj0:la26<>32cm8=4?:%db10ek=j:18'bd>=n:k0bko8:3;8 g032lo;7cl93;48?l`4l3:1(ko7:g1b?k`f?38j7)l94;gf4>he>:0>76gi3b83>!`f03l8m6`ia681f>"e>=0ni=5ab7190>=nn:81<7*ia98e7d=inh=1>n5+b769a`654ig04>5<#nh21j>o4ngc4>7b<,k5$gc;>c5f3glj;74>;:ke54<72-lj47h>:08'bd>=<980bko8:09m55`4291/n;:53`08jg042;o07d:?0;39 cg?2=:97chn7;08j46a;3:0(o8;:2c1?kd1;38o76g!`f03>;>6`ia680?k77n:0;7)l94;1b6>he>:09o65f3gg95?"ai10?h68o91<6*m6580e7=ij?91>o54i2dg>4<#nh218=<4ngc4>0=i99l86=5+b7697d4=;odb3?04$c47>6g53gh=?7<6;:k0bg<62-lj47:?2:lee2<03g;;j>4?;%`50?5f:2di:>4=8:9j7c?=93.mm54;039mbd1=02d:i3;28 g032:k97cl93;06?>o4n?0:6)hn8;636>hai>0i7c??f283?!d1<39j>6`m62810>=n;o?1=7*ia98747=inh=1o6`>0g194>"e>=08m?5ab71966=5=#j?>1?l<4nc40>74<3`9m?7?5$gc;>1653glj;7k4n02e7?6<,ko=;o`57?4632c8j?4>:%dbhe>:0:i65f3dg95?"ai10?=;odb3?743g;;j>4?;%`50?5f:2di:>4>c:9j7`e=93.mm54;039mbd1=9=1e==h<:19'f32=;h80bo8<:0`8?l5bj3;1(ko7:521?k`f?3;>7c??f283?!d1<39j>6`m6282e>=n;lk1=7*ia98747=inh=1=;5a11d0>5=#j?>1?l<4nc40>4?<3`9n57?5$gc;>1653glj;7?8;o33b6<73-h=87=n2:la26<6021b?h651;&ee=<38;1ejl95199m55`4291/n;:53`08jg0428=07d=j7;39 cg?2=:97chn7;3:?k77n:0;7)l94;1b6>he>:0::65f3d495?"ai10?=;odb3?7e3g;;j>4?;%`50?5f:2di:>4>4:9j7`5=93.mm54;039mbd1=9j1e==h<:19'f32=;h80bo8<:018?l5b:3;1(ko7:521?k`f?3;o7c??f283?!d1<39j>6`m62826>=n;l;1=7*ia98747=inh=1=h5a11d0>5=#j?>1?l<4nc40>47<3`9n<7?5$gc;>1653glj;7?i;o33b6<73-h=87=n2:la26<6821b?ih51;&ee=<38;1ejl95219m55`4291/n;:53`08jg042o10e>jj:08'bd>=<980bko8:338j46a;3:0(o8;:2c1?kd1;3o07d=kd;39 cg?2=:97chn7;01?k77n:0;7)l94;1b6>he>:0o76g!`f03>;>6`ia6817>h68o91<6*m6580e7=ij?91o65f3e`95?"ai10?4<#nh218=<4ngc4>734$c47>6g53gh=?7o4;h63=?7=,ok369>=;odb3?413g;;j>4?;%`50?5f:2di:>46;:k74=<62-lj47:?2:lee2<5?2d:i3;28 g032:k97cl93;48?l27=3;1(ko7:521?k`f?38j7c??f283?!d1<39j>6`m6286?>o38=0:6)hn8;636>hai>09n6`>0g194>"e>=08m?5ab7190>=n;ok1=7*ia98747=inh=1>n5a11d0>5=#j?>1?l<4nc40>6=o=;o`57?4<3`9n87?5$gc;>1653glj;7:%dbibl:0;6)hn8;gg2>hai>087)l94;g0e>he>:09o65`ee094?"ai10nh;5af`590>"e>=0n?l5ab7196g=32enok4?:%db2dmm:48;%`50?c4i2di:>4=8:9lafb=83.mm54jd79mbd1=02.i:94j3`9mf35=:>10chml:18'bd>=mm<0bko8:89'f32=m:k0bo8<:348?jcdj3:1(ko7:df5?k`f?3k0(o8;:d1b?kd1;38>76ajc`83>!`f03oo:6`ia68a?!d1<3o8m6`m62810>=hmj31<7*ia98f`3=inh=1o6*m658f7d=ij?91>>54oda;>5<#nh21ii84ngc4>a=#j?>1i>o4nc40>74<3foh;7>5$gc;>`b13glj;7k4$c47>`5f3gh=?7<>;:mfg3<72-lj47kk6:lee2he>:0:i65`eb094?"ai10nh;5af`5957=#j?>1i>o4nc40>4b<3foh=7>5$gc;>`b13glj;7?<;%`50?c4i2di:>4>c:9laf6=83.mm54jd79mbd1=9=1/n;:5e2c8jg0428h07bkmf;29 cg?2ln=7chn7;36?!d1<3o8m6`m6282e>=hmko1<7*ia98f`3=inh=1=;5+b769a6ghe>:0::65`ecc94?"ai10nh;5af`595d=#j?>1i>o4nc40>43<3foi57>5$gc;>`b13glj;7?m;%`50?c4i2di:>4>4:9lag1=83.mm54jd79mbd1=9j1/n;:5e2c8jg0428907bkm6;29 cg?2ln=7chn7;3g?!d1<3o8m6`m62826>=hmk?1<7*ia98f`3=inh=1=h5+b769a6gibj90;6)hn8;gg2>hai>09?6*m658f7d=ij?91o65`e`d94?"ai10nh;5af`5961=#j?>1i>o4nc40>g=`5f3gh=?7o4;ngg`?6=,ok36hj9;odb3?413-h=87k32enhn4?:%db2dmm:4=7:&a2110chjn:18'bd>=mm<0bko8:3;8 g032l9j7cl93;48?jcc13:1(ko7:df5?k`f?38j7)l94;g0e>he>:0>76ajd983>!`f03oo:6`ia681f>"e>=0n?l5ab7190>=hmjo1<7*ia98f`3=inh=1>n5+b769a6g54oda0>5<#nh21ii84ngc4>7b<,k5$gc;>`b13glj;74>;:mfea<72-lj47kk6:lee2<5n2.i:94j3`9mf35=821bi>;51;&ee==51;&ee=21bi><51;&ee=?51;&ee=>51;&ee==07d:nf;39 cg?2=ki7chn7;g8j46a;3:0(o8;:5:4?kd1;3907d:ne;39 cg?2=ki7chn7;d8j46a;3:0(o8;:5:4?kd1;3807d:nd;39 cg?2=ki7chn7;33?k77n:0;7)l94;6;3>he>:0:76g;a282>!`f03>jn6`ia6825>h68o91<6*m6587<2=ij?91<65`f8f95?"ai10m5n5af`594>"e>=0m8?5ab7196c=:%dbk2dmm:4<;%`50?`3:2di:>4=c:9lb<>=93.mm54i9b9mbd1=<2.i:94i439mf35=:k10ck78:08'bd>=n0i0bko8:49'f32=n=80bo8<:3c8?j`>>3;1(ko7:g;`?k`f?3<0(o8;:g61?kd1;38276ai9482>!`f03l2o6`ia684?!d1<3l?>6`m6281<>=hn091=7*ia98e=f=inh=146*m658e07=ij?91>:54og;1>4<#nh21j4m4ngc4><=#j?>1j9<4nc40>70<3fl2=7?5$gc;>c?d3glj;7o4$c47>c253gh=?7<:;:me=5<62-lj47h6c:lee2b280/jl65f8a8jcg02m1/n;:5f508jg042;807bh7d;39 cg?2o3h7chn7;g8 g032o>97cl93;02?>ia0j0:6)hn8;d:g>hai>0m7)l94;d76>he>:09<65`f9`95?"ai10m5n5af`5955=#j?>1j9<4nc40>4`<3fl3m7?5$gc;>c?d3glj;7?>;%`50?`3:2di:>4>e:9lb=>=93.mm54i9b9mbd1=9;1/n;:5f508jg0428n07bh77;39 cg?2o3h7chn7;30?!d1<3l?>6`m6282g>=hn1<1=7*ia98e=f=inh=1=95+b769b14he>:0:465`f9095?"ai10m5n5af`595==#j?>1j9<4nc40>41<3fl3=7?5$gc;>c?d3glj;7?6;%`50?`3:2di:>4>6:9lb=6=93.mm54i9b9mbd1=9h1/n;:5f508jg0428?07bh8f;39 cg?2o3h7chn7;3a?!d1<3l?>6`m62820>=hn>n1=7*ia98e=f=inh=1=n5+b769b14he>:0:<65`f6;95?"ai10m5n5af`5965=#j?>1j9<4nc40>c=c253gh=?7k4;nd43?7=,ok36k7l;odb3?453-h=87h;2:la26:%dbk2dmm:4=3:&a21=n0i0bko8:378 g032o>97cl93;c8?j`f;3;1(ko7:g;`?k`f?38=7)l94;d76>he>:0276aia382>!`f03l2o6`ia6813>"e>=0m8?5ab719<>=hnh;1=7*ia98e=f=inh=1>55+b769b144<#nh21j4m4ngc4>7?<,kc?d3glj;74:;:me=`<62-lj47h6c:lee2<5j2.i:94i439mf35=<21dj4:51;&ee=>280/jl65f8a8jcg02;n0(o8;:g61?kd1;3807bh8e;39 cg?2o3h7chn7;0f?!d1<3l?>6`m6282?>ia?:0:6)hn8;d:g>hai>09j6*m658e07=ij?91<65f24195?"ai1099?5af`594>h68o91<6*m65815a=ij?91=<54i372>4<#nh21>8<4ngc4>4=i99l86=5+b76964b4;h064?7=,ok36?;=;odb3?44$c47>77c3gh=?7h4;h07b?7=,ok36?;=;odb3?54$c47>77c3gh=?7k4;h07a?7=,ok36?;=;odb3?24$c47>77c3gh=?7j4;h07`?7=,ok36?;=;odb3?34$c47>77c3gh=?7m4;h07g?7=,ok36?;=;odb3?04$c47>77c3gh=?7l4;h07f?7=,ok36?;=;odb3?14$c47>77c3gh=?7o4;h06g?7=,ok36?;=;odb3?>4$c47>77c3gh=?774;h06f?7=,ok36?;=;odb3??4$c47>77c3gh=?764;h06e?7=,ok36?;=;odb3?g4$c47>77c3gh=?794;h06=?7=,ok36?;=;odb3?d4$c47>77c3gh=?784;h064$c47>77c3gh=?7;4;h063?7=,ok36?;=;odb3?b4$c47>77c3gh=?7:4;h062?7=,ok36?;=;odb3?c4$c47>77c3gh=?7=4;h061?7=,ok36?;=;odb3?`4$c47>77c3gh=?7<4;h060?7=,ok36?;=;odb3?773g;;j>4?;%`50?46l2di:>4>;:k10d<62-lj47<:2:lee2<692d:=1=:0bko8:09'f32=0ol0bo8<:308?j?4m3:1(ko7:863?k`f?380(o8;:9de?kd1;38:76a63e83>!`f033?<6`ia680?!d1<32mj6`m62814>=h1:i1<7*ia98:05=inh=186*m658;bc=ij?91=k54o81a>5<#nh2159>4ngc4>0=#j?>14kh4nc40>4c<3f3857>5$gc;><273glj;784$c47>=`a3gh=?7?k;:m:7=<72-lj477;0:lee2<03-h=876if:la26<6k21d5>950;&ee=<><91ejl958:&a21;7chn7;c8 g0321lm7cl93;3:?>i>;=0;6)hn8;;74>hai>0i7)l94;:eb>he>:0:465`92194?"ai1028=5af`59g>"e>=03jk5ab71952=an2di:>4>4:9l=7c=83.mm546419mbd1=991/n;:58gd8jg0428907b7=d;29 cg?20>;7chn7;32?!d1<32mj6`m62826>=h1;i1<7*ia98:05=inh=1=?5+b769<91ejl95159'f32=0ol0bo8<:g98k<4>290/jl659528jcg028?0(o8;:9de?kd1;3o07b7=8;29 cg?20>;7chn7;35?!d1<32mj6`m628g?>i>:>0;6)hn8;;74>hai>0:;6*m658;bc=ij?91o65`93494?"ai1028=5af`595==#j?>14kh4nc40>g=6=4+f`:9=16=`a3gh=?7o4;n;732e28:4?:%dbb:&a2110c4:::18'bd>=1=:0bko8:0f8 g0321lm7cl93;48?j?3<3:1(ko7:863?k`f?3;n7)l94;:eb>he>:0>76a64283>!`f033?<6`ia682b>"e>=03jk5ab7190>=h1=81<7*ia98:05=inh=1>=5+b76954o81b>5<#nh2159>4ngc4>77<,k5$gc;><273glj;7<=;%`50?>an2di:>4>;:m:61<72-lj477;0:lee2<5;2.i:947fg9mf35=821b?;650;&ee=<4>>1ejl950:&a21<4;%`50?53k2di:>4>0:J2426<3`9=97>5$gc;>6003glj;7<4$c47>62d3gh=?7h4H0244>=n;?>1<7*ia98022=inh=1?6*m65800f=ij?91i6F>0628?l51;3:1(ko7:244?k`f?3>0(o8;:26`?kd1;3n0D<>80:9j734=83.mm54<669mbd1==2.i:94<4b9mf35=k2B:<:>4;h155?6=,ok36>88;odb3?0<,k:l;o`57?d<@8:<<65f37294?"ai108::5af`593>"e>=088n5ab719e>N68>:07d=81;29 cg?2:<<7chn7;:8 g032:>h7cl93;;8L460821b?:>50;&ee=<4>>1ejl959:&a21<45$gc;>6003glj;7o4$c47>62d3gh=?794H0244>=n;?o1<7*ia98022=inh=1n6*m65800f=ij?91:6F>0628?l51l3:1(ko7:244?k`f?3i0(o8;:26`?kd1;3?0D<>80:9j73e=83.mm54<669mbd1=l2.i:94<4b9mf35=<2B:<:>4;h15f?6=,ok36>88;odb3?c<,k:l;o`57?5<@8:<<65f37c94?"ai108::5af`59b>"e>=088n5ab7196>N68>:07d=99;29 cg?2:<<7chn7;33?!d1<39?o6`m6282?M77?910e>;i:18'bd>=;?=0bko8:038 g032:>h7cl93;28L460821vn8??c;3950g=83:p(<>ib;55g>N69o20D<>if:X0e5=4?;o01e?7h3?l0;7c7:1;38j465k3:0bh=9:19m05b=82d?=<4?;%331`<68hl0b:8n:19'7d7==mn0D<>m6:&2647=??i0(>>?:025f>N??m1/4k>544`;?k759:0>595+11:f>077j2c?=h4?::k240>=83d:290e==h<:198m1472900e<>:a;29j46a;3:07d:=2;29?l26l3:17b:>0;29?l77=j0;6c??f283?>o680g194>=n<;21<7Fia89m55`42910e<>:6;29j46a;3:07d:=1;29?l26k3:17d:?c;29?l25;3:17d:>b;29?j27n3:17d??5483>k77n:0;76g>04f94?h68o91<65f32694?"ai108?>5af`594>h68o91<6*m658057=ij?91=<54i211>5<#nh21?>=4ngc4>4=i99l86=5+b7697444;h105?6=,ok36>=<;odb3?44$c47>6753gh=?7h4;h104?6=,ok36>=<;odb3?54$c47>6753gh=?7k4;h11b?6=,ok36>=<;odb3?24$c47>6753gh=?7j4;h11a?6=,ok36>=<;odb3?34$c47>6753gh=?7m4;h11`?6=,ok36>=<;odb3?04$c47>6753gh=?7l4;h11g?6=,ok36>=<;odb3?14$c47>6753gh=?7o4;h10`?6=,ok36>=<;odb3?>4$c47>6753gh=?774;h10g?6=,ok36>=<;odb3??4$c47>6753gh=?764;h10f?6=,ok36>=<;odb3?g4$c47>6753gh=?794;h10e?6=,ok36>=<;odb3?d4$c47>6753gh=?784;h10=?6=,ok36>=<;odb3?e4$c47>6753gh=?7;4;h10=<;odb3?b4$c47>6753gh=?7:4;h103?6=,ok36>=<;odb3?c4$c47>6753gh=?7=4;h102?6=,ok36>=<;odb3?`4$c47>6753gh=?7<4;h101?6=,ok36>=<;odb3?773g;;j>4?;%`50?56:2di:>4>;:k06g<72-lj47=<3:lee2<692d:6l:18'bd>=;1n0bko8:09'f32=;>80bo8<:028?j5?j3:1(ko7:2:g?k`f?380(o8;:251?kd1;3l07b=7a;29 cg?2:2o7chn7;18 g032:=97cl93;g8?j5?13:1(ko7:2:g?k`f?3>0(o8;:251?kd1;3n07b=78;29 cg?2:2o7chn7;78 g032:=97cl93;a8?j5??3:1(ko7:2:g?k`f?3<0(o8;:251?kd1;3h07b=76;29 cg?2:2o7chn7;58 g032:=97cl93;c8?j5>?3:1(ko7:2:g?k`f?320(o8;:251?kd1;3307b=66;29 cg?2:2o7chn7;;8 g032:=97cl93;:8?j5>=3:1(ko7:2:g?k`f?3k0(o8;:251?kd1;3=07b=64;29 cg?2:2o7chn7;`8 g032:=97cl93;48?j5>;3:1(ko7:2:g?k`f?3i0(o8;:251?kd1;3?07b=62;29 cg?2:2o7chn7;f8 g032:=97cl93;68?j5>93:1(ko7:2:g?k`f?3o0(o8;:251?kd1;3907b=60;29 cg?2:2o7chn7;d8 g032:=97cl93;08?j5?n3:1(ko7:2:g?k`f?3;;7)l94;146>he>:0:76a<8483>!`f0393h6`ia6825>"e>=08;?5ab7194>=nn:h1<7*ia98e7d=inh=1<6*m658fa5=ij?91>k54ig1:>5<#nh21j>o4ngc4>4=#j?>1ih>4nc40>7c<3`l847>5$gc;>c5f3glj;7<4$c47>`c73gh=?7850;&ee=oa;:0;6)hn8;d0e>hai>0<7)l94;gf4>he>:09465ff2394?"ai10m?l5af`59<>"e>=0ni=5ab71962=h4?:%db4=4:9jb7b=83.mm54i3`9mbd1=k2.i:94je19mf35=::10ek=n:k0bko8:e9'f32=ml:0bo8<:308?l`5j3:1(ko7:g1b?k`f?3o0(o8;:dg3?kd1;38:76gi2`83>!`f03l8m6`ia68e?!d1<3on<6`m62814>=nn;31<7*ia98e7d=inh=1==5+b769a`6he>:0:o65ff3694?"ai10m?l5af`5951=#j?>1ih>4nc40>4d<3`l9?7>5$gc;>c5f3glj;7?:;%`50?cb82di:>4>a:9jb74=83.mm54i3`9mbd1=9?1/n;:5ed28jg0428307dh=1;29 cg?2o9j7chn7;34?!d1<3on<6`m6282<>=nn;:1<7*ia98e7d=inh=1=55+b769a`63-h=87kj0:la26<6>21bjhe>:0:865ff0`94?"ai10m?l5af`595f=#j?>1ih>4nc40>45<3`l:m7>5$gc;>c5f3glj;7?k;%`50?cb82di:>4>2:9jb4?=83.mm54i3`9mbd1=9l1/n;:5ed28jg0428;07dh>8;29 cg?2o9j7chn7;3e?!d1<3on<6`m62824>=nn8=1<7*ia98e7d=inh=1>=5+b769a`65<#nh21j>o4ngc4>77<,k5$gc;>c5f3glj;7<=;%`50?cb82di:>4k;:ke51<72-lj47hoa<90;6)hn8;d0e>hai>09;6*m658fa5=ij?91465ff2d94?"ai10m?l5af`596==#j?>1ih>4nc40>2=`c73gh=?784;hd0`?6=,ok36k=n;odb3?4f3-h=87kj0:la26<232cm?n4?:%db=n:k0bko8:3f8 g032lo;7cl93;08?l`6k3:1(ko7:g1b?k`f?38n7)l94;gf4>he>:0:76gi1083>!`f03l8m6`ia681b>"e>=0ni=5ab7194>=n<991<7*ia98747=inh=1<6`>0g194>"e>=08m?5ab7196c=5=#j?>1?l<4nc40>7c<3`>;<7>5$gc;>1653glj;7<4n02e7?6<,ko=;o`57?4c32c8jk4?:%dbhk:18'bd>=<980bko8:49m55`4291/n;:53`08jg042;k07d=ic;29 cg?2=:97chn7;48j46a;3:0(o8;:2c1?kd1;38276g!`f03>;>6`ia684?k77n:0;7)l94;1b6>he>:09465f3g;94?"ai10?h68o91<6*m6580e7=ij?91>:54i2d;>5<#nh218=<4ngc4><=i99l86=5+b7697d4=;odb3?g4$c47>6g53gh=?7<:;:k0b3<72-lj47:?2:lee24?;%`50?5f:2di:>4=4:9j7c3=83.mm54;039mbd1=k2d:i3;28 g032:k97cl93;02?>o4n;0;6)hn8;636>hai>0m7c??f283?!d1<39j>6`m62814>=n;o;1<7*ia98747=inh=1==5a11d0>5=#j?>1?l<4nc40>4`<3`9m<7>5$gc;>1653glj;7?>;o33b6<73-h=87=n2:la26<6m21b?hk50;&ee=<38;1ejl95139m55`4291/n;:53`08jg0428n07d=jd;29 cg?2=:97chn7;30?k77n:0;7)l94;1b6>he>:0:o65f3da94?"ai10?=;odb3?723g;;j>4?;%`50?5f:2di:>4>a:9j7`g=83.mm54;039mbd1=9?1e==h<:19'f32=;h80bo8<:0;8?l5b13:1(ko7:521?k`f?3;<7c??f283?!d1<39j>6`m6282<>=n;l21<7*ia98747=inh=1=55a11d0>5=#j?>1?l<4nc40>41<3`9n;7>5$gc;>1653glj;7?6;o33b6<73-h=87=n2:la26<6>21b?h850;&ee=<38;1ejl951`9m55`4291/n;:53`08jg0428?07d=j5;29 cg?2=:97chn7;3a?k77n:0;7)l94;1b6>he>:0:865f3d194?"ai10?=;odb3?7c3g;;j>4?;%`50?5f:2di:>4>2:9j7`7=83.mm54;039mbd1=9l1e==h<:19'f32=;h80bo8<:038?l5b83:1(ko7:521?k`f?3;m7c??f283?!d1<39j>6`m62824>=n;ml1<7*ia98747=inh=1>=5a11d0>5=#j?>1?l<4nc40>c=o=;o`57?c<3`9oh7>5$gc;>1653glj;7<=;o33b6<73-h=87=n2:la26jn:18'bd>=<980bko8:378j46a;3:0(o8;:2c1?kd1;3k07d:?9;29 cg?2=:97chn7;05?k77n:0;7)l94;1b6>he>:0276g;0983>!`f03>;>6`ia6813>h68o91<6*m6580e7=ij?91465f41594?"ai10?5<#nh218=<4ngc4>7?4$c47>6g53gh=?784;h631?6=,ok369>=;odb3?4f3g;;j>4?;%`50?5f:2di:>4:;:k741<72-lj47:?2:lee2<5j2d:i3;28 g032:k97cl93;08?l5b<3:1(ko7:521?k`f?38n7c??f283?!d1<39j>6`m6282?>o4l00;6)hn8;636>hai>09j6`>0g194>"e>=08m?5ab7194>=hmm=1<7*ia98f`3=inh=1<6*m658f7d=ij?91>k54odf6>5<#nh21ii84ngc4>4=#j?>1i>o4nc40>7c<3foo87>5$gc;>`b13glj;7<4$c47>`5f3gh=?7ibko0;6)hn8;gg2>hai>0<7)l94;g0e>he>:09465`ebf94?"ai10nh;5af`59<>"e>=0n?l5ab71962=2dmm:4m;%`50?c4i2di:>4=4:9laf?=83.mm54jd79mbd1=k2.i:94j3`9mf35=::10chm7:18'bd>=mm<0bko8:e9'f32=m:k0bo8<:308?jcd?3:1(ko7:df5?k`f?3o0(o8;:d1b?kd1;38:76ajc783>!`f03oo:6`ia68e?!d1<3o8m6`m62814>=hmj?1<7*ia98f`3=inh=1==5+b769a6ghe>:0:o65`eb294?"ai10nh;5af`5951=#j?>1i>o4nc40>4d<3foij7>5$gc;>`b13glj;7?:;%`50?c4i2di:>4>a:9lagc=83.mm54jd79mbd1=9?1/n;:5e2c8jg0428307bkmd;29 cg?2ln=7chn7;34?!d1<3o8m6`m6282<>=hmki1<7*ia98f`3=inh=1=55+b769a6g3-h=87k21dioo50;&ee=he>:0:865`ec594?"ai10nh;5af`595f=#j?>1i>o4nc40>45<3foi:7>5$gc;>`b13glj;7?k;%`50?c4i2di:>4>2:9lag3=83.mm54jd79mbd1=9l1/n;:5e2c8jg0428;07bkm4;29 cg?2ln=7chn7;3e?!d1<3o8m6`m62824>=hmk91<7*ia98f`3=inh=1>=5+b769a6g5<#nh21ii84ngc4>77<,k5$gc;>`b13glj;7<=;%`50?c4i2di:>4k;:mff5<72-lj47kk6:lee2<5;2.i:94j3`9mf35=k21dilh50;&ee=iblj0;6)hn8;gg2>hai>09;6*m658f7d=ij?91465`ee`94?"ai10nh;5af`596==#j?>1i>o4nc40>2=`5f3gh=?784;ngg=?6=,ok36hj9;odb3?4f3-h=87k2dmm:4=b:&a21=mm<0bko8:3f8 g032l9j7cl93;08?jce03:1(ko7:df5?k`f?38n7)l94;g0e>he>:0:76ajae83>!`f03oo:6`ia681b>"e>=0n?l5ab7194>=nm:?1<7*ia98f71=inh=1<6`>0g194>"e>=0n>95ab7193>=nm:91<7*ia98f71=inh=1=6`>0g194>"e>=0n>95ab7192>=nm:81<7*ia98f71=inh=1>6`>0g194>"e>=0n>95ab7191>=nm:;1<7*ia98f71=inh=1?6`>0g194>"e>=0n>95ab7190>=nm::1<7*ia98f71=inh=186`>0g194>"e>=0n>95ab7197>=nm;l1<7*ia98f71=inh=196`>0g194>"e>=0n>95ab7196>=nm;o1<7*ia98f71=inh=1:6`>0g194>"e>=0n>95ab7195>=nm;n1<7*ia98f71=inh=1;6`>0g194>"e>=0n>95ab7194>=n0g194>"e>=0?4:5ab71954=5=#j?>18594nc40>46<3`>j57>5$gc;>1ge3glj;7<4n02e7?6<,kj47>5$gc;>1ge3glj;7=4n02e7?6<,kj;7>5$gc;>1ge3glj;7:4n02e7?6<,kj:7>5$gc;>1ge3glj;7;4n02e7?6<,kj97>5$gc;>1ge3glj;784n02e7?6<,kj87>5$gc;>1ge3glj;794n02e7?6<,ki97>5$gc;>1ge3glj;764n02e7?6<,ki87>5$gc;>1ge3glj;774n02e7?6<,k<3`>i?7>5$gc;>1ge3glj;7o4n02e7?6<,ki>7>5$gc;>1ge3glj;7l4n02e7?6<,ki=7>5$gc;>1ge3glj;7m4n02e7?6<,ki<7>5$gc;>1ge3glj;7j4n02e7?6<,kjj7>5$gc;>1ge3glj;7k4n02e7?6<,kji7>5$gc;>1ge3glj;7h4n02e7?6<,kjh7>5$gc;>1ge3glj;7??;o33b6<73-h=87:77:la26<632c?m>4?:%db1:l24c5=82.i:94;869mf35=821dj4j50;&ee=97cl93;0g?>ia100;6)hn8;d:g>hai>087)l94;d76>he>:09o65`f8:94?"ai10m5n5af`590>"e>=0m8?5ab7196g=32em584?:%dbk2dmm:48;%`50?`3:2di:>4=8:9lb<5=83.mm54i9b9mbd1=02.i:94i439mf35=:>10ck7=:18'bd>=n0i0bko8:89'f32=n=80bo8<:348?j`>93:1(ko7:g;`?k`f?3k0(o8;:g61?kd1;38>76ai9183>!`f03l2o6`ia68a?!d1<3l?>6`m62810>=hn1l1<7*ia98e=f=inh=1o6*m658e07=ij?91>>54og:f>5<#nh21j4m4ngc4>a=#j?>1j9<4nc40>74<3fl3h7>5$gc;>c?d3glj;7k4$c47>c253gh=?7<>;:mehe>:0:i65`f9:94?"ai10m5n5af`5957=#j?>1j9<4nc40>4b<3fl3;7>5$gc;>c?d3glj;7?<;%`50?`3:2di:>4>c:9lb=0=83.mm54i9b9mbd1=9=1/n;:5f508jg0428h07bh75;29 cg?2o3h7chn7;36?!d1<3l?>6`m6282e>=hn1>1<7*ia98e=f=inh=1=;5+b769b14he>:0::65`f9294?"ai10m5n5af`595d=#j?>1j9<4nc40>43<3fl5$gc;>c?d3glj;7?m;%`50?`3:2di:>4>4:9lb2b=83.mm54i9b9mbd1=9j1/n;:5f508jg0428907bh8c;29 cg?2o3h7chn7;3g?!d1<3l?>6`m62826>=hn>h1<7*ia98e=f=inh=1=h5+b769b146`m628g?>ia??0;6)hn8;d:g>hai>09?6*m658e07=ij?91o65`f6794?"ai10m5n5af`5961=#j?>1j9<4nc40>g=c253gh=?7o4;ndb7?6=,ok36k7l;odb3?413-h=87h;2:la26<>32emm?4?:%dbk2dmm:4=7:&a2110cko?:18'bd>=n0i0bko8:3;8 g032o>97cl93;48?j`>n3:1(ko7:g;`?k`f?38j7)l94;d76>he>:0>76ai9d83>!`f03l2o6`ia681f>"e>=0m8?5ab7190>=hn0>1<7*ia98e=f=inh=1>n5+b769b1454og::>5<#nh21j4m4ngc4>7b<,k5$gc;>c?d3glj;74>;:me36<72-lj47h6c:lee2<5n2.i:94i439mf35=821b>8=50;&ee=<5=;1ejl950:l24c5=82.i:94=1e9mf35=9810e?;>:18'bd>=:<80bko8:09m55`4291/n;:520f8jg0428:07d<:0;29 cg?2;?97chn7;08j46a;3:0(o8;:33g?kd1;3l07d<;f;29 cg?2;?97chn7;18j46a;3:0(o8;:33g?kd1;3o07d<;e;29 cg?2;?97chn7;68j46a;3:0(o8;:33g?kd1;3n07d<;d;29 cg?2;?97chn7;78j46a;3:0(o8;:33g?kd1;3i07d<;c;29 cg?2;?97chn7;48j46a;3:0(o8;:33g?kd1;3h07d<;b;29 cg?2;?97chn7;58j46a;3:0(o8;:33g?kd1;3k07d<:c;29 cg?2;?97chn7;:8j46a;3:0(o8;:33g?kd1;3307d<:b;29 cg?2;?97chn7;;8j46a;3:0(o8;:33g?kd1;3207d<:a;29 cg?2;?97chn7;c8j46a;3:0(o8;:33g?kd1;3=07d<:9;29 cg?2;?97chn7;`8j46a;3:0(o8;:33g?kd1;3<07d<:8;29 cg?2;?97chn7;a8j46a;3:0(o8;:33g?kd1;3?07d<:7;29 cg?2;?97chn7;f8j46a;3:0(o8;:33g?kd1;3>07d<:6;29 cg?2;?97chn7;g8j46a;3:0(o8;:33g?kd1;3907d<:5;29 cg?2;?97chn7;d8j46a;3:0(o8;:33g?kd1;3807d<:4;29 cg?2;?97chn7;33?k77n:0;7)l94;02`>he>:0:76g=4`83>!`f038>>6`ia6825>h68o91<6*m65815a=ij?91<65`95394?"ai1028=5af`594>"e>=03jk5ab71966=an2di:>4=0:9l=6e=83.mm546419mbd1=<2.i:947fg9mf35=9o10c4=m:18'bd>=1=:0bko8:49'f32=0ol0bo8<:0g8?j?413:1(ko7:863?k`f?3<0(o8;:9de?kd1;3;o76a63983>!`f033?<6`ia684?!d1<32mj6`m6282g>=h1:=1<7*ia98:05=inh=146*m658;bc=ij?91=o54o815>5<#nh2159>4ngc4><=#j?>14kh4nc40>4g<3f3897>5$gc;><273glj;7o4$c47>=`a3gh=?7?6;:m:71<72-lj477;0:lee2=50;&ee=<><91ejl95c:&a21;7chn7;g8 g0321lm7cl93;36?>i>;90;6)hn8;;74>hai>0m7)l94;:eb>he>:0:865`93g94?"ai1028=5af`5955=#j?>14kh4nc40>45<3f39h7>5$gc;><273glj;7?>;%`50?>an2di:>4>2:9l=7e=83.mm546419mbd1=9;1/n;:58gd8jg0428;07b7=b;29 cg?20>;7chn7;30?!d1<32mj6`m62824>=h1;k1<7*ia98:05=inh=1=95+b7695<#nh2159>4ngc4>43<,k5$gc;><273glj;7?9;%`50?>an2di:>4k;:m:62<72-lj477;0:lee2<6?2.i:947fg9mf35=k21d5?850;&ee=<><91ejl95199'f32=0ol0bo8<:c98k<42290/jl659528jcg02830(o8;:9de?kd1;3k07b7;8;29 cg?20>;7chn7;3b?!d1<32mj6`m628:?>i><>0;6)hn8;;74>hai>0:n6*m658;bc=ij?91465`95494?"ai1028=5af`595f=#j?>14kh4nc40>2=>6=4+f`:9=16=`a3gh=?784;n;70?6=,ok364:?;odb3?7b3-h=876if:la26<232e28>4?:%dbf:&a21=1=:0bko8:338 g0321lm7cl93;08?j?5n3:1(ko7:863?k`f?3897)l94;:eb>he>:0:76a62583>!`f033?<6`ia6817>"e>=03jk5ab7194>=n;?21<7*ia98022=inh=1<6*m65800f=ij?91=<5G1153?>o4>?0;6)hn8;153>hai>0:7)l94;17g>he>:0:<6F>0628?l51=3:1(ko7:244?k`f?380(o8;:26`?kd1;3l0D<>80:9j732=83.mm54<669mbd1=;2.i:94<4b9mf35=m2B:<:>4;h157?6=,ok36>88;odb3?2<,k:l;o`57?b<@8:<<65f37094?"ai108::5af`591>"e>=088n5ab719g>N68>:07d=91;29 cg?2:<<7chn7;48 g032:>h7cl93;`8L460821b?;>50;&ee=<4>>1ejl957:&a21<45$gc;>6003glj;764$c47>62d3gh=?774H0244>=n;>:1<7*ia98022=inh=156*m65800f=ij?9146F>0628?l51n3:1(ko7:244?k`f?3k0(o8;:26`?kd1;3=0D<>80:9j73c=83.mm54<669mbd1=j2.i:94<4b9mf35=>2B:<:>4;h15`?6=,ok36>88;odb3?e<,k:l;o`57?3<@8:<<65f37a94?"ai108::5af`59`>"e>=088n5ab7190>N68>:07d=9b;29 cg?2:<<7chn7;g8 g032:>h7cl93;18L460821b?;o50;&ee=<4>>1ejl95f:&a21<45$gc;>6003glj;7??;%`50?53k2di:>4>;I3335=62d3gh=?7>4H0244>=zj<8>n7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20191<3<,8:3i7;>0c9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>0?13-;;4h4:11`8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th>>8j51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?3>?2.:<5k5502a?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>=mi:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?3>02.:<5k521`3?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd58jo1=7850;2x 46aj3=h<6F>1g:8L46an2.:>4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c03g3<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;8687n;%33<`<58k:0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`14fb=9321<7>t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742<3i7)??8d814g614?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f76d?3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=558a8 46?m38;n=5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg47jl0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=558f8 46?m38;n=5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb32`1?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>5h5+11:f>76e82c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>=m;:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?3>n2.:<5k521`3?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd58j91=7850;2x 46aj3=h:6F>1g:8L46an2.:>4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c03g=<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919l?4$02;a?47j91b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn?>lc;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:9ii6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;7b7>"681o1>=l?;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2b36=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868o;;%33<`<6n:l0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo?i5g82>3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==h?0(<>7e;3e7c=n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8l>;7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20191d0<,8:3i7?i3g9j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mk;I32b==O99lm7)?=108243e<,1336o74$9d3>13e02d:><=55`58 46?m3;m?k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>=0;6)?=10824<2<3f;;?>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i02:4?6=,88:=7??919K55`b32wi=k;7:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<2i11/==6j:0d0b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vno4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9o?=6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;7be>"681o1=k=i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2b03=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868om;%33<`<6n:l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?i5582>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==hi0(<>7e;3e7c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8l>57?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>f4a95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m5774214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>0d73-;;4h4>f738m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:j5;51;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?3e92.:<5k51g42?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=k6;:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<2j;1/==6j:0d55>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn1=#9;;:6<>9c:J2406<,1l;69;m8:l2645==k90(<>7e;3e24=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?i8382>=<729q/==hm:6ab?M76n11C==hi;%::14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>f9395?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3?i96*>09g95c063`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm1g:b>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?i:6*>09g95c063`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:j5751;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?3e?2.:<5k51g42?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>=:8:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?3e02.:<5k51g42?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd58=<1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0301<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919oo4$02;a?7a>81b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn?>;3;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:9>96<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;7ag>"681o1=k8>;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`1417=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868lk;%33<`<6n?;0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==ko0(<>7e;3e24=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;:8j7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=02f95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m5774214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20191f4<,8:3i7?i609j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f764j3;1:7>50z&24cd=?j<0D4:c29'55>b28l==6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm211:>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?h86*>09g95c063`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9<>651;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>0e23-;;4h4>f738m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg47;>0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=55b48 46?m3;m:<5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb3202?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>o:5+11:f>4`192c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>==::085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?3d02.:<5k51g42?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd58:>1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0376<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919no4$02;a?7a>81b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn?><2;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:99:6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;7`g>"681o1=k8>;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`1466=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868mk;%33<`<6n?;0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==jo0(<>7e;3e24=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;:?o7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=05c95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m5774214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20191a4<,8:3i7?i609j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f76303;1:7>50z&24cd=?j<0D4:d29'55>b28l==6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2166>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?o86*>09g95c063`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9<>o51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>0b23-;;4h4>f738m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg47:o0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=55e48 46?m3;m:<5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb321a?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>h:5+11:f>4`192c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=kk;:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?3c02.:<5k51g42?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6nl91=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3ea4<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919io4$02;a?7a>81b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vno4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9onm6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;7gg>"681o1=k8>;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2bac=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868jk;%33<`<6n?;0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?ide82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==mo0(<>7e;3e24=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8loo7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>fec95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m5774214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20191`4<,8:3i7?i609j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4`c03;1:7>50z&24cd=?j<0D4:e29'55>b28l==6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1gf5>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?n86*>09g95c063`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:ji;51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>0c23-;;4h4>f738m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7al=0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=55d48 46?m3;m:<5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0dg7?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>i:5+11:f>4`192c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=kj=:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?3b02.:<5k51g42?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6nm;1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3e`5<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919ho4$02;a?7a>81b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vno4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9oin6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;7fg>"681o1=k8>;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2bfb=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;868kk;%33<`<6n?;0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?ie`82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645==lo0(<>7e;3e24=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8ln57?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>fd595?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m5774214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20191c4<,8:3i7?i609j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4`b=3;1:7>50z&24cd=?j<0D4:f29'55>b28l==6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1gg1>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3?m86*>09g95c063`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:ji951;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>0`23-;;4h4>f738m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7akj0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=55g48 46?m3;m:<5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0d`f?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0>j:5+11:f>4`192c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>=h::08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<2n11/==6j:32g4>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?>i4;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645==o30(<>7e;03`5=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6ab?M76n11C==hi;%::14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=0g095?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3?mn6*>09g965b73`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm21d2>4=<2.:>544`;?k759:0>jn5+11:f>76c82c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb32e4?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:8919kj4$02;a?47l91b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c03b<<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8919kk4$02;a?47l91b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn?>i8;39j1/44654:J2406<,1l;69;m8:l2645==ol0(<>7e;03`5=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<>b782>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=>9:0(<>7e;03`5=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;;i97?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'k0:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=1c095?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742?:87)??8d814a614?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019252<,8:3i71;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f77e83;1:7>50z&24cd=?j<0D49049'55>b2;:o<6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm20ce>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3<;:6*>09g965b73`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9=lk51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>3603-;;4h4=0e28m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg46im0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=561:8 46?m38;h=5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb33bg?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0=<45+11:f>76c82c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?07i2.:<5k521f3?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd59hk1=7850;2x 46aj3=h:6F>1g:8L46an2.:>4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c02e=<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891:=m4$02;a?47l91b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn??n7;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:8k=6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;43a>"681o1>=j?;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`15d3=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86;>i;%33<`<58m:0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo<>a582>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=>8:0(<>7e;03`5=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;;j?7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'k0:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>2:&24=c=:9n;7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=1`395?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742?;87)??8d814a614?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019242<,8:3i71;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f77>n3;1:7>50z&24cd=?j<0D49149'55>b2;:o<6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm20``>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3<::6*>09g965b73`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9=ol51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>3703-;;4h4=0e28m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg46jh0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=560:8 46?m38;h=5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb33a=?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0==45+11:f>76c82c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?06i2.:<5k521f3?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd59k=1=7850;2x 46aj3=h:6F>1g:8L46an2.:>4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c02f1<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891:a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn??n9;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:83n6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;42a>"681o1>=j?;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`15t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86;?i;%33<`<58m:0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo<>5282>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=>;:0(<>7e;03`5=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;;>>7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'k0:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=15d95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742?887)??8d814a614?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019272<,8:3i71;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f773l3;1:7>50z&24cd=?j<0D49249'55>b2;:o<6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm206`>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3<9:6*>09g965b73`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9=9l51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>3403-;;4h4=0e28m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg46i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=563:8 46?m38;h=5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb337=?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0=>45+11:f>76c82c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi><:7:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?05i2.:<5k521f3?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd59==1=7850;2x 46aj3=h:6F>1g:8L46an2.:>4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0200<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891:?m4$02;a?47l91b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn??;4;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:8>86<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;41a>"681o1>=j?;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`1514=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86;o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo<>4082>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=>::0(<>7e;03`5=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;;?<7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'k0:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=12g95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742?987)??8d814a614?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019262<,8:3i71;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f774k3;1:7>50z&24cd=?j<0D49349'55>b2;:o<6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm207:>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3<8:6*>09g965b73`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9=8651;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>3503-;;4h4=0e28m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg46=>0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=562:8 46?m38;h=5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb3362?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0=?45+11:f>76c82c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi><;::085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?04i2.:<5k521f3?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd59<>1=7850;2x 46aj3=h:6F>1g:8L46an2.:>4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0214<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891:>m4$02;a?47l91b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn??;6;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:89i6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;40a>"681o1>=j?;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`156g=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86;=i;%33<`<58m:0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=>=:0(<>7e;030`=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;:>o7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019217<,8:3i71;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>3253-;;4h4=05g8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9<8l51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?03;2.:<5k5216f?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>=o6:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<1<=1/==6j:327a>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?>na;39j1/44654:J2406<,1l;69;m8:l2645=>=?0(<>7e;030`=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742?>=7)??8d8141c14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=0`595?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9652b3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm21c5>4544`;?k759:0=855+11:f>763m2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb302g?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0=845+11:f>77el2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<1

    o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn??lc;39j1/44654:J2406<,1l;69;m8:l2645=>=h0(<>7e;02fa=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<>c`82>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742?>h7)??8d815gb14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=20:95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g964dc3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm233:>4544`;?k759:0=8h5+11:f>77el2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3023?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891:9h4$02;a?46jm1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0153<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86;;?;%33<`<59kn0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1643=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a64cf28086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742??87)??8d815fb=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86;;;;%33<`<59jn0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:8o36<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<1=<1/==6j:33``>o3:=0;6)?=108;<<==>>32ei:44?:%3154dc82>1<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0=9;5+11:f>77dl2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg46m>0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>3303-;;4h4=1bf8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742??37)??8d815fb=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a64c128086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<1=h1/==6j:33``>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c02a0<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:8n>6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>33d3-;;4h4=1bf8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm20g7>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>495e9'55>b2;;hh6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg46l:0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%3154e282>6<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86;;i;%33<`<59jn0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a64b6280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi>5<7s-;;jo4>1g58L47a02B:544`;?k759:0=:<5+11:f>77dl2c?>94?:%3154!75980n?454}c02gc<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>49639'55>b2;;hh6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742?<87)??8d815fb=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86;8;;%33<`<59jn0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:;;;6<47:183!77nk0:025g>"?110?7E??519'0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;8;j7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019230<,8:3i7<>ce9j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>3003-;;4h4=1bf8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9>=j51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?0102.:<5k520ag?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>?>l:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<1>01/==6j:33``>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?j1/44654:J2406<,1l;69;m8:l2645=>?k0(<>7e;02ga=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<=0`82>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742?14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=1gd95?>=83:p(<>ib;5`=>N69o20D<>if:&2647=9909g964ec3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm20d`>4544`;?k759:0=:i5+11:f>77dl2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb33ea?7=03:10g`93f?<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891:;k4$02;a?46km1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0144<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86;8i;%33<`<59jn0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1654=9321<7>t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a676728036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>49709'55>b2;;hh6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f77al3;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=56608 46?m38:oi5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg47?k0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>3143-;;4h4=04g8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>=9n:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0=;95+11:f>762m2c?>94?:%3154!75980n?454}c032`<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>49749'55>b2;:>i6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742?==7)??8d8140c=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86;98;%33<`<58!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:9=36<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<1?11/==6j:326a>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0=;45+11:f>762m2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg47?>0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>31f3-;;4h4=04g8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>=87:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742?=i7)??8d8140c=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a651128086=4?{%33bg<69o=0Df79':e:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<1?m1/==6j:326a>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0330<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:9:877?!>a83>>n55a1330>31a3-;;4h4=04g8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm2157>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>49819'55>b2;:>i6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg47>;0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':e:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86;6=;%33<`<58!7598034454oc4:>5<#9;;:6h=6;:a6507280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi>=9=:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0=495+11:f>762m2c?>94?:%3154!75980n?454}c031c<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>49849'55>b2;:>i6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>3>13-;;4h4=04g8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th951;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?0??2.:<5k5217f?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>=7i:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<1011/==6j:326a>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?>6e;39j1/44654:J2406<,1l;69;m8:l2645=>130(<>7e;031`=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742?2j7)??8d8140c14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=08a95?>=83:p(<>ib;5`=>N69o20D<>if:&2647=9909g9653b3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm21;a>4544`;?k759:0=4n5+11:f>762m2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb32:4?7=03:10g`93f?<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891:5j4$02;a?47=l1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c032e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86;6j;%33<`<58o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`14=`=9321<7>t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a65?528036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>49919'55>b2;:>i6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f76>;3;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=56838 46?m38;9h5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg47180:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:7;1?!770l09<8k4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd581o1=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;4:7>"681o1>=;j;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<=<26<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;4:0>"681o1891;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`703>=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86;7:;%33<`<3<;k0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:;6682>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=>0<0(<>7e;676d=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=>j=7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>20192<1<,8:3i7:;2`9j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=?5h4>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>3??3-;;4h4;49a8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?84j51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?0>12.:<5k545:`?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi897l:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<11h1/==6j:56;g>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9:6b;39j1/44654:J2406<,1l;69;m8:l2645=>0h0(<>7e;67203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:;a582>=<729q/==hm:6a:?M76n11C==hi;%::14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;56f95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742?3o7)??8d8716e14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=>;h4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201921;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f130n3;1:7>50z&24cd=?j<0D499g9'55>b2=?8o6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm44:3>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;309g9005d3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?95?51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>3g63-;;4h4;52a8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg220;0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=56`08 46?m3>>?n5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb57;7?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0=m>5+11:f>134k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi886;:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?0f<2.:<5k5441`?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3=?n1=7850;2x 46aj3=h:6F>1g:8L46an2.:>m4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c662`<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891:l84$02;a?22;j1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9;9f;392?6=8r.:1/==6j:570g>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<<=;6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;4b<>"681o188=l;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7127=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86;o6;%33<`<3=:i0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo::7382>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=>hk0(<>7e;667f=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=?N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;57195?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;309g9005d3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4473>4=<2.:>544`;?k759:0=mh5+11:f>134k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb5765?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891:lh4$02;a?22;j1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c6617<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86;l?;%33<`<3=:i0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`7105=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a00>228036=4?{%33bg<0km1C=461k2.3j=4;5c:8j446;36*>09g9005d3`9j>7>5$0025?25021b85<#9;;:6<>64:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<4>50;&2647=993;7E??fd98yg230=0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=56c18 46?m3>?>l5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb56;1?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0=n95+11:f>125i2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8969:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?0e=2.:<5k5450b?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3<1=1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c67<=<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891:o94$02;a?23:h1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9:79;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<=2j6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;4a=>"681o1891;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`70=d=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86;ln;%33<`<3<;k0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:;7382>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=>kh0(<>7e;676d=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;46795?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742?hn7)??8d8707g14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=?;;4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20192g`<,8:3i7:;2`9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f120?3;1:7>50z&24cd=?j<0D49c19'55>b2=>9m6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm455;>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;309g9014f3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?8:751;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>3e53-;;4h4;43c8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg24==0:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:7a0?!770l0??8?4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd3;?i1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c602g<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891:n;4$02;a?24=81b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9=9a;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<:<26<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;4`3>"681o18>;>;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`773>=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86;m7;%33<`<3;<;0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:<6682>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=>j30(<>7e;6014=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=9<87?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;36095?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742?ih7)??8d8770714?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=8;<4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>20192fb<,8:3i7:<509j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f15083;1:7>50z&24cd=?j<0D49cd9'55>b2=9>=6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm424e>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;309g906363`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th??;k51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>3b73-;;4h4;3438m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg24>m0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=56e38 46?m3>89<5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5152?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0=h?5+11:f>15292c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8>8::085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?0c;2.:<5k54272?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3<<;1=7=50;2x 46aj3;:j:5G10d;?M77no1/=??>:03e2>"?n90?9o64n0027?0c<2.:<5k54514?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9::0;397?6=8r.:h6:891:i;4$02;a?23;>1b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`7011=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=56e48 46?m3>??:5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?89h51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;309g901503`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb5672?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=>?i7?53;294~"68oh1=m30(<>7e;6772=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;45695?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891:io4$02;a?23;>1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:7fa?!770l0?8>94i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6725<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891:im4$02;a?23;>1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9::f;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156<1lm1/==6j:5603>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<=?n6<49:183!77nk0:0:&;b5<3=k20b<<>3;4ga>"681o189=8;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`71d6=9391<7>t$02ef?76n>1C=3;4gb>"681o18869;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=?2j7?53;294~"68oh1=l:0(<>7e;66<3=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;58495?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891:h?4$02;a?220?1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?0b:2.:<5k544:5?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9;65;390?6=8r.:09g900>13`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f13>l3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>20192`2<,8:3i7::879j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th?94=51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=>l?0(<>7e;66<3=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`71d`=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86;k9;%33<`<3=1<0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo::ad82>3<729q/==hm:6a5?M76n11C==hi;%::l=0(<>7e;66<3=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=?jh7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;5`195?>=83:p(<>ib;5`=>N69o20D<>if:&2647=9909g900>13`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4422>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>49ec9'55>b2=>j;6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg22890:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>3cd3-;;4h4;4`58m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi89j<:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742?oo7)??8d870d1=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a01`a28086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<=?h?4>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<1mo1/==6j:56b3>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c67b`<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<=n:6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>3`63-;;4h4;4`58m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm45dg>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>49f39'55>b2=>j;6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg23l90:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86;h;;%33<`<3!7598034454oc4:>5<#9;;:6h=6;:a01ea280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi88>n:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0=j;5+11:f>12f?2c?>94?:%3154!75980n?454}c67`f<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>49f69'55>b2=>j;6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=><44>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742?l37)??8d870d1=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86;h6;%33<`<3!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<<:36<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<1nh1/==6j:56b3>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0=jo5+11:f>12f?2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg228>0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>3`d3-;;4h4;4`58m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi89j6:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742?lo7)??8d870d1=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a006128086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<=?h54>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<1no1/==6j:56b3>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c6640<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<=n<6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>2663-;;4h4;4`58m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm4427>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>48039'55>b2=>j;6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg23l?0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86:>;;%33<`<3!7598034454oc4:>5<#9;;:6h=6;:a01b2280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi88>=:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0<<;5+11:f>12f?2c?>94?:%3154!75980n?454}c67`1<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>48069'55>b2=>j;6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=?jo4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742>:37)??8d870d1=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86:>6;%33<`<3!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<<986<49:183!77nk0:0:&;b5<3=k20b<<>3;53e>"681o189o8;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7164=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86:>m;%33<`<3o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo::3082>3<729q/==hm:6a5?M76n11C==hi;%::7e;67e2=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=?8<7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;53g95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m57742>:m7)??8d870d114?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=>?o4>:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019346<,8:3i7:;a69j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f134i3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>48109'55>b2=>j;6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm441:>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>6*>09g901g03`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?9>651;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>2743-;;4h4;4`58m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg22;>0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=57068 46?m3>?m:5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5702?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:0<=85+11:f>12f?2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi88=::085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?16>2.:<5k545c4?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3=:>1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:634?!770l0?8l94i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c666a<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891;<64$02;a?23i>1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9;=c;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156<0901/==6j:56b3>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<<:i6<47:183!77nk0:025g>"?110?7E??519'0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=92;7?53;294~"68oh1=7e;603c=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;3`395?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>c:&24=c=<:=m7d:=4;29 4469323565f8dd94?"6:8;14574;n`5=?6=,88:=7k<9:9~f15>:3;187>50z&24cd=13;52`>"681o18>9i;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9=n0;397?6=8r.:h6:891;74;|`77<6=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=570d8 46?m3>8;k5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th??4h51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3=9<6*>09g9061a3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb51;a?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=92i7?53;294~"68oh1=7e;603c=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;39a95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891;?=4$02;a?24?o1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?15<2.:<5k5425e?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9=7a;390?6=8r.:09g9061a3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f15>k3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019370<,8:3i7:<7g9j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th??5651;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=?;=0(<>7e;603c=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`77t$02ef?76n>1C=3;51<>"681o18>9i;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=93:7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?1512.:<5k5425e?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb51:e?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=573c8 46?m3>8;k5f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>1=7:50;2x 46aj33>96F>1g:8L46an2.:>201937d<,8:3i7:<7g9j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;38;95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;51`>"681o18>9i;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9=66;397?6=8r.:h6:891;?k4$02;a?24?o1b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`77=7=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=573d8 46?m3>8;k5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th??o651;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3=8<6*>09g9061a3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb51`6?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=57238 46?m3>8;k5f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>2019364<,8:3i7:<7g9j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;3b395?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;500>"681o18>9i;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9=l0;397?6=8r.:h6:891;>;4$02;a?24?o1b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`77d`=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=57248 46?m3>8;k5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th??oh51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3=8;6*>09g9061a3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb51b`?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=9ii7?53;294~"68oh1=7e;603c=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;3``95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891;>o4$02;a?24?o1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?14j2.:<5k5425e?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9=n9;390?6=8r.:09g9061a3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f15ek3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201936b<,8:3i7:<7g9j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th??l951;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=?:o0(<>7e;603c=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`77gd=9391<7>t$02ef?76n>1C=3;50b>"681o18>9i;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=9j97?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?1382.:<5k5425e?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb51ae?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=57538 46?m3>8;k5f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>2019314<,8:3i7:<7g9j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;3c595?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;570>"681o18>9i;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9:?9;39j1/44654:J2406<,1l;69;m8:l2645=?=?0(<>7e;603c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:;1082>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742>>=7)??8d8772`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;40295?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9061a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm452e>4544`;?k759:0<855+11:f>150n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb563a?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891;974$02;a?24?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c674a<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86::n;%33<`<3;>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`705e=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a016e28036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>484b9'55>b2=9!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f127i3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=575f8 46?m3>8;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg23810:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:66f?!770l0??:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd3<9=1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;57b>"681o18>9i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<=8:6<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=>957?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019307<,8:3i7:<7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=?>54>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>2353-;;4h4;36d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?8?951;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?12;2.:<5k5425e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi89<9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<0==1/==6j:514b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9:=5;39j1/44654:J2406<,1l;69;m8:l2645=?7e;603c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:;2582>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742>?=7)??8d8772`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;43195?>=83:p(<>ib;5`e>N69o20D<>if:&2647=99;6*>09g9061a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4501>4544`;?k759:0<955+11:f>150n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb5614?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891;874$02;a?24?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c675c<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86:;n;%33<`<3;>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`77ab=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86:;m;%33<`<3;>l0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo?99c82>2<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11023d3-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a53gc280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0<9i5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:67f?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<0=o1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<46559K552a3A23j6*7f1871g>07:8?jd113:1(<<>1;g0=>=zj8N68ol0(<<>1;3316=#0o:188l7;%::<=57738 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397576c595?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?11:2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=1<<0Dl0e59i:18'5776212j76g78183>!7598034o54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e9?k=6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>48659'55>b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1102023-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a53?2280?6=4?{%33bg<>=?1C=h6:891;;84$02;a?72?o1b4:h50;&2647=01k07d670;29 4469323n65f1147>5<#9;;:6<>98:9lf3?=83.:>h=1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:93f421d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8m9;393?6=8r.:544`;?!>>03=h>6`>201933><,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`22<0=93>1<7>t$02ef??2>2B:=k64H02eb>"6:8;158:4H027b>N?0o1/4k>544`;?k759:0<:45+11:f>430n2c3;k4?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg71i10:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86:8n;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=;ln:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=12842g=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c35=2<62=0;6=u+11da><313A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;3==o6*>09g9501a3`25$0025?>?i21b45>50;&2647=01h07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f40f13;1;7>50z&24cd=0on0Dh6:891;;j4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::ol51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;55a>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`9=00<@8;m46F>0gd8 446933>86F>05d8L=>a3-2m<7::b99m57742>=>f32c34=4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a53gf280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0<;=5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0?<1e=??<:652?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<46559K552a3A23j6*7f1871g>07:8?jd113:1(<<>1;g0=>=zj8N68ol0(<<>1;3316=#0o:188l7;%::<=57618 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397576cf95?0=83:p(<>ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021;:;4n0027?10<2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th::4o51;694?6|,8:mn77:6:J25c><@8:mj6*>2039=02<@8:?j6F78g9'7290/=??>:9:a?>o68?>1<7*>2039550?32ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1102113-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a53db280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0<;:5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:65;?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<0?01/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86:9n;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=;l?:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=12843g=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c35<`<62=0;6=u+11da><313A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;3=09g9501a3`25$0025?>?i21b45>50;&2647=01h07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f40>n3;1;7>50z&24cd=0on0Dh6:891;:j4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::o?51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;54a>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`9=00<@8;m46F>0gd8 446933>86F>05d8L=>a3-2m<7::b99m57742>=m7)??8d8212`=>f32c34=4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a53g7280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0<4=5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:6:2?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8:13=O98l37E??fg9'577620??7E??4g9K<=`<,1l;69;m8:l2645=?180(<>7e;363c=n0>l1<7*>2039<=g<3`23<7>5$0025?>?j21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`22d7=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b08j446;3=3?6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8N68ol0(<<>1;3316=#0o:188l7;%::<=57968 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975768395?2=83:p(<>ib;;62>N69o20D<>if:&2647=1<>0D<>;f:J;o??o0;6)?=108;=>e32c:<;:50;&2647=99<376am6883>!75980n?454}c35e7<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m57742>2=7)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9?h?6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>48869'55>b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541<729q/==hm:875?M76n11C==hi;%3154<>==1C==:i;I:;b>"?n90?9o64n0027?1?02.:<5k5145e?l>0n3:1(<<>1;:;e>=n01:1<7*>2039<=d<3`;;:94?:%3154<68?207bl99;29 44693o8565rb04b7?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=?130(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>k?1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:93f421d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<863;390?6=8r.:a83>>n55a1330>2>e3-;;4h4>56d8m=1a290/=??>:9:b?>o?090;6)?=108;5$0025?77>110co86:18'57762l9276sm17c7>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<00j1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86:6k;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=;7;:087>5<7s-;;jo46579K54`?3A;;jk5+1332><333A;;8k5G89d8 =`72=?i46`>20193=c<,8:3i7?:7g9j<2`=83.:>?83:1(<<>1;:;f>=n99461021dn;750;&2647=m:307pl>74595?>=83:p(<>ib;5`g>N69o20D<>if:&2647=99a83>>n55a1330>2>a3-;;4h4>56d8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb0562?7=03:10g`93fe<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156<0191/==6j:074b>o4i;0;6)?=10876===>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742>3:7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f4>203;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0<5?5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd6j1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;5:7>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9k?n6<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj8h>h7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20193<3<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>2?13-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:n8l51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?1>?2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=o;n:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<0111/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vnj1/44654:J2406<,1l;69;m8:l2645=?030(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?m5982>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742>3j7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>b4495?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9501a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm1c76>4544`;?k759:0<5n5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0`60?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891;4j4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c3a16<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86:7j;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`2f04=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a5g3628036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>48a19'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f4d283;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=57`38 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg7eij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:6c1?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd6j=o1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;5b7>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9k>o6<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj8h?n7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20193d3<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>2g13-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:n9751;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?1f?2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=o:7:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<0i11/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vnj1/44654:J2406<,1l;69;m8:l2645=?h30(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?m4782>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742>kj7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>b5795?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9501a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm1c67>4544`;?k759:0430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0`77?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891;lj4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c3a05<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86:oj;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`2ff4=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a5ge628036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>48b19'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f4dd83;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=57c38 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg7ejo0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:6`1?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd6jko1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;5a7>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9kho6<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj8hio7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20193g3<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>2d13-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:noo51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?1e?2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=ol6:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<0j11/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vnj1/44654:J2406<,1l;69;m8:l2645=?k30(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?mb782>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742>hj7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>bc795?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9501a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm1c`7>4544`;?k759:0430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0`a7?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891;oj4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c3af7<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86:lj;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`2fg7=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a5gd728036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>48c19'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f4dfn3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=57b38 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg7eil0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:6a1?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd6jhi1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;5`7>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9kki6<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj8hjm7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20193f3<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>2e13-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:nl651;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?1d?2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=oo8:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<0k11/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vnj1/44654:J2406<,1l;69;m8:l2645=?j30(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?ma482>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742>ij7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>b`695?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9501a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm1cc0>4544`;?k759:0430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0`b5?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891;nj4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c3ae5<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86:mj;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`2f<`=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a5g?b28036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>48d19'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f4d>l3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=57e38 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg7e1h0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:6f1?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd6j031=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;5g7>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9k336<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj8h2;7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20193a3<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>2b13-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:n4:51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?1c?2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=o7<:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<0l11/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vnj1/44654:J2406<,1l;69;m8:l2645=?m30(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?m9082>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742>nj7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>b8295?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9501a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm1c:e>4544`;?k759:0430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0`;a?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891;ij4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c3a2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86:jj;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`2f=e=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a5g>e28036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>48e19'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f4d?13;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=57d38 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg7e010:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:6g1?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd6j1=1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;5f7>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9k2=6<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj8h397?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20193`3<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>2c13-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:n5=51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?1b?2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=o6=:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<0m11/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vnj1/44654:J2406<,1l;69;m8:l2645=?l30(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?m8182>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742>oj7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>b6g95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9501a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm1c5g>4544`;?k759:0430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0`4g?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891;hj4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c3a3g<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86:kj;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`2f2g=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a5g1>28036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>48f19'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f4d003;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=57g38 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg7e?<0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:6d1?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd6j>>1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;5e7>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9k=86<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj8h<=7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20193c3<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>2`13-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:n;h51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?1a?2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=o8j:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<0n11/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vnj1/44654:J2406<,1l;69;m8:l2645=?o30(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?m6b82>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742>lj7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>b7`95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9501a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm1c4b>4544`;?k759:0430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0`5=?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891;kj4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c3a2=<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86:hj;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`2f30=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a5g0228036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>47019'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f4d1<3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=58138 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg7e>:0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:921?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd6j?81=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;:37>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9k<:6<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj8h=<7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019<53<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>=613-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:n9m51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?>7?2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=o=i:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vnj1/44654:J2406<,1l;69;m8:l2645=0930(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?mae82>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m577421:j7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>b`095?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g9501a3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm1c;6>4544`;?k759:03430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb0`;e?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:8914=j4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c3a3c<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;865>j;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`2f24=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a5g0028036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>47119'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f4d4m3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=58038 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg7e;k0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:931?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd6?o;1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c34b5<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8914<:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<9jf;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9>on6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;:22>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`23`b=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;865?8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?8eb82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=0820(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8=nn7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>a:&24=c=9<=m7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>7d;95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m577421;i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019<4e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f41b>3;1:7>50z&24cd=?j<0D471e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm16g6>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;32:i6*>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:;h:51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>=7a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg70m:0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=58328 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb05f6?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:03><5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=:k>:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?>5:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6?l:1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c34`a<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8914?:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<9kc;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9>ni6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;:12>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`23a?=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;865<8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?8d982>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=0;20(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8=o;7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>7e795?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m5774218i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019<7e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f41c;3;1:7>50z&24cd=?j<0D472e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm16f1>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;329i6*>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:;i?51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>=4a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg70l90:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=58228 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb05`a?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:03?<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=:mk:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?>4:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6?ji1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c34gg<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:8914>:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<9la;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9>i26<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;:02>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`23f>=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;865=8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?8c682>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=0:20(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8=h:7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>7g495?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m5774219i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019<6e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f41a<3;1:7>50z&24cd=?j<0D473e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm16d0>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;328i6*>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:;k<51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>=5a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg70m>0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=58528 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb05ge?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:038<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=:mi:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?>3:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6?j>1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c34fc<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:89149:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9hh26<49:183!77nk0:0:&;b5<3=k20b<<>3;:72>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2eg>=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;865:8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?nb682>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8ki:7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>ac695?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577421>i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019<1e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4gfj3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>474e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1`cb>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:ml751;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=2a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7fi10:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58428 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0cb3?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:039<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=lo9:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>2:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6i=k1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:970?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3b0<<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:89148:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9h><6<49:183!77nk0:0:&;b5<3=k20b<<>3;:62>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2e10=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;865;8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?n4482>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8k8i7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>a2a95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577421?i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019<0e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4g4i3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>475e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1`1:>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>i6*>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:m8851;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=3a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7f=<0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58728 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0c60?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:03:<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=l;<:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>1:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6i<81=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:940?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3b14<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8914;:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn1=#9;;:6:jm;I3315=#0o:188l7;o3156<1/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9h8>6<49:183!77nk0:0:&;b5<3=k20b<<>3;:52>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2e72=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86588;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?n2282>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8k9>7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>a0c95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m57742114?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019<3e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4g603;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>476e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1`34>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:m<851;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=0a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7f9<0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58628 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0c06?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:03;<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=l=>:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>0:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6i::1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:950?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3b6c<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8914::4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9h8o6<49:183!77nk0:0:&;b5<3=k20b<<>3;:42>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2e5c=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86598;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?n0e82>3<729q/==hm:6a5?M76n11C==hi;%::20(<>7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8k;o7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>a1c95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577421=i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019<2e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4g7:3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>477e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1`22>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:m=>51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=1a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7>no0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58928 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0;ea?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:034<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=4hk:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>?:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd61mo1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:9:0?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3:`a<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:89145:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<7kc;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e90ni6<49:183!77nk0:0:&;b5<3=k20b<<>3;:;2>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2=ag=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86568;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?6d882>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj83o>7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>9e295?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m5774212i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019<=e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4?dm3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>478e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm18ag>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:5ho51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=>a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7>m00:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58828 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0;f3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:035<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=4k8:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>>:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd61l<1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:9;0?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3:a0<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:89144:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<7l6;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e90i>6<49:183!77nk0:0:&;b5<3=k20b<<>3;::2>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2=f2=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86578;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?6c282>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj83h>7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>9cc95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m5774213i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019<1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4?e03;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>479e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm18`4>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:5o851;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=?a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7>j<0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58`28 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0;b6?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:03m<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=4o>:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>f:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd61h:1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:9c0?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3:=c<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8914l:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<76e;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e903o6<49:183!77nk0:0:&;b5<3=k20b<<>3;:b2>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2=<0=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;865o8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?69482>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj83287?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>98095?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577421ki7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>20191;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4?fm3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>47ae9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm18cg>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:5lm51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=ga3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7>ik0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58c28 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0;be?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:03n<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=4o6:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>e:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd611k1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:9`0?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3:<<<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8914o:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<778;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e902<6<49:183!77nk0:0:&;b5<3=k20b<<>3;:a2>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2==0=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;865l8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?68482>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj83N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>96a95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577421hi7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>20191;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4?0i3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>47be9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm185:>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:58o51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=da3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7>=00:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58b28 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0;63:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:03o<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=4;8:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>d:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd61<<1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:9a0?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3:10<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8914n:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<7;e;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e90>o6<49:183!77nk0:0:&;b5<3=k20b<<>3;:`2>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2=1e=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;865m8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?64c82>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj83?m7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==280=6=4?{%33bg<0k?1C=20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>97495?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577421ii7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>20191;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4?1<3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>47ce9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1840>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:5;<51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=ea3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7>>80:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58e28 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0;02?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:03h<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=4=::085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>c:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd61:>1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:9f0?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3:76<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8914i:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<7<2;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e909:6<49:183!77nk0:0:&;b5<3=k20b<<>3;:g2>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2=7g=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;865j8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?62882>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj83947?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>93495?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577421ni7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=84>:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>20191;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4?3:3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>47de9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1862>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:59>51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=ba3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7>;o0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58d28 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0;0a?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:03i<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=4=k:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>b:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd61881=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:9g0?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3:54<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8914h:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<7>0;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e90:m6<49:183!77nk0:0:&;b5<3=k20b<<>3;:f2>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2=5c=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;865k8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?60e82>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj83;:7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>91695?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577421oi7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=4>:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019<`e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4?7:3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>47ee9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1822>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:5<@8:mj6*79987?!75980a83>>n55a1330>=ca3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7>9m0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=58g28 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0;2g?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:03j<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=4?m:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?>a:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd618k1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:9d0?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3:5<<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8914k:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<6ia;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e91l26<49:183!77nk0:0:&;b5<3=k20b<<>3;:e2>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;865h8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?7f682>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj82m:7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>8d095?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577421li7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>20191;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4>b83;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>47fe9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm19fe>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:4ik51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>=`a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7?lm0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=59128 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0:`e?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:02<<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=5m6:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027??7:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd60j21=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:820?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3;g2<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8915=:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<6l6;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156<>8<1/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e91i>6<49:183!77nk0:0:&;b5<3=k20b<<>3;;32>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;864>8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?7be82>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj82io7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>8cc95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577420:i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019=5e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4>c>3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>460e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm19f6>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:4i:51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330><6a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7?l:0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=59028 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0:g6?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:02=<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=5j>:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027??6:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd60h<1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:830?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3;e0<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8915<:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<6n4;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156<>9<1/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e91k86<49:183!77nk0:0:&;b5<3=k20b<<>3;;22>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;864?8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?7a082>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj822m7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==280=6=4?{%33bg<0k?1C=20393ad<@8:><6*7f1871g>a:&24=c=9<=m7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>88:95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577420;i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019=4e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4>>>3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>461e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm19;6>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:4o<51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330><7a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7?j80:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=59328 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0:a4?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:02><5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=5oi:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027??5:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd60ho1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:800?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3;ea<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8915?:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<672;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156<>:<1/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e912:6<49:183!77nk0:0:&;b5<3=k20b<<>3;;12>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2<=6=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;864<8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?77g82>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj82N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>86495?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m5774208i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019=7e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4>0<3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>462e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1950>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:4:<51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330><4a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7??80:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=59228 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0:;a?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:02?<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=56k:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027??4:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd601i1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:810?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3;2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8915>:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<67a;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156<>;<1/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e91226<49:183!77nk0:0:&;b5<3=k20b<<>3;;02>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2et$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;864=8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?n9e82>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8k2o7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>a8c95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m5774209i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019=6e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4g>:3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>463e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1`;2>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:m4>51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330><5a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7f0o0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=59528 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0c;a?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:028<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=l6k:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027??3:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6i>k1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:860?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3b3<<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:89159:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn1=#9;;:6:jm;I3315=#0o:188l7;o3156<><<1/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9h=<6<49:183!77nk0:0:&;b5<3=k20b<<>3;;72>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2e20=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;864:8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?n7482>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8k=i7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>a7a95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577420>i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019=1e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4g1i3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>464e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1`4:>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:m5851;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330><2a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7f0<0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=59428 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0c;0?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:029<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=l6<:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027??2:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6i181=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:870?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3b<4<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:89158:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<7i6;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156<>=<1/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e90l>6<49:183!77nk0:0:&;b5<3=k20b<<>3;;62>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2=c2=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;864;8;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?6f282>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj83m>7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>96095?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577420?i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019=0e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4?083;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>465e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm184e>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>i6*>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:5;k51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330><3a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7>>m0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=59728 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0c56?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:02:<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=l8>:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027??1:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6i?:1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:840?!770l0:9:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3b1c<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:8915;:4$02;a?72?o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn1=#9;;:6:jm;I3315=#0o:188l7;o3156<>><1/==6j:074b>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9h?o6<49:183!77nk0:0:&;b5<3=k20b<<>3;;52>"681o1=89i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2<3g=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86488;%33<`<6=>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?76882>3<729q/==hm:6a5?M76n11C==hi;%::7e;363c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj82=47?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>87495?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m57742014?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019=3e<,8:3i7?:7g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4>bm3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>466e9'55>b28?!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm19gg>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g9501a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:4hm51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330><0a3-;;4h4>56d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7?mk0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=59628 46?m3;>;k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0:fe?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:02;<5+11:f>430n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=5k6:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027??0:2.:<5k5145e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd39hn1=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;;47>"681o18<9k;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<8h;6<47:183!77nk0:025g>"?110?7E??519'0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=;i>7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019=23<,8:3i7:>7e9j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:n<4>:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330><113-;;4h4;16f8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?=lk51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027??0?2.:<5k5405g?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi85<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<>?11/==6j:534`>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9?nf;39j1/44654:J2406<,1l;69;m8:l2645=1>30(<>7e;623a=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:>b`82>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m577420=j7)??8d8752b14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;1c`95?>=83:p(<>ib;5`=>N69o20D<>if:&2647=9909g9041c3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm40``>4544`;?k759:02;n5+11:f>170l2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb53a`?7=03:10g`93f?<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:8915:j4$02;a?26?m1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c62f`<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;8649j;%33<`<39>n0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`75g`=9321<7>t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a04e728036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>46819'55>b2=;!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f170m3;187>50z&24cd=13;;;5>"681o18<9k;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9?61;397?6=8r.:h6:89155<4$02;a?26?m1b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`752`=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=59918 46?m3>:;i5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?=4<51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;33386*>09g9041c3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb53;5?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=;2?7?53;294~"68oh1=7e;623a=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;19195?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:8915594$02;a?26?m1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1=7=50;2x 46aj3;:j:5G10d;?M77no1/=??>:03e2>"?n90?9o64n0027???02.:<5k5405g?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9?75;390?6=8r.:09g9041c3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f17>=3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019==g<,8:3i7:>7e9j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th?=5951;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=11h0(<>7e;623a=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`75<0=9391<7>t$02ef?76n>1C=3;;;g>"681o18<9k;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=;357?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027???l2.:<5k5405g?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb53:3?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=599g8 46?m3>:;i5f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>2019==`<,8:3i7:>7e9j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;18:95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;;:5>"681o18<9k;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9?69;397?6=8r.:h6:89154<4$02;a?26?m1b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`75t$02ef?76n>1C=3;;:7>"681o18<9k;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=:3:7?53;294~"68oh1=0(<>7e;1eb==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;09795?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;;:2>"681o1?kh7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9>73;397?6=8r.:h6:8915494$02;a?5an11b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`740>=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=598:8 46?m39mj55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?<5<51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;33256*>09g97c`?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb5262?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=:3=7?53;294~"68oh1=7e;1eb==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;04695?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:89154m4$02;a?5an11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027??>l2.:<5k53gd;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9>:2;390?6=8r.:09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f160n3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019=<`<,8:3i7=if99j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th?<8>51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=1h:0(<>7e;1eb==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`742c=9391<7>t$02ef?76n>1C=3;;b5>"681o1?kh7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=:?i7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027??f:2.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb524`?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=59`18 46?m39mj55f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>2019=d2<,8:3i7=if99j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;06a95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;;b2>"681o1?kh7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9>8b;397?6=8r.:h6:8915l94$02;a?5an11b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`741>=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=59`:8 46?m39mj55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?<:o51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;33j56*>09g97c`?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb5272?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=:<47?53;294~"68oh1=7e;1eb==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;05095?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:8915lm4$02;a?5an11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>=1=7=50;2x 46aj3;:j:5G10d;?M77no1/=??>:03e2>"?n90?9o64n0027??fl2.:<5k53gd;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9>;0;390?6=8r.:09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f160>3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019=d`<,8:3i7=if99j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th?<>k51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=1k:0(<>7e;1eb==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`7423=9391<7>t$02ef?76n>1C=3;;a5>"681o1?kh7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=:8o7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027??e:2.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb5240?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=59c18 46?m39mj55f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>2019=g2<,8:3i7=if99j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;06195?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;;a2>"681o1?kh7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9>82;397?6=8r.:h6:8915o94$02;a?5an11b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`7460=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=59c:8 46?m39mj55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?<:?51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;33i56*>09g97c`?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb5200?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=:<<7?53;294~"68oh1=7e;1eb==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;02095?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:8915om4$02;a?5an11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027??el2.:<5k53gd;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9><0;390?6=8r.:09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f16?m3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019=g`<,8:3i7=if99j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th?<;o51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=1j:0(<>7e;1eb==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`74=b=9391<7>t$02ef?76n>1C=3;;`5>"681o1?kh7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=:=47?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027??d:2.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb52;g?7=;3:10g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=59b18 46?m39mj55f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>2019=f2<,8:3i7=if99j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;09`95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;;`2>"681o1?kh7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9>7a;397?6=8r.:h6:8915n94$02;a?5an11b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`7434=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=59b:8 46?m39mj55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?<5751;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;33h56*>09g97c`?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb5254?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=:347?53;294~"68oh1=7e;1eb==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl;04g95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:8915nm4$02;a?5an11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1=7=50;2x 46aj3;:j:5G10d;?M77no1/=??>:03e2>"?n90?9o64n0027??dl2.:<5k53gd;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9>:a;390?6=8r.:09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f16013;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019=f`<,8:3i7=if99j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th?<9:51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=1m:0(<>7e;1eb==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`743c=9391<7>t$02ef?76n>1C=3;;g5>"681o1?kh7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=:9j7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027??c:2.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb523f?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=::>7?55;294~"68oh14kl4H03e<>N68ol0(kom:536a>"6:8;1==;<;%:e4?22j11/44652028 cgd2=;>i6`>2019=a2<,8:3i7=if99j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<391;3316=#0o:188l7;%::5d9m577420n>7)??8d80bc>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a056f280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8=?>:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``9043c3-;9=<4>0418 =`72=?i46*7998155=#nhi18<;k;o3156<>l>1/==6j:2de<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c635=<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3>:9i5+1332>462;2.3j=4;5c:8 =??2hk0(kol:536`>h6:8915i64$02;a?5an11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>96F>1g:8L46an2.:>2019=a?<,8:3i7=if99j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl;00295?3=83:p(<>ib;:ef>N69o20D<>if:&eeg<391;3316=#0o:188l7;%::09g97c`?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D46dc9'55>b2:lm46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=;<54>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<>lj1/==6j:2de<>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c634c<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3>:9o5+1332>462;2.3j=4;5c:8 =??2;;;7)hnc;621g=i9;;864jk;%33<`<4no20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e<9;=6<4::183!77nk03jo5G10d;?M77no1/jll5407a?!75980:<8=4$9d3>13e02.3554na:&eef<393;;ga>"681o1?kh7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn9>?7;390?6=8r.:09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f167m3;197>50z&24cd=0oh0D<>4$gc`>172i2d:><=59d28 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?<<;51;794?6|,8:mn76ib:J25c><@8:mj6*iac8750g<,88:=7??529':9l5a1330>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;864k=;%33<`<4no20e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<9:o6<4::183!77nk03jo5G10d;?M77no1/jll5407:?!75980:<8=4$9d3>13e02.3554=119'bde=<8?27c?=128:a6=#992n6>hi8:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?26=01/=??>:0267>"?n90?9o64$9;;>dg<,okh69?:9:l2645=1l>0(<>7e;1eb==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7453=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=59d78 46?m39mj55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?<=m51;794?6|,8:mn76ib:J25c><@8:mj6*iac8750><,88:=7??529'"aij0?=864n0027??b>2.:<5k53gd;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2=;>46*>203955343-2m<7::b99'<<>=ih1/jlm5407;?k759:02i:5+11:f>6`a02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;97E?>f99K55`a3-;9=<46559'hi8:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?26=>1/=??>:0267>"?n90?9o64$9;;>7773-ljo7:>569m577420o27)??8d80bc>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a054b280>6=4?{%33bg"?110jm6*iab8750121d94>=n01:1<7*>20397571;g0=>=zj=::j7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027??bj2.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb5212?7==3:10g`90gd8 cge2=;>:6*>203955343-2m<7::b99'<<>=:8:0(kol:5362>h6:8915hm4$02;a?5an11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4;1448 44693;;9>5+8g2900d?3-2247on;%dbg?26=?1e=??<:8gg?!770l08jk64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8=?j:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577420on7)??8d80bc>=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a0542280>6=4?{%33bg"?1109==5+f`a904323g;9=>46eg9'55>b2:lm46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=;>n4>:483>5}#99li65hm;I32b==O99lm7)hnb;6210=#9;;:6<>:3:&;b5<3=k20(577:`c8 cgd2=;>96`>2019=c6<,8:3i7=if99j<2`=83.:>21d95>=n01;1<7*>2039757ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:8915k?4$02;a?5an11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1=7;50;2x 46aj32mn6F>1g:8L46an2.mmo4;1468 44693;;9>5+8g2900d?3-2247<>0:&eef<39<>0b<<>3;;e6>"681o1?kh7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn9>=b;391?6=8r.:172<2.:>a83>>n55+88:9ed=#nhi18<;;;o3156<>n:1/==6j:2de<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c635f<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>46f59'55>b2:lm46g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=;>>4>:483>5}#99li65hm;I32b==O99lm7)hnb;6216=#9;;:6<>:3:&;b5<3=k20(577:333?!`fk3>:9>5a1330><`23-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=<8?87)?=1082405<,1l;69;m8:&;==09g97c`?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=13;;e3>"681o1?kh7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9>=2;391?6=8r.:172:2.:>a83>>n55+88:9646<,okh69?:2:l2645=1o20(<>7e;1eb==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`747?=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0?=8<4$0025?77=:1/4k>544`;?!>>03kj7)hnc;6217=i9;;864h6;%33<`<4no20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e<9;j6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330><`f3-;;4h4:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm4102>4<2290;w)??fc8;bg=O98l37E??fg9'bdd=<8?:7)?=1082405<,1l;69;m8:&;==<5991/jlm54072?k759:02jo5+11:f>6`a02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7:>509'577628:>?6*7f1871g><,1336lo4$gc`>17292d:><=59ga8 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8jkk51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=1on0(<>7e;1eb==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`7452=9391<7>t$02ef?>a12B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446521d8j446;33mi6*>09g97c`?3`25$0025?5792d:>=h50:9j<=6=83.:>0g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=:;?7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5a128 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891m=?4$02;a?5an11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:964721d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>hib;390?6=8r.:09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f16793;1;7>50z&24cd=0on0Dh6:891m=:4$02;a?5an11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8jko51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=i9?0(<>7e;1eb==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`7456=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44652038j446;3k;:6*>09g97c`?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:lm57?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?g7?2.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb2deb?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-2247<>1:l2645=i920(<>7e;1eb==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;c3=>"681o1?kh7;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<8>26<47:183!77nk0:025g>"?110?7E??519'hi8:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=;?;7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019e5d<,8:3i7=if99j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:8;4>:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>d6d3-;;4h4:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?=9;51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?g7l2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8<:;:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9?;3;39j1/44654:J2406<,1l;69;m8:l2645=i9l0(<>7e;1eb==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:>4382>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742h;;7)??8d80bc>14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;15395?>=83:p(<>ib;5`=>N69o20D<>if:&2647=9909g97c`?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4063>4544`;?k759:0j=?5+11:f>6`a02c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb530b?7=03:10g`93f?<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891m<=4$02;a?5an11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c627`<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86l?;;%33<`<4no20e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`756e=9321<7>t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>5:&24=c=;ol37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a045e28036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4n179'55>b2:lm46g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f174i3;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=5a058 46?m39mj55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg26;00:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:`3;?!770l08jk64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd39:21=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;c2=>"681o1?kh7;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<89<6<47:183!77nk0:025g>"?110?7E??519'hi8:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=;8:7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019e4d<,8:3i7=if99j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:?84>:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>d7d3-;;4h4:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?=>:51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?g6l2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8<=<:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9?:0;39j1/44654:J2406<,1l;69;m8:l2645=i8l0(<>7e;1eb==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:>4g82>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742h8;7)??8d80bc>14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;15g95?>=83:p(<>ib;5`=>N69o20D<>if:&2647=9909g97c`?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm406g>4544`;?k759:0j>?5+11:f>6`a02c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb537g?7=03:10g`93f?<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891m?=4$02;a?5an11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c620g<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86l<;;%33<`<4no20e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`751>=9321<7>t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a045c28036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4n279'55>b2:lm46g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f174:3;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=5a358 46?m39mj55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg26;80:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:`0;?!770l08jk64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd39oi1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;c1=>"681o1?kh7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<8li6<47:183!77nk0:025g>"?110?7E??519'hi8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=;mm7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019e7d<,8:3i7=if99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:j44>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>d4d3-;;4h4:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?=k651;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?g5l2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi85<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9j1/44654:J2406<,1l;69;m8:l2645=i;l0(<>7e;1eb==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:=0282>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742h9;7)??8d80bc>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;21095?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g97c`?3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4322>4544`;?k759:0j??5+11:f>6`a02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb5034?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891m>=4$02;a?5an11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c62bc<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86l=;;%33<`<4no20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`75cc=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a04`c28036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4n379'55>b2:lm46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f17a>3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=5a258 46?m39mj55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg26n<0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:`1;?!770l08jk64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd38l>1=7=50;2x 46aj3;:j:5G10d;?M77no1/=??>:03e2>"?n90?9o64n0027?g412.:<5k53gd;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9>m7;391?6=8r.:544`;?!>>03>2i6`>2019e6g<,8:3i7=if99j<2`=83.:>21d95>=n01;1<7*>2039757ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;c0g>"681o1?kh7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9>m6;391?6=8r.:544`;?!>>03>2i6`>2019e6b<,8:3i7=if99j<2`=83.:>21d95>=n01;1<7*>2039757ib;;67>N69o20D<>if:&2647=;8:0(5h?:57a<>h6:891m>k4$02;a?5an11b4:h50;&2647=01307d670;29 4469323565`8g394?"6:8;1i>74;|`74`4=9391<7>t$02ef?76n>1C=3;c0b>"681o1?kh7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=:j:7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?g382.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb52a1?7==3:10g`90gd8 44693;;9>5+8g2900d?3-2247:6e:l2645=i=;0(<>7e;1eb==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`74a2=9391<7>t$02ef??2;2B:=k64H02eb>"6:8;1?<>4$9d3>13e02d:><=5a508 46?m39mj55f86d94?"6:8;14574;h:;4?6=,88:=7679:9l:03e2>"?n90?9o64n0027?g3;2.:<5k53gd;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9>n5;390?6=8r.:09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f16e<3;197>50z&24cd=0oh0Dh6:891m9;4$02;a?5an11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>?6F>1g:8L46an2.:>2019e10<,8:3i7=if99j<2`=83.:>?83:1(<<>1;:;=>=h0o;1<7*>2039a6?<3th?51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3k?;6*>09g97c`?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb52b0?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=:i?7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::m2d:><=5a5;8 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?<@8:mj6*>2039746<,1l;69;m8:l2645=i=k0(<>7e;1eb==n0>l1<7*>2039<=?<3`23<7>5$0025?>?121d4k?50;&2647=m:307pl;0ed95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;c7g>"681o1?kh7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9>m2;391?6=8r.:544`;?!>>03>2i6`>2019e1b<,8:3i7=if99j<2`=83.:>21d95>=n01;1<7*>2039757ib;;67>N69o20D<>if:&2647=;8:0(5h?:57a<>h6:891m9k4$02;a?5an11b4:h50;&2647=01307d670;29 4469323565`8g394?"6:8;1i>74;|`74`b=9391<7>t$02ef?76n>1C=3;c7b>"681o1?kh7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=:i<7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?g282.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb52`4?7==3:10g`90gd8 44693;;9>5+8g2900d?3-2247:6e:l2645=i<;0(<>7e;1eb==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`74ae=9391<7>t$02ef??2;2B:=k64H02eb>"6:8;1?<>4$9d3>13e02d:><=5a408 46?m39mj55f86d94?"6:8;14574;h:;4?6=,88:=7679:9l:03e2>"?n90?9o64n0027?g2;2.:<5k53gd;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9>nf;390?6=8r.:86*>09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f16en3;197>50z&24cd=0oh0Dh6:891m8;4$02;a?5an11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>?6F>1g:8L46an2.:>2019e00<,8:3i7=if99j<2`=83.:>?83:1(<<>1;:;=>=h0o;1<7*>2039a6?<3th?f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3k>;6*>09g97c`?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb52ba?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=:ii7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::m2d:><=5a4;8 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?<@8:mj6*>2039746<,1l;69;m8:l2645=i7e;1eb==n0>l1<7*>2039<=?<3`23<7>5$0025?>?121d4k?50;&2647=m:307pl;0dc95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;c6g>"681o1?kh7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9>md;391?6=8r.:544`;?!>>03>2i6`>2019e0b<,8:3i7=if99j<2`=83.:>21d95>=n01;1<7*>2039757ib;;67>N69o20D<>if:&2647=;8:0(5h?:57a<>h6:891m8k4$02;a?5an11b4:h50;&2647=01307d670;29 4469323565`8g394?"6:8;1i>74;|`74`?=9391<7>t$02ef?76n>1C=3;c6b>"681o1?kh7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=:jo7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?g182.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb52ag?7==3:10g`90gd8 44693;;9>5+8g2900d?3-2247:6e:l2645=i?;0(<>7e;1eb==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`74a>=9391<7>t$02ef??2;2B:=k64H02eb>"6:8;1?<>4$9d3>13e02d:><=5a708 46?m39mj55f86d94?"6:8;14574;h:;4?6=,88:=7679:9l:03e2>"?n90?9o64n0027?g1;2.:<5k53gd;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9>nb;390?6=8r.:09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f16ej3;197>50z&24cd=0oh0Dh6:891m;;4$02;a?5an11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>?6F>1g:8L46an2.:>2019e30<,8:3i7=if99j<2`=83.:>?83:1(<<>1;:;=>=h0o;1<7*>2039a6?<3th?f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3k=;6*>09g97c`?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb52be?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj=:im7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::m2d:><=5a7;8 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?<@8:mj6*>2039746<,1l;69;m8:l2645=i?k0(<>7e;1eb==n0>l1<7*>2039<=?<3`23<7>5$0025?>?121d4k?50;&2647=m:307pl;0d495?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;c5g>"681o1?kh7;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9>m9;391?6=8r.:544`;?!>>03>2i6`>2019e3b<,8:3i7=if99j<2`=83.:>21d95>=n01;1<7*>2039757ib;;67>N69o20D<>if:&2647=;8:0(5h?:57a<>h6:891m;k4$02;a?5an11b4:h50;&2647=01307d670;29 4469323565`8g394?"6:8;1i>74;|`74`3=9391<7>t$02ef?76n>1C=3;c5b>"681o1?kh7;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj=:j47?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?g082.:<5k53gd;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb52a0g`90gd8 44693;;9>5+8g2900d?3-2247:6e:l2645=i>;0(<>7e;1eb==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`74a6=9391<7>t$02ef??2;2B:=k64H02eb>"6:8;1?<>4$9d3>13e02d:><=5a608 46?m39mj55f86d94?"6:8;14574;h:;4?6=,88:=7679:9l:03e2>"?n90?9o64n0027?g0;2.:<5k53gd;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn9>n2;390?6=8r.:09g97c`?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f16e93;197>50z&24cd=0oh0Dh6:891m:;4$02;a?5an11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>?6F>1g:8L46an2.:>2019e20<,8:3i7=if99j<2`=83.:>?83:1(<<>1;:;=>=h0o;1<7*>2039a6?<3th?>8<51;494?6|,8:mn79l0:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>d103-;;4h4:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg251:0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5a6:8 46?m39mj55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5013?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0j;45+11:f>6`a02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8?<9:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?g0i2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3:;?1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6161<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891m:m4$02;a?5an11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9<=3;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<;896<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;c4a>"681o1?kh7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`767`=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86l9i;%33<`<4no20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:=2d82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=i1:0(<>7e;1eb==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=89h7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'hi8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;23`95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742h287)??8d80bc>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=9>l4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019e=2<,8:3i7=if99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f14513;1:7>50z&24cd=?j<0D4n849'55>b2:lm46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm430;>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3k3:6*>09g97c`?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?>??51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>d>03-;;4h4:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg25:90:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5a9:8 46?m39mj55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb507=?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0j445+11:f>6`a02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8?:7:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?g?i2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3:==1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6103<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891m5m4$02;a?5an11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9<;5;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<;>?6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;c;a>"681o1?kh7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7607=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86l6i;%33<`<4no20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:=5182>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=i0:0(<>7e;1eb==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=8?j7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'hi8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;25f95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742h387)??8d80bc>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=98n4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019e<2<,8:3i7=if99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f143j3;1:7>50z&24cd=?j<0D4n949'55>b2:lm46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm436b>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3k2:6*>09g97c`?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?>9=51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>d?03-;;4h4:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg25<;0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5a8:8 46?m39mj55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb50:3?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0j545+11:f>6`a02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8?79:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?g>i2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3:9o1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;c:f>"681o1?kh7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<;:o6<47:183!77nk0:025g>"?110?7E??519'hi8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=8;o7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019e1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=9<54>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>d?b3-;;4h4:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?>=951;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?g>n2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8?>::08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9j1/44654:J2406<,1l;69;m8:l2645=ih;0(<>7e;1eb==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:>c582>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742hk97)??8d80bc>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;27c95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742hk87)??8d80bc>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=9:44>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019ed2<,8:3i7=if99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f14103;1:7>50z&24cd=?j<0D4na49'55>b2:lm46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4344>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3kj:6*>09g97c`?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?>;851;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>dg03-;;4h4:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg25><0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5a`:8 46?m39mj55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5046?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0jm45+11:f>6`a02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8?9>:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?gfi2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3:>:1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c612c<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891mlm4$02;a?5an11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9<9e;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<;:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;cba>"681o1?kh7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`763e=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86loi;%33<`<4no20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:=6c82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=ik:0(<>7e;1eb==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=8=87?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'hi8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;29c95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742hh87)??8d80bc>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=9444>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019eg2<,8:3i7=if99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f14?03;1:7>50z&24cd=?j<0D4nb49'55>b2:lm46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm43:4>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3ki:6*>09g97c`?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?>5851;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>dd03-;;4h4:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg250<0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5ac:8 46?m39mj55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb50:6?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0jn45+11:f>6`a02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8?7>:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?gei2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3:0:1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c612e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891mom4$02;a?5an11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9<7e;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<;2o6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;caa>"681o1?kh7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`76=e=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86lli;%33<`<4no20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:=8c82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=ij:0(<>7e;1eb==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=8387?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'hi8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==4280=6=4?{%33bg<0k?1C=<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;1ea95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742hi87)??8d80bc>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:ho4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019ef2<,8:3i7=if99j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f17ci3;1:7>50z&24cd=?j<0D4nc49'55>b2:lm46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm40f:>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3kh:6*>09g97c`?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?=i651;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>de03-;;4h4:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg26l>0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5ab:8 46?m39mj55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb53f0?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0jo45+11:f>6`a02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi85<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?gdi2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd39l81=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c62a4<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891mnm4$02;a?5an11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9?j0;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<8nm6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;c`a>"681o1?kh7;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`75ac=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86lmi;%33<`<4no20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:>de82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=im:0(<>7e;1eb==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=;o:7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'hi8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;21d95?0=83:p(<>ib;5`4>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742hn87)??8d80bc>14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=8=>4>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>db33-;;4h4;2`68m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th??<<51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?gc=2.:<5k543c7?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8>?>:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9=>0;39j1/44654:J2406<,1l;69;m8:l2645=im=0(<>7e;61e1=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:<0g82>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742hn37)??8d876d214?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;31g95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g907g33`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm422g>4544`;?k759:0jhl5+11:f>14f<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb513g?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891mil4$02;a?25i=1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c61`4<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=l:4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<;hh6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1?b3g;9=>4nde9'55>b2=8j86g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=9h=4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742hnn7)??8d876d2=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86lji;%33<`<3:h>0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<;hi6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1?b3g;9=>4ne19'55>b2=8j86g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=9o44>:283>5}#99li64;<;I32b==O99lm7)?=108055=#0o:188l7;o3156o??o0;6)?=108;<<==>>32e3j<4?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86lk=;%33<`<3:h>0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a07d4280?6=4?{%33bg<>=<1C=l:4i507>5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8?ln:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=3n7c?=128ba1=#992n69o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31546<729q/==hm:870?M76n11C==hi;%3154<4991/4k>544`;?k759:0ji85+11:f>14f<2c3;k4?:%3154!75980n?454}c61g`<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=l:4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<;h96<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>dc03-;;4h4;2`68m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm43`:>4<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,133697j;o3156o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c61g2<62:0;6=u+11da><343A;:j55G11de?!759808==5+8g2900d?3g;9=>4ne89'55>b2=8j86g77g83>!7598034454i9:3>5<#9;;:6566;:m;b4<72-;9=<4j3898yg25km0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>dcf3-;;4h4;2`68m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi8?l>:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742hoi7)??8d876d2=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a07d?280>6=4?{%33bg:3:&;b5<3=k20(577:5;f?k759:0jin5+11:f>14f<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94?:1y'55`e20?87E?>f99K55`a3-;9=<4<119'7290/=??>:9::?>i?n80;6)?=108f7<=9on4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742hon7)??8d876d2=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86lki;%33<`<3:h>0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e<;h<6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1?b3g;9=>4nf19'55>b2=8j86g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=9o84>:283>5}#99li64;<;I32b==O99lm7)?=108055=#0o:188l7;o3156o??o0;6)?=108;<<==>>32e3j<4?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86lh=;%33<`<3:h>0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a07ga280?6=4?{%33bg<>=<1C=l:4i507>5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8?l9:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=3n7c?=128bb1=#992n69o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31546<729q/==hm:870?M76n11C==hi;%3154<4991/4k>544`;?k759:0jj85+11:f>14f<2c3;k4?:%3154!75980n?454}c61gd<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=l:4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e<;kn6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>d`03-;;4h4;2`68m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm43`6>4<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336;o3156o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c61fa<62:0;6=u+11da><343A;:j55G11de?!759808==5+8g2900d?3g;9=>4nf89'55>b2=8j86g77g83>!7598034454i9:3>5<#9;;:6566;:m;b4<72-;9=<4j3898yg24<<0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5agc8 46?m3>9m95f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb517=?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0jjo5+11:f>14f<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8>:7:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?gak2.:<5k543c7?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3;8n1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;ce`>"681o18?o;;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<:;h6<47:183!77nk0:025g>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=9:n7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019ec`<,8:3i7:=a59j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=8=:4>:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>g673-;;4h4;2`68m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th??<:51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?d792.:<5k543c7?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8>?::08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9=>6;39j1/44654:J2406<,1l;69;m8:l2645=j990(<>7e;61e1=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:<2e82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=j9>0(<>7e;61e1=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=99o7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;33c95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742k:<7)??8d876d214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=8>44>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019f5><,8:3i7:=a59j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f15503;1:7>50z&24cd=?j<0D4m089'55>b2=8j86g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4204>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3h;m6*>09g907g33`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th???851;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>g6e3-;;4h4;2`68m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg25mo0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:c2`?!770l0?>l:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd3;=>1=7850;2x 46aj3=h:6F>1g:8L46an2.:>l:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6006<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891n=k4$02;a?25i=1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9=;2;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e<:>:6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;`24>"681o18?o;;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`7716=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86o?>;%33<`<3:h>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:<3g82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=j880(<>7e;61e1=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj=98i7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>4:&24=c=<;k?7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl;2gd95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742k;>7)??8d876d214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=9jh4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019f40<,8:3i7:=a59j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f14al3;1:7>50z&24cd=?j<0D4m169'55>b2=8j86g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm43d`>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3h:46*>09g907g33`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th?>kl51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>g7>3-;;4h4;2`68m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg25nh0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5b0c8 46?m3>9m95f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb50e=?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0i=o5+11:f>14f<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8?h7:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?d6k2.:<5k543c7?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6mo31=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;`2`>"681o1=h:=;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e9l2;6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156o3:=0;6)?=108;<<==>>32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86o?i;%33<`<6m=80e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a5`3e280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi=h9j:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0i><5+11:f>4c3:2c?>94?:%3154!75980n?454}c3f1d<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4m239'55>b28o?>6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742k887)??8d82a14=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86o<;;%33<`<6m=80e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e9l=h6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0i>;5+11:f>4c3:2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg7b?k0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>g403-;;4h4>e508m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi=h;8:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742k837)??8d82a14=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a5`1f28086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c3f3<<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e9l?>6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>g4d3-;;4h4>e508m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm1d::>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4m2e9'55>b28o?>6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg7b>=0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86o!7598034454oc4:>5<#9;;:6h=6;:a5`04280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi=h68:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0i?<5+11:f>4c3:2c?>94?:%3154!75980n?454}c3f27<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4m339'55>b28o?>6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742k987)??8d82a14=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86o=;;%33<`<6m=80e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e9l2>6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0i?;5+11:f>4c3:2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg7b0=0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>g503-;;4h4>e508m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi=h;i:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742k937)??8d82a14=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a5`>428086=4?{%33bg<69o=0Df79':9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c3f<7<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e9l?o6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>g5d3-;;4h4>e508m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm1d:2>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4m3e9'55>b28o?>6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg7b=j0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559':9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86o=i;%33<`<6m=80e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a5`33280?6=4?{%33bg<>=<1C=5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi=k?::085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?d392.:<5k51d61?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6n8>1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3e56<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891n9=4$02;a?7b<;1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn2;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9o;:6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;`71>"681o1=h:=;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2b46=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86o:9;%33<`<6m=80e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?i0g82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=j==0(<>7e;3f07=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8l;i7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>97d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>f0a95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742k>j7)??8d82a1414?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019f1d<,8:3i7?j439j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4`6i3;1:7>50z&24cd=?j<0D4m4b9'55>b28o?>6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1g3:>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3h?h6*>09g95`253`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:j<651;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>g2b3-;;4h4>e508m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7a9>0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5b5d8 46?m3;n8?5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0d22?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0i9=5+11:f>4c3:2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=k>k:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?d292.:<5k51d61?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6n9i1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3e70<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891n8=4$02;a?7b<;1b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vno4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e9o986<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;`61>"681o1=h:=;h1b6?6=,88:=7:=8:9j0=1=83.:>17f32c:!75980:<8:4;|`2b64=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86o;9;%33<`<6m=80e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo?i3082>3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=j<=0(<>7e;3f07=n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8l8<7?56;294~"68oh1;n>4H03e<>N68ol0(<<>1;5gf>"?110?7E??519'0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>97d=n2;29 44693>9465f49594?"6:8;14574;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>f2a95?0=83:p(<>ib;5`4>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742k?j7)??8d82a1414?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019f0d<,8:3i7?j439j7d4=83.:>1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4`4i3;1:7>50z&24cd=?j:0D4m5b9'55>b28o?>6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1g1:>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3h>h6*>09g95`253`9j>7>5$0025?25021b85950;&2647=01307b??3283>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th:j>651;494?6|,8:mn79l0:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>g3b3-;;4h4>e508m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg7a;>0:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5b4d8 46?m3;n8?5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb0d02?7=>3:10g`93f6<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0i:=5+11:f>4c3:2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=k5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?d192.:<5k51d61?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6n;o1=7850;2x 46aj3=h<6F>1g:8L46an2.:>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3faf<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891n;=4$02;a?7b<;1b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vn=1/==6j:0g76>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e9loj6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;`51>"681o1=h:=;h1b6?6=,88:=7:=8:9j0=1=83.:>17f32c:!75980:<8:4;|`2a`?=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86o89;%33<`<6m=80e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo?je982>3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=j?=0(<>7e;3f07=n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8on;7?56;294~"68oh1;n>4H03e<>N68ol0(<<>1;5gf>"?110?7E??519'0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>97d=n2;29 44693>9465f49594?"6:8;14574;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>eg195?0=83:p(<>ib;5`4>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742k14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019f3d<,8:3i7?j439j7d4=83.:>1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4ca93;1:7>50z&24cd=?j:0D4m6b9'55>b28o?>6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1dd3>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3h=h6*>09g95`253`9j>7>5$0025?25021b85950;&2647=01307b??3283>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th:ihh51;494?6|,8:mn79l0:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>g0b3-;;4h4>e508m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg7bml0:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5b7d8 46?m3;n8?5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb0gf`?7=>3:10g`93f6<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0i;=5+11:f>4c3:2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=hk9:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?d092.:<5k51d61?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6ml?1=7850;2x 46aj3=h<6F>1g:8L46an2.:>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3fb0<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891n:=4$02;a?7b<;1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vnj1/44654:J2406<,1l;69;m8:l2645=j>>0(<>7e;3f07=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo?;bc82>=<729q/==hm:6ab?M76n11C==hi;%::7)??8d8203>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>4cc95?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3h<:6*>09g9510?3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm15`:>4=<2.:>544`;?k759:0i;:5+11:f>42102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb06e7?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0i;55+11:f>42102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=9h=:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?d012.:<5k5154;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd61g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c37b5<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891n:l4$02;a?73>11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<:jf;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9=on6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;`4`>"681o1=987;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`20cd=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86o9j;%33<`<6o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?;f`82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=j>l0(<>7e;372==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8>m57?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>4g595?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742k297)??8d8203>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019f=5<,8:3i7?;699j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f42a=3;1:7>50z&24cd=?j<0D4m859'55>b28>=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm15d7>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3h396*>09g9510?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:8hj51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>g>13-;;4h4>47:8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg73mj0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5b958 46?m3;?:55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb06g7?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0i455+11:f>42102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=9j=:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?d?12.:<5k5154;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd61g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c37`5<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891n5l4$02;a?73>11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<:lf;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9=in6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;`;`>"681o1=987;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`20ad=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86o6j;%33<`<6o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?;d`82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=j1l0(<>7e;372==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8>o57?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>4e595?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742k397)??8d8203>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019f<5<,8:3i7?;699j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f42c=3;1:7>50z&24cd=?j<0D4m959'55>b28>=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm15f7>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3h296*>09g9510?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:8nj51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>g?13-;;4h4>47:8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg73kj0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5b858 46?m3;?:55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0717?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742k327)??8d8203>14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f43593;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0i5l5+11:f>42102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd6=;:1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86o7m;%33<`<6o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj8?:j7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4m9b9'55>b28>=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th:9<@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:c;g?!770l0:8;64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn<;=b;39j1/4465b89':53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl>53c95?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>g?a3-;;4h4>47:8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb071=?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:=9321<7>t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742kk:7)??8d8203>14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f435?3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0im?5+11:f>42102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd6=;<1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86oo<;%33<`<6o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj8?997?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4ma59'55>b28>=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th:9?:51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:cc6?!770l0:8;64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn<;>d;39j1/4465b89':53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl>50a95?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>gg03-;;4h4>47:8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb075e?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0im55+11:f>42102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=886:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?df12.:<5k5154;?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6=?21=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3622<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891nll4$02;a?73>11b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn<;96;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9<<>6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;`b`>"681o1=987;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2124=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86ooj;%33<`<6o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?:7082>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=jhl0(<>7e;372==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8?<<7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>57g95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742kh97)??8d8203>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:i4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019fg5<,8:3i7?;699j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f431k3;1:7>50z&24cd=?j<0D4mb59'55>b28>=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm144a>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3hi96*>09g9510?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:9;:51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>gd13-;;4h4>47:8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg72>:0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5bc58 46?m3;?:55f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0747?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86ol6;%33<`<6o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?:4382>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=jkk0(<>7e;372==n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8??=7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>52d95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742kho7)??8d8203>14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=?h4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019fgc<,8:3i7?;699j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f434l3;1:7>50z&24cd=?j<0D4mbg9'55>b28>=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm141`>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3hh<6*>09g9510?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th8>9?51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3hh=6*>09g977433`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb2000?7=;3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n8:l2645=jj80(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl<25295?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;``0>"681o1??<;;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn><<3;397?6=8r.:544`;?!>>03;j46`>2019ff3<,8:3i7==259j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th8>>h51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3hh:6*>09g977433`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb2012?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj:88>7?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5bb:8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>:03e2>"?n90?9o64n0027?dd12.:<5k53307?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn><<0;390?6=8r.:09g977433`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f644l3;1?7>50z&24cd=0o30Dh6:891nnl4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`061?=9391<7>t$02ef?76n>1C=3;``g>"681o1??<;;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj:89j7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?ddl2.:<5k53307?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb200g?7=;3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n8:l2645=jjo0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl<25:95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;`g4>"681o1??<;;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn><544`;?!>>03;j46`>2019fa7<,8:3i7==259j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th8>9951;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3ho>6*>09g977433`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb201`?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj:88m7?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5be68 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>:03e2>"?n90?9o64n0027?dc=2.:<5k53307?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn><=c;390?6=8r.:09g977433`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f64413;1?7>50z&24cd=0o30Dh6:891ni94$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`0613=9391<7>t$02ef?76n>1C=3;`g<>"681o1??<;;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj:89n7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?dc12.:<5k53307?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb2000g`90gd8 44693;;9>5+8g2900d?3-2247?n8:l2645=jmk0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl<25695?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>50z&24cd=13;`gg>"681o1??<;;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn><<7;397?6=8r.:544`;?!>>03;j46`>2019fab<,8:3i7==259j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th8>9=51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3hoi6*>09g977433`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb201=?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj:88:7?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5bd28 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>:03e2>"?n90?9o64n0027?db92.:<5k53307?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn><=8;390?6=8r.:6*>09g977433`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f644=3;1?7>50z&24cd=0o30Dh6:891nh=4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`066c=9391<7>t$02ef?76n>1C=3;`f0>"681o1??<;;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj:8997?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?db=2.:<5k53307?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb2005?7=;3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n8:l2645=jl<0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl<29f95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?db?2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891nh64$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>:k51;694?6|,8:mn77:6:J25c><@8:mj6*>2039=02<@8:?j6F78g9'<=4:k;3c<72-;9=<478`98m=>7290/=??>:9:a?>o68?>1<7*>2039550?32ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110gcf3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a77d3280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0iio5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:583>5}#99li64;9;I32b==O99lm7)?=108:11=O99>m7E67f:&;b5<3=k20b<<>3;`fg>"681o1??<;;h:4b?6=,88:=767a:9j<=6=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn><86;390?6=8r.:a83>>n55a1330>gcc3-;;4h4<2368m=1a290/=??>:9:b?>o?090;6)?=108;5$0025?77>110co86:18'57762l9276sm33:f>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86oki;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi??69:087>5<7s-;;jo46579K54`?3A;;jk5+1332><333A;;8k5G89d8 =`72=?i46`>2019fc6<,8:3i7==259j<2`=83.:>?83:1(<<>1;:;f>=n99461021dn;750;&2647=m:307pl<2`f95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?da92.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891nk<4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>4j51;694?6|,8:mn77:6:J25c><@8:mj6*>2039=02<@8:?j6F78g9'<=4:k;3c<72-;9=<478`98m=>7290/=??>:9:a?>o68?>1<7*>2039550?32ei:44?:%31541<729q/==hm:874?M76n11C==hi;%3154<>==1C==:i;I:;b>"?n90?9o64n0027?da<2.:<5k53307?l>0n3:1(<<>1;:;e>=n01:1<7*>2039<=d<3`;;:94?:%3154<68?207bl99;29 44693o8565rb20;b?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=jo?0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93f421d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn><77;390?6=8r.:a83>>n55a1330>g`03-;;4h4<2368m=1a290/=??>:9:b?>o?090;6)?=108;5$0025?77>110co86:18'57762l9276sm33ce>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86oh6;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi??7j:087>5<7s-;;jo46579K54`?3A;;jk5+1332><333A;;8k5G89d8 =`72=?i46`>2019fcg<,8:3i7==259j<2`=83.:>?83:1(<<>1;:;f>=n99461021dn;750;&2647=m:307pl<26:95?2=83:p(<>ib;;63>N69o20D<>if:&2647=1<>0D<>;f:J;o??o0;6)?=108;=>e32c:<;:50;&2647=99<376am6883>!75980n?454}c11=5<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m57742klh7)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;;h;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4mfe9'55>b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541<729q/==hm:875?M76n11C==hi;%3154<>==1C==:i;I:;b>"?n90?9o64n0027?dam2.:<5k53307?l>0n3:1(<<>1;:;e>=n01:1<7*>2039<=d<3`;;:94?:%3154<68?207bl99;29 44693o8565rb20a5?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=jol0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93f421d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn><6f;390?6=8r.:a83>>n55a1330>f663-;;4h4<2368m=1a290/=??>:9:b?>o?090;6)?=108;5$0025?77>110co86:18'57762l9276sm335:>4<3290;w)??fc8:12=O98l37E??fg9'577620??7E??4g9K<=`<,1l;69;m8:l2645=k980(<>7e;1161=n0>l1<7*>2039<=g<3`23<7>5$0025?>?j21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`06<7=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b08j446;3i;?6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:8i>7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5c168 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;;62>N69o20D<>if:&2647=1<>0D<>;f:J;o??o0;6)?=108;=>e32c:<;:50;&2647=99<376am6883>!75980n?454}c11f6<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m57742j:=7)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;;h36<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4l069'55>b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541<729q/==hm:875?M76n11C==hi;%3154<>==1C==:i;I:;b>"?n90?9o64n0027?e702.:<5k53307?l>0n3:1(<<>1;:;e>=n01:1<7*>2039<=d<3`;;:94?:%3154<68?207bl99;29 44693o8565rb204e?7=<3:10g`9=01<@8;m46F>0gd8 446933>86F>05d8L=>a3-2m<7::b99m57742j:27)??8d80672=>f32c34=4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a77?5280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0h645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:b2a?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8:13=O98l37E??fg9'577620??7E??4g9K<=`<,1l;69;m8:l2645=k9i0(<>7e;1161=n0>l1<7*>2039<=g<3`23<7>5$0025?>?j21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`06d1=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b08j446;3i;h6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:8i57?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5c1g8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;;62>N69o20D<>if:&2647=1<>0D<>;f:J;o??o0;6)?=108;=>e32c:<;:50;&2647=99<376am6883>!75980n?454}c113g<62=0;6=u+11da><303A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;3i:<6*>09g977433`25$0025?>?i21b45>50;&2647=01h07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f64>;3;1;7>50z&24cd=0on0Dh6:891o?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>l651;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;a26>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`9=00<@8;m46F>0gd8 446933>86F>05d8L=>a3-2m<7::b99m57742j;87)??8d80672=>f32c34=4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a77g>280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0h=95+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:b36?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8:13=O98l37E??fg9'577620??7E??4g9K<=`<,1l;69;m8:l2645=k8<0(<>7e;1161=n0>l1<7*>2039<=g<3`23<7>5$0025?>?j21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`062e=93>1<7>t$02ef??2?2B:=k64H02eb>"6:8;158:4H027b>N?0o1/4k>544`;?k759:0h=:5+11:f>645<2c3;k4?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg551=0:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86n?7;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi??on:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=128`5<=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c11<313A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;3i:m6*>09g977433`25$0025?>?i21b45>50;&2647=01h07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f64fj3;1;7>50z&24cd=0on0Dh6:891o?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>ol51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;a2g>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`9=00<@8;m46F>0gd8 446933>86F>05d8L=>a3-2m<7::b99m57742j;o7)??8d80672=>f32c34=4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a771c280?6=4?{%33bg<>=>1C=h6:891o5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93f4f:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>=9a;393?6=8r.:544`;?!>>03=h>6`>2019g76<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0712=93>1<7>t$02ef??2>2B:=k64H02eb>"6:8;158:4H027b>N?0o1/4k>544`;?k759:0h><5+11:f>645<2c3;k4?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg54>k0:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86n<=;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?>9n:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=128`66=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c101g<62=0;6=u+11da><313A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;3i986*>09g977433`25$0025?>?i21b45>50;&2647=01h07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f654k3;187>50z&24cd=1<=0D0e59i:18'5776212j76g78183>!7598034o54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e;:??6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4l279'55>b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110f403-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a762d280?6=4?{%33bg<>=?1C=h6:891o?64$02;a?55:=1b4:h50;&2647=01k07d670;29 4469323n65f1147>5<#9;;:6<>98:9lf3?=83.:>91=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:93f421d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>=8b;393?6=8r.:544`;?!>>03=h>6`>2019g7g<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0735=93>1<7>t$02ef??2>2B:=k64H02eb>"6:8;158:4H027b>N?0o1/4k>544`;?k759:0h>o5+11:f>645<2c3;k4?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg54;m0:694?:1y'55`e20?<7E?>f99K55`a3-;9=<46559K552a3A23j6*7f1871g>07:8?jd113:1(<<>1;g0=>=zj:9>97?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5c3f8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?e5m2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=1<<0D0e59i:18'5776212j76g78183>!7598034o54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e;:=>6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4l319'55>b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110f563-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7603280?6=4?{%33bg<>=?1C=h6:891o><4$02;a?55:=1b4:h50;&2647=01k07d670;29 4469323n65f1147>5<#9;;:6<>98:9lf3?=83.:>;6F>1g:8L46an2.:>4l329'55>b2:8986g77g83>!7598034l54i9:3>5<#9;;:656m;:k2432=83.:>ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:b17?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<46559K552a3A23j6*7f1871g>07:8?jd113:1(<<>1;g0=>=zj:9<;7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5c258 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?e402.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=1<<0D0e59i:18'5776212j76g78183>!7598034o54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e;:9m6<4;:183!77nk029:5G10d;?M77no1/=??>:877?M7713e02d:><=5c2c8 46?m399>95f86d94?"6:8;145o4;h:;4?6=,88:=767b:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th8?8951;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;a0f>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=k:i0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>:6F>1g:8L46an2.:>4l3e9'55>b2:8986g77g83>!7598034l54i9:3>5<#9;;:656m;:k2432=83.:>ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:b1f?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9?0:694?:1y'55`e20?=7E?>f99K55`a3-;9=<46559K552a3A23j6*7f1871g>07:8?jd113:1(<<>1;g0=>=zj:9?<7?54;294~"68oh15894H03e<>N68ol0(<<>1;;60>N68=l0D56i;%:e4?22j11e=??<:b62?!770l08>?:4i95e>5<#9;;:656n;:k;<5<72-;9=<478c98m461<3:1(<<>1;332==`5>32wi?>;7:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=128`07=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c102f<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m57742j>87)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;:?;6<4;:183!77nk029;5G10d;?M77no1/=??>:877?M7713e02d:><=5c568 46?m399>95f86d94?"6:8;145o4;h:;4?6=,88:=767b:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th8?;j51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;a71>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=k=<0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>:6F>1g:8L46an2.:>4l469'55>b2:8986g77g83>!7598034l54i9:3>5<#9;;:656m;:k2432=83.:>ie>00;6)?=108f7<=:583>5}#99li64;8;I32b==O99lm7)?=108:11=O99>m7E67f:&;b5<3=k20b<<>3;a7<>"681o1??<;;h:4b?6=,88:=767a:9j<=6=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn>=:9;393?6=8r.:544`;?!>>03=h>6`>2019g1?<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`073c=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b08j446;3i?m6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:9>=7?54;294~"68oh15884H03e<>N68ol0(<<>1;;60>N68=l0D56i;%:e4?22j11e=??<:b6a?!770l08>?:4i95e>5<#9;;:656n;:k;<5<72-;9=<478c98m461<3:1(<<>1;332==`5>32wi?>8i:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=128`0f=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c10<5<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m57742j>o7)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;:<36<4;:183!77nk029;5G10d;?M77no1/=??>:877?M7713e02d:><=5c5g8 46?m399>95f86d94?"6:8;145o4;h:;4?6=,88:=767b:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th8?9<51;694?6|,8:mn77:7:J25c><@8:mj6*>2039=02<@8:?j6F78g9'<=4:k;3c<72-;9=<478`98m=>7290/=??>:9:a?>o68?>1<7*>2039550?32ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110f373-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7617280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0h9<5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:583>5}#99li64;9;I32b==O99lm7)?=108:11=O99>m7E67f:&;b5<3=k20b<<>3;a66>"681o1??<;;h:4b?6=,88:=767a:9j<=6=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn>=81;393?6=8r.:544`;?!>>03=h>6`>2019g05<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`07=7=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b08j446;3i>86*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:9=57?54;294~"68oh15884H03e<>N68ol0(<<>1;;60>N68=l0D56i;%:e4?22j11e=??<:b76?!770l08>?:4i95e>5<#9;;:656n;:k;<5<72-;9=<478c98m461<3:1(<<>1;332==`5>32wi?>:<:087>5<7s-;;jo46569K54`?3A;;jk5+1332><333A;;8k5G89d8 =`72=?i46`>2019g00<,8:3i7==259j<2`=83.:>?83:1(<<>1;:;f>=n99461021dn;750;&2647=m:307pl<46395?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3i>;6*>09g977433`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm3553>4=<2.:>544`;?k759:0h955+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb265b?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891o874$02;a?55:=1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c172`<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86n;n;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`063>=93;>m7>50z&24cd=??i0Dfd8e0?70?3;<97h=:g39522=9><1j>4i0;360?{#<9o1i?o4n303>5=i:;k1<6`<1582?k56m3:0b99j:19m=07=82d:;h7>4n532>5=#99?n6<>nf:l42d<73-9j=7;kd:J24g0<,88:=799c:&045<68?h0D59k;%:e4?22j11e=??<:b7a?!770l08>?:4i53f>5<47>5n02e7?6<3`;;944?:o33b6<732c?>=4?::k240g=83d::b;29j46a;3:07d:=8;29Lcg>3g;;j>4?;:k2400=83d:i3;28?l54<3:1(ko7:210?k`f?3:0b<>i3;28 g032:;97cl93;32?>o4;;0;6)hn8;107>hai>0:7c??f283?!d1<39:>6`m62824>=n;:;1<7*ia98076=inh=1>6`>0g194>"e>=08=?5ab719b>=n;::1<7*ia98076=inh=1?6`>0g194>"e>=08=?5ab719a>=n;;l1<7*ia98076=inh=186`>0g194>"e>=08=?5ab719`>=n;;o1<7*ia98076=inh=196`>0g194>"e>=08=?5ab719g>=n;;n1<7*ia98076=inh=1:6`>0g194>"e>=08=?5ab719f>=n;;i1<7*ia98076=inh=1;6`>0g194>"e>=08=?5ab719e>=n;:n1<7*ia98076=inh=146`>0g194>"e>=08=?5ab719=>=n;:i1<7*ia98076=inh=156`>0g194>"e>=08=?5ab719<>=n;:h1<7*ia98076=inh=1m6`>0g194>"e>=08=?5ab7193>=n;:k1<7*ia98076=inh=1n6`>0g194>"e>=08=?5ab7192>=n;:31<7*ia98076=inh=1o6`>0g194>"e>=08=?5ab7191>=n;:21<7*ia98076=inh=1h6`>0g194>"e>=08=?5ab7190>=n;:=1<7*ia98076=inh=1i6`>0g194>"e>=08=?5ab7197>=n;:<1<7*ia98076=inh=1j6`>0g194>"e>=08=?5ab7196>=n;:?1<7*ia98076=inh=1==5a11d0>5=#j?>1?<<4nc40>4=?=;o`57?6<3f93i7>5$gc;>6>c3glj;7>4$c47>6153gh=?7?>;:m0=83.mm54<8e9mbd1==2.i:94<739mf35=k21d?5950;&ee=<40m1ejl956:&a21<4?;1en;=5b:9l7=0=83.mm54<8e9mbd1=?2.i:94<739mf35=i21d?4950;&ee=<40m1ejl958:&a21<4?;1en;=59:9l7<0=83.mm54<8e9mbd1=12.i:94<739mf35=021d?4;50;&ee=<40m1ejl95a:&a21<4?;1en;=57:9l7<2=83.mm54<8e9mbd1=j2.i:94<739mf35=>21d?4=50;&ee=<40m1ejl95c:&a21<4?;1en;=55:9l7<4=83.mm54<8e9mbd1=l2.i:94<739mf35=<21d?4?50;&ee=<40m1ejl95e:&a21<4?;1en;=53:9l7<6=83.mm54<8e9mbd1=n2.i:94<739mf35=:21d?5h50;&ee=<40m1ejl95119'f32=;>80bo8<:098k6>2290/jl6539f8jcg028;0(o8;:251?kd1;3:07dhoa;00;6)hn8;d0e>hai>0:7)l94;gf4>he>:09i65ff2:94?"ai10m?l5af`596>"e>=0ni=5ab7196a=5+b769a`64=a:9jb62=83.mm54i3`9mbd1=>2.i:94je19mf35=:010ek=<:18'bd>=n:k0bko8:69'f32=ml:0bo8<:3:8?l`493:1(ko7:g1b?k`f?320(o8;:dg3?kd1;38<76gi3183>!`f03l8m6`ia68:?!d1<3on<6`m62812>=nn;l1<7*ia98e7d=inh=1m6*m658fa5=ij?91>854ig0f>5<#nh21j>o4ngc4>g=#j?>1ih>4nc40>72<3`l9h7>5$gc;>c5f3glj;7m4$c47>`c73gh=?7<<;:ke6f<72-lj47h=nn;21<7*ia98e7d=inh=1=<5+b769a`6he>:0:n65ff3194?"ai10m?l5af`5950=#j?>1ih>4nc40>4g<3`l9>7>5$gc;>c5f3glj;7?9;%`50?cb82di:>4>9:9jb77=83.mm54i3`9mbd1=9>1/n;:5ed28jg0428207dh=0;29 cg?2o9j7chn7;3;?!d1<3on<6`m62823>=nn8l1<7*ia98e7d=inh=1=45+b769a`6he>:0:?65ff0c94?"ai10m?l5af`595a=#j?>1ih>4nc40>44<3`l:57>5$gc;>c5f3glj;7?j;%`50?cb82di:>4>1:9jb4>=83.mm54i3`9mbd1=9o1/n;:5ed28jg0428:07dh>7;29 cg?2o9j7chn7;03?!d1<3on<6`m628e?>oa9?0;6)hn8;d0e>hai>09=6*m658fa5=ij?91i65ff0794?"ai10m?l5af`5967=#j?>1ih>4nc40>a=`c73gh=?7m4;hd27?6=,ok36k=n;odb3?433-h=87kj0:la26=n:k0bko8:358 g032lo;7cl93;:8?l`4n3:1(ko7:g1b?k`f?3837)l94;gf4>he>:0<76gi3d83>!`f03l8m6`ia681=>"e>=0ni=5ab7192>=nn:n1<7*ia98e7d=inh=1>l5+b769a`65<#nh21j>o4ngc4>7d<,k7>5$gc;>c5f3glj;74<;:ke62<72-lj47h!`f03>;>6`ia682?k77n:0;7)l94;1b6>he>:09i65f41294?"ai10?h68o91<6*m6580e7=ij?91>i54i2de>5<#nh218=<4ngc4>6=i99l86=5+b7697d4=;odb3?24$c47>6g53gh=?74?;%`50?5f:2di:>4=a:9j7ce=83.mm54;039mbd1=>2d:1e==h<:19'f32=;h80bo8<:3:8?l5a13:1(ko7:521?k`f?320b<>i3;28 g032:k97cl93;04?>o4n10;6)hn8;636>hai>027c??f283?!d1<39j>6`m62812>=n;o=1<7*ia98747=inh=1m6`>0g194>"e>=08m?5ab71960=5=#j?>1?l<4nc40>72<3`9m97>5$gc;>1653glj;7m4n02e7?6<,ko=;o`57?4432c8j94?:%dbh=:18'bd>=<980bko8:g9m55`4291/n;:53`08jg042;:07d=i1;29 cg?2=:97chn7;33?k77n:0;7)l94;1b6>he>:0:j65f3g294?"ai10?=;odb3?753g;;j>4?;%`50?5f:2di:>4>d:9j7`b=83.mm54;039mbd1=9:1e==h<:19'f32=;h80bo8<:0a8?l5bk3:1(ko7:521?k`f?3;?7c??f283?!d1<39j>6`m6282f>=n;lh1<7*ia98747=inh=1=85a11d0>5=#j?>1?l<4nc40>4g<3`9nm7>5$gc;>1653glj;7?9;o33b6<73-h=87=n2:la26<6121b?h750;&ee=<38;1ejl95169m55`4291/n;:53`08jg0428207d=j8;29 cg?2=:97chn7;3;?k77n:0;7)l94;1b6>he>:0:;65f3d594?"ai10?=;odb3?7f3g;;j>4?;%`50?5f:2di:>4>5:9j7`3=83.mm54;039mbd1=9k1e==h<:19'f32=;h80bo8<:068?l5b;3:1(ko7:521?k`f?3;h7c??f283?!d1<39j>6`m62827>=n;l81<7*ia98747=inh=1=i5a11d0>5=#j?>1?l<4nc40>44<3`9n=7>5$gc;>1653glj;7?j;o33b6<73-h=87=n2:la26<6921b?h>50;&ee=<38;1ejl951g9m55`4291/n;:53`08jg0428:07d=kf;29 cg?2=:97chn7;03?k77n:0;7)l94;1b6>he>:0m76g!`f03>;>6`ia6815>h68o91<6*m6580e7=ij?91i65f3ef94?"ai10?5<#nh218=<4ngc4>754$c47>6g53gh=?7m4;h1gf?6=,ok369>=;odb3?433g;;j>4?;%`50?5f:2di:>4m;:k0`d<72-lj47:?2:lee2<5=2d:i3;28 g032:k97cl93;:8?l27?3:1(ko7:521?k`f?3837c??f283?!d1<39j>6`m6284?>o38?0;6)hn8;636>hai>0956`>0g194>"e>=08m?5ab7192>=n<9?1<7*ia98747=inh=1>l5a11d0>5=#j?>1?l<4nc40>0=o=;o`57?2<3`9mm7>5$gc;>1653glj;7j6:18'bd>=<980bko8:3d8j46a;3:0(o8;:2c1?kd1;3:07bkk7;29 cg?2ln=7chn7;28 g032l9j7cl93;0e?>ibl<0;6)hn8;gg2>hai>0:7)l94;g0e>he>:09i65`ee694?"ai10nh;5af`596>"e>=0n?l5ab7196a=5+b769a6g2dmm:4:;%`50?c4i2di:>4=a:9laa6=83.mm54jd79mbd1=>2.i:94j3`9mf35=:010chmi:18'bd>=mm<0bko8:69'f32=m:k0bo8<:3:8?jcdl3:1(ko7:df5?k`f?320(o8;:d1b?kd1;38<76ajcb83>!`f03oo:6`ia68:?!d1<3o8m6`m62812>=hmjh1<7*ia98f`3=inh=1m6*m658f7d=ij?91>854odab>5<#nh21ii84ngc4>g=#j?>1i>o4nc40>72<3foh57>5$gc;>`b13glj;7m4$c47>`5f3gh=?7<<;:mfg=<72-lj47kk6:lee2=hmj>1<7*ia98f`3=inh=1=<5+b769a6ghe>:0:n65`ecd94?"ai10nh;5af`5950=#j?>1i>o4nc40>4g<3foii7>5$gc;>`b13glj;7?9;%`50?c4i2di:>4>9:9lagb=83.mm54jd79mbd1=9>1/n;:5e2c8jg0428207bkmc;29 cg?2ln=7chn7;3;?!d1<3o8m6`m62823>=hmkh1<7*ia98f`3=inh=1=45+b769a6ghe>:0:?65`ec494?"ai10nh;5af`595a=#j?>1i>o4nc40>44<3foi97>5$gc;>`b13glj;7?j;%`50?c4i2di:>4>1:9lag2=83.mm54jd79mbd1=9o1/n;:5e2c8jg0428:07bkm3;29 cg?2ln=7chn7;03?!d1<3o8m6`m628e?>ibj;0;6)hn8;gg2>hai>09=6*m658f7d=ij?91i65`ec394?"ai10nh;5af`5967=#j?>1i>o4nc40>a=`5f3gh=?7m4;ngbb?6=,ok36hj9;odb3?433-h=87k2dmm:4=5:&a21=mm<0bko8:358 g032l9j7cl93;:8?jccj3:1(ko7:df5?k`f?3837)l94;g0e>he>:0<76ajd`83>!`f03oo:6`ia681=>"e>=0n?l5ab7192>=hmm31<7*ia98f`3=inh=1>l5+b769a6g5<#nh21ii84ngc4>7d<,k5$gc;>`b13glj;74<;:mfg6<72-lj47kk6:lee2<5l2.i:94j3`9mf35=:21dio650;&ee=07dk<0;29 cg?2l9?7chn7;68j46a;3:0(o8;:d07?kd1;3907dk=f;29 cg?2l9?7chn7;78j46a;3:0(o8;:d07?kd1;3807dk=e;29 cg?2l9?7chn7;48j46a;3:0(o8;:d07?kd1;3;07dk=d;29 cg?2l9?7chn7;58j46a;3:0(o8;:d07?kd1;3:07d:nc;29 cg?2=ki7chn7;28j46a;3:0(o8;:5:4?kd1;3;:76g;a`83>!`f03>jn6`ia682?k77n:0;7)l94;6;3>he>:0:<65f4`;94?"ai10?mo5af`596>h68o91<6*m6587<2=ij?91j65f4`:94?"ai10?mo5af`597>h68o91<6*m6587<2=ij?91i65f4`594?"ai10?mo5af`590>h68o91<6*m6587<2=ij?91h65f4`494?"ai10?mo5af`591>h68o91<6*m6587<2=ij?91o65f4`794?"ai10?mo5af`592>h68o91<6*m6587<2=ij?91n65f4`694?"ai10?mo5af`593>h68o91<6*m6587<2=ij?91m65f4c794?"ai10?mo5af`59<>h68o91<6*m6587<2=ij?91565f4c694?"ai10?mo5af`59=>h68o91<6*m6587<2=ij?91465f4c194?"ai10?mo5af`59e>h68o91<6*m6587<2=ij?91;65f4c094?"ai10?mo5af`59f>h68o91<6*m6587<2=ij?91:65f4c394?"ai10?mo5af`59g>h68o91<6*m6587<2=ij?91965f4c294?"ai10?mo5af`59`>h68o91<6*m6587<2=ij?91865f4`d94?"ai10?mo5af`59a>h68o91<6*m6587<2=ij?91?65f4`g94?"ai10?mo5af`59b>h68o91<6*m6587<2=ij?91>65f4`f94?"ai10?mo5af`5955=i99l86=5+b7690=15<#nh218ll4ngc4>474$c47>1>03gh=?7>4;nd:`?6=,ok36k7l;odb3?6<,kk2dmm:4>;%`50?`3:2di:>4=e:9lb=n0i0bko8:29'f32=n=80bo8<:3a8?j`>03:1(ko7:g;`?k`f?3>0(o8;:g61?kd1;38i76ai9683>!`f03l2o6`ia686?!d1<3l?>6`m6281e>=hn0<1<7*ia98e=f=inh=1:6*m658e07=ij?91>454og;6>5<#nh21j4m4ngc4>2=#j?>1j9<4nc40>7><3fl2?7>5$gc;>c?d3glj;764$c47>c253gh=?7<8;:me=7<72-lj47h6c:lee2<>3-h=87h;2:la26<5>21dj4?50;&ee=07bh7f;29 cg?2o3h7chn7;a8 g032o>97cl93;00?>ia0l0;6)hn8;d:g>hai>0o7)l94;d76>he>:09>65`f9f94?"ai10m5n5af`59a>"e>=0m8?5ab71964=4;nd;f?6=,ok36k7l;odb3?773-h=87h;2:la26<6n21dj5o50;&ee=he>:0:h65`f9594?"ai10m5n5af`5956=#j?>1j9<4nc40>4e<3fl3:7>5$gc;>c?d3glj;7?;;%`50?`3:2di:>4>b:9lb=3=83.mm54i9b9mbd1=9<1/n;:5f508jg0428k07bh74;29 cg?2o3h7chn7;35?!d1<3l?>6`m6282=>=hn191<7*ia98e=f=inh=1=:5+b769b14he>:0:965`f6d94?"ai10m5n5af`595g=#j?>1j9<4nc40>42<3fl5$gc;>c?d3glj;7?l;%`50?`3:2di:>4>3:9lb2e=83.mm54i9b9mbd1=9m1/n;:5f508jg0428807bh8b;29 cg?2o3h7chn7;3f?!d1<3l?>6`m62825>=hn>k1<7*ia98e=f=inh=1=k5+b769b144;nd4=?6=,ok36k7l;odb3?473-h=87h;2:la26k2dmm:4=1:&a21=n0i0bko8:318 g032o>97cl93;a8?j`0=3:1(ko7:g;`?k`f?38?7)l94;d76>he>:0i76ai7583>!`f03l2o6`ia6811>"e>=0m8?5ab719e>=hnh91<7*ia98e=f=inh=1>;5+b769b145<#nh21j4m4ngc4>71<,k<3flj=7>5$gc;>c?d3glj;7<7;%`50?`3:2di:>48;:mee5<72-lj47h6c:lee2<512.i:94i439mf35=>21dj4h50;&ee=07bh64;29 cg?2o3h7chn7;0`?!d1<3l?>6`m6280?>ia000;6)hn8;d:g>hai>09h6*m658e07=ij?91>65`f6g94?"ai10m5n5af`596`=#j?>1j9<4nc40>4=c253gh=?7>4;h067?6=,ok36?;=;odb3?64$c47>77c3gh=?7?>;:k114<72-lj47<:2:lee2<63g;;j>4?;%`50?46l2di:>4>0:9j606=83.mm54=539mbd1=:2d:2d:=83.mm54=539mbd1=k2d:i3;28 g032;;o7cl93;28?j?393:1(ko7:863?k`f?3:0(o8;:9de?kd1;38876a63g83>!`f033?<6`ia682?!d1<32mj6`m62816>=h1:o1<7*ia98:05=inh=1>6*m658;bc=ij?91><54o81g>5<#nh2159>4ngc4>6=#j?>14kh4nc40>76<3f38o7>5$gc;><273glj;7:4$c47>=`a3gh=?7?i;:m:7g<72-lj477;0:lee2<23-h=876if:la26<6m21d5>750;&ee=<><91ejl956:&a211/n;:58gd8jg0428i07b7<7;29 cg?20>;7chn7;:8 g0321lm7cl93;3a?>i>;?0;6)hn8;;74>hai>027)l94;:eb>he>:0:m65`92794?"ai1028=5af`59e>"e>=03jk5ab7195<=an2di:>4>6:9l=67=83.mm546419mbd1=m2.i:947fg9mf35=9<10c4=?:18'bd>=1=:0bko8:g9'f32=0ol0bo8<:068?j?5m3:1(ko7:863?k`f?3;;7)l94;:eb>he>:0:?65`93f94?"ai1028=5af`5954=#j?>14kh4nc40>44<3f39o7>5$gc;><273glj;7?=;%`50?>an2di:>4>1:9l=7d=83.mm546419mbd1=9:1/n;:58gd8jg0428:07b7=a;29 cg?20>;7chn7;37?!d1<32mj6`m628e?>i>:00;6)hn8;;74>hai>0:96*m658;bc=ij?91i65`93:94?"ai1028=5af`5953=#j?>14kh4nc40>a==`a3gh=?7m4;n;12?6=,ok364:?;odb3?7?3-h=876if:la2684?:%db9:&a21=83.mm546419mbd1=9h1/n;:58gd8jg042010c4:8:18'bd>=1=:0bko8:0`8 g0321lm7cl93;:8?j?3>3:1(ko7:863?k`f?3;h7)l94;:eb>he>:0<76a64483>!`f033?<6`ia682`>"e>=03jk5ab7192>=h1=>1<7*ia98:05=inh=1=h5+b7695<#nh2159>4ngc4>4`<,k7>5$gc;><273glj;7an2di:>4<;:m:7d<72-lj477;0:lee2<592.i:947fg9mf35=:21d5?h50;&ee=<><91ejl95239'f32=0ol0bo8<:098k<43290/jl659528jcg02;90(o8;:9de?kd1;3:07d=98;29 cg?2:<<7chn7;28 g032:>h7cl93;32?M77?910e>89:18'bd>=;?=0bko8:09'f32=;=i0bo8<:028L460821b?;;50;&ee=<4>>1ejl952:&a21<45$gc;>6003glj;7=4$c47>62d3gh=?7k4H0244>=n;?91<7*ia98022=inh=186*m65800f=ij?91h6F>0628?l51:3:1(ko7:244?k`f?3?0(o8;:26`?kd1;3i0D<>80:9j737=83.mm54<669mbd1=>2.i:94<4b9mf35=j2B:<:>4;h154?6=,ok36>88;odb3?1<,k:l;o`57?g<@8:<<65f36394?"ai108::5af`59<>"e>=088n5ab719=>N68>:07d=80;29 cg?2:<<7chn7;;8 g032:>h7cl93;:8L460821b?;h50;&ee=<4>>1ejl95a:&a21<45$gc;>6003glj;7l4$c47>62d3gh=?784H0244>=n;?n1<7*ia98022=inh=1o6*m65800f=ij?9196F>0628?l51k3:1(ko7:244?k`f?3n0(o8;:26`?kd1;3>0D<>80:9j73d=83.mm54<669mbd1=m2.i:94<4b9mf35=;2B:<:>4;h15e?6=,ok36>88;odb3?`<,k:l;o`57?4<@8:<<65f37;94?"ai108::5af`5955=#j?>1?9m4nc40>4=O99=;76g<5g83>!`f039=;6`ia6825>"e>=088n5ab7194>N68>:07pl<27595?72i3:10g`933e<@8;m46F>0gd8^6g72kq:jh4i4;343?70=3l96k?51669520=n:0m<7?:4;'05c=m;k0b?;o12a?6h68;i1<6`j3783?k27l3:0b9?>:19'553b28:jj6`86`83?!5f93?oh6F>0c48 44693==o6*<018243d<@1=o7)6i0;66f==i9;;86n;l;%33<`<4:;>0e9?j:188m46203:1b<>i3;28?l77=00;6c??f283?>o3:90;66g>04c94?h68o91<65f43094?=n<8n1<75`40294?=n99?h6=4a11d0>5=n7>5n02e7?6<3`>947>5Hgc:?k77n:0;76g>04494?h68o91<65f43394?=n<8i1<75f41a94?=n<;91<75f40`94?=h<9l1<75f1176>54;h100?6=,ok36>=<;odb3?64$c47>6753gh=?7?>;:k077<72-lj47=<3:lee2<63g;;j>4?;%`50?56:2di:>4>0:9j767=83.mm54<329mbd1=:2d:2d:=83.mm54<329mbd1=l2d:i3;28 g032:;97cl93;28?j5?m3:1(ko7:2:g?k`f?3:0(o8;:251?kd1;3;:76a<8b83>!`f0393h6`ia682?!d1<39<>6`m62824>=h;1h1<7*ia9806*m658037=ij?91j65`39c94?"ai1084i5af`597>"e>=08;?5ab719a>=h;131<7*ia980"e>=08;?5ab719g>=h;1=1<7*ia980"e>=08;?5ab719e>=h;0=1<7*ia980"e>=08;?5ab719<>=h;0?1<7*ia980"e>=08;?5ab7192>=h;091<7*ia980"e>=08;?5ab7190>=h;0;1<7*ia980"e>=08;?5ab7196>=h;1l1<7*ia9805<#nh21?5j4ngc4>47<,k9=;o`57?6<3`l8n7>5$gc;>c5f3glj;7>4$c47>`c73gh=?7650;&ee=oa;<0;6)hn8;d0e>hai>0>7)l94;gf4>he>:09m65ff2694?"ai10m?l5af`592>"e>=0ni=5ab7196<=<,k4=6:9jb7`=83.mm54i3`9mbd1=i2.i:94je19mf35=:<10ek=n:k0bko8:c9'f32=ml:0bo8<:368?l`5l3:1(ko7:g1b?k`f?3i0(o8;:dg3?kd1;38876gi2b83>!`f03l8m6`ia68g?!d1<3on<6`m62816>=nn;h1<7*ia98e7d=inh=1i6*m658fa5=ij?91><54ig0b>5<#nh21j>o4ngc4>c=#j?>1ih>4nc40>76<3`l957>5$gc;>c5f3glj;7??;%`50?cb82di:>4>f:9jb7>=83.mm54i3`9mbd1=981/n;:5ed28jg0428o07dh=6;29 cg?2o9j7chn7;31?!d1<3on<6`m6282`>=nn;?1<7*ia98e7d=inh=1=>5+b769a`6he>:0:565ff3394?"ai10m?l5af`5952=#j?>1ih>4nc40>4><3`l9<7>5$gc;>c5f3glj;7?7;%`50?cb82di:>4>7:9jb4`=83.mm54i3`9mbd1=901/n;:5ed28jg0428<07dh>e;29 cg?2o9j7chn7;3b?!d1<3on<6`m62821>=nn8n1<7*ia98e7d=inh=1=o5+b769a`6he>:0:=65ff0:94?"ai10m?l5af`595c=#j?>1ih>4nc40>46<3`l:;7>5$gc;>c5f3glj;74i;:ke53<72-lj47h3;29 cg?2o9j7chn7;07?!d1<3on<6`m628a?>oa9;0;6)hn8;d0e>hai>0996*m658fa5=ij?91m65ff5394?"ai10m?l5af`5963=#j?>1ih>4nc40><=;6=4+f`:9b6g`c73gh=?764;hd0b?6=,ok36k=n;odb3?4?3-h=87kj0:la26<032cm?h4?:%db=n:k0bko8:3`8 g032lo;7cl93;68?l`4:3:1(ko7:g1b?k`f?38h7)l94;gf4>he>:0876gi2683>!`f03l8m6`ia681`>"e>=0ni=5ab7196>=nn8i1<7*ia98e7d=inh=1>h5+b769a`65<#nh21j>o4ngc4>7`<,k;?7>5$gc;>1653glj;7>4n02e7?6<,ko=;o`57?4a32c?<<4?:%db;o33b6<73-h=87=n2:la26<5m21b8=>50;&ee=<38;1ejl952:l24c5=82.i:94hi:18'bd>=<980bko8:29m55`4291/n;:53`08jg042;i07d=ie;29 cg?2=:97chn7;68j46a;3:0(o8;:2c1?kd1;38i76g!`f03>;>6`ia686?k77n:0;7)l94;1b6>he>:09m65f3ga94?"ai10?h68o91<6*m6580e7=ij?91>454i2da>5<#nh218=<4ngc4>2=i99l86=5+b7697d4=;odb3?>4$c47>6g53gh=?7<8;:k0b=<72-lj47:?2:lee2<>3g;;j>4?;%`50?5f:2di:>4=6:9j7c1=83.mm54;039mbd1=i2d:i3;28 g032:k97cl93;00?>o4n=0;6)hn8;636>hai>0o7c??f283?!d1<39j>6`m62816>=n;o91<7*ia98747=inh=1i6`>0g194>"e>=08m?5ab71964=5=#j?>1?l<4nc40>76<3`9m=7>5$gc;>1653glj;7??;o33b6<73-h=87=n2:la26<6n21b?k>50;&ee=<38;1ejl95109m55`4291/n;:53`08jg0428o07d=je;29 cg?2=:97chn7;31?k77n:0;7)l94;1b6>he>:0:h65f3df94?"ai10?=;odb3?733g;;j>4?;%`50?5f:2di:>4>b:9j7`d=83.mm54;039mbd1=9<1e==h<:19'f32=;h80bo8<:0c8?l5bi3:1(ko7:521?k`f?3;=7c??f283?!d1<39j>6`m6282=>=n;l31<7*ia98747=inh=1=:5a11d0>5=#j?>1?l<4nc40>4><3`9n47>5$gc;>1653glj;7?7;o33b6<73-h=87=n2:la26<6?21b?h950;&ee=<38;1ejl95189m55`4291/n;:53`08jg0428<07d=j6;29 cg?2=:97chn7;3b?k77n:0;7)l94;1b6>he>:0:965f3d794?"ai10?=;odb3?7d3g;;j>4?;%`50?5f:2di:>4>3:9j7`4=83.mm54;039mbd1=9m1e==h<:19'f32=;h80bo8<:008?l5b93:1(ko7:521?k`f?3;n7c??f283?!d1<39j>6`m62825>=n;l:1<7*ia98747=inh=1=k5a11d0>5=#j?>1?l<4nc40>46<3`9oj7>5$gc;>1653glj;7jl:18'bd>=<980bko8:318j46a;3:0(o8;:2c1?kd1;3i07d=kb;29 cg?2=:97chn7;07?k77n:0;7)l94;1b6>he>:0i76g!`f03>;>6`ia6811>h68o91<6*m6580e7=ij?91m65f41;94?"ai10?5<#nh218=<4ngc4>714$c47>6g53gh=?764;h633?6=,ok369>=;odb3?4?3g;;j>4?;%`50?5f:2di:>48;:k743<72-lj47:?2:lee2<512d:i3;28 g032:k97cl93;68?l5ai3:1(ko7:521?k`f?38h7c??f283?!d1<39j>6`m6280?>o4mo0;6)hn8;636>hai>09h6`>0g194>"e>=08m?5ab7196>=n;l>1<7*ia98747=inh=1>h5a11d0>5=#j?>1?l<4nc40>4=o=;o`57?6<3foo;7>5$gc;>`b13glj;7>4$c47>`5f3gh=?7ibl80;6)hn8;gg2>hai>0>7)l94;g0e>he>:09m65`ee294?"ai10nh;5af`592>"e>=0n?l5ab7196<=<,k2dmm:46;%`50?c4i2di:>4=6:9lafd=83.mm54jd79mbd1=i2.i:94j3`9mf35=:<10chmn:18'bd>=mm<0bko8:c9'f32=m:k0bo8<:368?jcd13:1(ko7:df5?k`f?3i0(o8;:d1b?kd1;38876ajc983>!`f03oo:6`ia68g?!d1<3o8m6`m62816>=hmj=1<7*ia98f`3=inh=1i6*m658f7d=ij?91><54oda5>5<#nh21ii84ngc4>c=#j?>1i>o4nc40>76<3foh97>5$gc;>`b13glj;7??;%`50?c4i2di:>4>f:9laf2=83.mm54jd79mbd1=981/n;:5e2c8jg0428o07bkl2;29 cg?2ln=7chn7;31?!d1<3o8m6`m6282`>=hmj;1<7*ia98f`3=inh=1=>5+b769a6ghe>:0:565`ecf94?"ai10nh;5af`5952=#j?>1i>o4nc40>4><3foio7>5$gc;>`b13glj;7?7;%`50?c4i2di:>4>7:9lagd=83.mm54jd79mbd1=901/n;:5e2c8jg0428<07bkma;29 cg?2ln=7chn7;3b?!d1<3o8m6`m62821>=hmk31<7*ia98f`3=inh=1=o5+b769a6ghe>:0:=65`ec694?"ai10nh;5af`595c=#j?>1i>o4nc40>46<3foi?7>5$gc;>`b13glj;74i;:mff7<72-lj47kk6:lee2<592.i:94j3`9mf35=m21dio?50;&ee=ibil0;6)hn8;gg2>hai>0996*m658f7d=ij?91m65`eef94?"ai10nh;5af`5963=#j?>1i>o4nc40><=`5f3gh=?764;nggf?6=,ok36hj9;odb3?4?3-h=87k2dmm:4=9:&a21=mm<0bko8:3`8 g032l9j7cl93;68?jcdm3:1(ko7:df5?k`f?38h7)l94;g0e>he>:0876ajc283>!`f03oo:6`ia681`>"e>=0n?l5ab7196>=hmk21<7*ia98f`3=inh=1>h5+b769a6g5<#nh21ii84ngc4>7`<,k5$gc;>`533glj;7>4n02e7?6<,k5$gc;>`533glj;7?4n02e7?6<,k7>5$gc;>`533glj;7<4n02e7?6<,k5$gc;>`533glj;7=4n02e7?6<,k5$gc;>`533glj;7:4n02e7?6<,k5$gc;>`533glj;7;4n02e7?6<,k5$gc;>`533glj;784n02e7?6<,k5$gc;>`533glj;794n02e7?6<,kjo7>5$gc;>1ge3glj;7>4n02e7?6<,k;o33b6<73-h=87:77:la26<6821b8l750;&ee=<3ik1ejl952:l24c5=82.i:94;869mf35=n21b8l650;&ee=<3ik1ejl953:l24c5=82.i:94;869mf35=m21b8l950;&ee=<3ik1ejl954:l24c5=82.i:94;869mf35=l21b8l850;&ee=<3ik1ejl955:l24c5=82.i:94;869mf35=k21b8l;50;&ee=<3ik1ejl956:l24c5=82.i:94;869mf35=j21b8l:50;&ee=<3ik1ejl957:l24c5=82.i:94;869mf35=i21b8o;50;&ee=<3ik1ejl958:l24c5=82.i:94;869mf35=121b8o:50;&ee=<3ik1ejl959:l24c5=82.i:94;869mf35=021b8o=50;&ee=<3ik1ejl95a:l24c5=82.i:94;869mf35=?21b8o<50;&ee=<3ik1ejl95b:l24c5=82.i:94;869mf35=>21b8o?50;&ee=<3ik1ejl95c:l24c5=82.i:94;869mf35==21b8o>50;&ee=<3ik1ejl95d:l24c5=82.i:94;869mf35=<21b8lh50;&ee=<3ik1ejl95e:l24c5=82.i:94;869mf35=;21b8lk50;&ee=<3ik1ejl95f:l24c5=82.i:94;869mf35=:21b8lj50;&ee=<3ik1ejl95119m55`4291/n;:54958jg042810e9o<:18'bd>=97cl93;0e?>ia1k0;6)hn8;d:g>hai>0:7)l94;d76>he>:09i65`f8c94?"ai10m5n5af`596>"e>=0m8?5ab7196a=5+b769b14k2dmm:4:;%`50?`3:2di:>4=a:9lb<0=83.mm54i9b9mbd1=>2.i:94i439mf35=:010ck7::18'bd>=n0i0bko8:69'f32=n=80bo8<:3:8?j`>;3:1(ko7:g;`?k`f?320(o8;:g61?kd1;38<76ai9383>!`f03l2o6`ia68:?!d1<3l?>6`m62812>=hn0;1<7*ia98e=f=inh=1m6*m658e07=ij?91>854og;3>5<#nh21j4m4ngc4>g=#j?>1j9<4nc40>72<3fl3j7>5$gc;>c?d3glj;7m4$c47>c253gh=?7<<;:me<`<72-lj47h6c:lee2d290/jl65f8a8jcg02o1/n;:5f508jg042;:07bh7b;29 cg?2o3h7chn7;33?!d1<3l?>6`m6282b>=hn1k1<7*ia98e=f=inh=1=<5+b769b143:1(ko7:g;`?k`f?3;?7)l94;d76>he>:0:n65`f9794?"ai10m5n5af`5950=#j?>1j9<4nc40>4g<3fl387>5$gc;>c?d3glj;7?9;%`50?`3:2di:>4>9:9lb=5=83.mm54i9b9mbd1=9>1/n;:5f508jg0428207bh72;29 cg?2o3h7chn7;3;?!d1<3l?>6`m62823>=hn1;1<7*ia98e=f=inh=1=45+b769b14he>:0:?65`f6a94?"ai10m5n5af`595a=#j?>1j9<4nc40>44<3fl5$gc;>c?d3glj;7?j;%`50?`3:2di:>4>1:9lb2g=83.mm54i9b9mbd1=9o1/n;:5f508jg0428:07bh89;29 cg?2o3h7chn7;03?!d1<3l?>6`m628e?>ia?10;6)hn8;d:g>hai>09=6*m658e07=ij?91i65`f6594?"ai10m5n5af`5967=#j?>1j9<4nc40>a=c253gh=?7m4;nd41?6=,ok36k7l;odb3?433-h=87h;2:la26k2dmm:4=5:&a21=n0i0bko8:358 g032o>97cl93;:8?j`f93:1(ko7:g;`?k`f?3837)l94;d76>he>:0<76aia183>!`f03l2o6`ia681=>"e>=0m8?5ab7192>=hn0l1<7*ia98e=f=inh=1>l5+b769b145<#nh21j4m4ngc4>7d<,k5$gc;>c?d3glj;74<;:me<<<72-lj47h6c:lee2<5l2.i:94i439mf35=:21dj:k50;&ee=!`f038>>6`ia682?k77n:0;7)l94;02`>he>:0:<65f24294?"ai1099?5af`596>h68o91<6*m65815a=ij?91j65f25d94?"ai1099?5af`597>h68o91<6*m65815a=ij?91i65f25g94?"ai1099?5af`590>h68o91<6*m65815a=ij?91h65f25f94?"ai1099?5af`591>h68o91<6*m65815a=ij?91o65f25a94?"ai1099?5af`592>h68o91<6*m65815a=ij?91n65f25`94?"ai1099?5af`593>h68o91<6*m65815a=ij?91m65f24a94?"ai1099?5af`59<>h68o91<6*m65815a=ij?91565f24`94?"ai1099?5af`59=>h68o91<6*m65815a=ij?91465f24c94?"ai1099?5af`59e>h68o91<6*m65815a=ij?91;65f24;94?"ai1099?5af`59f>h68o91<6*m65815a=ij?91:65f24:94?"ai1099?5af`59g>h68o91<6*m65815a=ij?91965f24594?"ai1099?5af`59`>h68o91<6*m65815a=ij?91865f24494?"ai1099?5af`59a>h68o91<6*m65815a=ij?91?65f24794?"ai1099?5af`59b>h68o91<6*m65815a=ij?91>65f24694?"ai1099?5af`5955=i99l86=5+b76964b5<#nh21>8<4ngc4>474$c47>77c3gh=?7>4;n;75?6=,ok364:?;odb3?6<,k;%`50?>an2di:>4=2:9l=6c=83.mm546419mbd1=:2.i:947fg9mf35=:810c4=k:18'bd>=1=:0bko8:29'f32=0ol0bo8<:328?j?4k3:1(ko7:863?k`f?3>0(o8;:9de?kd1;3;m76a63c83>!`f033?<6`ia686?!d1<32mj6`m6282a>=h1:31<7*ia98:05=inh=1:6*m658;bc=ij?91=i54o81;>5<#nh2159>4ngc4>2=#j?>14kh4nc40>4e<3f38;7>5$gc;><273glj;764$c47>=`a3gh=?7?m;:m:73<72-lj477;0:lee2<>3-h=876if:la26<6i21d5>;50;&ee=<><91ejl95a:&a21;7chn7;a8 g0321lm7cl93;34?>i>;;0;6)hn8;;74>hai>0o7)l94;:eb>he>:0::65`92394?"ai1028=5af`59a>"e>=03jk5ab71950=<91ejl95109'f32=0ol0bo8<:008?j?5k3:1(ko7:863?k`f?3;97)l94;:eb>he>:0:=65`93`94?"ai1028=5af`5956=#j?>14kh4nc40>46<3f39m7>5$gc;><273glj;7?;;%`50?>an2di:>4i;:m:6<<72-lj477;0:lee2<6=2.i:947fg9mf35=m21d5?650;&ee=<><91ejl95179'f32=0ol0bo8<:e98k<40290/jl659528jcg028=0(o8;:9de?kd1;3i07b7=6;29 cg?20>;7chn7;3;?!d1<32mj6`m628a?>i>:<0;6)hn8;;74>hai>0:56*m658;bc=ij?91m65`95:94?"ai1028=5af`595d=#j?>14kh4nc40><=<6=4+f`:9=16=`a3gh=?764;n;72?6=,ok364:?;odb3?7d3-h=876if:la26<032e2884?:%dbd:&a21=1=:0bko8:0d8 g0321lm7cl93;68?j?3:3:1(ko7:863?k`f?38;7)l94;:eb>he>:0876a63`83>!`f033?<6`ia6815>"e>=03jk5ab7196>=h1;l1<7*ia98:05=inh=1>?5+b7695<#nh2159>4ngc4>75<,k5$gc;>6003glj;7>4$c47>62d3gh=?7?>;I3335=4H0244>=n;??1<7*ia98022=inh=1>6*m65800f=ij?91j6F>0628?l51<3:1(ko7:244?k`f?390(o8;:26`?kd1;3o0D<>80:9j735=83.mm54<669mbd1=<2.i:94<4b9mf35=l2B:<:>4;h156?6=,ok36>88;odb3?3<,k:l;o`57?e<@8:<<65f37394?"ai108::5af`592>"e>=088n5ab719f>N68>:07d=90;29 cg?2:<<7chn7;58 g032:>h7cl93;c8L460821b?:?50;&ee=<4>>1ejl958:&a21<45$gc;>6003glj;774$c47>62d3gh=?764H0244>=n;?l1<7*ia98022=inh=1m6*m65800f=ij?91;6F>0628?l51m3:1(ko7:244?k`f?3h0(o8;:26`?kd1;3<0D<>80:9j73b=83.mm54<669mbd1=k2.i:94<4b9mf35==2B:<:>4;h15g?6=,ok36>88;odb3?b<,k:l;o`57?2<@8:<<65f37`94?"ai108::5af`59a>"e>=088n5ab7197>N68>:07d=9a;29 cg?2:<<7chn7;d8 g032:>h7cl93;08L460821b?;750;&ee=<4>>1ejl95119'f32=;=i0bo8<:09K551732c89k4?:%db1:&a21<451;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>f3c3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg5>mo0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5c4g8 46?m399>95f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb2;fa?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0h9k5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?4kk:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?e182.:<5k53307?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd41li1=7850;2x 46aj3=h:6F>1g:8L46an2.:>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1:ag<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891o;<4$02;a?55:=1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn>7ja;392?6=8r.::1/==6j:2010>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;0o26<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;a50>"681o1??<;;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`01=g=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86n8:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=:8882>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=k?<0(<>7e;1161=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:?347?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl<58395?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742j<27)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=5=4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019g3g<,8:3i7==259j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f63?n3;1:7>50z&24cd=?j<0D4l6c9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm34:f>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3i=o6*>09g977433`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th895j51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>f0c3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg520j0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5c7g8 46?m399>95f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb27;f?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0h:k5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?868:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?e082.:<5k53307?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4=1<1=7850;2x 46aj3=h:6F>1g:8L46an2.:>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c1601<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891o:<4$02;a?55:=1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn>;;3;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;<>96<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;a40>"681o1??<;;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`0117=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86n9:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=:4182>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=k><0(<>7e;1161=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:?8j7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl<52f95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742j=27)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=?n4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019g2g<,8:3i7==259j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f62213;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>4l7c9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm357;>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g977433`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th888951;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>f1c3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg53=?0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=5c6g8 46?m399>95f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb2665?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:0h;k5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?9;?:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?e?82.:<5k53307?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4<=l1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:b:2?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c170`<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891o5<4$02;a?55:=1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn>;=0;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;<;m6<49:183!77nk0:0:&;b5<3=k20b<<>3;a;0>"681o1??<;;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`0017=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86n6:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=;4182>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=k1<0(<>7e;1161=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:>8j7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl<94295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>f>>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;7b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742j2i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?3l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0h4n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41=i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86n6k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3?n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4l8d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th859o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:b:e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7;9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<95295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>f?63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;0b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742j387)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?4l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0h595+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41:i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86n7:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:38n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4l979'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85>o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:b;4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7<9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<92295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>f?>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;1b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742j3i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?5l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0h5n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41;i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86n7k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:39n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4l9d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85?o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:b;e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7=9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<93295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fg63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;2b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jk87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?6l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hm95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd418i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86no:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3:n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4la79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85<@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:bc4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7>9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<90295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fg>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;3b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jki7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?7l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hmn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd419i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86nok;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3;n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4lad9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85=o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:bce?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7?9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<91295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fd63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:eb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jh87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>al3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hn95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40oi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86nl:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2mn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4lb79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84ko51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:b`4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6i9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<9d295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fd>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;gb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jhi7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?cl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hnn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41mi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86nlk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3on7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4lbd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85io51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:b`e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7k9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<9e295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fe63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;`b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742ji87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?dl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0ho95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41ji1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86nm:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3hn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4lc79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85no51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:ba4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7l9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<9b295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fe>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;ab?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jii7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?el3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hon5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41ki1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86nmk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3in7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4lcd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85oo51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:bae?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7m9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<9c295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fb63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;bb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jn87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?fl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hh95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41hi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86nj:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3jn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4ld79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85lo51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:bf4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7n9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<9`295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fb>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;:b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jni7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?>l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hhn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd410i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86njk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:32n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4ldd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th854o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:bfe?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>769;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<98295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fc63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;;b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jo87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6??l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hi95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd411i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86nk:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:33n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4le79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th855o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:bg4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>779;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<99295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>fc>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;4b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742joi7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?0l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hin5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41>i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86nkk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4led9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85:o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:bge?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>789;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<96295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>f`63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;5b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jl87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?1l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hj95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41?i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86nh:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3=n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4lf79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85;o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:bd4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>799;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<97295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>f`>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2;6b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742jli7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?2l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0hjn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd411g:8L46an2.:>>03h27)6i0;66f==i9;;86nhk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3>n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4lfd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th858o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:bde?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7:9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<8g295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a663-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:fb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m:87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>bl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o<95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40li1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i>:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2nn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k079'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84ho51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e24?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6j9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<84295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a6>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:7b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m:i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>3l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40=i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i>k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2?n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k0d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th849o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e2e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6;9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<85295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a763-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:0b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m;87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>4l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o=95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40:i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i?:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:28n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k179'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84>o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e34?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6<9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<82295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a7>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:1b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m;i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>5l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o=n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40;i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i?k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:29n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k1d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84?o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e3e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6=9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<83295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a463-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:2b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m887)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>6l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o>95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd408i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i<:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2:n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k279'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84<@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e04?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6>9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<80295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a4>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:3b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m8i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>7l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o>n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd409i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2;n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k2d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84=o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e0e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6?9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<81295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a563-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb25eb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m987)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f61al3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o?95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?oi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i=:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=mn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k379'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;ko51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e14?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9i9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<8d295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a5>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:gb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m9i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>cl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o?n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40mi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i=k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2on7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k3d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84io51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e1e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6k9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<8e295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a263-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:`b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m>87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>dl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o895+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40ji1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i::;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2hn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k479'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84no51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e64?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6l9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<8b295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a2>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:ab?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m>i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>el3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o8n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40ki1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i:k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2in7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k4d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84oo51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e6e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6m9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<8c295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a363-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:bb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m?87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>fl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o995+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40hi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i;:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2jn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k579'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84lo51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e74?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6n9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<8`295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a3>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2::b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m?i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>>l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o9n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd400i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i;k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:22n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k5d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th844o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e7e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>669;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<88295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a063-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:;b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156;1/==6j:2010>o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m<87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>?l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o:95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd401i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i8:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:23n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k679'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th845o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e44?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>679;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<89295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a0>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:4b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156h1/==6j:2010>o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>0l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o:n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40>i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i8k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k6d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84:o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e4e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>689;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<86295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a163-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:5b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m=87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>1l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o;95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd40?i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i9:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2=n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k779'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th84;o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e54?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>699;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<87295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a1>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2:6b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m=i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6>2l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o;n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd401g:8L46an2.:>>03h27)6i0;66f==i9;;86i9k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:2>n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k7d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th848o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e5e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>6:9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<7g295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a>63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb25fb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m287)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f61bl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o495+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?li1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i6:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=nn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k879'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;ho51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e:4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9j9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<74295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a>>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb257b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m2i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f613l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o4n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?=i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i6k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=?n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k8d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;9o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e:e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9;9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<75295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a?63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb250b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m387)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f614l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o595+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?:i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i7:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=8n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k979'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;>o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e;4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9<9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<72295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a?>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb251b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742m3i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f615l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0o5n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?;i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86i7k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=9n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4k9d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;?o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e;e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9=9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<73295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ag63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb252b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mk87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f616l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0om95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?8i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86io:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=:n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4ka79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;<@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:ec4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9>9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<71295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ag>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb24eb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mki7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f60al3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0omn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>oi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86iok;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4kad9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:ko51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:ece?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8i9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<7d295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ad63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb25gb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mh87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f61cl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0on95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?mi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86il:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=on7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4kb79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;io51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e`4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9k9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<70295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ad>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb253b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mhi7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f617l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0onn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?9i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86ilk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=;n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4kbd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;=o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:e`e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9?9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<7e295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ae63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb25`b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mi87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f61dl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0oo95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?ji1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86im:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=hn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4kc79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;no51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:ea4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9l9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<7b295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ae>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb25ab?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mii7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f61el3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0oon5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?ki1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86imk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=in7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4kcd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;oo51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:eae?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9m9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<7c295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ab63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb25bb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mn87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f61fl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0oh95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?hi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86ij:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=jn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4kd79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;lo51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:ef4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9n9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<7`295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ab>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb25:b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mni7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f61>l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0ohn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?0i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86ijk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=2n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4kdd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;4o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:efe?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>969;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<78295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ac63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb25;b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mo87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f61?l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0oi95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?1i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86ik:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=3n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4ke79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;5o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:eg4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>979;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<79295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>ac>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb254b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742moi7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f610l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0oin5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?>i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86ikk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4ked9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;:o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:ege?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>989;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<76295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a`63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb255b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742ml87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f611l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0oj95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4??i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86ih:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:==n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4kf79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;;o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:ed4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>999;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<77295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>a`>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb256b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742mli7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f612l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0ojn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4?1g:8L46an2.:>>03h27)6i0;66f==i9;;86ihk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:=>n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4kfd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8;8o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:ede?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>9:9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<6g295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`663-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb24fb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l:87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f60bl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n<95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>li1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h>:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j079'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:ho51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d24?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8j9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<64295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`6>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb247b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l:i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f603l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>=i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h>k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j0d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:9o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d2e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8;9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<65295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`763-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb240b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l;87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f604l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n=95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>:i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h?:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:<8n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j179'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:>o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d34?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8<9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<62295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`7>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb241b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l;i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f605l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n=n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>;i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h?k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:<9n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j1d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:?o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d3e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8=9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<63295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`463-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb242b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l887)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f606l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n>95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>8i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h<:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:<:n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j279'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:<@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d04?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8>9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<60295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`4>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb243b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l8i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f607l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n>n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>9i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:<;n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j2d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:=o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d0e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8?9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<61295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`563-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb27eb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l987)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f63al3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n?95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4=oi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h=:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:?mn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j379'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th89ko51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d14?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>;i9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<6d295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`5>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb24gb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l9i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f60cl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n?n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>mi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h=k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j3d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:io51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d1e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8k9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<6e295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`263-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb24`b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l>87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f60dl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n895+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>ji1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h::;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j479'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:no51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d64?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8l9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<6b295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`2>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb24ab?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l>i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f60el3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n8n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>ki1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h:k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j4d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:oo51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d6e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8m9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<6c295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`363-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb24bb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l?87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f60fl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n995+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>hi1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h;:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j579'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:lo51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d74?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8n9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<6`295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`3>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb24:b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l?i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f60>l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n9n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>0i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h;k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:<2n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j5d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:4o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d7e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>869;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<68295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`063-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb24;b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156;1/==6j:2010>o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l<87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f60?l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n:95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>1i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h8:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:<3n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j679'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:5o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d44?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>879;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<69295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`0>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb244b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156h1/==6j:2010>o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f600l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n:n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>>i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h8k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:<N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j6d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8::o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d4e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>889;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<66295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`163-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb245b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l=87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f601l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n;95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>?i1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h9:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:<=n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j779'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:;o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d54?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>899;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<67295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`1>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb246b?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l=i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f602l3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n;n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h9k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:<>n7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j7d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8:8o51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d5e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>8:9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<5g295?>=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`>63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb27fb?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l287)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f63bl3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n495+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4=li1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h6:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:?nn7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j879'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th89ho51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d:4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>;j9;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`>>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c55?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l2i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g2n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n4n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i1g:8L46an2.:>>03h27)6i0;66f==i9;;86h6k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:k>h7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j8d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m8m51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d:e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>o:b;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`?63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c65?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l387)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g3n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n595+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i=o1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h7:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:k?h7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j979'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m9m51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d;4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>o;b;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`?>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c75?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742l3i7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g4n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0n5n5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i:o1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86h7k;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:k8h7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4j9d9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m>m51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d;e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>oj1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`g63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c05?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742lk87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g5n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0nm95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i;o1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86ho:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:k9h7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4ja79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m?m51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:dc4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>o=b;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`g>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c15?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742lki7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g6n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0nmn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i8o1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hok;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:k:h7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jad9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m<@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:dce?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>o>b;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`d63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c25?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742lh87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g7n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0nn95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i9o1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hl:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:k;h7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jb79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m=m51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d`4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>o?b;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`d>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2ce5?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742lhi7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6gbn3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0nnn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4ilo1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hlk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:knh7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jbd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8mhm51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:d`e?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>ojb;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`e63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2cf5?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742li87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6gcn3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0no95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4imo1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hm:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:koh7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jc79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8mim51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:da4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>okb;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`e>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2cg5?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742lii7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6gdn3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0non5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4ijo1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hmk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:khh7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jcd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8mnm51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:dae?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>olb;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`b63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c`5?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742ln87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6gen3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0nh95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4iko1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hj:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:kih7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jd79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8mom51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:df4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>omb;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`b>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2ca5?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742lni7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6gfn3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0nhn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4iho1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hjk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:kjh7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jdd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8mlm51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:dfe?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>onb;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`c63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2cb5?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742lo87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g>n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0ni95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i0o1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hk:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:k2h7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4je79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m4m51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:dg4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>o6b;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>`c>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c:5?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742loi7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g?n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0nin5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i1o1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hkk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:k3h7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jed9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m5m51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:dge?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>o7b;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>``63-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c;5?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742ll87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g0n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0nj95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i>o1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hh:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:kN68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jf79'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m:m51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:dd4?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>o8b;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>``>3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c45?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742lli7)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6g1n3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0njn5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd4i?o1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86hhk;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:k=h7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4jfd9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th8m;m51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:dde?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>o9b;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=83:p(<>ib;5``>N69o20D<>if:&2647=99a83>>n55a1330>c663-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb2c35?7=03:10g`93fb<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%315494;29 44693;;5954o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1dl2B:=k64H02eb>"6:8;1==8l;%::3-2m<7::b99m57742o:87)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f6?an3;147>50z&24cd=?jn0D=j01/4k>544`;?k759:0m<95+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0250?6=,88:=7??9598k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd41oo1=7650;2x 46aj3=hh6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;86k>:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2432=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??9183>!75980:<4>4H02ea>=zj:3mh7?58;294~"68oh1;nj4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4i079'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m461<3:1(<<>1;33=1=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f11;3>5<#9;;:6<>60:J24cc<3th85km51;:94?6|,8:mn79ld:J25c><@8:mj6*>2039550d3-2247l6;%:e4?22j11e=??<:g24?!770l08>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn>7ib;39j1/4465b89'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771=10c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl<5e:95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742o:27)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=h:4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019b5g<,8:3i7==259j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f63c>3;1:7>50z&24cd=?j<0D4i0c9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm34g3>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3l;o6*>09g977433`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th89ih51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>c6c3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg52ll0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5f1g8 46?m399>95f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb27g`?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0m645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?8jl:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?`682.:<5k53307?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4=mh1=7850;2x 46aj3=h:6F>1g:8L46an2.:>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c16`d<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891j<<4$02;a?55:=1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn>;k9;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;d20>"681o1??<;;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`01a2=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86k?:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=:bd82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=n8<0(<>7e;1161=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:?ih7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>8:&24=c=;;8?7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl<5b495?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742o;27)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=o84>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019b4g<,8:3i7==259j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f63d<3;1:7>50z&24cd=?j<0D4i1c9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm34a0>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3l:o6*>09g977433`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th89n<51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>c7c3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg52k80:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5f0g8 46?m399>95f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb27`4?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0m=k5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?8li:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?`582.:<5k53307?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd4=kh1=7850;2x 46aj3=h:6F>1g:8L46an2.:>?:4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c16fd<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891j?<4$02;a?55:=1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn>;n4;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;d10>"681o1??<;;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`01d4=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86k<:;%33<`<4:;>0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo=:ab82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=n;<0(<>7e;1161=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj:?jn7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'<=4:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl<5`;95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742o827)??8d8067214?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=m54>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019b7g<,8:3i7==259j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f63f?3;1:7>50z&24cd=?j<0D4i2c9'55>b2:8986g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm34c5>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3l9o6*>09g977433`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th89l;51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>c4c3-;;4h4<2368m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg52i80:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5f3g8 46?m399>95f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb27b4?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0m>k5+11:f>645<2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?9=6:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?=84;39j1/44654:J2406<,1l;69;m8:l2645=n:;0(<>7e;0055=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<<9482>3<729q/==hm:6a5?M76n11C==hi;%::7e;0055=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;92:7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519'0:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=38g95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m57742o9>7)??8d8174614?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019b60<,8:3i7<<119j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f75f83;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>4i369'55>b2;9:<6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm22c2>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>09g966773`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9?l<51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>c5>3-;;4h4=3028m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg44i:0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=5f2c8 46?m388==5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb31b0?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:0m?o5+11:f>75682c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>>78:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?`4k2.:<5k52233?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd5;021=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:g1g?!770l09?<>4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c00=<<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891j>k4$02;a?44991b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn?=6a;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e::3i6<49:183!77nk0:0:&;b5<3=k20b<<>3;d74>"681o1>>??;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`17t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86k:>;%33<`<5;8:0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo<<3782>1<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0m8?5+11:f>75682c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg44>=0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>c243-;;4h4=3028m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>>=k:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742o>?7)??8d81746=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a660e28086=4?{%33bg<69o=0Df79'0:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c002f<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e::9m6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>c2?3-;;4h4=3028m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm224g>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4i489'55>b2;9:<6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg44<90:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'0:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86k:m;%33<`<5;8:0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a6626280?6=4?{%33bg<>=<1C=4i507>5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi>>8i:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0m8i5+11:f>75682c?>94?:%3154!75980n?454}c0007<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4i4d9'55>b2;9:<6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742o>m7)??8d81746=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86k;?;%33<`<5;8:0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e::=:6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0m9?5+11:f>75682c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg44?;0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>c343-;;4h4=3028m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>>:::087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m57742o??7)??8d81746=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a661428086=4?{%33bg<69o=0Df79'0:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0020<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1=4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e::926<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>c3?3-;;4h4=3028m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm2245>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4i589'55>b2;9:<6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg44;h0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'0:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86k;m;%33<`<5;8:0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a665e280?6=4?{%33bg<>=<1C=4i507>5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi>>87:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0m9i5+11:f>75682c?>94?:%3154!75980n?454}c007f<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4i5d9'55>b2;9:<6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m57742o?m7)??8d81746=>>32c3ik4?:%31544<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4i619'55>b2;9:<6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg438j0:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:g42?!770l09?hh4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5<8?1=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:g41?!770l09?hh4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0753<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891j;=4$02;a?44mo1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn?:>7;392?6=8r.:1=#9;;:6:jm;I3315=#0o:188l7;o3156=1/==6j:31fb>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:=;36<49:183!77nk0:0:&;b5<3=k20b<<>3;d51>"681o1>>ki;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`17ce=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=5f748 46?m388ik5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th98=851;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3l=;6*>09g966ca3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb31ea?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>07:8?jd113:1(<<>1;g0=>=zj;>;;7?53;294~"68oh1=7e;00ac=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl=3gd95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891j;o4$02;a?44mo1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?`1j2.:<5k522ge?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?:?9;397?6=8r.:h6:891j;m4$02;a?44mo1b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`16f1=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86k8k;%33<`<5:h:0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo<=c982>3<729q/==hm:6a5?M76n11C==hi;%::7e;01e5=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;8h57?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=2`f95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891j:?4$02;a?45i91b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?`0:2.:<5k523c3?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?09g967g73`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f74e03;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019b22<,8:3i7<=a19j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th9>o>51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=n>?0(<>7e;01e5=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`16g?=9391<7>t$02ef?76n>1C=3;d42>"681o1>?o?;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;8im7?53;294~"68oh1==0(<>7e;01e5=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl=2e095?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742o=37)??8d816<514?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019b2?<,8:3i7<=929j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f74c83;1:7>50z&24cd=?j<0D4i7`9'55>b2;82?6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm222b>4=<2.:>544`;?k759:0m;o5+11:f>74>;2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3133?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891j:m4$02;a?45n<1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0043<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86k9k;%33<`<5:o?0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1753=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>7d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a666328036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4i7g9'55>b2;8m96g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f757l3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=5f928 46?m3895>5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg44m?0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5f938 46?m388m85f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb31f3?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0m4?5+11:f>75f=2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>>k7:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?`?;2.:<5k522c6?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd5;l31=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c00ad<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891j5;4$02;a?44i<1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn?=jb;392?6=8r.:o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e::oh6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;d;3>"681o1>>o:;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`17`b=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86k67;%33<`<5;h?0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo<3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=n130(<>7e;00e0=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;9o;7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=3e;95?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742o2h7)??8d817d314?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019b=b<,8:3i7<1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f75cj3;1:7>50z&24cd=?j<0D4i8d9'55>b2;9j96g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm22f`>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3l3j6*>09g966g23`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9?ij51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>c?73-;;4h4=3`78m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg44kj0:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:g;2?!770l09?l;4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5;k31=7650;2x 46aj3=hm6F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;d:6>"681o1>>o:;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e::hj6<47:183!77nk0:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;9in7?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019b<2<,8:3i7<1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>c?23-;;4h4=3`78m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9?hk51;:94?6|,8:mn79ld:J25c><@8:mj6*7998a=>"6:8;1==8l;%:e4?22j11e=??<:g;5?!770l09?l;4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0828L46am21vn?1/==6j:30:7>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:;on6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;d:<>"681o1>?7<;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`16``=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86k76;%33<`<5:090e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo<=f182>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=n0k0(<>7e;01=6=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;8m=7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519':53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=2g195?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742o3o7)??8d816<514?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019b1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f74cj3;1:7>50z&24cd=?j<0D4i9g9'55>b2;82?6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm23f`>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3lj<6*>09g967?43`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9>ij51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>cg63-;;4h4=2818m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg45ll0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5f`08 46?m3895>5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb30gb?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0mm>5+11:f>74>;2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>?k?:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?`f<2.:<5k523;0?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd5:l;1=7850;2x 46aj3=h:6F>1g:8L46an2.:>4=4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c01a7<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891jl84$02;a?451:1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn?<=2;39j1/44654:J2406<,1l;69;m8:l2645=nh=0(<>7e;015c=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<=3`82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=nh20(<>7e;015c=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;8857?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'f:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==<6*7f1871g>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl=22595?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742oki7)??8d8164`14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019bde<,8:3i7<=1g9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f744=3;1:7>50z&24cd=?j<0D4iae9'55>b2;8:j6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2361>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3lji6*>09g9677a3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th9>9?51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>cga3-;;4h4=20d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg45<90:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5fc28 46?m389=k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb300b?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0mn<5+11:f>746n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>?=j:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?`e:2.:<5k5233e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd5::n1=7850;2x 46aj3=h:6F>1g:8L46an2.:>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c017f<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891jo:4$02;a?459o1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn?<o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:;9?6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;da2>"681o1>??i;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`1665=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86kl8;%33<`<5:8l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo<=9382>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742oh37)??8d8161314?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=28395?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9909g967223`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm23;3>4544`;?k759:0mnl5+11:f>743=2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb30;b?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891jol4$02;a?45<<1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c013=<6210;6=u+11da>2ef3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86kll;%33<`<5:=?0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1621=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>>7d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a671128036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4ibd9'55>b2;8?96g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f740=3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=5fcd8 46?m389885f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg45=?0:6i4=:ey'55`e28:8j6F>1g:8L46an2.35549;%:fa?22j>1C==;?;%:e4?22j11e=??<:ga3?!770l09>9;4i33f>5<5<5<5<5<5;h324`<72g;;j>4>;:m24=e=831d:k?50;9a576229036=4?{%3154<68=?0(<>ib;3140=#0o:188l7;%::>7d=?2;29 446939;=6`>21d94>=n;991<7*>2039757?13:1(<<>1;:;=>=n<;21<7*>203907><3`;:56*>09g967223`;;?o4?:%3154<68:k0b<02c8j447n3807d??3d83>!75980:<>o4n003b?5<3`;:<44?:%3154<69920b<11:8j447n3807d?>0b83>!75980:==64n003b?5<3`2357>5$0025?>?121b8?650;&2647=<;207d?>0d83>!75980?=l54od1:>5<#9;;:6h=6;:p0=1=839pR968;<3140;:9::?xu699o1<7=t^033a>;6:9?1=<>j;<3141<699o0q~?>0e83>6}Y98:o70?=04876==:9;:?69<7;|q15`<72:qU>=:5102:?xu5:80;6>uQ23389447=39;?63>2169546f3ty9>o4?:2y]67d<588;97=?4:?2652=98:i7p}=3583>6}Y::>01<;6:9>1=<>l;|q5b7<72;qU:k<4=0030?77;k1v;h<:181[0a;27:>=:5111`?xu1n=0;6?uQ6g689447<3;;?i5rs7d6>5<5sW2169555b3ty:<5m50;0xZ46?k27:>=;5e2;8yv0a93:1>vP9f09>57632l927psm2376>40gd8 =??2?1/4hk544`4?M77=91/4k>544`;?k759:0mo>5+11:f>743=2c9=h4?::k164<722c9>o4?::k171<722c?4:4?::k5b7<722c=j>4?::k5b1<722c=j84?::k255b=831b=<>j:18m55`42810c<>7c;29?j0a93:17o?=0483>=<729q/=??>:0271>"68oh1=?>:;%:e4?22j11/44656:l2645=nj>0(<>7e;0100=n;981<7*>20397574;h137?6=,88:=7=?1:l265`=921b?=:50;&2647=;9;0b<1;135>h6:9l1?65f89;94?"6:8;14574;h61:53b?>ib;00;6)?=108f7<=5}#9;;:6<>;4:&24cd=9;:?7)6i0;66f==#0021:6`>2019bf3<,8:3i7<=449j555e290/=??>:020e>h6:9l1<65f111`>5<#9;;:6<>21d96>=n999n6=4+1332>464i2d:>=h53:9j546>290/=??>:033<>h6:9l1<65f102b>5<#9;;:6m:18'577628;;46`>21d96>=n98:h6=4+1332>47702d:>=h53:9j<=?=83.:>1;61<>=n98:n6=4+1332>17f32en?44?:%315416=?>::9::?8758=03445rs033a?6=;rT:==k4=0031?768l16=?>;:033a>{t98:o6=4<{_324a=:9;:>69<7;<3141<3:11v??j:180[46m27:>=;531089447<3;:<45rs302>5<4sW89=63>2179755<588;87?>0`9~w74e2908wS<=b:?2653=;9>01<;6:9?1?=;4=0030?768j1v;h=:181[0a:27:>=:5111a?xu1n:0;6?uQ6g189447<3;;?n5rs7d7>5<5sW2169555c3ty=j84?:3y]2c3<588;87??3d9~w46?k3:1>vP>09a89447=3o856s|6g394?4|V?l:70?=058f7<=zuk899:4>:e81>a}#99li6<><@8:mj6*79985?!>bm3>>n:5G1173?!>a83>>n55a1330>ce13-;;4h4=2578m77b2900e?<>:188m74e2900e?=;:188m1>02900e;h=:188m3`42900e;h;:188m3`22900ei681i1<75`6g394?=e9;:>6=47:183!75980:<9;4$02ef?758<1/4k>544`;?!>>03<0b<<>3;d`3>"681o1>?::;h136?6=,88:=7=?1:l265`=821b?==50;&2647=;9;0b<1;135>h6:9l1>65f31794?"6:8;1?=?4n003b?5<3`2357>5$0025?>?121b8?650;&2647=<;207d?>0d83>!75980?=l54od1:>5<#9;;:6h=6;:a5763290h6=4?{%3154<68=>0(<>ib;3141=#0o:188l7;%::>7d??3c83>!75980:<>o4n003b?6<3`;;?n4?:%3154<68:k0b<02c8j447n3907d?>0883>!75980:==64n003b?6<3`;:11:8j447n3907d679;29 4469323565f43:94?"6:8;18?64;h324`<72-;9=<4;1`98k`5>290/=??>:d1:?>{t<1=1<7=t^5:4?8758<0344521327>=>>3ty:==k50;1xZ477m27:>=;5102f?8758=0:==k4}r324a<72:qU=<>k;<3140<3:116=?>;:50;?xu59l0;6>uQ20g89447=39;>63>2169546>3ty9><4?:2y]677<588;97=?3:?2652=98:j7p}=2c83>6}Y:;h01<;6:9>1=<>m;|q171<72:qU>>:4=0031?57=27:>=:5102`?xu1n;0;6?uQ6g089447<3;;?o5rs7d0>5<5sW2169555d3ty=j94?:3y]2c2<588;87??3e9~w3`22909wS8i5:?2652=999n7p}>09a94?4|V8:3o63>2179a6?52z\5b4=:9;:?6h=6;|a6733280o6?4k{%33bg<68:l0D4ic89'55>b2;8?96g=1d83>>o5:80;66g=2c83>>o5;=0;66g;8683>>o1n;0;66g9f283>>o1n=0;66g9f483>>o699n1<75f102f>55;c3140<7210;6=u+1332>463=2.:a83>>n55+88:92>h6:891jno4$02;a?45<<1b?=<50;&2647=;9;0b<1;135>h6:9l1=65f31694?"6:8;1?=?4n003b?4<3`9;97>5$0025?5792d:>=h53:9j<=?=83.:>1;61<>=n98:n6=4+1332>17f32en?44?:%3154f<729q/=??>:0270>"68oh1=?>;;%:e4?22j11/44656:l2645=njh0(<>7e;0100=n999i6=4+1332>464i2d:>=h50:9j555d290/=??>:020e>h6:9l1=65f111g>5<#9;;:6<>21d97>=n98:26=4+1332>47702d:>=h50:9j546f290/=??>:033<>h6:9l1=65f102a>5<#9;;:6l:18'577628;;46`>21d97>=n0131<7*>2039<=?<3`>947>5$0025?25021b=<>j:18'57762=;j76aj3883>!75980n?454}r6;3?6=;rT?4:521326>=>>34;9<947889~w477m3:1?vP>11g89447=3;:477m2wx=<>k:180[768m16=?>::50;?8758=0?>55rs33f>5<4sW8:i63>2179754<588;87?>089~w7462908wS<=1:?2653=;9901<;6:9?1?=:4=0030?768k1v?=;:180[44<27:>=;531789447<3;:5<5sW63>2169555e3ty=j>4?:3y]2c5<588;87??3b9~w3`32909wS8i4:?2652=999o7p}9f483>7}Y>o?01<52z\24=e<588;97k<9:p2c7=838pR;h>;<3141a<52mq/==hm:020b>N69o20D<>if:&;==<13-2ni7::b69K55373-2m<7::b99m57742oih7)??8d816135<5<5<5<6=44i033`?6=3`;:::18;>5<7s-;9=<4>0578 46aj3;9<85+8g2900d?3-224784n0027?`dl2.:<5k52366?l57:3:1(<<>1;135>h6:9l1<65f31194?"6:8;1?=?4n003b?7<3`9;87>5$0025?5792d:>=h52:9j753=83.:>290/=??>:d1:?>{e9;:?6=4l:183!75980:<9:4$02ef?758=1/4k>544`;?!>>03<0b<<>3;d`a>"681o1>?::;h337g<72-;9=<4>02c8j447n3:07d??3b83>!75980:<>o4n003b?7<3`;;?i4?:%3154<68:k0b<11:8j447n3:07d?>0`83>!75980:==64n003b?7<3`;:=83.:>`5>32wx85950;1xZ1>034;9<847889>576321227p}>11g94?5|V8;;i63>2179546b34;9<94>11g8yv768m0;6>uQ102g?8758<0?>5521327>14?3ty9=h4?:2y]64c<588;97=?2:?2652=98:27p}=2083>6}Y:;;01<;6:9>1=<>n;|q16g<72:qU>?l4=0031?57<27:>=:5102a?xu5;=0;6>uQ22689447=39;963>2169546d3ty=j?4?:3y]2c4<588;87??3c9~w3`42909wS8i3:?2652=999h7p}9f583>7}Y>o>01<6=4={_4e1>;6:9>1===j;|q24=e=838pR<>7c:?2653=m:30q~8i1;296~X1n816=?>;:d1:?x{e:;?96<4k:38g!77nk0:<>h4H03e<>N68ol0(577:79'<`c=<<4?::k16g<722c9?94?::k7<2<722c=j?4?::k5b6<722c=j94?::k5b0<722c:==j50;9j546b290e==h<:098k46?k3:17b8i1;29?g758<0;654?:1y'577628:?96*>0g`957623-2m<7::b99'<<>=>2d:><=5fe28 46?m389885f31094?"6:8;1?=?4n003b?6<3`9;?7>5$0025?5792d:>=h51:9j752=83.:>21d97>=n0131<7*>2039<=?<3`>947>5$0025?25021b=<>j:18'57762=;j76aj3883>!75980n?454}c3141<72j0;6=u+1332>463<2.:a83>>n55+88:92>h6:891ji?4$02;a?45<<1b===m:18'577628:8m6`>21d94>=n999h6=4+1332>464i2d:>=h51:9j555c290/=??>:020e>h6:9l1>65f111f>5<#9;;:6<>6:18'577628;;46`>21d94>=n98:j6=4+1332>47702d:>=h51:9j546e290/=??>:033<>h6:9l1>65f102`>5<#9;;:69465f102f>5<#9;;:69?n;:mf7<<72-;9=<4j3898yv2??3:1?vP;869>5762212270?=058;<<=z{8;;i7>53z\255c<588;97?>0d9>576328;;i6s|102g>5<4sW;:14?34;9<94;299~w77b2908wS<>e:?2653=;9801<;6:9?1?==4=0030?768h1v?=;531689447<3;:5<4sW88863>2179753<588;87?>0b9~w3`52909wS8i2:?2652=999i7p}9f283>7}Y>o901<;6:9>1===k;|q5b0<72;qU:k;4=0030?77;l1v<>7c;296~X681i01<{t>o;1<7464n2B:=k64H02eb>"?110=7)6je;66f2=O99?;7)6i0;66f==i9;;86kj=;%33<`<5:=?0e??j:188m7462900e?0d83>k77n:0:76a>09a94?=h>o;1<75m1326>509g967223`9;>7>5$0025?5792d:>=h50:9j755=83.:>21d96>=n;9?1<7*>2039757=83.:>`5>32wi=?>;:18`>5<7s-;9=<4>0568 46aj3;9<95+8g2900d?3-224784n0027?`c<2.:<5k52366?l77;k0;6)?=108246g4;h337f<72-;9=<4>02c8j447n3;07d??3e83>!75980:<>o4n003b?4<3`;;?h4?:%3154<68:k0b<4;h324d<72-;9=<4>11:8j447n3;07d?>0c83>!75980:==64n003b?4<3`;:?13:1(<<>1;:;=>=n<;21<7*>203907><3`;:57632=837p}=1d83>6}Y:8o01<;6:9>1=<>6;|q164<72:qU>??4=0031?57;27:>=:5102b?xu5:k0;6>uQ23`89447=39;863>2169546e3ty9?94?:2y]662<588;97=?5:?2652=98:h7p}9f383>7}Y>o801<;6:9>1===l;|q5b1<72;qU:k:4=0030?77;m1v;h::181[0a=27:>=:5111f?xu681i1<7;6:9?1i>74}r4e5?6=:rT=j<521327>`5>3twi>?;?:08g>702d8L47a02B:3=#0lo188l8;I3315=#0o:188l7;o3156o59l0;66g=2083>>o5:k0;66g=3583>>o30>0;66g9f383>>o1n:0;66g9f583>>o1n<0;66g>11f94?=n98:n6=4a11d0>4=5;n4e5?6=3k;9<84?:983>5}#9;;:6<>;5:&24cd=9;:>7)6i0;66f==#0021:6`>2019ba0<,8:3i7<=449j754=83.:>21d95>=n;9>1<7*>20397579465f102f>5<#9;;:69?n;:mf7<<72-;9=<4j3898yg758=0;6n4?:1y'577628:?86*>0g`957633-2m<7::b99'<<>=>2d:><=5fe58 46?m389885f111a>5<#9;;:6<>21d95>=n999o6=4+1332>464i2d:>=h52:9j555b290/=??>:020e>h6:9l1?65f102:>5<#9;;:6n:18'577628;;46`>21d95>=n98:i6=4+1332>47702d:>=h52:9j546d290/=??>:033<>h6:9l1?65f89;94?"6:8;14574;h61:53b?>ib;00;6)?=108f7<=3;7>53z\7<2=:9;:>6566;<31410e9>57622=8370?=05876==z{;;n6=4<{_02a>;6:9?1?=<4=0030?76801v?<>:180[45927:>=;531189447<3;:5<4sW89n63>2179752<588;87?>0c9~w7532908wS<<4:?2653=;9?01<;6:9>1===m;|q5b6<72;qU:k=4=0030?77;j1v;h;:181[0a<27:>=:5111g?xu1n<0;6?uQ6g789447<3;;?h5rs02;g?6=:rT:<5m4=0031?c412wx:k?50;0xZ3`634;9<94j389~yg45010:6;4?:1y'55`e2>i;7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5fe:8 46?m389885f3`094?"6:8;18?64;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb30;3?7=>3:10g`93f6<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0mh45+11:f>743=2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>?69:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?`ci2.:<5k52366?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd5:1?1=7850;2x 46aj3=h<6F>1g:8L46an2.:>9;4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c01<1<62?0;6=u+11da>2e73A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891jim4$02;a?45<<1b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vn?<73;392?6=8r.:o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:;296<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;dga>"681o1>?::;h1b6?6=,88:=7:=8:9j0=1=83.:>17f32c:!75980:<8:4;|`16=7=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86kji;%33<`<5:=?0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo<=6982>3<729q/==hm:6a3?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=nl:0(<>7e;0100=n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;>997?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4ie09'55>b2;>:56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1102=6`>2019b`4<,8:3i7<;189j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`107d=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465909m57742oo87)??8d8104?6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:=9?6<4::183!77nk03jo5G10d;?M77no1/jll51534?!75980:<8=4$9d3>13e02.35548e69'bde=9=;<7c?=128ea1=#992n6?:>9:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1102=6`>2019b`3<,8:3i7<;189j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`107e=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465909m57742oo=7)??8d8104?6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:=8o6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;><721d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?:<5;391?6=8r.:544`;?!>>03=n;6`>2019b`><,8:3i7<;189j<2`=83.:>21d95>=n01;1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00215<5a1330>cc>3-;;4h4=40;8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a614b280<6=4?{%33bg:3:&;b5<3=k20(577:838j446;3lnm6*>09g9617>3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;>9j7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4iec9'55>b2;>:56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?110ccd3-;;4h4=40;8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13364?4n0027?`bl2.:<5k5253:?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D72612c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<>92d:><=5fdd8 46?m38?=45f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021;h94n0027?`a82.:<5k5253:?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge289246*>203955343-2m<7::b99'<<>=?l=0(kol:01:<>h6:891jk?4$02;a?43901b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:956g21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`1065=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465909m57742ol87)??8d8104?6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:=936<4;:183!77nk03jl5G10d;?M77no1/jll512;;?!75980:<8=4$9d3>13e02.35548b89'bde=9:337c?=128eb1=#992n6?:>9:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a610328036=4?{%33bg<0kh1C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4if49'55>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f721;3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=5fg48 46?m38?=45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg43>;0:654?:1y'55`e2>ij7E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:gd4?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;de<>"681o1>9?6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:=<;6<47:183!77nk0:025g>"?110?7E??519'9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>>j7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019bcg<,8:3i7<;189j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>c`e3-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th988j51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?`ak2.:<5k5253:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>979:08;>5<7s-;;jo48c`9K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:65;39j1/44654:J2406<,1l;69;m8:l2645=noo0(<>7e;075<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<;9582>=<729q/==hm:6ab?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742olm7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=48195?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9972612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb36;a?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891==>>;%33<`<5<830e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`10=b=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f72?k3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=51120?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5<1h1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;3341=#992n6?:>9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>3m7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201955623-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th985751;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?778?1/==6j:362=>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:78;39j1/44654:J2406<,1l;69;m8:l2645=99:<7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=49595?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9972612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb364a?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891==>6;%33<`<5<830e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`102b=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f720k3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=5112a?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5<>h1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;334f=#992n6?:>9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019556c3-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th98:751;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?778l1/==6j:362=>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:88;39j1/44654:J2406<,1l;69;m8:l2645=99:m7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=46595?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9972612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb36eg?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891==?>;%33<`<5<830e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`10cd=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f72ai3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=51130?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;3351=#992n6?:>9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>m47?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201955723-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th98k<51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?779?1/==6j:362=>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:i1;39j1/44654:J2406<,1l;69;m8:l2645=99;<7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=4g295?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9972612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb36fb?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891==?6;%33<`<5<830e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`10`c=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f721m3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=5113a?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;335f=#992n6?:>9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>=o7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019557c3-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th98;l51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?779l1/==6j:362=>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:9a;39j1/44654:J2406<,1l;69;m8:l2645=99;m7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=4e:95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=99=5+11:f>72612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb36g3?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891==<>;%33<`<5<830e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`10a0=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f72c=3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=51100?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;3361=#992n6?:>9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>hi7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201955423-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th98nj51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?77:?1/==6j:362=>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:lc;39j1/44654:J2406<,1l;69;m8:l2645=998<7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=4b`95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9955+11:f>72612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb36f0g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891==<6;%33<`<5<830e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`10`1=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f72b>3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=5110a?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;336f=#992n6?:>9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>n87?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019554c3-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th98h=51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?77:l1/==6j:362=>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:j2;39j1/44654:J2406<,1l;69;m8:l2645=998m7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=4d395?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9972612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb36a2?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891===>;%33<`<5<830e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`10g3=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f72e<3;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=51110?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;3371=#992n6?:>9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>ji7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201955523-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th98lj51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?77;?1/==6j:362=>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:nc;39j1/44654:J2406<,1l;69;m8:l2645=999<7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=4``95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9972612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb36be?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891===6;%33<`<5<830e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`10d?=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f72f03;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=5111a?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;337f=#992n6?:>9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>h:7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019555c3-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th98n;51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?77;l1/==6j:362=>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:l4;39j1/44654:J2406<,1l;69;m8:l2645=999m7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=4b195?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9972612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb36`6?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891==:>;%33<`<5<830e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`10f7=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;>:56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f72d83;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=51160?!770l098<74i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;3301=#992n6?:>9:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;>2i7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201955223-;;4h4=40;8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th984j51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?77o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?:6c;39j1/44654:J2406<,1l;69;m8:l2645=99><7)??8d8104?14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=48`95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=9972612c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3710g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=5116:?!770l099=74i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:<8;6<4<:183!77nk03j45G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g?3g;9=>4>05c8 46?m38><45f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>:03e2>"?n90?9o64n0027?77o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:<9m4$02;a?42801b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95d>b2;?;56g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg42:?0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>463m2.:<5k5242:?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?;>7;390?6=8r.:73712c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg429l0:6>4?:1y'55`e21l27E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982e==i9;;86<>:0:&24=c=:<:27d68f;29 446939;=6`>21d94>=n01:1<7*>203975750z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201955363-;;4h4=51;8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>8?9:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428:>>6*>09g9606>3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f736l3;1?7>50z&24cd=0o30Dh6:891==;<;%33<`<5=930e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a604328086=4?{%33bg<69o=0Df79'bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th99<;51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=99?>7)??8d8115?=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a607d28086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:0:<884$02;a?42801b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`1175=9391<7>t$02ef?76n>1C=3;3312=#992n6?;?9:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<==94>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<68<20(<>7e;064<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`114d=9391<7>t$02ef?>a12B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`:8j446;3;;945+11:f>73712c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c0667<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>8>6;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;?:?7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?77=k1/==6j:373=>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c065d<62:0;6=u+11da>=`>3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a99m577428:>o6*>09g9606>3`25$0025?5792d:>=h50:9j<=6=83.:>0g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=5117g?!770l099=74i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:<;96<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>462m2.:<5k5242:?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb372=?7=;3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n8:l2645=99?m7)??8d8115?6663g;94<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4>0728 46?m38><45f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>>1=7=50;2x 46aj32m56F>1g:8L46an2.:>a83>>n55+88:95d>b2;?;56g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg42?h0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>461:2.:<5k5242:?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?;9d;390?6=8r.:5+11:f>73712c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg42?:0:6>4?:1y'55`e21l27E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982e==i9;;86<>94:&24=c=:<:27d68f;29 446939;=6`>21d94>=n01:1<7*>203975750z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201955023-;;4h4=51;8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>88l:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428:=:6*>09g9606>3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f730:3;1?7>50z&24cd=0o30Dh6:891==88;%33<`<5=930e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a601?28086=4?{%33bg<69o=0Df79'<,8:3i7<:089j072=83.:>bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th99;l51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=99<27)??8d8115?=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a601628086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:0:<;o4$02;a?42801b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`1121=9391<7>t$02ef?76n>1C=3;332g=#992n6?;?9:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:l4>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<68?i0(<>7e;064<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`1126=9391<7>t$02ef?>a12B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`:8j446;3;;:i5+11:f>73712c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c0633<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>8>6;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;?=57?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?77>o1/==6j:373=>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0630<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>8>6;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;?=47?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?77?81/==6j:373=>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c062`<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>d19m577428:<>6*>09g9606>3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201955143-;;4h4=51;8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>88>:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28n;7c?=1282422<,8:3i7<:089j<2`=83.:>21d95>=n01;1<7*>2039757ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;?;56g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg42=k0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=57295?3=83:p(<>ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021=i>4n0027?77?>1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c0620<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>8>6;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;?>m7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?77?01/==6j:373=>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c061c<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>b69m577428:09g9606>3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019551e3-;;4h4=51;8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>8;6:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428:09g9606>3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f732m3;197>50z&24cd=0oh0Dh6:891==9k;%33<`<5=930e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:<<86<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<68>o0(<>7e;064<=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl=54:95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891==9i;%33<`<5=930e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e::0267>"?n90?9o64$9;;>4d03g;9=>4>0928 46?m38><45f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th99;<51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;;4<5+11:f>73712c?>94?:%3154!75980n?454}c0612<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>0908 46?m38><45f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th998m51;794?6|,8:mn76ib:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9m:0b<<>3;33<6=#992n6?;?9:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86<>74:&24=c=:<:27d:=4;29 4469323565f8dd94?"6:8;14574;n`5=?6=,88:=7k<9:9~f73?n3;197>50z&24cd=0oh0Dh6:891==6:;%33<`<5=930e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:<386<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<681<0(<>7e;064<=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl=59c95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891==68;%33<`<5=930e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:<2n6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4b73g;9=>4>09:8 46?m38><45f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th994<51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;;445+11:f>73712c?>94?:%3154!75980n?454}c06<<<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>09c8 46?m38><45f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th995j51;794?6|,8:mn76ib:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9m:0b<<>3;33o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86<>7c:&24=c=:<:27d:=4;29 4469323565f8dd94?"6:8;14574;n`5=?6=,88:=7k<9:9~f73?03;187>50z&24cd=13;33:9:b?>o68?>1<7*>2039550?32ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:h=5a1330>46?m2.:<5k5242:?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=511:e?!770l099=74i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:<2<6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>46>82.:<5k5242:?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb37;f?7==3:10g`90gd8 44693;;9>5+8g2900d?3-2247?k0:l2645=993:7)??8d8115?6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a602d28086=4?{%33bg<69o=0Df79'bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th999:51;694?6|,8:mn76ia:J25c><@8:mj6*iac812a5<,88:=7??529'"aij09:i=4n0027?771:1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:<8m6<4<:183!77nk03j45G10d;?M77no1/jll527f1?!75980:<8=4$9d3>13e02.35548739'bde=:?n97c?=12824<2<,8:3i7<:089j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th999l51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;;585+11:f>73712c?>94?:%3154!75980n?454}c067f<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>0848 46?m38><45f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th999=51;694?6|,8:mn76ia:J25c><@8:mj6*iac812a4<,88:=7??529'"aij09:i<4n0027?771>1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:<8n6<4<:183!77nk03j45G10d;?M77no1/jll527f2?!75980:<8=4$9d3>13e02.35548739'bde=:?n:7c?=12824<><,8:3i7<:089j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th999o51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;;545+11:f>73712c?>94?:%3154!75980n?454}c067g<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>08c8 46?m38><45f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th999<51;694?6|,8:mn76ia:J25c><@8:mj6*iac812a7<,88:=7??529'"aij09:i?4n0027?771k1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:<8o6<4<:183!77nk03j45G10d;?M77no1/jll527f3?!75980:<8=4$9d3>13e02.35548739'bde=:?n;7c?=1282421d95>=hj?31<7*>2039a6?<3th999751;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;;5i5+11:f>73712c?>94?:%3154!75980n?454}c067d<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>08g8 46?m38><45f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th999?51;694?6|,8:mn76ia:J25c><@8:mj6*iac812a6<,88:=7??529'"aij09:i>4n0027?771o1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:<8h6<4<:183!77nk03j45G10d;?M77no1/jll527ae?!75980:<8=4$9d3>13e02.35548739'bde=:?im7c?=12824d6<,8:3i7<:089j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th999651;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;;m<5+11:f>73712c?>94?:%3154!75980n?454}c067<<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>0`08 46?m38><45f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th999>51;694?6|,8:mn76ia:J25c><@8:mj6*iac812f`<,88:=7??529'"aij09:nh4n0027?77i:1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:<8i6<4<:183!77nk03j45G10d;?M77no1/jll527af?!75980:<8=4$9d3>13e02.35548739'bde=:?in7c?=12824d2<,8:3i7<:089j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th999951;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;;m85+11:f>73712c?>94?:%3154!75980n?454}c067=<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>0`48 46?m38><45f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th99>h51;694?6|,8:mn76ia:J25c><@8:mj6*iac812fc<,88:=7??529'"aij09:nk4n0027?77i>1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:<8j6<4<:183!77nk03j45G10d;?M77no1/jll527ag?!75980:<8=4$9d3>13e02.35548739'bde=:?io7c?=12824d><,8:3i7<:089j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th999851;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;;m45+11:f>73712c?>94?:%3154!75980n?454}c0672<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>0`c8 46?m38><45f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th99>k51;694?6|,8:mn76ia:J25c><@8:mj6*iac812fb<,88:=7??529'"aij09:nj4n0027?77ik1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:<826<4<:183!77nk03j45G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2153g;9=>4>0`a8 46?m38><45f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>:03e2>"?n90?9o64n0027?77im1/==6j:373=>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95g4b2;?;56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm2600>4=<2.:>544`;?k759:0:4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0467<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>m1:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a624628036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0c08 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg40:90:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02a7>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:>;m6<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46e=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>:?k:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68k<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<81b82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2767>4=<2.:>544`;?k759:0:a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0506<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>m9:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a632528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0cc8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41<80:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02af>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=ho4>:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46el2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>8jn:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68ko0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<:d882>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm27c`>4=<2.:>544`;?k759:0:4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c05eg<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>l1:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a63gf28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0b08 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41i00:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02`7>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=h>4>:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46d=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>8j=:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68j<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<:d082>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm24f3>4=<2.:>544`;?k759:0:a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c06gc<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>l9:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a60eb28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0bc8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg42km0:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02`f>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:<;:6<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1===4>:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46dl2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>8>i:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68jo0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<:0d82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm27a`>4=<2.:>544`;?k759:0:4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c05gg<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>k1:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a63ef28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0e08 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41k00:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02g7>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?i36<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46c=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;m9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68m<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<9c482>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2757>4=<2.:>544`;?k759:0:a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0536<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>k9:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a631528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0ec8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41?80:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02gf>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?=;6<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46cl2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;8j:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68mo0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<96e82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm27:7>4=<2.:>544`;?k759:0:4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c05<6<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>j1:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a63>528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0d08 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41080:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02f7>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?2;6<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46b=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;9j:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68l<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<97e82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm27``>4=<2.:>544`;?k759:0:a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c05fg<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>j9:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a63df28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0dc8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41j00:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02ff>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?h36<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46bl2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;l9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68lo0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<9b482>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm27c7>4=<2.:>544`;?k759:0:4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c05e6<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>i1:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a63g528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0g08 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41i80:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02e7>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?k;6<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46a=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;7j:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68o<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<99e82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2747>4=<2.:>544`;?k759:0:a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0526<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<>i9:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a630528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>0gc8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41>80:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:02ef>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?<;6<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>46al2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;;j:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<68oo0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<95e82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm27;7>4=<2.:>544`;?k759:0:==>4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c05=6<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a63?528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>1108 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41180:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:0337>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?3;6<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>477=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;6j:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<699<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<98e82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm272`>4=<2.:>544`;?k759:0:==64$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c054g<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a636f28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>11c8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41800:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:033f>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?:36<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>477l2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;>9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<699o0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<90482>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm273`>4=<2.:>544`;?k759:0:=<>4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c055g<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;861:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a637f28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>1008 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41900:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:0327>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?;36<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>476=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;?9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<698<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<91482>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm270`>4=<2.:>544`;?k759:0:=<64$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c056g<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869:&24=c=:<:27d=n2;29 44693>9465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a634f28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>10c8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41:00:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:032f>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?836<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:4>:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>476l2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;<9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<698o0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<92482>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm271`>4=<2.:>544`;?k759:0:=?>4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c057g<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a635f28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>1308 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41;00:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:0317>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:?936<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>475=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;=9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<69;<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<93482>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2777>4=<2.:>544`;?k759:0:=?64$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0516<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a633528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>13c8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg41=80:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:031f>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:??;6<47:183!77nk01;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>475l2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>;:j:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<69;o0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<94e82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm24d`>4=<2.:>544`;?k759:0:=>>4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c06bg<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a60`f28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>1208 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg42n00:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:0307>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=j:4>:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>474=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>8h9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<69:<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<:f482>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm24c7>4=<2.:>544`;?k759:0:=>64$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c06e6<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a60g528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>12c8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg42i80:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:030f>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=5k4>:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>474l2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>87j:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<69:o0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<:9e82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm24g`>4=<2.:>544`;?k759:0:=9>4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c06ag<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a60cf28036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>1508 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg42m00:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:0377>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=i:4>:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>473=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>8k9:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<69=<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<:e482>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm24a7>4=<2.:>544`;?k759:0:=964$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c06g6<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a60e528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>15c8 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg42k80:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:037f>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=nk4>:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>473l2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>8lj:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<69=o0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<:be82>=<729q/==hm:6ab?M76n11C==hi;%::09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm24`7>4=<2.:>544`;?k759:0:=8>4$02;a?42801b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c06f6<6210;6=u+11da>2ef3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;869465f40c94?"6:8;18:02:4>=h99986=4+1332>`5>32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a60d528036=4?{%33bg<0kh1C=2039550d3A;;9=5+8g2900d?3g;9=>4>1408 46?m38><45f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>4?:%31549a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg42j80:654?:1y'55`e2>ij7E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:0367>"681o1>8>6;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g>07c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:1;62e>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=mk4>:983>5}#99li6:mn;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>472=2.:<5k5242:?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>8oj:08;>5<7s-;;jo48c`9K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<69<<0(<>7e;064<=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<:ae82>=<729q/==hm:6ab?M76n11C==hi;%::;6*>09g9606>3`9j>7>5$0025?25021b85<#9;;:6<>60:9l5554290/=??>:d1:?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2gfb>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3;:955+11:f>7c102c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>kjm:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?76=01/==6j:3g5<>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:onh6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;321d=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>5c9'55>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2gff>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3;:9n5+11:f>7c102c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>kji:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?76=m1/==6j:3g5<>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:on36<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;321`=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==280=6=4?{%33bg<0k91C=<6*7f1871g>5g9'55>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2gaf>4<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4>1728 46?m38n:55f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>:03e2>"?n90?9o64n0027?76>81/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=;<4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76>:1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=;:4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76><1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=;84$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76>>1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=;64$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76>01/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=;o4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76>k1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=;m4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76>m1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=;k4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76>o1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=:>4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76?81/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=:<4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76?:1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=::4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1=7=50;2x 46aj3;:j:5G10d;?M77no1/=??>:03e2>"?n90?9o64n0027?76?<1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=:84$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76?>1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=:64$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76?01/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=:o4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76?k1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=:m4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76?m1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=:k4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76?o1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=5>4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?76081/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=5<4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>:03e2>"?n90?9o64n0027?760:1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32<0=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32<2=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32<<=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32=4=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32=6=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32=0=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32=2=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32=<=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32=g=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;863;187>50z&24cd=13;32=a=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32=c=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32e4=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32e6=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32e0=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201954g03-;;4h4=e7:8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>hh8:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428;j46*>09g96`0?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f7`6m3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201954g>3-;;4h4=e7:8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>hh::087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428;jm6*>09g96`0?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f7`6l3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201954ge3-;;4h4=e7:8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>hh<:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428;jo6*>09g96`0?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f7`6j3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201954gc3-;;4h4=e7:8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>hh?:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428;ji6*>09g96`0?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f7`6i3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201954ga3-;;4h4=e7:8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>hkj:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428;i<6*>09g96`0?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f7`613;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201954d63-;;4h4=e7:8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>hkl:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428;i>6*>09g96`0?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f7`603;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201954d43-;;4h4=e7:8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>hkn:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428;i86*>09g96`0?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f7`6?3;1?7>50z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201954d23-;;4h4=e7:8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>hk6:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428;i:6*>09g96`0?3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f7`7?3;1?7>50z&24cd=0o30Dh6:891=o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a6c7128086=4?{%33bg<69o=0Df79'<,8:3i7bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th9ih651;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=98h27)??8d81a3>=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a6c6128086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:0:=oo4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`1b43=9391<7>t$02ef?76n>1C=3;32fg=#992n6?k98:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<69ki0(<>7e;0f2==n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`1b53=9391<7>t$02ef?>a12B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`:8j446;3;:ni5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c0e51<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>h87;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;on:7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?76jo1/==6j:3g5<>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0e41<62:0;6=u+11da>=`>3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a99m577428;h<6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>0g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=510a2?!770l09i;64i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:lo>6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>47d:2.:<5k52d4;?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb3d37?7=;3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n8:l2645=98i87)??8d81a3>6663g;94<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4>1b68 46?m38n:55f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>1=7:50;2x 46aj33>96F>1g:8L46an2.:>201954e23-;;4h4=e7:8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm2g21>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,13367e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl=f3;95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>c69'55>b2;o=46g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4a890:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'<,8:3i71;:;e>=n99461021dn;750;&2647=m:307pl=f0295?5=83:p(<>ib;:e=>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l64n0027?76k01/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;8650z&24cd=13;32gg=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:9d:?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m55a1330>47dk2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3fh=57>5$0025?c4121vn?h=7;397?6=8r.:h6:891=!7598034454oc4:>5<#9;;:6h=6;:a6``b280?6=4?{%33bg<>=<1C="681o1>h87;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn?h?e;397?6=8r.:544`;?!>>03;j46`>201954ea3-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi>k<9:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0:=i>4$02;a?4b>11b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`1acb=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=510f2?!770l09i;64i507>5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi>k>k:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=12825a4<,8:3i721d95>=hj?31<7*>2039a6?<3th9j?;51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;:h>5+11:f>7c102c?>94?:%3154!75980n?454}c0fbf<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>1e68 46?m38n:55f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9j=m51;194?6|,8:mn76i9:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h20b<<>3;32`0=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=94>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m577428;o:6*>09g96`0?3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb3gef?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>d69'55>b2;o=46g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li65h6;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i11e=??<:03g<>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj;l9?7?53;294~"68oh1==>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;8607:8?jd113:1(<<>1;g0=>=zj;l;m7?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=510fa?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e:o896<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<69mi0(<>7e;0f2==n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl=eg;95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891=!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:o:26<4<:183!77nk03j45G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g?3g;9=>4>1eg8 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>:03e2>"?n90?9o64n0027?76lo1/==6j:3g5<>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:=h>4$02;a?4b>11b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95d>e09'55>b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg4a980:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>47b:2.:<5k52d4;?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?kj3;390?6=8r.:5+11:f>7c102c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4a880:6>4?:1y'55`e21l27E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982e==i9;;8621d94>=n01:1<7*>203975750z&24cd=13;32a0=#992n6?k98:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?57i?1/=??>:0267>"?n90?9o64$9;;>7773-ljo7=?a79m577428;n:6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D>n6:&2647=99?87)6i0;66f==#0021ml5+f`a975g13g;9=>4>1d58 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9j?j51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=98o37)??8d81a3>=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a6c54280>6=4?{%33bg"?1109==5+f`a975g23g;9=>4>1d;8 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9j>651;794?6|,8:mn76ib:J25c><@8:mj6*iac804d3<,88:=7??529'47bi2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`9=03<@8;m46F>0gd8 446933>86*7f1871g>ec9'55>b2;o=46g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;13e1=#9;;:6<>:3:&;b5<3=k20(577:333?!`fk39;m95a1330>47bk2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2::j86*>203955343-2m<7::b99'<<>=ih1/jlm531c7?k759:0:=hj4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>96F>1g:8L46an2.:>201954cb3-;;4h4=e7:8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm2g12>4<2290;w)??fc8;bg=O98l37E??fg9'bdd=;9k87)?=1082405<,1l;69;m8:&;==<5991/jlm531c0?k759:0:=hh4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4<0`18 44693;;9>5+8g2900d?3-2247on;%dbg?57i:1e=??<:03e4>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?h=a;390?6=8r.:7c102c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4a;90:684?:1y'55`e21li7E?>f99K55`a3-ljn7=?a39'577628:>?6*7f1871g><,1336???;%dbg?57i;1e=??<:03e6>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?h<5;391?6=8r.:66f:2.:>a83>>n55+88:9ed=#nhi1?=o=;o3156<69o90(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`05=g=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>f59'55>b2;o=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f67?13;147>50z&24cd=?jk0D461k2B:<8>4$9d3>13e02d:><=510d6?!770l09i;64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd49121=7650;2x 46aj3=hm6F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;32b3=#992n6?k98:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:;3;7?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201954`03-;;4h4=e7:8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8=5851;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?76n11/==6j:3g5<>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>?75;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=98l27)??8d81a3>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl<19695?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;:jl5+11:f>7c102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb23;7?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`05<4=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>fb9'55>b2;o=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f67>93;147>50z&24cd=?jk0D461k2B:<8>4$9d3>13e02d:><=510dg?!770l09i;64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd490:1=7650;2x 46aj3=hm6F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;32b`=#992n6?k98:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:;3j7?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201954`a3-;;4h4=e7:8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8=5k51;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?75891/==6j:3g5<>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>?7d;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9;::7)??8d81a3>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl<19a95?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;97c102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb23;f?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=?><;%33<`<5m?20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`05=4=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;o=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f67?93;147>50z&24cd=?jk0D461k2B:<8>4$9d3>13e02d:><=51326?!770l09i;64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd48m=1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3143=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj::o:7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201957603-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8<@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?75811/==6j:3g5<>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>>k4;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9;:27)??8d81a3>14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl<0e095?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;97c102c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb22g5?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=?>m;%33<`<5m?20e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`04a6=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f66dn3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=5132g?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd48jo1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;314`=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj::hh7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019576a3-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8<@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?75991/==6j:3g5<>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>>lb;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9;;:7)??8d81a3>14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl<0bc95?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;9=?5+11:f>7c102c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb22`=?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=??<;%33<`<5m?20e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`04ac=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f66cl3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=51336?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd48mi1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3153=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj::on7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201957703-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8<@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?75911/==6j:3g5<>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>>k9;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9;;27)??8d81a3>14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl<0e:95?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;9=l5+11:f>7c102c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb22g7?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=??m;%33<`<5m?20e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`04f>=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f66d?3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=5133g?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd49;21=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;315`=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:;9;7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019577a3-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8=?851;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?75:91/==6j:3g5<>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>?=5;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9;8:7)??8d81a3>14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl<13695?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;9>?5+11:f>7c102c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb2317?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=?<<;%33<`<5m?20e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`0574=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f67593;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=51306?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd49::1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3163=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:;9j7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201957403-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8=?k51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?75:11/==6j:3g5<>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>?=d;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9;827)??8d81a3>14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl<13a95?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;9>l5+11:f>7c102c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb231f?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=?o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`057g=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f67513;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=5130g?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd49;:1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;316`=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:;:j7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019574a3-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8<@8:mj6*79987?!75980a83>>n55a1330>44482.:<5k52d4;?l5f:3:1(<<>1;61<>=n<><1<7*>2039553332c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?xd48lk1=7850;2x 46aj3=h86F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:0005>"681o1>h87;h1b6?6=,88:=7:=8:9j020=83.:>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;|`04a`=93<1<7>t$02ef?1d<2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86<<<2:&24=c=:l<37d=n2;29 44693>9465f46494?"6:8;1==;;;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07pl=fd295?72i3:10g`933e<@8;m46F>0gd8^6g72kq:jh4i4;343?70=3l96k?51669520=n:0m<7?:4;'05c=m;k0b?;o12a?6h68;i1<6`j3783?k27l3:0b9?>:19'553b28:jj6`86`83?!5f93?oh6F>0c48 44693==o6*<018243d<@1=o7)6i0;66f==i9;;86<<<3:&24=c=:l<37d:>e;29?l77=10;6c??f283?>o68<31<7`>0g194>=n<;:1<75f117b>55<5<o7>5n02e7?6<3`;;9o4?:o33b6<732c?>54?:Idb=>h68o91<65f1175>55<5<5<4;h331a<72g;;j>4?;:k071<72-lj47=<3:lee2<73g;;j>4?;%`50?56:2di:>4>1:9j764=83.mm54<329mbd1=92d:1e==h<:19'f32=;880bo8<:`98m65c290/jl653218jcg0211e==h<:19'f32=;880bo8<:898m65d290/jl653218jcg0201e==h<:19'f32=;880bo8<:998m65e290/jl653218jcg02h1e==h<:19'f32=;880bo8<:698m65f290/jl653218jcg02k1e==h<:19'f32=;880bo8<:798m65>290/jl653218jcg02j1e==h<:19'f32=;880bo8<:498m65?290/jl653218jcg02m1e==h<:19'f32=;880bo8<:598m650290/jl653218jcg02l1e==h<:19'f32=;880bo8<:298m651290/jl653218jcg02o1e==h<:19'f32=;880bo8<:398m652290/jl653218jcg028:0b<>i3;28 g032:;97cl93;38?l55j3:1(ko7:210?k`f?3;:7c??f283?!d1<39:>6`m6283?>i40l0;6)hn8;1;`>hai>0;7)l94;146>he>:0:=65`39a94?"ai1084i5af`595>"e>=08;?5ab71955=5<#nh21?5j4ngc4>6=#j?>1?:<4nc40>`=5<#nh21?5j4ngc4>0=#j?>1?:<4nc40>f=5<#nh21?5j4ngc4>2=#j?>1?:<4nc40>d=5<#nh21?5j4ngc4><=#j?>1?:<4nc40>==6=4+f`:97=b5<#nh21?5j4ngc4>g=#j?>1?:<4nc40>3=5<#nh21?5j4ngc4>a=#j?>1?:<4nc40>1=54o2;3>5<#nh21?5j4ngc4>c=#j?>1?:<4nc40>7=4$c47>6153gh=?7?4;n1;1?6=,ok36>6k;odb3?763-h=87=82:la26<732cm?o4?:%db4=f:9jb6?=83.mm54i3`9mbd1=92.i:94je19mf35=:l10ek=7:18'bd>=n:k0bko8:39'f32=ml:0bo8<:3f8?l`4?3:1(ko7:g1b?k`f?390(o8;:dg3?kd1;38h76gi3783>!`f03l8m6`ia687?!d1<3on<6`m6281f>=nn:?1<7*ia98e7d=inh=196*m658fa5=ij?91>l54ig17>5<#nh21j>o4ngc4>3=#j?>1ih>4nc40>7?<3`l8?7>5$gc;>c5f3glj;794$c47>`c73gh=?7<7;:ke74<72-lj47h>50;&ee=oa:m0;6)hn8;d0e>hai>0h7)l94;gf4>he>:09?65ff3a94?"ai10m?l5af`59`>"e>=0ni=5ab71967=44?:%db0:&a21!`f03l8m6`ia6826>"e>=0ni=5ab7195a=6=4+f`:9b6g`c73gh=?7?l;:ke61<72-lj47h=n:k0bko8:078 g032lo;7cl93;3b?>oa:;0;6)hn8;d0e>hai>0::6*m658fa5=ij?91=454ig02>5<#nh21j>o4ngc4>41<,k=4?:%db8:&a21!`f03l8m6`ia682e>"e>=0ni=5ab71950=`c73gh=?7?;;:ke5g<72-lj47h=n:k0bko8:0f8 g032lo;7cl93;31?>oa900;6)hn8;d0e>hai>0:i6*m658fa5=ij?91=<54ig3;>5<#nh21j>o4ngc4>4`<,k=n:k0bko8:308 g032lo;7cl93;f8?l`6<3:1(ko7:g1b?k`f?3887)l94;gf4>he>:0h76gi1283>!`f03l8m6`ia6810>"e>=0ni=5ab719f>=nn881<7*ia98e7d=inh=1>85+b769a`65<#nh21j>o4ngc4>70<,k5$gc;>c5f3glj;7<8;%`50?cb82di:>47;:ke7c<72-lj47hk50;&ee=oa;;0;6)hn8;d0e>hai>09o6*m658fa5=ij?91?65ff3594?"ai10m?l5af`596a=#j?>1ih>4nc40>7=`c73gh=?7?4;hd25?6=,ok36k=n;odb3?4a3-h=87kj0:la26<732c?<>4?:%db?:18'bd>=<980bko8:39m55`4291/n;:53`08jg042;n07d=if;29 cg?2=:97chn7;18j46a;3:0(o8;:2c1?kd1;38h76g!`f03>;>6`ia687?k77n:0;7)l94;1b6>he>:09n65f3gf94?"ai10?h68o91<6*m6580e7=ij?91>l54i2d`>5<#nh218=<4ngc4>3=i99l86=5+b7697d4=;odb3?14$c47>6g53gh=?7<7;:k0b<<72-lj47:?2:lee24?;%`50?5f:2di:>4=7:9j7c>=83.mm54;039mbd1=12d:3:1(ko7:521?k`f?3h0b<>i3;28 g032:k97cl93;07?>o4n<0;6)hn8;636>hai>0h7c??f283?!d1<39j>6`m62817>=n;o>1<7*ia98747=inh=1h6`>0g194>"e>=08m?5ab71967=5=#j?>1?l<4nc40>77<3`9m>7>5$gc;>1653glj;7h4n02e7?6<,ko=;o`57?4732c8j<4?:%db0:l24c5=82.i:94h?:18'bd>=<980bko8:038j46a;3:0(o8;:2c1?kd1;3;n76g!`f03>;>6`ia6826>h68o91<6*m6580e7=ij?91=i54i2gg>5<#nh218=<4ngc4>454$c47>6g53gh=?7?l;:k0af<72-lj47:?2:lee2<6<2d:i3;28 g032:k97cl93;3b?>o4mh0;6)hn8;636>hai>0::6`>0g194>"e>=08m?5ab7195<=o=;o`57?7?32c8i54?:%db8:l24c5=82.i:9410e>k8:18'bd>=<980bko8:0;8j46a;3:0(o8;:2c1?kd1;3;=76g!`f03>;>6`ia682e>h68o91<6*m6580e7=ij?91=854i2g6>5<#nh218=<4ngc4>4d4$c47>6g53gh=?7?;;:k0a6<72-lj47:?2:lee2<6k2d:i3;28 g032:k97cl93;31?>o4m80;6)hn8;636>hai>0:i6`>0g194>"e>=08m?5ab71954=o=;o`57?7732c8hk4?:%dbjk:18'bd>=<980bko8:308j46a;3:0(o8;:2c1?kd1;3n07d=kc;29 cg?2=:97chn7;00?k77n:0;7)l94;1b6>he>:0h76g!`f03>;>6`ia6810>h68o91<6*m6580e7=ij?91n65f3ec94?"ai10?5<#nh218=<4ngc4>704$c47>6g53gh=?774;h63=;odb3?403g;;j>4?;%`50?5f:2di:>47;:k742<72-lj47:?2:lee2<502d:i3;28 g032:k97cl93;78?l27<3:1(ko7:521?k`f?38i7c??f283?!d1<39j>6`m6287?>o4nh0;6)hn8;636>hai>09o6`>0g194>"e>=08m?5ab7197>=n;ll1<7*ia98747=inh=1>i5a11d0>5=#j?>1?l<4nc40>7=o=;o`57?7<3`9o57>5$gc;>1653glj;72dmm:4?;%`50?c4i2di:>4=f:9laa3=83.mm54jd79mbd1=92.i:94j3`9mf35=:l10chj;:18'bd>=mm<0bko8:39'f32=m:k0bo8<:3f8?jcc;3:1(ko7:df5?k`f?390(o8;:d1b?kd1;38h76ajd383>!`f03oo:6`ia687?!d1<3o8m6`m6281f>=hmm;1<7*ia98f`3=inh=196*m658f7d=ij?91>l54odf3>5<#nh21ii84ngc4>3=#j?>1i>o4nc40>7?<3fohj7>5$gc;>`b13glj;794$c47>`5f3gh=?7<7;:mfga<72-lj47kk6:lee2ibk00;6)hn8;gg2>hai>0h7)l94;g0e>he>:09?65`eb:94?"ai10nh;5af`59`>"e>=0n?l5ab71967=2dmm:4>0:&a21!`f03oo:6`ia6826>"e>=0n?l5ab7195a=`5f3gh=?7?l;:mfg5<72-lj47kk6:lee2<6<2.i:94j3`9mf35=9k10chli:18'bd>=mm<0bko8:078 g032l9j7cl93;3b?>ibjl0;6)hn8;gg2>hai>0::6*m658f7d=ij?91=454od`g>5<#nh21ii84ngc4>41<,k2dmm:4>8:&a21!`f03oo:6`ia682e>"e>=0n?l5ab71950=`5f3gh=?7?;;:mff2<72-lj47kk6:lee2<6k2.i:94j3`9mf35=9:10chl9:18'bd>=mm<0bko8:0f8 g032l9j7cl93;31?>ibj<0;6)hn8;gg2>hai>0:i6*m658f7d=ij?91=<54od`7>5<#nh21ii84ngc4>4`<,k4?:%db2dmm:4=0:&a21:18'bd>=mm<0bko8:308 g032l9j7cl93;f8?jce83:1(ko7:df5?k`f?3887)l94;g0e>he>:0h76ajag83>!`f03oo:6`ia6810>"e>=0n?l5ab719f>=hmho1<7*ia98f`3=inh=1>85+b769a6g5<#nh21ii84ngc4>70<,k5$gc;>`b13glj;7<8;%`50?c4i2di:>47;:mf`g<72-lj47kk6:lee2<502.i:94j3`9mf35=?21diio50;&ee=290/jl65ee48jcg02;k0(o8;:d1b?kd1;3?07bkk8;29 cg?2ln=7chn7;0a?!d1<3o8m6`m6287?>ibkl0;6)hn8;gg2>hai>09o6*m658f7d=ij?91?65`eb194?"ai10nh;5af`596a=#j?>1i>o4nc40>7=`5f3gh=?7?4;ngb`?6=,ok36hj9;odb3?4a3-h=87k4?:%db;o33b6<73-h=87k=4:la26<132cn??4?:%dbk4?:%dbh4?:%dbi4?:%db=========10e9l=:18'bd>=:18'bd>=====he>:0;76ai9e83>!`f03l2o6`ia683?!d1<3l?>6`m6281b>=hn0h1<7*ia98e=f=inh=1=6*m658e07=ij?91>h54og;b>5<#nh21j4m4ngc4>7=#j?>1j9<4nc40>7b<3fl257>5$gc;>c?d3glj;7=4$c47>c253gh=?797cl93;0;?>ia1:0;6)hn8;d:g>hai>037)l94;d76>he>:09;65`f8094?"ai10m5n5af`59=>"e>=0m8?5ab71963=k2dmm:4l;%`50?`3:2di:>4=3:9lb=c=83.mm54i9b9mbd1=l2.i:94i439mf35=:;10ck6k:18'bd>=n0i0bko8:d9'f32=n=80bo8<:338?j`?k3:1(ko7:g;`?k`f?3l0(o8;:g61?kd1;38;76ai8c83>!`f03l2o6`ia6824>"e>=0m8?5ab7195c=c253gh=?7?j;:me<=<72-lj47h6c:lee2<6:2.i:94i439mf35=9m10ck68:18'bd>=n0i0bko8:018 g032o>97cl93;3`?>ia0?0;6)hn8;d:g>hai>0:86*m658e07=ij?91=o54og:6>5<#nh21j4m4ngc4>43<,kk2dmm:4>6:&a214290/jl65f8a8jcg028=0(o8;:g61?kd1;3;376ai8383>!`f03l2o6`ia682<>"e>=0m8?5ab71952=c253gh=?7?9;:me<5<72-lj47h6c:lee2<6i2.i:94i439mf35=9<10ck9i:18'bd>=n0i0bko8:0`8 g032o>97cl93;37?>ia?m0;6)hn8;d:g>hai>0:o6*m658e07=ij?91=>54og5`>5<#nh21j4m4ngc4>4b<,kk2dmm:4>e:&a21!`f03l2o6`ia6814>"e>=0m8?5ab719b>=hn>21<7*ia98e=f=inh=1><5+b769b145<#nh21j4m4ngc4>74<,k5$gc;>c?d3glj;7<<;%`50?`3:2di:>4l;:me30<72-lj47h6c:lee2<5<2.i:94i439mf35=j21dj::50;&ee=6`m628;?>iai80;6)hn8;d:g>hai>0946*m658e07=ij?91;65`f`294?"ai10m5n5af`596<=#j?>1j9<4nc40>3=c253gh=?7;4;nd:a?6=,ok36k7l;odb3?4e3-h=87h;2:la26<332em594?:%dbk2dmm:4=c:&a21=n0i0bko8:3g8 g032o>97cl93;38?j`0;3:1(ko7:g;`?k`f?38m7)l94;d76>he>:0;76g=5283>!`f038>>6`ia683?k77n:0;7)l94;02`>he>:0:=65f24394?"ai1099?5af`595>h68o91<6*m65815a=ij?91==54i373>5<#nh21>8<4ngc4>7=i99l86=5+b76964b5<#nh21>8<4ngc4>6=i99l86=5+b76964b5<#nh21>8<4ngc4>1=i99l86=5+b76964b5<#nh21>8<4ngc4>0=i99l86=5+b76964b5<#nh21>8<4ngc4>3=i99l86=5+b76964b5<#nh21>8<4ngc4>2=i99l86=5+b76964b5<#nh21>8<4ngc4>==i99l86=5+b76964b5<#nh21>8<4ngc4><=i99l86=5+b76964b5<#nh21>8<4ngc4>d=i99l86=5+b76964b5<#nh21>8<4ngc4>g=i99l86=5+b76964b5<#nh21>8<4ngc4>f=i99l86=5+b76964b5<#nh21>8<4ngc4>a=i99l86=5+b76964b5<#nh21>8<4ngc4>`=i99l86=5+b76964b54i376>5<#nh21>8<4ngc4>c=i99l86=5+b76964b5<#nh21>8<4ngc4>464$c47>77c3gh=?7?4;h07e?6=,ok36?;=;odb3?763g;;j>4?;%`50?46l2di:>4?;:m:04<72-lj477;0:lee2<73-h=876if:la26<5;21d5>h50;&ee=<><91ejl951:&a21;7chn7;18 g0321lm7cl93;03?>i>;j0;6)hn8;;74>hai>0?7)l94;:eb>he>:0:j65`92`94?"ai1028=5af`591>"e>=03jk5ab7195`=an2di:>4>b:9l=60=83.mm546419mbd1=12.i:947fg9mf35=9h10c4=::18'bd>=1=:0bko8:`9'f32=0ol0bo8<:0;8?j?4<3:1(ko7:863?k`f?3h0(o8;:9de?kd1;3;376a63283>!`f033?<6`ia68`?!d1<32mj6`m62823>=h1:81<7*ia98:05=inh=1h6*m658;bc=ij?91=;54o812>5<#nh2159>4ngc4>`=#j?>14kh4nc40>43<3f38<7>5$gc;><273glj;7h4$c47>=`a3gh=?7?;;:m:6`<72-lj477;0:lee2<682.i:947fg9mf35=9:10c4=1=:0bko8:038 g0321lm7cl93;31?>i>:j0;6)hn8;;74>hai>0:>6*m658;bc=ij?91=<54o80a>5<#nh2159>4ngc4>45<,kl4?:%db4:&a21=1=:0bko8:048 g0321lm7cl93;f8?j?5?3:1(ko7:863?k`f?3;<7)l94;:eb>he>:0h76a62783>!`f033?<6`ia682<>"e>=03jk5ab719f>=h1;?1<7*ia98:05=inh=1=45+b7695<#nh2159>4ngc4>4g<,k5$gc;><273glj;7?m;%`50?>an2di:>47;:m:03<72-lj477;0:lee2<6k2.i:947fg9mf35=?21d59;50;&ee=<><91ejl951e9'f32=0ol0bo8<:798k<23290/jl659528jcg028o0(o8;:9de?kd1;3?07b7;3;29 cg?20>;7chn7;3e?!d1<32mj6`m6287?>i><;0;6)hn8;;74>hai>09<6*m658;bc=ij?91?65`92c94?"ai1028=5af`5964=#j?>14kh4nc40>7==`a3gh=?7?4;n;10?6=,ok364:?;odb3?443-h=876if:la26<732c8:54?:%db4>1:J2426<3`9=:7>5$gc;>6003glj;7?4$c47>62d3gh=?7??;I3335=6=4+f`:9731o4>=0;6)hn8;153>hai>087)l94;17g>he>:0n7E??7198m604290/jl653758jcg02=1/n;:535a8jg042m1C==9?;:k027<72-lj47=97:lee2<23-h=87=;c:la265<#nh21?;94ngc4>3=#j?>1?9m4nc40>g=O99=;76g<6183>!`f039=;6`ia684?!d1<39?o6`m628b?M77?910e>9>:18'bd>=;?=0bko8:99'f32=;=i0bo8<:89K551732c8;=4?:%db47;I3335=o4>l0;6)hn8;153>hai>0i7)l94;17g>he>:0=7E??7198m60c290/jl653758jcg02j1/n;:535a8jg042<1C==9?;:k02f<72-lj47=97:lee25<#nh21?;94ngc4>`=#j?>1?9m4nc40>6=O99=;76g<6`83>!`f039=;6`ia68e?!d1<39?o6`m6281?M77?910e>86:18'bd>=;?=0bko8:028 g032:>h7cl93;38L460821b?8h50;&ee=<4>>1ejl95109'f32=;=i0bo8<:19K551732wi???<:085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75;=1/==6j:3g5<>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;;;96<49:183!77nk0:0:&;b5<3=k20b<<>3;3170=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm333b>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>7c102c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi???6:085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75;11/==6j:3g5<>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;;;36<49:183!77nk0:0:&;b5<3=k20b<<>3;317<=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3335>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>7c102c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi???::085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75;j1/==6j:3g5<>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;;;?6<49:183!77nk0:0:&;b5<3=k20b<<>3;317a=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3333>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>7c102c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>hjm:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75<91/==6j:3g5<>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:lnj6<49:183!77nk0:0:&;b5<3=k20b<<>3;3104=#992n6?k98:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==280=6=4?{%33bg<0k?1C=20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2df4>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>5+11:f>7c102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>hj9:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75<=1/==6j:3g5<>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:ln>6<49:183!77nk0:0:&;b5<3=k20b<<>3;3100=#992n6?k98:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2df0>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>7c102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>hj=:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75<11/==6j:3g5<>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:ln:6<49:183!77nk0:0:&;b5<3=k20b<<>3;310<=#992n6?k98:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2dae>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>7c102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>hmj:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:lo96<49:183!77nk0:0:&;b5<3=k20b<<>3;310a=#992n6?k98:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2dg3>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>7c102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>hji:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75=91/==6j:3g5<>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:lnn6<49:183!77nk0:0:&;b5<3=k20b<<>3;3114=#992n6?k98:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2df`>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>5+11:f>7c102c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>hj7:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75==1/==6j:3g5<>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:lio6<49:183!77nk0:0:&;b5<3=k20b<<>3;3110=#992n6?k98:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3067>4=<2.:>544`;?k759:0:>894$02;a?4b>11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c1206<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<<:8:&24=c=:l<37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a742528036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>24;8 46?m38n:55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg56<80:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:006e>"681o1>h87;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;8>h6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>442k2.:<5k52d4;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?<:n:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6:7e;0f2==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=>4882>=<729q/==hm:6a:?M76n11C==hi;%::i6*>09g96`0?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm306;>4=<2.:>544`;?k759:0:>8h4$02;a?4b>11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c1202<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<<90:&24=c=:l<37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a742128036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>2738 46?m38n:55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg56<<0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:0056>"681o1>h87;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;8>;6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>441<2.:<5k52d4;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?75><1/==6j:3g5<>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;8:?6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=4>:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>441?2.:<5k52d4;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?<>=:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6:?20(<>7e;0f2==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=>0082>=<729q/==hm:6a:?M76n11C==hi;%::09g96`0?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm3023>4=<2.:>544`;?k759:0:>;o4$02;a?4b>11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c124f<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<<9b:&24=c=:l<37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a746e28036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>27a8 46?m38n:55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg568h0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:005`>"681o1>h87;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;8:26<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>441n2.:<5k52d4;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?<>8:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6:>:0(<>7e;0f2==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=>0782>=<729q/==hm:6a:?M76n11C==hi;%::09g96`0?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm3026>4=<2.:>544`;?k759:0:>:<4$02;a?4b>11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c13bc<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<<83:&24=c=:l<37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a75`b28036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>2668 46?m38n:55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg56l>0:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=51356?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c12`3<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=?99;%33<`<5m?20e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=>dg82>3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019571?3-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg56lm0:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=5135:?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c12`f<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=?9n;%33<`<5m?20e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=>dc82>3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>2019571d3-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg56l00:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=5135g?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c12`=<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=?9j;%33<`<5m?20e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=>d482>3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201957>73-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg56m<0:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=513:2?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c12a1<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=?6=;%33<`<5m?20e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=>ec82>3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201957>33-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg56m00:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=513:6?!770l09i;64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c12a=<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=?69;%33<`<5m?20e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=>e682>3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201957>?3-;;4h4=e7:8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg56io0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:00;=>"681o1>h87;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;8kn6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>44?j2.:<5k52d4;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6:1i0(<>7e;0f2==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=>ac82>=<729q/==hm:6a:?M76n11C==hi;%::09g96`0?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm30cb>4=<2.:>544`;?k759:0:>5k4$02;a?4b>11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c12e<<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<<7f:&24=c=:l<37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a74g?28036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>2828 46?m38n:55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg56j>0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:00:5>"681o1>h87;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;8h=6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>44>;2.:<5k52d4;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6:0>0(<>7e;0f2==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=>b282>=<729q/==hm:6a:?M76n11C==hi;%::09g96`0?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm30`1>4=<2.:>544`;?k759:0:>484$02;a?4b>11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c12f4<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<<67:&24=c=:l<37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a74d728036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>28:8 46?m38n:55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg56i>0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:00:=>"681o1>h87;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;9<>6<49:183!77nk0:0:&;b5<3=k20b<<>3;31=d=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==f79'bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th9o?h51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;95n5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`4f<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>28f8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o?k51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;95h5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`4g<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>28d8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o?j51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9m=5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`4d<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2`38 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o?m51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9m?5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`4<<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2`18 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o?l51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9m95+11:f>7gdi2c?>94?:%3154!75980n?454}c0`4=<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2`78 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o?o51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9m;5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`42<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2`58 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o?751;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9m55+11:f>7gdi2c?>94?:%3154!75980n?454}c0`43<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2`;8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o>751;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9ml5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`50<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2``8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o>651;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9mn5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`51<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2`f8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o>951;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9mh5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`56<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2`d8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o>851;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9n=5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`57<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2c38 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o>;51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9n?5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`54<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2c18 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o>:51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9n95+11:f>7gdi2c?>94?:%3154!75980n?454}c0`55<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2c78 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o>=51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9n;5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`4c<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2c58 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o><51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9n55+11:f>7gdi2c?>94?:%3154!75980n?454}c0`4`<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2c;8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o>?51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9nl5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`4a<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2c`8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9o?651;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9nn5+11:f>7gdi2c?>94?:%3154!75980n?454}c0`40<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>2cf8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9nkl51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;9nh5+11:f>7gdi2c?>94?:%3154!75980n?454}c0abd<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj87?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75k91/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0ab<<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj?7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75k;1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0ab=<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj>7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75k=1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0ab2<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj=7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75k?1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0ab3<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj<7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75k11/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0ab0<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;h2j7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75kh1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0ab1<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;h2i7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75kj1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0`41<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hjh7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75kl1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0`46<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hjo7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75l91/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0`47<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hjn7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75l;1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0`44<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hjm7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75l=1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0`45<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj57?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75l?1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0abc<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj47?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75l11/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0ab`<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj;7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75lh1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0aba<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj:7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75lj1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0abf<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;hj97?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75ll1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0ab6<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;h2o7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?75m91/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0a<7<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;h3=7?53;294~"68oh1==>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<07:8?jd113:1(<<>1;g0=>=zj;h3<7?53;294~"68oh1==>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<07:8?jd113:1(<<>1;g0=>=zj;h=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<07:8?jd113:1(<<>1;g0=>=zj;h=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<07:8?jd113:1(<<>1;g0=>=zj;h=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<07:8?jd113:1(<<>1;g0=>=zj;h=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<07:8?jd113:1(<<>1;g0=>=zj;h=>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<07:8?jd113:1(<<>1;g0=>=zj;h<57?53;294~"68oh1==>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<07:8?jd113:1(<<>1;g0=>=zj;h=57?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=513d1?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e:k=36<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<6:o90(<>7e;0bgd=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl=b5c95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891=?h;;%33<`<5ijk0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:k<36<4<:183!77nk03j45G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g?3g;9=>4>2g78 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>=1=7=50;2x 46aj3;:j:5G10d;?M77no1/=??>:03e2>"?n90?9o64n0027?75n?1/==6j:3c`e>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:>k94$02;a?4fkh1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95d>b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg4e??0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>44a12.:<5k52`ab?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?l;8;390?6=8r.:7gdi2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4e>?0:6>4?:1y'55`e21l27E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982e==i9;;86<21d94>=n01:1<7*>203975750z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>201957`d3-;;4h4=abc8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>o:8:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m5774288mh6*>09g96def3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f7d1=3;1?7>50z&24cd=0o30Dh6:891=?hj;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a6g1328086=4?{%33bg<69o=0Df79'bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th9n9851;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=9::;7)??8d81efg=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a6g0328086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:0:?=?4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`1f=d=9391<7>t$02ef?76n>1C=3;3047=#992n6?ola:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6;990(<>7e;0bgd=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`1f24=9391<7>t$02ef?>a12B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`:8j446;3;8<95+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c0a47a?2B:=k64H02eb>"6:8;1="681o1>lmn;h610?6=,88:=7679:9j<``=83.:>1;g0=>=zj;h==7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?748?1/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0a34<62:0;6=u+11da>=`>3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a99m5774289;;6*>09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>0g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=5122;?!770l09mno4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:k<;6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>45712.:<5k52`ab?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb3`44?7=;3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n8:l2645=9::j7)??8d81efg6663g;94<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4>31`8 46?m38jol5f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>2019566d3-;;4h4=abc8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm2c4e>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,13367e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl=b9595?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;khm6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4e=l0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=b7g95?5=83:p(<>ib;:e=>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l64n0027?74991/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86<=>1:&24=c=:hij7d:=4;29 4469323565f8dd94?"6:8;14574;n`5=?6=,88:=7k<9:9~f7d2l3;187>50z&24cd=13;3057=#992n6?ola:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:9d:?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m55a1330>456;2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3fh=57>5$0025?c4121vn?l75;397?6=8r.:h6:891=>?;;%33<`<5ijk0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a6g3d280?6=4?{%33bg<>=<1C="681o1>lmn;h610?6=,88:=767b:9j0a5=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn?l9c;397?6=8r.:544`;?!>>03;j46`>201956713-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi>o6;:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0:?<94$02;a?4fkh1b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`1f0d=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=5123;?!770l09mno4i507>5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi>o8m:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=128274?<,8:3i721d95>=hj?31<7*>2039a6?<3th9n:k51;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;8=l5+11:f>7gdi2c?>94?:%3154!75980n?454}c0a11<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>30`8 46?m38jol5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9n;o51;194?6|,8:mn76i9:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h20b<<>3;305f=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=4>:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m5774289:h6*>09g96def3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb3`71?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>b2;khm6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=4>:283>5}#99li65h6;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i11e=??<:012b>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj;h2<7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?74:91/==6j:3c`e>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c0a=3<62<0;6=u+11da>=`e3A;:j55G11de?!`fj38o<=5+1332>462;2.3j=4;5c:8 =??2;;;7)hnc;0g45=i9;;86<==1:&24=c=:hij7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;h2n7?55;294~"68oh14kl4H03e<>N68ol0(kom:3f34>"6:8;1==;<;%:e4?22j11/4465a`9'bde=:m:;7c?=1282774<,8:3i721d95>=n01;1<7*>2039757ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891=><<;%33<`<5ijk0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:k3>6<4::183!77nk03jo5G10d;?M77no1/jll52bde?!75980:<8=4$9d3>13e02.3554=119'bde=:jlm7c?=1282772<,8:3i721d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<5kol0(<<>1;3316=#0o:188l7;%::09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=13;3063=#992n6?ola:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?4dnl1/=??>:0267>"?n90?9o64$9;;>7773-ljo709g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975713;197>50z&24cd=0oh0D4>33:8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9n5j51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=9:827)??8d81efg=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a6g?4280>6=4?{%33bgnhk;%3154<68<90(5h?:57a<>"?1109==5+f`a96f`c3g;9=>4>33c8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9n4651;794?6|,8:mn76ib:J25c><@8:mj6*iac81gcb<,88:=7??529'455j2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`9=03<@8;m46F>0gd8 446933>86*7f1871g>b2;khm6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;0`bf=#9;;:6<>:3:&;b5<3=k20(577:333?!`fk38hjn5a1330>455l2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2;imo6*>203955343-2m<7::b99'<<>=ih1/jlm52bd`?k759:0:??k4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;306c=#992n6?ola:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;nn=7?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201956573-;;4h4=abc8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9hh>51;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?74;81/==6j:3c`e>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?jkf;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9:997)??8d81efg14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=deg95?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;8?>5+11:f>7gdi2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3fg`?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=>=;;%33<`<5ijk0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1`ae=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;khm6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7bcj3;147>50z&24cd=?jk0D461k2B:<8>4$9d3>13e02d:><=51215?!770l09mno4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5llk1=7650;2x 46aj3=hm6F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3072=#992n6?ola:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;nn57?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019565?3-;;4h4=abc8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9hh651;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?74;01/==6j:3c`e>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?jj7;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9:9j7)??8d81efg14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=dd495?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;8?o5+11:f>7gdi2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3ff1?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=>=l;%33<`<5ijk0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1``2=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;khm6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7bb;3;147>50z&24cd=?jk0D461k2B:<8>4$9d3>13e02d:><=5121f?!770l09mno4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5lmk1=7650;2x 46aj3=hm6F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;307c=#992n6?ola:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;no57?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201956273-;;4h4=abc8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9h>?51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?74<81/==6j:3c`e>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?j<0;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9:>97)??8d81efg14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=d3d95?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;88>5+11:f>7gdi2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3f1a?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=>:;;%33<`<5ijk0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1`7e=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;khm6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7b5j3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=51265?!770l09mno4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5l;k1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3002=#992n6?ola:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;n957?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019562?3-;;4h4=abc8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9h?651;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?74<01/==6j:3c`e>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?j=7;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9:>j7)??8d81efg14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=d3495?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;88o5+11:f>7gdi2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3f11?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=>:l;%33<`<5ijk0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1`72=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;khm6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7b5;3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=5126f?!770l09mno4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5l:21=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;300c=#992n6?ola:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;n8;7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201956373-;;4h4=abc8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9h>851;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?74=81/==6j:3c`e>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?j<5;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9:?97)??8d81efg14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=d2695?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;89>5+11:f>7gdi2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3f07?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=>;;;%33<`<5ijk0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1`64=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;khm6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7b5l3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=51275?!770l09mno4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5l;81=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3012=#992n6?ola:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;n9=7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019563?3-;;4h4=abc8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9h5>51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?74=01/==6j:3c`e>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?j8f;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9:?j7)??8d81efg14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=d6g95?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;89o5+11:f>7gdi2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3f4`?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=>;l;%33<`<5ijk0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1`2e=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;khm6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7b0j3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=5127f?!770l09mno4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5l>k1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;301c=#992n6?ola:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;n<57?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201956073-;;4h4=abc8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9h5651;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?74>81/==6j:3c`e>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?j77;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9:<97)??8d81efg14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=d9495?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;8:>5+11:f>7gdi2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3f;1?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=>8;;%33<`<5ijk0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1`=2=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;khm6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7b?;3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=51245?!770l09mno4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5l181=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3022=#992n6?ola:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;n3=7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019560?3-;;4h4=abc8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9h:651;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?74>01/==6j:3c`e>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?j87;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9:14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=d2g95?0=83:p(<>ib;5`0>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m5774289=n6*>09g96def3`9j>7>5$0025?25021b8:850;&2647=99??76g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><@8:mj6*79987?!75980a83>>n55a1330>451k2.:<5k52`ab?l5f:3:1(<<>1;61<>=n<><1<7*>2039553332c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?xd5l:31=7850;2x 46aj3=h86F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:015`>"681o1>lmn;h1b6?6=,88:=7:=8:9j020=83.:>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;|`1g6g=93;>m7>50z&24cd=??i0Dfd8e0?70?3;<97h=:g39522=9><1j>4i0;360?{#<9o1i?o4n303>5=i:;k1<6`<1582?k56m3:0b99j:19m=07=82d:;h7>4n532>5=#99?n6<>nf:l42d<73-9j=7;kd:J24g0<,88:=799c:&045<68?h0D59k;%:e4?22j11e=??<:015a>"681o1>lmn;h62a?6=3`;;954?:o33b6<732c:<8750;l24c5=821b8?>50;9j553f290e==h<:198m1452900e9?k:188k1772900e<>:c;29j46a;3:07d??5c83>k77n:0;76g;2983>M`f12d:i:188m462=3:1b<>i3;28?l77=m0;6c??f283?>o4;=0;6)hn8;107>hai>0;7c??f283?!d1<39:>6`m62825>=n;:81<7*ia98076=inh=1=6`>0g194>"e>=08=?5ab71955=5=#j?>1?<<4nc40>c=5a11d0>5=#j?>1?<<4nc40>`=5=#j?>1?<<4nc40>a=5=#j?>1?<<4nc40>f=5=#j?>1?<<4nc40>g=5=#j?>1?<<4nc40>d=5=#j?>1?<<4nc40><=5=#j?>1?<<4nc40>==5=#j?>1?<<4nc40>2=5=#j?>1?<<4nc40>3=5=#j?>1?<<4nc40>0=5=#j?>1?<<4nc40>1=5=#j?>1?<<4nc40>6=5=#j?>1?<<4nc40>7=6=4+f`:97654n02e7?6<,k?=;o`57?7<3`99n7>5$gc;>6543glj;7?>;o33b6<73-h=87=>2:la26<732e84h4?:%db4>1:9l7=e=83.mm54<8e9mbd1=92.i:94<739mf35=9910c>6m:18'bd>=;1n0bko8:39'f32=;>80bo8<:g98k6>f290/jl6539f8jcg02:1/n;:53608jg042l10c>66:18'bd>=;1n0bko8:59'f32=;>80bo8<:e98k6>?290/jl6539f8jcg02<1/n;:53608jg042j10c>68:18'bd>=;1n0bko8:79'f32=;>80bo8<:c98k6>1290/jl6539f8jcg02>1/n;:53608jg042h10c>78:18'bd>=;1n0bko8:99'f32=;>80bo8<:898k6?1290/jl6539f8jcg0201/n;:53608jg042110c>7::18'bd>=;1n0bko8:`9'f32=;>80bo8<:698k6?3290/jl6539f8jcg02k1/n;:53608jg042?10c>7<:18'bd>=;1n0bko8:b9'f32=;>80bo8<:498k6?5290/jl6539f8jcg02m1/n;:53608jg042=10c>7>:18'bd>=;1n0bko8:d9'f32=;>80bo8<:298k6?7290/jl6539f8jcg02o1/n;:53608jg042;10c>6i:18'bd>=;1n0bko8:028 g032:=97cl93;38?j5?=3:1(ko7:2:g?k`f?3;:7)l94;146>he>:0;76gi3c83>!`f03l8m6`ia683?!d1<3on<6`m6281b>=nn:31<7*ia98e7d=inh=1=6*m658fa5=ij?91>h54ig1;>5<#nh21j>o4ngc4>7=#j?>1ih>4nc40>7b<3`l8;7>5$gc;>c5f3glj;7=4$c47>`c73gh=?7;50;&ee=oa;80;6)hn8;d0e>hai>037)l94;gf4>he>:09;65ff2294?"ai10m?l5af`59=>"e>=0ni=5ab71963=i4?:%db4=3:9jb7e=83.mm54i3`9mbd1=l2.i:94je19mf35=:;10ek=n:k0bko8:d9'f32=ml:0bo8<:338?l`5i3:1(ko7:g1b?k`f?3l0(o8;:dg3?kd1;38;76gi2883>!`f03l8m6`ia6824>"e>=0ni=5ab7195c=`c73gh=?7?j;:ke63<72-lj47h=n:k0bko8:018 g032lo;7cl93;3`?>oa:=0;6)hn8;d0e>hai>0:86*m658fa5=ij?91=o54ig00>5<#nh21j>o4ngc4>43<,k?4?:%db6:&a21!`f03l8m6`ia682<>"e>=0ni=5ab71952=`c73gh=?7?9;:ke5`<72-lj47h=n:k0bko8:0`8 g032lo;7cl93;37?>oa9k0;6)hn8;d0e>hai>0:o6*m658fa5=ij?91=>54ig3b>5<#nh21j>o4ngc4>4b<,ke:&a21!`f03l8m6`ia6814>"e>=0ni=5ab719b>=nn8<1<7*ia98e7d=inh=1><5+b769a`65<#nh21j>o4ngc4>74<,k5$gc;>c5f3glj;7<<;%`50?cb82di:>4l;:ke56<72-lj47hoa;o0;6)hn8;d0e>hai>0946*m658fa5=ij?91;65ff2g94?"ai10m?l5af`596<=#j?>1ih>4nc40>3=`c73gh=?7;4;hd0g?6=,ok36k=n;odb3?4e3-h=87kj0:la26<332cm??4?:%db=n:k0bko8:3g8 g032lo;7cl93;38?l`693:1(ko7:g1b?k`f?38m7)l94;gf4>he>:0;76g;0283>!`f03>;>6`ia683?k77n:0;7)l94;1b6>he>:09j65f41394?"ai10?h68o91<6*m6580e7=ij?91>h54i523>5<#nh218=<4ngc4>7=i99l86=5+b7697d4=;odb3?54$c47>6g53gh=?74?;%`50?5f:2di:>4=b:9j7cb=83.mm54;039mbd1==2d:i3;28 g032:k97cl93;0;?>o4n00;6)hn8;636>hai>037c??f283?!d1<39j>6`m62813>=n;o21<7*ia98747=inh=156`>0g194>"e>=08m?5ab71963=5=#j?>1?l<4nc40>73<3`9m:7>5$gc;>1653glj;7l4n02e7?6<,ko=;o`57?4332c8j84?:%dbh<:18'bd>=<980bko8:d9m55`4291/n;:53`08jg042;;07d=i2;29 cg?2=:97chn7;d8j46a;3:0(o8;:2c1?kd1;38;76g!`f03>;>6`ia6824>h68o91<6*m6580e7=ij?91=k54i2d3>5<#nh218=<4ngc4>474$c47>6g53gh=?7?j;:k0a`<72-lj47:?2:lee2<6:2d:i3;28 g032:k97cl93;3`?>o4mj0;6)hn8;636>hai>0:86`>0g194>"e>=08m?5ab7195g=o=;o`57?7f32c8il4?:%db6:l24c5=82.i:94k6:18'bd>=<980bko8:058j46a;3:0(o8;:2c1?kd1;3;376g!`f03>;>6`ia682<>h68o91<6*m6580e7=ij?91=:54i2g4>5<#nh218=<4ngc4>4?4$c47>6g53gh=?7?9;:k0a3<72-lj47:?2:lee2<6i2d:i3;28 g032:k97cl93;37?>o4m:0;6)hn8;636>hai>0:o6`>0g194>"e>=08m?5ab71956=o=;o`57?7532c8i<4?:%dbe:l24c5=82.i:94k?:18'bd>=<980bko8:0d8j46a;3:0(o8;:2c1?kd1;3;;76g!`f03>;>6`ia6814>h68o91<6*m6580e7=ij?91j65f3eg94?"ai10?5<#nh218=<4ngc4>744$c47>6g53gh=?7j4;h1gg?6=,ok369>=;odb3?443g;;j>4?;%`50?5f:2di:>4l;:k0`g<72-lj47:?2:lee2<5<2d:290/jl654108jcg02;<0b<>i3;28 g032:k97cl93;;8?l2703:1(ko7:521?k`f?38<7c??f283?!d1<39j>6`m628;?>o38>0;6)hn8;636>hai>0946`>0g194>"e>=08m?5ab7193>=n<9<1<7*ia98747=inh=1>45a11d0>5=#j?>1?l<4nc40>3=6=4+f`:9054o=;o`57?3<3`>;87>5$gc;>1653glj;7k;:18'bd>=<980bko8:3g8j46a;3:0(o8;:2c1?kd1;3;07d=k9;29 cg?2=:97chn7;0e?k77n:0;7)l94;1b6>he>:0;76ajd683>!`f03oo:6`ia683?!d1<3o8m6`m6281b>=hmm?1<7*ia98f`3=inh=1=6*m658f7d=ij?91>h54odf7>5<#nh21ii84ngc4>7=#j?>1i>o4nc40>7b<3foo?7>5$gc;>`b13glj;7=4$c47>`5f3gh=?7ibkm0;6)hn8;gg2>hai>037)l94;g0e>he>:09;65`eba94?"ai10nh;5af`59=>"e>=0n?l5ab71963=2dmm:4l;%`50?c4i2di:>4=3:9laf>=83.mm54jd79mbd1=l2.i:94j3`9mf35=:;10chm8:18'bd>=mm<0bko8:d9'f32=m:k0bo8<:338?jcd>3:1(ko7:df5?k`f?3l0(o8;:d1b?kd1;38;76ajc483>!`f03oo:6`ia6824>"e>=0n?l5ab7195c=`5f3gh=?7?j;:mfg7<72-lj47kk6:lee2<6:2.i:94j3`9mf35=9m10chm>:18'bd>=mm<0bko8:018 g032l9j7cl93;3`?>ibk90;6)hn8;gg2>hai>0:86*m658f7d=ij?91=o54od`e>5<#nh21ii84ngc4>43<,k2dmm:4>6:&a21!`f03oo:6`ia682<>"e>=0n?l5ab71952=`5f3gh=?7?9;:mffd<72-lj47kk6:lee2<6i2.i:94j3`9mf35=9<10chl6:18'bd>=mm<0bko8:0`8 g032l9j7cl93;37?>ibj>0;6)hn8;gg2>hai>0:o6*m658f7d=ij?91=>54od`5>5<#nh21ii84ngc4>4b<,k2dmm:4>e:&a21!`f03oo:6`ia6814>"e>=0n?l5ab719b>=hmk81<7*ia98f`3=inh=1><5+b769a6g5<#nh21ii84ngc4>74<,k5$gc;>`b13glj;7<<;%`50?c4i2di:>4l;:mfec<72-lj47kk6:lee2<5<2.i:94j3`9mf35=j21dilk50;&ee=iblk0;6)hn8;gg2>hai>0946*m658f7d=ij?91;65`eec94?"ai10nh;5af`596<=#j?>1i>o4nc40>3=`5f3gh=?7;4;ngg2dmm:4=c:&a21=mm<0bko8:3g8 g032l9j7cl93;38?jcfl3:1(ko7:df5?k`f?38m7)l94;g0e>he>:0;76gj3483>!`f03o886`ia683?k77n:0;7)l94;g10>he>:0<76gj3283>!`f03o886`ia682?k77n:0;7)l94;g10>he>:0=76gj3383>!`f03o886`ia681?k77n:0;7)l94;g10>he>:0>76gj3083>!`f03o886`ia680?k77n:0;7)l94;g10>he>:0?76gj3183>!`f03o886`ia687?k77n:0;7)l94;g10>he>:0876gj2g83>!`f03o886`ia686?k77n:0;7)l94;g10>he>:0976gj2d83>!`f03o886`ia685?k77n:0;7)l94;g10>he>:0:76gj2e83>!`f03o886`ia684?k77n:0;7)l94;g10>he>:0;76g;ab83>!`f03>jn6`ia683?k77n:0;7)l94;6;3>he>:0:=65f4`c94?"ai10?mo5af`595>h68o91<6*m6587<2=ij?91==54i5c:>5<#nh218ll4ngc4>7=i99l86=5+b7690=15<#nh218ll4ngc4>6=i99l86=5+b7690=15<#nh218ll4ngc4>1=i99l86=5+b7690=15<#nh218ll4ngc4>0=i99l86=5+b7690=15<#nh218ll4ngc4>3=i99l86=5+b7690=15<#nh218ll4ngc4>2=i99l86=5+b7690=15<#nh218ll4ngc4>==i99l86=5+b7690=15<#nh218ll4ngc4><=i99l86=5+b7690=15<#nh218ll4ngc4>d=i99l86=5+b7690=15<#nh218ll4ngc4>g=i99l86=5+b7690=15<#nh218ll4ngc4>f=i99l86=5+b7690=15<#nh218ll4ngc4>a=i99l86=5+b7690=15<#nh218ll4ngc4>`=i99l86=5+b7690=154i5cf>5<#nh218ll4ngc4>c=i99l86=5+b7690=15<#nh218ll4ngc4>464$c47>1>03gh=?7?4;h6b7?6=,ok369om;odb3?763g;;j>4?;%`50?2??2di:>4?;:me=a<72-lj47h6c:lee2<73-h=87h;2:la26<5n21dj4l50;&ee=97cl93;0`?>ia110;6)hn8;d:g>hai>0?7)l94;d76>he>:09n65`f8594?"ai10m5n5af`591>"e>=0m8?5ab7196d=4?:%dbk2dmm:47;%`50?`3:2di:>4=7:9lb<4=83.mm54i9b9mbd1=12.i:94i439mf35=:?10ck7>:18'bd>=n0i0bko8:`9'f32=n=80bo8<:378?j`>83:1(ko7:g;`?k`f?3h0(o8;:g61?kd1;38?76ai8g83>!`f03l2o6`ia68`?!d1<3l?>6`m62817>=hn1o1<7*ia98e=f=inh=1h6*m658e07=ij?91>?54og:g>5<#nh21j4m4ngc4>`=#j?>1j9<4nc40>77<3fl3o7>5$gc;>c?d3glj;7h4$c47>c253gh=?7=n0i0bko8:038 g032o>97cl93;3f?>ia010;6)hn8;d:g>hai>0:>6*m658e07=ij?91=i54og:4>5<#nh21j4m4ngc4>45<,kk2dmm:4>4:&a212290/jl65f8a8jcg028?0(o8;:g61?kd1;3;j76ai8583>!`f03l2o6`ia6822>"e>=0m8?5ab7195<=c253gh=?7?7;:me<7<72-lj47h6c:lee2<602.i:94i439mf35=9>10ck6>:18'bd>=n0i0bko8:0;8 g032o>97cl93;35?>ia090;6)hn8;d:g>hai>0:m6*m658e07=ij?91=854og5e>5<#nh21j4m4ngc4>4d<,kk2dmm:4>c:&a21!`f03l2o6`ia682a>"e>=0m8?5ab71954=c253gh=?7??;:me3<<72-lj47h6c:lee2<582.i:94i439mf35=n21dj:650;&ee=6`m628`?>ia?<0;6)hn8;d:g>hai>0986*m658e07=ij?91n65`f6694?"ai10m5n5af`5960=#j?>1j9<4nc40>d=c253gh=?774;ndb6?6=,ok36k7l;odb3?403-h=87h;2:la26k2dmm:4=8:&a21=n0i0bko8:3c8 g032o>97cl93;78?j`>m3:1(ko7:g;`?k`f?38i7)l94;d76>he>:0?76ai9583>!`f03l2o6`ia681g>"e>=0m8?5ab7197>=hn131<7*ia98e=f=inh=1>i5+b769b145<#nh21j4m4ngc4>7c<,k5$gc;>c?d3glj;74?;:k116<72-lj47<:2:lee2<73g;;j>4?;%`50?46l2di:>4>1:9j607=83.mm54=539mbd1=92d:1e==h<:19'f32=:8n0bo8<:`98m73d290/jl652408jcg0211e==h<:19'f32=:8n0bo8<:898m73e290/jl652408jcg0201e==h<:19'f32=:8n0bo8<:998m73f290/jl652408jcg02h1e==h<:19'f32=:8n0bo8<:698m73>290/jl652408jcg02k1e==h<:19'f32=:8n0bo8<:798m73?290/jl652408jcg02j1e==h<:19'f32=:8n0bo8<:498m730290/jl652408jcg02m1e==h<:19'f32=:8n0bo8<:598m731290/jl652408jcg02l1e==h<:19'f32=:8n0bo8<:298m732290/jl652408jcg02o1e==h<:19'f32=:8n0bo8<:398m733290/jl652408jcg028:0b<>i3;28 g032;;o7cl93;38?l43i3:1(ko7:371?k`f?3;:7c??f283?!d1<38:h6`m6283?>i><80;6)hn8;;74>hai>0;7)l94;:eb>he>:09?65`92d94?"ai1028=5af`595>"e>=03jk5ab71967=an2di:>4>f:9l=6d=83.mm546419mbd1==2.i:947fg9mf35=9l10c4=6:18'bd>=1=:0bko8:79'f32=0ol0bo8<:0f8?j?403:1(ko7:863?k`f?3=0(o8;:9de?kd1;3;h76a63683>!`f033?<6`ia68;?!d1<32mj6`m6282f>=h1:<1<7*ia98:05=inh=156*m658;bc=ij?91=l54o816>5<#nh2159>4ngc4>d=#j?>14kh4nc40>4?<3f3887>5$gc;><273glj;7l4$c47>=`a3gh=?7?7;:m:76<72-lj477;0:lee2<50;&ee=<><91ejl95d:&a21;7chn7;d8 g0321lm7cl93;37?>i>:l0;6)hn8;;74>hai>0:<6*m658;bc=ij?91=>54o80g>5<#nh2159>4ngc4>47<,kn4?:%db2:&a21!`f033?<6`ia6820>"e>=03jk5ab719b>=h1;31<7*ia98:05=inh=1=85+b7695<#nh2159>4ngc4>40<,k5$gc;><273glj;7?8;%`50?>an2di:>4l;:m:63<72-lj477;0:lee2<602.i:947fg9mf35=j21d5?;50;&ee=<><91ejl95189'f32=0ol0bo8<:`98k<2?290/jl659528jcg028k0(o8;:9de?kd1;3307b7;7;29 cg?20>;7chn7;3a?!d1<32mj6`m628;?>i>hai>0:o6*m658;bc=ij?91;65`95794?"ai1028=5af`595a=#j?>14kh4nc40>3=?6=4+f`:9=16=`a3gh=?7;4;n;77?6=,ok364:?;odb3?7a3-h=876if:la26<332e28?4?:%db=1=:0bko8:308 g0321lm7cl93;38?j?5<3:1(ko7:863?k`f?3887)l94;:eb>he>:0;76g<6983>!`f039=;6`ia683?!d1<39?o6`m62825>N68>:07d=96;29 cg?2:<<7chn7;38 g032:>h7cl93;33?M77?910e>8::18'bd>=;?=0bko8:39'f32=;=i0bo8<:g9K551732c8:94?:%db4j;I3335=o4>;0;6)hn8;153>hai>0>7)l94;17g>he>:0h7E??7198m606290/jl653758jcg02?1/n;:535a8jg042k1C==9?;:k025<72-lj47=97:lee2<03-h=87=;c:la265<#nh21?;94ngc4>==#j?>1?9m4nc40><=O99=;76g<7183>!`f039=;6`ia68:?!d1<39?o6`m628;?M77?910e>8i:18'bd>=;?=0bko8:`9'f32=;=i0bo8<:69K551732c8:h4?:%db49;I3335=o4>j0;6)hn8;153>hai>0o7)l94;17g>he>:0?7E??7198m60e290/jl653758jcg02l1/n;:535a8jg042:1C==9?;:k02d<72-lj47=97:lee25<#nh21?;94ngc4>46<,k:l;o`57?7<@8:<<65f34d94?"ai108::5af`5954=#j?>1?9m4nc40>5=O99=;76sm2d7e>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>7gdi2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>h;j:085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?74?91/==6j:3c`e>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:l<<6<49:183!77nk0:0:&;b5<3=k20b<<>3;3034=#992n6?ola:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;khm6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2d46>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>5+11:f>7gdi2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>h8;:085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?74?=1/==6j:3c`e>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:l<86<49:183!77nk0:0:&;b5<3=k20b<<>3;3030=#992n6?ola:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;khm6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2d42>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>7gdi2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>h8?:085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?74?11/==6j:3c`e>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:l?o6<49:183!77nk0:0:&;b5<3=k20b<<>3;303<=#992n6?ola:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;khm6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2c1g>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>7gdi2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>o=l:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?74?j1/==6j:3c`e>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:k9i6<49:183!77nk0:0:&;b5<3=k20b<<>3;303a=#992n6?ola:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==280=6=4?{%33bg<0k?1C=20393ad<@8:><6*7f1871g>b2;khm6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2c1;>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>7gdi2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>o=8:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?74091/==6j:3c`e>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:k9=6<49:183!77nk0:0:&;b5<3=k20b<<>3;30<4=#992n6?ola:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;khm6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2c17>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>5+11:f>7gdi2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>o=<:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?740=1/==6j:3c`e>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:k996<49:183!77nk0:0:&;b5<3=k20b<<>3;30<0=#992n6?ola:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;khm6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2c13>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>7gdi2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>o:;:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?74011/==6j:3c`e>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:k>86<49:183!77nk0:0:&;b5<3=k20b<<>3;30<<=#992n6?ola:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;khm6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2c62>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>7gdi2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>o:?:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?740j1/==6j:3c`e>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:k9m6<49:183!77nk0:0:&;b5<3=k20b<<>3;30:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;khm6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2c1b>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>7gdi2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>o5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?74191/==6j:3c`e>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:k8n6<49:183!77nk0:0:&;b5<3=k20b<<>3;30=4=#992n6?ola:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==2039550d3A;;9=5+8g2900d?3g;9=>4>3808 46?m38jol5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4c1k0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:01:7>"681o1>lmn;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:m3j6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>45>=2.:<5k52`ab?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>io;:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6;0<0(<>7e;0bgd=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%::09g96def3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2ec1>4=<2.:>544`;?k759:0:?464$02;a?4fkh1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0ge4<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<=69:&24=c=:hij7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a6ag728036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>38c8 46?m38jol5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4c1o0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:01:f>"681o1>lmn;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:m3n6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>45>l2.:<5k52`ab?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>i77:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6;0o0(<>7e;0bgd=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%::09g96def3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2d3;>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>7gdi2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>i;l:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6;h;0(<>7e;0bgd=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%::6*>09g96def3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2e7b>4=<2.:>544`;?k759:0:?l=4$02;a?4fkh1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0g1<<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<=n4:&24=c=:hij7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a6a3?28036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>3`78 46?m38jol5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4c>=0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:01b2>"681o1>lmn;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:m<86<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>45f02.:<5k52`ab?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>i8>:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6;h30(<>7e;0bgd=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%::09g96def3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2e7e>4=<2.:>544`;?k759:0:?ll4$02;a?4fkh1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0g1`<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<=nc:&24=c=:hij7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a6a3c28036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>3`f8 46?m38jol5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4c=>0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:01ba>"681o1>lmn;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:m?=6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=k4>:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201956d73-;;4h4=abc8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg4b:l0:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=512`2?!770l09mno4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0f72<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=>l=;%33<`<5ijk0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201956d33-;;4h4=abc8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg4b;=0:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=512`6?!770l09mno4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0f76<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=>l9;%33<`<5ijk0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201956d?3-;;4h4=abc8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg4b;90:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=512`:?!770l09mno4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0f6a<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=>ln;%33<`<5ijk0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>45ek2.:<5k52`ab?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>h>9:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6;kn0(<>7e;0bgd=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%::09g96def3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2d27>4=<2.:>544`;?k759:0:?oh4$02;a?4fkh1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0f46<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<=l0:&24=c=:hij7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a6`6528036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>3b38 46?m38jol5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4b880:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:01`6>"681o1>lmn;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:l:;6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>45d<2.:<5k52`ab?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>h>j:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<6;j?0(<>7e;0bgd=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%::09g96def3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2d2`>4=<2.:>544`;?k759:0:?n94$02;a?4fkh1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0f4g<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<=l8:&24=c=:hij7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a6`6f28036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>3b;8 46?m38jol5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4b800:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:01`e>"681o1>lmn;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:l:36<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>45dk2.:<5k52`ab?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>nli:085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?74km1/==6j:3c`e>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:1396<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<6;jo0(<>7e;046f=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl=88395?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?>l0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=88295?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?>m0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=89d95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?>j0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=89g95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?>k0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=89f95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?>h0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'<,8:3i7<82b9j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl=89a95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?>00:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=89`95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?>10:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=88`95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4??>0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=88c95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4???0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=88;95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4??<0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=88:95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4??=0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=88595?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4??:0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=88495?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4??;0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'<,8:3i7<82b9j072=83.:>1;:;e>=n99461021dn;750;&2647=m:307pl=88795?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4??80:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=88695?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4??90:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=88195?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?>o0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=89c95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?>>0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=84f95?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg4?=j0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>45a:2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?6;390?6=8r.:5+11:f>715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?=k0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>45a<2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?5;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?=h0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>45a>2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?4;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?=00:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>45a02.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?3;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?=10:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>45ai2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?2;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?=>0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>45ak2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?1;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?=?0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>45am2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?0;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?>?0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>42782.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?f;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?><0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>427:2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?e;390?6=8r.:5+11:f>715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?>=0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>427<2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?d;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?>:0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>427>2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?c;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?>;0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>42702.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?b;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?>80:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>427i2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?a;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?>90:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>427k2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?9;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?=o0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>427m2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?8;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?=l0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>42682.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?6?7;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg4?=<0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>426:2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?9ie;390?6=8r.:5+11:f>715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg40m=0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>426<2.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?9j3;397?6=8r.:h6:891=9?:;%33<`<5?;i0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a62de280?6=4?{%33bg<>=<1C="681o1>:=0;6)?=108243><3fh=57>5$0025?c4121vn?9j2;397?6=8r.:h6:891=9?8;%33<`<5?;i0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a62d>280?6=4?{%33bg<>=<1C="681o1>:=0;6)?=108243><3fh=57>5$0025?c4121vn?9j1;397?6=8r.:h6:891=9?6;%33<`<5?;i0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a62d0280?6=4?{%33bg<>=<1C="681o1>:=0;6)?=108243><3fh=57>5$0025?c4121vn?9kf;397?6=8r.:h6:891=9?m;%33<`<5?;i0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a62d3280?6=4?{%33bg<>=<1C="681o1>:=0;6)?=108243><3fh=57>5$0025?c4121vn?9ke;397?6=8r.:h6:891=9?k;%33<`<5?;i0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a62d5280?6=4?{%33bg<>=<1C="681o1>:=0;6)?=108243><3fh=57>5$0025?c4121vn?9kd;397?6=8r.:h6:891=9?i;%33<`<5?;i0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a62d7280?6=4?{%33bg<>=<1C="681o1>:=0;6)?=108243><3fh=57>5$0025?c4121vn?9kc;397?6=8r.:h6:891=9<>;%33<`<5?;i0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a62gb280?6=4?{%33bg<>=<1C="681o1>:=0;6)?=108243><3fh=57>5$0025?c4121vn?9kb;397?6=8r.:h6:891=9<<;%33<`<5?;i0e9<;:18'5776212276g7eg83>!7598034454oc4:>5<#9;;:6h=6;:a62gc280?6=4?{%33bg<>=<1C="681o1>:=0;6)?=108243><3fh=57>5$0025?c4121vn?9lb;397?6=8r.:544`;?!>>03;j46`>201951423-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi>:jn:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0:8?84$02;a?40:j1b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`13de=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=51504?!770l09;?m4i507>5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi>:mn:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k37c?=128207><,8:3i7<82b9j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th9;i751;194?6|,8:mn7?>f69K54`?3A;;jk5+1332>47a>2.3j=4;5c:8j446;3;?>45+11:f>715k2c?>94?:%3154!75980n?454}c04eg<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4>43c8 46?m38<>n5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th9;n751;194?6|,8:mn76i9:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h20b<<>3;376g=#992n6?9=c:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=:283>5}#99li6<@8:mj6*>203954`13-2m<7::b99m577428>9o6*>09g9624d3`>987>5$0025?>?121b4hh50;&2647=01307bl99;29 44693o8565rb35be?7=<3:10g`9=03<@8;m46F>0gd8 446933>86*7f1871g>b2;=9o6g;2583>!7598034o54i5f0>5<#9;;:656n;:k2432=83.:>ie>00;6)?=108f7<=:283>5}#99li65h6;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i11e=??<:061a>"681o1>:50;&2647=;9;0b<1;g0=>=zj;=o;7?53;294~"68oh1==>>32c3ik4?:%31544<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86<:<0:&24=c=:>8h7d:=4;29 4469323n65f4e194?"6:8;145o4;h3321<72-;9=<4>07:8?jd113:1(<<>1;g0=>=zj;=h;7?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51512?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e:>n=6<4<:183!77nk0:=k94H03e<>N68ol0(<<>1;32b3=#0o:188l7;o3156<6<:80(<>7e;046f=n<;>1<7*>2039<=?<3`2nj7>5$0025?>?121dn;750;&2647=m:307pl=7`:95?2=83:p(<>ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891=9=<;%33<`<5?;i0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:>i=6<4<:183!77nk03j45G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g?3g;9=>4>4268 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>:03e2>"?n90?9o64n0027?73;<1/==6j:351g>o3:=0;6)?=108;<<==>>32ei:44?:%31541<729q/==hm:876?M76n11C==hi;%3154<>==1/4k>544`;?k759:0:8>84$02;a?40:j1b8?:50;&2647=01h07d:k3;29 4469323m65f1147>5<#9;;:6<>98:9lf3?=83.:>1=7=50;2x 46aj32m56F>1g:8L46an2.:>a83>>n55+88:95d>b2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg40mj0:6>4?:1y'55`e28;m;6F>1g:8L46an2.:>a83>>n55a1330>42402.:<5k5260`?l25<3:1(<<>1;:;=>=n0ll1<7*>2039<=?<3fh=57>5$0025?c4121vn?9l3;390?6=8r.:715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg40l:0:6>4?:1y'55`e21l27E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982e==i9;;86<:8h7d68f;29 446939;=6`>21d94>=n01:1<7*>203975750z&24cd=98l<7E?>f99K55`a3-;9=<4>1g48 =`72=?i46`>2019515e3-;;4h4=73a8m143290/=??>:9::?>o?mo0;6)?=108;<<=`5>32wi>:m=:087>5<7s-;;jo46549K54`?3A;;jk5+1332><333-2m<7::b99m577428>8o6*>09g9624d3`>987>5$0025?>?j21b8i=50;&2647=01k07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f71c:3;1?7>50z&24cd=0o30Dh6:891=9=k;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a62cf28086=4?{%33bg<69o=0Df79'bn3:1(<<>1;:;=>=hj?31<7*>2039a6?<3th9;n?51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=9=9m7)??8d8137e=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a62b628086=4?{%33bg:3:&;b5<3=k20(577:0c;?k759:0:89>4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`13`?=9391<7>t$02ef?76n>1C=3;3704=#992n6?9=c:k761<72-;9=<478898m=ca290/=??>:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6<=80(<>7e;046f=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`13a6=9391<7>t$02ef?>a12B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`:8j446;3;?8>5+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c04a=<62:0;6=u+11da>47a?2B:=k64H02eb>"6:8;1="681o1>:1;g0=>=zj;=ij7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?73<<1/==6j:351g>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c04gc<62:0;6=u+11da>=`>3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a99m577428>?:6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>0g`954`03A;:j55G11de?!75980:=k84$9d3>13e02d:><=51564?!770l09;?m4i507>5<#9;;:6566;:k;ac<72-;9=<478898kg0>290/=??>:d1:?>{e:>hn6<4;:183!77nk02985G10d;?M77no1/=??>:877?!>a83>>n55a1330>42302.:<5k5260`?l25<3:1(<<>1;:;f>=n2039<=g<3`;;:94?:%3154<68?207bl99;29 44693o8565rb35`a?7=;3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n8:l2645=9=>27)??8d8137e6663g;94<4290;w)??fc825c1<@8;m46F>0gd8 44693;:j;5+8g2900d?3g;9=>4>45c8 46?m38<>n5f43694?"6:8;14574;h:fb?6=,88:=7679:9lf3?=83.:>96F>1g:8L46an2.:>2019512e3-;;4h4=73a8m143290/=??>:9:a?>o3l:0;6)?=108;5$0025?77>110co86:18'57762l9276sm26ag>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,13367e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl=7d295?5=83:p(<>ib;32b2=O98l37E??fg9'577628;m:6*7f1871g>b2;=9o6g;2583>!7598034454i9ge>5<#9;;:6566;:ma2<<72-;9=<4j3898yg40j?0:694?:1y'55`e20?>7E?>f99K55`a3-;9=<46559'1;:;e>=n99461021dn;750;&2647=m:307pl=7ba95?5=83:p(<>ib;:e=>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l64n0027?73o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31546<729q/==hm:03e3>N69o20D<>if:&2647=98l=7)6i0;66f==i9;;86<::0:&24=c=:>8h7d:=4;29 4469323565f8dd94?"6:8;14574;n`5=?6=,88:=7k<9:9~f71f?3;187>50z&24cd=13;3714=#992n6?9=c:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31546<729q/==hm:9d:?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m55a1330>422:2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3fh=57>5$0025?c4121vn?9i2;390?6=8r.:5+11:f>715k2c?>94?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg40n10:684?:1y'55`e21li7E?>f99K55`a3-ljn7<6639'577628:>?6*7f1871g><,1336???;%dbg?4>>;1e=??<:0660>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?9id;391?6=8r.:7?1:2.:>a83>>n55+88:9ed=#nhi1>48=;o3156<6<7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`13c7=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=51575?!770l09;?m4i507>5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi>:h8:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``96<063-;9=<4>0418 =`72=?i46*7998155=#nhi1>48>;o3156<6<<=0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`13ce=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik095;?4$0025?77=:1/4k>544`;?!>>03kj7)hnc;0:24=i9;;86<::8:&24=c=:>8h7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;=m<7?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?73=01/==6j:351g>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c04b3<62<0;6=u+11da>=`e3A;:j55G11de?!`fj382:=5+1332>462;2.3j=4;5c:8 =??2;;;7)hnc;0:25=i9;;86<::a:&24=c=:>8h7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;=mn7?55;294~"68oh14kl4H03e<>N68ol0(kom:3;54>"6:8;1==;<;%:e4?22j11/4465a`9'bde=:0<;7c?=128200d<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757ib;;61>N69o20D<>if:&2647=1<>0(5h?:57a<>h6:891=9;l;%33<`<5?;i0e9<;:18'5776212i76g;d283>!7598034l54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e:>l>6<4::183!77nk03jo5G10d;?M77no1/jll5287e?!75980:<8=4$9d3>13e02.3554=119'bde=:0?m7c?=128200b<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<511;3316=#0o:188l7;%::>i6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=13;371c=#992n6?9=c:k761<72-;9=<478c98m1b4290/=??>:9:b?>o68?>1<7*>2039550?32ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?4>=l1/=??>:0267>"?n90?9o64$9;;>7773-ljo7<65d9m577428>=<6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D4>4738 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9m9:51;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?73>;1/==6j:351g>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?o;3;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9=<87)??8d8137e14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=a5095?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;?:95+11:f>715k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3c75?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=98:;%33<`<5?;i0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1e16=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;=9o6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7g4n3;147>50z&24cd=?jk0D461k2B:<8>4$9d3>13e02d:><=51544?!770l09;?m4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5i:o1=7650;2x 46aj3=hm6F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;372==#992n6?9=c:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;k8h7?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019510>3-;;4h4=73a8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9m9m51;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?73>h1/==6j:351g>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?o;b;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9=14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=a5c95?>=83:p(<>ib;5`e>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;?:n5+11:f>715k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3c7=?7=03:10g`93fg<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=98k;%33<`<5?;i0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1e1>=9321<7>t$02ef?1di2B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;=9o6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7g3?3;147>50z&24cd=?jk0D461k2B:<8>4$9d3>13e02d:><=5154e?!770l09;?m4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd5i=<1=7650;2x 46aj3=hm6F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;3735=#992n6?9=c:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;k?97?58;294~"68oh1;no4H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201951163-;;4h4=73a8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9m>m51;:94?6|,8:mn79la:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?73?;1/==6j:351g>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?o1=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9==87)??8d8137e14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=98195?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;?;95+11:f>715k2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3;:6?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=99:;%33<`<5?;i0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1=<7=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7?>83;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=51554?!770l09;?m4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd511o1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;373==#992n6?9=c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;33h7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>2019511>3-;;4h4=73a8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th955m51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?73?h1/==6j:351g>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?77b;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9==i7)??8d8137e14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=99c95?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;?;n5+11:f>715k2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3;;=?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=99k;%33<`<5?;i0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1==>=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7???3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=5155e?!770l09;?m4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd511<1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;37<5=#992n6?9=c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;3397?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201951>63-;;4h4=73a8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th954o51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?730;1/==6j:351g>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?769;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9=287)??8d8137e14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=98:95?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;?495+11:f>715k2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3;:3?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=96:;%33<`<5?;i0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1=<0=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7?>=3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=515:4?!770l09;?m4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd510>1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;37<==#992n6?9=c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;33j7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201951>>3-;;4h4=73a8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th955:51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?730h1/==6j:351g>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?773;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9=2i7)??8d8137e14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=9d095?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;?4n5+11:f>715k2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3;f5?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=96k;%33<`<5?;i0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1=`6=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7?cn3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=515:e?!770l09;?m4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51mo1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;37=5=#992n6?9=c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;3oh7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201951?63-;;4h4=73a8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th95im51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?731;1/==6j:351g>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?7kb;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9=387)??8d8137e14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=9dc95?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;?595+11:f>715k2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3;f=?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=97:;%33<`<5?;i0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1=`>=9321<7>t$02ef?1d12B:=k64H02eb>"?110?7)?=108243e<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f7?b?3;147>50z&24cd=?j30D461k2B:<8>4$9d3>13e02d:><=515;4?!770l09;?m4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd51l<1=7650;2x 46aj3=h56F>1g:8L46an2.3554;;%3154<68?i0D<>:0:&;b5<3=k20b<<>3;37===#992n6?9=c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;3n97?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201951?>3-;;4h4=73a8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th95h:51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?731h1/==6j:351g>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn?7j3;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9=3i7)??8d8137e14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=9ec95?>=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;3;?5n5+11:f>715k2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb3;g=?7=03:10g`93f?<@8;m46F>0gd8 =??2=1/=??>:025g>N68<:0(5h?:57a<>h6:891=97k;%33<`<5?;i0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`1=d6=93<1<7>t$02ef?1d<2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86<:6e:&24=c=:>8h7d=n2;29 44693>9465f46494?"6:8;1==;;;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07pl=9`695?0=83:p(<>ib;5`0>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577428>2j6*>09g9624d3`9j>7>5$0025?25021b8:850;&2647=99??76g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><@8:mj6*79987?!75980a83>>n55a1330>42f82.:<5k5260`?l5f:3:1(<<>1;61<>=n<><1<7*>2039553332c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?xd500i1=7?:a;294~"68oh1;;m4H03e<>N68ol0V>o?:cy2b`c7=9>>1=:85f28e4?72<3w/8=k5e3c8j747291e>?o50:l051<63g9:i7>4n55f>5=i1<;1<6`>03a94>hb;?0;7c:?d;28j176291/==;j:02bb>h0>h0;7)=n1;7g`>N68k<0(<<>1;55g>"4890:<;l4H95g?!>a83>>n55a1330>42f92.:<5k5260`?l26m3:17d??5983>k77n:0;76g>04;94?h68o91<65f43294?=n99?j6=4a11d0>5=5<4;h331g<72g;;j>4?;:k76=<72Alj56`>0g194>=n99?=6=4a11d0>5=5<5<5<97>5n02e7?6<3`;;9i4?:o33b6<732c8?94?:%db2:la26<6921b?><50;&ee=<4;:1ejl951:l24c5=82.i:94<139mf35=9910e>=>:18'bd>=;:90bko8:39m55`4291/n;:53008jg042o10e>=?:18'bd>=;:90bko8:29m55`4291/n;:53008jg042l10e>=;:90bko8:59m55`4291/n;:53008jg042m10e>=;:90bko8:49m55`4291/n;:53008jg042j10e>=;:90bko8:79m55`4291/n;:53008jg042k10e>=;:90bko8:69m55`4291/n;:53008jg042h10e>=k:18'bd>=;:90bko8:99m55`4291/n;:53008jg042010e>=l:18'bd>=;:90bko8:89m55`4291/n;:53008jg042110e>=m:18'bd>=;:90bko8:`9m55`4291/n;:53008jg042>10e>=n:18'bd>=;:90bko8:c9m55`4291/n;:53008jg042?10e>=6:18'bd>=;:90bko8:b9m55`4291/n;:53008jg042<10e>=7:18'bd>=;:90bko8:e9m55`4291/n;:53008jg042=10e>=8:18'bd>=;:90bko8:d9m55`4291/n;:53008jg042:10e>=9:18'bd>=;:90bko8:g9m55`4291/n;:53008jg042;10e>=::18'bd>=;:90bko8:028j46a;3:0(o8;:231?kd1;3;07d==b;29 cg?2:987chn7;32?k77n:0;7)l94;126>he>:0;76a<8d83>!`f0393h6`ia683?!d1<39<>6`m62825>=h;1i1<7*ia9805<#nh21?5j4ngc4>7=#j?>1?:<4nc40>c=5+b7697245<#nh21?5j4ngc4>1=#j?>1?:<4nc40>a=5<#nh21?5j4ngc4>3=#j?>1?:<4nc40>g=5<#nh21?5j4ngc4>==#j?>1?:<4nc40><=5<#nh21?5j4ngc4>d=#j?>1?:<4nc40>2=5<#nh21?5j4ngc4>f=#j?>1?:<4nc40>0=5<#nh21?5j4ngc4>`=#j?>1?:<4nc40>6=5<#nh21?5j4ngc4>46<,k9=;o`57?7<3f9397>5$gc;>6>c3glj;7?>;%`50?50:2di:>4?;:ke7g<72-lj47h750;&ee=oa;?0;6)hn8;d0e>hai>0?7)l94;gf4>he>:09n65ff2794?"ai10m?l5af`591>"e>=0ni=5ab7196d=4=7:9jb66=83.mm54i3`9mbd1=12.i:94je19mf35=:?10ek=n:k0bko8:`9'f32=ml:0bo8<:378?l`5m3:1(ko7:g1b?k`f?3h0(o8;:dg3?kd1;38?76gi2e83>!`f03l8m6`ia68`?!d1<3on<6`m62817>=nn;i1<7*ia98e7d=inh=1h6*m658fa5=ij?91>?54ig0a>5<#nh21j>o4ngc4>`=#j?>1ih>4nc40>77<3`l9m7>5$gc;>c5f3glj;7h4$c47>`c73gh=?7=n:k0bko8:038 g032lo;7cl93;3f?>oa:?0;6)hn8;d0e>hai>0:>6*m658fa5=ij?91=i54ig06>5<#nh21j>o4ngc4>45<,k94?:%db4:&a21!`f03l8m6`ia6822>"e>=0ni=5ab7195<=`c73gh=?7?7;:ke65<72-lj47h10ek?i:18'bd>=n:k0bko8:0;8 g032lo;7cl93;35?>oa9l0;6)hn8;d0e>hai>0:m6*m658fa5=ij?91=854ig3g>5<#nh21j>o4ngc4>4d<,kc:&a21!`f03l8m6`ia682a>"e>=0ni=5ab71954=`c73gh=?7??;:ke52<72-lj47h4;29 cg?2o9j7chn7;00?!d1<3on<6`m628`?>oa9:0;6)hn8;d0e>hai>0986*m658fa5=ij?91n65ff0094?"ai10m?l5af`5960=#j?>1ih>4nc40>d=:6=4+f`:9b6g`c73gh=?774;hd74?6=,ok36k=n;odb3?403-h=87kj0:la26=n:k0bko8:3c8 g032lo;7cl93;78?l`4k3:1(ko7:g1b?k`f?38i7)l94;gf4>he>:0?76gi3383>!`f03l8m6`ia681g>"e>=0ni=5ab7197>=nn;=1<7*ia98e7d=inh=1>i5+b769a`65<#nh21j>o4ngc4>7c<,k5$gc;>c5f3glj;74?;:k746<72-lj47:?2:lee2<73g;;j>4?;%`50?5f:2di:>4=f:9j057=83.mm54;039mbd1=92d:i3;28 g032:k97cl93;0`?>o4nl0;6)hn8;636>hai>0?7c??f283?!d1<39j>6`m6281f>=n;on1<7*ia98747=inh=196`>0g194>"e>=08m?5ab7196d=5=#j?>1?l<4nc40>7?<3`9mn7>5$gc;>1653glj;794n02e7?6<,ko=;o`57?4?32c8j44?:%dbh8:18'bd>=<980bko8:`9m55`4291/n;:53`08jg042;?07d=i6;29 cg?2=:97chn7;`8j46a;3:0(o8;:2c1?kd1;38?76g!`f03>;>6`ia68`?k77n:0;7)l94;1b6>he>:09?65f3g694?"ai10?h68o91<6*m6580e7=ij?91>?54i2d0>5<#nh218=<4ngc4>`=i99l86=5+b7697d4=;odb3?`4$c47>6g53gh=?7i3;28 g032:k97cl93;3f?>o4ml0;6)hn8;636>hai>0:>6`>0g194>"e>=08m?5ab7195a=o=;o`57?7d32c8in4?:%db4:l24c5=82.i:94km:18'bd>=<980bko8:078j46a;3:0(o8;:2c1?kd1;3;j76g!`f03>;>6`ia6822>h68o91<6*m6580e7=ij?91=454i2g:>5<#nh218=<4ngc4>414$c47>6g53gh=?7?7;:k0a=<72-lj47:?2:lee2<602d:i3;28 g032:k97cl93;35?>o4m?0;6)hn8;636>hai>0:m6`>0g194>"e>=08m?5ab71950=6=4+f`:9054o=;o`57?7332c8i>4?:%dbc:l24c5=82.i:94k=:18'bd>=<980bko8:0f8j46a;3:0(o8;:2c1?kd1;3;976g!`f03>;>6`ia682a>h68o91<6*m6580e7=ij?91=<54i2g3>5<#nh218=<4ngc4>4`4$c47>6g53gh=?7??;:k0`c<72-lj47:?2:lee2<582d:i3;28 g032:k97cl93;f8?l5ck3:1(ko7:521?k`f?3887c??f283?!d1<39j>6`m628`?>o4lk0;6)hn8;636>hai>0986`>0g194>"e>=08m?5ab719f>=n;mk1<7*ia98747=inh=1>85a11d0>5=#j?>1?l<4nc40>d=o=;o`57??<3`>;47>5$gc;>1653glj;7<8;o33b6<73-h=87=n2:la26::18'bd>=<980bko8:3c8j46a;3:0(o8;:2c1?kd1;3?07d:?4;29 cg?2=:97chn7;0a?k77n:0;7)l94;1b6>he>:0?76g!`f03>;>6`ia681g>h68o91<6*m6580e7=ij?91?65f3dd94?"ai10?5<#nh218=<4ngc4>7c4$c47>6g53gh=?7?4;h1g=?6=,ok369>=;odb3?4a3g;;j>4?;%`50?5f:2di:>4?;:mf`2<72-lj47kk6:lee2<73-h=87kibl;0;6)hn8;gg2>hai>0?7)l94;g0e>he>:09n65`ee394?"ai10nh;5af`591>"e>=0n?l5ab7196d=2dmm:47;%`50?c4i2di:>4=7:9lafe=83.mm54jd79mbd1=12.i:94j3`9mf35=:?10chmm:18'bd>=mm<0bko8:`9'f32=m:k0bo8<:378?jcdi3:1(ko7:df5?k`f?3h0(o8;:d1b?kd1;38?76ajc883>!`f03oo:6`ia68`?!d1<3o8m6`m62817>=hmj21<7*ia98f`3=inh=1h6*m658f7d=ij?91>?54oda4>5<#nh21ii84ngc4>`=#j?>1i>o4nc40>77<3foh:7>5$gc;>`b13glj;7h4$c47>`5f3gh=?7=mm<0bko8:038 g032l9j7cl93;3f?>ibk;0;6)hn8;gg2>hai>0:>6*m658f7d=ij?91=i54oda2>5<#nh21ii84ngc4>45<,k2dmm:4>4:&a21!`f03oo:6`ia6822>"e>=0n?l5ab7195<=`5f3gh=?7?7;:mfff<72-lj47kk6:lee2<602.i:94j3`9mf35=9>10chlm:18'bd>=mm<0bko8:0;8 g032l9j7cl93;35?>ibjh0;6)hn8;gg2>hai>0:m6*m658f7d=ij?91=854od`:>5<#nh21ii84ngc4>4d<,k2dmm:4>c:&a21!`f03oo:6`ia682a>"e>=0n?l5ab71954=`5f3gh=?7??;:mff6<72-lj47kk6:lee2<582.i:94j3`9mf35=n21dio<50;&ee=ibio0;6)hn8;gg2>hai>0986*m658f7d=ij?91n65`e`g94?"ai10nh;5af`5960=#j?>1i>o4nc40>d=`5f3gh=?774;nggg?6=,ok36hj9;odb3?403-h=87k2dmm:4=8:&a21=mm<0bko8:3c8 g032l9j7cl93;78?jcc03:1(ko7:df5?k`f?38i7)l94;g0e>he>:0?76ajcd83>!`f03oo:6`ia681g>"e>=0n?l5ab7197>=hmj91<7*ia98f`3=inh=1>i5+b769a6g5<#nh21ii84ngc4>7c<,k5$gc;>`b13glj;74?;:kf70<72-lj47k<4:lee2<73g;;j>4?;%`50?c5<2di:>48;:kf76<72-lj47k<4:lee2<63g;;j>4?;%`50?c5<2di:>49;:kf77<72-lj47k<4:lee2<53g;;j>4?;%`50?c5<2di:>4:;:kf74<72-lj47k<4:lee2<43g;;j>4?;%`50?c5<2di:>4;;:kf75<72-lj47k<4:lee2<33g;;j>4?;%`50?c5<2di:>4<;:kf6c<72-lj47k<4:lee2<23g;;j>4?;%`50?c5<2di:>4=;:kf6`<72-lj47k<4:lee2<13g;;j>4?;%`50?c5<2di:>4>;:kf6a<72-lj47k<4:lee2<03g;;j>4?;%`50?c5<2di:>4?;:k7ef<72-lj47:nb:lee2<73g;;j>4?;%`50?2??2di:>4>1:9j0dg=83.mm54;ac9mbd1=92d:1en;=51198m1g>290/jl654``8jcg02;1e==h<:19'f32=<1=0bo8<:g98m1g?290/jl654``8jcg02:1e==h<:19'f32=<1=0bo8<:d98m1g0290/jl654``8jcg02=1e==h<:19'f32=<1=0bo8<:e98m1g1290/jl654``8jcg02<1e==h<:19'f32=<1=0bo8<:b98m1g2290/jl654``8jcg02?1e==h<:19'f32=<1=0bo8<:c98m1g3290/jl654``8jcg02>1e==h<:19'f32=<1=0bo8<:`98m1d2290/jl654``8jcg0211e==h<:19'f32=<1=0bo8<:898m1d3290/jl654``8jcg0201e==h<:19'f32=<1=0bo8<:998m1d4290/jl654``8jcg02h1e==h<:19'f32=<1=0bo8<:698m1d5290/jl654``8jcg02k1e==h<:19'f32=<1=0bo8<:798m1d6290/jl654``8jcg02j1e==h<:19'f32=<1=0bo8<:498m1d7290/jl654``8jcg02m1e==h<:19'f32=<1=0bo8<:598m1ga290/jl654``8jcg02l1e==h<:19'f32=<1=0bo8<:298m1gb290/jl654``8jcg02o1e==h<:19'f32=<1=0bo8<:398m1gc290/jl654``8jcg028:0b<>i3;28 g032=2<7cl93;38?l2f;3:1(ko7:5ca?k`f?3;:7c??f283?!d1<3>3;6`m6283?>ia1m0;6)hn8;d:g>hai>0;7)l94;d76>he>:09j65`f8`94?"ai10m5n5af`595>"e>=0m8?5ab7196`=k2dmm:4;;%`50?`3:2di:>4=b:9lb<1=83.mm54i9b9mbd1==2.i:94i439mf35=:h10ck79:18'bd>=n0i0bko8:79'f32=n=80bo8<:3;8?j`>=3:1(ko7:g;`?k`f?3=0(o8;:g61?kd1;38376ai9283>!`f03l2o6`ia68;?!d1<3l?>6`m62813>=hn081<7*ia98e=f=inh=156*m658e07=ij?91>;54og;2>5<#nh21j4m4ngc4>d=#j?>1j9<4nc40>73<3fl2<7>5$gc;>c?d3glj;7l4$c47>c253gh=?7<;;:mec290/jl65f8a8jcg02l1/n;:5f508jg042;;07bh7c;29 cg?2o3h7chn7;d8 g032o>97cl93;03?>ia0k0;6)hn8;d:g>hai>0:<6*m658e07=ij?91=k54og:b>5<#nh21j4m4ngc4>47<,kk2dmm:4>2:&a210290/jl65f8a8jcg02890(o8;:g61?kd1;3;h76ai8783>!`f03l2o6`ia6820>"e>=0m8?5ab7195g=6=4+f`:9bc253gh=?7?n;:me<1<72-lj47h6c:lee2<6>2.i:94i439mf35=9010ck6<:18'bd>=n0i0bko8:058 g032o>97cl93;3;?>ia0;0;6)hn8;d:g>hai>0:46*m658e07=ij?91=:54og:2>5<#nh21j4m4ngc4>4?<,kk2dmm:4>a:&a21!`f03l2o6`ia682g>"e>=0m8?5ab71956=c253gh=?7?=;:me3g<72-lj47h6c:lee2<6m2.i:94i439mf35=9810ck9n:18'bd>=n0i0bko8:0d8 g032o>97cl93;33?>ia?00;6)hn8;d:g>hai>09<6*m658e07=ij?91j65`f6:94?"ai10m5n5af`5964=#j?>1j9<4nc40>`=c253gh=?7j4;nd42?6=,ok36k7l;odb3?443-h=87h;2:la26k2dmm:4=4:&a21=n0i0bko8:348 g032o>97cl93;;8?j`f:3:1(ko7:g;`?k`f?38<7)l94;d76>he>:0376aia083>!`f03l2o6`ia681<>"e>=0m8?5ab7193>=hnh:1<7*ia98e=f=inh=1>45+b769b145<#nh21j4m4ngc4>7g<,k5$gc;>c?d3glj;74;;:me=1<72-lj47h6c:lee2<5k2.i:94i439mf35=;21dj5750;&ee=6`m6283?>o5=:0;6)hn8;066>hai>0;7c??f283?!d1<38:h6`m62825>=n:<;1<7*ia98117=inh=1=6`>0g194>"e>=09=i5ab71955=5=#j?>1>c=m6=4+f`:96045a11d0>5=#j?>1>`=n6=4+f`:96045=#j?>1>a=o6=4+f`:96045=#j?>1>f=h6=4+f`:96045=#j?>1>g=i6=4+f`:96045=#j?>1>d=5=#j?>1><=5=#j?>1>==5=#j?>1>2=5=#j?>1>3=5=#j?>1>0=5=#j?>1>1=5=#j?>1>6=6=4+f`:96045=#j?>1>7=4n02e7?6<,k5$gc;>7353glj;7?>;o33b6<73-h=87<>d:la26<732e28<4?:%dban2di:>4=3:9l=6`=83.mm546419mbd1=92.i:947fg9mf35=:;10c4=j:18'bd>=1=:0bko8:39'f32=0ol0bo8<:338?j?4l3:1(ko7:863?k`f?390(o8;:9de?kd1;38;76a63b83>!`f033?<6`ia687?!d1<32mj6`m6282b>=h1:h1<7*ia98:05=inh=196*m658;bc=ij?91=h54o81:>5<#nh2159>4ngc4>3=#j?>14kh4nc40>4b<3f3847>5$gc;><273glj;794$c47>=`a3gh=?7?l;:m:72<72-lj477;0:lee2850;&ee=<><91ejl959:&a21;7chn7;`8 g0321lm7cl93;3;?>i>;:0;6)hn8;;74>hai>0h7)l94;:eb>he>:0:;65`92094?"ai1028=5af`59`>"e>=03jk5ab71953=h4?:%db0:&a21!`f033?<6`ia6826>"e>=03jk5ab71954==`a3gh=?7??;:m:6d<72-lj477;0:lee2<6<2.i:947fg9mf35=n21d5?750;&ee=<><91ejl95149'f32=0ol0bo8<:d98k<4?290/jl659528jcg028<0(o8;:9de?kd1;3n07b7=7;29 cg?20>;7chn7;34?!d1<32mj6`m628`?>i>:?0;6)hn8;;74>hai>0:46*m658;bc=ij?91n65`93794?"ai1028=5af`595<=#j?>14kh4nc40>d=36=4+f`:9=16=`a3gh=?774;n;73?6=,ok364:?;odb3?7e3-h=876if:la26c:&a21=1=:0bko8:0g8 g0321lm7cl93;78?j?3;3:1(ko7:863?k`f?3;m7)l94;:eb>he>:0?76a64383>!`f033?<6`ia6814>"e>=03jk5ab7197>=h1:k1<7*ia98:05=inh=1><5+b7695<#nh2159>4ngc4>74<,k5$gc;><273glj;7<<;%`50?>an2di:>4?;:k02=<72-lj47=97:lee2<73-h=87=;c:la26<692B:<:>4;h152?6=,ok36>88;odb3?7<,k:l;o`57?773A;;;=54i246>5<#nh21?;94ngc4>7=#j?>1?9m4nc40>c=O99=;76g<6583>!`f039=;6`ia680?!d1<39?o6`m628f?M77?910e>8<:18'bd>=;?=0bko8:59'f32=;=i0bo8<:e9K551732c8:?4?:%db4l;I3335=o4>90;6)hn8;153>hai>0<7)l94;17g>he>:0j7E??7198m616290/jl653758jcg0211/n;:535a8jg04201C==9?;:k035<72-lj47=97:lee2<>3-h=87=;c:la265<#nh21?;94ngc4>d=#j?>1?9m4nc40>2=O99=;76g<6d83>!`f039=;6`ia68a?!d1<39?o6`m6285?M77?910e>8k:18'bd>=;?=0bko8:b9'f32=;=i0bo8<:49K551732c8:n4?:%db4;;I3335=5G1153?>o4>h0;6)hn8;153>hai>0m7)l94;17g>he>:097E??7198m60>290/jl653758jcg028:0(o8;:26`?kd1;3;0D<>80:9j70`=83.mm54<669mbd1=981/n;:535a8jg04291C==9?;:a6de6280=6=4?{%33bg<0k91C=20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2`a3>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>5+11:f>715k2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>lm6:085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?73i=1/==6j:351g>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:hi36<49:183!77nk0:0:&;b5<3=k20b<<>3;37e0=#992n6?9=c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2`a5>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>715k2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>lm::085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?73i11/==6j:351g>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:hi?6<49:183!77nk0:0:&;b5<3=k20b<<>3;37e<=#992n6?9=c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2`a1>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>715k2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>lli:085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?73ij1/==6j:351g>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:hhn6<49:183!77nk0:0:&;b5<3=k20b<<>3;37ea=#992n6?9=c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm26;f>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>715k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>:7k:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?73j91/==6j:351g>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:>3i6<49:183!77nk0:0:&;b5<3=k20b<<>3;37f4=#992n6?9=c:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm26;:>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>5+11:f>715k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>:77:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?73j=1/==6j:351g>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:>3<6<49:183!77nk0:0:&;b5<3=k20b<<>3;37f0=#992n6?9=c:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm26;6>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>715k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>:7;:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?73j11/==6j:351g>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:>386<49:183!77nk0:0:&;b5<3=k20b<<>3;37f<=#992n6?9=c:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm26c5>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>715k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>:o::085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?73jj1/==6j:351g>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:>k?6<49:183!77nk0:0:&;b5<3=k20b<<>3;37fa=#992n6?9=c:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm26c1>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>715k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>:o>:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?73k91/==6j:351g>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:>k;6<49:183!77nk0:0:&;b5<3=k20b<<>3;37g4=#992n6?9=c:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm26;2>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>5+11:f>715k2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>:7?:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?73k=1/==6j:351g>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e:0ln6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>42d>2.:<5k5260`?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>4hl:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<67e;046f=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<6fc82>=<729q/==hm:6a:?M76n11C==hi;%::h46*>09g9624d3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2`25>4=<2.:>544`;?k759:0:8n74$02;a?40:j1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0b40<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<:la:&24=c=:>8h7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a6d6328036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>4b`8 46?m38<>n5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4f8:0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:06`g>"681o1>:4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:h:96<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>42dm2.:<5k5260`?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>l>?:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<67e;046f=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<6fg82>=<729q/==hm:6a:?M76n11C==hi;%::o<6*>09g9624d3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm28db>4=<2.:>544`;?k759:0:8i?4$02;a?40:j1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0:b<<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<:k2:&24=c=:>8h7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a6d1f280=6=4?{%33bg<0k91C=20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm28`f>4=<2.:>544`;?k759:0:8i:4$02;a?40:j1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0:fa<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<:k5:&24=c=:>8h7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a62039550d3A;;9=5+8g2900d?3g;9=>4>4e48 46?m38<>n5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4>jk0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:06g3>"681o1>:4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:0hj6<47:183!77nk0<,8:3i7<82b9j7d4=83.:>1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>42c12.:<5k5260`?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>4m::08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<67e;046f=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo<6c582>=<729q/==hm:6a:?M76n11C==hi;%::on6*>09g9624d3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm28a0>4=<2.:>544`;?k759:0:8im4$02;a?40:j1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0:g7<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<:kd:&24=c=:>8h7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a62039550d3A;;9=5+8g2900d?3g;9=>4>4eg8 46?m38<>n5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4>k90:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:06gb>"681o1>:4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:0hm6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>42b92.:<5k5260`?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>4l7:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<67e;046f=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201951c33-;;4h4=73a8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg4f100:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=515g6?!770l09;?m4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0b==<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=9k9;%33<`<5?;i0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201951c?3-;;4h4=73a8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg4f1<0:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=515g:?!770l09;?m4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0b=1<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=9kn;%33<`<5?;i0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo3<729q/==hm:6a3?M76n11C==hi;%::14?32c?4:4?:%3154<3;29 44693o8565f40c94?"6:8;1807c8?l20>3:1(<<>1;3311=:783>5}#99li6:m?;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201951cd3-;;4h4=73a8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?c4121b8!75980:<;o4;h642?6=,88:=7??5598yg4f0o0:6;4?:1y'55`e2>i;7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=515gg?!770l09;?m4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c0b<`<62?0;6=u+11da>2e73A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891=9kj;%33<`<5?;i0e>o=:18'57762=8376g;8683>!7598034454o0207?6=,88:=7k<9:9j04g=83.:><:7>5$0025?77==10qo=<729q/==hm:6a:?M76n11C==hi;%::nj6*>09g9624d3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2`4;>4=<2.:>544`;?k759:0:8k>4$02;a?40:j1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0b22<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<:i1:&24=c=:>8h7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a6d0128036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>4g08 46?m38<>n5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4f><0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:06e7>"681o1>:4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:h1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=4>:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>42a=2.:<5k5260`?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>l8=:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<67e;046f=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%::m;6*>09g9624d3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2`53>4=<2.:>544`;?k759:0:8k64$02;a?40:j1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c0b2c<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86<:i9:&24=c=:>8h7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a6d0b28036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4>4gc8 46?m38<>n5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg4f>m0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:06ef>"681o1>:4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:h1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>42al2.:<5k5260`?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>l8n:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<67e;046f=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%::mj6*>09g9624d3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm2802>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:><=5+11:f>715k2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=h>i:080>5<7s-;;jo4>1g58L47a02B:544`;?k759:0:9=?4$02;a?7cm01b8?:50;&2647=01307d6jf;29 4469323565`b7;94?"6:8;1i>74;|`2a5c=9391<7>t$02ef?76n>1C=3;3647=#992n6:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6=990(<>7e;3ga<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2a5b=9391<7>t$02ef?76n>1C=3;3641=#992n6:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6=9?0(<>7e;3ga<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2a5e=9391<7>t$02ef?76n>1C=3;3643=#992n6:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6=9=0(<>7e;3ga<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2a5d=9391<7>t$02ef?76n>1C=3;364==#992n6:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6=930(<>7e;3ga<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2a5g=9391<7>t$02ef?76n>1C=3;364d=#992n6:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6=9h0(<>7e;3ga<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2a5?=9391<7>t$02ef?76n>1C=3;364f=#992n6:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6=9n0(<>7e;3ga<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2a5>=9391<7>t$02ef?76n>1C=3;364`=#992n6:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6=9l0(<>7e;3ga<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2a51=9391<7>t$02ef?76n>1C=3;3655=#992n6:9::?>ie>00;6)?=108f7<=:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6=8;0(<>7e;3ga<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2a50=9391<7>t$02ef?76n>1C=3;3657=#992n6:9::?>ie>00;6)?=108f7<=4>:583>5}#99li64;:;I32b==O99lm7)?=108:11=#0o:188l7;o3156<6=890(<>7e;3ga<=n<;>1<7*>2039<=d<3`>o?7>5$0025?>?i21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`2a60=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86<;>4:&24=c=9mo27d=n2;29 44693>9465f49594?"6:8;14574;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>e2795?0=83:p(<>ib;5`4>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m577428?:96*>09g95ac>3`9j>7>5$0025?25021b85950;&2647=01307b??3283>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th:i>:51;494?6|,8:mn79l0:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>436>2.:<5k51eg:?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6m:91=7850;2x 46aj3=h<6F>1g:8L46an2.:>"681o1=ik6;h1b6?6=,88:=7:=8:9j0=1=83.:>17f32c:!75980:<8:4;|`2a64=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86<;>8:&24=c=9mo27d=n2;29 44693>9465f49594?"6:8;14574;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>e2395?0=83:p(<>ib;5`4>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m577428?:56*>09g95ac>3`9j>7>5$0025?25021b85950;&2647=01307b??3283>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th:i>>51;494?6|,8:mn79l0:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>436i2.:<5k51eg:?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6m;l1=7850;2x 46aj3=h<6F>1g:8L46an2.:>"681o1=ik6;h1b6?6=,88:=7:=8:9j0=1=83.:>17f32c:!75980:<8:4;|`2a7c=93<1<7>t$02ef?1d82B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86<;>c:&24=c=9mo27d=n2;29 44693>9465f49594?"6:8;14574;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>e3f95?0=83:p(<>ib;5`4>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m577428?:h6*>09g95ac>3`9j>7>5$0025?25021b85950;&2647=01307b??3283>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th:i?<51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?729l1/==6j:0ff=>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn7e;3ga<=n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8o:m7?56;294~"68oh1;n>4H03e<>N68ol0(<<>1;5gf>"?110?7E??519'1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4c6k3;1:7>50z&24cd=?j:0D4>5338 46?m3;oi45f3`094?"6:8;18?64;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb0g2`?7=>3:10g`93f6<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0:9?<4$02;a?7cm01b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vnb;392?6=8r.:7e;3ga<=n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8o:j7?56;294~"68oh1;n>4H03e<>N68ol0(<<>1;5gf>"?110?7E??519'1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4c583;1:7>50z&24cd=?j:0D4>5378 46?m3;oi45f3`094?"6:8;18?64;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb0g2a?7=>3:10g`93f6<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:0:9?84$02;a?7cm01b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vn544`;?!>>03=<>6`>201950403-;;4h4>d008m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi=95<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=k:7c?=128217><,8:3i7?;2d9j<2`=83.:>21d95>=n01;1<7*>203975745;95?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6<9l0(<<>1;3316=#0o:188l7;%::41d8j446;3;>>45+11:f>425m2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=9:7:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``951673-;9=<4>0418 =`72=?i46*7998436=#nhi1=9>?;o3156<6=;k0(<>7e;376`=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj8>?:7?54;294~"68oh14ko4H03e<>N68ol0(kom:0634>"6:8;1==;<;%:e4?22j11/44657618 cgd28>;<6`>2019504e3-;;4h4>43g8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7??6*7f1871g><,1336:9<;%dbg?74no1e=??<:071g>"681o1=950;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`2012=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?kh4$0025?77=:1/4k>544`;?!>>03=b28>9i6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1560>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:ln7)?=1082405<,1l;69;m8:&;==<0?:1/jlm512df?k759:0:9?k4$02;a?73:l1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn<:;2;390?6=8r.:45am2.:>a83>>n55+88:9325<,okh6<=ie:l2645=9<8m7)??8d8207c6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;30ba=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;8ji5a1330>43482.:<5k5150f?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>3gf8 44693;;9>5+8g2900d?3-2247983:&eef<6;on0b<<>3;3674=#992n6<:=e:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a515a280?6=4?{%33bghl;%3154<68<90(5h?:57a<>"?110<;>5+f`a956`d3g;9=>4>5208 46?m3;?>h5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge289mo6*>203955343-2m<7::b99'<<>=?>90(kol:01eg>h6:891=8=<;%33<`<6<;o0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74nk1/=??>:0267>"?n90?9o64$9;;>2143-ljo7?09g9514b3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th:8>l51;694?6|,8:mn76ia:J25c><@8:mj6*iac827cd<,88:=7??529'"aij0:?kl4n0027?72;<1/==6j:061a>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9=9j6<4;:183!77nk03jl5G10d;?M77no1/jll512db?!75980:<8=4$9d3>13e02.35548729'bde=9:lj7c?=1282160<,8:3i7?;2d9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D45ai2d:><=51414?!770l0:8?k4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c377=<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8j45+1332>462;2.3j=4;5c:8 =??2>=87)hnc;30b<=i9;;86<;<8:&24=c=9=8n7d68f;29 446939;=6`>21d94>=n01:1<7*>203975742595?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6;o30(<<>1;3316=#0o:188l7;%::3g;8j446;3;>?45+11:f>425m2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=9=9:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956`?3-;9=<4>0418 =`72=?i46*7998436=#nhi1=>h7;o3156<6=:k0(<>7e;376`=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj8>897?54;294~"68oh14ko4H03e<>N68ol0(kom:01e<>"6:8;1==;<;%:e4?22j11/44657618 cgd289m46`>2019505e3-;;4h4>43g8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7??6*7f1871g><,1336:9<;%dbg?74n>1e=??<:070g>"681o1=950;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`2065=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?k94$0025?77=:1/4k>544`;?!>>03=b28>9i6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1511>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:l=7)?=1082405<,1l;69;m8:&;==<0?:1/jlm512d5?k759:0:9>k4$02;a?73:l1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn<:<0;390?6=8r.:45a>2.:>a83>>n55+88:9325<,okh6<=i6:l2645=9<9m7)??8d8207c6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;374`=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;?43382.:<5k5150f?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>41g8 44693;;9>5+8g2900d?3-2247983:&eef<6<9o0b<<>3;3604=#992n6<:=e:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a512c280?6=4?{%33bgk;%3154<68<90(5h?:57a<>"?110<;>5+f`a9516c3g;9=>4>5508 46?m3;?>h5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge28>;h6*>203955343-2m<7::b99'<<>=?>90(kol:063`>h6:891=8:<;%33<`<6<;o0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?738j1/=??>:0267>"?n90?9o64$9;;>2143-ljo7?;0b9m577428??86*>09g9514b3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th:89o51;694?6|,8:mn76ia:J25c><@8:mj6*iac8205e<,88:=7??529'"aij0:8=m4n0027?72<<1/==6j:061a>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9=><6<4;:183!77nk03jl5G10d;?M77no1/jll5152a?!75980:<8=4$9d3>13e02.35548729'bde=9=:i7c?=1282110<,8:3i7?;2d9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D427j2d:><=51464?!770l0:8?k4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c3774<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;?462;2.3j=4;5c:8 =??2>=87)hnc;374c=i9;;86<;;8:&24=c=9=8n7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;ln0(<<>1;3316=#0o:188l7;%::3df8j446;3;>845+11:f>6dc02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi?n6::087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956cc3-;9=<4>0418 =`72=?i46*7998436=#nhi1=>kk;o3156<6==k0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj:i3:7?54;294~"68oh14ko4H03e<>N68ol0(kom:01fg>"6:8;1==;<;%:e4?22j11/44657618 cgd289no6`>2019502e3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;90:694?:1y'55`e21lj7E?>f99K55`a3-ljn7??6*7f1871g><,1336:9<;%dbg?74mj1e=??<:077g>"681o1?oj7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`0g=>=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?hl4$0025?77=:1/4k>544`;?!>>03=b2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm3b::>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:oi7)?=1082405<,1l;69;m8:&;==<0?:1/jlm512ga?k759:0:99k4$02;a?5el11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn>m7a;390?6=8r.:45bi2.:>a83>>n55+88:9325<,okh6<=ja:l2645=9<>m7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;30ad=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;8il5a1330>43282.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>3d;8 44693;;9>5+8g2900d?3-2247983:&eef<6;l30b<<>3;3614=#992n6>lk8:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a7f1c280?6=4?{%33bgk6;%3154<68<90(5h?:57a<>"?110<;>5+f`a956c>3g;9=>4>5408 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge289n46*>203955343-2m<7::b99'<<>=?>90(kol:01f<>h6:891=8;<;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74m11/=??>:0267>"?n90?9o64$9;;>2143-ljo7?86*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th8o5>51;694?6|,8:mn76ia:J25c><@8:mj6*iac827`1<,88:=7??529'"aij0:?h94n0027?72=<1/==6j:2`g<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e;j2:6<4;:183!77nk03jl5G10d;?M77no1/jll512g4?!75980:<8=4$9d3>13e02.35548729'bde=9:o<7c?=1282100<,8:3i7=md99j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D45b>2d:><=51474?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1`<6<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8i;5+1332>462;2.3j=4;5c:8 =??2>=87)hnc;30a3=i9;;86<;:8:&24=c=;kn37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757ib;:e=>N69o20D<>if:&eeg<6;k<0(<<>1;3316=#0o:188l7;%::3c48j446;3;>945+11:f>6dc02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c7043<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;9n55+1332>462;2.3j=4;5c:8 =??2>80(kol:00a<>h6:891=8;n;%33<`<2:h<0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74>k1/=??>:0267>"?n90?9o64$9;;>=1<,okh6<=9b:l2645=96663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==01e=??<:076g>"681o1?oj7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 cge289i<6*>203955343-2m<7::b99'<<>=::i0(kol:01a4>h6:891=8;k;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74j91/=??>:0267>"?n90?9o64$9;;>75d3-ljo7?i6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th:h4l51;194?6|,8:mn76i9:J25c><@8:mj6*iac827gc<,88:=7??529'"aij0:?ok4n0027?72=o1/==6j:0f26>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:ik5a1330>43182.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>20397573;1:7>50z&24cd=0oi0Dh6:891=88>;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c1``6<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8;h5+1332>462;2.3j=4;5c:8 =??21=0(kol:014a>h6:891=88=;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74?01/=??>:0267>"?n90?9o64$9;;>=1<,okh6<=89:l2645=9<<87)??8d80fa>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;303<=#9;;:6<>:3:&;b5<3=k20(577:958 cgd289<56`>201950033-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<799'577628:>?6*7f1871g><,1336594$gc`>45002d:><=51446?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1`g`<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8;55+1332>462;2.3j=4;5c:8 =??21=0(kol:014<>h6:891=889;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74?>1/=??>:0267>"?n90?9o64$9;;>=1<,okh6<=87:l2645=9<<<7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;3032=#9;;:6<>:3:&;b5<3=k20(577:958 cgd289<;6`>2019500?3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<779'577628:>?6*7f1871g><,1336594$gc`>450>2d:><=5144:?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1`gd<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8;;5+1332>462;2.3j=4;5c:8 =??21=0(kol:0142>h6:891=88n;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74?<1/=??>:0267>"?n90?9o64$9;;>=1<,okh6<=85:l2645=9<6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;3030=#9;;:6<>:3:&;b5<3=k20(577:958 cgd289<96`>2019500d3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;90:694?:1y'55`e21lj7E?>f99K55`a3-ljn7?<759'577628:>?6*7f1871g><,1336594$gc`>450<2d:><=5144g?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1`g0<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8;95+1332>462;2.3j=4;5c:8 =??21=0(kol:0140>h6:891=88j;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74?:1/=??>:0267>"?n90?9o64$9;;>=1<,okh6<=83:l2645=9<6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=4>:583>5}#99li65hn;I32b==O99lm7)hnb;3036=#9;;:6<>:3:&;b5<3=k20(577:958 cgd289201950173-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<739'577628:>?6*7f1871g><,1336594$gc`>450:2d:><=51452?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1`g4<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8;?5+1332>462;2.3j=4;5c:8 =??21=0(kol:0146>h6:891=89=;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74?81/=??>:0267>"?n90?9o64$9;;>=1<,okh6<=81:l2645=9<=87)??8d80fa>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;3034=#9;;:6<>:3:&;b5<3=k20(577:958 cgd289<=6`>201950133-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<719'577628:>?6*7f1871g><,1336594$gc`>45082d:><=51456?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1`fa<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8;=5+1332>462;2.3j=4;5c:8 =??21=0(kol:0144>h6:891=899;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74>o1/=??>:0267>"?n90?9o64$9;;>=1<,okh6<=9f:l2645=9<=<7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;302c=#9;;:6<>:3:&;b5<3=k20(577:958 cgd289=j6`>2019501?3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<7d9'577628:>?6*7f1871g><,1336594$gc`>450m2d:><=5145:?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1``=<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8;i5+1332>462;2.3j=4;5c:8 =??21=0(kol:014`>h6:891=89n;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74?m1/=??>:0267>"?n90?9o64$9;;>=1<,okh6<=8d:l2645=9<=i7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;303f=#9;;:6<>:3:&;b5<3=k20(577:958 cgd2892019501d3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<7b9'577628:>?6*7f1871g><,1336594$gc`>450k2d:><=5145g?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1``1<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8;o5+1332>462;2.3j=4;5c:8 =??21=0(kol:014f>h6:891=89j;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74?k1/=??>:0267>"?n90?9o64$9;;>=1<,okh6<=8b:l2645=9<=m7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;303d=#9;;:6<>:3:&;b5<3=k20(577:958 cgd289201950>73-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<7`9'577628:>?6*7f1871g><,1336594$gc`>450i2d:><=514:2?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1g53<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>ee9m577428?3>6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0on0Dh6:891=86<;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?n7j:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??218n7c?=12821=2<,8:3i7=md99j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0gt$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446583g8j446;3;>485+11:f>6dc02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;=="681o1?oj7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22476=e:l2645=9<2<7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;jk?6<4;:183!77nk03jl5G10d;?M77no1/jll512`a?!75980:<8=4$9d3>13e02.3554=129'bde=9:hi7c?=12821=><,8:3i7=md99j<2`=83.:>21d95>=n01;1<7*>20397573;187>50z&24cd=0ok0D<94$gc`>452=2d:><=514::?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1e74<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548fd9m577428?3m6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:l9m7?54;294~"68oh14ko4H03e<>N68ol0(kom:0161>"6:8;1==;<;%:e4?22j11/4465869'bde=9:?>7c?=12821=d<,8:3i7=md99j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0o30D45e?2d:><=514:`?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e;jh96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>gbb2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110ih6`>201950>b3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7fbe280?6=4?{%33bgl:;%3154<68<90(5h?:57a<>"?1104>59d8 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge288i46*>203955343-2m<7::b99'<<>=011/jlm513`;?k759:0:94>4$02;a?35i?1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>35g8 44693;;9>5+8g2900d?3-2247<96:&eef<6;=o0b<<>3;36=4=#992n6>lk8:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?74:0267>"?n90?9o64$9;;>7013-ljo7?<4d9m577428?2>6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oi0Dd:&2647=99?87)6i0;66f==#0021445+f`a9517c3g;9=>4>5818 46?m3;h>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D9:&2647=99?87)6i0;66f==#00219:5+f`a9517>3g;9=>4>5868 46?m3;h>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D9:&2647=99?87)6i0;66f==#0021==94$gc`>42612d:><=514;6?!770l0:o?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-ljn7?;1`9'577628:>?6*7f1871g><,1336<>8;%dbg?739h1e=??<:07:2>"681o1=n<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95aeb28iih6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%dbf?739k1/=??>:0267>"?n90?9o64$9;;>1c<,okh6<:>b:l2645=9<337)??8d82g726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%dbf?739k1/=??>:0267>"?n90?9o64$9;;>1b<,okh6<:>b:l2645=9<327)??8d82g726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%dbf?739h1/=??>:0267>"?n90?9o64$9;;>4603-ljo7?;1`9m577428?2m6*>09g95f433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&eeg<6<8i0(<<>1;3316=#0o:188l7;%::09g95f433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&eeg<6<8i0(<<>1;3316=#0o:188l7;%::09g95f433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>l5a1330>43>l2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0D442n2d:><=514;f?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-ljn7?;1e9'577628:>?6*7f1871g><,13369j4$gc`>426l2d:><=514;e?!770l0>>l84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-ljn7?=5c9'577628:>?6*7f1871g><,1336<8k;%dbg?75=k1e=??<:07b4>"681o1?oj7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962`b2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a7c30280<6=4?{%33bg:3:&;b5<3=k20(577:357?k759:0:9l<4$02;a?5el11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8h<>51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9mn0b<<>3;36e6=#992n6>lk8:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1e51<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.355479:l2645=96663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109:l5a1330>43f=2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0D4>5`48 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D4>5`58 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D4>5`:8 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D4>5`;8 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0o30D8:&2647=99?87)6i0;66f==#0021=oj4$gc`>42602d:><=514cb?!770l0:88?4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e=:9h6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>61b2<99;6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108>6`>201950gd3-;;4h4:3358m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a164d280=6=4?{%33bg:3:&;b5<3=k20(577:9;8j446;3;>mi5+11:f>055?2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336?>;;o3156<6=ho0(<>7e;7062=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn8=<0;392?6=8r.:544`;?!>>038;86`>201950ga3-;;4h4:3358m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=o4>:583>5}#99li65hn;I32b==O99lm7)hnb;30:3:&;b5<3=k20(577:0f:?!`fk3;84o5a1330>43e82.:<5k55204?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>39`8 44693;;9>5+8g2900d?3-22479n1:&eef<6;1h0b<<>3;36f4=#992n68==7:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a164c28086=4?{%33bg:3:&;b5<3=k20(577:075?k759:0:9o<4$02;a?34:>1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`672e=9391<7>t$02ef?>a12B:=k64H02eb>"aik0:?o74$0025?77=:1/4k>544`;?!>>03;o46*iab827g?b2<99;6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg2d==0:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799813f=i9;;86<;m4:&24=c=21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7g00=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526a8j446;3;>n85+11:f>1d0>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336?9l;o3156<6=k<0(<>7e;6a33=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn9m:a;392?6=8r.:544`;?!>>038201950d03-;;4h4;b648m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=h9n4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?j1e=??<:07a<>"681o18o99;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962eb2=h<:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0f07280=6=4?{%33bg:;;%3154<68<90(5h?:57a<>"?1109;n5+f`a956233g;9=>4>5cc8 46?m3>i;;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0ok0D453<2d:><=514`a?!770l0?n:84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c64`1<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428?io6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=i2?7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=514`g?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336:o>;o3156<6=ko0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=h=47?54;294~"68oh14ko4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::2d:><=514`e?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6a=a<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554;1g9m577428?h<6*>09g90g113`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021;l>4n0027?72k81/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8o7m:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=;m7c?=12821f4<,8:3i7:m779j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=h2o7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=514a0?!770l0?n:84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799875c=i9;;86<;l4:&24=c=21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7f<`=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446540d8j446;3;>o85+11:f>1d0>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336>>7;o3156<6=j<0(<>7e;6a33=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn9ln1;392?6=8r.:544`;?!>>039;46`>201950e03-;;4h4;b648m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=im?4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<4811e=??<:07`<>"681o18o99;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:975>b2=h<:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0gg3280=6=4?{%33bg:3:&;b5<3=k20(577:53e?k759:0:9no4$02;a?2e??1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5`b1?7=>3:10g`90gd8 44693;;9>5+8g2900d?3-2247:>f:l2645=96663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108h>5a1330>43dk2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?4=<51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9=<0b<<>3;36ga=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c64a3<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35549e:l2645=96663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e13e02.35548b89'bde=9:?37c?=12821f`<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0on0Dh6:891=8j?;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8o9?:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=k0b<<>3;36`4=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c64`2<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428?o>6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj==o>7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=514f0?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6=m>0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93f4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?;6`>201950b13-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a02b6280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:9i94$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?5>>51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=<:1e=??<:07g<>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479n;o3156<6=m30(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:921=i9;;86<;ka:&24=c=21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9k544`;?!>>03>o7c?=12821ad<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=h==7?53;294~"68oh14k74H03e<>N68ol0(kom:01g7>"6:8;1==;<;%:e4?22j11/44653008 cgd289o?6`>201950bd3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi85k8:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??219=7c?=12821ab<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj==n97?53;294~"68oh14k74H03e<>N68ol0(kom:0621>"6:8;1==;<;%:e4?22j11/44657608 cgd28>:96`>201950bb3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi8:k>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28n=7c?=12821a`<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`73a`=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?894$0025?77=:1/4k>544`;?!>>03;>;6*iab82701b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4800>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365>k;o3156<6=l;0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:903=i9;;86<;j2:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn97=6;391?6=8r.:45b;2.:>a83>>n55+88:965c<,okh6<=j3:l2645=96663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a0<45280<6=4?{%33bg:3:&;b5<3=k20(577:94b?k759:0:9h:4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?5?951;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?l90b<<>3;36a0=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<0836<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=6c3g;9=>4>5d48 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021;h=4n0027?72m>1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8:<6:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28n<7c?=12821`><,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`737d=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651e78j446;3;>i45+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,133658l;o3156<6=lk0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<4?b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108i6`>201950cd3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=28?4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0m91e=??<:07f`>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.mmo4>26a8 44693;;9>5+8g2900d?3-224766;%dbg?75?j1e=??<:07fa>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:977=i9;;86<;jf:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9698;390?6=8r.:45d:2.:>a83>>n55+88:9325<,okh6<=l2:l2645=96663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=3on4>:583>5}#99li65hn;I32b==O99lm7)hnb;301<=#9;;:6<>:3:&;b5<3=k20(577:65f?!`fk3;8945a1330>43a92.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>34;8 44693;;9>5+8g2900d?3-224768;%dbg?74=01e=??<:07e6>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7<70=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446584d8j446;3;>j>5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=n8o4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5i2d:><=514d7?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'bdd=9;=j7)?=1082405<,1l;69;m8:&;==<0:2.mmn4>26c8j446;3;>j85+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi84mk:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2:80b<<>3;36b3=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6b00<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;88k5+1332>462;2.3j=4;5c:8 =??28?<7)hnc;300c=i9;;86<;i7:&24=c=<21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&eeg<6:>30(<<>1;3316=#0o:188l7;%::03-ljo7?=789m577428?m46*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?5il51;694?6|,8:mn76ia:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9<=0b<<>3;36b<=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0>:;%3154<68<90(5h?:57a<>"?110:9:5+f`a956623g;9=>4>5gc8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge289?;6*>203955343-2m<7::b99'<<>=?;1/jlm51264?k759:0:9kl4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9o;7;390?6=8r.:45282.:>a83>>n55+88:937=#nhi1=>;?;o3156<6=oi0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=k=i7?54;294~"68oh14ko4H03e<>N68ol0(kom:0131>"6:8;1==;<;%:e4?22j11/44651458 cgd289;96`>201950`c3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79987e5=i9;;86<;ie:&24=c=<21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;0>0(<<>1;3316=#0o:188l7;%::3868j446;3;>jk5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi858n:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956e33-;9=<4>0418 =`72=?i46*7998436=#nhi1=>m;;o3156<6>9:0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=2=j7?54;294~"68oh14ko4H03e<>N68ol0(kom:01`5>"6:8;1==;<;%:e4?22j11/44657618 cgd289h=6`>201953663-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;98>0:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998;5`=i9;;86<8?2:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7<3e=93>1<7>t$02ef?>ai2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657618j446;3;=<>5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi84>=:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2:9m7c?=1282252<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=2>h7?54;294~"68oh14ko4H03e<>N68ol0(kom:0137>"6:8;1==;<;%:e4?22j11/44657618 cgd289;?6`>201953623-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<4e9'577628:>?6*7f1871g><,1336:9<;%dbg?74"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7e14=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?=84$0025?77=:1/4k>544`;?!>>032<7)hnc;3043=i9;;86<8?7:&24=c=<21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;m?0(<<>1;3316=#0o:188l7;%::3e78j446;3;=<55+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi84;m:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``951633-;9=<4>0418 =`72=?i46*7998436=#nhi1=9>;;o3156<6>930(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=k?87?54;294~"68oh14ko4H03e<>N68ol0(kom:0176>"6:8;1==;<;%:e4?22j11/44651e;8 cgd289?>6`>2019536f3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9nl0:694?:1y'55`e21lj7E?>f99K55`a3-ljn7?=f99'577628:>?6*7f1871g><,1336:9<;%dbg?75n11e=??<:043f>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7<22=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:>kk4$0025?77=:1/4k>544`;?!>>03=b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4944>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:2o7)?=1082405<,1l;69;m8:&;==<0?:1/jlm512:g?k759:0::=j4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn969d;390?6=8r.:544`;?!>>03=2019536b3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;98:0:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799807c=i9;;86<8?f:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7<0c=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?=<4$0025?77=:1/4k>544`;?!>>03=b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4`6:>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9::=7)?=1082405<,1l;69;m8:&;==<6=>1/jlm51225?k759:0::?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9685;390?6=8r.:44al2.:>a83>>n55+88:9325<,okh6<6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=3:h4>:583>5}#99li65hn;I32b==O99lm7)hnb;30g4=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;8o<5a1330>406;2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1=7850;2x 46aj32mo6F>1g:8L46an2.:>a83>>n55+88:976`b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0=3a280?6=4?{%33bg>>;%3154<68<90(5h?:57a<>"?110<;>5+f`a956663g;9=>4>6078 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge28>;86*>203955343-2m<7::b99'<<>=?>90(kol:0630>h6:891=;?9;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?740h1/=??>:0267>"?n90?9o64$9;;>76f3-ljo7?<8`9m577428<:;6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?4;l51;694?6|,8:mn76ia:J25c><@8:mj6*iac827f4<,88:=7??529'"aij0:?n<4n0027?71911/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e<113e02.35548729'bde=9:3;7c?=128224?<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397570:3;187>50z&24cd=0ok0Dh6:891=;?n;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108?k5a1330>406j2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?48l51;694?6|,8:mn76ia:J25c><@8:mj6*iac827f2<,88:=7??529'"aij0:?n:4n0027?719j1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e<0no6<4;:183!77nk03jl5G10d;?M77no1/jll51245?!75980:<8=4$9d3>13e02.355482:&eef<6;?<0b<<>3;355a=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0<62280=6=4?{%33bg:3:&;b5<3=k20(577:21e?k759:0::?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5:42?7=<3:10g`90gd8 cge288mo6*>203955343-2m<7::b99'<<>=?>90(kol:00eg>h6:891=;?i;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74l:1/=??>:0267>"?n90?9o64$9;;>2143-ljo7?09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?4;>51;694?6|,8:mn76ia:J25c><@8:mj6*iac827=c<,88:=7??529'"aij0:?5k4n0027?71:81/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e<1<96<4;:183!77nk03jl5G10d;?M77no1/jll512;1?!75980:<8=4$9d3>13e02.35548729'bde=9:397c?=1282274<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397572k3;187>50z&24cd=0ok0D45d82d:><=51700?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6;34<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554;a19m577428<986*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?4:651;694?6|,8:mn76ia:J25c><@8:mj6*iac82756<,88:=7??529'"aij0:?=>4n0027?71:<1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e<1l:6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>6273g;9=>4>6348 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;090(<<>1;3316=#0o:188l7;%::3818j446;3;=>:5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi85;n:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956e73-;9=<4>0418 =`72=?i46*7998436=#nhi1=>m?;o3156<6>;20(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=2<<7?54;294~"68oh14ko4H03e<>N68ol0(kom:01`7>"6:8;1==;<;%:e4?22j11/44657618 cgd289h?6`>2019534>3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;90:694?:1y'55`e21lj7E?>f99K55`a3-ljn7??6*7f1871g><,1336:9<;%dbg?74k:1e=??<:041e>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7<30=93>1<7>t$02ef?>ai2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44654`28j446;3;=>o5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi85;6:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``957`a3-;9=<4>0418 =`72=?i46*7998436=#nhi1=?hi;o3156<6>;i0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=2=97?54;294~"68oh14ko4H03e<>N68ol0(kom:01;b>"6:8;1==;<;%:e4?22j11/44657618 cgd2893j6`>2019534c3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9?=0:694?:1y'55`e21lj7E?>f99K55`a3-ljn7?=eg9'577628:>?6*7f1871g><,1336594$gc`>44bn2d:><=5170f?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6:37<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;9j:5+1332>462;2.3j=4;5c:8 =??2;:h7)hnc;31b2=i9;;86<8=f:&24=c=<21d94>=n01:1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214>k4n0027?71;91/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9910:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998;7f=i9;;86<8<1:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn97>a;393?6=8r.:544`;?!>>0328n6`>201953553-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0<7>280<6=4?{%33bg:3:&;b5<3=k20(577:91g?k759:0::>=4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?4kk51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;:l0b<<>3;3571=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<1<86<4;:183!77nk03jl5G10d;?M77no1/jll512;2?!75980:<8=4$9d3>13e02.35548729'bde=9:3:7c?=1282263<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?93;1;7>50z&24cd=0on0Dh6:891=;=9;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8n:9:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??20o0b<<>3;3572=#992n69l86:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e>6<4;:183!77nk03jl5G10d;?M77no1/jll51530?!75980:<8=4$9d3>13e02.3554>ac9'bde=9=;87c?=128226><,8:3i7:m779j<2`=83.:>21d95>=n01;1<7*>20397573;197>50z&24cd=0oh0D45292d:><=5171:?!770l0?n:84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8oo6:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28nh7c?=128226g<,8:3i7:m779j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7fd1=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465e39m577428<8n6*>09g90g113`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj==hi7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>62a8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975713;1;7>50z&24cd=0on0Dh6:891=;=k;%33<`<3j><0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8o77:085>5<7s-;;jo47fb9K54`?3A;;jk5+f``957ga3-;9=<4>0418 =`72=?i46*799826f=#nhi1=?oi;o3156<6>:o0(<>7e;6a33=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn9l64;392?6=8r.:44fj2.:>a83>>n55+88:957e<,okh6<6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109?n5a1330>40382.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>2`g8 44693;;9>5+8g2900d?3-22476>f:&eef<6:ho0b<<>3;3504=#992n69l86:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>=323g;9=>4>6508 46?m3>i;;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:ho0(<<>1;3316=#0o:188l7;%::2`g8j446;3;=8>5+11:f>1d0>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=7b9'577628:>?6*7f1871g><,1336<67;%dbg?75?j1e=??<:0470>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7f<4=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?l;4$0025?77=:1/4k>544`;?!>>03;9:6*iab827d3b2=h<:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4c;5>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:ki7)?=1082405<,1l;69;m8:&;==<6:?1/jlm512ca?k759:0::984$02;a?2e??1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9lm3;391?6=8r.:45292.:>a83>>n55+88:9g0=#nhi1=>;>;o3156<6>==0(<>7e;6a33=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7f<5=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>ll4$0025?77=:1/4k>544`;?!>>03;9n6*iab826ddb2=h<:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=i5:4>:483>5}#99li65hm;I32b==O99lm7)hnb;31ec=#9;;:6<>:3:&;b5<3=k20(577:00a?!`fk3;9mk5a1330>40312.:<5k54c55?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge289j46*>203955343-2m<7::b99'<<>=?k80(kol:01b<>h6:891=;:n;%33<`<3j><0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31546<729q/==hm:9d:?M76n11C==hi;%dbf?74i<1/=??>:0267>"?n90?9o64$9;;>4313-ljo7?09g90g113`25$0025?5792d:>=h50:9j<=6=83.:>0g`90gd8 cge289jn6*>203955343-2m<7::b99'<<>=9<<0(kol:01bf>h6:891=;:l;%33<`<3j><0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a0g1a28086=4?{%33bgo7;%3154<68<90(5h?:57a<>"?110?4:5+f`a956g?3g;9=>4>65f8 46?m3>i;;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>1=7=50;2x 46aj32m56F>1g:8L46an2.mmo4>3758 44693;;9>5+8g2900d?3-2247l6;%dbg?74>>1e=??<:047a>"681o18k=i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj=lh87?56;294~"68oh14km4H03e<>N68ol0(kom:0153>"6:8;1==;<;%:e4?22j11/44651768 cgd289=;6`>2019532a3-;;4h4;f2d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=54>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0?01e=??<:0464>"681o19<>m;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247989:l2645=9??:7)??8d8655d6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e=;8m6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>21>3g;9=>4>6408 46?m3?:5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;:74n0027?71=:1/==6j:433f>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;90:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799804<=i9;;86<8:4:&24=c==8:i7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn8<>3;393?6=8r.:544`;?!>>039;56`>201953323-;;4h4:11`8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a174b280<6=4?{%33bg:3:&;b5<3=k20(577:22:?k759:0::884$02;a?368k1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>>??51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;930b<<>3;3512=#992n68??b:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c7163<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35547119m577428<>46*>09g9146e3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj<8:>7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::2d:><=5177:?!770l0>==l4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?69;o3156<6>7e;724g=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:96=0b2<;;n6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11094=5a1330>402k2.:<5k5502a?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=;;k;%33<`<299h0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi9??j:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;2;7c?=128220c<,8:3i7;>0c9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`6667=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44652908j446;3;=9k5+11:f>077j2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=o4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<50;1e=??<:0454>"681o19<>m;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<72:l2645=9?<:7)??8d8655d6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e=;9;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71c3g;9=>4>6708 46?m3?:5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:j4n0027?71>:1/==6j:433f>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;90:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799813a=i9;;86<894:&24=c==8:i7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn8<=4;393?6=8r.:544`;?!>>032:<6`>201953023-;;4h4:11`8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a176b280<6=4?{%33bg:3:&;b5<3=k20(577:262?k759:0::;84$02;a?368k1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>>?751;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;=;0b<<>3;3522=#992n68??b:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c715d<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<409m577428<=46*>09g9146e3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj<8;o7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5174:?!770l0>==l4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?6n;o3156<6>?k0(<>7e;724g=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:96=gb2<;;n6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31546<729q/==hm:9d:?M76n11C==hi;%dbf?738h1/=??>:0267>"?n90?9o64$9;;>2153-ljo7?;0`9m577428<=o6*>09g95g`23`25$0025?5792d:>=h50:9j<=6=83.:>0g`90gd8 cge28>;m6*>203955343-2m<7::b99'<<>=?>80(kol:063e>h6:891=;8k;%33<`<6jo?0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a5f6b28086=4?{%33bg6;%3154<68<90(5h?:57a<>"?110<;?5+f`a9516>3g;9=>4>67g8 46?m3;ij85f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>1g:8L46an2.mmo4>41;8 44693;;9>5+8g2900d?3-2247982:&eef<6<930b<<>3;352c=#992n6ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;30b0=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;8j85a1330>40082.:<5k51cd6?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>41:8 44693;;9>5+8g2900d?3-2247983:&eef<6<920b<<>3;3534=#992n6o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a5f63280?6=4?{%33bg7;%3154<68<90(5h?:57a<>"?110<;>5+f`a9516?3g;9=>4>6608 46?m3;ij85f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge28>;;6*>203955343-2m<7::b99'<<>=?>90(kol:0633>h6:891=;9<;%33<`<6jo?0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?738>1/=??>:0267>"?n90?9o64$9;;>2143-ljo7?;069m577428<<86*>09g95g`23`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th:o=951;694?6|,8:mn76ia:J25c><@8:mj6*iac82050<,88:=7??529'"aij0:8=84n0027?71?<1/==6j:0`e1>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9j:36<4;:183!77nk03jl5G10d;?M77no1/jll51525?!75980:<8=4$9d3>13e02.35548729'bde=9=:=7c?=1282220<,8:3i7?mf49j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D427=2d:><=51754?!770l0:nk;4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c3`4d<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;?<85+1332>462;2.3j=4;5c:8 =??2>=87)hnc;3740=i9;;86<888:&24=c=9kl>7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757bg495?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6;o>0(<<>1;3316=#0o:188l7;%::3g68j446;3;=;45+11:f>4da=2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=oh7:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956`33-;9=<4>0418 =`72=?i46*7998436=#nhi1=>h;;o3156<6>>k0(<>7e;3ab0=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj8hm57?54;294~"68oh14ko4H03e<>N68ol0(kom:01e7>"6:8;1==;<;%:e4?22j11/44657618 cgd289m?6`>2019531e3-;;4h4>bg78m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7??6*7f1871g><,1336:9<;%dbg?74n:1e=??<:044g>"681o1=oh:;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`2fcd=93>1<7>t$02ef?>ai2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657618j446;3;=;i5+11:f>4da=2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=ohl:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956`23-;9=<4>0418 =`72=?i46*7998436=#nhi1=>h:;o3156<6>>o0(<>7e;3ab0=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj8hmh7?54;294~"68oh14ko4H03e<>N68ol0(kom:01e6>"6:8;1==;<;%:e4?22j11/44657618 cgd289m>6`>2019531a3-;;4h4>bg78m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7??6*7f1871g><,1336:9<;%dbg?74n;1e=??<:04;4>"681o1=oh:;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`2fc`=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?k?4$0025?77=:1/4k>544`;?!>>03=b28hm96g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1b23>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:l:7)?=1082405<,1l;69;m8:&;==<0?:1/jlm512d2?k759:0::5<4$02;a?7en<1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn45a82.:>a83>>n55+88:9325<,okh6<=i0:l2645=9?287)??8d82fc36663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;30b5=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;8j=5a1330>40?<2.:<5k51cd6?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>3e48 44693;;9>5+8g2900d?3-2247?:6:&eef<6;m<0b<<>3;35<0=#992n6ie>00;6)?=108f7<=4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3k01e=??<:04;2>"681o1>=l?;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.mmo4>2g`8 44693;;9>5+8g2900d?3-2247?:7:&eef<6:oh0b<<>3;35<2=#992n6?>m0:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a65d5280<6=4?{%33bg:3:&;b5<3=k20(577:8`8j446;3;=455+11:f>76e82c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31a6=#9;;:6<>:3:&;b5<3=k20(577:07;?!`fk3;9i>5a1330>40?12.:<5k521`3?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247983:l2645=9?2j7)??8d814g66663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3k01e=??<:04;f>"681o1=k=i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.mmo4>2gc8 44693;;9>5+8g2900d?3-2247?:7:&eef<6:ok0b<<>3;35o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a5c26280<6=4?{%33bg:3:&;b5<3=k20(577:8`8j446;3;=4i5+11:f>4`4n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31a6=#9;;:6<>:3:&;b5<3=k20(577:07;?!`fk3;9i>5a1330>40?m2.:<5k51g1e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge28>:;6*>203955343-2m<7::b99'<<>=9m20(kol:0623>h6:891=;6i;%33<`<6n:l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a5c2128086=4?{%33bg;%3154<68<90(5h?:57a<>"?110:h55+f`a951763g;9=>4>6828 46?m3;m?k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>1g:8L46an2.mmo4>4038 44693;;9>5+8g2900d?3-2247983:&eef<6<8;0b<<>3;35=4=#992n6o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a5c1f280=6=4?{%33bg:3:&;b5<3=k20(577:0:`?k759:0::4<4$02;a?7a>81b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb0d5=?7==3:10g`90gd8 cge2898j6*>203955343-2m<7::b99'<<>===1/jlm5121e?k759:0::4=4$02;a?7a>81b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:957?b28l==6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a5c0d280<6=4?{%33bg:3:&;b5<3=k20(577:5;a?k759:0::4;4$02;a?7a>81b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:j:751;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?1;0b<<>3;35=3=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3e31<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<8:l2645=9?3<7)??8d82b376663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9o2h6<49:183!77nk03jn5G10d;?M77no1/jll512`g?!75980:<8=4$9d3>13e02.3554=6c9'bde=9:ho7c?=12822<><,8:3i7?i609j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj8l3n7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=517;:?!770l0:j;?4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?9m;o3156<6>0k0(<>7e;3e24=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962db28l==6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;o5a1330>40>k2.:<5k51g42?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975783;1;7>50z&24cd=0on0Dh6:891=;7k;%33<`<6n?;0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=k7>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=i7c?=1282221d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2b<4=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526`8j446;3;=5k5+11:f>4`192c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?k1e=??<:04b4>"681o1=k8>;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<8b:l2645=9?k:7)??8d82b376663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9o3>6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71e3g;9=>4>6`08 46?m3;m:<5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757f8495?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:l4n0027?71i:1/==6j:0d55>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;90:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799813g=i9;;86<8n4:&24=c=9o<:7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn544`;?!>>038201953g23-;;4h4>f738m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a5c??280<6=4?{%33bg:3:&;b5<3=k20(577:35a?k759:0::l84$02;a?7a>81b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:j4o51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:>h0b<<>3;35e2=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3e=g<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=7c9m57742809g95c063`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8l2o7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=517c:?!770l0:j;?4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?9m;o3156<6>hk0(<>7e;3e24=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962db28l==6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;o5a1330>40fk2.:<5k51g42?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=;ok;%33<`<6n?;0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=ko>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=i7c?=12822dc<,8:3i7?i609j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2bd4=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526`8j446;3;=mk5+11:f>4`192c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?k1e=??<:04a4>"681o1=k8>;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<8b:l2645=9?h:7)??8d82b376663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9ok>6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71e3g;9=>4>6c08 46?m3;m:<5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757f`495?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:l4n0027?71j:1/==6j:0d55>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;90:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799813g=i9;;86<8m4:&24=c=9o<:7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn544`;?!>>038201953d23-;;4h4>f738m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a5cg>280<6=4?{%33bg:3:&;b5<3=k20(577:35a?k759:0::o84$02;a?7a>81b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:jlo51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:>h0b<<>3;35f2=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3e23<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>c19m57742809g95c063`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8l<:7?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::2d:><=517`:?!770l0:j;?4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e9o=;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>6cc8 46?m3;m:<5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757f7g95?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6;hl0(<<>1;3316=#0o:188l7;%::3`d8j446;3;=no5+11:f>4`192c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=k8i:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``9565a3-;9=<4>0418 =`72=?i46*799822g=#nhi1=>=i;o3156<6>ki0(<>7e;3e24=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`2b3b=9391<7>t$02ef?>a12B:=k64H02eb>"aik0:?lh4$0025?77=:1/4k>544`;?!>>03h27)hnc;30ec=i9;;86<8md:&24=c=9o<:7d68f;29 446939;=6`>21d94>=n01:1<7*>203975750z&24cd=0o30D45el2d:><=517`f?!770l0:j;?4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e:9o26<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4>d3g;9=>4>6cd8 46?m38;h=5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D4>6b28 46?m38;h=5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9<@8:mj6*>203955343-2m<7::b99'<<>=9;30b<<>3;35g4=#992n6?>k0:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:9ni6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1?e3g;9=>4>6b08 46?m38;h=5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;5?4n0027?71k:1/==6j:32g4>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79980<>h6:891=;m;;%33<`<58m:0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>=hm:085>5<7s-;;jo47fb9K54`?3A;;jk5+f``956dd3-;9=<4>0418 =`72=?i46*799812g=#nhi1=>ll;o3156<6>j?0(<>7e;03`5=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?>ia;393?6=8r.:544`;?!>>038201953e13-;;4h4=0e28m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a65`c280<6=4?{%33bg:3:&;b5<3=k20(577:35a?k759:0::n94$02;a?47l91b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9<@8:mj6*>203955343-2m<7::b99'<<>=:>h0b<<>3;35g==#992n6?>k0:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c03b`<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=7c9m57742809g965b73`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;:mj7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=517ab?!770l094i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?9m;o3156<6>jh0(<>7e;03`5=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962db2;:o<6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540382>2<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;o5a1330>40dl2.:<5k521f3?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=;mj;%33<`<58m:0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi><>;:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=i7c?=12822f`<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1553=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526`8j446;3;=h=5+11:f>76c82c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?k1e=??<:04g5>"681o1>=j?;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<8b:l2645=9?n97)??8d814a66663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:8:<6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71e3g;9=>4>6e18 46?m38;h=5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:l4n0027?71l=1/==6j:32g4>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799813g=i9;;86<8k5:&24=c=:9n;7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn???b;393?6=8r.:544`;?!>>038201953b13-;;4h4=0e28m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a646d280<6=4?{%33bg:3:&;b5<3=k20(577:35a?k759:0::i94$02;a?47l91b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9==j51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:>h0b<<>3;35`==#992n6?>k0:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c024`<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=7c9m57742809g965b73`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;;;j7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=517fb?!770l094i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?9m;o3156<6>mh0(<>7e;03`5=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962db2;:o<6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541282>2<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;o5a1330>40cl2.:<5k521f3?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=;jj;%33<`<58m:0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=i7c?=12822a`<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1543=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526`8j446;3;=i=5+11:f>76c82c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?k1e=??<:04f5>"681o1>=j?;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<8b:l2645=9?o97)??8d814a66663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:8;36<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71e3g;9=>4>6d18 46?m38;h=5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:l4n0027?71m=1/==6j:32g4>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982g5=i9;;86<8j5:&24=c=:9n;7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?>j5;397?6=8r.:544`;?!>>03;>:6`>201953c13-;;4h4=0e28m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi>=ji:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=12822`1<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`14ab=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?lk4$0025?77=:1/4k>544`;?!>>03;=46*iab827dcb2;:o<6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm21ff>4<2290;w)??fc8;bg=O98l37E??fg9'bdd=9:9n7)?=1082405<,1l;69;m8:&;==<6>k1/jlm5121f?k759:0::h74$02;a?47l91b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>3`g8 44693;;9>5+8g2900d?3-2247l6;%dbg?74il1e=??<:04fe>"681o1>=j?;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj;:m;7?53;294~"68oh14k74H03e<>N68ol0(kom:01ag>"6:8;1==;<;%:e4?22j11/44651448 cgd289io6`>201953ce3-;;4h4=0e28m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi>=;;:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956?f3-;9=<4>0418 =`72=?i46*7998212=#nhi1=>7n;o3156<6>li0(<>7e;030`=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj;:>m7?54;294~"68oh14ko4H03e<>N68ol0(kom:01:e>"6:8;1==;<;%:e4?22j11/44657`a8 cgd2892m6`>201953cc3-;;4h4=05g8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?=ae9'577628:>?6*7f1871g><,1336<;7;%dbg?75im1e=??<:04fa>"681o1>=:j;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?>:2;391?6=8r.:44fl2.:>a83>>n55+88:95ag<,okh6<6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a64e4280?6=4?{%33bg76;%3154<68<90(5h?:57a<>"?110:9:5+f`a956?>3g;9=>4>6g28 46?m38:ni5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge289256*>203955343-2m<7::b99'<<>=?hi0(kol:01:=>h6:891=;h>;%33<`<59kn0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%3154bg82>0<729q/==hm:9da?M76n11C==hi;%dbf?75ij1/=??>:0267>"?n90?9o64$9;;>43?3-ljo7?=ab9m5774286*>09g964dc3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D44fk2d:><=517d0?!770l09=oj4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi88;n:085>5<7s-;;jo47fb9K54`?3A;;jk5+f``957d53-;9=<4>0418 =`72=?i46*799861>"aij0:>o<4n0027?71n=1/==6j:570g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi88;6:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21;;7c?=12822c3<,8:3i7::3b9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7117=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446527:8j446;3;=j;5+11:f>134k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'bdd=9;k<7)?=1082405<,1l;69;m8:&;==<61j1/jlm513c4?k759:0::k94$02;a?22;j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5604?7==3:10g`90gd8 cge2898o6*>203955343-2m<7::b99'<<>=:=30(kol:010g>h6:891=;h7;%33<`<3<;k0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e<<>?6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4d43g;9=>4>6g;8 46?m3>>?n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge28>:>6*>203955343-2m<7::b99'<<>=l=1/jlm51531?k759:0::ko4$02;a?23:h1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2c08 44693;;9>5+8g2900d?3-2247?ne:&eef<6:k80b<<>3;35bg=#992n69;o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?75i01/=??>:0267>"?n90?9o64$9;;>2b<,okh6<6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a0022280>6=4?{%33bg"?110:n85+f`a957g03g;9=>4>6gf8 46?m3>>?n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?84=51;494?6|,8:mn76ic:J25c><@8:mj6*iac826d?<,88:=7??529'40am2.:<5k545:`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?84:51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=091e=??<:04eb>"681o1896l;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 cge2892o6*>203955343-2m<7::b99'<<>=?m;0(kol:01:g>h6:891=:>?;%33<`<3<1i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e<=8m6<4;:183!77nk03jl5G10d;?M77no1/jll5121`?!75980:<8=4$9d3>13e02.3554=1b9'bde=9:9h7c?=1282357<,8:3i7:;2`9j<2`=83.:>21d95>=n01;1<7*>20397573;1;7>50z&24cd=0on0Dh6:891=:>=;%33<`<3=:i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi897>:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956?d3-;9=<4>0418 =`72=?i46*799846>"aij0:?4m4n0027?708:1/==6j:56;g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e<=8n6<4<:183!77nk03j45G10d;?M77no1/jll51531?!75980:<8=4$9d3>13e02.3554>be9'bde=9=;97c?=1282352<,8:3i7:;2`9j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th?8>h51;794?6|,8:mn76ib:J25c><@8:mj6*iac8274b<,88:=7??529'"aij0:?o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6707<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;8=i5+1332>462;2.3j=4;5c:8 =??2>no7)hnc;305a=i9;;86<9?6:&24=c=<=9<7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj=>??7?55;294~"68oh14kl4H03e<>N68ol0(kom:012g>"6:8;1==;<;%:e4?22j11/44657ef8 cgd289:o6`>201952603-;;4h4;4258m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9:;h7)?=1082405<,1l;69;m8:&;==<0lm1/jlm5123`?k759:0:;=64$02;a?23;>1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9324b2=>8;6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg23;m0:684?:1y'55`e21li7E?>f99K55`a3-ljn7?=7g9'577628:>?6*7f1871g><,13368:4$gc`>440n2d:><=5162b?!770l0?8>94i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi886k:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957d63-;9=<4>0418 =`72=?i46*799860>"aij0:>o?4n0027?708k1/==6j:57;2>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c60bg<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554;9:l2645=9>:h7)??8d8772`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31546<729q/==hm:9d:?M76n11C==hi;%dbf?74ij1/=??>:0267>"?n90?9o64$9;;>76a3-ljo7?09g9061a3`25$0025?5792d:>=h50:9j<=6=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:n7)??8d8772`6663g;94<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336?>i;o3156<6?9l0(<>7e;603c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl;3df95?5=83:p(<>ib;:e=>N69o20D<>if:&2647=99?87)6i0;66f==#0021>=h4n0027?70991/==6j:514b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103:n5a1330>41692.:<5k5425e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::n651;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:<1e=??<:0527>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 cge288203955343-2m<7::b99'<<>=09:0(kol:0047>h6:891=:?;;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e9?io6<4::183!77nk03jo5G10d;?M77no1/jll51350?!75980:<8=4$9d3>13e02.35548f99'bde=9;=87c?=1282343<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>20397576b495?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>85a1330>416>2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::nm51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=::1e=??<:052<>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<:;o3156<6?830(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>m:1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:960=i9;;86<9>a:&24=c=9<=m7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8k4;393?6=8r.:544`;?!>>039;7c?=128234d<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`22a0=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465249m577428=:o6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8N68ol0(<<>1;3316=#0o:188l7;%::4>70f8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397576e:95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021?=5a1330>416m2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::ik51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:<1e=??<:0514>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247=?;o3156<6?;;0(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>l:1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:975=i9;;86<9=2:&24=c=9<=m7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8j4;393?6=8r.:544`;?!>>038j7c?=1282375<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`22`0=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465249m577428=986*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8N68ol0(<<>1;3316=#0o:188l7;%::4>7378 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397576d:95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021?=5a1330>415>2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::hk51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:<1e=??<:051<>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247=?;o3156<6?;30(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>o:1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:975=i9;;86<9=a:&24=c=9<=m7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8i4;393?6=8r.:544`;?!>>038j7c?=128237d<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`22c0=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465319m577428=9o6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8N68ol0(<<>1;3316=#0o:188l7;%::4>73f8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397576g:95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>l5a1330>415m2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::kk51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;91e=??<:0504>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22477e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:96d=i9;;86<9<2:&24=c=9<=m7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<9?4;393?6=8r.:544`;?!>>03997c?=1282365<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2370=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465229m577428=886*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8=9;7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::782d:><=51616?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi=:5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;?0b<<>3;3473=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3443<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=5:l2645=9>9<7)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9>:j6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>66b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108<6`>2019525>3-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a526d280<6=4?{%33bg:3:&;b5<3=k20(577:3c8j446;3;430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5=2d:><=5161a?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336>>4n0027?70;j1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799804>h6:891=:=k;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=:?;:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;k0b<<>3;347`=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3453<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<0:l2645=9>9m7)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9>;j6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7gb28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109m6`>201952263-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a527d280<6=4?{%33bg:3:&;b5<3=k20(577:208j446;3;<8?5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<482d:><=51660?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?o4n0027?70<=1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79981e>h6:891=:::;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=:<;:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2:80b<<>3;3403=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3;02<62?0;6=u+11da>=`d3A;:j55G11de?!`fj3;?=h5+1332>462;2.3j=4;5c:8 =??2=n0(kol:062a>h6:891=::8;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c34g7<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.35547279m577428=?46*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757b8a95?0=83:p(<>ib;:eg>N69o20D<>if:&eeg<6<;:0(<<>1;3316=#0o:188l7;%::09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397577b395?0=83:p(<>ib;:eg>N69o20D<>if:&eeg<6<;;0(<<>1;3316=#0o:188l7;%::09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757b2f95?0=83:p(<>ib;:eg>N69o20D<>if:&eeg<6<;:0(<<>1;3316=#0o:188l7;%::09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757b5095?0=83:p(<>ib;:eg>N69o20D<>if:&eeg<6<8l0(<<>1;3316=#0o:188l7;%::>3-ljo7?;1g9m577428=?o6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397577ed95?0=83:p(<>ib;:eg>N69o20D<>if:&eeg<6<;;0(<<>1;3316=#0o:188l7;%::09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757b6595?0=83:p(<>ib;:eg>N69o20D<>if:&eeg<6<8l0(<<>1;3316=#0o:188l7;%::09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975783`95?0=83:p(<>ib;:eg>N69o20D<>if:&eeg<6<8o0(<<>1;3316=#0o:188l7;%::09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=5l4n0027?70=91/==6j:2daa>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998221d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>hi3;393?6=8r.:544`;?!>>03;3n6`>201952353-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7c`3280<6=4?{%33bg:3:&;b5<3=k20(577:0:a?k759:0:;8=4$02;a?5ajl1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8jk;51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=91h0b<<>3;3411=#992n6>hme:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1eb3<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;8585+1332>462;2.3j=4;5c:8 =??28?37)hnc;30=0=i9;;86<9:5:&24=c=;ohn7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj:lh?7?55;294~"68oh14kl4H03e<>N68ol0(kom:00e2>"6:8;1==;<;%:e4?22j11/446514:8 cgd288m:6`>201952313-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;l>7)?=1082405<,1l;69;m8:&;==<6=11/jlm513d6?k759:0:;894$02;a?5ajl1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2g78 44693;;9>5+8g2900d?3-2247?:8:&eef<6:o?0b<<>3;341==#992n6>hme:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?75n=1/=??>:0267>"?n90?9o64$9;;>43?3-ljo7?=f59m577428=>56*>09g97cdb3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D44a<2d:><=5167b?!770l08jok4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi?kmi:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957`43-;9=<4>0418 =`72=?i46*799821==#nhi1=?h<;o3156<6?7e;1ef`=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`0ba6=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>k=4$0025?77=:1/4k>544`;?!>>03;>46*iab826c5b2:lii6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31b7=#9;;:6<>:3:&;b5<3=k20(577:07;?!`fk3;9j?5a1330>412l2.:<5k53g`f?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288m>6*>203955343-2m<7::b99'<<>=9<20(kol:00e6>h6:891=:;j;%33<`<4nko0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e;on?6<4::183!77nk03jo5G10d;?M77no1/jll513d5?!75980:<8=4$9d3>13e02.3554>599'bde=9;l=7c?=128230`<,8:3i7=ibd9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:o;0(<<>1;3316=#0o:188l7;%::2g38j446;3;<:=5+11:f>6`em2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=f09'577628:>?6*7f1871g><,1336<;7;%dbg?75n81e=??<:0555>"681o1?klj;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn>hkd;391?6=8r.:44a82.:>a83>>n55+88:950><,okh6<<97)??8d80bgc6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a7cbb280>6=4?{%33bg"?110:955+f`a957`73g;9=>4>7718 46?m39mnh5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8jhk51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=91h0b<<>3;3421=#992n6>hme:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1eg5<62:0;6=u+11da>=`>3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>079m577428==96*>09g97cdb3`25$0025?5792d:>=h50:9j<=6=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?:6:l2645=9><=7)??8d80bgc6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:=4n0027?70>>1/==6j:2de<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998221d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7530=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465249m577428==56*>09g97c`?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=;=i7?55;294~"68oh14kl4H03e<>N68ol0(kom:0044>"6:8;1==;<;%:e4?22j11/4465b`9'bde=9;=;7c?=128233g<,8:3i7=if99j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:>:0(<<>1;3316=#0o:188l7;%::2628j446;3;<:o5+11:f>6`a02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=6e9'577628:>?6*7f1871g><,1336:6n;%dbg?75>m1e=??<:055g>"681o1?kh7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn9441l2.:>a83>>n55+88:926=#nhi1=?8k;o3156<6??n0(<>7e;1eb==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`74`c=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651068j446;3;<:h5+11:f>6`a02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=::n4>:583>5}#99li65hn;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<60;1e=??<:055b>"681o1?kh7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`776d=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651b;8j446;3;<;=5+11:f>14f<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=8?:4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<4:91e=??<:0545>"681o18?o;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?8e:l2645=9>=97)??8d876d26663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<:>h6<4::183!77nk03jo5G10d;?M77no1/jll5134`?!75980:<8=4$9d3>13e02.3554ma:&eef<6:?i0b<<>3;3436=#992n69o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31546<729q/==hm:9d:?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:h55a1330>410<2.:<5k543c7?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3fh=57>5$0025?c4121vn9544`;?!>>03;o46`>201952123-;;4h4;2`68m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi8?k9:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957153-;9=<4>0418 =`72=?i46*799821==#nhi1=?9=;o3156<6?><0(<>7e;61e1=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`76`2=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>:?4$0025?77=:1/4k>544`;?!>>03hj7)hnc;3134=i9;;86<987:&24=c=<;k?7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj=8o;7?55;294~"68oh14kl4H03e<>N68ol0(kom:0045>"6:8;1==;<;%:e4?22j11/4465629'bde=9;=:7c?=128232><,8:3i7:=a59j<2`=83.:>21d95>=n01;1<7*>2039757ib;:eg>N69o20D<>if:&eeg<6:>80(<<>1;3316=#0o:188l7;%::2608j446;3;<;45+11:f>14f<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<0eb28o?>6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?75>h1/=??>:0267>"?n90?9o64$9;;>4b33-ljo7?=6`9m577428=09g95`253`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oi0Dh6:891=:9k;%33<`<6o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c37f6<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8995+1332>462;2.3j=4;5c:8 =??28:?7)hnc;3011=i9;;86<98e:&24=c=9=<37d68f;29 446939;=6`>21d94>=n01:1<7*>20397574`595?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021i=5a1330>410n2.:<5k5154;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=:6?;%33<`<6o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=9l::085>5<7s-;;jo47fb9K54`?3A;;jk5+f``957>63-;9=<4>0418 =`72=?i46*79982f`=#nhi1=?6>;o3156<6?1;0(<>7e;372==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn<:9b;390?6=8r.:453;2.:>a83>>n55+88:966><,okh6<=;3:l2645=9>297)??8d8203>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;3006=#9;;:6<>:3:&;b5<3=k20(577:537?!`fk3;88>5a1330>41?;2.:<5k5154;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2883=6*>203955343-2m<7::b99'<<>=9<20(kol:00;5>h6:891=:6;;%33<`<6o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e9=k>6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>7978 46?m3;?:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397574`695?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?700?1/==6j:065<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86<977:&24=c=9=<37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<:n2;393?6=8r.:544`;?!>>03=h>6`>201952>?3-;;4h4>47:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a51g6280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:;574$02;a?73>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:8l>51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;34o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c37=c<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428=3n6*>09g9510?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8>2i7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=516:`?!770l0:8;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6?1n0(<>7e;372==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93f4b28>=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11041?n2.:<5k5154;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>2039757i3;1;7>50z&24cd=0on0Dh6:891=:7?;%33<`<6o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=976:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=12823<7<,8:3i7?;699j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`20<>=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b08j446;3;<5?5+11:f>42102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:05:7>"681o1=987;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=9>3?7)??8d8203>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9==m6<4;:183!77nk03jl5G10d;?M77no1/jll512fb?!75980:<8=4$9d3>13e02.35548729'bde=9:nj7c?=12823<3<,8:3i7?;699j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D45c12d:><=516;5?!770l0:8;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c37<4<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8h45+1332>462;2.3j=4;5c:8 =??2>=87)hnc;30`<=i9;;86<967:&24=c=9=<37d68f;29 446939;=6`>21d94>=n01:1<7*>203975749095?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6;m20(<<>1;3316=#0o:188l7;%::3e:8j446;3;<555+11:f>42102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=96<:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956b?3-;9=<4>0418 =`72=?i46*7998436=#nhi1=>j7;o3156<6?030(<>7e;372==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj8>387?54;294~"68oh14ko4H03e<>N68ol0(kom:01g3>"6:8;1==;<;%:e4?22j11/44657618 cgd289o;6`>201952?f3-;;4h4>47:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7??6*7f1871g><,1336:9<;%dbg?74l>1e=??<:05:f>"681o1=987;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`20=0=9391<7>t$02ef?>a12B:=k64H02eb>"aik0:?io4$0025?77=:1/4k>544`;?!>>03;o46*iab827agb28>=46g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg73>l0:6>4?:1y'55`e21l27E?>f99K55`a3-ljn7?;199'577628:>?6*7f1871g><,1336?>i;%dbg?73911e=??<:05:`>"681o1=987;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj:>:47?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>78g8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214>?4n0027?701o1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799817>h6:891=:o?;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?>hn:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;?0b<<>3;34e4=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c10fd<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=5:l2645=9>k97)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;:lh6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>66b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110996`>201952g33-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a76`b280<6=4?{%33bg:3:&;b5<3=k20(577:228j446;3;645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<482d:><=516c5?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?o4n0027?70i>1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799811>h6:891=:o7;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?9>=:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2::0b<<>3;34e<=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c10g7<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<0:l2645=9>kj7)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;=:?6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7gb2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108<6`>201952gd3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7161280<6=4?{%33bg:3:&;b5<3=k20(577:3c8j446;3;645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5i2d:><=516cf?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336><4n0027?70io1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799811>h6:891=:l?;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?9>n:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2::0b<<>3;34f4=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c10gd<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<0:l2645=9>h97)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;=:h6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7gb2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108<6`>201952d33-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a716b280<6=4?{%33bg:3:&;b5<3=k20(577:3c8j446;3;645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5i2d:><=516`5?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336><4n0027?70j>1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799804>h6:891=:l7;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?9?=:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;k0b<<>3;34f<=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c10`7<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=a:l2645=9>hj7)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;=;?6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>64b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109m6`>201952dd3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7171280<6=4?{%33bg:3:&;b5<3=k20(577:208j446;3;645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<4:2d:><=516`f?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336>94n0027?70jo1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;53=i9;;86<9l0:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>=k8;393?6=8r.:544`;?!>>03887c?=12823f7<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`004g=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465249m577428=h>6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:9oo7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>7b18 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021?=5a1330>41d<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th88<@8:mj6*>203955343-2m<7::b99'<<>=;91e=??<:05`2>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247=?;o3156<6?j=0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:96d=i9;;86<9l8:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>=j2;393?6=8r.:544`;?!>>038>7c?=12823f?<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0074=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465319m577428=hm6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:9n87?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>7b`8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>l5a1330>41dk2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>20397573;1;7>50z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th88?851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:h1e=??<:05`a>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22477e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:977=i9;;86<9k0:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>=ja;393?6=8r.:544`;?!>>038>7c?=12823a7<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`007g=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465319m577428=o>6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:9no7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>7e18 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>l5a1330>41c<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th88?k51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:h1e=??<:05g2>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22477e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:977=i9;;86<9k8:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>=i2;393?6=8r.:544`;?!>>039;7c?=12823a?<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0064=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44652`9m577428=om6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:9m87?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>7e`8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021??5a1330>41ck2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>20397573;1;7>50z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th88>851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;;1e=??<:05ga>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247==;o3156<6?ml0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1=7850;2x 46aj32mo6F>1g:8L46an2.mmo4>2478 44693;;9>5+8g2900d?3-2247??7:&eef<6:3;34a5=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e;:3>6<49:183!77nk03jn5G10d;?M77no1/jll513d:?!75980:<8=4$9d3>13e02.35547279'bde=9;l27c?=12823`7<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9287?56;294~"68oh14km4H03e<>N68ol0(kom:0061>"6:8;1==;<;%:e4?22j11/44653b9'bde=9;?>7c?=12823`4<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:92i7?56;294~"68oh14km4H03e<>N68ol0(kom:007b>"6:8;1==;<;%:e4?22j11/4465549'bde=9;>m7c?=12823`5<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9247?56;294~"68oh14km4H03e<>N68ol0(kom:0060>"6:8;1==;<;%:e4?22j11/4465549'bde=9;??7c?=12823`2<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9j<7?56;294~"68oh14km4H03e<>N68ol0(kom:0060>"6:8;1==;<;%:e4?22j11/44654e9'bde=9;??7c?=12823`3<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:92m7?56;294~"68oh14km4H03e<>N68ol0(kom:0067>"6:8;1==;<;%:e4?22j11/4465549'bde=9;?87c?=12823`0<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9j>7?56;294~"68oh14km4H03e<>N68ol0(kom:0067>"6:8;1==;<;%:e4?22j11/44654e9'bde=9;?87c?=12823`1<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:92o7?56;294~"68oh14km4H03e<>N68ol0(kom:007b>"6:8;1==;<;%:e4?22j11/44654e9'bde=9;>m7c?=12823`><,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9j87?56;294~"68oh14km4H03e<>N68ol0(kom:0066>"6:8;1==;<;%:e4?22j11/4465889'bde=9;?97c?=12823`?<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9j:7?56;294~"68oh14km4H03e<>N68ol0(kom:0066>"6:8;1==;<;%:e4?22j11/4465549'bde=9;?97c?=12823`g<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9ji7?56;294~"68oh14km4H03e<>N68ol0(kom:0064>"6:8;1==;<;%:e4?22j11/44654e9'bde=9;?;7c?=12823`d<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9j47?56;294~"68oh14km4H03e<>N68ol0(kom:0065>"6:8;1==;<;%:e4?22j11/44654e9'bde=9;?:7c?=12823`e<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9i<7?56;294~"68oh14km4H03e<>N68ol0(kom:007a>"6:8;1==;<;%:e4?22j11/4465889'bde=9;>n7c?=12823`b<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9jm7?56;294~"68oh14km4H03e<>N68ol0(kom:0065>"6:8;1==;<;%:e4?22j11/44654e9'bde=9;?:7c?=12823`c<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9i>7?56;294~"68oh14km4H03e<>N68ol0(kom:007a>"6:8;1==;<;%:e4?22j11/4465889'bde=9;>n7c?=12823``<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:9jo7?56;294~"68oh14km4H03e<>N68ol0(kom:0064>"6:8;1==;<;%:e4?22j11/4465889'bde=9;?;7c?=12823c6<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:>>j7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>7g38 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&eeg<6:k=0(<<>1;3316=#0o:188l7;%::6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;45a1330>41a;2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th88;951;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?01e=??<:05e1>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796;o3156<6?o<0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93<=i9;;86<9i7:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>:9d;393?6=8r.:544`;?!>>03=27c?=12823c><,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`07<5=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:>k74$0025?77=:1/4k>544`;?!>>03;>;6*iab826c?b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm336`>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336?>i;o3156<6?ok0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl<53695?2=83:p(<>ib;:ee>N69o20D<>if:&2647=99?87)6i0;66f==#0021>>64n0027?70nk1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e;<8=6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>75>3g;9=>4>7ga8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th89?651;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:8<0b<<>3;34ba=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e;<8j6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>70d3g;9=>4>7gg8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e=>N69o20D<>if:&eeg<6;0h0(<<>1;3316=#0o:188l7;%::38`8j446;3;645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c1603<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;85o5+1332>462;2.3j=4;5c:8 =??2;937)hnc;30=g=i9;;86<6?0:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:>o0(<<>1;3316=#0o:188l7;%::26g8j446;3;3<<5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=7d9'577628:>?6*7f1871g><,1336??9;%dbg?75?l1e=??<:0:36>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104>7<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=5>:;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?8;j:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282<50<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`010b=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b08j446;3;3<:5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=9n4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0:3<>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=91:27)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;:0267>"?n90?9o64$9;;>2e53g;9=>4>81c8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?7?8k1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86<6?c:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>;:7;393?6=8r.:544`;?!>>03=h>6`>20195=6c3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7031280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:4=k4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th898;51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;3;4c=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1611<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m5774282:<6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:98n7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::k2d:><=51932?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:7l;o3156<60880(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<5n5a1330>4>6<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=5?:;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?>=9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>3h7c?=1282<40<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0763=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446578a8j446;3;3=:5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<01j1e=??<:0:2<>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796c:l2645=91;27)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;:996<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2?d3g;9=>4>80c8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;4m4n0027?7?9k1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984=f=i9;;86<6>c:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>==f;393?6=8r.:544`;?!>>03=2o6`>20195=7c3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a764b280<6=4?{%33bg:3:&;b5<3=k20(577:6;`?k759:0:4?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8??j51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?0i0b<<>3;3;5c=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c106f<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355489b9m57742829<6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:99n7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::n2d:><=51902?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:7i;o3156<60;80(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93<`b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<5k5a1330>4>5<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=5<:;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?><9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>3m7c?=1282<70<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0773=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446578d8j446;3;3>:5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=94>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<01o1e=??<:0:1<>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796f:l2645=91827)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;:896<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2?a3g;9=>4>83c8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;4h4n0027?7?:k1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984=c=i9;;86<6=c:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>=>f;393?6=8r.:544`;?!>>03=2j6`>20195=4c3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a767b280<6=4?{%33bg:3:&;b5<3=k20(577:6;e?k759:0:4?k4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8?<@8:mj6*>203955343-2m<7::b99'<<>=?0l0b<<>3;3;6c=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c105f<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355489g9m57742828<6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:9:n7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::k2d:><=51912?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:7l;o3156<60:80(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<5n5a1330>4>4<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=5=:;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?>?9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>3h7c?=1282<60<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0743=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446578a8j446;3;3?:5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<01o1e=??<:0:0<>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796c:l2645=91927)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;:;96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2?d3g;9=>4>82c8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;4m4n0027?7?;k1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984=f=i9;;86<621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>=?f;393?6=8r.:544`;?!>>03=2o6`>20195=5c3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a766b280<6=4?{%33bg:3:&;b5<3=k20(577:6;`?k759:0:4>k4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8?=j51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?0i0b<<>3;3;7c=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c104f<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355489b9m5774282?<6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:9;n7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::n2d:><=51962?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:7i;o3156<60=80(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93<`b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<5k5a1330>4>3<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=5::;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?>>9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>3m7c?=1282<10<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0753=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446578d8j446;3;38:5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<01o1e=??<:0:7<>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796f:l2645=91>27)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;::96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2?a3g;9=>4>85c8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;4h4n0027?7?o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984=c=i9;;86<6;c:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>544`;?!>>03=2j6`>20195=2c3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a77`b280<6=4?{%33bg:3:&;b5<3=k20(577:6;e?k759:0:49k4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>kj51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?0l0b<<>3;3;0c=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c11bf<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355489g9m5774282><6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:8mn7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::k2d:><=51972?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:7l;o3156<60<80(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<5n5a1330>4>2<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=5;:;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi??h9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>3h7c?=1282<00<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`06c3=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446578a8j446;3;39:5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<01j1e=??<:0:6<>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796f:l2645=91?27)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;;l96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2?a3g;9=>4>84c8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;4h4n0027?7?=k1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984=c=i9;;86<6:c:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>544`;?!>>03=2j6`>20195=3c3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a77cb280<6=4?{%33bg:3:&;b5<3=k20(577:6;e?k759:0:48k4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>hj51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?0l0b<<>3;3;1c=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c11af<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355489g9m5774282=<6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:8nn7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::n2d:><=51942?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:7i;o3156<60?80(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93<`b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<5k5a1330>4>1<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=58:;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi??k9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>3m7c?=1282<30<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`06`3=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446578d8j446;3;3::5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<01o1e=??<:0:5<>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796f:l2645=91<27)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;;o96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2?a3g;9=>4>87c8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;4h4n0027?7?>k1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984=c=i9;;86<69c:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>544`;?!>>03=2j6`>20195=0c3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a77bb280<6=4?{%33bg:3:&;b5<3=k20(577:6;e?k759:0:4;k4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>ij51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?0l0b<<>3;3;2c=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c11`f<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355489g9m5774282<<6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:8on7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::k2d:><=51952?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:7l;o3156<60>80(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<5n5a1330>4>0<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=59:;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi??j9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>3h7c?=1282<20<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`06a3=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446578a8j446;3;3;:5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<01j1e=??<:0:4<>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796f:l2645=91=27)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;;n96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2?a3g;9=>4>86c8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;4h4n0027?7??k1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984=c=i9;;86<68c:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>544`;?!>>03=2j6`>20195=1c3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a77eb280<6=4?{%33bg:3:&;b5<3=k20(577:6;e?k759:0:4:k4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>nj51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?0l0b<<>3;3;3c=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c11gf<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355489g9m57742823<6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:8hn7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::n2d:><=519:2?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:7i;o3156<60180(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93<`b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<5k5a1330>4>?<2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=56:;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi??m9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>3m7c?=1282<=0<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`06f3=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446578d8j446;3;34:5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<01o1e=??<:0:;<>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796c:l2645=91227)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;;i96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2?d3g;9=>4>89c8 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;4m4n0027?7?0k1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984=f=i9;;86<67c:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>544`;?!>>03=2o6`>20195=>c3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a77db280<6=4?{%33bg:3:&;b5<3=k20(577:6;`?k759:0:45k4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>oj51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?0i0b<<>3;3;<=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c11ff<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355489b9m57742822<6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:8=97?54;294~"68oh14ko4H03e<>N68ol0(kom:01be>"6:8;1==;<;%:e4?22j11/44651c18 cgd289jm6`>20195=?63-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9?0:684?:1y'55`e21li7E?>f99K55`a3-ljn7?=b69'577628:>?6*7f1871g><,13361e=??<:0::6>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn><94;397?6=8r.:45fi2.:>a83>>n55+88:95d><,okh6<=na:l2645=91387)??8d806726663g;94<4290;w)??fc8;b<=O98l37E??fg9'bdd=9:k<7)?=1082405<,1l;69;m8:&;==3`58j446;3;3595+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c1:b7<62:0;6=u+11da>=`>3A;:j55G11de?!`fj3;8:n5+1332>462;2.3j=4;5c:8 =??2k30(kol:015g>h6:891=57:;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a706c28086=4?{%33bgo8;%3154<68<90(5h?:57a<>"?110:9;5+f`a956g03g;9=>4>8848 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>1g:8L46an2.mmo4>3`48 44693;;9>5+8g2900d?3-2247?:6:&eef<6;h<0b<<>3;3;=2=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<==<4>:283>5}#99li65h6;I32b==O99lm7)hnb;30e3=#9;;:6<>:3:&;b5<3=k20(577:0f;?!`fk3;8m;5a1330>4>>02.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3fh=57>5$0025?c4121vn?=i6;391?6=8r.:44e<2.:>a83>>n55+88:911=#nhi1=?l;;o3156<60030(<>7e;00ac=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`16d0=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>5>4$0025?77=:1/4k>544`;?!>>03??7)hnc;31<5=i9;;86<66a:&24=c=:;k;7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;8io7?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=519;a?!770l09>l>4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e:;k<6<4::183!77nk03jo5G10d;?M77no1/jll5123e?!75980:<8=4$9d3>13e02.35548de9'bde=9:;m7c?=1282<21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6;8l0(<<>1;3316=#0o:188l7;%::30d8j446;3;35i5+11:f>74f82c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?<1d9'577628:>?6*7f1871g><,1336:jk;%dbg?749l1e=??<:0::a>"681o1>?o?;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?456m2.:>a83>>n55+88:93ab<,okh6<=>e:l2645=913m7)??8d816d66663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a66e4280=6=4?{%33bg"?110>96*iab826g3b2;9j96g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a66e5280<6=4?{%33bg:3:&;b5<3=k20(577:933?k759:0:4l?4$02;a?44i<1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9?lo51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:?20b<<>3;3;e7=#992n6?=n5:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e::ki6<49:183!77nk03jn5G10d;?M77no1/jll513c;?!75980:<8=4$9d3>13e02.3554>9b9'bde=9;k37c?=128221d95>=n01;1<7*>20397571;g0=>=zj;8257?55;294~"68oh14kl4H03e<>N68ol0(kom:010`>"6:8;1==;<;%:e4?22j11/446525;8 cgd2898h6`>20195=g33-;;4h4=2818m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,13367e;00e0=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj;9;o7?55;294~"68oh14kl4H03e<>N68ol0(kom:0620>"6:8;1==;<;%:e4?22j11/4465d59'bde=9=;?7c?=128221d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:k?0(<<>1;3316=#0o:188l7;%::2c78j446;3;3m:5+11:f>75f=2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=a`9'577628:>?6*7f1871g><,1336:j4$gc`>44fi2d:><=519c;?!770l09>k;4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>>oj:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957g?3-;9=<4>0418 =`72=?i46*79982f0=#nhi1=?o7;o3156<60h30(<>7e;00e0=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`16ce=93<1<7>t$02ef?>ak2B:=k64H02eb>"aik0:>lo4$0025?77=:1/4k>544`;?!>>03=m7)hnc;31ed=i9;;86<6na:&24=c=:;l>7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`16cb=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465819m5774282jn6*>09g967`23`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;8mj7?55;294~"68oh14kl4H03e<>N68ol0(kom:01:`>"6:8;1==;<;%:e4?22j11/44657e38 cgd2892h6`>20195=gd3-;;4h4=2g78m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:9o7)?=1082405<,1l;69;m8:&;==<59j1/jlm5121g?k759:0:4lj4$02;a?451:1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn?=nf;393?6=8r.:544`;?!>>032=56`>20195=gb3-;;4h4=3`78m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a67`f280?6=4?{%33bg7k;%3154<68<90(5h?:57a<>"?110<>6*iab827b2;8m96g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm23;4>4<4290;w)??fc8;b<=O98l37E??fg9'bdd=9=;?7)?=1082405<,1l;69;m8:&;==<6jm1/jlm51537?k759:0:4o>4$02;a?451:1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`16=g=9391<7>t$02ef?>a12B:=k64H02eb>"aik0:?o64$0025?77=:1/4k>544`;?!>>03;>:6*iab827g>b2;8?96g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg45>k0:684?:1y'55`e21li7E?>f99K55`a3-ljn7?=839'577628:>?6*7f1871g><,1336???;%dbg?750;1e=??<:0:a6>"681o1>?::;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?<9a;392?6=8r.:544`;?!>>03;n56`>20195=d43-;;4h4=2578m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3l2d:><=519`7?!770l09>9;4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-ljn7?<3c9'577628:>?6*7f1871g><,1336"681o1>?::;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`161?=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?>o4$0025?77=:1/4k>544`;?!>>03;i?6*iab8276gb2;8?96g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm236f>4<2290;w)??fc8;bg=O98l37E??fg9'bdd=9:9i7)?=1082405<,1l;69;m8:&;==<6j?1/jlm5121a?k759:0:4o94$02;a?45<<1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>32c8 44693;;9>5+8g2900d?3-2247?m6:&eef<6;:k0b<<>3;3;f==#992n6?<;5:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31546<729q/==hm:9d:?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m55a1330>4>e12.:<5k52366?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3fh=57>5$0025?c4121vn?<;8;397?6=8r.:544`;?!>>03;j46`>20195=df3-;;4h4=2578m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=`5>32wi>8><:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956b33-;9=<4>0418 =`72=?i46*79982`7=#nhi1=>j;;o3156<60kh0(<>7e;075<=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj;>><7?56;294~"68oh14km4H03e<>N68ol0(kom:007f>"6:8;1==;<;%:e4?22j11/44654e9'bde=9;>i7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>>>7?56;294~"68oh14km4H03e<>N68ol0(kom:007f>"6:8;1==;<;%:e4?22j11/4465889'bde=9;>i7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>8m7?56;294~"68oh14km4H03e<>N68ol0(kom:007`>"6:8;1==;<;%:e4?22j11/4465549'bde=9;>o7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>8i7?56;294~"68oh14km4H03e<>N68ol0(kom:007e>"6:8;1==;<;%:e4?22j11/44654e9'bde=9;>j7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>?m7?56;294~"68oh14km4H03e<>N68ol0(kom:007`>"6:8;1==;<;%:e4?22j11/44654e9'bde=9;>o7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>?i7?56;294~"68oh14km4H03e<>N68ol0(kom:061g>"6:8;1==;<;%:e4?22j11/4465889'bde=9=8h7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>8o7?56;294~"68oh14km4H03e<>N68ol0(kom:007e>"6:8;1==;<;%:e4?22j11/4465549'bde=9;>j7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>?o7?56;294~"68oh14km4H03e<>N68ol0(kom:061g>"6:8;1==;<;%:e4?22j11/44654e9'bde=9=8h7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>?47?56;294~"68oh14km4H03e<>N68ol0(kom:061f>"6:8;1==;<;%:e4?22j11/4465549'bde=9=8i7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>>87?56;294~"68oh14km4H03e<>N68ol0(kom:007g>"6:8;1==;<;%:e4?22j11/44653b9'bde=9;>h7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>?<7?56;294~"68oh14km4H03e<>N68ol0(kom:007g>"6:8;1==;<;%:e4?22j11/4465549'bde=9;>h7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>?:7?56;294~"68oh14km4H03e<>N68ol0(kom:061f>"6:8;1==;<;%:e4?22j11/4465889'bde=9=8i7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;>?>7?56;294~"68oh14km4H03e<>N68ol0(kom:061e>"6:8;1==;<;%:e4?22j11/44654e9'bde=9=8j7c?=1282<,8:3i7<;189j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj;>?87?56;294~"68oh14km4H03e<>N68ol0(kom:061e>"6:8;1==;<;%:e4?22j11/44654e9'bde=9=8j7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;?;=7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>8bc8 46?m38?=45f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00215<5a1330>4>dj2.:<5k5253:?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th98kk51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=181e=??<:0:``>"681o1>9?6;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22477>;o3156<60jo0(<>7e;075<=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>o21=7:50;2x 46aj32mm6F>1g:8L46an2.mmo4>3448 44693;;9>5+8g2900d?3-224768;%dbg?74=?1e=??<:0:`b>"681o1>8>6;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`12c1=93<1<7>t$02ef?>ak2B:=k64H02eb>"aik0:>h>4$0025?77=:1/4k>544`;?!>>03227)hnc;31a5=i9;;86<6k0:&24=c=:<:27d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`12ce=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?8<4$0025?77=:1/4k>544`;?!>>03;>;6*iab82704b2;?;56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm27df>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:?97)?=1082405<,1l;69;m8:&;==<6l01/jlm51271?k759:0:4i<4$02;a?42801b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn?8ia;390?6=8r.:452>2.:>a83>>n55+88:9501<,okh6<=:6:l2645=91n87)??8d8115?6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;31a5=#9;;:6<>:3:&;b5<3=k20(577:074?!`fk3;9i=5a1330>4>c<2.:<5k5242:?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>o81=7850;2x 46aj32mo6F>1g:8L46an2.mmo4>4358 44693;;9>5+8g2900d?3-2247??7:&eef<6<;=0b<<>3;3;`0=#992n6?;?9:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:?oj6<49:183!77nk03jn5G10d;?M77no1/jll5150:?!75980:<8=4$9d3>13e02.355479:&eef<6<;30b<<>3;3;`3=#992n6?;?9:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:?n<6<49:183!77nk03jn5G10d;?M77no1/jll5150:?!75980:<8=4$9d3>13e02.3554;d:&eef<6<;30b<<>3;3;`2=#992n6?;?9:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:?o;6<49:183!77nk03jn5G10d;?M77no1/jll51507?!75980:<8=4$9d3>13e02.355479:&eef<6<;>0b<<>3;3;`==#992n6?;?9:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:?o?6<49:183!77nk03jn5G10d;?M77no1/jll5150;?!75980:<8=4$9d3>13e02.3554>069'bde=9=837c?=128221d95>=n01;1<7*>20397571;g0=>=zj;N68ol0(kom:0611>"6:8;1==;<;%:e4?22j11/4465889'bde=9=8>7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;N68ol0(kom:061<>"6:8;1==;<;%:e4?22j11/44654e9'bde=9=837c?=128221d95>=n01;1<7*>20397571;g0=>=zj;N68ol0(kom:0611>"6:8;1==;<;%:e4?22j11/44654e9'bde=9=8>7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;7?56;294~"68oh14km4H03e<>N68ol0(kom:0610>"6:8;1==;<;%:e4?22j11/4465889'bde=9=8?7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;=;?7?56;294~"68oh14km4H03e<>N68ol0(kom:0613>"6:8;1==;<;%:e4?22j11/4465549'bde=9=8<7c?=128221d95>=n01;1<7*>20397571;g0=>=zj;N68ol0(kom:0617>"6:8;1==;<;%:e4?22j11/4465889'bde=9=887c?=128221d95>=n01;1<7*>20397571;g0=>=zj;N68ol0(kom:0612>"6:8;1==;<;%:e4?22j11/44651158 cgd28>9:6`>20195=c73-;;4h4=51;8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)hnb;3763=#9;;:6<>:3:&;b5<3=k20(577:0fa?!`fk3;?>;5a1330>4>b92.:<5k5242:?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th9:k>51;494?6|,8:mn76ic:J25c><@8:mj6*iac82074<,88:=7??529'"aij0:8?<4n0027?7?m;1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi>;k9:085>5<7s-;;jo47fb9K54`?3A;;jk5+f``951443-;9=<4>0418 =`72=?i46*79987`>"aij0:8?=4n0027?7?m:1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi>;kj:085>5<7s-;;jo47fb9K54`?3A;;jk5+f``951453-;9=<4>0418 =`72=?i46*7998;=>"aij0:8?<4n0027?7?m=1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi>8;>:080>5<7s-;;jo47f89K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28n37c?=1282<`3<,8:3i7<:089j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th998851;194?6|,8:mn76i9:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9m20b<<>3;3;a3=#992n6?;?9:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=984>:283>5}#99li65h6;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6l11e=??<:0:f3>"681o1>8>6;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj;?>87?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=519g;?!770l099=74i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e::0267>"?n90?9o64$9;;>4b?3g;9=>4>8d;8 46?m38><45f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95a>b2;?;56g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg42=90:6>4?:1y'55`e21l27E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982`==i9;;86<6jb:&24=c=:<:27d68f;29 446939;=6`>21d94>=n01:1<7*>203975750z&24cd=0o30Dh6:891=5kl;%33<`<5=930e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a602b28086=4?{%33bg:3:&;b5<3=k20(577:0f;?k759:0:4hj4$02;a?42801b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`111b=9391<7>t$02ef?>a12B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651e:8j446;3;3ih5+11:f>73712c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c130`<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;8=o5+1332>462;2.3j=4;5c:8 =??289o7)hnc;305g=i9;;86<6jf:&24=c=:l<37d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj::><7?55;294~"68oh14kl4H03e<>N68ol0(kom:012f>"6:8;1==;<;%:e4?22j11/446512f8 cgd289:n6`>20195=`73-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9::h7)?=1082405<,1l;69;m8:&;==<6;m1/jlm5122`?k759:0:4k?4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1=7;50;2x 46aj32mn6F>1g:8L46an2.mmo4>31a8 44693;;9>5+8g2900d?3-2247?3;3;b7=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?749h1/=??>:0267>"?n90?9o64$9;;>45c3-ljo7?<1`9m5774282m?6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0Da:&2647=99?87)6i0;66f==#0021=>j4$gc`>456i2d:><=519d7?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi?=;n:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``9567>3-;9=<4>0418 =`72=?i46*799827a=#nhi1=>?6;o3156<60o?0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`040e=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:?<74$0025?77=:1/4k>544`;?!>>03;8h6*iab8274?b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;305==#9;;:6<>:3:&;b5<3=k20(577:01g?!`fk3;8=55a1330>4>a?2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge289:46*>203955343-2m<7::b99'<<>=9:n0(kol:012<>h6:891=5h7;%33<`<5m?20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e;9<96<4::183!77nk03jo5G10d;?M77no1/jll51234?!75980:<8=4$9d3>13e02.3554>3e9'bde=9:;<7c?=128221d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6;8=0(<<>1;3316=#0o:188l7;%::3058j446;3;3jl5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982`<=i9;;86<6ib:&24=c=:l<37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:1h0(<<>1;3316=#0o:188l7;%::29`8j446;3;3jn5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7??6*7f1871g><,1336"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`1b`?=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44653508j446;3;3jh5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;30e5=#9;;:6<>:3:&;b5<3=k20(577:0f:?!`fk3;8m=5a1330>4>an2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9714b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?751h1/=??>:0267>"?n90?9o64$9;;>4d?3-ljo7?=9`9m5774283;=6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0on0Dh6:891=4>=;%33<`<5m?20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?=:l:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957?f3-;9=<4>0418 =`72=?i46*799822d=#nhi1=?7n;o3156<61990(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1b`d=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44653508j446;3;2<95+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31=<=#9;;:6<>:3:&;b5<3=k20(577:00;?!`fk3;9545a1330>4?7=2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288256*>203955343-2m<7::b99'<<>=:9:0(kol:00:=>h6:891=4>9;%33<`<5m?20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e;9>j6<4::183!77nk03jo5G10d;?M77no1/jll513;;?!75980:<8=4$9d3>13e02.3554=679'bde=9;337c?=1282=51<,8:3i721d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:1?0(<<>1;3316=#0o:188l7;%::2978j446;3;2<55+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=999'577628:>?6*7f1871g><,1336"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn>>;3;391?6=8r.:44>?2.:>a83>>n55+88:966g<,okh6<<67:l2645=90:j7)??8d81a3>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a755a280>6=4?{%33bg"?110:>>5+f`a957?03g;9=>4>91`8 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8<9?51;794?6|,8:mn76ib:J25c><@8:mj6*iac826<0<,88:=7??529'"aij0:>484n0027?7>8j1/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c137a<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;95;5+1332>462;2.3j=4;5c:8 =??28i:7)hnc;31=3=i9;;86<7?d:&24=c=:l<37d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;o397?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::2d:><=5182f?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi?=?<:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??283>7c?=1282=5`<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1a=?=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657`08j446;3;2==5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<58l1e=??<:0;25>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn>>?a;393?6=8r.:544`;?!>>03;296`>20195<753-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7573280<6=4?{%33bg:3:&;b5<3=k20(577:0;6?k759:0:5<=4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8<=851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=90?0b<<>3;3:51=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c134<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>949m5774283:96*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj::;j7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::=2d:><=51835?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13367e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95<3b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:585a1330>4?612.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=4?n;%33<`<5m?20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?=>k:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??283>7c?=1282=4d<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1b06=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44654e38j446;3;2=n5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0?:1e=??<:0;2`>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`1b1b=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44654e38j446;3;2=h5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3l81e=??<:0;2b>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?65:l2645=908;7)??8d81a3>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;9:?6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4?23g;9=>4>9338 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=4;4n0027?7>:;1/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982=0=i9;;86<7=3:&24=c=:l<37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?k6c;390?6=8r.:45f;2.:>a83>>n55+88:93f`<,okh6<=n3:l2645=908?7)??8d81a3>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==j1e=??<:0;11>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:k1:l2645=908=7)??8d81a3>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:o:0267>"?n90?9o64$9;;>1b63g;9=>4>9358 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00218i?4n0027?7>:11/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;990:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79987`4=i9;;86<7=9:&24=c=:l<37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?h92;393?6=8r.:544`;?!>>03>o=6`>20195<4f3-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6c0?280<6=4?{%33bg:3:&;b5<3=k20(577:5f2?k759:0:5?l4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9j;m51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=3;3:6f=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0e2`<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554;d09m57742839h6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;l>>7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5180f?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13369j>;o3156<61;l0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:90a7b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?74801/=??>:0267>"?n90?9o64$9;;>4d33-ljo7?<089m57742838=6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0Dk4$gc`>44f>2d:><=51811?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi?=?7:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957>e3-;9=<4>0418 =`72=?i46*799827g=#nhi1=?6m;o3156<61:90(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`044d=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>5o4$0025?77=:1/4k>544`;?!>>038=?6*iab826=gb2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=84>:483>5}#99li65hm;I32b==O99lm7)hnb;31e3=#9;;:6<>:3:&;b5<3=k20(577:32a?!`fk3;9m;5a1330>4?4=2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288j96*>203955343-2m<7::b99'<<>=lh1/jlm513c6?k759:0:5>84$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2`78 44693;;9>5+8g2900d?3-2247=k5:&eef<6:h?0b<<>3;3:72=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?75i=1/=??>:0267>"?n90?9o64$9;;>d2<,okh6<6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a7544280>6=4?{%33bg"?110:>l5+f`a957g33g;9=>4>92;8 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8<@8:mj6*iac826d5<,88:=7??529'"aij0:>l=4n0027?7>;h1/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c135d<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;94l5+1332>462;2.3j=4;5c:8 =??289;7)hnc;3121d94>=n01:1<7*>20397571;g0=>=zj::8=7?55;294~"68oh14kl4H03e<>N68ol0(kom:00b7>"6:8;1==;<;%:e4?22j11/446523a8 cgd288j?6`>20195<5d3-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'bdd=9::27)?=1082405<,1l;69;m8:&;==<6l01/jlm5122:?k759:0:5>j4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn?mn8;391?6=8r.:456>2.:>a83>>n55+88:956b<,okh6<=>6:l2645=909n7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6fgf280>6=4?{%33bg?9;%3154<68<90(5h?:57a<>"?110:?i5+f`a956713g;9=>4>92d8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9olm51;794?6|,8:mn76ib:J25c><@8:mj6*iac8275d<,88:=7??529'"aij0:?=l4n0027?7><91/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c0`e`<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;8462;2.3j=4;5c:8 =??289o7)hnc;304g=i9;;86<7;1:&24=c=:hij7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;ii<7?55;294~"68oh14kl4H03e<>N68ol0(kom:0121>"6:8;1==;<;%:e4?22j11/446512f8 cgd289:96`>20195<253-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9:;>7)?=1082405<,1l;69;m8:&;==<6;m1/jlm51236?k759:0:59=4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1=7;50;2x 46aj32mn6F>1g:8L46an2.mmo4>3068 44693;;9>5+8g2900d?3-2247?0b<<>3;3:01=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?749=1/=??>:0267>"?n90?9o64$9;;>45c3-ljo7?<159m5774283?96*>09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D3:&2647=99?87)6i0;66f==#0021=>j4$gc`>456;2d:><=51865?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>nln:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``956743-;9=<4>0418 =`72=?i46*799827a=#nhi1=>?<;o3156<61==0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1gge=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:?<<4$0025?77=:1/4k>544`;?!>>03;8h6*iab82744b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;3057=#9;;:6<>:3:&;b5<3=k20(577:01g?!`fk3;8=?5a1330>4?312.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?k9:l2645=90>j7)??8d81efg6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31<<=#9;;:6<>:3:&;b5<3=k20(577:0fb?!`fk3;9445a1330>4?3j2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2892j6*>203955343-2m<7::b99'<<>=9m30(kol:01:b>h6:891=4:l;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11088?5a1330>4?3l2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0ok0D45>n2d:><=5186f?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c0`03<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<439m5774283?j6*>09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;i2?7?55;294~"68oh14kl4H03e<>N68ol0(kom:00:1>"6:8;1==;<;%:e4?22j11/44651c:8 cgd288296`>20195<373-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336>:=;o3156<61<;0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.mmo4>2878 44693;;9>5+8g2900d?3-2247?9a:&eef<6:0?0b<<>3;3:17=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11088?5a1330>4?2;2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oh0D44><2d:><=51877?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>n7i:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957?33-;9=<4>0418 =`72=?i46*7998145=#nhi1=?7;;o3156<617e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1gd2=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>4=4$0025?77=:1/4k>544`;?!>>038=:6*iab826<5b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31<1=#9;;:6<>:3:&;b5<3=k20(577:6:4?!`fk3;9495a1330>4?2?2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2882?6*>203955343-2m<7::b99'<<>=9k?0(kol:00:7>h6:891=4;7;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:j3o6<4::183!77nk03jo5G10d;?M77no1/jll513;1?!75980:<8=4$9d3>13e02.3554=3`9'bde=9;397c?=1282=0?<,8:3i721d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:080(<<>1;3316=#0o:188l7;%::2808j446;3;29l5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=909'577628:>?6*7f1871g><,1336?=i;%dbg?75181e=??<:0;6f>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?m67;391?6=8r.:44>92.:>a83>>n55+88:95f7<,okh6<<61:l2645=90?h7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6dc0280>6=4?{%33bg:3:&;b5<3=k20(577:225?k759:0:58j4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95<3b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104?2n2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oh0Dh6:891=48?;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:j:0267>"?n90?9o64$9;;>4?23g;9=>4>9738 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=4;4n0027?7>>;1/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;990:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982=0=i9;;86<793:&24=c=:hij7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?m93;393?6=8r.:544`;?!>>03;296`>20195<033-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6f0>280<6=4?{%33bg:3:&;b5<3=k20(577:0;6?k759:0:5;;4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9mh;51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9oh0b<<>3;3:23=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0`2d<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>949m5774283=;6*>09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;i=47?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::=2d:><=5184;?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336<7:;o3156<61?30(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95<3b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?h<5a1330>4?1j2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>20397573;187>50z&24cd=0ok0Dh6:891=48l;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?h<5a1330>4?1l2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=48j;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>n8l:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??283>7c?=1282=3`<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1g0c=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651878j446;3;2;=5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<61<1e=??<:0;45>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?65:l2645=90=97)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:hln6<4;:183!77nk03jl5G10d;?M77no1/jll512c1?!75980:<8=4$9d3>13e02.35548cg9'bde=9:k97c?=1282=25<,8:3i721d95>=n01;1<7*>203975750z&24cd=0on0Dh6:891=49;;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>om7:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=n:7c?=1282=23<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1ffe=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44654e38j446;3;2;;5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3l81e=??<:0;43>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:k1:l2645=90=37)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:ki?6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1b63g;9=>4>96;8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00218i?4n0027?7>?h1/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79987`4=i9;;86<78b:&24=c=:hij7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?lk0;393?6=8r.:544`;?!>>03>o=6`>20195<1d3-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6gd3280<6=4?{%33bg:3:&;b5<3=k20(577:5f2?k759:0:5:j4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9no851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=3;3:3`=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0af=<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554;d09m577428309g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;i<:7?55;294~"68oh14kl4H03e<>N68ol0(kom:013<>"6:8;1==;<;%:e4?22j11/44651c68 cgd289;46`>20195<>73-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;k97)?=1082405<,1l;69;m8:&;==<6;l1/jlm513c1?k759:0:55?4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>81=7;50;2x 46aj32mn6F>1g:8L46an2.mmo4>29;8 44693;;9>5+8g2900d?3-2247?3;3:<7=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?75011/=??>:0267>"?n90?9o64$9;;>7043-ljo7?=899m57742833?6*>09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D=l4$gc`>44f:2d:><=518:7?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>n68:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957g63-;9=<4>0418 =`72=?i46*7998ge>"aij0:>l?4n0027?7>0<1/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c0`<<<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;9m<5+1332>462;2.3j=4;5c:8 =??2:n>7)hnc;31e4=i9;;86<776:&24=c=:hij7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;i3=7?55;294~"68oh14kl4H03e<>N68ol0(kom:00b4>"6:8;1==;<;%:e4?22j11/4465a59'bde=9;k;7c?=1282==1<,8:3i721d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:h:0(<<>1;3316=#0o:188l7;%::2`28j446;3;2455+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=9g9'577628:>?6*7f1871g><,1336"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?m84;391?6=8r.:44?02.:>a83>>n55+88:9566<,okh6<<78:l2645=902j7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6f>e280>6=4?{%33bg"?1109>n5+f`a957?a3g;9=>4>99`8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9o:l51;694?6|,8:mn76ia:J25c><@8:mj6*iac8275><,88:=7??529'"aij0:?=64n0027?7>0j1/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:0:j6<4::183!77nk03jo5G10d;?M77no1/jll51232?!75980:<8=4$9d3>13e02.3554>3e9'bde=9:;:7c?=1282==b<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6;8;0(<<>1;3316=#0o:188l7;%::3038j446;3;24h5+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;98l0:684?:1y'55`e21li7E?>f99K55`a3-ljn7?<0`9'577628:>?6*7f1871g><,1336<=k;%dbg?748h1e=??<:0;;b>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?7>0;391?6=8r.:457i2.:>a83>>n55+88:956b<,okh6<=?a:l2645=903;7)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6<75280>6=4?{%33bg??;%3154<68<90(5h?:57a<>"?110:?i5+f`a956773g;9=>4>9838 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th95<:51;794?6|,8:mn76ib:J25c><@8:mj6*iac82746<,88:=7??529'"aij0:?<>4n0027?7>1;1/==6j:351g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c0:53<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;8462;2.3j=4;5c:8 =??289o7)hnc;304c=i9;;86<763:&24=c=:>8h7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;3:47?55;294~"68oh14kl4H03e<>N68ol0(kom:013b>"6:8;1==;<;%:e4?22j11/446512f8 cgd289;j6`>20195:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9::n7)?=1082405<,1l;69;m8:&;==<6;m1/jlm5122f?k759:0:54;4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>31g8 44693;;9>5+8g2900d?3-2247?3;3:=3=#992n6?9=c:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?748m1/=??>:0267>"?n90?9o64$9;;>45c3-ljo7?<0e9m57742832;6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0Dj4$gc`>457l2d:><=518;;?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>5jn:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28n27c?=1282=21d95>=n01;1<7*>2039757cj3;197>50z&24cd=0oh0D44??2d:><=518;b?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>5h9:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956?b3-;9=<4>0418 =`72=?i46*79982`<=#nhi1=>7j;o3156<610h0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj;2j97?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=518;`?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:3n7)?=1082405<,1l;69;m8:&;==<6l01/jlm512;f?k759:0:54j4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn?6n8;393?6=8r.:544`;?!>>039?>6`>20195:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6=`2280>6=4?{%33bg"?110:n55+f`a957?73g;9=>4>98d8 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th94l851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;=80b<<>3;3:e5=#992n6?9=c:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0:4=<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;95=5+1332>462;2.3j=4;5c:8 =??288h7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;2j;7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=518c1?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;2m7)?=1082405<,1l;69;m8:&;==<6:11/jlm513:e?k759:0:5l=4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>29d8 44693;;9>5+8g2900d?3-22473;3:e1=#992n6?9=c:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?750l1/=??>:0267>"?n90?9o64$9;;>7013-ljo7?=8d9m5774283j96*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757a;3;197>50z&24cd=0oh0D44?;2d:><=518c5?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>4>;:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957>b3-;9=<4>0418 =`72=?i46*79982f0=#nhi1=?6j;o3156<61h=0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1t$02ef?>aj2B:=k64H02eb>"aik0:>5j4$0025?77=:1/4k>544`;?!>>0388m6*iab826=bb2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31:3:&;b5<3=k20(577:000?!`fk3;94i5a1330>4?f12.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2883o6*>203955343-2m<7::b99'<<>=::l0(kol:00;g>h6:891=4on;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:1l26<4::183!77nk03jo5G10d;?M77no1/jll513:`?!75980:<8=4$9d3>13e02.3554>c09'bde=9;2h7c?=1282=dd<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021?=84n0027?7>ij1/==6j:351g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c0;gc<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>949m5774283jh6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;=?h7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=518cf?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336?>j;o3156<61hl0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651878j446;3;2n=5+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<61<1e=??<:0;a5>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?65:l2645=90h97)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:1i>6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4?23g;9=>4>9c18 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=4;4n0027?7>j=1/==6j:351g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;90:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982bg=i9;;86<7m5:&24=c=:>8h7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?6lc;393?6=8r.:544`;?!>>03;296`>20195:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6=ef280<6=4?{%33bg:3:&;b5<3=k20(577:0;6?k759:0:5o94$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th94n:51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=90?0b<<>3;3:f==#992n6?9=c:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0;g<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>949m5774283i56*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;2:87?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=518`b?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336:9<;o3156<61kh0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj;2:=7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=518``?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13369j>;o3156<61kn0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95<3b2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:585a1330>4?en2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>2039757d;3;1;7>50z&24cd=0on0Dh6:891=4m?;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>5m>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??283>7c?=1282=f7<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1336=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?l?4$0025?77=:1/4k>544`;?!>>03=hj6*iab827d7b2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm2642>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,133658l;o3156<61j90(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:90a7b2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?h<5a1330>4?d=2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>2039757503;1;7>50z&24cd=0on0Dh6:891=4m9;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>5<;:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=n:7c?=1282=f1<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1<70=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44654e38j446;3;2o55+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=n4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3l81e=??<:0;`=>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:k1:l2645=90ij7)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:1996<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1b63g;9=>4>9b`8 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00218i?4n0027?7>kj1/==6j:351g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79987`4=i9;;86<7ld:&24=c=:>8h7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?6>a;393?6=8r.:544`;?!>>03>o=6`>20195:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6=b?280>6=4?{%33bg>8;%3154<68<90(5h?:57a<>"?110:n95+f`a956603g;9=>4>9bd8 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th94h;51;794?6|,8:mn76ib:J25c><@8:mj6*iac826"aij0:>4k4n0027?7>l91/==6j:351g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c0;`1<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;94:5+1332>462;2.3j=4;5c:8 =??289i7)hnc;31<2=i9;;86<7k1:&24=c=:>8h7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;2o;7?55;294~"68oh14kl4H03e<>N68ol0(kom:00;2>"6:8;1==;<;%:e4?22j11/44652718 cgd2883:6`>20195:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;3n7)?=1082405<,1l;69;m8:&;==<58k1/jlm513;f?k759:0:5i=4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>28f8 44693;;9>5+8g2900d?3-2247jn;%dbg?751m1e=??<:0;g0>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?6jb;391?6=8r.:44>l2.:>a83>>n55+88:97a3<,okh6<<6d:l2645=90n>7)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6=c4280>6=4?{%33bg"?110j86*iab826b2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31=f=#9;;:6<>:3:&;b5<3=k20(577:00b?!`fk3;95n5a1330>4?c?2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge2882n6*>203955343-2m<7::b99'<<>=9k:0(kol:00:f>h6:891=4j7;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:1n=6<4::183!77nk03jo5G10d;?M77no1/jll513:5?!75980:<8=4$9d3>13e02.3554>319'bde=9;2=7c?=1282=a?<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:0h0(<<>1;3316=#0o:188l7;%::28`8j446;3;2hl5+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?<069'577628:>?6*7f1871g><,13361e=??<:0;gf>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`2`<5=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465229m5774283oo6*>09g95a753`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8n287?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>9ef8 46?m3;o=?5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757d8795?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>>5a1330>4?cm2.:<5k51e31?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>2039757>3;1;7>50z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:h4951;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=::1e=??<:0;f4>"681o1=i?=;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247l6;o3156<61l;0(<>7e;3g57=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl>d8;95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=im4n0027?7>m;1/==6j:0f26>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;2f=i9;;86<7j3:&24=c=;kn37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>h;5;393?6=8r.:544`;?!>>0328=6`>20195:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7f`d280<6=4?{%33bg:3:&;b5<3=k20(577:0:5?k759:0:5h;4$02;a?5el11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8okh51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?kn0b<<>3;3:a3=#992n6>lk8:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1g<0<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>e39m5774283n;6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:n3:7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=518g;?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336??>;o3156<61l30(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9647b2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:hl5a1330>4?bj2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<<;o3156<61li0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:966=i9;;86<7jd:&24=c=;kn37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>h:0;393?6=8r.:544`;?!>>032=o6`>20195:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7c36280<6=4?{%33bg:3:&;b5<3=k20(577:94`?k759:0:5hh4$02;a?5el11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8j8<51;194?6|,8:mn76i9:J25c><@8:mj6*iac827db<,88:=7??529'"aij0:?lj4n0027?7>n91/==6j:2`g<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:hn5a1330>4?a92.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8nij51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=::1e=??<:0;e7>"681o1?oj7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<<;o3156<61o>0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:966=i9;;86<7i5:&24=c=;kn37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>lj0;397?6=8r.:544`;?!>>03h27c?=1282=c0<,8:3i7=md99j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th8nh?51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9mi0b<<>3;3:b2=#992n6>lk8:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1e63<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554mf:l2645=90l37)??8d80fa>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;jk96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2ba3g;9=>4>9g;8 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021;i>4n0027?7>nh1/==6j:2`g<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c1`a1<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.355488`9m5774283mn6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>>lj51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;o1e=??<:0;e`>"681o19?o9;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224769c:l2645=90ln7)??8d866d06663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:<:36<4<:183!77nk03j45G10d;?M77no1/jll512f7?!75980:<8=4$9d3>13e02.3554;869'bde=9:n?7c?=1282=c`<,8:3i7<:059j<2`=83.:>21d95>=hj?31<7*>2039a6?<3th99=951;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;;1e=??<:0c34>"681o1>8>;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247=:;o3156<6i9;0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93f4b2<8j:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110h;6`>20195d643-;;4h4:2`48m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a17`6280<6=4?{%33bg:3:&;b5<3=k20(577:b58j446;3;j<95+11:f>04f>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5911e=??<:0c31>"681o19?o9;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9g2=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn8544`;?!>>038:46`>20195d603-;;4h4:2`48m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=51`2;?!770l0>>l84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336??7;o3156<6i930(<>7e;71e3=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn8544`;?!>>03i<7c?=1282e5g<,8:3i7;=a79j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`66c0=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520:8j446;3;j04f>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336n94n0027?7f8j1/==6j:40b2>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799815==i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`66ge=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465c69m577428k;i6*>09g917g13`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj<8in7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51`2e?!770l0>>l84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336n94n0027?7f991/==6j:40b2>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79987g`=i9;;861:&24=c==;k=7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn8544`;?!>>03=h>6`>20195d753-;;4h4:2`48m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a17c5280<6=4?{%33bg:3:&;b5<3=k20(577:b58j446;3;j=>5+11:f>04f>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3kl1e=??<:0c20>"681o19?o9;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=9h;>7)??8d866d06663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e=;o?6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>f1b2<8j:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?oh5a1330>4g6?2.:<5k553c5?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>>h751;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=3;3b5<=#992n68o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c71a`<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554l7:l2645=9h;j7)??8d866d06663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e=;oo6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77?3g;9=>4>a0`8 46?m3?9m;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>>hl51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:820b<<>3;3b5a=#992n68o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e;j3i6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7223g;9=>4>a0g8 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021m;5a1330>4g6n2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oh0D4>a328 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8j?651;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9890b<<>3;3b64=#992n6>lk8:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1e6<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<259m577428k9>6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:l9n7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::7:2d:><=51`00?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79985b>h6:891=l<;;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?k?k:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>l:7c?=1282e73<,8:3i7=md99j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:l:i7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51`05?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<4290;w)??fc8;b<=O98l37E??fg9'bdd=9:hj7)?=1082405<,1l;69;m8:&;==3cc8j446;3;j>:5+11:f>6dc02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c1e65<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>999m577428k946*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:l9=7?55;294~"68oh14kl4H03e<>N68ol0(kom:01f1>"6:8;1==;<;%:e4?22j11/44657g28 cgd289n96`>20195d4>3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336<8l;o3156<6i;k0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<0bb2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74<81/=??>:0267>"?n90?9o64$9;;>74e3-ljo7?<409m577428k9o6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th8j<<51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=i>1e=??<:0c1`>"681o1?oj7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?60:l2645=9h8n7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a7c7?280=6=4?{%33bgl9;%3154<68<90(5h?:57a<>"?110:>=5+f`a956d13g;9=>4>a3d8 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0on0Dh6:891=l=?;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?k>9:085>5<7s-;;jo47fb9K54`?3A;;jk5+f``956633-;9=<4>0418 =`72=?i46*79984f0=#nhi1=>>;;o3156<6i:;0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn>h?7;390?6=8r.:457<2.:>a83>>n55+88:95=><,okh6<=?4:l2645=9h997)??8d80fa>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;=="681o1?oj7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?63:l2645=9h9?7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;o>o6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7>33g;9=>4>a278 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021:?5a1330>4g4>2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=l=8;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?k;::085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21:97c?=1282e6><,8:3i7=md99j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:n;>7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51`1:?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336?>6;o3156<6i:k0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj:n;97?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::3m2d:><=51`1a?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365;m;o3156<6i:i0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<7`b2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104g4m2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=l=i;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?k:>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=97c?=1282e16<,8:3i7=md99j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0b14=9391<7>t$02ef?>a12B:=k64H02eb>"aik0:?h;4$0025?77=:1/4k>544`;?!>>03h27)hnc;30a0=i9;;8621d94>=n01:1<7*>203975750z&24cd=0on0Dh6:891=l:=;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?k:8:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2121d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0b7c=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651e18j446;3;j895+11:f>6dc02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=51`66?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?9<;o3156<6i=<0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<1`b2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103:55a1330>4g302.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>2039757=3;1;7>50z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8o4851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0:l0b<<>3;3b0d=#992n6>lk8:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1`=d<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35547049m577428k?n6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:i257?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51`6`?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;90:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984`6=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>m61;392?6=8r.:544`;?!>>032;;6`>20195d2b3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=3m<4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<4<<1e=??<:0c7b>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-22479mb:l2645=9h?;7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<4?5a1330>4g292.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?;ho51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=<830b<<>3;3b17=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c64a2<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548889m577428k>?6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj==no7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51`77?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:66;o3156<6i7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.mmo4>26f8 44693;;9>5+8g2900d?3-22479m9:&eef<6:>n0b<<>3;3b13=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0g5a280<6=4?{%33bg:3:&;b5<3=k20(577:33e?k759:0:m894$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?5>:51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;?1e=??<:0c6<>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247;k;o3156<6i<30(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:97<>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0<50280<6=4?{%33bg:3:&;b5<3=k20(577:3:6?k759:0:m8l4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?5>651;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=90h0b<<>3;3b1f=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6:7<<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.35548a89m577428k>h6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757>m3;1:7>50z&24cd=0oi0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5::b?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22476j;o3156<6i7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:905=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn96n8;393?6=8r.:544`;?!>>03<=7c?=1282e37<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465d19m577428k=>6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=2jm7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51`40?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336lj4n0027?7f>=1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984`==i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn96m2;392?6=8r.:544`;?!>>03;>56`>20195d013-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=3ni4>:283>5}#99li65h6;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=51`44?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e<1hn6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>65b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<:6`>20195d0>3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=3o=4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0ih1e=??<:0c5e>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<97:l2645=9h6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<1h;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4?a3g;9=>4>a7a8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=<84n0027?7f>m1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8o;9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28i37c?=1282e3c<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446582:8j446;3;j:k5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=3mn4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=51`53?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336;0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95`4b2=h<:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:i?5a1330>4g0;2.:<5k54c55?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=l9;;%33<`<3j><0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8n;=:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28n27c?=1282e23<,8:3i7:m779j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D3:&2647=99?87)6i0;66f==#0021;lm4$gc`>426;2d:><=51`55?!770l0?n:84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6aeg<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548f89m577428k<;6*>09g90g113`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=hi=7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>a6:8 46?m3>i;;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:>20(<<>1;3316=#0o:188l7;%::26:8j446;3;j;45+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9;0:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984e==i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9l93;393?6=8r.:544`;?!>>0329n6`>20195d1e3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0g03280>6=4?{%33bg:3:&;b5<3=k20(577:06`?k759:0:m:m4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:975=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9k<7;393?6=8r.:544`;?!>>03;?46`>20195d1b3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0`5?280<6=4?{%33bg:3:&;b5<3=k20(577:936?k759:0:m:h4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?45l51;794?6|,8:mn76ib:J25c><@8:mj6*>203955343-2m<7::b99'<<>=93;3b<5=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103=i5a1330>4g?92.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>20397570n3;1;7>50z&24cd=0on0Dh6:891=l6=;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi859n:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>n>7c?=1282e=5<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7<2e=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657d:8j446;3;j495+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=35;4>:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<48>1e=??<:0c;1>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn967d;393?6=8r.:544`;?!>>03887c?=1282e=0<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7<=`=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:?l:4$0025?77=:1/4k>544`;?!>>038==6*iab827d2b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=35=4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0?j1e=??<:0c;<>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 cge288i<6*>203955343-2m<7::b99'<<>=;9<0(kol:00a4>h6:891=l66;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e<0;o6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=7c3g;9=>4>a9c8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e=>N69o20D<>if:&eeg<6;l90(<<>1;3316=#0o:188l7;%::3d18j446;3;j4o5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c6:6<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355470e9m577428k3o6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=39o7?54;294~"68oh14ko4H03e<>N68ol0(kom:0174>"6:8;1==;<;%:e4?22j11/44651e08 cgd289?<6`>20195d>c3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9:k0:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998;5<=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn97=e;391?6=8r.:45382.:>a83>>n55+88:95a2<,okh6<=;0:l2645=9h2m7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a0<4c280<6=4?{%33bg:3:&;b5<3=k20(577:93:?k759:0:m4>4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?45:51;694?6|,8:mn76ia:J25c><@8:mj6*iac827d2<,88:=7??529'"aij0:?l:4n0027?7f181/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>75b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109?6`>20195d?43-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0`6f280<6=4?{%33bg:3:&;b5<3=k20(577:318j446;3;j595+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=n:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5;2d:><=51`;6?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?=4n0027?7f1?1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;94?:1y'55`e21l27E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998a=>h6:891=l78;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54oc4:>5<#9;;:6h=6;:a0`6b280<6=4?{%33bg:3:&;b5<3=k20(577:0f`?k759:0:m464$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?4nh51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=j<1e=??<:0c:=>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<01b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<>6`>20195d?e3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;4<=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn96k1;392?6=8r.:544`;?!>>03h>7c?=1282e21d95>=n01;1<7*>20397571;g0=>=zj=2o<7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::2?2d:><=51`;f?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<4290;w)??fc8;b<=O98l37E??fg9'bdd=9:i=7)?=1082405<,1l;69;m8:&;==<30>1/jlm512a5?k759:0:m4h4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`7t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44653`48j446;3;jm=5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=3h>4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<4811e=??<:0cb5>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<10b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108<55a1330>4gf;2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?4i:51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0=<0b<<>3;3be1=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6;`2<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<099m577428kj96*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214984n0027?7fi?1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799804==i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658548j446;3;jm55+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=3hl4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<4811e=??<:0cb=>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<10b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108<55a1330>4gfj2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?4il51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0=<0b<<>3;3bef=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6;`c<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<099m577428kjh6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214984n0027?7fil1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799804==i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7<`6=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658548j446;3;jn=5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=3i>4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<4811e=??<:0ca5>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<10b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:i95a1330>4ge;2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?i9j51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>="681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93ccb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11038>5a1330>4ge?2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=ll7;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c6:1`<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355472e9m577428ki56*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=3=h7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::512d:><=51``b?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336nl4n0027?7fjk1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi84:9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;==7c?=1282ege<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7=04=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446522f8j446;3;jni5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9==0:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998;72=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7=f7=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446580a8j446;3;jnk5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,13369o>;o3156<6ij:0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7=a2=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658408j446;3;jo<5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=2o54>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0m=1e=??<:0c`6>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.mmo4>26c8 44693;;9>5+8g2900d?3-2247987:&eef<6:>k0b<<>3;3bg6=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<0=<6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=343g;9=>4>ab68 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e=>N69o20D<>if:&eeg<6;k90(<<>1;3316=#0o:188l7;%::2.mmn4>3c18j446;3;jo85+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c6:3<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>539m577428kh:6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=3N68ol0(<<>1;3316=#0o:188l7;%::2i2d:><=51`a4?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13369?:;o3156<6ij20(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>;1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:93`4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74k>1/=??>:0267>"?n90?9o64$9;;>2143-ljo7?09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?m5751;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0<20b<<>3;3bgg=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6b=<<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.35548729m577428kho6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?m4o51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9o30b<<>3;3bga=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6b=g<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=749m577428khi6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=k<<7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::5:2d:><=51`ae?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?=?;o3156<6im:0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>o1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:95d6b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?11034gc:2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?m5>51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0890b<<>3;3b`6=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<0om6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2?e3g;9=>4>ae68 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0on0Dh6:891=lj:;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi84h8:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21827c?=1282ea0<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7=c>=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465cc9m577428ko;6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:84n0027?7fl11/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9nh0:684?:1y'55`e21li7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799817a=i9;;8621d94>=n01:1<7*>20397571;g0=>=zj=3m>7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4?2d:><=51`fb?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9nj0:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984=g=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7e57=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446583f8j446;3;jhn5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=j<94>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;=="681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247mm;o3156<6imo0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn9o?6;393?6=8r.:544`;?!>>038<:6`>20195dba3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0d60280>6=4?{%33bg:3:&;b5<3=k20(577:31g?k759:0:mh>4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<61b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0d6>280=6=4?{%33bg:3:&;b5<3=k20(577:6;a?k759:0:mh<4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5c3a?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22476=d:l2645=9ho87)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>=4>3g;9=>4>ad68 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021oo5a1330>4gb=2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?m<=51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:><0b<<>3;3ba3=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6b51<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=3e9m577428kn;6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oi0Dh6:891=lk7;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c6a0d<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>f`9m577428kn56*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021?o5a1330>4gbi2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=lkm;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8lo;:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956013-;9=<4>0418 =`72=?i46*799846>"aij0:?;84n0027?7fmj1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>2`13g;9=>4>adf8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00218i>4n0027?7fml1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;51=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7=<1=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651g08j446;3;jj=5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336<6n;o3156<6io;0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn976d;397?6=8r.:45e<2.:>a83>>n55+88:9500<,okh6<=m4:l2645=9hl97)??8d871ge6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336<7n;o3156<6io90(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<1gb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74l<1/=??>:0267>"?n90?9o64$9;;>2143-ljo7?09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?m4=51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0<20b<<>3;3bb3=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6b==<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.355470g9m577428km;6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#00218<5a1330>4ga02.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?m5k51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0;>0b<<>3;3bb<=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6b=5<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=6e9m577428kmm6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=k2=7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51`da?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,13367e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn97;9;392?6=8r.:45e;2.:>a83>>n55+88:95=g<,okh6<=m3:l2645=9hlo7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103:85a1330>4gam2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=lhi;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c6:0c<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>9`9m577428h;<6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=3347?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51c22?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;90h0:6;4?:1y'55`e21lh7E?>f99K55`a3-ljn7??6*7f1871g><,1336<6n;%dbg?74j=1e=??<:0`36>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<33b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104d7<2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?55?51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=90k0b<<>3;3a40=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6:e<<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>f39m577428h;:6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=5o4n0027?7e8>1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi84ol:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21<>7c?=1282f5><,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7=d7=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b58j446;3;i<45+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336<7n;o3156<6j9k0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<4eb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0d4728086=4?{%33bg8:;%3154<68<90(5h?:57a<>"?110i56*iab82733b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg2f900:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984b3=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9o>a;393?6=8r.:544`;?!>>03>o<6`>20195g6b3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0dga280<6=4?{%33bg:3:&;b5<3=k20(577:90;?k759:0:n=h4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?mo?51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9o80b<<>3;3a55=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>2`13g;9=>4>b038 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00218i>4n0027?7e9;1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984e2=i9;;863:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9one;392?6=8r.:544`;?!>>03=n96`>20195g733-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=2:<4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;=="681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247?i2:l2645=9k;=7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110=96`>20195g703-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=2:44>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;=="681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?=7:l2645=9k;27)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<0<96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;><0b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11034d6j2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=o?l;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c6:a<<62?0;6=u+11da>=`d3A;:j55G11de?!`fj3;8:85+1332>462;2.3j=4;5c:8 =??2>=37)hnc;3020=i9;;86d:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7=`3=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651638j446;3;i=h5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=2i;4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59h1e=??<:0`2b>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<80:l2645=9k8;7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<0o36<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=2>3g;9=>4>b338 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214864n0027?7e:;1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-ljn7?<8`9'577628:>?6*7f1871g><,1336<;8;%dbg?740h1e=??<:0`17>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7e1c=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446531g8j446;3;i>95+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=j9<4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5811e=??<:0`11>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:k0:l2645=9k8=7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2db2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11034d502.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=o<6;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8lm6:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28l97c?=1282f7g<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=khm7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>b3`8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0on0Dh6:891=oo?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8lmi:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??288<7c?=1282f7b<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7ef0=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465ce9m577428h9i6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=kh;7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::3?2d:><=51c0e?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365;7;o3156<6j::0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95c4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0db1280=6=4?{%33bg:3:&;b5<3=k20(577:778j446;3;i??5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365<7;o3156<6j:90(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9571b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1102:6`>20195g523-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0db4280<6=4?{%33bg:3:&;b5<3=k20(577:92`?k759:0:n>84$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?mij51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0<20b<<>3;3a72=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6ba4<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>f39m577428h846*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021:85a1330>4d412.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?mh851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0;20b<<>3;3a7d=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6ba2<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>269m577428h8n6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=koi7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>b2a8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214=m4n0027?7e;m1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;1==i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9ojd;392?6=8r.:544`;?!>>03;m>6`>20195g5a3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=jih4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<1=2d:><=51c63?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;6==i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9oi3;393?6=8r.:544`;?!>>03;9;6`>20195g253-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0dcf280<6=4?{%33bg:3:&;b5<3=k20(577:848j446;3;i8>5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=jio4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;=="681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22476:8:l2645=9k>>7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4`53g;9=>4>b548 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5cea?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22476=8:l2645=9k>37)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4403g;9=>4>b5;8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00215;5a1330>4d3i2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=o:m;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8o>>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21?37c?=1282f1e<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7f53=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651g08j446;3;i8i5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336;;4n0027?7eo??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8o>n:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21837c?=1282f1`<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7f5d=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651358j446;3;i9=5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=i:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<>>2d:><=51c72?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365>l;o3156<6j<80(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<0>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:j?5a1330>4d2<2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?n<<51;494?6|,8:mn76ic:J25c><@8:mj6*iac8271`<,88:=7??529'4d2=2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?n<851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0;20b<<>3;3a13=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6a52<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>269m577428h>;6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=h;i7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>b4:8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214=m4n0027?7e=01/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9j00:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79982b7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7=gd=93<1<7>t$02ef?>ak2B:=k64H02eb>"aik0:?9<4$0025?77=:1/4k>544`;?!>>03;3m6*iab82714b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0:3:&;b5<3=k20(577:946?k759:0:n8m4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?5o?51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j=0b<<>3;3a1a=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<0h96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4?f3g;9=>4>b4g8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#00214o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8l5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28l97c?=1282f36<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=k947?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::2d:><=51c42?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13369j?;o3156<6j?80(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103>55a1330>4d1<2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=o8:;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c6bf=<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548f79m577428h=:6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=ki57?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51c44?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:o9;o3156<6j?20(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93`3b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0d>5280<6=4?{%33bg:3:&;b5<3=k20(577:90;?k759:0:n;o4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?m5:51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9o80b<<>3;3a2g=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>40a3g;9=>4>b7a8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D9>4$gc`>45>?2d:><=51c4g?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94?:1y'55`e21l27E?>f99K55`a3-ljn7?<969'577628:>?6*7f1871g><,1336<;9;%dbg?741>1e=??<:0`5a>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj=k<97?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51c4e?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:h9;o3156<6j>:0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>=1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:93`eb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104d0:2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=o9<;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c6b74<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.355471b9m577428h<86*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=k<4n0027?7e?<1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8l=;:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>l=7c?=1282f20<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7e63=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44654e28j446;3;i;:5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=j?;4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<01h1e=??<:0`4<>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22476=8:l2645=9k=27)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>4`53g;9=>4>b6c8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0on0Dh6:891=o9m;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8l;;:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=n;7c?=1282f2e<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7e03=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657`58j446;3;i;i5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=j9;4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0m<1e=??<:0`4a>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95c3b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103>55a1330>4d?82.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>20397573;1:7>50z&24cd=0oi0Dh6:891=o6>;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c6b25<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548f79m577428h3>6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=k==7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51c:0?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:o8;o3156<6j1>0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93`3b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0`27280<6=4?{%33bg:3:&;b5<3=k20(577:4c8j446;3;i4;5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=n8<4>:783>5}#99li65hl;I32b==O99lm7)hnb;311==#9;;:6<>:3:&;b5<3=k20(577:478 cgd288>46`>20195g>03-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=n8?4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=51c:;?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336574n0027?7e001/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8h:::084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21897c?=1282f=g<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7<`?=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658378j446;3;i4o5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=39;4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5l2d:><=51c:`?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'bdd=9;?<7)?=1082405<,1l;69;m8:&;==<0lh1/jlm51374?k759:0:n5j4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5:f0?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22476:e:l2645=9k2n7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<0;>6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2df3g;9=>4>b9d8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0on0D4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?i>?51;794?6|,8:mn76ib:J25c><@8:mj6*iac82601<,88:=7??529'"aij0:>894n0027?7e181/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6f75<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>189m577428h2>6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=hn97?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51c;0?!770l0?n:84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>75b2=h<:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110n86`>20195g?23-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a02cc280<6=4?{%33bg:3:&;b5<3=k20(577:6`4?k759:0:n484$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?;k>51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=m=1e=??<:0`:3>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479m7:l2645=9k337)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<>l96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>`2b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104d>i2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?;k=51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?k=0b<<>3;3a=f=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c64b3<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554j4:l2645=9k3o7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<>l>6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2d03g;9=>4>b8g8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021i95a1330>4d>n2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=oo?;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8:hn:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2l>0b<<>3;3ae4=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c64b<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548b69m577428hj>6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj==mo7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>b`18 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;o94n0027?7ei=1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998f0>h6:891=oo:;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8:hk:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>h<7c?=1282fd0<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7<40=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465e59m577428hj;6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=2:97?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51cc;?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336h:4n0027?7ei01/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;90:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984f2=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn96>a;393?6=8r.:544`;?!>>03o?7c?=1282fdd<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7<4?=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657c58j446;3;imn5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=3=n4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=51ccg?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:l8;o3156<6jho0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9a1=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn96>d;393?6=8r.:544`;?!>>03=i;6`>20195gd73-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0=47280<6=4?{%33bg:3:&;b5<3=k20(577:d68j446;3;in<5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=3=k4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0j>1e=??<:0`a6>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247k;;o3156<6jk90(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93g1b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?11094<5a1330>4de=2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?4=851;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=90;0b<<>3;3af3=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<1:<6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2b33g;9=>4>bc58 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021>5?4n0027?7ej11/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi85>n:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??283:7c?=1282fg?<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=2;n7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51c`b?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336?6>;o3156<6jkh0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn96?e;392?6=8r.:544`;?!>>03;2=6`>20195gdd3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=3:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0l=1e=??<:0`a`>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247<71:l2645=9khn7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:5<5a1330>4den2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?4<=51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?m>0b<<>3;3ag5=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c64bc<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<479m577428hh=6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=574n0027?7ek;1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi85><:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>n?7c?=1282ff5<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7f=1=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?l74$0025?77=:1/4k>544`;?!>>03=97)hnc;30e<=i9;;8621d94>=n01:1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#002148:4n0027?7ek<1/==6j:5`42>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-ljn7?=799'577628:>?6*7f1871g><,13365>>;%dbg?75?11e=??<:0``2>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn99l8;393?6=8r.:544`;?!>>03i?7c?=1282ff1<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7f=3=9391<7>t$02ef?>a12B:=k64H02eb>"aik0:?l74$0025?77=:1/4k>544`;?!>>03h27)hnc;30e<=i9;;8621d94>=n01:1<7*>203975750z&24cd=0on0Dh6:891=om6;%33<`<3j><0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8k:?:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2ol0b<<>3;3agd=#992n69ho?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c02aa<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554k2:l2645=9kii7)??8d815fb6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:8on6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4?03g;9=>4>bba8 46?m38:oi5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb33e4?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22476663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:8l:6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=313g;9=>4>bbd8 46?m38:oi5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021h?5a1330>4dc82.:<5k5217f?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=oj>;%33<`<58o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c03<5<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554l2:l2645=9kn97)??8d8140c6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11094dc;2.:<5k5217f?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=oj;;%33<`<58o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi89o9:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>im7c?=1282fa3<,8:3i7:;2`9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?8oo51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=::1e=??<:0`g3>"681o189o8;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<<;o3156<6jm20(<>7e;67e2=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:975=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9=jb;393?6=8r.:544`;?!>>03887c?=1282fag<,8:3i7:<7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2fa0=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b08j446;3;iho5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0`gg>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=9kno7)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9ko96<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>beg8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757bd195?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?7elo1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn544`;?!>>03=h>6`>20195gc63-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a5gc1280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:nh<4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:nh951;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;3aa6=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3a`2<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428hn86*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8ho47?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51cg6?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6jl<0(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95<0b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:5;5a1330>4db02.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=ok6;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=omk:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282f`g<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2ffc=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b08j446;3;iio5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0`fg>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=9koo7)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9kn:6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>bdg8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757be095?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?7emo1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn544`;?!>>03=h>6`>20195g`63-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a5ge2280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:nk<4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:nn851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;3ab6=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3ag2<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428hm86*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8hh47?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51cd6?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6jo<0(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9325b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1caa>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336<79;o3156<6jo20(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95<0b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104dai2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=ohm;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=;8j:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k97c?=1282fce<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`223`=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`18j446;3;iji5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6joo0(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>?21=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:95feb28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m?5a1330>4e782.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=n>>;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c3527<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428i;>6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8<=?7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b20?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13360(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>??1=7850;2x 46aj32mo6F>1g:8L46an2.:>a83>>n55+88:95d5b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a533c280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:o=84$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::8k51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9ji0b<<>3;3`42=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c351c<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a39m577428i;46*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8<=<7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b2:?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8:9;393?6=8r.:544`;?!>>03;ho6`>20195f6e3-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a533f280<6=4?{%33bg:3:&;b5<3=k20(577:0c1?k759:0:o=m4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::8l51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h90b<<>3;3`4a=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e9??86<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>c1g8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975764695?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=nm4n0027?7d8o1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982e7=i9;;860:&24=c=9<=m7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8:6;392?6=8r.:544`;?!>>03;j?6`>20195f763-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0a26>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?lc:l2645=9j;87)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9??;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g53g;9=>4>c068 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975764395?0=83:p(<>ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l=4n0027?7d9<1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi=;:6:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282g40<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`221g=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651ba8j446;3;h=:5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i;1e=??<:0a2<>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n3:l2645=9j;27)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104e6i2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=n?m;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=;:9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k97c?=1282g4e<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2211=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`18j446;3;h=i5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6k8o0(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>=:1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:95feb28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m?5a1330>4e582.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=n<>;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c357d<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428i9>6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8<8n7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b00?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13360(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>:n1=7850;2x 46aj32mo6F>1g:8L46an2.:>a83>>n55+88:95d5b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a5352280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:o?84$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::>851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9ji0b<<>3;3`62=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3572<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a39m577428i946*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8<847?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b0:?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8<1;393?6=8r.:544`;?!>>03;ho6`>20195f4e3-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a5355280<6=4?{%33bg:3:&;b5<3=k20(577:0c1?k759:0:o?m4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::>=51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h90b<<>3;3`6a=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e9?8i6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>c3g8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975763a95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=nm4n0027?7d:o1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982e7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8=e;392?6=8r.:544`;?!>>03;j?6`>20195f563-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=;4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0a06>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?lc:l2645=9j987)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9?836<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g53g;9=>4>c268 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975763;95?0=83:p(<>ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l=4n0027?7d;<1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi=;<>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282g60<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2274=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651ba8j446;3;h?:5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=>4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i;1e=??<:0a0<>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n3:l2645=9j927)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104e4i2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=n=m;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=;?j:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k97c?=1282g6e<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`224`=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`18j446;3;h?i5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6k:o0(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>821=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:95feb28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m?5a1330>4e382.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=n:>;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c3557<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428i?>6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8<:?7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b60?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13360(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>8?1=7850;2x 46aj32mo6F>1g:8L46an2.:>a83>>n55+88:95d5b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a536c280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:o984$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::=k51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9ji0b<<>3;3`02=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c354c<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a39m577428i?46*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8<:<7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b6:?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8?9;393?6=8r.:544`;?!>>03;ho6`>20195f2e3-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a536f280<6=4?{%33bg:3:&;b5<3=k20(577:0c1?k759:0:o9m4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::=l51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h90b<<>3;3`0a=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e9?:86<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>c5g8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975761695?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=nm4n0027?7do??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982e7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<8?6;392?6=8r.:544`;?!>>03;j?6`>20195f363-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=jh4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0a66>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?lc:l2645=9j?87)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9?:;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g53g;9=>4>c468 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975761395?0=83:p(<>ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l=4n0027?7d=<1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi=8h6:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282g00<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`21cg=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651ba8j446;3;h9:5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=jo4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i;1e=??<:0a6<>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n3:l2645=9j?27)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104e2i2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=n;m;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=8h9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k97c?=1282g0e<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`21c1=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`18j446;3;h9i5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6k7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95feb28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m?5a1330>4e182.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=n8>;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c36ad<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428i=>6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8?nn7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b40?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13360(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95d5b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a50c2280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:o;84$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:9h851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9ji0b<<>3;3`22=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c36a2<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a39m577428i=46*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8?n47?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b4:?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<;j1;393?6=8r.:544`;?!>>03;ho6`>20195f0e3-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a50c5280<6=4?{%33bg:3:&;b5<3=k20(577:0c1?k759:0:o;m4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:9h=51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h90b<<>3;3`2a=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e9:0267>"?n90?9o64$9;;>2e53g;9=>4>c7g8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397575ea95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=nm4n0027?7d>o1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982e7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<;ke;392?6=8r.:544`;?!>>03;j?6`>20195f163-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=h;4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0a46>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?lc:l2645=9j=87)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9:0267>"?n90?9o64$9;;>4g53g;9=>4>c668 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397575e;95?0=83:p(<>ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l=4n0027?7d?<1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi=8j>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282g20<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`21a4=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651ba8j446;3;h;:5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=h>4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i;1e=??<:0a4<>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n3:l2645=9j=27)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104e0i2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=n9m;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=8mj:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k97c?=1282g2e<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`21f`=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`18j446;3;h;i5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6k>o0(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95feb28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m?5a1330>4e?82.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=n6>;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c36g7<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428i3>6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8?h?7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b:0?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13360(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95d5b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a50dc280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:o584$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:9ok51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9ji0b<<>3;3`<2=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c36fc<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a39m577428i346*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8?h<7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51b::?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<;m9;393?6=8r.:544`;?!>>03;ho6`>20195f>e3-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a50df280<6=4?{%33bg:3:&;b5<3=k20(577:0c1?k759:0:o5m4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:9ol51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h90b<<>3;3`o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e9:0267>"?n90?9o64$9;;>2e53g;9=>4>c9g8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397575c695?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=nm4n0027?7d0o1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982e7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<;m6;392?6=8r.:544`;?!>>03;j?6`>20195f?63-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=mh4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0a:6>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?lc:l2645=9j387)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9:0267>"?n90?9o64$9;;>4g53g;9=>4>c868 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397575c395?0=83:p(<>ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l=4n0027?7d1<1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi=8o6:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282g<0<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`21dg=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651ba8j446;3;h5:5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=mo4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i;1e=??<:0a:<>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n3:l2645=9j327)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104e>i2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=n7m;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=8o9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28k97c?=1282g21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`21d1=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651`18j446;3;h5i5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6k0o0(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95feb28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m?5a1330>4ef82.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=no>;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c36=d<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428ij>6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8?2n7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51bc0?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13360(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95d5b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a50?2280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:ol84$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:94851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9ji0b<<>3;3`e2=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c36=2<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a39m577428ij46*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8?247?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51bc:?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<;61;393?6=8r.:544`;?!>>03;ho6`>20195fge3-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a50?5280<6=4?{%33bg:3:&;b5<3=k20(577:0c1?k759:0:olm4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:94=51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h90b<<>3;3`ea=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e9<2i6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>c`g8 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975759a95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=nm4n0027?7dio1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982e7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<;7e;392?6=8r.:544`;?!>>03;j?6`>20195fd63-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=4;4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0aa6>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?lc:l2645=9jh87)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9<236<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g53g;9=>4>cc68 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975759;95?0=83:p(<>ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l=4n0027?7dj<1/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi=86>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282gg0<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`21=4=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651ba8j446;3;hn:5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=4>4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6i;1e=??<:0aa<>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247?n3:l2645=9jh27)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104eei2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=nlm;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=;66:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282gge<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`22=>=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446535:8j446;3;hni5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0aaa>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247=;8:l2645=9jhm7)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9?2>6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>cb28 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975769695?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021?964n0027?7dk81/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<872;393?6=8r.:544`;?!>>039?46`>20195fe43-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a53>6280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:on:4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::5>51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;=20b<<>3;3`g0=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c353c<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428ih:6*>09g9501a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8<N68ol0(<<>1;3316=#0o:188l7;%::<=51ba4?!770l0:9:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6kj20(<>7e;363c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>>i1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:971>b28?!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104edi2.:<5k5145e?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=nmm;%33<`<6=>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=;97:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282gfe<,8:3i7?:7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2221=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446535:8j446;3;hoi5+11:f>430n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:0a`a>"681o1=89i;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247=;8:l2645=9jim7)??8d8212`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9?=?6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>ce28 46?m3;>;k5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975766195?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021?964n0027?7dl81/==6j:074b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn<881;393?6=8r.:544`;?!>>039?46`>20195fb43-;;4h4>56d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a531e280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:oi:4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:::>51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;=20b<<>3;3``0=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1e`0<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548c39m577428io:6*>09g97cdb3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:lo;7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51bf4?!770l08jok4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>hl7;392?6=8r.:544`;?!>>03=346`>20195fb>3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;30g0=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;8o85a1330>4eci2.:<5k53g`f?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93f4b2:lii6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<455a1330>4eck2.:<5k53g`f?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th8jim51;694?6|,8:mn76ia:J25c><@8:mj6*iac827f3<,88:=7??529'"aij0:?n;4n0027?7dlm1/==6j:2daa>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e;oo;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>ceg8 46?m39mnh5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021;564n0027?7dlo1/==6j:2daa>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi?kk<:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282g`6<,8:3i7=ibd9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0b`2=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446579:8j446;3;hi<5+11:f>6`em2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:m=;o3156<6kl80(<>7e;1ef`=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93=>b2:lii6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a7cc>280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:0:oh:4$02;a?5ajl1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8jho51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?120b<<>3;3`a0=#992n6>hme:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e;ooh6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4>cd48 46?m39mnh5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021;564n0027?7dm>1/==6j:2daa>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi?kh?:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1282g`><,8:3i7=ibd9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`75t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651938j446;3;hi45+11:f>170l2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:5h4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<61>1e=??<:0afe>"681o18<9k;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95=6b2=;!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a04g7280<6=4?{%33bg:3:&;b5<3=k20(577:321?k759:0:ohm4$02;a?26?m1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?=l?51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0?;0b<<>3;3`aa=#992n69?8d:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6227<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=0e9m577428ini6*>09g97c`?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=;<57?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51bge?!770l08jk64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:o?7)?=1082405<,1l;69;m8:&;==<58h1/jlm512g7?k759:0:ok>4$02;a?25i=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9=544`;?!>>03>246`>20195f`63-;;4h4;2`68m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=8<44>:583>5}#99li65hn;I32b==O99lm7)hnb;30a1=#9;;:6<>:3:&;b5<3=k20(577:32b?!`fk3;8i95a1330>4ea:2.:<5k543c7?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:90<>b2=8j86g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a07be280<6=4?{%33bg:3:&;b5<3=k20(577:332?k759:0:ok:4$02;a?25i=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?>im51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9l30b<<>3;3`b0=#992n69o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<;n>6<4<:183!77nk03j45G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2153g;9=>4>cg48 46?m3>9m95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>1g:8L46an2.mmo4>32:8 44693;;9>5+8g2900d?3-2247983:&eef<6;:20b<<>3;3`b2=#992n6o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a5`gf280?6=4?{%33bg=6;%3154<68<90(5h?:57a<>"?110<;>5+f`a9565>3g;9=>4>cg:8 46?m3;n8?5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge2898;6*>203955343-2m<7::b99'<<>=?>90(kol:0103>h6:891=nh6;%33<`<6m=80e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74;?1/=??>:0267>"?n90?9o64$9;;>2143-ljo7?<379m577428imm6*>09g95`253`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th:il=51;694?6|,8:mn76ia:J25c><@8:mj6*iac82763<,88:=7??529'"aij0:?>;4n0027?7dnk1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9lk:6<4;:183!77nk03jl5G10d;?M77no1/jll51217?!75980:<8=4$9d3>13e02.35548729'bde=9:9?7c?=1282gce<,8:3i7?j439j<2`=83.:>21d95>=n01;1<7*>2039757n3;187>50z&24cd=0ok0D45402d:><=51bdg?!770l0:i9<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c3f==<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8?45+1332>462;2.3j=4;5c:8 =??2>=87)hnc;307<=i9;;8697d68f;29 446939;=6`>21d94>=n01:1<7*>2039757e8495?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6;::0(<<>1;3316=#0o:188l7;%::3228j446;3;hjk5+11:f>4c3:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=h7;:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>=87c?=1282`56<,8:3i7?j439j<2`=83.:>21d95>=n01;1<7*>2039757:3;187>50z&24cd=0ok0D454?2d:><=51e22?!770l0:i9<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c3f=5<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8?;5+1332>462;2.3j=4;5c:8 =??2>=87)hnc;3073=i9;;8697d68f;29 446939;=6`>21d94>=n01:1<7*>2039757e9g95?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6;:?0(<<>1;3316=#0o:188l7;%::3278j446;3;o<>5+11:f>4c3:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi=h6l:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956533-;9=<4>0418 =`72=?i46*7998436=#nhi1=>=;;o3156<6l9>0(<>7e;3f07=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj8o2h7?54;294~"68oh14ko4H03e<>N68ol0(kom:0104>"6:8;1==;<;%:e4?22j11/44657618 cgd2898<6`>20195a623-;;4h4>e508m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982`<=i9;;8621d94>=n01:1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>;<4n0027?7c8>1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982`d=i9;;8621d94>=n01:1<7*>20397571;g0=>=zj:?9j7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51e2:?!770l08>?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,13367e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn>;<1;393?6=8r.:544`;?!>>038=>6`>20195a6e3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a704d28086=4?{%33bg:3:&;b5<3=k20(577:0f;?k759:0:h=m4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`017d=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44652708j446;3;o645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=9=4>:583>5}#99li65hn;I32b==O99lm7)hnb;3066=#9;;:6<>:3:&;b5<3=k20(577:0f:?!`fk3;8>>5a1330>4b7m2.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93b2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?74::1/=??>:0267>"?n90?9o64$9;;>4bf3-ljo7?<229m577428n:<6*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0on0Dh6:891=i?>;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?8;<:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21>i7c?=1282`44<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`011c=9391<7>t$02ef?>a12B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651e:8j446;3;o=>5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c160a<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355489d9m577428n:86*>09g977433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;99?7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>d078 46?m388==5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>>5a1330>4b6>2.:<5k52233?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9?=h51;694?6|,8:mn76ia:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?jl0b<<>3;3g5==#992n6?<63:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a666b280<6=4?{%33bg:3:&;b5<3=k20(577:918j446;3;o=45+11:f>74>;2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31<7=#9;;:6<>:3:&;b5<3=k20(577:6`7?!`fk3;94?5a1330>4b6i2.:<5k52366?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22478m;o3156<6l8h0(<>7e;0100=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:957cb2;>:56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<;85a1330>4b6l2.:<5k5253:?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th98??51;794?6|,8:mn76ib:J25c><@8:mj6*iac82040<,88:=7??529'"aij0:8<84n0027?7c9l1/==6j:362=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c075g<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>2d9m577428n:j6*>09g9617>3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;>:o7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51e03?!770l098<74i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-ljn7??6*7f1871g><,1336>>9;%dbg?74jo1e=??<:0f15>"681o1>9?6;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?9?5;392?6=8r.:544`;?!>>039?n6`>20195a453-;;4h4=51;8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;3132=#9;;:6<>:3:&;b5<3=k20(577:cc8 cgd288<;6`>20195a443-;;4h4=51;8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336>:m;o3156<6l;>0(<>7e;064<=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?9?6;391?6=8r.:440?2.:>a83>>n55+88:9fd=#nhi1=?98;o3156<6l;?0(<>7e;064<=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`135?=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446535`8j446;3;o>;5+11:f>73712c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;==7)?=1082405<,1l;69;m8:&;==2648j446;3;o>:5+11:f>73712c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799800g=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`135g=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>:84$0025?77=:1/4k>544`;?!>>03hj7)hnc;3133=i9;;8621d94>=n01:1<7*>20397571;g0=>=zj;=;h7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51e0b?!770l099=74i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-ljn7?=749'577628:>?6*7f1871g><,1336oo4$gc`>440=2d:><=51e0a?!770l099=74i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>:>i:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2:>i7c?=1282`7e<,8:3i7<:089j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj;=;i7?55;294~"68oh14kl4H03e<>N68ol0(kom:0041>"6:8;1==;<;%:e4?22j11/4465b`9'bde=9;=>7c?=1282`7b<,8:3i7<:089j<2`=83.:>21d95>=n01;1<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021?9l4n0027?7c:l1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi>:??:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957133-;9=<4>0418 =`72=?i46*7998ae>"aij0:>::4n0027?7c:o1/==6j:373=>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c0456<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<4c9m577428n8<6*>09g9606>3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:>>0(<<>1;3316=#0o:188l7;%::09g9606>3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0o30D6:&2647=99?87)6i0;66f==#00218594$gc`>426>2d:><=51e11?!770l099=74i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e:>:;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7gb2;?;56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109m6`>20195a533-;;4h4=51;8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6`15280<6=4?{%33bg:3:&;b5<3=k20(577:318j446;3;o?85+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5;2d:><=51e15?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?=4n0027?7c;>1/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-ljn7?<4b9'577628:>?6*7f1871g><,1336"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`1a3`=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658768j446;3;o?45+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5;2d:><=51e1b?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336n=4n0027?7c;k1/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi>h6n:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>=i7c?=1282`6e<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj::jm7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51e1g?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?;4n0027?7c;l1/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;4c=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`1a=b=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446580`8j446;3;o8=5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<48j1e=??<:0f75>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<4db2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?11084b3;2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th9i:951;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=08h0b<<>3;3g01=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0f33<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<0b9m577428n?96*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799804f=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`1a=7=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44653148j446;3;o855+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799804f=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`1a2`=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446580`8j446;3;o8l5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<48j1e=??<:0f7f>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93a?b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a6`1e280<6=4?{%33bg:3:&;b5<3=k20(577:0da?k759:0:h9j4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9i:o51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?>h0b<<>3;3g0`=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:l=26<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4`e3g;9=>4>d5d8 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021;:l4n0027?7c=91/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi>h6i:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28li7c?=1282`07<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1a=c=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446576`8j446;3;o9?5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13367e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1=7850;2x 46aj32mo6F>1g:8L46an2.:>a83>>n55+88:932db2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a6`?0280<6=4?{%33bg:3:&;b5<3=k20(577:0da?k759:0:h8;4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9i4851;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?>h0b<<>3;3g13=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:l3:6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4`e3g;9=>4>d458 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021;:l4n0027?7c=11/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi>h7n:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956g43-;9=<4>0418 =`72=?i46*79984ec=#nhi1=>o<;o3156<6l<30(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj;o257?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::1<2d:><=51e7b?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,13365=n;o3156<6l7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn>?md;393?6=8r.:544`;?!>>032=o6`>20195a3d3-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a74d>280<6=4?{%33bg:3:&;b5<3=k20(577:908j446;3;o9i5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0l;1e=??<:0f6a>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93gcb2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==j1e=??<:0f54>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<<;o3156<6l?;0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95g?b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6>01e=??<:0f57>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95f0b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a75cc280<6=4?{%33bg:3:&;b5<3=k20(577:6g:?k759:0:h;;4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8=:651;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9mi0b<<>3;3g23=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c123<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<0:l2645=9m<<7)??8d81a3>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;8=j6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4303g;9=>4>d7:8 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<<;o3156<6l?30(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:966=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?ok6;393?6=8r.:544`;?!>>03887c?=1282`3d<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1ea1=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?9l4$0025?77=:1/4k>544`;?!>>03;o56*iab8271db2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm2`f2>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,133658;;o3156<6l?n0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:966=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?ojd;392?6=8r.:544`;?!>>03i87c?=1282`3`<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj;kno7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51e53?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982b1=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?j?5;393?6=8r.:544`;?!>>038>7c?=1282`24<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1`50=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446581d8j446;3;o;>5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365?m;o3156<6l>>0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:975eb2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a6d`2280<6=4?{%33bg:3:&;b5<3=k20(577:93a?k759:0:h:84$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9mk:51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;9i0b<<>3;3g32=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:hn26<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=7e3g;9=>4>d6:8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021?=m4n0027?7c?01/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi>lji:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21;i7c?=1282`2g<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1eac=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446531a8j446;3;o;o5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336>>9;o3156<6l>i0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1e`4=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446531a8j446;3;o;i5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365?m;o3156<6l>o0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:975eb2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a6`73280=6=4?{%33bg:3:&;b5<3=k20(577:6f:?k759:0:h5>4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3cg`?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-2247?ib:l2645=9m2:7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:hnh6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>21e3g;9=>4>d908 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0on0Dh6:891=i6<;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>ljn:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>=i7c?=1282`=2<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj;km=7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51e:6?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:9m;o3156<6l1<0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?oi7;393?6=8r.:544`;?!>>03;mn6`>20195a>03-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6d`1280=6=4?{%33bg:3:&;b5<3=k20(577:65a?k759:0:h564$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3ce=?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-2247?ib:l2645=9m227)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:hl36<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>21e3g;9=>4>d9c8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0on0Dh6:891=i6m;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>lh=:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>=i7c?=1282`=e<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj;kmo7?54;294~"68oh14ko4H03e<>N68ol0(kom:01b6>"6:8;1==;<;%:e4?22j11/44657`d8 cgd289j>6`>20195a>c3-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;21=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?k>6;392?6=8r.:544`;?!>>0328m6`>20195a>a3-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==j1e=??<:0f:4>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22476=;o3156<6l0;0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93a4b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a6`74280>6=4?{%33bg:3:&;b5<3=k20(577:6`f?k759:0:h4=4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<3eb2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109?6`>20195a?23-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6a5e280=6=4?{%33bg"?110:;>5+f`a9573b3g;9=>4>d848 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0Dh6:891=i78;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:mn<6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>40>3g;9=>4>d8:8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D442m2d:><=51e;:?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984a<=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?jk0;393?6=8r.:544`;?!>>03;oo6`>20195a?e3-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6ab6280<6=4?{%33bg:3:&;b5<3=k20(577:228j446;3;o5n5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;300<=#9;;:6<>:3:&;b5<3=k20(577:074?!`fk3;8845a1330>4b>l2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9574b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109?6`>20195a?a3-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6250280<6=4?{%33bg:3:&;b5<3=k20(577:318j446;3;om=5+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5;2d:><=51ec2?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:>j7)?=1082405<,1l;69;m8:&;==<6l01/jlm5126b?k759:0:hl<4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn?9<3;393?6=8r.:544`;?!>>032=86`>20195ag43-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6255280<6=4?{%33bg:3:&;b5<3=k20(577:318j446;3;om95+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=51ec6?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799843g=i9;;868h7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`1=30=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651g68j446;3;om:5+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5=2d:><=51ec;?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,13365>i;o3156<6lh30(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?9:1;393?6=8r.:544`;?!>>032:n6`>20195agf3-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6237280=6=4?{%33bg:3:&;b5<3=k20(577:22`?k759:0:hll4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3563?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22476>b:l2645=9mkh7)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:>?=6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>66d3g;9=>4>d`f8 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0on0Dh6:891=ioj;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>:=n:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2::h7c?=1282`d`<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj;=?=7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::6j2d:><=51e`3?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336>>l;o3156<6lk;0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?9;5;391?6=8r.:544`;?!>>039;:6`>20195ad53-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336>>l;o3156<6lk90(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?9;3;393?6=8r.:544`;?!>>032:n6`>20195ad33-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6225280=6=4?{%33bg:3:&;b5<3=k20(577:22`?k759:0:ho;4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3c42?7=>3:10g`90gd8 44693;;9>5+8g2900d?3-22479k9:l2645=9mh=7)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:jo5a1330>4be?2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=il7;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c047a<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>fc9m577428ni56*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;=8o7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51e`b?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982bg=i9;;868h7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?9:2;392?6=8r.:544`;?!>>03=20195add3-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6nk1e=??<:0fa`>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-224798b:l2645=9mhn7)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:jo5a1330>4ben2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891=im?;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c0410<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>fc9m577428nh=6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;=>87?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51ea1?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-ljn7??6*7f1871g><,1336:oi;%dbg?74i81e=??<:0f`7>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`130b=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658768j446;3;oo95+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;=="681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>=1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:9<3eb2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103>6`>20195ae03-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6d13280=6=4?{%33bg:3:&;b5<3=k20(577:6f1?k759:0:hn64$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3c41?7==3:10g`90gd8 44693;;9>5+8g2900d?3-22479me:l2645=9mi27)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6d50280<6=4?{%33bg:3:&;b5<3=k20(577:94`?k759:0:hno4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9m>851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=::1e=??<:0f`f>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 cge288>h6*>203955343-2m<7::b99'<<>=9>90(kol:006`>h6:891=iml;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c0b7d<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>b89m577428nhh6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oi0Dh6:891=imj;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c0:e3<62?0;6=u+11da>=`d3A;:j55G11de?!`fj3;99i5+1332>462;2.3j=4;5c:8 =??28i=7)hnc;311a=i9;;868h7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`1=d1=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657d;8j446;3;oh=5+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6lj1e=??<:0fg5>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247=?;o3156<6lm80(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1=7:50;2x 46aj32mm6F>1g:8L46an2.mmo4>35:8 44693;;9>5+8g2900d?3-2247?:7:&eef<6;=20b<<>3;3g`6=#992n6?9=c:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a6:3:&;b5<3=k20(577:001?k759:0:hi:4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:<5651;094?6|,8:mn7675:J25c><@8:mj6*>20397"?n90?9o64n0027?7cl<1/==6j:0a10>o??l0;6)?=108;<<=`5>32wi==8<:081>5<7s-;;jo47849K54`?3A;;jk5+1332>6?f3A2n46*7e`8;<<=#0o:188l7;o3156<6lm<0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn::9:187>7<3s-;;jo47e79K54`?3A;;jk5+8g2900d?3g;;;o4:0:l2645=9mn<7)??8d82g725;*:f0?6=3k2397?52;294~"68oh145;4$0025?5>i2B3i55+8dc9<=?<,1l;69;m8:l2645=9mn37)??8d82g72=>>32ei:44?:%3154!75980?;k5G1333?>o??l0;6)?=108;<<=0m27n>=477d9~wg0>2909wSl99:?;<001v5k;:180[>b<27348477d9>a76=j?30qpl84283>1<52=q/==hm:9g5?M76n11C==hi;%:e4?22j11e==9m:428j446;3;ohl5+11:f>4e5<2c3;h4?::k24g4=831dn;750;9(<`2=831i45;51;094?6|,8:mn7675:&2647=;0k0D5k7;%:fe?>?12.3j=4;5c:8j446;3;oho5+11:f>4e5<2c3;h4?:%3154ib;g14>"6:8;1==h9;I:f<>"?mh0n?45+8g2900d?3g;9=>4>dea8 46?m3;h>95f11`1>5<#9;;:699i;I3155==>>32ei:44?:I33b2=#9;;:6h=6;:p55d52909wS??b39>a76=99h97p}77d83>7}Y0>o01h00;6?uQb7;89=>22k<27p}7e583>6}Y0l>0156::95f?8c583h=56srb663>5<32;0?w)??fc8;a3=O98l37E??fg9'h6:891=ijk;%33<`<6k;>0e59j:188m46e:3:17bl99;29?.>b<3:17o675;396?6=8r.:h6:891=ijj;%33<`<6k;>0e59j:18'5776212276am6883>!75980n?454}cg14?7=;3:10g`9a76<,88:=7??f79K<`><,1oj6h=6;%:e4?22j11e=??<:0fgb>"681o1=n<;;h33f7<72-;9=<4;7g9K577732c3;h4?:%31545<5sW2;?0<0i:45rs9g7>5<4sW2n8637848;3`=:m;:1n;74}|`47a<72=0969u+11da>=c13A;:j55G11de?!>a83>>n55a115a>06b28i986g77d83>>o68k81<75`b7;94?=,0l>1<75m89795?4=83:p(<>ib;:;1>"6:8;1?4o4H9g;?!>bi32356*7f1871g>b28i986g77d83>!7598034454oc4:>5<#9;;:6h=6;:aa76=9391<7>t$02ef?c582.:>b02.3il4j389':55e?M759910e59j:18'5776212276am6883>M77n>1/=??>:d1:?>{t99h96=4={_33f7=:m;:1==l=;|q;3`<72;qU4:k4=d03>=1b3tyi:44?:3y]f3?<512>6o86;|q;a1<72:qU4h:4=9:6>=1b34o9<7l99:~f25f290?6?4;{%33bg<=51eg0?!770l0:o?:4i95f>5<7>5;n`5=?6=3"2n87>5;c:;1?7=:3:10g`9<=3<,88:=7=6a:J;a==#0lk14574$9d3>13e02d:><=51eg7?!770l0:o?:4i95f>5<#9;;:6566;:ma2<<72-;9=<4j3898ygc583;1?7>50z&24cd=m;:0(<<>1;33b3=O0l20(5kn:d1:?!>a83>>n55a1330>4bb=2.:<5k51b07?l77j;0;6)?=10873c=O9;;;76g77d83>!7598034454oc4:>55b7;8yxd0;>0;694=:5y'55`e21o=7E?>f99K55`a3-2m<7::b99m551e2<:0b<<>3;3ga3=#992n6t$02ef?>?=2.:>3;3ga2=#992n6290/=??>:d1:?>{em;:1=7=50;2x 46aj3o9<6*>203955`13A2n46*7e`8f7<=#0o:188l7;o3156<6ll20(<>7e;3`61=n99h96=4+1332>11a3A;9==54i95f>5<#9;;:6566;:ma2<<72A;;j:5+1332>`5>32wx==l=:181[77j;16i?>511`1?xu??l0;6?uQ86g89`4721=n7p}m6883>7}Yj?30156::c4:?xu?m=0;6>uQ8d689=>221=n70k=0;`5=>{zj><:6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k77?k0><6`>20195ac>3-;;4h4>c368m=1b2900e<>m2;29?jd113:17&6j4;29?g>?=3;1>7>50z&24cd=01?0(<<>1;1:e>N?m11/4ho589;8 =`72=?i46`>20195acf3-;;4h4>c368m=1b290/=??>:9::?>ie>00;6)?=108f7<=4$0025?77n?1C4h64$9gb>`5>3-2m<7::b99m577428nnn6*>09g95f433`;;n?4?:%3154<3?o1C=???;:k;3`<72-;9=<478898kg0>290C==h8;%31547}Y99h970k=0;33f7=z{1=n6=4={_:4a>;b:903;h5rsc4:>5<5sWh=5637848a2<=z{1o?6=4<{_:f0>;?0<03;h52e329f3?1}#99li65k9;I32b==O99lm7)6i0;66f==i99=i68>4n0027?7cmj1/==6j:0a10>o??l0;66g>0c094?=hj?31<75$8d694?=e01?1=7<50;2x 46aj32396*>20397"?n90?9o64n0027?7cmm1/==6j:0a10>o??l0;6)?=108;<<=`5>32wii?>51;194?6|,8:mn7k=0:&2647=99l=7E6j8:&;ad544`;?k759:0:hhk4$02;a?7d:=1b==l=:18'57762==m7E?=1198m=1b290/=??>:9::?>ie>00;6E??f69'57762l9276s|11`1>5<5sW;;n?52e32955d53ty3;h4?:3y]<2c<5l8;659j;|qa2<<72;qUn;74=9:6>g0>3ty3i94?:2y]<`2<512>659j;7<3s-;;jo47e79K54`?3A;;jk5+8g2900d?3g;;;o4:0:l2645=9mom7)??8d82g725;*:f0?6=3k2397?52;294~"68oh145;4$0025?5>i2B3i55+8dc9<=?<,1l;69;m8:l2645=9ml;7)??8d82g72=>>32ei:44?:%3154!75980?;k5G1333?>o??l0;6)?=108;<<=0m27n>=477d9~wg0>2909wSl99:?;<001v5k;:180[>b<27348477d9>a76=j?30qpl85983>1<52=q/==hm:9g5?M76n11C==hi;%:e4?22j11e==9m:428j446;3;oj?5+11:f>4e5<2c3;h4?::k24g4=831dn;750;9(<`2=831i45;51;094?6|,8:mn7675:&2647=;0k0D5k7;%:fe?>?12.3j=4;5c:8j446;3;oj>5+11:f>4e5<2c3;h4?:%3154ib;g14>"6:8;1==h9;I:f<>"?mh0n?45+8g2900d?3g;9=>4>dg68 46?m3;h>95f11`1>5<#9;;:699i;I3155==>>32ei:44?:I33b2=#9;;:6h=6;:p55d52909wS??b39>a76=99h97p}77d83>7}Y0>o01h00;6?uQb7;89=>22k<27p}7e583>6}Y0l>0156::95f?8c583h=56srb676>5<32;0?w)??fc8;a3=O98l37E??fg9'h6:891=ih:;%33<`<6k;>0e59j:188m46e:3:17bl99;29?.>b<3:17o675;396?6=8r.:h6:891=ih9;%33<`<6k;>0e59j:18'5776212276am6883>!75980n?454}cg14?7=;3:10g`9a76<,88:=7??f79K<`><,1oj6h=6;%:e4?22j11e=??<:0fe3>"681o1=n<;;h33f7<72-;9=<4;7g9K577732c3;h4?:%31545<5sW2;?0<0i:45rs9g7>5<4sW2n8637848;3`=:m;:1n;74}|`417<72=0969u+11da>=c13A;:j55G11de?!>a83>>n55a115a>06b28i986g77d83>>o68k81<75`b7;94?=,0l>1<75m89795?4=83:p(<>ib;:;1>"6:8;1?4o4H9g;?!>bi32356*7f1871g>b28i986g77d83>!7598034454oc4:>5<#9;;:6h=6;:aa76=9391<7>t$02ef?c582.:>b02.3il4j389':55e?M759910e59j:18'5776212276am6883>M77n>1/=??>:d1:?>{t99h96=4={_33f7=:m;:1==l=;|q;3`<72;qU4:k4=d03>=1b3tyi:44?:3y]f3?<512>6o86;|q;a1<72:qU4h:4=9:6>=1b34o9<7l99:~f22a290?6?4;{%33bg<=51eda?!770l0:o?:4i95f>5<7>5;n`5=?6=3"2n87>5;c:;1?7=:3:10g`9<=3<,88:=7=6a:J;a==#0lk14574$9d3>13e02d:><=51ed`?!770l0:o?:4i95f>5<#9;;:6566;:ma2<<72-;9=<4j3898ygc583;1?7>50z&24cd=m;:0(<<>1;33b3=O0l20(5kn:d1:?!>a83>>n55a1330>4bal2.:<5k51b07?l77j;0;6)?=10873c=O9;;;76g77d83>!7598034454oc4:>55b7;8yxd0f99K55`a3-2m<7::b99m551e2<:0b<<>3;3gb`=#992n6t$02ef?>?=2.:>3;3gbc=#992n6290/=??>:d1:?>{em;:1=7=50;2x 46aj3o9<6*>203955`13A2n46*7e`8f7<=#0o:188l7;o3156<6m9:0(<>7e;3`61=n99h96=4+1332>11a3A;9==54i95f>5<#9;;:6566;:ma2<<72A;;j:5+1332>`5>32wx==l=:181[77j;16i?>511`1?xu??l0;6?uQ86g89`4721=n7p}m6883>7}Yj?30156::c4:?xu?m=0;6>uQ8d689=>221=n70k=0;`5=>{zj>>26=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k77?k0><6`>20195`663-;;4h4>c368m=1b2900e<>m2;29?jd113:17&6j4;29?g>?=3;1>7>50z&24cd=01?0(<<>1;1:e>N?m11/4ho589;8 =`72=?i46`>20195`653-;;4h4>c368m=1b290/=??>:9::?>ie>00;6)?=108f7<=4$0025?77n?1C4h64$9gb>`5>3-2m<7::b99m577428o;?6*>09g95f433`;;n?4?:%3154<3?o1C=???;:k;3`<72-;9=<478898kg0>290C==h8;%31547}Y99h970k=0;33f7=z{1=n6=4={_:4a>;b:903;h5rsc4:>5<5sWh=5637848a2<=z{1o?6=4<{_:f0>;?0<03;h52e329f3?1}#99li65k9;I32b==O99lm7)6i0;66f==i99=i68>4n0027?7b8=1/==6j:0a10>o??l0;66g>0c094?=hj?31<75$8d694?=e01?1=7<50;2x 46aj32396*>20397"?n90?9o64n0027?7b8<1/==6j:0a10>o??l0;6)?=108;<<=`5>32wii?>51;194?6|,8:mn7k=0:&2647=99l=7E6j8:&;ad544`;?k759:0:i=84$02;a?7d:=1b==l=:18'57762==m7E?=1198m=1b290/=??>:9::?>ie>00;6E??f69'57762l9276s|11`1>5<5sW;;n?52e32955d53ty3;h4?:3y]<2c<5l8;659j;|qa2<<72;qUn;74=9:6>g0>3ty3i94?:2y]<`2<512>659j;8:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m9=0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>9:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m920(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>::081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m930(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:??:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m9k0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>i:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m9h0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>j:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m9i0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>k:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m9n0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>l:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m9o0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>m:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m9l0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>n:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8:0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>6:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8;0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>7:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m880(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:>;:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m890(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:?::081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8>0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:?;:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8?0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:=<:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8<0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:==:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8=0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:?8:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m820(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:?6:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m830(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:?m:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8k0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:?k:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8h0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:8::081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8i0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn:88:081>5<7s-;;jo4j1g9K54`?3A;;jk5+1332>6?f3A2n46*7e`8f7<=#0o:188l7;o3156<6m8n0(<>7e;3`61=n0>o1<7*>2039<=?<3fh=57>5$0025?c4121vn7;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9l;n7)??8d82g4014?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl>db295?0=83:p(<>ib;5`4>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577428o:j6*>09g95a753`9j>7>5$0025?25021b85950;&2647=01307b??3283>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th:hn;51;494?6|,8:mn79l0:J25c><@8:mj6*79987?!75980a83>>n55a1330>4c582.:<5k51e31?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3f;;?>4?:%315403c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6lj=1=7850;2x 46aj3=h<6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:0g15>"681o1=i?=;h1b6?6=,88:=7:=8:9j0=1=83.:>17f32c:!75980:<8:4;|`2`f?=93<1<7>t$02ef?1d82B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;869465f49594?"6:8;14574;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>dbg95?0=83:p(<>ib;5`4>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m577428o9?6*>09g95a753`9j>7>5$0025?25021b85950;&2647=01307b??3283>!75980n?454i53b>5<#9;;:69?n;:k247g=83.:>o3??0;6)?=1082402<3th:hio51;494?6|,8:mn79l0:J25c><@8:mj6*7998a=>"6:8;1;il4H0264>"?n90?9o64n0027?7b:=1/==6j:0f26>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;ln<6<49:183!77nk0ni7E??519'1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f05?83;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=51d05?!770l0>??94i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd2;<<1=7650;2x 46aj3=ho6F>1g:8L46an2.:>>03h27)6i0;66f==i9;;869465f49594?"6:8;14574;h3321<72-;9=<4>0868?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>08294?"6:8;1==7?;I33b`=:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>4c502.:<5k51cd6?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi=kkm:08;>5<7s-;;jo48cb9K54`?3A;;jk5+1332>461k2.3554m9:&;b5<3=k20b<<>3;3f6<=#992n60290/=??>:9::?>o68?>1<7*>203955?332e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j55?7290/=??>:02:4>N68oo07pl=14c95?>=83:p(<>ib;5`g>N69o20D<>if:&2647=99a83>>n55a1330>4c5i2.:<5k521f3?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;:94?:%3154<680>07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o680:1<7*>203955?73A;;jh54}c6624<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;869465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a003a28036=4?{%33bg<0kj1C=9c:&;==n5+11:f>134k2c8m?4?:%3154<3:110e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd3<0l1=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;3f6a=#992n69:7c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>20195`4b3-;;4h4;43c8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th89=>51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?7b:o1/==6j:2010>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>:i4;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=9l9;7)??8d8067214?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=3bc95?>=83:p(<>ib;5`=>N69o20D<>if:&2647=9975f=2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb31`0g`93fe<@8;m46F>0gd8 44693;;:n5+88:9f<=#0o:188l7;o3156<6m:80(<>7e;00e0=n;h81<7*>203907><3`>3;7>5$0025?>?121b==8;:18'577628:2865`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?77191C==hj;:a666?28036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4>e218 46?m389j85f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg45m:0:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:0g00>"681o1>?7<;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;8<;6<49:183!77nk0:0:&;b5<3=k20b<<>3;3f70=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;khm6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2`3b>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>715k2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?n??:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282a6><,8:3i7=md99j<2`=83.:>4;|`0g5e=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;n?45+11:f>6dc02c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0g0e>"681o1?oj7;h:4b?6=,88:=7=?1:l265`=821dn;750;&2647=m:307d670;29 446939;=6`>21d95>h68o91<65rb2a30g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9l9i7)??8d80fa>6663g;97290/=??>:222?k758o0:7c??f283?>{e;j:=6<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>e2a8 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg5d8;0:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn>m?0;397?6=8r.:544`;?!>>038:h6`>20195`5a3-;;4h4:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a7g`b28086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:i9>4$02;a?5el11b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3f04=#992n6>lk8:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c1abd<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428o?>6*>09g97gb?3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj:hm:7?53;294~"68oh14k64H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51d60?!770l08ni64i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6m=>0(<>7e;1a`==n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd4jo81=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2:ho46g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo=mf182>6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4c3>2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=h:8;%33<`<4jm20e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi?okl:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282a1><,8:3i7=md99j<2`=83.:>4;|`0f`g=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;n845+11:f>6dc02c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0g7e>"681o1?oj7;h:4b?6=,88:=7=?1:l265`=821dn;750;&2647=m:307d670;29 446939;=6`>21d95>h68o91<65rb2`f2?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9l>i7)??8d80fa>6663g;97290/=??>:222?k758o0:7c??f283?>{e;ko?6<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>e5a8 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg5d9j0:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn>m>a;397?6=8r.:544`;?!>>038:h6`>20195`2a3-;;4h4:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a7f7?28086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:i8>4$02;a?5el11b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3f14=#992n6>lk8:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c1`51<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428o>>6*>09g97gb?3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj:i:>7?53;294~"68oh14k64H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51d70?!770l08ni64i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6m<>0(<>7e;1a`==n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd4jo21=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2:ho46g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo:83`82>6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4c2>2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=h;8;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi8:=9:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282a0><,8:3i7::bb9j<2`=83.:>4;|`7362=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;n945+11:f>13ek2c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0g6e>"681o188ll;h:4b?6=,88:=7=?1:l265`=821dn;750;&2647=m:307d670;29 446939;=6`>21d95>h68o91<65rb5520?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9l?i7)??8d871ge6663g;97290/=??>:222?k758o0:7c??f283?>{e<>;96<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>e4a8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg208l0:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn99?c;397?6=8r.:544`;?!>>038:h6`>20195`3a3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a03be28086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:i;>4$02;a?22jj1b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3f24=#992n69;mc:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c65`0<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428o=>6*>09g900dd3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj=N68ol0(<<>1;3316=#0o:188l7;%::<=51d40?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6m?>0(<>7e;66ff=n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd3>jl1=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2=?io6g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo:9ce82>6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4c1>2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=h88;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi8;m6:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282a3><,8:3i7::bb9j<2`=83.:>4;|`72f1=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;n:45+11:f>13ek2c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5==o84>:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0g5e>"681o188ll;h:4b?6=,88:=7=?1:l265`=821dn;750;&2647=m:307d670;29 446939;=6`>21d95>h68o91<65rb54`7?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9l6663g;97290/=??>:222?k758o0:7c??f283?>{e:0267>"?n90?9o64$9;;>77c3g;9=>4>e7a8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>m1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg21m>0:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn98j5;397?6=8r.:544`;?!>>038:h6`>20195`0a3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a03c428086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:i:>4$02;a?22jj1b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3f34=#992n69;mc:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c65`c<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428o<>6*>09g900dd3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj=N68ol0(<<>1;3316=#0o:188l7;%::<=51d50?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6m>>0(<>7e;66ff=n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd59mo1=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2;;hh6g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo<>db82>6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4c0>2.:<5k520ag?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=h98;%33<`<59jn0e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282a2><,8:3i7<>ce9j<2`=83.:>4;|`15a0=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;n;45+11:f>77dl2c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0g4e>"681o1>21d95>h68o91<65rb33g6?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9l=i7)??8d815fb6663g;97290/=??>:222?k758o0:7c??f283?>{e:8n;6<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>e6a8 46?m38:oi5f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg47>m0:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn?>9b;397?6=8r.:544`;?!>>038:h6`>20195`1a3-;;4h4=04g8m=1a290/=??>:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a650>28086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:i5>4$02;a?47=l1b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3f<4=#992n6?>:e:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c0320<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428o3>6*>09g9653b3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj;:=?7?53;294~"68oh14k64H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51d:0?!770l09<8k4i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6m1>0(<>7e;031`=n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd3<=?1=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2=>8;6g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo::9582>6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4c?>2.:<5k544:5?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=h68;%33<`<3ie>00;6)?=108f7<=6663g;9;o33b6<732wi8>7<:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282a=><,8:3i7:<7g9j<2`=83.:>4;|`77<7=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;n445+11:f>150n2c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=84k4>:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0g;e>"681o18>9i;h:4b?6=,88:=7=?1:l265`=821dn;750;&2647=m:307d670;29 446939;=6`>21d95>h68o91<65rb51;`?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9l2i7)??8d8772`6663g;97290/=??>:222?k758o0:7c??f283?>{e<:2i6<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>e9a8 46?m3>8;k5f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg240>0:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn9=75;397?6=8r.:544`;?!>>038:h6`>20195`>a3-;;4h4;36d8m=1a290/=??>:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a06>428086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:i4>4$02;a?24?o1b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3f=4=#992n69=8f:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c60f7<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428o2>6*>09g9061a3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj=9i<7?53;294~"68oh14k64H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51d;0?!770l0??:h4i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6m0>0(<>7e;603c=n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd3;hi1=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2=9!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo:6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4c>>2.:<5k5425e?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=h78;%33<`<3;>l0e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi8>o9:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282a<><,8:3i7:<7g9j<2`=83.:>4;|`77d2=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;n545+11:f>150n2c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=:4=4>:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0g:e>"681o18<9k;h:4b?6=,88:=7=?1:l265`=821dn;750;&2647=m:307d670;29 446939;=6`>21d95>h68o91<65rb53;6?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9l3i7)??8d8752b6663g;97290/=??>:222?k758o0:7c??f283?>{e<82?6<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>e8a8 46?m3>:;i5f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg26010:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn9?7a;397?6=8r.:544`;?!>>038:h6`>20195`?a3-;;4h4;16f8m=1a290/=??>:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a04>d28086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:il>4$02;a?26?m1b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3fe4=#992n69?8d:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c631a<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428oj>6*>09g97c`?3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj=:>57?53;294~"68oh14k64H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51dc0?!770l08jk64i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6mh>0(<>7e;1eb==n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd381g:8L46an2.:>a83>>n55+88:964bb2:lm46g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo:?5282>6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4cf>2.:<5k53gd;?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=ho8;%33<`<4no20e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi8=:i:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282ad><,8:3i7=if99j<2`=83.:>4;|`741b=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;nm45+11:f>6`a02c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=;8o4>:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0gbe>"681o1?kh7;h:4b?6=,88:=7=?1:l265`=821dn;750;&2647=m:307d670;29 446939;=6`>21d95>h68o91<65rb527=?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9lki7)??8d80bc>6663g;97290/=??>:222?k758o0:7c??f283?>{e<9><6<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>e`a8 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg27<80:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn9>544`;?!>>038:h6`>20195`ga3-;;4h4:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a055c28086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:io>4$02;a?5an11b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757l51;194?6|,8:mn76i8:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3ff4=#992n6>hi8:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c637<<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428oi>6*>09g97c`?3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj=:8;7?53;294~"68oh14k64H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51d`0?!770l08jk64i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6mk>0(<>7e;1eb==n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd38:91=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2:lm46g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo:?3082>6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4ce>2.:<5k53gd;?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=hl8;%33<`<4no20e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi8=86:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282ag><,8:3i7=if99j<2`=83.:>4;|`7431=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;nn45+11:f>6`a02c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=;:84>:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0gae>"681o1?kh7;h:4b?6=,88:=7=?1:l265`=821dn;750;&2647=m:307d670;29 446939;=6`>21d95>h68o91<65rb5257?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9lhi7)??8d80bc>6663g;97290/=??>:222?k758o0:7c??f283?>{e<9<:6<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>eca8 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg27=k0:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn9>;5;397?6=8r.:544`;?!>>038:h6`>20195`da3-;;4h4:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a665028086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:in>4$02;a?44991b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3fg4=#992n6?=jf:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c01e`<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428oh>6*>09g967g73`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj;l8n7?53;294~"68oh14k64H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51da0?!770l09i;64i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6mj>0(<>7e;0f2==n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd5mo<1=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2;o=46g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4cd>2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=hm8;%33<`<5m?20e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi>hki:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282af><,8:3i74;|`1a`b=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;no45+11:f>7c102c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0g`e>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821dn;750;&2647=m:307d670;29 446939;=6`>21d95>h68o91<65rb3`:`?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9lii7)??8d81efg6663g;97290/=??>:222?k758o0:7c??f283?>{e:k?j6<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>eba8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg4e=?0:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn?l:3;397?6=8r.:544`;?!>>038:h6`>20195`ea3-;;4h4=abc8m=1a290/=??>:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a6g3628086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:ii>4$02;a?4fkh1b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3f`4=#992n6?ola:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c0a0a<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428oo>6*>09g96def3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj;=mj7?53;294~"68oh14k64H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51df0?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6mm>0(<>7e;046f=n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd5?kk1=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2;=9o6g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo<8b982>6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4cc>2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=hj8;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi>:l<:080>5<7s-;;jo47f99K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;o7c?=1282aa><,8:3i7<82b9j<2`=83.:>4;|`13g7=9391<7>t$02ef?>a02B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446520f8j446;3;nh45+11:f>715k2c3;k4?:%3154<4881e=?>i:198kg0>290/=??>:d1:?>o?090;6)?=108044=i9;:m6<5a11d0>5=:283>5}#99li65h7;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<59m1e=??<:0gge>"681o1>:21d95>h68o91<65rb2a0e?7=;3:10g`9<@8;m46F>0gd8 44693;;9>5+8g2900d?3-2247<>d:l2645=9lni7)??8d80fa>6663g;97290/=??>:222?k758o0:7c??f283?>{e<>>96<4<:183!77nk03j55G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>77c3g;9=>4>eea8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3fh=57>5$0025?c4121b45>50;&2647=;9;0b<ib;:e<>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54oc4:>5<#9;;:6h=6;:k;<5<72-;9=<4<009m576a281e==h<:198yg21nm0:6>4?:1y'55`e21l37E?>f99K55`a3-;9=<4>0418 =`72=?i46*799815a=i9;;8621d94>=hj?31<7*>2039a6?<3`23<7>5$0025?5792d:>=h51:l24c5=821vn??jb;397?6=8r.:544`;?!>>038:h6`>20195`ba3-;;4h4=1bf8m=1a290/=??>:222?k758o0;76am6883>!75980n?454i9:3>5<#9;;:6>>>;o314c<63g;;j>4?;:a651d28086=4?{%33bg:3:&;b5<3=k20(577:33g?k759:0:ih>4$02;a?47=l1b4:h50;&2647=;9;0b<1;g0=>=n01:1<7*>2039757<@8:mj6*>203955343-2m<7::b99'<<>=:8n0b<<>3;3fa4=#992n69=8f:k;3c<72-;9=<4<009m576a2910co86:18'57762l9276g78183>!759808<<5a132e>4=i99l86=54}c60f<<62:0;6=u+11da>=`?3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=1e9m577428on>6*>09g9061a3`25$0025?5792d:>=h50:9lf3?=83.:>?83:1(<<>1;135>h6:9l1=6`>0g194>=zj=;2n7?53;294~"68oh14k64H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51dg0?!770l0?=:j4i95e>5<#9;;:6>>>;o314c<732ei:44?:%31544<4290;w)??fc8;b==O98l37E??fg9'577628:>?6*7f1871g><,1336??k;o3156<6ml>0(<>7e;1eb==n0>l1<7*>20397574;n`5=?6=,88:=7k<9:9j<=6=83.:>i3;28?xd5n;;1=7=50;2x 46aj32m46F>1g:8L46an2.:>a83>>n55+88:964bb2;o=46g77g83>!759808<<5a132e>5=`5>32c34=4?:%3154<4881e=?>i:09m55`42910qo6<729q/==hm:9d;?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=i5a1330>4cb>2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65`b7;94?"6:8;1i>74;h:;4?6=,88:=7=?1:l265`=92d:50z&24cd=0o20Dh6:891=hk8;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>ie>00;6)?=108f7<=6663g;9;o33b6<732wi888=:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;:n7c?=1282a`><,8:3i7::3b9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021>=k4n0027?7bm01/==6j:31b1>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c673g<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.35548a49m577428onm6*>09g9014f3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021;l;4n0027?7bmk1/==6j:30:7>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi84k;:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;:>7c?=1282a`e<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=3n?7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51dgg?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336>:6;o3156<6mlo0(<>7e;6a33=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95deb2<8j:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0?<1e=??<:0ge4>"681o19?o9;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95c4b28i986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a7g42280=6=4?{%33bg:3:&;b5<3=k20(577:0d1?k759:0:ik<4$02;a?7d:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb40be?7=>3:10g`90gd8 44693;;9>5+8g2900d?3-2247o:;o3156<6mo90(<>7e;71e3=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn96j6;393?6=8r.:544`;?!>>032856`>20195``33-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7g57280>6=4?{%33bg79;%3154<68<90(5h?:57a<>"?110:955+f`a956?13g;9=>4>eg78 46?m3;h>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8n?k51;794?6|,8:mn76ib:J25c><@8:mj6*iac826ab<,88:=7??529'"aij0:>ij4n0027?7bn?1/==6j:0a10>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c1a6f<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;9hi5+1332>462;2.3j=4;5c:8 =??28?37)hnc;31`a=i9;;8621d94>=n01:1<7*>20397571;g0=>=zj:h9m7?55;294~"68oh14kl4H03e<>N68ol0(kom:00gg>"6:8;1==;<;%:e4?22j11/446514:8 cgd288oo6`>20195``?3-;;4h4>c368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;nh7)?=1082405<,1l;69;m8:&;==<6=11/jlm513f`?k759:0:ik74$02;a?7d:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:965`b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:ma2<<72-;9=<4j3898yg34080:694?:1y'55`e21lj7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984e4=i9;;8621d94>=n01:1<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021585a1330>4cak2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th89=951;194?6|,8:mn76i9:J25c><@8:mj6*iac8273e<,88:=7??529'"aij0:?;m4n0027?7bnm1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?741<1/=??>:0267>"?n90?9o64$9;;>2gd3-ljo7?<949m577428omi6*>09g95f433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th8n<@8:mj6*>203955343-2m<7::b99'<<>=91?0b<<>3;3fbc=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1a5f<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<229m577428l;<6*>09g95f433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:h:n7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g22?!770l0:o?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336><<;o3156<6n980(<>7e;3`61=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9775b28i986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108>>5a1330>4`7<2.:<5k51b07?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=k>:;%33<`<6k;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?o5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;>=7c?=1282b50<,8:3i7?l259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0f7d=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44652548j446;3;m<:5+11:f>4e5<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5"681o1=n<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<;6:l2645=9o:27)??8d82g726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;k986<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7213g;9=>4>f1c8 46?m3;h>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>984n0027?7a8k1/==6j:0a10>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998105=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`0f62=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44652528j446;3;m4e5<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336?:?;o3156<6n9o0(<>7e;3`61=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn>l=6;392?6=8r.:544`;?!>>038?<6`>20195c6a3-;;4h4>c368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=>4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5<91e=??<:0d24>"681o1=n<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9616b28i986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a600a280?6=4?{%33bg:3:&;b5<3=k20(577:0`0?k759:0:j<<4$02;a?42801b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn>l?6;393?6=8r.:544`;?!>>0399?6`>20195c743-;;4h4>c368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7g62280<6=4?{%33bg:3:&;b5<3=k20(577:200?k759:0:j<:4$02;a?7d:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8n=?51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;;90b<<>3;3e50=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1bbc<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<229m577428l::6*>09g95f433`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:kmi7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g34?!770l0:o?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336><<;o3156<6n820(<>7e;3`61=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9775b28i986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108>>5a1330>4`6i2.:<5k51b07?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=k?m;%33<`<6k;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?lh6:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2:887c?=1282b4e<,8:3i7?l259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0ec>=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44653318j446;3;m=i5+11:f>4e5<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<4::1e=??<:0d2a>"681o1=n<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247==3:l2645=9o;m7)??8d82g726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;k;m6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>6443g;9=>4>f328 46?m3;h>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214=k4n0027?7a:81/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;4`=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9o>e;393?6=8r.:544`;?!>>032?86`>20195c443-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a17d6280<6=4?{%33bg:3:&;b5<3=k20(577:944?k759:0:j?:4$02;a?35i?1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?5n951;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?1:0b<<>3;3e60=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6:g3<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35547219m577428l9:6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=38j7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g04?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94?:1y'55`e21l27E?>f99K55`a3-ljn7?;119'577628:>?6*7f1871g><,1336"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj=k?j7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g0:?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:3?7)?=1082405<,1l;69;m8:&;==<0ij1/jlm512;7?k759:0:j?o4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9797;393?6=8r.:544`;?!>>03>hi6`>20195c4e3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0dec280?6=4?{%33bg6j;%3154<68<90(5h?:57a<>"?110b3g;9=>4>f3a8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:le:l2645=9o8o7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e13e02.35548ab9'bde=9:387c?=1282b7c<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0on0Dh6:891=ko?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8lk::087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956?53-;9=<4>0418 =`72=?i46*79984ef=#nhi1=>7=;o3156<6n::0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=kn87?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g12?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:3:7)?=1082405<,1l;69;m8:&;==<0ij1/jlm512;2?k759:0:j><4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9oi0;393?6=8r.:544`;?!>>03>hi6`>20195c543-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0d`c280?6=4?{%33bg7?;%3154<68<90(5h?:57a<>"?1104>f268 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:le:l2645=9o9>7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e13e02.35548ab9'bde=9:2m7c?=1282b60<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0on0Dh6:891=k=8;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8o?::087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956>c3-;9=<4>0418 =`72=?i46*79984ef=#nhi1=>6k;o3156<6n:20(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=h:87?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g1:?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365l4n0027?7a;h1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984=2=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?h=f;392?6=8r.:544`;?!>>03>246`>20195c5d3-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3111e=??<:0d0`>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:90<>b2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a5`02280>6=4?{%33bg:3:&;b5<3=k20(577:6f8j446;3;m?k5+11:f>4c3:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9o0:684?:1y'55`e21li7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984`>h6:891=k:?;%33<`<6m=80e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e9l=;6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2bb28o?>6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0l2d:><=51g61?!770l0:i9<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi=h9=:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>n0b<<>3;3e06=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?11020195c233-;;4h4>e508m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336:j4n0027?7a<<1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c3f30<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.35548d:l2645=9o>=7)??8d82a146663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a5`11280>6=4?{%33bg:3:&;b5<3=k20(577:6f8j446;3;m8:5+11:f>4c3:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984`>h6:891=k:7;%33<`<6ll30e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e9l=<6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2bb28o?>6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0l2d:><=51g6b?!770l0:hh74i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi=h89:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>n0b<<>3;3e0g=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?11020195c2d3-;;4h4>dd;8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336:j4n0027?7ao??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c3gbc<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.35548d:l2645=9o>n7)??8d82``?6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a5`0?280>6=4?{%33bg:3:&;b5<3=k20(577:6f8j446;3;m8k5+11:f>4c3:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984`>h6:891=k;?;%33<`<6ll30e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e9l<26<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2bb28o?>6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0l2d:><=51g71?!770l0:hh74i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi=h8n:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>n0b<<>3;3e16=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?11020195c333-;;4h4>dd;8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336:j4n0027?7a=<1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c3f46<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.35548d:l2645=9o?=7)??8d82``?6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a5`0d280>6=4?{%33bg:3:&;b5<3=k20(577:6f8j446;3;m9:5+11:f>4c3:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984`>h6:891=k;7;%33<`<6ll30e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e9l:>6<4<:183!77nk03j45G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2153g;9=>4>f4;8 46?m3;oi45f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93<0b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<5;5a1330>4`2j2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?m=h51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=<;1e=??<:0d6`>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<>2:l2645=9o?n7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<02n6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4463g;9=>4>f4d8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=??4n0027?7a>91/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9?l0:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998264=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn976f;393?6=8r.:544`;?!>>03;9=6`>20195c053-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0:3:&;b5<3=k20(577:002?k759:0:j;=4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?5n:51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?l1e=??<:0d50>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<>2:l2645=9o<>7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;j?m6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2d>3g;9=>4>f748 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22479m9:l2645=9o<<7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0j01e=??<:0d5<>"681o1?oj7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`0g31=93>1<7>t$02ef?>ai2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657c;8j446;3;m:45+11:f>6dc02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi?n87:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>h27c?=1282b3g<,8:3i7=md99j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0Dh6:891=k8m;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?1104`1k2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93g?b2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm3b4`>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336:l6;o3156<6n?o0(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj:i=h7?54;294~"68oh14ko4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g4e?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c1`25<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.35548b89m577428l<<6*>09g97gb?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th8o;?51;694?6|,8:mn76ia:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?k30b<<>3;3e34=#992n6>lk8:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a7f05280?6=4?{%33bg:3:&;b5<3=k20(577:6`:?k759:0:j:<4$02;a?5el11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn>m93;390?6=8r.:544`;?!>>03=i56`>20195c143-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9=0:694?:1y'55`e21lj7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799846>h6:891=k9;;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?110m:6`>20195c123-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336<=k;o3156<6n><0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`726>=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446512f8j446;3;m;:5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799827a=i9;;8621d94>=n01:1<7*>20397571;g0=>=zj=<8o7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g5:?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8;=k:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??289o7c?=1282b2g<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021=>j4n0027?7a?k1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c657c<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>3e9m577428l09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0Dh6:891=k9k;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>45c3g;9=>4>f6g8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?:?=51;794?6|,8:mn76ib:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9:n0b<<>3;3e3c=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:?i5a1330>4`?82.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a0341280>6=4?{%33bg:3:&;b5<3=k20(577:01g?k759:0:j5<4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>;=1=7;50;2x 46aj32mn6F>1g:8L46an2.:>a83>>n55+88:956bb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<==>54>:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6;m1e=??<:0d;0>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn98=9;391?6=8r.:544`;?!>>03;8h6`>20195c>23-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336<=k;o3156<6n1<0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`727d=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446512f8j446;3;m4:5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799827a=i9;;8621d94>=n01:1<7*>20397571;g0=>=zj=<9i7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g::?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8;5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??289o7c?=1282b=g<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021=>j4n0027?7a0k1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6574<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>3e9m577428l3o6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0Dh6:891=k6k;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>45c3g;9=>4>f9g8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?:>:51;794?6|,8:mn76ib:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9:n0b<<>3;3eo?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:?i5a1330>4`>82.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a0350280>6=4?{%33bg:3:&;b5<3=k20(577:01g?k759:0:j4<4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>:31=7;50;2x 46aj32mn6F>1g:8L46an2.:>a83>>n55+88:956bb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<==?l4>:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6;m1e=??<:0d:0>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn9673;392?6=8r.:544`;?!>>03=j?6`>20195c?23-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?l1e=??<:0d:2>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<8e:l2645=9o3<7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:h:36<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71b3g;9=>4>f8:8 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021;l=4n0027?7a101/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8o;m:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``9573f3-;9=<4>0418 =`72=?i46*79982`1=#nhi1=?;n;o3156<6n0k0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7f0g=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465609m577428l2n6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=9m;7?54;294~"68oh14ko4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51g;`?!770l0??:h4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c60b3<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>f19m577428l2h6*>09g9061a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:in>7?56;294~"68oh14km4H03e<>N68ol0(kom:0167>"6:8;1==;<;%:e4?22j11/44657d`8 cgd289>?6`>20195c?b3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=2;;4>:783>5}#99li65hl;I32b==O99lm7)hnb;31b2=#9;;:6<>:3:&;b5<3=k20(577:948 cgd288m;6`>20195c?a3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=39:4>:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0ml1e=??<:0db4>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn8<=5;393?6=8r.:544`;?!>>038<=6`>20195cg63-;;4h4:11`8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a02ed280<6=4?{%33bg:3:&;b5<3=k20(577:6``?k759:0:jl<4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th89?<51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;91e=??<:0db7>"681o1??<;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247982:l2645=9ok?7)??8d82a146663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336i=4n0027?7ai<1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984e6=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`0bg`=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465599m577428lj;6*>09g97cdb3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:lh>7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>f`:8 46?m39mnh5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021??5a1330>4`f12.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9?n;51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;91e=??<:0dbf>"681o1>>o:;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<:;o3156<6nhi0(<>7e;667f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:960=i9;;867d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn8<>6;392?6=8r.:544`;?!>>03;=?6`>20195cgb3-;;4h4:11`8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0lj1e=??<:0dbb>"681o19<>m;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224796d:l2645=9oh;7)??8d8655d6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e13e02.3554l0:&eef<6:ll0b<<>3;3ef4=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<0>36<4::183!77nk03jo5G10d;?M77no1/jll5137;?!75980:<8=4$9d3>13e02.3554>8g9'bde=9;?37c?=1282bg4<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;53=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn544`;?!>>03=27c?=1282bg3<,8:3i7?i609j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`14`0=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465789m577428li:6*>09g965b73`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=>?=7?56;294~"68oh14km4H03e<>N68ol0(kom:004b>"6:8;1==;<;%:e4?22j11/446526;8 cgd28820195cd03-;;4h4;4258m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)hnb;31<5=#9;;:6<>:3:&;b5<3=k20(577:35:?!`fk3;94=5a1330>4`e02.:<5k523c3?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?8>851;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=001e=??<:0da=>"681o18950;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:91<=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9:<4;392?6=8r.:544`;?!>>03>o7c?=1282bgd<,8:3i7:;2`9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj;82j7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::>3g;9=>4>fca8 46?m3895>5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757j3;1;7>50z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9>4j51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>="681o1>?7<;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:902=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?m9b;393?6=8r.:544`;?!>>03><7c?=1282bf6<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465469m577428lh=6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:;=>7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>fb08 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;85a1330>4`d;2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?o;:51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9l?0b<<>3;3eg0=#992n69l86:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6a2g<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355471`9m577428lh:6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=h8h7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>fb58 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021;k=4n0027?7ak11/==6j:5d0b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6;f<<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=619m577428lh56*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&eeg<6:1;3316=#0o:188l7;%::i2.mmn4>24c8j446;3;mol5+11:f>1d0>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336om4n0027?7akk1/==6j:2`g<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-ljn7?=7e9'577628:>?6*7f1871g><,1336;=4$gc`>440l2d:><=51ga`?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8?j9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;?0b<<>3;3ega=#992n69o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6;<3<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;9n>5+1332>462;2.3j=4;5c:8 =??28in7)hnc;31f6=i9;;8621d94>=n01:1<7*>20397571;g0=>=zj8l<>7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>fbd8 46?m3;m:<5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9<@8:mj6*>203955343-2m<7::b99'<<>=jh1e=??<:0dg4>"681o1>=j?;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn9k;3;392?6=8r.:544`;?!>>03k97c?=1282ba7<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:92<7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4>fe08 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th:on=51;794?6|,8:mn76ib:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9<20b<<>3;3e`6=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?74j01/=??>:0267>"?n90?9o64$9;;>d?<,okh6<=m9:l2645=9on?7)??8d867716663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a5111280<6=4?{%33bg:3:&;b5<3=k20(577:65g?k759:0:ji;4$02;a?73>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:8:;51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?>n0b<<>3;3e`3=#992n6<:98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3731<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355487e9m577428lo;6*>09g9510?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8>N68ol0(<<>1;3316=#0o:188l7;%::<=51gf;?!770l0:8;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:9k;o3156<6nm30(<>7e;372==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>;1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:932bb28>=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<;i5a1330>4`cj2.:<5k5154;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891=kjl;%33<`<6o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=99k:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>=o7c?=1282bab<,8:3i7?;699j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`202d=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446576f8j446;3;mhh5+11:f>42102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0?m1e=??<:0dgb>"681o1=987;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224798d:l2645=9oo;7)??8d8203>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9==36<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>21c3g;9=>4>fd38 46?m3;?:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975746595?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;:j4n0027?7am;1/==6j:065<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799843a=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>?l7;391?6=8r.:441=2.:>a83>>n55+88:93=2<,okh6<<95:l2645=9oo?7)??8d81a3>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6`7a280>6=4?{%33bg"?110<495+f`a957033g;9=>4>fd78 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9m5?51;794?6|,8:mn76ib:J25c><@8:mj6*iac82635<,88:=7??529'"aij0:>;=4n0027?7am?1/==6j:351g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c12fc<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<4`9m577428ln;6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;o:;7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=51gg;?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336>:n;o3156<6nl30(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95aeb2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1102=6`>20195cce3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0:3:&;b5<3=k20(577:035?k759:0:jhm4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5;fe?7=>3:10g`90gd8 44693;;9>5+8g2900d?3-2247?>6:l2645=9ooo7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:=;5a1330>4`bm2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?m4:51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=98<0b<<>3;3eac=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{ej6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4713g;9=>4>fg28 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D4>fg38 46?m3>>4;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D4>fg08 46?m388ik5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb402`?7=>3:10g`90gd8 44693;;9>5+8g2900d?3-2247;j;o3156<6no>0(<>7e;724g=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn8<>0;393?6=8r.:544`;?!>>032;86`>20195c`23-;;4h4:11`8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0gd0280>6=4?{%33bg"?110:::5+f`a957ba3g;9=>4>fg48 46?m3>i;;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?n4o51;794?6|,8:mn76ib:J25c><@8:mj6*iac826a`<,88:=7??529'"aij0:>ih4n0027?7an>1/==6j:5`42>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c7077<62?0;6=u+11da>=`d3A;:j55G11de?!`fj3;9:45+1332>462;2.3j=4;5c:8 =??21;97)hnc;312<=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`0`5c=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657ed8j446;3;mj45+11:f>6dc02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=<>:4>:783>5}#99li65hl;I32b==O99lm7)hnb;3012=#9;;:6<>:3:&;b5<3=k20(577:024?!`fk3;89:5a1330>4`ai2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?59951;794?6|,8:mn76ib:J25c><@8:mj6*iac82710<,88:=7??529'"aij0:?984n0027?7ank1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6:<<<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;88;5+1332>462;2.3j=4;5c:8 =??28nj7)hnc;3003=i9;;8621d94>=n01:1<7*>20397571;g0=>=zj=3jm7?55;294~"68oh14kl4H03e<>N68ol0(kom:0171>"6:8;1==;<;%:e4?22j11/44651ec8 cgd289?96`>20195c`c3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9:>>7)?=1082405<,1l;69;m8:&;==<6lh1/jlm51266?k759:0:jkk4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:977=i9;;8621d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn96=c;391?6=8r.:441n2.:>a83>>n55+88:93`g<,okh6<<9f:l2645=:9:;7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a0=4c280>6=4?{%33bg"?1104=0138 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8oo:51;494?6|,8:mn76ic:J25c><@8:mj6*iac8260e<,88:=7??529'"aij0:>8m4n0027?478;1/==6j:2`g<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi?==7:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957>23-;9=<4>0418 =`72=?i46*79982`d=#nhi1=?6:;o3156<58990(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1g<4=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>5:4$0025?77=:1/4k>544`;?!>>03;om6*iab826=2b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31<6=#9;;:6<>:3:&;b5<3=k20(577:0fb?!`fk3;94>5a1330>767=2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:l8:l2645=:9:=7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<1>36<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1e?3g;9=>4=0158 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00218n64n0027?47811/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79987g==i9;;86?>?9:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn8:=a;391?6=8r.:544`;?!>>03>2>6`>2019656f3-;;4h4:43;8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336<=l;o3156<589h0(<>7e;603c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446580f8j446;38;13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=3844>:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5;m1e=??<:323`>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn96;a;391?6=8r.:544`;?!>>0388h6`>2019656b3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336?=k;o3156<589l0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7b14=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?;74$0025?77=:1/4k>544`;?!>>032<7)hnc;302<=i9;;86?>>0:&24=c=21d94>=n01:1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00218:5a1330>76692.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0D44e;2d:><=52131?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;3>h6:891>=?<;%33<`<6m=80e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103;6`>201965733-;;4h4>e508m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;3>h6:891>=?:;%33<`<6m=80e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?110766>2.:<5k54c55?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224768;o3156<588=0(<>7e;3f07=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj8o?i7?54;294~"68oh14ko4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::03g;9=>4=00:8 46?m3;n8?5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224768;o3156<58830(<>7e;3f07=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj8o><7?54;294~"68oh14ko4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::03g;9=>4=00c8 46?m3;n8?5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 44693;;9>5+8g2900d?3-224768;o3156<588h0(<>7e;3f07=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj:imh7?55;294~"68oh14kl4H03e<>N68ol0(kom:01a3>"6:8;1==;<;%:e4?22j11/44652558 cgd289i;6`>2019657d3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336594n0027?479m1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9moj6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=1b28nn56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1d70>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336594n0027?479o1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9moi6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=1b28nn56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1d67>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336594n0027?47:81/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9moh6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=1b28nn56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1d66>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336594n0027?47::1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9moo6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=1b28nn56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1d65>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336594n0027?47:<1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9mon6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=1b28nn56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1d64>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336594n0027?47:>1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9mom6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=1b28nn56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1d6;>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336594n0027?47:01/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9ml;6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=1b28nn56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1d6:>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336594n0027?47:k1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9ml:6<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=1b28nn56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm1d6b>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336594n0027?47:m1/==6j:0g76>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e9ml96<4;:183!77nk03jl5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=1b28nn56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm5376>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9;=i7)?=1082405<,1l;69;m8:&;==<0:2.mmn4>26`8j446;38;>k5+11:f>077j2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi9?;8:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``9571e3-;9=<4>0418 =`72=?i46*7998;3>"aij0:>:l4n0027?47;91/==6j:433f>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e<13e02.35548cg9'bde=9:<37c?=1281467<,8:3i7::879j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D451i2d:><=52111?!770l09?hh4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c63ac<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;9i95+1332>462;2.3j=4;5c:8 =??2>im7)hnc;31a1=i9;;86?><3:&24=c=;ol37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;?o0(<<>1;3316=#0o:188l7;%::03-ljo7?<6d9m57742;:886*>09g97c`?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th:8:m51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;9n0b<<>3;0370=#992n6<:98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e9=2n6<4;:183!77nk03jl5G10d;?M77no1/jll51242?!75980:<8=4$9d3>13e02.35548cg9'bde=9:<:7c?=1281460<,8:3i7?;699j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D4=0258 46?m3;?:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge289>j6*>203955343-2m<7::b99'<<>=0>1/jlm5127e?k759:09<>64$02;a?73>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn<:61;390?6=8r.:452n2.:>a83>>n55+88:93f`<,okh6<=:f:l2645=:9927)??8d8203>6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=:583>5}#99li65hn;I32b==O99lm7)hnb;301`=#9;;:6<>:3:&;b5<3=k20(577:958 cgd289>i6`>2019655f3-;;4h4>47:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<5d9'577628:>?6*7f1871g><,1336:mi;%dbg?74=l1e=??<:320f>"681o1=987;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`20<2=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?;?4$0025?77=:1/4k>544`;?!>>032<7)hnc;3024=i9;;86?>21d94>=n01:1<7*>203975748795?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6;?:0(<<>1;3316=#0o:188l7;%::03-ljo7?<619m57742;:8h6*>09g9510?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th:85951;694?6|,8:mn76ia:J25c><@8:mj6*iac8270b<,88:=7??529'764m2.:<5k5154;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>34f8 44693;;9>5+8g2900d?3-224768;%dbg?74=m1e=??<:320b>"681o1=987;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`20=?=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?8m4$0025?77=:1/4k>544`;?!>>032<7)hnc;301f=i9;;86?>;0:&24=c=9=<37d68f;29 446939;=6`>21d94>=n01:1<7*>203975749c95?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6;1;3316=#0o:188l7;%::03-ljo7?<5b9m57742;:?=6*>09g9510?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th:85l51;694?6|,8:mn76ia:J25c><@8:mj6*iac8270d<,88:=7??529'763:2.:<5k5154;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>34`8 44693;;9>5+8g2900d?3-224768;%dbg?74=k1e=??<:3277>"681o1=987;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`20=b=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:>h:4$0025?77=:1/4k>544`;?!>>032<7)hnc;31a1=i9;;86?>;4:&24=c=9=<37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214;84n0027?47<<1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998104=i9;;86?>;6:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn96:1;393?6=8r.:544`;?!>>038?=6`>201965203-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0=35280<6=4?{%33bg:3:&;b5<3=k20(577:362?k759:09<964$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?49h51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:=;0b<<>3;030<=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6;16<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=409m57742;:?m6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=2?i7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5216a?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?:>;o3156<58=i0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9617b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:j;5a1330>763m2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?m4<51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9o<0b<<>3;030c=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<1=n6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7563g;9=>4=0428 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&eeg<6:k:0(<<>1;3316=#0o:188l7;%::2c28j446;38;9<5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13367e;603c=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<04b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11039?5a1330>762<2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891>=;:;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi856=:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>k87c?=1281400<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=23m7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=52174?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;40=i9;;86?>:8:&24=c=9l>97d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn544`;?!>>032;96`>2019653>3-;;4h4>e508m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a5`g1280<6=4?{%33bg:3:&;b5<3=k20(577:926?k759:09<8o4$02;a?7b<;1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:il:51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=09?0b<<>3;031g=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3fe7<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35547049m57742;:>o6*>09g95`253`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8oj<7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::7=2d:><=5217g?!770l0:i9<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365>:;o3156<587e;3f07=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<53b28o?>6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103<85a1330>76182.:<5k51d61?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>2039757;3;1;7>50z&24cd=0on0Dh6:891>=8>;%33<`<6m=80e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi=h7>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21:>7c?=1281434<,8:3i7?j439j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2a=`=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658178j446;38;:>5+11:f>4c3:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;=="681o1=h:=;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22476?5:l2645=:9<>7)??8d82a146663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9l2j6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=623g;9=>4=0748 46?m3;n8?5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>l5a1330>761?2.:<5k5441`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>??o51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9o90b<<>3;032<=#992n68==7:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6b=2<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8o:5+1332>462;2.3j=4;5c:8 =??2>=87)hnc;30g2=i9;;86?>9a:&24=c=<21d94>=n01:1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=km4n0027?47>k1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799853>h6:891>=8l;%33<`<3;>l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi846i:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=i>7c?=128143b<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7=1b=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44654b78j446;38;:h5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=2;k4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3k<1e=??<:325b>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:l5:l2645=:9=;7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<0h;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1e23g;9=>4=0638 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757c1`95?0=83:p(<>ib;:eg>N69o20D<>if:&eeg<6:<30(<<>1;3316=#0o:188l7;%::6*>09g95g`23`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021=864n0027?47?:1/==6j:2`g<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c1e71<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;8mi5+1332>462;2.3j=4;5c:8 =??2>lm7)hnc;30ea=i9;;86?>84:&24=c=;kn37d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj:ijo7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::>3g;9=>4=0678 46?m39ih55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397573;1:7>50z&24cd=0oi0Dh6:891>=99;%33<`<3:h>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c6;0f<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=3e9m57742;:<;6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0on0D1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8j>751;694?6|,8:mn76ia:J25c><@8:mj6*iac82705<,88:=7??529'"aij0:?8=4n0027?47?01/==6j:2`g<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e<18i6<4::183!77nk03jo5G10d;?M77no1/jll5134f?!75980:<8=4$9d3>13e02.35548ee9'bde=9;21d95>=n01;1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021??5a1330>760j2.:<5k55204?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oh0D45c>2d:><=5215`?!770l0:nk;4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi=hm;:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``9560c3-;9=<4>0418 =`72=?i46*79984gc=#nhi1=>8k;o3156<58>n0(<>7e;3f07=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj:;h97?56;294~"68oh14km4H03e<>N68ol0(kom:0051>"6:8;1==;<;%:e4?22j11/44658228 cgd288=96`>2019651b3-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)hnb;3121=#9;;:6<>:3:&;b5<3=k20(577:913?!`fk3;9:95a1330>760n2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th9m:h51;494?6|,8:mn76ic:J25c><@8:mj6*iac82635<,88:=7??529'"aij0:>;=4n0027?47091/==6j:351g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8o:k:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;>0b<<>3;03<4=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6230<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8nk5+1332>462;2.3j=4;5c:8 =??21=0(kol:01ab>h6:891>=6=;%33<`<4no20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31547582>2<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110ho6`>201965>43-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0=55280<6=4?{%33bg:3:&;b5<3=k20(577:22a?k759:09<5:4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?4>=51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;9h0b<<>3;03<0=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6;71<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<0c9m57742;:3:6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=28<7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=521:4?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336>>m;o3156<58120(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:975db2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110876?i2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>20397575m3;1;7>50z&24cd=0on0Dh6:891>=6m;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8l6n:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;21d95>=n01;1<7*>20397571;g0=>=zj=3=87?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=521:g?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799812`=i9;;86?>7e:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7ea2=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446527g8j446;38;4k5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336?8j;o3156<580:0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn9ojc;392?6=8r.:544`;?!>>038=i6`>201965?63-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=jj54>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5>l1e=??<:32:6>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1=7850;2x 46aj32mo6F>1g:8L46an2.:>a83>>n55+88:963cb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0g77280=6=4?{%33bg:3:&;b5<3=k20(577:34f?k759:09<4:4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb2aa=?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-224798a:l2645=:93>7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<12;6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>6603g;9=>4=0848 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?=;651;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=;91e=??<:32:3>"681o1?kh7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<;3:l2645=:9337)??8d80fa>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<;o;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>66b2=8j86g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108<:5a1330>76>i2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge289396*>203955343-2m<7::b99'<<>=9m30(kol:01;1>h6:891>=7m;%33<`<4no20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31546282>2<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110h56`>201965?d3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a041e280?6=4?{%33bg6:;%3154<68<90(5h?:57a<>"?110:h45+f`a956>23g;9=>4=08f8 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247m6;o3156<580o0(<>7e;1eb==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<7gb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a6a5d280<6=4?{%33bg:3:&;b5<3=k20(577:b48j446;38;m=5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==2d:><=521c2?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;<27)?=1082405<,1l;69;m8:&;==<5=m1/jlm5134:?k759:091b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>27a8 44693;;9>5+8g2900d?3-224797a:&eef<6:?i0b<<>3;03e6=#992n69o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:no5a1330>76f<2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>20397575;3;187>50z&24cd=0ok0D44b92d:><=521c6?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6a5g<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;9n;5+1332>462;2.3j=4;5c:8 =??21::7)hnc;31f3=i9;;86?>n6:&24=c=<21d94>=n01:1<7*>20397571;g0=>=zj=8no7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::l2d:><=521c4?!770l0?>l:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;h=7)?=1082405<,1l;69;m8:&;==<5>01/jlm513`5?k759:09?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9gc=i9;;86?>n9:&24=c=:9>n7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn??l7;393?6=8r.:544`;?!>>03im7c?=12814dg<,8:3i7<>be9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7=2e=93<1<7>t$02ef?>ak2B:=k64H02eb>"aik0:?994$0025?77=:1/4k>544`;?!>>03;j56*iab82711b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0g01280?6=4?{%33bg:3:&;b5<3=k20(577:31`?k759:09?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9l95;393?6=8r.:544`;?!>>03;mh6`>201965gc3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0=d?280<6=4?{%33bg:3:&;b5<3=k20(577:260?k759:09?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?54>51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?kn0b<<>3;03ec=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6b=`d3A;:j55G11de?!`fj3;89=5+1332>462;2.3j=4;5c:8 =??21;<7)hnc;3015=i9;;86?>m0:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`7t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465c29m57742;:i=6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214<64n0027?47j;1/==6j:2`g<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;5==i9;;86?>m3:&24=c=;kn37d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>m6f;393?6=8r.:544`;?!>>032:46`>201965d33-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7fd6280<6=4?{%33bg:3:&;b5<3=k20(577:92a?k759:09?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th::n<51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0:?0b<<>3;03f3=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6:16<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.355474e9m57742;:i;6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=3m<7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=521`;?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?6<;o3156<58k30(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:96=5b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%dbf?75nk1/=??>:0267>"?n90?9o64$9;;>1b<,okh6<6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%dbf?75nh1/=??>:0267>"?n90?9o64$9;;>1b<,okh6<6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1108>6`>201965dc3-;;4h4;3438m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6746280<6=4?{%33bg:3:&;b5<3=k20(577:208j446;38;nh5+11:f>746n2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<3l81e=??<:32ab>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:k1:l2645=:9i;7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:1;h6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1b63g;9=>4=0b38 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021h<5a1330>76d:2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?54=51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=<8=0b<<>3;03g6=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6:12<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554k1:l2645=:9i?7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?=:5a1330>76d=2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5;;0?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-2247:>7:l2645=:9i<7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<0k=6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>a7b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0:3:&;b5<3=k20(577:534?k759:09?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?5o851;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=l81e=??<:32`e>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9041b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%3154<68<90(5h?:57a<>"?110::85a1330>76dk2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<;4:l2645=:9io7)??8d80fa>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<1236<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1?a3g;9=>4=0bg8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021ol5a1330>76dn2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?m=>51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=kh1e=??<:32g5>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247mn;o3156<58m80(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9751b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=3jn4>:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<48>1e=??<:32g0>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn96if;391?6=8r.:544`;?!>>039;;6`>201965b23-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9:i=7)?=1082405<,1l;69;m8:&;==<48>1/jlm512a5?k759:09?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9751b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=3jl4>:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<48>1e=??<:32g<>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn96i8;391?6=8r.:544`;?!>>039;;6`>201965b>3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336>>8;o3156<58mk0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7=5b=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44653158j446;38;ho5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;98l0:684?:1y'55`e21li7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998042=i9;;86?>kc:&24=c=<21d94>=n01:1<7*>20397571;g0=>=zj=3;j7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=521fg?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi84>l:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2::<7c?=12814ac<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021?=94n0027?47lo1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6:4g<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<069m57742;:n<6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0Dh6:891>=k>;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e<1li6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>6603g;9=>4=0d08 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?>i:51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:o1e=??<:32f7>"681o18?o;;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247=?7:l2645=:9o?7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a0=`4280>6=4?{%33bg:3:&;b5<3=k20(577:224?k759:09?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1=7;50;2x 46aj32mn6F>1g:8L46an2.:>a83>>n55+88:9751b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=3ik4>:483>5}#99li65hm;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<48>1e=??<:32f3>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn96i5;391?6=8r.:544`;?!>>039;;6`>201965c?3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336>>8;o3156<58l30(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7=47=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44653158j446;38;il5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998042=i9;;86?>jb:&24=c=<21d94>=n01:1<7*>20397571;g0=>=zj:ij47?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=521g`?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336?8j;o3156<58ln0(<>7e;71e3=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn9?82;393?6=8r.:544`;?!>>03=3:6`>201965cb3-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a040f280<6=4?{%33bg:3:&;b5<3=k20(577:975?k759:09?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?>h651;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?1<0b<<>3;03b5=#992n69o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c3f=f<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<2:l2645=:9l:7)??8d82a146663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9=km6<49:183!77nk03jn5G10d;?M77no1/jll51277?!75980:<8=4$9d3>13e02.35547229'bde=9:??7c?=12814c4<,8:3i7?;699j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj8oh>7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4=0g18 46?m3;n8?5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&eeg<6;=n0(<<>1;3316=#0o:188l7;%::2.mmn4>35f8j446;38;j95+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'bdd=9;l37)?=1082405<,1l;69;m8:&;==<69?1/jlm513d;?k759:09?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5;b3:10g`90gd8 44693;;9>5+8g2900d?3-2247?>6:l2645=:9l=7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:=;5a1330>76a?2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th9<@8:mj6*>203955343-2m<7::b99'<<>=<8<0b<<>3;03b==#992n6?>;e:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:;;n6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>1713g;9=>4=0g;8 46?m38:ni5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397573;1;7>50z&24cd=0on0Dh6:891>=hn;%33<`<4jm20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi85ln:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>:0b<<>3;03bg=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e<13i6<49:183!77nk03jn5G10d;?M77no1/jll5127;?!75980:<8=4$9d3>13e02.3554>a59'bde=9:?37c?=12814ce<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:l;o7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=521dg?!770l08ni64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?9n;o3156<58oo0(<>7e;1161=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>:1=7950;2x 46aj32mh6F>1g:8L46an2.:>a83>>n55+88:962gb2:8986g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;l5a1330>77782.:<5k53307?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891><>>;%33<`<4:;>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi??8k:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=j7c?=1281554<,8:3i7==259j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`063e=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526c8j446;38:<>5+11:f>645<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0ik1e=??<:3330>"681o1?oj7;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<8a:l2645=:8:>7)??8d806726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;;<26<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71f3g;9=>4=1148 46?m399>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:o4n0027?468>1/==6j:2010>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799813d=i9;;86???8:&24=c=;;8?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn><83;393?6=8r.:544`;?!>>0382019646>3-;;4h4<2368m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a7715280<6=4?{%33bg:3:&;b5<3=k20(577:35b?k759:09==o4$02;a?55:=1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8>;o51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:>k0b<<>3;024g=#992n6><=4:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c010c<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;8n55+1332>462;2.3j=4;5c:8 =??2?90(kol:01a<>h6:891><>l;%33<`<5:=?0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e9=ki6<4::183!77nk03jo5G10d;?M77no1/jll5134a?!75980:<8=4$9d3>13e02.355471:&eef<6:?h0b<<>3;024a=#992n6<:98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?75>k1/=??>:0267>"?n90?9o64$9;;>35<,okh6<<9b:l2645=:8:n7)??8d8203>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a63b2280?6=4?{%33bg;n;%3154<68<90(5h?:57a<>"?110<>6*iab8270g0g9'55>b2;?;56g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm21`g>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?o4n0027?46991/==6j:32a4>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79981e>h6:891>;%33<`<6n:l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?=k;:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956033-;9=<4>0418 =`72=?i46*7998;3>"aij0:?;:4n0027?469;1/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e;9on6<4;:183!77nk03jl5G10d;?M77no1/jll51247?!75980:<8=4$9d3>13e02.355477:&eef<6;?>0b<<>3;0256=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a6a26280?6=4?{%33bg8<;%3154<68<90(5h?:57a<>"?1103;6*iab82735159'55>b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm28c0>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:<97)?=1082405<,1l;69;m8:&;==3708j446;38:=85+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi>i:9:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956043-;9=<4>0418 =`72=?i46*7998;3>"aij0:?;=4n0027?469?1/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:0k36<4;:183!77nk03jl5G10d;?M77no1/jll51241?!75980:<8=4$9d3>13e02.355477:&eef<6;?80b<<>3;0252=#992n6?9=c:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0dg0280>6=4?{%33bg><;%3154<68<90(5h?:57a<>"?1109?i5+f`a956643g;9=>4=10:8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?ml851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;025<=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6bf1<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;8462;2.3j=4;5c:8 =??2;9o7)hnc;3047=i9;;86??>a:&24=c=<21d94>=n01:1<7*>20397571;g0=>=zj=ki?7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5203a?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9:::7)?=1082405<,1l;69;m8:&;==<5;m1/jlm51222?k759:09=?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>3128 44693;;9>5+8g2900d?3-2247<3;025a=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110776m2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oh0D>j4$gc`>44an2d:><=5203e?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8l87:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>i97c?=1281576<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7e74=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>kk4$0025?77=:1/4k>544`;?!>>0388h6*iab826cc209'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=j><4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0k;1e=??<:3316>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 cge288mh6*>203955343-2m<7::b99'<<>=::n0(kol:00e`>h6:891><<<;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>2e53g;9=>4=1368 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:oi0(<<>1;3316=#0o:188l7;%::2ga8j446;38:>85+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86??=6:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>?id;391?6=8r.:454;2.:>a83>>n55+88:93g7<,okh6<=<3:l2645=:88<7)??8d81a3>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a74`d280=6=4?{%33bg:3:&;b5<3=k20(577:6dg?k759:09=?64$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb23ef?7==3:10g`90gd8 cge2899>6*>203955343-2m<7::b99'<<>=?k;0(kol:0116>h6:891><<6;%33<`<5m?20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e;8lj6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2`c3g;9=>4=13c8 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D454;2d:><=5200a?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi??>j:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lo7c?=128157e<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj:8;h7?55;294~"68oh14kl4H03e<>N68ol0(kom:0106>"6:8;1==;<;%:e4?22j11/44657c38 cgd2898>6`>2019644c3-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:hk;o3156<59;o0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn>454:2.:>a83>>n55+88:93g7<,okh6<=<2:l2645=:88m7)??8d81a3>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a776f280=6=4?{%33bg:3:&;b5<3=k20(577:6dg?k759:09=>>4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb203=?7==3:10g`90gd8 cge2898=6*>203955343-2m<7::b99'<<>=?k;0(kol:0105>h6:891><=>;%33<`<5m?20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e;;:36<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2`c3g;9=>4=1208 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D45492d:><=52010?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi??>9:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lo7c?=1281562<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj:8;97?55;294~"68oh14kl4H03e<>N68ol0(kom:011b>"6:8;1==;<;%:e4?22j11/44657c38 cgd2899j6`>201964523-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:hk;o3156<59:<0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn>455n2.:>a83>>n55+88:93g7<,okh6<==f:l2645=:89<7)??8d81a3>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a7765280=6=4?{%33bg:3:&;b5<3=k20(577:6dg?k759:09=>64$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb2035?7==3:10g`90gd8 cge2899i6*>203955343-2m<7::b99'<<>=?k;0(kol:011a>h6:891><=6;%33<`<5m?20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e;;:;6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2`c3g;9=>4=12c8 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D455:2d:><=5201a?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi?5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lo7c?=128156e<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj:;m57?55;294~"68oh14kl4H03e<>N68ol0(kom:011a>"6:8;1==;<;%:e4?22j11/44657c38 cgd2899i6`>2019645c3-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:hk;o3156<59:o0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?k;9;391?6=8r.:455l2.:>a83>>n55+88:93g7<,okh6<==d:l2645=:89m7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6`2?280=6=4?{%33bg:3:&;b5<3=k20(577:6dg?k759:09=9>4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3g73?7==3:10g`90gd8 cge2899=6*>203955343-2m<7::b99'<<>=?k;0(kol:0115>h6:891><:>;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:l>=6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2`c3g;9=>4=1508 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D455l2d:><=52060?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>h;n:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lo7c?=1281512<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj;o>57?55;294~"68oh14kl4H03e<>N68ol0(kom:011g>"6:8;1==;<;%:e4?22j11/44657c38 cgd2899o6`>201964223-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:hk;o3156<59=<0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?k:7;391?6=8r.:455k2.:>a83>>n55+88:93g7<,okh6<==c:l2645=:8><7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6`31280=6=4?{%33bg:3:&;b5<3=k20(577:6dg?k759:09=964$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3g61?7==3:10g`90gd8 cge2899n6*>203955343-2m<7::b99'<<>=?k;0(kol:011f>h6:891><:6;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:l??6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2`c3g;9=>4=15c8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D455j2d:><=5206a?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>h;=:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lo7c?=128151e<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj;o>=7?55;294~"68oh14kl4H03e<>N68ol0(kom:011e>"6:8;1==;<;%:e4?22j11/44657c38 cgd2899m6`>2019642c3-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:hk;o3156<59=o0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?k;f;391?6=8r.:455i2.:>a83>>n55+88:93g7<,okh6<==a:l2645=:8>m7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6`2b280=6=4?{%33bg:3:&;b5<3=k20(577:6dg?k759:09=8>4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3g7`?7==3:10g`90gd8 cge289956*>203955343-2m<7::b99'<<>=?k;0(kol:011=>h6:891><;>;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:l>h6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2`c3g;9=>4=1408 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D45592d:><=52070?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>h:n:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lo7c?=1281502<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj;o?97?55;294~"68oh14kl4H03e<>N68ol0(kom:011=>"6:8;1==;<;%:e4?22j11/44657c38 cgd289956`>201964323-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:hk;o3156<59<<0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?onb;391?6=8r.:45502.:>a83>>n55+88:93g7<,okh6<==8:l2645=:8?<7)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6dgf280=6=4?{%33bg:3:&;b5<3=k20(577:6dg?k759:09=864$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3cb=?7==3:10g`90gd8 cge2899<6*>203955343-2m<7::b99'<<>=?k;0(kol:0114>h6:891><;6;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:hk36<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2`c3g;9=>4=14c8 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D45502d:><=5207a?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>lll:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lo7c?=128150e<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj;kin7?55;294~"68oh14kl4H03e<>N68ol0(kom:0113>"6:8;1==;<;%:e4?22j11/44657c38 cgd2899;6`>2019643c3-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:hk;o3156<597e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?om9;391?6=8r.:455?2.:>a83>>n55+88:93g7<,okh6<==7:l2645=:8?m7)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6dd?280=6=4?{%33bg:3:&;b5<3=k20(577:6dg?k759:09=;>4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3ca3?7==3:10g`90gd8 cge2899:6*>203955343-2m<7::b99'<<>=?k;0(kol:0112>h6:891><8>;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:hh=6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2`c3g;9=>4=1708 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D455>2d:><=52040?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>ll;:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lo7c?=1281532<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj;ki?7?55;294~"68oh14kl4H03e<>N68ol0(kom:0111>"6:8;1==;<;%:e4?22j11/44657c38 cgd289996`>201964023-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:hk;o3156<59?<0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?om1;391?6=8r.:455=2.:>a83>>n55+88:93g7<,okh6<==5:l2645=:8<<7)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a6dd7280=6=4?{%33bg:3:&;b5<3=k20(577:6dg?k759:09=;64$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3cbb?7==3:10g`90gd8 cge289986*>203955343-2m<7::b99'<<>=?k;0(kol:0110>h6:891><86;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e:hkn6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2`c3g;9=>4=17c8 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oh0D45582d:><=5204a?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>lol:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lo7c?=128153e<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj;kj;7?55;294~"68oh14kl4H03e<>N68ol0(kom:0110>"6:8;1==;<;%:e4?22j11/44657c38 cgd289986`>2019640c3-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:hk;o3156<59?o0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn97m4;392?6=8r.:544`;?!>>03=o;6`>2019640a3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=2n>4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0n<1e=??<:3344>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-22479k7:l2645=:8=:7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110770:2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0D44012d:><=52050?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;90;0:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984b0=i9;;86??84:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9om6;391?6=8r.:544`;?!>>038:86`>201964123-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'577628:>?6*7f1871g><,1336?<0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`7ed?=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44652068j446;38:;:5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9k0:684?:1y'55`e21li7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998151=i9;;86??88:&24=c=<21d94>=n01:1<7*>20397571;g0=>=zj=k=m7?55;294~"68oh14kl4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5205:?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8l;j:086>5<7s-;;jo47fc9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;;?7c?=128152g<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021>?j4n0027?46?k1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6b76<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=159m57742;;09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0Dh6:891><9k;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e13e02.3554=159'bde=9=;;7c?=128152c<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&2647=99?87)6i0;66f==#0021>?j4n0027?46?o1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6b52<62<0;6=u+11da>=`e3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=159m57742;;3<6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0on0Dh6:891><6>;%33<`<3:h>0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8?jk:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;i0b<<>3;02<7=#992n69o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c71b=<62=0;6=u+11da><313A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;38:4>5+11:f>04f>2c3;k4?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg35n00:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*7998234=i9;;86??74:&24=c==;k=7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>l?2;390?6=8r.:a83>>n55a1330>77?=2.:<5k51b07?l>0n3:1(<<>1;:;e>=n01:1<7*>2039<=d<3`;;:94?:%3154<68?207bl99;29 44693o8565rb2`37?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=:82=7)??8d82g726663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;k:?6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2>?3g;9=>4=1958 46?m3;h>95f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=1<<0D07:8?jd113:1(<<>1;g0=>=zj:km97?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=520::?!770l0:o?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336:67;o3156<591k0(<>7e;3`61=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn9668;390?6=8r.:a83>>n55a1330>77?j2.:<5k544``?l>0n3:1(<<>1;:;e>=n01:1<7*>2039<=d<3`;;:94?:%3154<68?207bl99;29 44693o8565rb5::=?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-2247:9;o3156<591i0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<368e9'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541<729q/==hm:875?M76n11C==hi;%3154<>==1C==:i;I:;b>"?n90?9o64n0027?460l1/==6j:40b2>o??o0;6)?=108;=>e32c:<;:50;&2647=99<376am6883>!75980n?454}c71e=<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>c`9m57742;;3j6*>09g917g13`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj<8j57?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=520;3?!770l0>>l84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<46559K552a3A23j6*7f1871g>909'55>b2;>:56g77g83>!7598034l54i9:3>5<#9;;:656m;:k2432=83.:>ie>00;6)?=108f7<=>4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6?81e=??<:33:6>"681o1>9?6;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?=e:l2645=:8387)??8d8104?6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;k;36<4;:183!77nk029;5G10d;?M77no1/=??>:877?M7713e02d:><=520;7?!770l0:o?:4i95e>5<#9;;:656n;:k;<5<72-;9=<478c98m461<3:1(<<>1;332==`5>32wi?o?6:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>237c?=12815<3<,8:3i7?l259j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj:h:m7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=520;5?!770l0:o?:4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8:13=O98l37E??fg9'577620??7E??4g9K<=`<,1l;69;m8:l2645=:83<7)??8d866d0=>f32c34=4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a17c2280<6=4?{%33bg:3:&;b5<3=k20(577:052?k759:09=464$02;a?35i?1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>>h851;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9ml0b<<>3;02=<=#992n68o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e;m:36<4;:183!77nk029;5G10d;?M77no1/=??>:877?M7713e02d:><=520;b?!770l08ni64i95e>5<#9;;:656n;:k;<5<72-;9=<478c98m461<3:1(<<>1;332==`5>32wi?i>6:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2>lh7c?=1281521d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`0`5g=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658108j446;38:5n5+11:f>6dc02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<3290;w)??fc8:13=O98l37E??fg9'577620??7E??4g9K<=`<,1l;69;m8:l2645=:83o7)??8d8212`=>f32c34=4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a5gbd280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:09=4k4$02;a?72?o1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:nij51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;02=c=#992n6<;8f:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1egd<62=0;6=u+11da><313A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;38:m=5+11:f>6`em2c3;k4?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg5akj0:6:4?:1y'55`e21lo7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86??n1:&24=c=;ohn7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn>hld;392?6=8r.:544`;?!>>03=346`>201964g53-;;4h4:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=i9:4>:583>5}#99li64;9;I32b==O99lm7)?=108:11=O99>m7E67f:&;b5<3=k20b<<>3;02e6=#992n69;mc:k;3c<72-;9=<478`98m=>7290/=??>:9:a?>o68?>1<7*>2039550?32ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110:m?5a1330>77f<2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8>kj:087>5<7s-;;jo46579K54`?3A;;jk5+1332><333A;;8k5G89d8 =`72=?i46`>201964g13-;;4h4;36d8m=1a290/=??>:9:b?>o?090;6)?=108;5$0025?77>110co86:18'57762l9276sm42ge>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13369l4n0027?46i>1/==6j:514b>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799811c=i9;;86??n8:&24=c=<:=m7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9=i2;390?6=8r.:a83>>n55a1330>77f12.:<5k5425e?l>0n3:1(<<>1;:;e>=n01:1<7*>2039<=d<3`;;:94?:%3154<68?207bl99;29 44693o8565rb22f3?7=<3:10g`9=00<@8;m46F>0gd8 446933>86F>05d8L=>a3-2m<7::b99m57742;;jm6*>09g96`0?3`25$0025?>?i21b45>50;&2647=01h07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f66b03;1;7>50z&24cd=0on0D11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th8<@8:mj6*>203955343-2m<7::b99'<<>=<11e=??<:33bg>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`9=00<@8;m46F>0gd8 446933>86F>05d8L=>a3-2m<7::b99m57742;;jh6*>09g96`0?3`25$0025?>?i21b45>50;&2647=01h07d??6583>!75980:<;64;n`5=?6=,88:=7k<9:9~f66b:3;1;7>50z&24cd=0on0Dh6:891>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?=k<:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28km7c?=12815d`<,8:3i721d95>=n01;1<7*>20397571;g0=>=zj=22?7?54;294~"68oh15884H03e<>N68ol0(<<>1;;60>N68=l0D56i;%:e4?22j11e=??<:33a4>"681o188ll;h:4b?6=,88:=767a:9j<=6=83.:>=0;6)?=108243><3fh=57>5$0025?c4121vn9664;393?6=8r.:544`;?!>>03>:?6`>201964d63-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0=?2280<6=4?{%33bg:3:&;b5<3=k20(577:312?k759:09=o<4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:o<:51;494?6|,8:mn76ic:J25c><@8:mj6*iac8260?<,88:=7??529'"aij0:>874n0027?46j:1/==6j:0`e1>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi8>h::084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28:27c?=12815g2<,8:3i7:<7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2b`e=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446518f8j446;38:n85+11:f>4`192c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<61m1e=??<:33a2>"681o1>=j?;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247?ke:l2645=:8h<7)??8d80bc>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<8=36<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4bb3g;9=>4=1c:8 46?m39mj55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757c0:95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>==4n0027?46j01/==6j:0a22>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998`a>h6:891>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>>>6:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2jo0b<<>3;02fg=#992n6?o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6;g1<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.35548eg9m57742;;io6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021m55a1330>77el2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?5nm51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?>>0b<<>3;02fc=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6:14<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554k5:l2645=:8i;7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<0?;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>=4d3g;9=>4=1b38 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#00215i5a1330>77d:2.:<5k553c5?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th>>ko51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9o=0b<<>3;02g6=#992n68o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c60`c<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>eg9m57742;;h86*>09g9061a3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=8?<7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=520a6?!770l08jk64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13365:>;o3156<59j<0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:9<17c69'55>b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?11038i5a1330>77d02.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi85kk:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??21<27c?=12815fg<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`675>=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>884$0025?77=:1/4k>544`;?!>>03hj7)hnc;3113=i9;;86??lb:&24=c==;k=7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj<9;>7?55;294~"68oh14kl4H03e<>N68ol0(kom:0062>"6:8;1==;<;%:e4?22j11/4465809'bde=9;?=7c?=12815fe<,8:3i7;=a79j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ee>N69o20D<>if:&eeg<6:mo0(<<>1;3316=#0o:188l7;%::03-ljo7?=dd9m57742;;hh6*>09g916403`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th>>?=51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>==?1e=??<:33`a>"681o19<>m;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95aecg9'55>b2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?75>l1/=??>:0267>"?n90?9o64$9;;>2`73-ljo7?=6d9m57742;;o<6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oi0D11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3fa3?7=>3:10g`90gd8 44693;;9>5+8g2900d?3-2247m7;o3156<59m80(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?o>9;392?6=8r.:544`;?!>>03i37c?=12815a5<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=>h87?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=520f7?!770l0?8l94i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799813f=i9;;86??k5:&24=c=<=k<7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`70fe=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526a8j446;38:h;5+11:f>12f?2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336?9l;o3156<59m=0(<>7e;67e2=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn?==6;392?6=8r.:544`;?!>>038201964b?3-;;4h4=3028m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?j1e=??<:33g=>"681o1>>??;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1=7850;2x 46aj32mo6F>1g:8L46an2.:>a83>>n55+88:962ed`9'55>b2;9:<6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a6640280=6=4?{%33bg:3:&;b5<3=k20(577:35`?k759:09=il4$02;a?44991b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb56aa?7=>3:10g`90gd8 44693;;9>5+8g2900d?3-2247<8c:l2645=:8nh7)??8d870d16663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;n5a1330>77cl2.:<5k52233?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?8oh51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:>i0b<<>3;02``=#992n69:n7:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e::826<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71d3g;9=>4=1ed8 46?m388==5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>203975750z&24cd=0oi0Dh6:891>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c006d<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=7b9m57742;;n=6*>09g966773`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:m20(<<>1;3316=#0o:188l7;%::792.mmn4>2e:8j446;38:i?5+11:f>13?>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?=d`9'577628:>?6*7f1871g><,13365>>;%dbg?75lh1e=??<:33f7>"681o1>>ki;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn9:l1;392?6=8r.:544`;?!>>038201964c33-;;4h4;4`58m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=o4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?j1e=??<:33f1>"681o1>>??;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962ee79'55>b2=>j;6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a664d280=6=4?{%33bg:3:&;b5<3=k20(577:35`?k759:09=h94$02;a?44991b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb56`7?7=>3:10g`90gd8 44693;;9>5+8g2900d?3-2247<8c:l2645=:8o37)??8d870d16663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;n5a1330>77b12.:<5k52233?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?8n;51;494?6|,8:mn76ic:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:>i0b<<>3;02ad=#992n69:n7:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e::8n6<49:183!77nk03jn5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71d3g;9=>4=1d`8 46?m388==5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>20397573;1:7>50z&24cd=0oi0Dh6:891>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c006c<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=7b9m57742;;nh6*>09g966773`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:m4n0027?46ml1/==6j:56b3>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi>>=?:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=h7c?=12815``<,8:3i7<<119j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=>h47?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=520d3?!770l0?8l94i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799813f=i9;;86??i1:&24=c=::;;7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`70fg=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526a8j446;38:j?5+11:f>12f?2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,1336?9l;o3156<59o90(<>7e;0055=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn9:l9;392?6=8r.:544`;?!>>038201964`33-;;4h4;4`58m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?j1e=??<:33e1>"681o1>>??;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1g:8L46an2.mmo4>2e:8 44693;;9>5+8g2900d?3-22476?1:&eef<6:m20b<<>3;02b3=#992n69;76:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?75lh1/=??>:0267>"?n90?9o64$9;;>=663-ljo7?=d`9m57742;;m;6*>09g966ca3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757:3;197>50z&24cd=0oh0D44c?2d:><=520d;?!770l0?9584i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi>>hm:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``957b>3-;9=<4>0418 =`72=?i46*7998;44=#nhi1=?j6;o3156<59o30(<>7e;00ac=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`71<7=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>i94$0025?77=:1/4k>544`;?!>>032;=6*iab826a1f`9'55>b2=?3:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;31`<=#9;;:6<>:3:&;b5<3=k20(577:922?!`fk3;9h45a1330>77aj2.:<5k522ge?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22476<2:l2645=:8lh7)??8d866d06663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{ei6<49:183!77nk03jn5G10d;?M77no1/jll513g1?!75980:<8=4$9d3>13e02.3554=869'bde=9;o97c?=12815cb<,8:3i7:m779j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj8nh=7?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::592d:><=520df?!770l0:h<<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;64=i9;;86??if:&24=c=9m;97d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`2`f>=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658338j446;389<=5+11:f>4b6:2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,13365<>;o3156<5:9;0(<>7e;3g57=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn544`;?!>>0329=6`>201967653-;;4h4>d008m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=:783>5}#99li65hl;I32b==O99lm7)hnb;31``=#9;;:6<>:3:&;b5<3=k20(577:6`e?!`fk3;9hh5a1330>747;2.:<5k55204?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?9;>51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?ok0b<<>3;0141=#992n69;o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c00g<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35548f`9m57742;8;96*>09g966g23`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj8non7?56;294~"68oh14km4H03e<>N68ol0(kom:01aa>"6:8;1==;<;%:e4?22j11/44651628 cgd289ii6`>201967613-;;4h4>d008m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=j?54>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<=52324?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9:>h7)?=1082405<,1l;69;m8:&;==<0il1/jlm5126`?k759:09>=64$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>35`8 44693;;9>5+8g2900d?3-22479ne:&eef<6;=h0b<<>3;014<=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?74

    :0267>"?n90?9o64$9;;>2gb3-ljo7?<4`9m57742;8;m6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397573;1:7>50z&24cd=0oi0Dh6:891>?>m;%33<`<58=o0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c02g0<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.355473`9m57742;8;o6*>09g964dc3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&eeg<6:?20(<<>1;3316=#0o:188l7;%::4;2.mmn4>27:8j446;3897c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'bdd=9;<<7)?=1082405<,1l;69;m8:&;===k4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb3;50?7=>3:10g`90gd8 cge288=:6*>203955343-2m<7::b99'<<>=0:90(kol:0052>h6:891>?>i;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c0e1d<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>6d9m57742;8:<6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;l>o7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=52332?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336<8j;o3156<5:880(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.mmo4>2708 44693;;9>5+8g2900d?3-22476<3:&eef<6:?80b<<>3;0156=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598kg0>290/=??>:d1:?>{e:khh6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>40b3g;9=>4=2068 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=;k4n0027?459<1/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799822`=i9;;86?<>6:&24=c=:hij7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?m;8;392?6=8r.:44192.:>a83>>n55+88:9<65<,okh6<<91:l2645=:;;<7)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110::h5a1330>74602.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>2039757583;1;7>50z&24cd=0on0Dh6:891>??6;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>5<=:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2821d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1t$02ef?>ak2B:=k64H02eb>"aik0:>;>4$0025?77=:1/4k>544`;?!>>0328?6*iab82636b2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a0ag?280<6=4?{%33bg:3:&;b5<3=k20(577:6d7?k759:09>?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9jkm51;794?6|,8:mn76ib:J25c><@8:mj6*iac826fg<,88:=7??529'746l2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288hm6*>203955343-2m<7::b99'<<>=>:1/jlm513ab?k759:09>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2b;8 44693;;9>5+8g2900d?3-22478<;%dbg?75k01e=??<:302b>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?hi8;391?6=8r.:44d12.:>a83>>n55+88:926=#nhi1=?m6;o3156<5:;:0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1bc1=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465629m57742;89=6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397573;197>50z&24cd=0oh0D5+f`a957e?3g;9=>4=2308 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9jk;51;794?6|,8:mn76ib:J25c><@8:mj6*iac826f><,88:=7??529'745;2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288h;6*>203955343-2m<7::b99'<<>=>:1/jlm513a4?k759:09>?:4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2b58 44693;;9>5+8g2900d?3-22478<;%dbg?75k>1e=??<:3011>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?hi2;391?6=8r.:44d>2.:>a83>>n55+88:926=#nhi1=?m9;o3156<5:;<0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1bc7=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>n84$0025?77=:1/4k>544`;?!>>03<87)hnc;31g3=i9;;86?<=7:&24=c=:l<37d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;lm<7?55;294~"68oh14kl4H03e<>N68ol0(kom:00`1>"6:8;1==;<;%:e4?22j11/4465629'bde=9;i>7c?=128167><,8:3i721d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:j?0(<<>1;3316=#0o:188l7;%::09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D5+f`a957053g;9=>4=23c8 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th8<==51;794?6|,8:mn76ib:J25c><@8:mj6*iac826a0<,88:=7??529'745j2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288o:6*>203955343-2m<7::b99'<<>=>:1/jlm513f5?k759:09>?m4$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2e78 44693;;9>5+8g2900d?3-22478<;%dbg?75l<1e=??<:301`>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn>>?0;391?6=8r.:44c=2.:>a83>>n55+88:926=#nhi1=?j:;o3156<5:;o0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1bc`=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>i:4$0025?77=:1/4k>544`;?!>>03<87)hnc;31`1=i9;;86?<=f:&24=c=:l<37d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;lmi7?55;294~"68oh14kl4H03e<>N68ol0(kom:00g0>"6:8;1==;<;%:e4?22j11/4465629'bde=9;n?7c?=1281666<,8:3i721d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:m90(<<>1;3316=#0o:188l7;%::09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D5+f`a957b43g;9=>4=2208 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9o8851;794?6|,8:mn76ib:J25c><@8:mj6*iac826f2<,88:=7??529'744;2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288h86*>203955343-2m<7::b99'<<>=>:1/jlm513a7?k759:09>>:4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1=7;50;2x 46aj32mn6F>1g:8L46an2.mmo4>2b18 44693;;9>5+8g2900d?3-22478<;%dbg?75k:1e=??<:3001>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?m:2;391?6=8r.:44d;2.:>a83>>n55+88:926=#nhi1=?m<;o3156<5::<0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1g07=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465629m57742;88;6*>09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D5+f`a957e53g;9=>4=22:8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9o9h51;794?6|,8:mn76ib:J25c><@8:mj6*iac826f4<,88:=7??529'74412.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288h=6*>203955343-2m<7::b99'<<>=>:1/jlm513a2?k759:09>>o4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2b38 44693;;9>5+8g2900d?3-22478<;%dbg?75k81e=??<:300f>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?m;c;391?6=8r.:44d82.:>a83>>n55+88:926=#nhi1=?m?;o3156<5::i0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1g1d=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>n>4$0025?77=:1/4k>544`;?!>>03<87)hnc;31g5=i9;;86?<21d94>=n01:1<7*>20397571;g0=>=zj;i?m7?55;294~"68oh14kl4H03e<>N68ol0(kom:00ab>"6:8;1==;<;%:e4?22j11/4465629'bde=9;hm7c?=128166c<,8:3i721d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:kl0(<<>1;3316=#0o:188l7;%::09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D5+f`a957063g;9=>4=2528 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th9o8j51;794?6|,8:mn76ib:J25c><@8:mj6*iac826a4<,88:=7??529'74392.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288o>6*>203955343-2m<7::b99'<<>=>:1/jlm513f1?k759:09>9<4$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2e38 44693;;9>5+8g2900d?3-22478<;%dbg?75l81e=??<:3077>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?m:a;391?6=8r.:44c92.:>a83>>n55+88:926=#nhi1=?j>;o3156<5:=>0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1g0?=93?1<7>t$02ef?>aj2B:=k64H02eb>"aik0:>i>4$0025?77=:1/4k>544`;?!>>03<87)hnc;31`5=i9;;86?<;5:&24=c=:hij7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;i>47?55;294~"68oh14kl4H03e<>N68ol0(kom:00g4>"6:8;1==;<;%:e4?22j11/4465629'bde=9;n;7c?=1281610<,8:3i721d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:jl0(<<>1;3316=#0o:188l7;%::09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0oh0D5+f`a957ea3g;9=>4=25:8 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th94o651;794?6|,8:mn76ib:J25c><@8:mj6*iac826gc<,88:=7??529'74312.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288ii6*>203955343-2m<7::b99'<<>=>:1/jlm513`f?k759:09>9o4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2cf8 44693;;9>5+8g2900d?3-22478<;%dbg?75jm1e=??<:307f>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?6m4;391?6=8r.:44el2.:>a83>>n55+88:926=#nhi1=?lk;o3156<5:=i0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465629m57742;8?h6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757e:3;197>50z&24cd=0oh0D5+f`a957dd3g;9=>4=25g8 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th94o?51;794?6|,8:mn76ib:J25c><@8:mj6*iac826ge<,88:=7??529'743n2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288in6*>203955343-2m<7::b99'<<>=>:1/jlm513`a?k759:09>8>4$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2c`8 44693;;9>5+8g2900d?3-22478<;%dbg?75jk1e=??<:3065>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?6ne;391?6=8r.:44ei2.:>a83>>n55+88:926=#nhi1=?ln;o3156<5:<80(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1t$02ef?>aj2B:=k64H02eb>"aik0:>oo4$0025?77=:1/4k>544`;?!>>03<87)hnc;31fd=i9;;86?<:3:&24=c=:>8h7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;2jo7?55;294~"68oh14kl4H03e<>N68ol0(kom:00a=>"6:8;1==;<;%:e4?22j11/4465629'bde=9;h27c?=1281602<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:k30(<<>1;3316=#0o:188l7;%::96*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757f13;197>50z&24cd=0oh0D5+f`a957073g;9=>4=2448 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th94oh51;794?6|,8:mn76ib:J25c><@8:mj6*iac826fc<,88:=7??529'742?2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>0g`90gd8 cge288hi6*>203955343-2m<7::b99'<<>=>:1/jlm513af?k759:09>864$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9lf3?=83.:>1g:8L46an2.mmo4>2bf8 44693;;9>5+8g2900d?3-22478<;%dbg?75km1e=??<:306=>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3fh=57>5$0025?c4121vn?6mc;391?6=8r.:44dl2.:>a83>>n55+88:926=#nhi1=?mk;o3156<5:7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`1t$02ef?>aj2B:=k64H02eb>"aik0:>nm4$0025?77=:1/4k>544`;?!>>03<87)hnc;31gf=i9;;86?<:b:&24=c=:>8h7d68f;29 446939;=6`>21d94>=n01:1<7*>20397571;g0=>=zj;2im7?55;294~"68oh14kl4H03e<>N68ol0(kom:00`g>"6:8;1==;<;%:e4?22j11/4465629'bde=9;ih7c?=128160e<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757ib;:ef>N69o20D<>if:&eeg<6:jh0(<<>1;3316=#0o:188l7;%::h6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757e=3;197>50z&24cd=0oh0D5+f`a957ee3g;9=>4=24g8 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?>h<51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0<<0b<<>3;011c=#992n69o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c64`a<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<0:l2645=:;<;7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e;8i86<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2b13g;9=>4=2738 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;i84n0027?45>;1/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984`3=i9;;86?<93:&24=c=:>8h7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?j45312.:>a83>>n55+88:9<47<,okh6<=;9:l2645=:;6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%dbf?74<11/=??>:0267>"?n90?9o64$9;;>=763-ljo7?<499m57742;8=96*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214;74n0027?45>?1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;00=i9;;86?<97:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9=i3;393?6=8r.:544`;?!>>03327c?=128163><,8:3i7:<7g9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`76t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658238j446;389:45+11:f>6`a02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=9544>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<482d:><=5234b?!770l08jk64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<4290;w)??fc8;b<=O98l37E??fg9'bdd=9:?j7)?=1082405<,1l;69;m8:&;==34c8j446;389:o5+11:f>055?2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76am6883>!75980n?454}c64gd<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=899m57742;8=o6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;1>0(<<>1;3316=#0o:188l7;%::3968j446;389:i5+11:f>04f>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi9?l::084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;9<7c?=128163c<,8:3i7;=a79j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`66gc=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?5:4$0025?77=:1/4k>544`;?!>>03;o56*iab827=2b2<8j:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm53`g>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?=8;o3156<5:>:0(<>7e;71e3=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.mmo4>3918 44693;;9>5+8g2900d?3-2247?k9:&eef<6;190b<<>3;0134=#992n68o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a17da280<6=4?{%33bg:3:&;b5<3=k20(577:314?k759:09>:<4$02;a?35i?1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>>n:51;694?6|,8:mn76ia:J25c><@8:mj6*iac827=5<,88:=7??529'"aij0:?5=4n0027?45?:1/==6j:40b2>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e=;i86<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7503g;9=>4=2668 46?m3?9m;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;180(<<>1;3316=#0o:188l7;%::3908j446;389;85+11:f>04f>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi9?m::084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;9<7c?=1281620<,8:3i7;=a79j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`66f4=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?5<4$0025?77=:1/4k>544`;?!>>03;o56*iab827=4b2<8j:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm53a2>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?=8;o3156<5:>20(<>7e;71e3=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.mmo4>3938 44693;;9>5+8g2900d?3-2247?k9:&eef<6;1;0b<<>3;013<=#992n68o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a17e0280<6=4?{%33bg:3:&;b5<3=k20(577:314?k759:09>:o4$02;a?35i?1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>>no51;694?6|,8:mn76ia:J25c><@8:mj6*iac827=7<,88:=7??529'"aij0:?5?4n0027?45?k1/==6j:40b2>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e=;i26<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7503g;9=>4=26a8 46?m3?9m;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;0<0(<<>1;3316=#0o:188l7;%::3848j446;389;i5+11:f>04f>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi9?mj:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;9<7c?=128162c<,8:3i7;=a79j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`66a7=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?5>4$0025?77=:1/4k>544`;?!>>03;o56*iab827=6b2<8j:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm53f3>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?=8;o3156<5:1:0(<>7e;71e3=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.mmo4>3928 44693;;9>5+8g2900d?3-2247?k9:&eef<6;1:0b<<>3;01<4=#992n68o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a17ed280<6=4?{%33bg:3:&;b5<3=k20(577:314?k759:09>5<4$02;a?35i?1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th>>i;51;694?6|,8:mn76ia:J25c><@8:mj6*iac8272`<,88:=7??529'"aij0:?:h4n0027?450:1/==6j:40b2>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e=;n?6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>7503g;9=>4=2968 46?m3?9m;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;>l0(<<>1;3316=#0o:188l7;%::36d8j446;389485+11:f>04f>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi9?j9:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;9<7c?=12816=0<,8:3i7;=a79j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7=<3=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44658528j446;3894:5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=3n:4>:283>5}#99li65h6;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<49;1e=??<:30;<>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj=2i:7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4=29;8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#00214l5a1330>74?i2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891>?6m;%33<`<3n:l0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi88;::086>5<7s-;;jo47fc9K54`?3A;;jk5+f``9560?3-;9=<4>0418 =`72=?i46*7998ae>"aij0:?;64n0027?450j1/==6j:570g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c00f`<62<0;6=u+11da>=`e3A;:j55G11de?!`fj3;8:l5+1332>462;2.3j=4;5c:8 =??2kk0(kol:015e>h6:891>?6k;%33<`<5;h?0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298kg0>290/=??>:d1:?>{e<19i6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4g03g;9=>4=29g8 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l94n0027?450o1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982e2=i9;;86?<60:&24=c=<21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn96;7;393?6=8r.:544`;?!>>03;j;6`>201967?63-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0=26280<6=4?{%33bg:3:&;b5<3=k20(577:0c4?k759:09>4<4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?4>j51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h=0b<<>3;01=6=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6;7<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a69m57742;8286*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj=28;7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=523;6?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<1290;w)??fc8;bf=O98l37E??fg9'577628:>?6*7f1871g><,13367e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn97kf;393?6=8r.:544`;?!>>032;46`>201967?03-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a17`d280?6=4?{%33bg<>=?1C=h6:891>?77;%33<`<2:h<0e59i:18'5776212j76g78183>!7598034o54i0250?6=,88:=7??6998kg0>290/=??>:d1:?>{e=;lm6<4::183!77nk03jo5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>4bf3g;9=>4=28;8 46?m3?9m;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=hj?31<7*>2039a6?<3th?9>k51;694?6|,8:mn77:6:J25c><@8:mj6*>2039=02<@8:?j6F78g9'?83:1(<<>1;:;f>=n99461021dn;750;&2647=m:307pl;52d95?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021;n<4n0027?451k1/==6j:570g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79984g7=i9;;86?<6c:&24=c=<<9h7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?=n7;390?6=8r.:a83>>n55a1330>74>l2.:<5k522c6?l>0n3:1(<<>1;:;e>=n01:1<7*>2039<=d<3`;;:94?:%3154<68?207bl99;29 44693o8565rb31b0g`90gd8 44693;;9>5+8g2900d?3-22479l2:l2645=:;3n7)??8d817d36663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e::k26<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>2e53g;9=>4=28d8 46?m388m85f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;;62>N69o20D<>if:&2647=1<>0D<>;f:J;7e;66ff=n0>l1<7*>2039<=g<3`23<7>5$0025?>?j21b==8;:18'577628:=465`b7;94?"6:8;1i>74;|`7ed6=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44652778j446;389m<5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=jm<4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6n;1e=??<:30b6>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>:6F>1g:8L46an2.:>4=2`18 46?m3>>nn5f86d94?"6:8;145o4;h:;4?6=,88:=767b:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?m<@8:mj6*>203955343-2m<7::b99'<<>=:??0b<<>3;01e1=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1a56<62=0;6=u+11da><313A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;389m85+11:f>4e5<2c3;k4?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg5e9=0:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984<==i9;;86?21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`0f43=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446523d8j446;389m:5+11:f>4e5<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:583>5}#99li64;9;I32b==O99lm7)?=108:11=O99>m7E67f:&;b5<3=k20b<<>3;01e==#992n67290/=??>:9:a?>o68?>1<7*>2039550?32ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<455a1330>74f12.:<5k51b07?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th8n<<51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:;l0b<<>3;01ed=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1a4a<62=0;6=u+11da><313A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;389mo5+11:f>4e5<2c3;k4?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg5e8l0:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984<==i9;;86?21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`0f5`=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446523d8j446;389mi5+11:f>4e5<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:583>5}#99li64;9;I32b==O99lm7)?=108:11=O99>m7E67f:&;b5<3=k20b<<>3;01e`=#992n67290/=??>:9:a?>o68?>1<7*>2039550?32ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110<455a1330>74fn2.:<5k51b07?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th8n=m51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:;l0b<<>3;01f5=#992n6o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c1a42<62=0;6=u+11da><313A;:j55G11de?!759802995G116e?M>?n2.3j=4;5c:8j446;389n<5+11:f>4e5<2c3;k4?:%315407694?"6:8;1==87;:ma2<<72-;9=<4j3898yg5e810:6;4?:1y'55`e21lh7E?>f99K55`a3-;9=<4>0418 =`72=?i46*79984<==i9;;86?21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`0f5?=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446523d8j446;389n>5+11:f>4e5<2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=jo<4>:583>5}#99li64;9;I32b==O99lm7)?=108:11=O99>m7E67f:&;b5<3=k20b<<>3;01f1=#992n69;mc:k;3c<72-;9=<478`98m=>7290/=??>:9:a?>o68?>1<7*>2039550?32ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109<<5a1330>74e=2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891>?l9;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi9?l9:087>5<7s-;;jo46579K54`?3A;;jk5+1332><333A;;8k5G89d8 =`72=?i46`>201967d03-;;4h4:2`48m=1a290/=??>:9:b?>o?090;6)?=108;5$0025?77>110co86:18'57762l9276sm53`4>4<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,13369?7;o3156<5:k20(<>7e;71e3=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:904>b2<8j:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31541<729q/==hm:875?M76n11C==hi;%3154<>==1C==:i;I:;b>"?n90?9o64n0027?45jh1/==6j:40b2>o??o0;6)?=108;=>e32c:<;:50;&2647=99<376am6883>!75980n?454}c71``<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554<229m57742;8in6*>09g917g13`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj<8oj7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=523``?!770l0>>l84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8:13=O98l37E??fg9'577620??7E??4g9K<=`<,1l;69;m8:l2645=:;ho7)??8d871ge=>f32c34=4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a0:3:&;b5<3=k20(577:96`?k759:09>ok4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?54m51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=0<30b<<>3;01fc=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c60a6<62?0;6=u+11da>=`d3A;:j55G11de?!`fj3;8mn5+1332>462;2.3j=4;5c:8 =??28on7)hnc;30ef=i9;;86?21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865`b7;94?"6:8;1i>74;|`66cc=93<1<7>t$02ef?>ak2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44659e9m57742;8h=6*>09g917g13`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757ib;:eg>N69o20D<>if:&2647=99?87)6i0;66f==#0021=l=4n0027?45k;1/==6j:40b2>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=`5>32wi?no8:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``956df3-;9=<4>0418 =`72=?i46*79982=1=#nhi1=>ln;o3156<5:j90(<>7e;1a`==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65`b7;94?"6:8;1i>74;|`0gd3=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44657b18j446;389o95+11:f>6dc02c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=4>:583>5}#99li65hn;I32b==O99lm7)hnb;30f0=#9;;:6<>:3:&;b5<3=k20(577:3::?!`fk3;8n85a1330>74d=2.:<5k53cf;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:95dbb2:ho46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?j6`>201967e03-;;4h4;36d8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6cd3280<6=4?{%33bg:3:&;b5<3=k20(577:0c2?k759:09>n64$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9o<851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=9h;0b<<>3;01g<=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0;3=<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>a09m57742;8hm6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;lih7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=523aa?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?97;o3156<5:ji0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962>b2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;55a1330>74dm2.:<5k52d4;?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891>?mi;%33<`<5ijk0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>56=:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=37c?=12816a6<,8:3i7<82b9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1bg`=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526:8j446;389h<5+11:f>7c102c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=<4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?11e=??<:30g6>"681o1>lmn;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<88:l2645=:;n87)??8d8137e6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:oi;6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71?3g;9=>4=2e68 46?m38n:55f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:64n0027?45l<1/==6j:3c`e>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799813==i9;;86?8h7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?hl1;393?6=8r.:544`;?!>>038<46`>201967b03-;;4h4=e7:8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6f44280<6=4?{%33bg:3:&;b5<3=k20(577:35;?k759:09>i64$02;a?4fkh1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th945;51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:>20b<<>3;01`<=#992n6?9=c:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0eg7<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=799m57742;8om6*>09g96`0?3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;i987?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=523fa?!770l09mno4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?97;o3156<5:mi0(<>7e;046f=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962>b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;55a1330>74cm2.:<5k52`ab?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>2039757??3;1;7>50z&24cd=0on0Dh6:891>?ji;%33<`<5?;i0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>km;:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=37c?=12816`6<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1g70=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526:8j446;389i<5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?11e=??<:30f6>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<88:l2645=:;o87)??8d81a3>6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:j8<6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71?3g;9=>4=2d68 46?m38jol5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:64n0027?45m<1/==6j:351g>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799813==i9;;86?21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?m>7;393?6=8r.:544`;?!>>038<46`>201967c03-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6=1>280<6=4?{%33bg:3:&;b5<3=k20(577:35;?k759:09>h64$02;a?40:j1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9jo851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:>20b<<>3;01a<=#992n6?k98:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0`5=<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=799m57742;8nm6*>09g96def3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;2N68ol0(<<>1;3316=#0o:188l7;%::<=523ga?!770l09;?m4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?97;o3156<5:li0(<>7e;0f2==n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962>b2;khm6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109;55a1330>74bm2.:<5k5260`?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891>?ki;%33<`<5m?20e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi>n?n:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;=37c?=12816c6<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1<2e=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446526:8j446;389j<5+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?11e=??<:30e6>"681o1>h87;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<88:l2645=:;l87)??8d81efg6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:1=o6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>71?3g;9=>4=2g68 46?m38<>n5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>:64n0027?45n<1/==6j:3g5<>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799813==i9;;86?21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn?68e;393?6=8r.:544`;?!>>038<46`>201967`03-;;4h4=73a8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a6cde280<6=4?{%33bg:3:&;b5<3=k20(577:35;?k759:09>k64$02;a?4b>11b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th9o<@8:mj6*>203955343-2m<7::b99'<<>=:>20b<<>3;01b<=#992n6?ola:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c0;3c<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=799m57742;8mm6*>09g9624d3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj;lio7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=523da?!770l09i;64i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336?97;o3156<5:oi0(<>7e;0bgd=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:962>b2;=9o6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109>h5a1330>74am2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>20397574m3;1;7>50z&24cd=0on0Dh6:891>?hi;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi85:;:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;8n7c?=1281756<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7<10=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446523g8j446;388<<5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=38=4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5:l1e=??<:3136>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<=e:l2645=:::87)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e<1936<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>74b3g;9=>4=3168 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021>?k4n0027?448<1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*7998;g>h6:891>>>9;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi9?l=:087>5<7s-;;jo46579K54`?3A;;jk5+1332><333A;;8k5G89d8 =`72=?i46`>201966603-;;4h4:2`48m=1a290/=??>:9:b?>o?090;6)?=108;5$0025?77>110co86:18'57762l9276sm53`0>4<4290;w)??fc8;b<=O98l37E??fg9'577628:>?6*7f1871g><,1336<;9;o3156<5;920(<>7e;71e3=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921dn;750;&2647=m:307pl:2c695?1=83:p(<>ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021=ho4n0027?44801/==6j:40b2>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876g78283>!759808<<5a132e>1=6663g;9;j0:694?:1y'55`e20?=7E?>f99K55`a3-;9=<46559K552a3A23j6*7f1871g>b2=?io6g77g83>!7598034l54i9:3>5<#9;;:656m;:k2432=83.:>ie>00;6)?=108f7<=2?i4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;=="681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>0g`90gd8 44693;;9>5+8g2900d?3-22476:0:l2645=:::h7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e9jhn6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;004a=#992n60290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>b28iih6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4b62>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>1d0>2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9?;j:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?44991/==6j:433f>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e<=<96<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;0054=#992n69:=a:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>b2=9>=6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm4577>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;388=>5+11:f>124?2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi8:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?449=1/==6j:2de<>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e<;oo6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;0050=#992n690290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>b2;8j<6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm23aa>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;388=:5+11:f>74>;2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>?:<:085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?44911/==6j:302b>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;9ko6<49:183!77nk0:0:&;b5<3=k20b<<>3;005<=#992n6?k98:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;o=46g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm2e24>4<1290;w)??fc84g5=O98l37E??fg9'<<>=<2.:>7gdi2c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi>ihk:085>5<7s-;;jo48c19K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?449j1/==6j:3c`e>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e:0<26<49:183!77nk0:0:&;b5<3=k20b<<>3;005a=#992n6?9=c:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==20393ad<@8:><6*7f1871g>b2;=9o6g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm40c1>4"?n90?9o64n0027?449o1/==6j:534`>o4i;0;6)?=10876===>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n993;6=4+1332>46>82B:t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2=;!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f17f<3;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=52202?!770l0?=:j4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd39h?1=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;0067=#992n69?8d:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=;j:7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201966443-;;4h4;16f8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?=l951;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?44:=1/==6j:534`>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9?n8;39j1/44654:J2406<,1l;69;m8:l2645=::8>7)??8d8752b14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;1`;95?>=83:p(<>ib;5`=>N69o20D<>if:&2647=99;5+11:f>170l2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb53be?7=03:10g`93f?<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891>><8;%33<`<39>n0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`75dd=9321<7>t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2=;!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f715<3;1:7>50z&24cd=?j:0D4=33;8 46?m38><45f3`094?"6:8;18?64;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb3511?7=>3:10g`93f6<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:09??o4$02;a?42801b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vn?9=6;392?6=8r.:7e;064<=n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;=9;7?56;294~"68oh1;n>4H03e<>N68ol0(<<>1;5gf>"?110?7E??519'1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f71503;1:7>50z&24cd=?j:0D4=33f8 46?m38><45f3`094?"6:8;18?64;h6;3?6=,88:=7679:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h10e999:18'577628:>865rb351=?7=>3:10g`93f6<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:09??k4$02;a?42801b?l<50;&2647=<;207d:77;29 4469323565`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m465i3:1(<<>1;332d=462<21vn?9=a;392?6=8r.:7e;064<=n;h81<7*>203907><3`>3;7>5$0025?>?121d===<:18'57762l9276g;1`83>!75980?=l54i021e?6=,88:=7??6`98m111290/=??>:0260>=zj;=9n7?56;294~"68oh1;n>4H03e<>N68ol0(<<>1;5gf>"?110?7E??519'1;:;=>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f1c4n3;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>4=3238 46?m3>>nn5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb5:63:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:09?><4$02;a?22jj1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn9l:c;392?6=8r.:544`;?!>>032886`>201966543-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=i9?4>:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<1l2d:><=52217?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336>=j;o3156<5;:?0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=2957?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=52215?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<3290;w)??fc8:10=O98l37E??fg9'577620??7)6i0;66f==i9;;86?=<7:&24=c=<07:8?jd113:1(<<>1;g0=>=zj==347?54;294~"68oh158;4H03e<>N68ol0(<<>1;;60>"?n90?9o64n0027?44;11/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c6411<62=0;6=u+11da><323A;:j55G11de?!759802995+8g2900d?3g;9=>4=32;8 46?m3>>nn5f43694?"6:8;145l4;h6g7?6=,88:=767a:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?;8;51;694?6|,8:mn77:5:J25c><@8:mj6*>2039=02<,1l;69;m8:l2645=::9j7)??8d871ge=>e32c?h>4?:%315494;29 44693;;:554oc4:>5<#9;;:6h=6;:a64`528036=4?{%33bg<0kj1C=9c:&;==77dl2c8m?4?:%3154<3:110e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==7?:18'577628:2<6F>0gg8?xd59o91=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;007f=#992n6??ld:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;;m87?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>2019665c3-;;4h4=1bf8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th9=k;51;:94?6|,8:mn79l9:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?44;l1/==6j:33``>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn??i6;39j1/44654:J2406<,1l;69;m8:l2645=::9m7)??8d815fb14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=1g595?>=83:p(<>ib;5`=>N69o20D<>if:&2647=9977dl2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb33e0g`93f?<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891>>:>;%33<`<59jn0e>o=:18'57762=8376g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n<8k1<7*>203904g<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`15c?=9321<7>t$02ef?1d12B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2;;hh6g!75980?>554i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f77ai3;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=52260?!770l09=nj4i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd59oh1=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;0001=#992n6??ld:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj;:3?7?58;294~"68oh1;nm4H03e<>N68ol0(<<>1;332f=#0021n45+8g2900d?3g;9=>4=3578 46?m38;9h5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5503290/=??>:02:0>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i02:4?6=,88:=7??919K55`b32wi>=6;:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<5;=<0(<>7e;031`=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742;9?;6*>09g9653b3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm21:5>4544`;?k759:09?964$02;a?47=l1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c03<2<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86?=;9:&24=c=:9?n7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a65>?28036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4=35c8 46?m38;9h5f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg47000:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:317f>"681o1>=;j;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e:92j6<47:183!77nk0:025g>"?110?7E??519'1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>753l2.:<5k5217f?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi>=6l:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<5;=o0(<>7e;031`=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:=<729q/==hm:6ag?M76n11C==hi;%3154<68?i0(577:c;8 =`72=?i46`>2019662a3-;;4h4;36d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<;:50;&2647=993?76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77190;6)?=10824<6<@8:mi65rb51`g?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891>>;?;%33<`<3;>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`77fc=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2=9!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f15c83;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=52271?!770l0??:h4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd3;m81=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;0016=#992n69=8f:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=9o87?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201966333-;;4h4;36d8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th??i851;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?44=<1/==6j:514b>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9=k8;39j1/44654:J2406<,1l;69;m8:l2645=::?=7)??8d8772`14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;3ec95?>=83:p(<>ib;5`e>N69o20D<>if:&2647=99150n2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb51gg?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891>>;7;%33<`<3;>l0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`77fg=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2=9!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f16a93;147>50z&24cd=?ji0D=j01/4k>544`;?k759:09?8o4$02;a?5an11b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e<9lh6<47:183!77nk0:025g>"?110?7E??519'1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:<:4>:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>752k2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8<>n:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<5;7e;1eb==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:>0c82>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742;9>i6*>09g97c`?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm402`>4544`;?k759:09?8h4$02;a?5an11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c624a<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86?=90:&24=c=;ol37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a046b28036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4=3738 46?m39mj55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg268o0:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:3156>"681o1?kh7;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<8;;6<47:183!77nk0:025g>"?110?7E??519'1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=;j?4>:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>751<2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8=h<:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<5;??0(<>7e;1eb==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:?f582>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742;9=:6*>09g97c`?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm41d6>4544`;?k759:09?;94$02;a?5an11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c63b3<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86?=98:&24=c=;ol37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a05`028036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4=37;8 46?m39mj55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg27n10:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:315e>"681o1?kh7;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<9l26<47:183!77nk0:025g>"?110?7E??519'1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=;jl4>:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>751k2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8=hm:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<5;?n0(<>7e;1eb==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:?fe82>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742;9=i6*>09g97c`?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm41df>4544`;?k759:09?;h4$02;a?5an11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c63bc<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86?=80:&24=c=;ol37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a046728036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4=3638 46?m39mj55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg26880:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:3146>"681o1?kh7;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e<8:96<47:183!77nk0:025g>"?110?7E??519'1;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:<>4>:983>5}#99li6:m6;I32b==O99lm7)?=108243e<,133695G1173?!>a83>>n55a1330>750<2.:<5k53gd;?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8<>;:08;>5<7s-;;jo48c89K54`?3A;;jk5+1332>461k2.3554;;I3315=#0o:188l7;o3156<5;>?0(<>7e;1eb==n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo:>0482>=<729q/==hm:6a:?M76n11C==hi;%3154<68?i0(577:59K55373-2m<7::b99m57742;9<:6*>09g97c`?3`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm4025>4544`;?k759:09?:94$02;a?5an11b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c624=<6210;6=u+11da>2e>3A;:j55G11de?!75980:<;m4$9;;>1=O99?;7)6i0;66f==i9;;86?=88:&24=c=;ol37d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a046>28036=4?{%33bg<0k01C=9c:&;==<33A;;9=5+8g2900d?3g;9=>4=36;8 46?m39mj55f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg2bkh0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5225b?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6fgg<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>>9m;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:jcb82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::=h7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=noi4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>2019661c3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2bkl0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5225f?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6g`d<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>>9i;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:kdb82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::2;7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=ohh4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201966>63-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2cm90:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=522:1?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6ga7<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>>6<;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:kd182>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::2?7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=oh?4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201966>23-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2cl=0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=522:5?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6g`3<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>>68;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:kd982>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::237)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=omh4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201966>>3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2cj90:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=522:b?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6gf7<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>>6m;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:kb582>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::2h7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=on;4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201966>c3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2ck=0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=522:f?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6gg3<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>>6i;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:kc982>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::3;7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=ool4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201966?63-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2ckj0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=522;1?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6gg`<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>>7<;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:kb982>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::3?7)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=onl4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201966?23-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg2cjj0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=522;5?!770l0?9om4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c6gf`<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>>78;%33<`<3=ki0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo:kc182>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=::337)??8d871ge14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=oo?4>:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201966?>3-;;4h4;5ca8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg5cm80:654?:1y'55`e2>i27E?>f99K55`a3-;9=<4>07a8 =??2=1C==;?;%:e4?22j11e=??<:31:e>"681o1?oj7;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;mo96<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;00=g=#992n6>lk8:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==<6*7f1871g>b2:ho46g!75980?>554i5:4>5<#9;;:6566;:m2465=83.:>1;62e>=n998j6=4+1332>461i21b8:850;&2647=99??76sm3eg7>4<1290;w)??fc84g5=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;3885i5+11:f>6dc02c8m?4?:%3154<3:110e968:18'5776212276a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j554f290/=??>:025e>=n<><1<7*>2039553332wi?ik::085>5<7s-;;jo48c19K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?441l1/==6j:2`g<>o4i;0;6)?=10876===>>32e:<>=50;&2647=m:307d:>a;29 44693>:m65f110b>5<#9;;:6<>9a:9j020=83.:>{e;mo=6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;00=c=#992n6>lk8:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`>:m7>5$0025?26i21b==:3:&;b5<3=k20(577:0f;?k759:09?l>4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65`b7;94?"6:8;1i>74;|`7e2g=93>1<7>t$02ef??2=2B:=k64H02eb>"6:8;158:4$9d3>13e02d:><=522c2?!770l0?9om4i507>5<#9;;:656m;:k7`6<72-;9=<478`98m461<3:1(<<>1;332==`5>32wi8l9k:085>5<7s-;;jo47fb9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;987c?=12817d4<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>20397571;g0=>=zj=kN68ol0(<<>1;;60>"?n90?9o64n0027?44i:1/==6j:57ag>o3:=0;6)?=108;=>f32c:<;:50;&2647=99<376am6883>!75980n?454}c01gf<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.35546663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109m6`>201966g23-;;4h4>ccf8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9o?0=0;6)?=108044=i9;:m6854oc4:>5<#9;;:6h=6;:a0104280=6=4?{%33bg:3:&;b5<3=k20(577:2a8j446;388m;5+11:f>125i2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9:37`8j446;388m:5+11:f>1d0>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-ljn7?<689'577628:>?6*7f1871g><,1336oo4$gc`>45112d:><=522c;?!770l0>==l4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=`5>32wi8>99:086>5<7s-;;jo47fc9K54`?3A;;jk5+f``9560b3-;9=<4>0418 =`72=?i46*799857>"aij0:?;k4n0027?44i01/==6j:5165>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398m=>5290/=??>:222?k758o0876am6883>!75980n?454}c6710<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=5:l2645=::kj7)??8d870616663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332c3494?:%3154<4881e=?>i:498kg0>290/=??>:d1:?>{e:;hn6<48:183!77nk03ji5G10d;?M77no1/=??>:0267>"?n90?9o64$9;;>73b2;8j<6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31540<729q/==hm:9da?M76n11C==hi;%dbf?74>m1/=??>:0267>"?n90?9o64$9;;>35<,okh6<=9d:l2645=::kh7)??8d8164`6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54oc4:>5<#9;;:6h=6;:a75gb280>6=4?{%33bg"?110=?6*iab8263>b2;o=46g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>ie>00;6)?=108f7<=:483>5}#99li65hm;I32b==O99lm7)hnb;3122=#9;;:6<>:3:&;b5<3=k20(577:718 cgd288=;6`>201966gb3-;;4h4=abc8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;94<2290;w)??fc8;bg=O98l37E??fg9'bdd=9;<=7)?=1082405<,1l;69;m8:&;==<1;2.mmn4>2748j446;388mk5+11:f>715k2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*79982<1=i9;;86?=m0:&24=c=<;k?7d68f;29 446939;=6`>21d94>=n01:1<7*>2039757?;3:1(<<>1;135>h6:9l1865f89694?"6:8;1?=?4n003b?3<3fh=57>5$0025?c4121vn9?l2;393?6=8r.:544`;?!>>033m7c?=12817g7<,8:3i7=if99j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`2gf6=93?1<7>t$02ef?>aj2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/4465629m57742;9i>6*>09g95fdc3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>203975750z&24cd=0on0Dh6:891>>l<;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8;6>:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2<90b<<>3;00f1=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c64<<<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.35547079m57742;9i96*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj==>:7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::7>2d:><=522`5?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;94<0290;w)??fc8;ba=O98l37E??fg9'577628:>?6*7f1871g><,1336:k>;o3156<5;k=0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:93cdb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954i9:7>5<#9;;:6>>>;o314c<232ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1103:?5a1330>75e12.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891>>ln;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi?5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??28=97c?=12817gd<,8:3i721d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`1`cc=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44651608j446;388nn5+11:f>7gdi2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=6663g;9ie>00;6)?=108f7<=:683>5}#99li65hk;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<6?;1e=??<:31a`>"681o1>:50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9j<=2=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-22476?3:l2645=::hn7)??8d871ge6663g;9:18'57762:::7c?=0g81?>o?0;0;6)?=108044=i9;:m6>54i9:0>5<#9;;:6>>>;o314c<332ei:44?:%31542<729q/==hm:9dg?M76n11C==hi;%3154<68<90(5h?:57a<>"?1109=k5a1330>75en2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0oi0Dh6:891>>m?;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76am6883>!75980n?454}c6g0g<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=8c9m57742;9h=6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?h;?51;694?6|,8:mn76ia:J25c><@8:mj6*>203955343-2m<7::b99'<<>=:1h0b<<>3;00g7=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0a0>280?6=4?{%33bg:3:&;b5<3=k20(577:52b?k759:09?n=4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9j9b;390?6=8r.:544`;?!>>03>;m6`>201966e33-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9m0:694?:1y'55`e21lj7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799874d=i9;;86?=l5:&24=c=<21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&2647=99?87)6i0;66f==#00218=o4n0027?44k?1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>16f3g;9=>4=3b58 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247:?a:l2645=::i37)??8d871ge6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=o;84>:583>5}#99li65hn;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<38h1e=??<:31`=>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7`21=93>1<7>t$02ef?>ai2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446541c8j446;388ol5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi8i:j:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2=:j7c?=12817fd<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0Dh6:891>>ml;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?75dl2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1=7:50;2x 46aj32mm6F>1g:8L46an2.:>a83>>n55+88:905gb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4e75>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,13369>n;o3156<5;jl0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=n>47?54;294~"68oh14ko4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=522f3?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6g1d<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554;0`9m57742;9o=6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?h8m51;694?6|,8:mn76ia:J25c><@8:mj6*>203955343-2m<7::b99'<<>=<9k0b<<>3;00`7=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0a3b280?6=4?{%33bg:3:&;b5<3=k20(577:52b?k759:09?i=4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9j90;390?6=8r.:544`;?!>>03>;m6`>201966b33-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9=0:694?:1y'55`e21lj7E?>f99K55`a3-;9=<4>0418 =`72=?i46*799874d=i9;;86?=k5:&24=c=<21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&2647=99?87)6i0;66f==#00218=o4n0027?44l?1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>16f3g;9=>4=3e58 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>3:10g`90gd8 44693;;9>5+8g2900d?3-2247::;o3156<5;m20(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3fh=57>5$0025?c4121vn9j?6;390?6=8r.:544`;?!>>03>;m6`>201966b>3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7??6*7f1871g><,13369>n;%dbg?74l;1e=??<:31ge>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7`72=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?n74$0025?77=:1/4k>544`;?!>>03>;m6*iab827f?b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4e05>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:n97)?=1082405<,1l;69;m8:&;==<38h1/jlm512f1?k759:09?im4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9j=8;390?6=8r.:45c92.:>a83>>n55+88:905g<,okh6<=k1:l2645=::no7)??8d871ge6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=o>l4>:583>5}#99li65hn;I32b==O99lm7)hnb;30`4=#9;;:6<>:3:&;b5<3=k20(577:52b?!`fk3;8h<5a1330>75cm2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>3e28 44693;;9>5+8g2900d?3-2247:?a:&eef<6;m:0b<<>3;00`c=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0a4b280?6=4?{%33bgj?;%3154<68<90(5h?:57a<>"?110?4=3d28 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge289hj6*>203955343-2m<7::b99'<<>=<9k0(kol:01`b>h6:891>>k>;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74ko1/=??>:0267>"?n90?9o64$9;;>16f3-ljo7?6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?h=751;694?6|,8:mn76ia:J25c><@8:mj6*iac827fc<,88:=7??529'"aij0:?nk4n0027?44m:1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e13e02.3554;0`9'bde=9:in7c?=12817`2<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D45dl2d:><=522g6?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6g4c<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8oi5+1332>462;2.3j=4;5c:8 =??2=:j7)hnc;30ga=i9;;86?=j6:&24=c=<21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;ji0(<<>1;3316=#0o:188l7;%::3ba8j446;388i:5+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi8i?<:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956ed3-;9=<4>0418 =`72=?i46*799874d=#nhi1=>ml;o3156<5;l20(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=n:97?54;294~"68oh14ko4H03e<>N68ol0(kom:01`f>"6:8;1==;<;%:e4?22j11/446541c8 cgd289hn6`>201966c>3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;90:694?:1y'55`e21lj7E?>f99K55`a3-ljn7??6*7f1871g><,13369>n;%dbg?74kk1e=??<:31fe>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7`4?=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?no4$0025?77=:1/4k>544`;?!>>03>;m6*iab827fgb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4e3a>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:ij7)?=1082405<,1l;69;m8:&;==<38h1/jlm512ab?k759:09?hm4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9j>f;390?6=8r.:45d12.:>a83>>n55+88:905g<,okh6<=l9:l2645=::oo7)??8d871ge6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=o><4>:583>5}#99li65hn;I32b==O99lm7)hnb;30g==#9;;:6<>:3:&;b5<3=k20(577:52b?!`fk3;8o55a1330>75bm2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>3b:8 44693;;9>5+8g2900d?3-2247:?a:&eef<6;j20b<<>3;00ac=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0g24280<6=4?{%33bg:3:&;b5<3=k20(577:33e?k759:09?k>4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?i9851;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?44n81/==6j:57ag>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9k<9;391=#9;;:6<>9c:J2406<,1l;69;m8:l2645=::l97)??8d871ge14?32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j04g=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl;b5495?2=83:p(<>ib;:ee>N69o20D<>if:&eeg<6:mh0(<<>1;3316=#0o:188l7;%::09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?o4k51;694?6|,8:mn76ia:J25c><@8:mj6*iac827`4<,88:=7??529'"aij0:?h<4n0027?44n=1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e13e02.35548729'bde=9:o97c?=12817c3<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D45bn2d:><=522d5?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6g<5<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;84n5+1332>462;2.3j=4;5c:8 =??2>=87)hnc;3021d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;ll0(<<>1;3316=#0o:188l7;%::3dd8j446;388j55+11:f>13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi8i6;:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956cb3-;9=<4>0418 =`72=?i46*7998436=#nhi1=>kj;o3156<5;o30(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=n3:7?54;294~"68oh14ko4H03e<>N68ol0(kom:01fa>"6:8;1==;<;%:e4?22j11/44657618 cgd289ni6`>201966`f3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7??6*7f1871g><,1336:9<;%dbg?74m81e=??<:31ef>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7`=e=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?h?4$0025?77=:1/4k>544`;?!>>03=b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4efa>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:nm7)?=1082405<,1l;69;m8:&;==<0?:1/jlm512fe?k759:09?kj4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9jkd;390?6=8r.:45e:2.:>a83>>n55+88:9325<,okh6<=m2:l2645=::ln7)??8d871ge6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=ohk4>:583>5}#99li65hn;I32b==O99lm7)hnb;30`c=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;8hk5a1330>75an2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>3eg8 44693;;9>5+8g2900d?3-2247983:&eef<6;mo0b<<>3;0745=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0ac4280?6=4?{%33bgjj;%3154<68<90(5h?:57a<>"?110<;>5+f`a956bb3g;9=>4=4138 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge289i>6*>203955343-2m<7::b99'<<>=?>90(kol:01a6>h6:891>9>=;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?74001/=??>:0267>"?n90?9o64$9;;>2143-ljo7?<889m57742;>;?6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?hi;51;694?6|,8:mn76ia:J25c><@8:mj6*iac827=><,88:=7??529'"aij0:?564n0027?438=1/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e13e02.35548729'bde=9:no7c?=1281053<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D45cl2d:><=52525?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6gf4<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8445+1332>462;2.3j=4;5c:8 =??2>=87)hnc;30<<=i9;;86?:?7:&24=c=<21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&2647=99?87)6i0;66f==#0021;:=4n0027?43811/==6j:57ag>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e6<4;:183!77nk03jl5G10d;?M77no1/jll512g3?!75980:<8=4$9d3>13e02.35548729'bde=9:o;7c?=128105?<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0D45b82d:><=5252b?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6gg0<62=0;6=u+11da>=`f3A;:j55G11de?!`fj3;8n<5+1332>462;2.3j=4;5c:8 =??2>=87)hnc;30f4=i9;;86?:?b:&24=c=<21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&eeg<6;1=0(<<>1;3316=#0o:188l7;%::3958j446;38?13ek2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi8im6:087>5<7s-;;jo47f`9K54`?3A;;jk5+f``956bd3-;9=<4>0418 =`72=?i46*7998436=#nhi1=>jl;o3156<5<9n0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=nhn7?54;294~"68oh14ko4H03e<>N68ol0(kom:01;<>"6:8;1==;<;%:e4?22j11/44657618 cgd289346`>2019616b3-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-ljn7?<879'577628:>?6*7f1871g><,1336:9<;%dbg?740?1e=??<:363b>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7`f`=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:?im4$0025?77=:1/4k>544`;?!>>03=b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4e`:>4<3290;w)??fc8;bd=O98l37E??fg9'bdd=9:h:7)?=1082405<,1l;69;m8:&;==<0?:1/jlm512`2?k759:098?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9jmb;390?6=8r.:45??2.:>a83>>n55+88:9325<,okh6<=77:l2645=:=;97)??8d871ge6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=oni4>:583>5}#99li65hn;I32b==O99lm7)hnb;30`g=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;8ho5a1330>726;2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>39a8 44693;;9>5+8g2900d?3-2247983:&eef<6;1i0b<<>3;0751=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0ae6280?6=4?{%33bgjm;%3154<68<90(5h?:57a<>"?110<;>5+f`a956be3g;9=>4=4078 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge2893:6*>203955343-2m<7::b99'<<>=?>90(kol:01;2>h6:891>9?9;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31543<729q/==hm:9d`?M76n11C==hi;%3154<68<90(5h?:57a<>"?110::;5a1330>726?2.:<5k54c55?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=hj?31<7*>2039a6?<3th?o?h51;694?6|,8:mn76ia:J25c><@8:mj6*>203955343-2m<7::b99'<<>=<9k0b<<>3;075==#992n69l86:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0f56280?6=4?{%33bg:3:&;b5<3=k20(577:52b?k759:098<74$02;a?2e??1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9m<3;390?6=8r.:544`;?!>>03>;m6`>2019617f3-;;4h4;b648m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799874d=i9;;86?:>b:&24=c=21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&2647=99?87)6i0;66f==#0021>5l4n0027?439j1/==6j:5`42>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e:0267>"?n90?9o64$9;;>7>e3g;9=>4=40f8 46?m3>i;;5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 44693;;9>5+8g2900d?3-2247<7b:l2645=:=;n7)??8d87f206663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=h?i4>:583>5}#99li65hn;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<50k1e=??<:362b>"681o18o99;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65`b7;94?"6:8;1i>74;|`7g43=93>1<7>t$02ef?>ai2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/446529`8j446;38?>=5+11:f>1d0>2c3;k4?:%3154<4881e=?>i:198m=>7290/=??>:222?k758o0:76g78083>!759808<<5a132e>7=`5>32wi8n?8:087>5<7s-;;jo47f`9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;2i7c?=1281077<,8:3i7:m779j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0Dh6:891>9<=;%33<`<3j><0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?725;2.:<5k54c55?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:905gb2=h<:6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4b3e>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,13369>n;o3156<5<;?0(<>7e;6a33=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=i9=7?54;294~"68oh14ko4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=52505?!770l0?n:84i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c6`66<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554;0`9m57742;>9;6*>09g90g113`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?o?;51;694?6|,8:mn76ia:J25c><@8:mj6*>203955343-2m<7::b99'<<>=<9k0b<<>3;076==#992n69l86:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0f40280?6=4?{%33bg:3:&;b5<3=k20(577:52b?k759:098?74$02;a?2e??1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn9m=a;390?6=8r.:544`;?!>>03>;m6`>2019614f3-;;4h4;b648m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799874d=i9;;86?:=b:&24=c=21d94>=n01:1<7*>2039757ib;:ee>N69o20D<>if:&2647=99?87)6i0;66f==#00218=o4n0027?43:j1/==6j:5`42>o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e=9ho6<4;:183!77nk03jl5G10d;?M77no1/jll51536?!75980:<8=4$9d3>13e02.3554;0`9'bde=9=;>7c?=128107b<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>203975750z&24cd=0ok0Dh6:891>9o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%3154<68<90(5h?:57a<>"?110?725n2.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.:>a83>>n55+88:905gb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm51a6>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,13369>n;o3156<5<:;0(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj<:h;7?54;294~"68oh14ko4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=52511?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976am6883>!75980n?454}c73g<<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554;0`9m57742;>8?6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th><@8:mj6*>203955343-2m<7::b99'<<>=<9k0b<<>3;0771=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a15d0280?6=4?{%33bg:3:&;b5<3=k20(577:52b?k759:098>;4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3fh=57>5$0025?c4121vn8>m9;390?6=8r.:544`;?!>>03>;m6`>201961513-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9f99K55`a3-;9=<4>0418 =`72=?i46*799874d=i9;;86?:<7:&24=c=<21d94>=n01:1<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021?95a1330>72402.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0Dh6:891>9=6;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532c34?4?:%3154<4881e=?>i:298m=>4290/=??>:222?k758o0?76g78583>!759808<<5a132e>0=`5>32wi8o:7:084>5<7s-;;jo47fe9K54`?3A;;jk5+1332>462;2.3j=4;5c:8 =??2;?n7c?=128106g<,8:3i7::bb9j<2`=83.:>21d95>=n01;1<7*>2039757?<3:1(<<>1;135>h6:9l1965`b7;94?"6:8;1i>74;|`7f3?=93>1<7>t$02ef?>ai2B:=k64H02eb>"aik0:>h<4$0025?77=:1/4k>544`;?!>>038;:6*iab826`4b2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10co86:18'57762l9276sm4d73>4=<2.:>544`;?k759:098>m4$02;a?22jj1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c6`e7<62?0;6=u+11da>=`d3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=7b9m57742;>8h6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>2039757=83:p(<>ib;5`=>N69o20D<>if:&;==<33-;9=<4>07a8L46282.3j=4;5c:8j446;38??h5+11:f>13ek2c8m?4?:%3154<3:110e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>1;62e>=n99461i2B:0468L46am21b==8;:18'577628:2865rb5`73?7=>3:10g`90gd8 cge288n=6*>203955343-2m<7::b99'<<>=?>1/jlm513g2?k759:098>h4$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07bl99;29 44693o8565rb5af5?7=<3:10g`90gd8 44693;;9>5+8g2900d?3-2247983:l2645=:=>;7)??8d871ge6663g;9:18'57762:::7c?=0g81?>ie>00;6)?=108f7<=hi>4>:583>5}#99li65hn;I32b==O99lm7)hnb;3746=#9;;:6<>:3:&;b5<3=k20(577:650?!`fk3;?<>5a1330>72392.:<5k544``?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9lf3?=83.:>1g:8L46an2.mmo4>4118 44693;;9>5+8g2900d?3-2247983:&eef<6<990b<<>3;0707=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54oc4:>5<#9;;:6h=6;:a0fc0280?6=4?{%33bg=;%3154<68<90(5h?:57a<>"?110<;>5+f`a951653g;9=>4=4518 46?m3>>nn5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>0g`90gd8 cge28>;>6*>203955343-2m<7::b99'<<>=?>90(kol:0636>h6:891>9:;;%33<`<3=ki0e59i:18'57762:::7c?=0g83?>o?090;6)?=108044=i9;:m6<54i9:2>5<#9;;:6>>>;o314c<532ei:44?:%31541<729q/==hm:9db?M76n11C==hi;%dbf?73881/=??>:0267>"?n90?9o64$9;;>2143-ljo7?;009m57742;>?96*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?ohj51;694?6|,8:mn76ia:J25c><@8:mj6*iac82057<,88:=7??529'"aij0:8=?4n0027?43o??o0;6)?=108044=i9;:m6=54i9:3>5<#9;;:6>>>;o314c<632c34<4?:%3154<4881e=?>i:398kg0>290/=??>:d1:?>{e;<::6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>72302.:<5k53307?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?8><:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<5<=30(<>7e;1161=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=:0582>=<729q/==hm:6a:?M76n11C==hi;%::?m6*>09g977433`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm3426>4=<2.:>544`;?k759:0989l4$02;a?55:=1b?l<50;&2647=<;207d:77;29 4469323565f1116>5<#9;;:6<>60:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>94;29 44693;;5954}c1643<6210;6=u+11da>2e>3A;:j55G11de?!>>03>0(<<>1;332f=O99?;7)6i0;66f==i9;;86?:;c:&24=c=;;8?7d=n2;29 44693>9465f49594?"6:8;14574;h3370<72-;9=<4>0828?j77;:0;6)?=108f7<=17f32c:<;o50;&2647=991;3311=O99ln76g>07694?"6:8;1==7;;:a71`228036=4?{%33bg<0k01C=2039550d3A;;9=5+8g2900d?3g;9=>4=45f8 46?m399>95f3`094?"6:8;18?64;h6;3?6=,88:=7679:9j5552290/=??>:02:4>=h99986=4+1332>`5>32c?=l4?:%3154<39h10e<>9a;29 44693;;:l5G11df?>o68<>1<7*>203955333A;;jh54i0250?6=,88:=7??9598yg53n?0:654?:1y'55`e2>i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:367a>"681o1??<;;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;=l<6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>72282.:<5k53307?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi?9h6:08;>5<7s-;;jo48c89K54`?3A;;jk5+88:90>"6:8;1==8l;I3315=#0o:188l7;o3156<5<<;0(<>7e;1161=n;h81<7*>203907><3`>3;7>5$0025?>?121b===::18'577628:2<65`1110>5<#9;;:6h=6;:k75d<72-;9=<4;1`98m461i3:1(<<>1;332d=O99ln76g>04694?"6:8;1==;;;I33b`=5$0025?771=10qo=;f`82>=<729q/==hm:6a:?M76n11C==hi;%::>>6*>09g977433`9j>7>5$0025?25021b85950;&2647=01307d??3483>!75980:<4>4;n3376<72-;9=<4j3898m17f290/=??>:53b?>o68?k1<7*>2039550f3A;;jh54i0260?6=,88:=7??559K55`b32c:<;:50;&2647=993?76sm46f`>4<3290;w)??fc8;bd=O98l37E??fg9'577628:>?6*7f1871g><,1336:o>;o3156<5<<90(<>7e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<1;g0=>=zj=o8m7?58;294~"68oh1;n74H03e<>N68ol0(577:59'577628:=o6F>0428 =`72=?i46`>201961333-;;4h4;5ca8m6g5290/=??>:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th?i>=51;:94?6|,8:mn79l9:J25c><@8:mj6*79987?!75980:<;m4H0264>"?n90?9o64n0027?43=<1/==6j:57ag>o4i;0;6)?=10876===>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h62e?6=,88:=7:>a:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn9mn0;392?6=8r.:544`;?!>>038201961313-;;4h4;5ca8m=1a290/=??>:222?k758o0;76g78183>!759808<<5a132e>4=6663g;9ie>00;6)?=108f7<=omk4>:783>5}#99li65hl;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<5?j1e=??<:3663>"681o188ll;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<?93:1(<<>1;135>h6:9l1>65f89094?"6:8;1?=?4n003b?5<3`23?7>5$0025?5792d:>=h54:9lf3?=83.:>1=7850;2x 46aj32mo6F>1g:8L46an2.:>a83>>n55+88:962eb2=?io6g77g83>!759808<<5a132e>5=6663g;9;:k;<4<72-;9=<4<009m576a2;10e56=:18'57762:::7c?=0g80?>o?0:0;6)?=108044=i9;:m6954oc4:>5<#9;;:6h=6;:a02b?280<6=4?{%33bg:3:&;b5<3=k20(577:6a1?k759:098874$02;a?22jj1b4:h50;&2647=;9;0b<?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th?;i851;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?j80b<<>3;071d=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6f17<62=0;6=u+11da>=`f3A;:j55G11de?!75980:<8=4$9d3>13e02.3554=3b9m57742;>>n6*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=hj?31<7*>2039a6?<3th?j:<51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>722k2.:<5k54g1e?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6k891=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;071a=#992n60290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj=ij47?56;294~"68oh14km4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=5257f?!770l0?9om4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098m=>6290/=??>:222?k758o0976g78383>!759808<<5a132e>6=6663g;9i27E?>f99K55`a3-2247:4$0025?77>j1C==;?;%:e4?22j11e=??<:366b>"681o1??<;;h1b6?6=,88:=7:=8:9j0=1=83.:>4?:%315407c94?"6:8;1==8n;I33b`=87>5$0025?77==1C==hj;:k2432=83.:>{e;=lh6<47:183!77nk01;:;=>=n999>6=4+1332>46>821d===<:18'57762l9276g;1`83>!75980?=l54i025e?6=,88:=7??6`9K55`b32c:<8:50;&2647=99??7E??fd98m461<3:1(<<>1;33=1=:983>5}#99li6:m6;I32b==O99lm7)668;68 44693;;:n5G1173?!>a83>>n55a1330>72192.:<5k53307?l5f:3:1(<<>1;61<>=n<1=1<7*>2039<=?<3`;;?84?:%3154<680:07b??3283>!75980n?454i53b>5<#9;;:69?n;:k243g=83.:>:4;29 44693;;995G11df?>o68?>1<7*>203955?332wi8hk7:085>5<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?43>;1/==6j:57ag>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e;j8;6<4=:183!77nk03hk5G10d;?M77no1/=??>:2;b?!>a83>>n55a1330>721;2.:<5k53cf;?l>0m3:1(<<>1;:;=>=hj?31<7*>2039a6?<@88:<65rb54f`?7=:3:10g`90gd8 4469392m6*7f1871g>b2=?io6g77d83>!7598034454oc4:>5<#9;;:6h=6;I3155=:383>5}#99li65ji;I32b==O99lm7)?=1080=d=#0o:188l7;o3156<57e;02ga=n0>o1<7*>2039<=?<3fh=57>5$0025?c412B:><>4;|`1427=9381<7>t$02ef?>cn2B:=k64H02eb>"6:8;1?4o4$9d3>13e02d:><=52545?!770l09<8k4i95f>5<#9;;:6566;:ma2<<72-;9=<4j389K577732wi89:k:081>5<7s-;;jo47dg9K54`?3A;;jk5+1332>6?f3-2m<7::b99m57742;>=;6*>09g901503`25$0025?>?121dn;750;&2647=m:30D<<>0:9~f123j3;1>7>50z&24cd=0ml0D3;072==#992n69:<7:k;3`<72-;9=<478898kg0>290/=??>:d1:?M759910qo:;4882>7<729q/==hm:9fe?M76n11C==hi;%3154<41h1/4k>544`;?k759:098;74$02;a?23;>1b4:k50;&2647=01307bl99;29 44693o856F>2028?xd3=0i1=7<50;2x 46aj32oj6F>1g:8L46an2.:>2019610f3-;;4h4;5948m=1b290/=??>:9::?>ie>00;6)?=108f7<=O9;;;76sm44;b>4<5290;w)??fc8;`c=O98l37E??fg9'57762:3j7)6i0;66f==i9;;86?:9b:&24=c=<<2=7d68e;29 4469323565`b7;94?"6:8;1i>74H0024>=zj=?247?52;294~"68oh14ih4H03e<>N68ol0(<<>1;1:e>"?n90?9o64n0027?43>j1/==6j:57;2>o??l0;6)?=108;<<=`5>3A;9==54}c67a=<62;0;6=u+11da>=ba3A;:j55G11de?!7598085l5+8g2900d?3g;9=>4=47f8 46?m3>?m:5f86g94?"6:8;14574;n`5=?6=,88:=7k<9:J2646<3th?8h851;094?6|,8:mn76kf:J25c><@8:mj6*>20397=>>32ei:44?:%31547n;%:e4?22j11e=??<:365b>"681o189o8;h:4a?6=,88:=7679:9lf3?=83.:>i2.3j=4;5c:8j446;38?;=5+11:f>12f?2c3;h4?:%3154f99K55`a3-;9=<4<9`9'1;g0=>N6:8:07pl;4eg95?4=83:p(<>ib;:gb>N69o20D<>if:&2647=;0k0(5h?:57a<>h6:891>99=;%33<`<3!75980n?45G1333?>{e<=lj6<4=:183!77nk03hk5G10d;?M77no1/=??>:2;b?!>a83>>n55a1330>720;2.:<5k545c4?l>0m3:1(<<>1;:;=>=hj?31<7*>2039a6?<@88:<65rb56e0g`90gd8 4469392m6*7f1871g>b2=>j;6g77d83>!7598034454oc4:>5<#9;;:6h=6;I3155=?j;4>:383>5}#99li65ji;I32b==O99lm7)?=1080=d=#0o:188l7;o3156<5<>?0(<>7e;67e2=n0>o1<7*>2039<=?<3fh=57>5$0025?c412B:><>4;|`70c2=9381<7>t$02ef?>cn2B:=k64H02eb>"6:8;1?4o4$9d3>13e02d:><=52555?!770l0?8l94i95f>5<#9;;:6566;:ma2<<72-;9=<4j389K577732wi89h=:081>5<7s-;;jo47dg9K54`?3A;;jk5+1332>6?f3-2m<7::b99m57742;><;6*>09g901g03`25$0025?>?121dn;750;&2647=m:30D<<>0:9~f12a83;1>7>50z&24cd=0ml0D3;073==#992n69:n7:k;3`<72-;9=<478898kg0>290/=??>:d1:?M759910qo:;ed82>7<729q/==hm:9fe?M76n11C==hi;%3154<41h1/4k>544`;?k759:098:74$02;a?23i>1b4:k50;&2647=01307bl99;29 44693o856F>2028?xd31g:8L46an2.:>2019611f3-;;4h4;4`58m=1b290/=??>:9::?>ie>00;6)?=108f7<=O9;;;76sm45gb>4<5290;w)??fc8;`c=O98l37E??fg9'57762:3j7)6i0;66f==i9;;86?:8b:&24=c=<=k<7d68e;29 4469323565`b7;94?"6:8;1i>74H0024>=zj=9297?52;294~"68oh14ih4H03e<>N68ol0(<<>1;1:e>"?n90?9o64n0027?43?j1/==6j:514b>o??l0;6)?=108;<<=`5>3A;9==54}c60f3<62;0;6=u+11da>=ba3A;:j55G11de?!7598085l5+8g2900d?3g;9=>4=46f8 46?m3>8;k5f86g94?"6:8;14574;n`5=?6=,88:=7k<9:J2646<3th?=4>51;094?6|,8:mn76kf:J25c><@8:mj6*>20397=>>32ei:44?:%31547n;%:e4?22j11e=??<:364b>"681o1?kh7;h:4a?6=,88:=7679:9lf3?=83.:>i2.3j=4;5c:8j446;38?4=5+11:f>75682c3;h4?:%3154f99K55`a3-;9=<4<9`9'1;g0=>N6:8:07pl=34595?4=83:p(<>ib;:gb>N69o20D<>if:&2647=;0k0(5h?:57a<>h6:891>96=;%33<`<5;8:0e59j:18'5776212276am6883>!75980n?45G1333?>{e::?26<4=:183!77nk03hk5G10d;?M77no1/=??>:2;b?!>a83>>n55a1330>72?;2.:<5k52233?l>0m3:1(<<>1;:;=>=hj?31<7*>2039a6?<@88:<65rb316f?7=:3:10g`90gd8 4469392m6*7f1871g>b2;9:<6g77d83>!7598034454oc4:>5<#9;;:6h=6;I3155=:383>5}#99li65ji;I32b==O99lm7)?=1080=d=#0o:188l7;o3156<5<1?0(<>7e;0055=n0>o1<7*>2039<=?<3fh=57>5$0025?c412B:><>4;|`170`=9381<7>t$02ef?>cn2B:=k64H02eb>"6:8;1?4o4$9d3>13e02d:><=525:5?!770l09?<>4i95f>5<#9;;:6566;:ma2<<72-;9=<4j389K577732wi>>8>:081>5<7s-;;jo47dg9K54`?3A;;jk5+1332>6?f3-2m<7::b99m57742;>3;6*>09g966773`25$0025?>?121dn;750;&2647=m:30D<<>0:9~f751;3;1>7>50z&24cd=0ml0D3;07<==#992n6?=>0:k;3`<72-;9=<478898kg0>290/=??>:d1:?M759910qo<<4682>7<729q/==hm:9fe?M76n11C==hi;%3154<41h1/4k>544`;?k759:098574$02;a?44991b4:k50;&2647=01307bl99;29 44693o856F>2028?xd5;=31=7<50;2x 46aj32oj6F>1g:8L46an2.:>201961>f3-;;4h4=3028m=1b290/=??>:9::?>ie>00;6)?=108f7<=O9;;;76sm226a>4<5290;w)??fc8;`c=O98l37E??fg9'57762:3j7)6i0;66f==i9;;86?:7b:&24=c=::;;7d68e;29 4469323565`b7;94?"6:8;1i>74H0024>=zj;9?h7?52;294~"68oh14ih4H03e<>N68ol0(<<>1;1:e>"?n90?9o64n0027?430j1/==6j:3124>o??l0;6)?=108;<<=`5>3A;9==54}c000c<62;0;6=u+11da>=ba3A;:j55G11de?!7598085l5+8g2900d?3g;9=>4=49f8 46?m388==5f86g94?"6:8;14574;n`5=?6=,88:=7k<9:J2646<3th9?8?51;094?6|,8:mn76kf:J25c><@8:mj6*>20397=>>32ei:44?:%31547n;%:e4?22j11e=??<:36;b>"681o1>>ki;h:4a?6=,88:=7679:9lf3?=83.:>i2.3j=4;5c:8j446;38?5=5+11:f>75bn2c3;h4?:%3154f99K55`a3-;9=<4<9`9'1;g0=>N6:8:07pl=2c095?4=83:p(<>ib;:gb>N69o20D<>if:&2647=;0k0(5h?:57a<>h6:891>97=;%33<`<5:h:0e59j:18'5776212276am6883>!75980n?45G1333?>{e:;h?6<4=:183!77nk03hk5G10d;?M77no1/=??>:2;b?!>a83>>n55a1330>72>;2.:<5k523c3?l>0m3:1(<<>1;:;=>=hj?31<7*>2039a6?<@88:<65rb30a2?7=:3:10g`90gd8 4469392m6*7f1871g>b2;8j<6g77d83>!7598034454oc4:>5<#9;;:6h=6;I3155=:383>5}#99li65ji;I32b==O99lm7)?=1080=d=#0o:188l7;o3156<5<0?0(<>7e;0f2==n0>o1<7*>2039<=?<3fh=57>5$0025?c412B:><>4;|`1b2e=9381<7>t$02ef?>cn2B:=k64H02eb>"6:8;1?4o4$9d3>13e02d:><=525;5?!770l09i;64i95f>5<#9;;:6566;:ma2<<72-;9=<4j389K577732wi>k9n:081>5<7s-;;jo47dg9K54`?3A;;jk5+1332>6?f3-2m<7::b99m57742;>2;6*>09g96`0?3`25$0025?>?121dn;750;&2647=m:30D<<>0:9~f7`003;1>7>50z&24cd=0ml0D3;07===#992n6?k98:k;3`<72-;9=<478898kg0>290/=??>:d1:?M759910qo7<729q/==hm:9fe?M76n11C==hi;%3154<41h1/4k>544`;?k759:098474$02;a?4b>11b4:k50;&2647=01307bl99;29 44693o856F>2028?xd5n>>1=7<50;2x 46aj32oj6F>1g:8L46an2.:>201961?f3-;;4h4=e7:8m=1b290/=??>:9::?>ie>00;6)?=108f7<=O9;;;76sm2g51>4<5290;w)??fc8;`c=O98l37E??fg9'57762:3j7)6i0;66f==i9;;86?:6b:&24=c=:l<37d68e;29 4469323565`b7;94?"6:8;1i>74H0024>=zj;l<<7?52;294~"68oh14ih4H03e<>N68ol0(<<>1;1:e>"?n90?9o64n0027?431j1/==6j:3g5<>o??l0;6)?=108;<<=`5>3A;9==54}c0e=5<62;0;6=u+11da>=ba3A;:j55G11de?!7598085l5+8g2900d?3g;9=>4=48f8 46?m38n:55f86g94?"6:8;14574;n`5=?6=,88:=7k<9:J2646<3th9j5k51;094?6|,8:mn76kf:J25c><@8:mj6*>20397=>>32ei:44?:%3154d28096=4?{%33bg7n;%:e4?22j11e=??<:36:b>"681o1>h87;h:4a?6=,88:=7679:9lf3?=83.:>i2.3j=4;5c:8j446;38?m=5+11:f>7c102c3;h4?:%3154f99K55`a3-;9=<4<9`9'1;g0=>N6:8:07pl=f9495?4=83:p(<>ib;:gb>N69o20D<>if:&2647=;0k0(5h?:57a<>h6:891>9o=;%33<`<5m?20e59j:18'5776212276am6883>!75980n?45G1333?>{e:o2?6<4=:183!77nk03hk5G10d;?M77no1/=??>:2;b?!>a83>>n55a1330>72f;2.:<5k52d4;?l>0m3:1(<<>1;:;=>=hj?31<7*>2039a6?<@88:<65rb3d;6?7=:3:10g`90gd8 4469392m6*7f1871g>b2;o=46g77d83>!7598034454oc4:>5<#9;;:6h=6;I3155=:383>5}#99li65ji;I32b==O99lm7)?=1080=d=#0o:188l7;o3156<57e;0f2==n0>o1<7*>2039<=?<3fh=57>5$0025?c412B:><>4;|`1f`6=9381<7>t$02ef?>cn2B:=k64H02eb>"6:8;1?4o4$9d3>13e02d:><=525c5?!770l09mno4i95f>5<#9;;:6566;:ma2<<72-;9=<4j389K577732wi>ojj:081>5<7s-;;jo47dg9K54`?3A;;jk5+1332>6?f3-2m<7::b99m57742;>j;6*>09g96def3`25$0025?>?121dn;750;&2647=m:30D<<>0:9~f7dck3;1>7>50z&24cd=0ml0D3;07e==#992n6?ola:k;3`<72-;9=<478898kg0>290/=??>:d1:?M759910qo7<729q/==hm:9fe?M76n11C==hi;%3154<41h1/4k>544`;?k759:098l74$02;a?4fkh1b4:k50;&2647=01307bl99;29 44693o856F>2028?xd5jm21=7<50;2x 46aj32oj6F>1g:8L46an2.:>201961gf3-;;4h4=abc8m=1b290/=??>:9::?>ie>00;6)?=108f7<=O9;;;76sm2cf5>4<5290;w)??fc8;`c=O98l37E??fg9'57762:3j7)6i0;66f==i9;;86?:nb:&24=c=:hij7d68e;29 4469323565`b7;94?"6:8;1i>74H0024>=zj;ho87?52;294~"68oh14ih4H03e<>N68ol0(<<>1;1:e>"?n90?9o64n0027?43ij1/==6j:3c`e>o??l0;6)?=108;<<=`5>3A;9==54}c0a`7<62;0;6=u+11da>=ba3A;:j55G11de?!7598085l5+8g2900d?3g;9=>4=4`f8 46?m38jol5f86g94?"6:8;14574;n`5=?6=,88:=7k<9:J2646<3th9nk<51;094?6|,8:mn76kf:J25c><@8:mj6*>20397=>>32ei:44?:%31547n;%:e4?22j11e=??<:36bb>"681o1>lmn;h:4a?6=,88:=7679:9lf3?=83.:>i2.3j=4;5c:8j446;38?n=5+11:f>7gdi2c3;h4?:%3154f99K55`a3-;9=<4<9`9'1;g0=>N6:8:07pl=bdc95?4=83:p(<>ib;:gb>N69o20D<>if:&2647=;0k0(5h?:57a<>h6:891>9l=;%33<`<5ijk0e59j:18'5776212276am6883>!75980n?45G1333?>{e:ko36<4=:183!77nk03hk5G10d;?M77no1/=??>:2;b?!>a83>>n55a1330>72e;2.:<5k52`ab?l>0m3:1(<<>1;:;=>=hj?31<7*>2039a6?<@88:<65rb3`f2?7=:3:10g`90gd8 4469392m6*7f1871g>b2;khm6g77d83>!7598034454oc4:>5<#9;;:6h=6;I3155=:383>5}#99li65ji;I32b==O99lm7)?=1080=d=#0o:188l7;o3156<57e;0bgd=n0>o1<7*>2039<=?<3fh=57>5$0025?c412B:><>4;|`1f`4=9381<7>t$02ef?>cn2B:=k64H02eb>"6:8;1?4o4$9d3>13e02d:><=525`5?!770l09mno4i95f>5<#9;;:6566;:ma2<<72-;9=<4j389K577732wi>5:=:081>5<7s-;;jo47dg9K54`?3A;;jk5+1332>6?f3-2m<7::b99m57742;>i;6*>09g9624d3`25$0025?>?121dn;750;&2647=m:30D<<>0:9~f7>383;1>7>50z&24cd=0ml0D3;07f==#992n6?9=c:k;3`<72-;9=<478898kg0>290/=??>:d1:?M759910qo<73d82>7<729q/==hm:9fe?M76n11C==hi;%3154<41h1/4k>544`;?k759:098o74$02;a?40:j1b4:k50;&2647=01307bl99;29 44693o856F>2028?xd50:i1=7<50;2x 46aj32oj6F>1g:8L46an2.:>201961df3-;;4h4=73a8m=1b290/=??>:9::?>ie>00;6)?=108f7<=O9;;;76sm291b>4<5290;w)??fc8;`c=O98l37E??fg9'57762:3j7)6i0;66f==i9;;86?:mb:&24=c=:>8h7d68e;29 4469323565`b7;94?"6:8;1i>74H0024>=zj;2847?52;294~"68oh14ih4H03e<>N68ol0(<<>1;1:e>"?n90?9o64n0027?43jj1/==6j:351g>o??l0;6)?=108;<<=`5>3A;9==54}c0;73<62;0;6=u+11da>=ba3A;:j55G11de?!7598085l5+8g2900d?3g;9=>4=4cf8 46?m38<>n5f86g94?"6:8;14574;n`5=?6=,88:=7k<9:J2646<3th94>:51;094?6|,8:mn76kf:J25c><@8:mj6*>20397=>>32ei:44?:%31547n;%:e4?22j11e=??<:36ab>"681o1>:i2.3j=4;5c:8j446;38?o=5+11:f>715k2c3;h4?:%3154f99K55`a3-;9=<4<9`9'1;g0=>N6:8:07pl=85g95?4=83:p(<>ib;:gb>N69o20D<>if:&2647=;0k0(5h?:57a<>h6:891>9m=;%33<`<5?;i0e59j:18'5776212276am6883>!75980n?45G1333?>{e:1>h6<4=:183!77nk03hk5G10d;?M77no1/=??>:2;b?!>a83>>n55a1330>72d;2.:<5k5260`?l>0m3:1(<<>1;:;=>=hj?31<7*>2039a6?<@88:<65rb3:7e?7=:3:10g`90gd8 4469392m6*7f1871g>b2;=9o6g77d83>!7598034454oc4:>5<#9;;:6h=6;I3155=:383>5}#99li65ji;I32b==O99lm7)?=1080=d=#0o:188l7;o3156<57e;046f=n0>o1<7*>2039<=?<3fh=57>5$0025?c412B:><>4;|`1<10=9381<7>t$02ef?>cn2B:=k64H02eb>"6:8;1?4o4$9d3>13e02d:><=525a5?!770l09;?m4i95f>5<#9;;:6566;:ma2<<72-;9=<4j389K577732wi>5:;:081>5<7s-;;jo47dg9K54`?3A;;jk5+1332>6?f3-2m<7::b99m57742;>h;6*>09g9624d3`25$0025?>?121dn;750;&2647=m:30D<<>0:9~f4bb03;1>7>50z&24cd=0ml0D3;07g==#992n62:k;3`<72-;9=<478898kg0>290/=??>:d1:?M759910qo=k7082>7<729q/==hm:9fe?M76n11C==hi;%3154<41h1/4k>544`;?k759:098n74$02;a?5el11b4:k50;&2647=01307bl99;29 44693o856F>2028?xd58hi1=7<50;2x 46aj32oj6F>1g:8L46an2.:>201961ef3-;;4h4=05g8m=1b290/=??>:9::?>ie>00;6)?=108f7<=O9;;;76sm233a>4<5290;w)??fc8;`c=O98l37E??fg9'57762:3j7)6i0;66f==i9;;86?:lb:&24=c=:8ho7d68e;29 4469323565`b7;94?"6:8;1i>74H0024>=zj:8=?7?52;294~"68oh14ih4H03e<>N68ol0(<<>1;1:e>"?n90?9o64n0027?43kj1/==6j:2010>o??l0;6)?=108;<<=`5>3A;9==54}c010g<62;0;6=u+11da>=ba3A;:j55G11de?!7598085l5+8g2900d?3g;9=>4=4bf8 46?m389885f86g94?"6:8;14574;n`5=?6=,88:=7k<9:J2646<3th9>9951;094?6|,8:mn76kf:J25c><@8:mj6*>20397=>>32ei:44?:%31547n;%:e4?22j11e=??<:36`b>"681o1??<;;h:4a?6=,88:=7679:9lf3?=83.:>a83>>n55a1330>72c82.:<5k544``?l>0n3:1(<<>1;:;e>=n01:1<7*>2039<=d<3`;;:94?:%3154<68?207bl99;29 44693o8565rb5`47?7=?3:10g`90gd8 44693;;9>5+8g2900d?3-2247:8;o3156<57e;66ff=n0>l1<7*>20397574;h:;4?6=,88:=7=?1:l265`=921b45?50;&2647=;9;0b<?:3:1(<<>1;135>h6:9l1?65f89194?"6:8;1?=?4n003b?2<3`2387>5$0025?5792d:>=h55:9lf3?=83.:>:6F>1g:8L46an2.:>4=4e08 46?m3>>nn5f86d94?"6:8;145o4;h:;4?6=,88:=767b:9j5503290/=??>:025<>=hj?31<7*>2039a6?<3th?4l;51;594?6|,8:mn76id:J25c><@8:mj6*>203955343-2m<7::b99'<<>=90o0b<<>3;07`6=#992n69;mc:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>o?080;6)?=108044=i9;:m6?54i9:1>5<#9;;:6>>>;o314c<432c34>4?:%3154<4881e=?>i:598m=>3290/=??>:222?k758o0>76am6883>!75980n?454}c6;e3<62>0;6=u+11da>=`c3A;:j55G11de?!75980:<8=4$9d3>13e02.3554>1`9m57742;>o86*>09g900dd3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj:nj47?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201961b23-;;4h4:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8hl851;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?43l?1/==6j:2`g<>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>jm9;39j1/44654:J2406<,1l;69;m8:l2645=:=n<7)??8d80fa>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=83:p(<>ib;5`e>N69o20D<>if:&2647=996dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb2fa1?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891>9j6;%33<`<4jm20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`0`g5=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f6be93;147>50z&24cd=?jk0D=<2B:<8>4$9d3>13e02d:><=525fa?!770l08ni64i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d??6`83>!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd4lhl1=7650;2x 46aj3=hm6F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;07`f=#992n6>lk8:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:njh7?58;294~"68oh1;no4H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201961bc3-;;4h4:50;?>o39h0;6)?=10875d==>>32c:<>;50;&2647=993;76a>02194?"6:8;1i>74;h332d<72-;9=<4>07c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th8hll51;:94?6|,8:mn79la:J25c><@8:mj6*>2039550d3-2247:4H0264>"?n90?9o64n0027?43ll1/==6j:2`g<>o4i;0;6)?=10876==17f32c?4:4?:%3154<5;29 44693;;5=54o0207?6=,88:=7k<9:9j550f290/=??>:025e>N68oo07d??5583>!75980:<8:4H02ea>=n9946><21vn>jn9;39j1/44654:J2406<,1l;69;m8:l2645=:=nm7)??8d80fa>14?32c?=l4?:%3154<39h10e968:18'5776212276g>02794?"6:8;1==7?;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;:94?:%3154<680>07pl=83:p(<>ib;5`e>N69o20D<>if:&2647=996dc02c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454i0201?6=,88:=7??9198k464;3:1(<<>1;g0=>=n99461i2B:0468L46am21b==8;:18'577628:2865rb2fab?7=03:10g`93fg<@8;m46F>0gd8 44693;;:n5+88:90>N68<:0(5h?:57a<>h6:891>9k>;%33<`<4jm20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:k2463=83.:>i68:91<7*>2039a6?<3`;;:l4?:%3154<68?k0D<>ie:9j5533290/=??>:0260>N68oo07d??6583>!75980:<4:4;|`0`gb=9321<7>t$02ef?1di2B:=k64H02eb>"6:8;1==8l;%::<6*7f1871g>b2:ho46g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b==8n:18'577628:=m6F>0gg8?l77==0;6)?=1082402<@8:mi65f1147>5<#9;;:6<>64:9~f6`313;147>50z&24cd=?j30D=<2B:<8>4$9d3>13e02d:><=525g0?!770l08ni64i2c1>5<#9;;:69<7;:k7<2<72-;9=<478898m464=3:1(<<>1;33=5=5$0025?c4121b8!75980:<;o4H02ea>=n99??6=4+1332>462<2B:0868?xd4n:h1=7650;2x 46aj3=h56F>1g:8L46an2.:>>03>0D<>:0:&;b5<3=k20b<<>3;07a1=#992n6>lk8:k0e7<72-;9=<4;2998m1>0290/=??>:9::?>o68:?1<7*>203955?732e:<>=50;&2647=m:307d:>a;29 44693>:m65f114b>5<#9;;:6<>9a:J24cc<3`;;994?:%3154<68<>0D<>ie:9j5503290/=??>:02:0>=zj:l>:7?58;294~"68oh1;n74H03e<>N68ol0(<<>1;332f=#002186F>0428 =`72=?i46`>201961c23-;;4h4:50;?>o30>0;6)?=108;<<=5$0025?771910c<><3;29 44693o8565f40c94?"6:8;1807c8L46am21b==;;:18'577628:>86F>0gg8?l77>=0;6)?=10824<2<3th:hh:51;194?6|,8:mn76i9:J25c><@8:mj6*>203955343-2m<7::b99'<<>=?>80b<<>3;07a3=#992n62:k;3c<72-;9=<4<009m576a2910e56?:18'57762:::7c?=0g82?>ie>00;6)?=108f7<=:283>5}#99li65h6;I32b==O99lm7)?=1082405<,1l;69;m8:&;==<0?;1e=??<:36f3>"681o1=i?=;h:4b?6=,88:=7=?1:l265`=821b45>50;&2647=;9;0b<1;g0=>=zj8nn:7?53;294~"68oh14k74H03e<>N68ol0(<<>1;3316=#0o:188l7;%::<=525g;?!770l0:h<<4i95e>5<#9;;:6>>>;o314c<732c34=4?:%3154<4881e=?>i:098kg0>290/=??>:d1:?>{e98?96=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:098h74$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:098ho4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=525ga?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;77<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:=oh7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414:3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:098hj4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98>m6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:098hk4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:098hh4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=525d3?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;74<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:=l:7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41493;147>50z&24cd=?ji0D=j01/4k>544`;?k759:098k<4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98>h6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:098k=4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:098k:4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=525d6?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;75<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:=l=7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41483;147>50z&24cd=?ji0D=j01/4k>544`;?k759:098k94$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98>26=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:098k64$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:098k74$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=525db?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;6c<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:=li7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f415n3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:098km4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98>=6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:098kj4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:098kk4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=525de?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;6`<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<:;7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f415m3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099=?4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98>86=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099=<4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099==4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52427?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;6a<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<:>7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f415l3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099=84$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98544`;?k759:099=94$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099=64$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5242:?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;1`<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<:j7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f412k3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099=l4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98544`;?k759:099=m4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099=j4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5242f?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;1a<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<:m7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f412j3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099<>4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98<<6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0995<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099<<4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52430?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;1f<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<;?7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f412i3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099<;4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98544`;?k759:099<84$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099<94$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5243;?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;1g<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<;27)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41213;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0995<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98<:6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0995<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0994<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5243g?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;1d<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<;n7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41203;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0995<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98?n6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099?>4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099??4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52401?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;0c<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<887)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413l3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099?:4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98?i6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099?;4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099?84$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52404?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;07<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<837)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413:3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099?74$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98?36=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099?o4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099?l4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5240`?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;72<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<8o7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414?3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099?k4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98?>6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099?h4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099>>4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52412?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;6f<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<997)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f415k3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099>=4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98>;6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099>:4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099>;4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52415?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;6<<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<9<7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f415j3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099>64$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98386=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099>74$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099>o4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5241a?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;01<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<9h7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413<3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099>j4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e983;6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099>k4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099>h4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52463?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;06<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<>:7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413;3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0999<4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e982o6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0999=4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0999:4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52466?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;04<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<>=7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41393;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099994$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e982j6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099964$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099974$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5246b?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;05<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<>i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41383;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0999m4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e982<6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0999j4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0999k4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5246e?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;7c<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414n3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0998?4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e982?6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0998<4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0998=4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52477?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;7`<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414m3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099884$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98kn6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099894$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099864$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5247:?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;7a<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414l3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0998l4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98ki6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0998m4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0998j4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5247f?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;7f<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414k3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099;>4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98k36=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099;?4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099;<4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52440?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;7g<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414j3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099;;4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98k>6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099;84$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099;94$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5244;?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;7d<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<<27)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414i3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099;o4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98k96=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099;l4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099;m4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5244g?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;7<<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41413;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099;h4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e983m6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099:>4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099:?4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52451?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;7=<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<=87)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41403;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099::4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e983h6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099:;4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099:84$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=52454?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;73<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<=37)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414>3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099:74$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98326=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099:o4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099:l4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=5245`?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;70<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<=o7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414=3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099:k4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e983=6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099:h4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0995>4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524:2?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;71<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<297)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414<3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0995=4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e982:6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0995:4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0995;4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524:5?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;76<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<2<7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f414;3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099564$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98n?6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099574$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0995o4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524:a?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;12<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<2h7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f412=3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0995j4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98n:6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0995k4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0995h4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524;3?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;13<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<3:7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f412<3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0994<4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98in6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0994=4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0994:4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524;6?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;10<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<3=7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f412;3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099494$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98ii6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099464$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099474$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524;b?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;11<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:<3i7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f412:3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:0994m4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98i36=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:0994j4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:0994k4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524;e?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;16<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41293;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099l?4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98i>6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099l<4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099l=4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524c7?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;17<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:7)??8d8212`14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41283;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099l84$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98om6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099l94$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099l64$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524c:?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;14<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413n3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099ll4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98oh6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099lm4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099lj4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524cf?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;15<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413m3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099o>4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98o26=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099o?4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099o<4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524`0?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;0`<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413k3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099o;4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98o=6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099o84$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099o94$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524`;?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;0a<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413j3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099oo4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98o86=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099ol4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099om4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524`g?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;0f<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413i3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099oh4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98o;6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099n>4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099n?4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524a1?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;0g<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41313;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099n:4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98no6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099n;4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099n84$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524a4?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;0d<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f41303;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099n74$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98nj6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099no4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099nl4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524a`?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;0<<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413?3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099nk4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98n<6=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099nh4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099i>4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524f2?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;0=<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413>3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099i=4$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e98i96=4;:387!77nk03i;5G10d;?M77no1/4k>544`;?k759:099i:4$02;a?7d:=1b4:k50;9j55d52900co86:188/=c32900n56::081>5<7s-;;jo47849'57762:3j7E6j8:&;ad544`;?k759:099i;4$02;a?7d:=1b4:k50;&2647=01307bl99;29 44693o8565rbd03>4<4290;w)??fc8f65=#9;;:6<>i6:J;a==#0lk1i>74$9d3>13e02d:><=524f5?!770l0:o?:4i02a6?6=,88:=7:8f:J2646<3`25$0025?>?121dn;750;J24c1<,88:=7k<9:9~w46e:3:1>vP>0c089`4728:i>6s|86g94?4|V1=n70k=0;:4a>{tj?31<7?=3h=56s|8d694?5|V1o?70675;:4a>;b:90i:45r}c3;00<6210;6=u+11da>2ec3A;:j55G11de?!75980:<;m4$9;;>g?<,1l;69;m8:l2645=:14?32c?=l4?:%3154<39h10e968:18'5776212276g>07694?"6:8;1==7;;:m2465=83.:>h0;6)?=108243g<@8:mi65f1177>5<#9;;:6<>:4:J24cc<3`;;5=4?:%3154<680:0D<>ie:9~f413=3;147>50z&24cd=?ji0D=j01/4k>544`;?k759:099i64$02;a?72?o1b?l<50;&2647=<;207d:77;29 4469323565f1147>5<#9;;:6<>64:9l5554290/=??>:d1:?>o39h0;6)?=10875d=5$0025?77>h1C==hj;:k2402=83.:>60;29 44693;;5=5G11df?>{e9ji<6<4mc;294~"68oh1==:=;I32b==O99lm7W=n0;ax`f>>3=256*79685g>"?100:<6*79`8:e>"?1k0:?6*79b820>"?1m0n7)66e;03?!>>n3?:7)6n0;05?!>f93;37)6n2;04?!>f;3l0(5o;:408 =g22j1/4l85d:&;e2<6:2.3m54>c:&;e<829'k?7)6ne;`1?!>fn3;no6*7b182a5=#0k;1=n<4$9`1>f7<,1h86c29'"?jh08>?5+8c`93g6<,1hh6>j>;%:a`?74n2.3nh4;969'd93;n;6*7c387=3=#0j91><74$9a7>4>03-2h97986:&;g3<59<1/4n951368 =e?28o37)6l9;`7?!>di3=246*7cc82g0=#0ji1=5k4$9ag>4c43-2hi7?n5:&;gcbg9'c;3;3h6*7d584``=#0m?1m=5+8e49eg=#0m=1>45+8e:964=#98l86:3;;j55+8e;9=>"?lh027)??61875d=#99<:69?n;%331`<68hl0(<>62;;8 46>;330(<>82;33<0=#0mh1n;94H02a2>"6:8;1==:=;%134?77>k1/4k>544`;?!77?80873c12.:<5k51ba5?l77<00;66g;2g83>M`f121b;5j50;9j55312900e9=::18Kbd?<3`;;8l4?::k2403=831b;5l50;9j1f0=83.mm54:c49mbd1=82.i:94:be9mf35=;21b9n:50;&ee=<2k<1ejl951:&a21<2jm1en;=52:9j1f5=83.mm54:c49mbd1=:2.i:94:be9mf35=921b9n<50;&ee=<2k<1ejl953:&a21<2jm1en;=50:9j547?290/jl651034?k`f?3:0(o8;:033b>he>:0876g>10494?"ai10:=<94ngc4>4=#j?>1=<>i;o`57?4<3`;:=84?:%db1ejl952:&a21<699l0bo8<:098m476<3:1(ko7:0323>hai>087)l94;324c=ij?91<65`62d94?"ai10=?h5af`594>"e>=0=<85ab7195c=:;o`57?7c32e=?l4?:%db4>c:9l26?=83.mm5493d9mbd1=<2.i:949049mf35=9k10c;=7:18'bd>=>:o0bko8:49'f32=>9?0bo8<:0c8?j04?3:1(ko7:71f?k`f?3<0(o8;:726?kd1;3;276a93783>!`f03<8i6`ia684?!d1<3<;96`m6282<>=h>:?1<7*ia9857`=inh=146*m658540=ij?91=:54o717>5<#nh21:>k4ngc4><=#j?>1:=;4nc40>40<3f<8?7>5$gc;>35b3glj;7o4$c47>3623gh=?7?:;:m577<72-lj478>50;&ee=<1;l1ejl95c:&a21<18<1en;=51298k34a290/jl6562g8jcg02m1/n;:56178jg0428807b8=e;29 cg?2?9n7chn7;g8 g032?:>7cl93;32?>i1:m0;6)hn8;40a>hai>0m7)l94;431>he>:0:<65`63a94?"ai10=?h5af`5955=#j?>1:=;4nc40>c=3623gh=?7k4;n41e?6=,ok36;=j;odb3?753-h=878?5:la2644?:%db3:&a21<18<1en;=5c:9l27>=83.mm5493d9mbd1=9=1/n;:56178jg042k10c;<8:18'bd>=>:o0bko8:078 g032?:>7cl93;c8?j03>3:1(ko7:71f?k`f?3;=7)l94;431>he>:0276a94483>!`f03<8i6`ia6823>"e>=0=<85ab719<>=h>=>1<7*ia9857`=inh=1=55+b7692535<#nh21:>k4ngc4>4?<,k:;o`57?0<3f7>5$gc;>35b3glj;7?n;%`50?07=2di:>4:;:m504<72-lj47850;&ee=<1;l1ejl951b9'f32=>9?0bo8<:298k35d290/jl6562g8jcg028n0(o8;:726?kd1;3807b8<1;29 cg?2?9n7chn7;3f?!d1<3<;96`m6282?>i1:?0;6)hn8;40a>hai>0:j6*m658540=ij?91<65f2`294?"ai1095k5af`594>"e>=094n5ab719`>=n:0o1<7*ia981=c=inh=1=6*m6581"e>=094n5ab719f>=n:0i1<7*ia981=c=inh=1?6*m6581"e>=094n5ab719=>=n:h21<7*ia981=c=inh=196*m6581"e>=094n5ab7193>=n:h<1<7*ia981=c=inh=1;6*m6581"e>=094n5ab7191>=n:h>1<7*ia981=c=inh=156*m6581"e>=094n5ab7197>=n:h81<7*ia981=c=inh=1n6*m658165f2`394?"ai1095k5af`59g>"e>=094n5ab7195>=n:0h1<7*ia981=c=inh=1h6*m6581"e>=09o;5ab719`>=n:m21<7*ia981`<=inh=1=6*m6581g3=ij?91o65f2e594?"ai109h45af`596>"e>=09o;5ab719f>=n:m<1<7*ia981`<=inh=1?6*m6581g3=ij?91m65f2d194?"ai109h45af`590>"e>=09o;5ab719=>=n:l81<7*ia981`<=inh=196*m6581g3=ij?91465f2d394?"ai109h45af`592>"e>=09o;5ab7193>=n:l:1<7*ia981`<=inh=1;6*m6581g3=ij?91:65f2ed94?"ai109h45af`59<>"e>=09o;5ab7191>=n:mo1<7*ia981`<=inh=156*m6581g3=ij?91865f2ef94?"ai109h45af`59e>"e>=09o;5ab7197>=n:mi1<7*ia981`<=inh=1n6*m6581g3=ij?91>65f2e`94?"ai109h45af`59g>"e>=09o;5ab7195>=n:m?1<7*ia981`<=inh=1h6*m6581g3=ij?91<65f58194?"ai10>5?5af`594>"e>=0>945ab7195c=4h4?:%db:2dmm:4<;%`50?3212di:>4>c:9j1=b=83.mm54:939mbd1=<2.i:94:589mf35=9k10e86l:18'bd>==080bko8:49'f32==<30bo8<:0c8?l3?j3:1(ko7:4;1?k`f?3<0(o8;:47:?kd1;3;276g:8`83>!`f03?2>6`ia684?!d1<3?>56`m6282<>=n=131<7*ia986=7=inh=146*m65861<=ij?91=:54i4:;>5<#nh2194<4ngc4><=#j?>19874nc40>40<3`?3;7>5$gc;>0?53glj;7o4$c47>03>3gh=?7?:;:k6<3<72-lj47;62:lee24290/jl655808jcg02m1/n;:554;8jg0428807d;72;29 cg?2<397chn7;g8 g032o2080;6)hn8;7:6>hai>0m7)l94;76=>he>:0:<65f59294?"ai10>5?5af`5955=#j?>19874nc40>c=03>3gh=?7k4;h74a?6=,ok3687=;odb3?753-h=87;:9:la26;i4?:%db:2dmm:4>3:&a21<2=01en;=5c:9j12e=83.mm54:939mbd1=9=1/n;:554;8jg042k10e89m:18'bd>==080bko8:078 g032i3:1(ko7:4;1?k`f?3;=7)l94;76=>he>:0276g:9883>!`f03?2>6`ia6823>"e>=0>945ab719<>=n=021<7*ia986=7=inh=1=55+b76910?5<#nh2194<4ngc4>4?<,k5$gc;>0?53glj;7?n;%`50?3212di:>4:;:k6=0<72-lj47;62:lee2<6j2.i:94:589mf35=<21b94:50;&ee=<21;1ejl951b9'f32==<30bo8<:298m0?7290/jl655808jcg028n0(o8;:47:?kd1;3807d;75;29 cg?2<397chn7;3f?!d1<3?>56`m6282?>o2?h0;6)hn8;7:6>hai>0:j6*m65861<=ij?91<65`6e;94?"ai10=h55af`594>"e>=0=h=5ab7197>=h>m=1<7*ia985`==inh=1=6*m6585`5=ij?91>65`6e494?"ai10=h55af`596>"e>=0=h=5ab7195>=h>m?1<7*ia985`==inh=1?6*m6585`5=ij?91<65f5e494?"ai10>h85af`594>"e>=0>oi5ab7197>=n=m>1<7*ia986`0=inh=1=6*m6586ga=ij?91>65f5e194?"ai10>h85af`596>"e>=0>oi5ab7195>=n=m81<7*ia986`0=inh=1?6*m6586ga=ij?91<65`6d;94?"ai10=i55af`594>"e>=0=i=5ab7197>=h>l=1<7*ia985a==inh=1=6*m6585a5=ij?91>65`6d494?"ai10=i55af`596>"e>=0=i=5ab7195>=h>l?1<7*ia985a==inh=1?6*m6585a5=ij?91<65`6`694?"ai10=m>5af`594>"e>=0=:l5ab7195c=4>c:9l2=>h90bko8:49'f32=>?k0bo8<:0c8?j0>k3:1(ko7:7c0?k`f?3<0(o8;:74b?kd1;3;276a99c83>!`f03=h>0k1<7*ia985e6=inh=146*m65852d=ij?91=:54o7;:>5<#nh21:l=4ngc4><=#j?>1:;o4nc40>40<3f<247>5$gc;>3g43glj;7o4$c47>30f3gh=?7?:;:m5=2<72-lj478n3:lee2h1en;=51298k3?3290/jl656`18jcg02m1/n;:567c8jg0428807b863;29 cg?2?k87chn7;g8 g032?i11;0;6)hn8;4b7>hai>0m7)l94;45e>he>:0:<65`68394?"ai10=m>5af`5955=#j?>1:;o4nc40>c=30f3gh=?7k4;n4;b?6=,ok36;o<;odb3?753-h=8789a:la263:&a21<1>h1en;=5c:9l2=b=83.mm549a29mbd1=9=1/n;:567c8jg042k10c;6l:18'bd>=>h90bko8:078 g032?he>:0276a9a`83>!`f03"e>=0=:l5ab719<>=h>h31<7*ia985e6=inh=1=55+b76923g5<#nh21:l=4ngc4>4?<,k5$gc;>3g43glj;7?n;%`50?01i2di:>4:;:m5e3<72-lj478n3:lee2<6j2.i:9496`9mf35=<21d:l;50;&ee=<1i:1ejl951b9'f32=>?k0bo8<:298k3g6290/jl656`18jcg028n0(o8;:74b?kd1;3807b866;29 cg?2?k87chn7;3f?!d1<3<=m6`m6282?>i10k0;6)hn8;4b7>hai>0:j6*m65852d=ij?91<65f100;>5<#nh21=<<8;odb3?6<,kf:la26<432c:=?850;&ee=<69;=0bko8:09'f32=98;m7cl93;08?l76:<0;6)hn8;3262=inh=1>6*m658254`1g9mf35=821b9?h50;&ee=<2:l1ejl950:&a21<3n<1en;=51g98m04c290/jl6553g8jcg0281/n;:54g78jg0428o07d;=b;29 cg?2<8n7chn7;08 g032=l>7cl93;3g?>o2:h0;6)hn8;71a>hai>087)l94;6e1>he>:0:o65f53;94?"ai10>>h5af`590>"e>=0?j85ab7195g=32c>>;4?:%db4>8:9j173=83.mm54:2d9mbd1=02.i:94;f49mf35=9>10e8<;:18'bd>==;o0bko8:89'f32=76g:2383>!`f03?9i6`ia68a?!d1<3>m96`m62820>=n=;:1<7*ia9866`=inh=1o6*m6587b0=ij?91=>54i43e>5<#nh219?k4ngc4>a=#j?>18k;4nc40>44<3`?:i7>5$gc;>04b3glj;7k4$c47>1`23gh=?7?>;:k65a<72-lj47;=e:lee2a;29 cg?2<8n7chn7;31?!d1<3>m96`m628g?>o2900;6)hn8;71a>hai>0:?6*m6587b0=ij?91o65f50:94?"ai10>>h5af`5951=#j?>18k;4nc40>g=1`23gh=?7o4;h702?6=,ok36832c>?84?:%db7:&a21<3n<1en;=58:9j162=83.mm54:2d9mbd1=911/n;:54g78jg042>10e8=<:18'bd>==;o0bko8:0;8 g032=l>7cl93;48?l34:3:1(ko7:40f?k`f?3;j7)l94;6e1>he>:0>76g:3083>!`f03?9i6`ia682f>"e>=0?j85ab7190>=n=::1<7*ia9866`=inh=1=n5+b7690c354i40`>5<#nh219?k4ngc4>4b<,k5$gc;>04b3glj;7?j;%`50?2a=2di:>4>;:k653<72-lj47;=e:lee2<6n2.i:94;f49mf35=821vnN69o20D<>if:X0e579823f<6?00:;i4>7`820c<67c820a4763-22n7?k;%::g?7b3-22h7?8;%::a?453-22j7:l;%:b4?4?3-2j=7?n;%:b6?563-2j?7?:;%:b0?1?3-2j97l4$9c5>47<,1k<64?<,1k260(5l>:e`8 =d521l0(5l<:020?!>e<3;:h6*7b48a4>"?j?0396*7b6824`=#0k21hk5+8c;9=2=#0kk1==<4$9`a>g7<,1hh6lh4$9`g>47d3-2ii77<;%:ab?76j2.3o=468:&;g4139'"?km0:?45+8bg9a6=#0jl1==>4$9f3>g0<,1n:6k74$9f1>c><,1n86kk4$9f7>4663-2o97l8;%:g2?373-2o;74>1g08 47a<3;:j?5a4b0957=ih1kl0;7)961;33b==#?081==h7;%::4?g<,13:6l5+8809e>"?1:0j7)664;c8 =?22h1/4485a:&;=2<=#99<;69?n;%3324<39h1/==;j:02bb>"6808156*>0819=>"68>81==6:;%:gf?d1?2B:5114a?!>a83>>n55+1152>66a3g;9=>4=5ec8 46?m3;ho;5f116:>5<>o3;<0;6Ehn9:9j552f2900e<>:5;29?l1?j3:17d;l6;29 cg?27chn7;28 g0327chn7;08 g0321983>!`f03;:=:5af`594>"e>=0:==h4nc40>6=5$gc;>476?2dmm:4>;%`50?768o1en;=52:9j5472290/jl651034?k`f?380(o8;:033b>he>:0:76g>10694?"ai10:=<94ngc4>6=#j?>1=<>i;o`57?6<3f<8j7>5$gc;>35b3glj;7>4$c47>3623gh=?7?i;:m57a<72-lj478l50;&ee=<1;l1ejl952:&a21<18<1en;=51e98k35f290/jl6562g8jcg02:1/n;:56178jg0428i07b8<9;29 cg?2?9n7chn7;68 g032?:>7cl93;3a?>i1;10;6)hn8;40a>hai>0>7)l94;431>he>:0:m65`62594?"ai10=?h5af`592>"e>=0=<85ab7195<=<,k:;o`57?7032e=?94?:%db4>6:9l265=83.mm5493d9mbd1=i2.i:949049mf35=9<10c;==:18'bd>=>:o0bko8:c9'f32=>9?0bo8<:068?j0483:1(ko7:71f?k`f?3i0(o8;:726?kd1;3;876a92g83>!`f03<8i6`ia68g?!d1<3<;96`m62826>=h>;o1<7*ia9857`=inh=1i6*m658540=ij?91=<54o70g>5<#nh21:>k4ngc4>c=#j?>1:=;4nc40>46<3f<9o7>5$gc;>35b3glj;7??;%`50?07=2di:>4i;:m56g<72-lj4789?0bo8<:e98k34>290/jl6562g8jcg02890(o8;:726?kd1;3i07b8=8;29 cg?2?9n7chn7;37?!d1<3<;96`m628a?>i1:>0;6)hn8;40a>hai>0:96*m658540=ij?91m65`65494?"ai10=?h5af`5953=#j?>1:=;4nc40><=>6=4+f`:926c3623gh=?764;n470?6=,ok36;=j;odb3?7?3-h=878?5:la26<032e=8>4?:%db9:&a21<18<1en;=56:9l214=83.mm5493d9mbd1=9h1/n;:56178jg042<10c;:>:18'bd>=>:o0bko8:0`8 g032?:>7cl93;68?j0383:1(ko7:71f?k`f?3;h7)l94;431>he>:0876a93b83>!`f03<8i6`ia682`>"e>=0=<85ab7196>=h>:;1<7*ia9857`=inh=1=h5+b7692535<#nh21:>k4ngc4>4`<,k:;o`57?6<3`8j<7>5$gc;>7?a3glj;7>4$c47>7>d3gh=?7j4;h0:a?6=,ok36?7i;odb3?7<,k5$gc;>7?a3glj;7<4$c47>7>d3gh=?7l4;h0:g?6=,ok36?7i;odb3?5<,k5$gc;>7?a3glj;7:4$c47>7>d3gh=?774;h0b<3`8j;7>5$gc;>7?a3glj;784$c47>7>d3gh=?794;h0b2?6=,ok36?7i;odb3?1<,k5$gc;>7?a3glj;764$c47>7>d3gh=?7;4;h0b0?6=,ok36?7i;odb3??<,k5$gc;>7?a3glj;7o4$c47>7>d3gh=?7=4;h0b6?6=,ok36?7i;odb3?d<,k5$gc;>7?a3glj;7m4$c47>7>d3gh=?7?4;h0:f?6=,ok36?7i;odb3?b<,k5$gc;>7b>3glj;7>4$c47>7e13gh=?7j4;h0g5$gc;>7b>3glj;7<4$c47>7e13gh=?7l4;h0g2?6=,ok36?j6;odb3?5<,k5$gc;>7b>3glj;7:4$c47>7e13gh=?774;h0f6?6=,ok36?j6;odb3?3<,k<3`8n=7>5$gc;>7b>3glj;784$c47>7e13gh=?794;h0f4?6=,ok36?j6;odb3?1<,k5$gc;>7b>3glj;764$c47>7e13gh=?7;4;h0ga?6=,ok36?j6;odb3??<,k5$gc;>7b>3glj;7o4$c47>7e13gh=?7=4;h0gg?6=,ok36?j6;odb3?d<,k5$gc;>7b>3glj;7m4$c47>7e13gh=?7?4;h0g1?6=,ok36?j6;odb3?b<,k5$gc;>0?53glj;7>4$c47>03>3gh=?7?i;:k6=4<72-lj47;62:lee2<63-h=87;:9:la26<6m21b95h50;&ee=<21;1ejl952:&a21<2=01en;=51e98m0>b290/jl655808jcg02:1/n;:554;8jg0428i07d;7d;29 cg?2<397chn7;68 g032o20j0;6)hn8;7:6>hai>0>7)l94;76=>he>:0:m65f59`94?"ai10>5?5af`592>"e>=0>945ab7195<=<,k454?:%db:2dmm:46;%`50?3212di:>4>6:9j1=1=83.mm54:939mbd1=i2.i:94:589mf35=9<10e869:18'bd>==080bko8:c9'f32==<30bo8<:068?l3?<3:1(ko7:4;1?k`f?3i0(o8;:47:?kd1;3;876g:8283>!`f03?2>6`ia68g?!d1<3?>56`m62826>=n=181<7*ia986=7=inh=1i6*m65861<=ij?91=<54i4:2>5<#nh2194<4ngc4>c=#j?>19874nc40>46<3`?3<7>5$gc;>0?53glj;7??;%`50?3212di:>4i;:k63c<72-lj47;62:lee2<692.i:94:589mf35=m21b9:k50;&ee=<21;1ejl95139'f32==<30bo8<:e98m01c290/jl655808jcg02890(o8;:47:?kd1;3i07d;8c;29 cg?2<397chn7;37?!d1<3?>56`m628a?>o2?k0;6)hn8;7:6>hai>0:96*m65861<=ij?91m65f58c94?"ai10>5?5af`5953=#j?>19874nc40><=03>3gh=?764;h7:5:4?:%db:2dmm:4>9:&a21<2=01en;=56:9j1<0=83.mm54:939mbd1=9h1/n;:554;8jg042<10e87::18'bd>==080bko8:0`8 g032<3:1(ko7:4;1?k`f?3;h7)l94;76=>he>:0876g:9183>!`f03?2>6`ia682`>"e>=0>945ab7196>=n=1?1<7*ia986=7=inh=1=h5+b76910?5<#nh2194<4ngc4>4`<,k5$gc;>3b?3glj;7>4$c47>3b73gh=?7=4;n4g3?6=,ok36;j7;odb3?7<,k5$gc;>3b?3glj;7<4$c47>3b73gh=?7?4;n4g1?6=,ok36;j7;odb3?5<,k5$gc;>0b23glj;7>4$c47>0ec3gh=?7=4;h7g0?6=,ok368j:;odb3?7<,k5$gc;>0b23glj;7<4$c47>0ec3gh=?7?4;h7g6?6=,ok368j:;odb3?5<,k5$gc;>3c?3glj;7>4$c47>3c73gh=?7=4;n4f3?6=,ok36;k7;odb3?7<,k5$gc;>3c?3glj;7<4$c47>3c73gh=?7?4;n4f1?6=,ok36;k7;odb3?5<,k5$gc;>3g43glj;7>4$c47>30f3gh=?7?i;:m5e7<72-lj478n3:lee2<63-h=8789a:la26<6m21d:l>50;&ee=<1i:1ejl952:&a21<1>h1en;=51e98k3?a290/jl656`18jcg02:1/n;:567c8jg0428i07b86e;29 cg?2?k87chn7;68 g032?i11m0;6)hn8;4b7>hai>0>7)l94;45e>he>:0:m65`68a94?"ai10=m>5af`592>"e>=0=:l5ab7195<=<,k4>6:9l2<>=83.mm549a29mbd1=i2.i:9496`9mf35=9<10c;78:18'bd>=>h90bko8:c9'f32=>?k0bo8<:068?j0>=3:1(ko7:7c0?k`f?3i0(o8;:74b?kd1;3;876a99583>!`f03=h>091<7*ia985e6=inh=1i6*m65852d=ij?91=<54o7;1>5<#nh21:l=4ngc4>c=#j?>1:;o4nc40>46<3f<2=7>5$gc;>3g43glj;7??;%`50?01i2di:>4i;:m5=5<72-lj478n3:lee2<692.i:9496`9mf35=m21d:5h50;&ee=<1i:1ejl95139'f32=>?k0bo8<:e98k3>b290/jl656`18jcg02890(o8;:74b?kd1;3i07b87d;29 cg?2?k87chn7;37?!d1<3<=m6`m628a?>i10j0;6)hn8;4b7>hai>0:96*m65852d=ij?91m65`6``94?"ai10=m>5af`5953=#j?>1:;o4nc40><=30f3gh=?764;n4b=?6=,ok36;o<;odb3?7?3-h=8789a:la26<032e=m54?:%db9:&a21<1>h1en;=56:9l2d1=83.mm549a29mbd1=9h1/n;:567c8jg042<10c;o9:18'bd>=>h90bko8:0`8 g032?he>:0876a9a083>!`f03"e>=0=:l5ab7196>=h>0<1<7*ia985e6=inh=1=h5+b76923g5<#nh21:l=4ngc4>4`<,k54?:%db1ejl950:&a21<698l0bo8<:298m475>3:1(ko7:0313>hai>0:7)l94;325c=ij?91>65f1006>5<#nh21=<<8;odb3?4<,kf:la26<632c:=?:50;&ee=<69;=0bko8:29'f32=98;m7cl93;28?l35n3:1(ko7:40f?k`f?3:0(o8;:5d6?kd1;3;m76g:2e83>!`f03?9i6`ia682?!d1<3>m96`m6282a>=n=;h1<7*ia9866`=inh=1>6*m6587b0=ij?91=i54i40b>5<#nh219?k4ngc4>6=#j?>18k;4nc40>4e<3`?957>5$gc;>04b3glj;7:4$c47>1`23gh=?7?m;:k66=<72-lj47;=e:lee2<23-h=87:i5:la26<6i21b9?950;&ee=<2:l1ejl956:&a21<3n<1en;=51898m041290/jl6553g8jcg02>1/n;:54g78jg0428207d;=5;29 cg?2<8n7chn7;:8 g032=l>7cl93;34?>o2:=0;6)hn8;71a>hai>027)l94;6e1>he>:0::65f53194?"ai10>>h5af`59e>"e>=0?j85ab71950==k4?:%db4>2:9j14c=83.mm54:2d9mbd1=m2.i:94;f49mf35=9810e8?k:18'bd>==;o0bko8:g9'f32=he>:0m76g:1c83>!`f03?9i6`ia6825>"e>=0?j85ab719a>=n=8k1<7*ia9866`=inh=1=?5+b7690c35<#nh219?k4ngc4>45<,k5$gc;>04b3glj;7?;;%`50?2a=2di:>4m;:k652<72-lj47;=e:lee2<6=2.i:94;f49mf35=i21b9>850;&ee=<2:l1ejl95179'f32=m96`m6284?>o2;:0;6)hn8;71a>hai>0:56*m6587b0=ij?91:65f52094?"ai10>>h5af`595d=#j?>18k;4nc40>0=1`23gh=?7:4;h704?6=,ok368>n4?:%dbd:&a21<3n<1en;=52:9j177=83.mm54:2d9mbd1=9l1/n;:54g78jg042810e8?9:18'bd>==;o0bko8:0d8 g032=l>7cl93;28?xd2<:<1=7ll:183!77nk0:<9<4H03e<>N68ol0V>o?:bygg?bc28=36<9l:05:>41c28=j6<:i:06f>43728=i6<:k:|&;=5d=#0091m6*7958b?!>>=3k0(579:`9'<<1=i2.3544n;%::e?g<,13i6l5+88a9e>"?1m0j7)66e;c8 =?a2h1/4l>5a:&;e47o4$9c0>d=#0h>1m6*7a48b?!>f>3k0(5o8:`9'=i2.3m44n;%:be?g<,1ki6l5+8`a9e>"?im0j7)6ne;c8 =ga2h1/4o>5a:&;f47o4$9`0>d=#0k>1m6*7b48b?!>e>3k0(5l8:`9'=i2.3n44n;%:ae?g<,1hi6l5+8ca9e>"?jm0j7)6me;c8 =da2h1/4n>5a:&;g47o4$9a0>d=#0j>1m6*7c48b?!>d>3k0(5m8:`9'=i2.3o44n;%:`e?g<,1ii6l5+8ba9e>"?km0j7)6le;c8 =ea2h1/4i>5a:&;`47o4$9f0>d=#0m>1m6*7d48b?!>c>3k0(5j8:`9'=i2.3h446;%:ge??<,8:2>774$02:7??<,8;m?7?>f39'54`328;m>6`;c38:?k2d;330b;86:19m2fc=82.<5<4>0g:8 2?528:m46*>072904g<,8:==7:>a:&240c=99km7)??73824=3<,1ni6o88;I33f3=#9;;:6<>;2:&045<68?h0(5h?:57a<>"68>;1n;94n0027?42lk1/==6j:461g>o68=31<75f43d94?Nai010e:6k:188m462>3:17d:<5;29Lcg>32c:<9o50;9j55322900e:6m:188m0e1290/jl655b78jcg0291/n;:55cf8jg042:10e8m;:18'bd>==j?0bko8:09'f32==kn0bo8<:398m0e4290/jl655b78jcg02;1/n;:55cf8jg042810e8m=:18'bd>==j?0bko8:29'f32==kn0bo8<:198m47603:1(ko7:0323>hai>0;7)l94;324c=ij?91?65f1035>5<#nh21=5<#nh21:>k4ngc4>5=#j?>1:=;4nc40>4`<3f<8h7>5$gc;>35b3glj;7?4$c47>3623gh=?7?j;:m57g<72-lj478o50;&ee=<1;l1ejl953:&a21<18<1en;=51b98k35>290/jl6562g8jcg02=1/n;:56178jg0428h07b8<8;29 cg?2?9n7chn7;78 g032?:>7cl93;3b?>i1;>0;6)hn8;40a>hai>0=7)l94;431>he>:0:565`62494?"ai10=?h5af`593>"e>=0=<85ab7195==6=4+f`:926c:;o`57?7132e=?>4?:%db4>5:9l264=83.mm5493d9mbd1=j2.i:949049mf35=9=10c;=?:18'bd>=>:o0bko8:b9'f32=>9?0bo8<:018?j05n3:1(ko7:71f?k`f?3n0(o8;:726?kd1;3;976a92d83>!`f03<8i6`ia68f?!d1<3<;96`m62825>=h>;n1<7*ia9857`=inh=1j6*m658540=ij?91==54o70`>5<#nh21:>k4ngc4>46<,k:;o`57?`<3f<9n7>5$gc;>35b3glj;7?>;%`50?07=2di:>4j;:m56d<72-lj4789?0bo8<:b98k34?290/jl6562g8jcg028>0(o8;:726?kd1;3h07b8=7;29 cg?2?9n7chn7;36?!d1<3<;96`m628b?>i1hai>0::6*m658540=ij?91565`65794?"ai10=?h5af`5952=#j?>1:=;4nc40>==?6=4+f`:926c3623gh=?794;n477?6=,ok36;=j;odb3?7>3-h=878?5:la26<132e=8?4?:%dba:&a21<18<1en;=55:9l217=83.mm5493d9mbd1=9k1/n;:56178jg042=10c;:?:18'bd>=>:o0bko8:0a8 g032?:>7cl93;18?j04k3:1(ko7:71f?k`f?3;o7)l94;431>he>:0976a93083>!`f03<8i6`ia682a>"e>=0=<85ab7195>=h>;<1<7*ia9857`=inh=1=k5+b7692535<#nh21>4h4ngc4>5=#j?>1>5m4nc40>a=5<#nh21>4h4ngc4>7=#j?>1>5m4nc40>g=5+b7696=e5<#nh21>4h4ngc4>1=#j?>1>5m4nc40><=5<#nh21>4h4ngc4>3=#j?>1>5m4nc40>2=5<#nh21>4h4ngc4>==#j?>1>5m4nc40>0=5<#nh21>4h4ngc4>d=#j?>1>5m4nc40>6=5<#nh21>4h4ngc4>f=#j?>1>5m4nc40>4=5<#nh21>i74ngc4>5=#j?>1>n84nc40>a=5<#nh21>i74ngc4>7=#j?>1>n84nc40>g=5+b7696f05<#nh21>i74ngc4>1=#j?>1>n84nc40><=5<#nh21>i74ngc4>3=#j?>1>n84nc40>2=5<#nh21>i74ngc4>==#j?>1>n84nc40>0=5<#nh21>i74ngc4>d=#j?>1>n84nc40>6=5<#nh21>i74ngc4>f=#j?>1>n84nc40>4=6=4+f`:96a?5<#nh2194<4ngc4>5=#j?>19874nc40>4`<3`?2=7>5$gc;>0?53glj;7?4$c47>03>3gh=?7?j;:k6c290/jl655808jcg02=1/n;:554;8jg0428h07d;7c;29 cg?2<397chn7;78 g032o20k0;6)hn8;7:6>hai>0=7)l94;76=>he>:0:565f59c94?"ai10>5?5af`593>"e>=0>945ab7195==4:4?:%db:2dmm:4n;%`50?3212di:>4>5:9j1=0=83.mm54:939mbd1=j2.i:94:589mf35=9=10e86;:18'bd>==080bko8:b9'f32==<30bo8<:018?l3?;3:1(ko7:4;1?k`f?3n0(o8;:47:?kd1;3;976g:8383>!`f03?2>6`ia68f?!d1<3?>56`m62825>=n=1;1<7*ia986=7=inh=1j6*m65861<=ij?91==54i4:3>5<#nh2194<4ngc4>46<,k5$gc;>0?53glj;7?>;%`50?3212di:>4j;:k63`<72-lj47;62:lee2<6:2.i:94:589mf35=l21b9:j50;&ee=<21;1ejl95129'f32==<30bo8<:b98m01d290/jl655808jcg028>0(o8;:47:?kd1;3h07d;8b;29 cg?2<397chn7;36?!d1<3?>56`m628b?>o21h0;6)hn8;7:6>hai>0::6*m65861<=ij?91565f58;94?"ai10>5?5af`5952=#j?>19874nc40>==03>3gh=?794;h7:3?6=,ok3687=;odb3?7>3-h=87;:9:la26<132c>5;4?:%db:2dmm:4>a:&a21<2=01en;=55:9j1<3=83.mm54:939mbd1=9k1/n;:554;8jg042=10e87;:18'bd>==080bko8:0a8 g03283:1(ko7:4;1?k`f?3;o7)l94;76=>he>:0976g:8483>!`f03?2>6`ia682a>"e>=0>945ab7195>=n=>k1<7*ia986=7=inh=1=k5+b76910?5<#nh21:i64ngc4>5=#j?>1:i>4nc40>6=5<#nh21:i64ngc4>7=#j?>1:i>4nc40>4=6=4+f`:92a>5+b7692a65<#nh219i;4ngc4>5=#j?>19nj4nc40>6=5<#nh219i;4ngc4>7=#j?>19nj4nc40>4=5+b7691fb5<#nh21:h64ngc4>5=#j?>1:h>4nc40>6=5<#nh21:h64ngc4>7=#j?>1:h>4nc40>4=6=4+f`:92`>5+b7692`65<#nh21:l=4ngc4>5=#j?>1:;o4nc40>4`<3f7>5$gc;>3g43glj;7?4$c47>30f3gh=?7?j;:m5e5<72-lj478n3:lee2<53-h=8789a:la26<6l21d:4h50;&ee=<1i:1ejl953:&a21<1>h1en;=51b98k3?b290/jl656`18jcg02=1/n;:567c8jg0428h07b86d;29 cg?2?k87chn7;78 g032?i11j0;6)hn8;4b7>hai>0=7)l94;45e>he>:0:565`68`94?"ai10=m>5af`593>"e>=0=:l5ab7195==4>5:9l2<1=83.mm549a29mbd1=j2.i:9496`9mf35=9=10c;7::18'bd>=>h90bko8:b9'f32=>?k0bo8<:018?j0><3:1(ko7:7c0?k`f?3n0(o8;:74b?kd1;3;976a99283>!`f03=h>081<7*ia985e6=inh=1j6*m65852d=ij?91==54o7;2>5<#nh21:l=4ngc4>46<,k5$gc;>3g43glj;7?>;%`50?01i2di:>4j;:m5?k0bo8<:b98k3>c290/jl656`18jcg028>0(o8;:74b?kd1;3h07b87c;29 cg?2?k87chn7;36?!d1<3<=m6`m628b?>i1ik0;6)hn8;4b7>hai>0::6*m65852d=ij?91565`6`c94?"ai10=m>5af`5952=#j?>1:;o4nc40>==30f3gh=?794;n4b3-h=8789a:la26<132e=m:4?:%dba:&a21<1>h1en;=55:9l2d0=83.mm549a29mbd1=9k1/n;:567c8jg042=10c;o::18'bd>=>h90bko8:0a8 g032?he>:0976a99783>!`f03"e>=0=:l5ab7195>=h>1h1<7*ia985e6=inh=1=k5+b76923g1g9mf35=;21b=<<9:18'bd>=988<7chn7;38 g0328;:j6`m6281?>o69;?1<7*ia982571269mbd1=;2.i:94>10d8jg042910e8==;o0bko8:19'f32=!`f03?9i6`ia681?!d1<3>m96`m6282`>=n=;k1<7*ia9866`=inh=1?6*m6587b0=ij?91=n54i40:>5<#nh219?k4ngc4>1=#j?>18k;4nc40>4d<3`?947>5$gc;>04b3glj;7;4$c47>1`23gh=?7?n;:k662<72-lj47;=e:lee2<13-h=87:i5:la26<6121b9?850;&ee=<2:l1ejl957:&a21<3n<1en;=51998m042290/jl6553g8jcg0211/n;:54g78jg0428=07d;=4;29 cg?2<8n7chn7;;8 g032=l>7cl93;35?>o2::0;6)hn8;71a>hai>0j7)l94;6e1>he>:0:965f53094?"ai10>>h5af`59f>"e>=0?j85ab71951==h4?:%db4>1:9j14b=83.mm54:2d9mbd1=n2.i:94;f49mf35=9910e8?l:18'bd>==;o0bko8:028 g032=l>7cl93;d8?l36j3:1(ko7:40f?k`f?3;:7)l94;6e1>he>:0n76g:1`83>!`f03?9i6`ia6826>"e>=0?j85ab719`>=n=831<7*ia9866`=inh=1=>5+b7690c35<#nh219?k4ngc4>42<,k5$gc;>04b3glj;7?:;%`50?2a=2di:>4n;:k673<72-lj47;=e:lee2<6>2.i:94;f49mf35=121b9>;50;&ee=<2:l1ejl95169'f32=m96`m6285?>o2;;0;6)hn8;71a>hai>0:m6*m6587b0=ij?91965f52394?"ai10>>h5af`595g=#j?>18k;4nc40>1=1`23gh=?7=4;h71g?6=,ok368><4?:%dbe:&a21<3n<1en;=51:9j140=83.mm54:2d9mbd1=9o1/n;:54g78jg042910qo;;3282>ge=83:p(<>ib;3307=O98l37E??fg9Y7d6=krnh6ij516:952e=9>31=:j516c951`=9=o1=8>516`951b=u-22<7o4$9;2>d=#0081m6*7928b?!>><3k0(57::`9'<<0=i2.35:4n;%::=?g<,13j6l5+88`9e>"?1j0j7)66d;c8 =?b2h1/44h5a:&;e5d=#0h91m6*7a58b?!>f=3k0(5o9:`9'"?ij0j7)6nd;c8 =gb2h1/4lh5a:&;f5d=#0k91m6*7b58b?!>e=3k0(5l9:`9'"?jj0j7)6md;c8 =db2h1/4oh5a:&;g5d=#0j91m6*7c58b?!>d=3k0(5m9:`9'"?kj0j7)6ld;c8 =eb2h1/4nh5a:&;`5d=#0m91m6*7d58b?!>c=3k0(5j9:`9'<=#9938645+10d0>47a:2.:=k:510d1?k2d:330b9m<:89m23?=82d=oh4?;%5:5?77n11/;4<511d;?!77>90?=l5+1142>17f3-;;9h4>0`d8 460:3;;485+8e`9f31<@8:i:6*>203955253-9;<7??6c9'>o3:o0;6Ehn9:9j3=b=831b==;9:188m152290Cjl74;h330d<722c:<8;50;9j3=d=831b9n850;&ee=<2k<1ejl950:&a21<2jm1en;=53:9j1f2=83.mm54:c49mbd1=92.i:94:be9mf35=:21b9n=50;&ee=<2k<1ejl952:&a21<2jm1en;=51:9j1f4=83.mm54:c49mbd1=;2.i:94:be9mf35=821b==98;<7chn7;28 g0328;;j6`m6280?>o698<1<7*ia982541169mbd1=:2.i:94>11d8jg042810e4;29 cg?28;:;6`ia680?!d1<3;:=h>:l1<7*ia9857`=inh=1<6*m658540=ij?91=k54o71g>5<#nh21:>k4ngc4>4=#j?>1:=;4nc40>4c<3f<8n7>5$gc;>35b3glj;7<4$c47>3623gh=?7?k;:m57d<72-lj478750;&ee=<1;l1ejl954:&a21<18<1en;=51c98k35?290/jl6562g8jcg02<1/n;:56178jg0428k07b8<7;29 cg?2?9n7chn7;48 g032?:>7cl93;3:?>i1;?0;6)hn8;40a>hai>0<7)l94;431>he>:0:465`62794?"ai10=?h5af`59<>"e>=0=<85ab71952=:;o`57?7232e=??4?:%db4>4:9l266=83.mm5493d9mbd1=k2.i:949049mf35=9:10c;=>:o0bko8:e9'f32=>9?0bo8<:008?j05m3:1(ko7:71f?k`f?3o0(o8;:726?kd1;3;:76a92e83>!`f03<8i6`ia68e?!d1<3<;96`m62824>=h>;i1<7*ia9857`=inh=1==5+b7692535<#nh21:>k4ngc4>47<,k:;o`57?c<3f<9m7>5$gc;>35b3glj;7?=;%`50?07=2di:>4k;:m56<<72-lj4789?0bo8<:c98k340290/jl6562g8jcg028?0(o8;:726?kd1;3k07b8;6;29 cg?2?9n7chn7;35?!d1<3<;96`m628:?>i1<<0;6)hn8;40a>hai>0:;6*m658540=ij?91465`65694?"ai10=?h5af`595==#j?>1:=;4nc40>2=86=4+f`:926c3623gh=?784;n476?6=,ok36;=j;odb3?7f3-h=878?5:la26<232e=8<4?:%dbb:&a21<18<1en;=54:9l216=83.mm5493d9mbd1=9j1/n;:56178jg042:10c;=l:18'bd>=>:o0bko8:0f8 g032?:>7cl93;08?j0493:1(ko7:71f?k`f?3;n7)l94;431>he>:0:76a92783>!`f03<8i6`ia682b>"e>=0=<85ab7194>=n:h:1<7*ia981=c=inh=1<6*m6581"e>=094n5ab719g>=n:0n1<7*ia981=c=inh=1>6*m6581"e>=094n5ab719e>=n:h31<7*ia981=c=inh=186*m6581"e>=094n5ab719<>=n:h=1<7*ia981=c=inh=1:6*m6581"e>=094n5ab7192>=n:h?1<7*ia981=c=inh=146*m6581"e>=094n5ab7190>=n:h91<7*ia981=c=inh=1m6*m6581"e>=094n5ab7196>=n:h;1<7*ia981=c=inh=1o6*m6581"e>=094n5ab7194>=n:mk1<7*ia981`<=inh=1<6*m6581g3=ij?91h65f2e:94?"ai109h45af`595>"e>=09o;5ab719g>=n:m=1<7*ia981`<=inh=1>6*m6581g3=ij?91n65f2e494?"ai109h45af`597>"e>=09o;5ab719e>=n:l91<7*ia981`<=inh=186*m6581g3=ij?91565f2d094?"ai109h45af`591>"e>=09o;5ab719<>=n:l;1<7*ia981`<=inh=1:6*m6581g3=ij?91;65f2d294?"ai109h45af`593>"e>=09o;5ab7192>=n:ml1<7*ia981`<=inh=146*m6581g3=ij?91965f2eg94?"ai109h45af`59=>"e>=09o;5ab7190>=n:mn1<7*ia981`<=inh=1m6*m6581g3=ij?91?65f2ea94?"ai109h45af`59f>"e>=09o;5ab7196>=n:mh1<7*ia981`<=inh=1o6*m6581g3=ij?91=65f2e794?"ai109h45af`59`>"e>=09o;5ab7194>=n=091<7*ia986=7=inh=1<6*m65861<=ij?91=k54i4;2>5<#nh2194<4ngc4>4=#j?>19874nc40>4c<3`?3j7>5$gc;>0?53glj;7<4$c47>03>3gh=?7?k;:k6<`<72-lj47;62:lee2<43-h=87;:9:la26<6k21b95j50;&ee=<21;1ejl954:&a21<2=01en;=51c98m0>d290/jl655808jcg02<1/n;:554;8jg0428k07d;7b;29 cg?2<397chn7;48 g032o20h0;6)hn8;7:6>hai>0<7)l94;76=>he>:0:465f59;94?"ai10>5?5af`59<>"e>=0>945ab71952=4;4?:%db:2dmm:4m;%`50?3212di:>4>4:9j1=2=83.mm54:939mbd1=k2.i:94:589mf35=9:10e86<:18'bd>==080bko8:e9'f32==<30bo8<:008?l3?:3:1(ko7:4;1?k`f?3o0(o8;:47:?kd1;3;:76g:8083>!`f03?2>6`ia68e?!d1<3?>56`m62824>=n=1:1<7*ia986=7=inh=1==5+b76910?5<#nh2194<4ngc4>47<,k5$gc;>0?53glj;7?=;%`50?3212di:>4k;:k63a<72-lj47;62:lee2<6;2.i:94:589mf35=k21b9:m50;&ee=<21;1ejl95159'f32==<30bo8<:c98m01e290/jl655808jcg028?0(o8;:47:?kd1;3k07d;6a;29 cg?2<397chn7;35?!d1<3?>56`m628:?>o2100;6)hn8;7:6>hai>0:;6*m65861<=ij?91465f58:94?"ai10>5?5af`595==#j?>19874nc40>2=03>3gh=?784;h7:2?6=,ok3687=;odb3?7f3-h=87;:9:la26<232c>584?:%db:2dmm:4>b:&a21<2=01en;=54:9j1<2=83.mm54:939mbd1=9j1/n;:554;8jg042:10e87?:18'bd>==080bko8:0f8 g032he>:0:76g:7`83>!`f03?2>6`ia682b>"e>=0>945ab7194>=h>m31<7*ia985`==inh=1<6*m6585`5=ij?91?65`6e594?"ai10=h55af`595>"e>=0=h=5ab7196>=h>m<1<7*ia985`==inh=1>6*m6585`5=ij?91=65`6e794?"ai10=h55af`597>"e>=0=h=5ab7194>=n=m<1<7*ia986`0=inh=1<6*m6586ga=ij?91?65f5e694?"ai10>h85af`595>"e>=0>oi5ab7196>=n=m91<7*ia986`0=inh=1>6*m6586ga=ij?91=65f5e094?"ai10>h85af`597>"e>=0>oi5ab7194>=h>l31<7*ia985a==inh=1<6*m6585a5=ij?91?65`6d594?"ai10=i55af`595>"e>=0=i=5ab7196>=h>l<1<7*ia985a==inh=1>6*m6585a5=ij?91=65`6d794?"ai10=i55af`597>"e>=0=i=5ab7194>=h>h>1<7*ia985e6=inh=1<6*m65852d=ij?91=k54o7c1>5<#nh21:l=4ngc4>4=#j?>1:;o4nc40>4c<3f5$gc;>3g43glj;7<4$c47>30f3gh=?7?k;:m5=c<72-lj478n3:lee2<43-h=8789a:la26<6k21d:4k50;&ee=<1i:1ejl954:&a21<1>h1en;=51c98k3?c290/jl656`18jcg02<1/n;:567c8jg0428k07b86c;29 cg?2?k87chn7;48 g032?i11k0;6)hn8;4b7>hai>0<7)l94;45e>he>:0:465`68c94?"ai10=m>5af`59<>"e>=0=:l5ab71952=4>4:9l2<3=83.mm549a29mbd1=k2.i:9496`9mf35=9:10c;7;:18'bd>=>h90bko8:e9'f32=>?k0bo8<:008?j0>;3:1(ko7:7c0?k`f?3o0(o8;:74b?kd1;3;:76a99383>!`f03=h>0;1<7*ia985e6=inh=1==5+b76923g5<#nh21:l=4ngc4>47<,k5$gc;>3g43glj;7?=;%`50?01i2di:>4k;:m5<`<72-lj478n3:lee2<6;2.i:9496`9mf35=k21d:5j50;&ee=<1i:1ejl95159'f32=>?k0bo8<:c98k3>d290/jl656`18jcg028?0(o8;:74b?kd1;3k07b8nb;29 cg?2?k87chn7;35?!d1<3<=m6`m628:?>i1ih0;6)hn8;4b7>hai>0:;6*m65852d=ij?91465`6`;94?"ai10=m>5af`595==#j?>1:;o4nc40>2=30f3gh=?784;n4b3?6=,ok36;o<;odb3?7f3-h=8789a:la26<232e=m;4?:%dbb:&a21<1>h1en;=54:9l2d3=83.mm549a29mbd1=9j1/n;:567c8jg042:10c;o>:18'bd>=>h90bko8:0f8 g032?>3:1(ko7:7c0?k`f?3;n7)l94;45e>he>:0:76a98c83>!`f03"e>=0=:l5ab7194>=n98836=4+f`:954403glj;7>4$c47>476n2di:>4<;:k2570=83.mm54>1358jcg0281/n;:5103e?kd1;3807d?>2483>!`f03;:>:5af`596>"e>=0:=4=5$gc;>475?2dmm:4<;%`50?769o1en;=50:9j17`=83.mm54:2d9mbd1=82.i:94;f49mf35=9o10e8==;o0bko8:09'f32=!`f03?9i6`ia680?!d1<3>m96`m6282g>=n=;31<7*ia9866`=inh=186*m6587b0=ij?91=o54i40;>5<#nh219?k4ngc4>0=#j?>18k;4nc40>4g<3`?9;7>5$gc;>04b3glj;784$c47>1`23gh=?7?6;:k663<72-lj47;=e:lee2<03-h=87:i5:la26<6021b9?;50;&ee=<2:l1ejl958:&a21<3n<1en;=51698m043290/jl6553g8jcg0201/n;:54g78jg0428<07d;=3;29 cg?2<8n7chn7;c8 g032=l>7cl93;36?>o2:;0;6)hn8;71a>hai>0i7)l94;6e1>he>:0:865f53294?"ai10>>h5af`59g>"e>=0?j85ab71956==i4?:%db4>0:9j14e=83.mm54:2d9mbd1=991/n;:54g78jg042o10e8?m:18'bd>==;o0bko8:038 g032=l>7cl93;g8?l36i3:1(ko7:40f?k`f?3;97)l94;6e1>he>:0o76g:1883>!`f03?9i6`ia6827>"e>=0?j85ab719g>=n=821<7*ia9866`=inh=1=95+b7690c35<#nh219?k4ngc4>43<,k5$gc;>04b3glj;7?9;%`50?2a=2di:>46;:k670<72-lj47;=e:lee2<6?2.i:94;f49mf35=021b9>:50;&ee=<2:l1ejl95199'f32=m96`m6286?>o2;80;6)hn8;71a>hai>0:n6*m6587b0=ij?91865f52294?"ai10>>h5af`595f=#j?>18k;4nc40>6=1`23gh=?7<4;h715?6=,ok368=;4?:%dbf:&a21<3n<1en;=50:9~f02483;1nn4?:1y'55`e28:?>6F>1g:8L46an2P8m=4l{ea9`a<6?10:;n4>78823a<6?h0:8k4>4d8215<6?k0:8i4r$9;3>d=#00;1m6*7938b?!>>;3k0(57;:`9'<<3=i2.35;4n;%::3?g<,1326l5+88c9e>"?1k0j7)66c;c8 =?c2h1/44k5a:&;=cd=#0h81m6*7a28b?!>f<3k0(5o::`9'"?ik0j7)6nc;c8 =gc2h1/4lk5a:&;ecd=#0k81m6*7b28b?!>e<3k0(5l::`9'"?jk0j7)6mc;c8 =dc2h1/4ok5a:&;fcd=#0j81m6*7c28b?!>d<3k0(5m::`9'"?kk0j7)6lc;c8 =ec2h1/4nk5a:&;gcd=#0m81m6*7d28b?!>c<3k0(5j::`9'"6808156*>0819=>"69o91=3:6<>i8:&4=7<68o20(<>90;62e>"68?;18"?lk0i::5G11`5?!75980:<9<4$223>461j2.3j=4;5c:8 46093h=;6`>201960bc3-;;4h4:43a8m46313:17d:=f;29Lcg>32c<4i4?::k2400=831b8>;50;Jee<=5;h3310<722c<4o4?::k6g3<72-lj47;l5:lee2<73-h=87;md:la26<432c>o94?:%db;%`50?3el2di:>4=;:k6g6<72-lj47;l5:lee2<53-h=87;md:la26<632c>o?4?:%db4?;:k254>=83.mm54>1058jcg0291/n;:5102e?kd1;3907d?>1783>!`f03;:=:5af`595>"e>=0:==h4nc40>7=5$gc;>476?2dmm:4=;%`50?768o1en;=51:9j5473290/jl651034?k`f?390(o8;:033b>he>:0;76a93g83>!`f03<8i6`ia683?!d1<3<;96`m6282b>=h>:n1<7*ia9857`=inh=1=6*m658540=ij?91=h54o71a>5<#nh21:>k4ngc4>7=#j?>1:=;4nc40>4b<3f<8m7>5$gc;>35b3glj;7=4$c47>3623gh=?7?l;:m57<<72-lj478650;&ee=<1;l1ejl955:&a21<18<1en;=51`98k350290/jl6562g8jcg02?1/n;:56178jg0428307b8<6;29 cg?2?9n7chn7;58 g032?:>7cl93;3;?>i1;<0;6)hn8;40a>hai>037)l94;431>he>:0:;65`62694?"ai10=?h5af`59=>"e>=0=<85ab71953=:;o`57?7332e=?=4?:%db4>3:9l27`=83.mm5493d9mbd1=l2.i:949049mf35=9;10c;=>:o0bko8:d9'f32=>9?0bo8<:038?j05l3:1(ko7:71f?k`f?3l0(o8;:726?kd1;3;;76a92b83>!`f03<8i6`ia6824>"e>=0=<85ab719b>=h>;h1<7*ia9857`=inh=1=<5+b7692535<#nh21:>k4ngc4>44<,k:;o`57?b<3f<957>5$gc;>35b3glj;7?<;%`50?07=2di:>4l;:m56=<72-lj4789?0bo8<:`98k321290/jl6562g8jcg028<0(o8;:726?kd1;3307b8;5;29 cg?2?9n7chn7;34?!d1<3<;96`m628;?>i1<=0;6)hn8;40a>hai>0:46*m658540=ij?91;65`65194?"ai10=?h5af`595<=#j?>1:=;4nc40>3=96=4+f`:926c3623gh=?7;4;n475?6=,ok36;=j;odb3?7e3-h=878?5:la26<332e=8=4?:%dbc:&a21<18<1en;=53:9l26e=83.mm5493d9mbd1=9m1/n;:56178jg042;10c;=>:18'bd>=>:o0bko8:0g8 g032?:>7cl93;38?j05>3:1(ko7:71f?k`f?3;m7)l94;431>he>:0;76g=a183>!`f0382j6`ia683?!d1<383o6`m628g?>o51l0;6)hn8;0:b>hai>0:7)l94;0;g>he>:0h76g=9e83>!`f0382j6`ia681?!d1<383o6`m628a?>o51j0;6)hn8;0:b>hai>087)l94;0;g>he>:0j76g=a883>!`f0382j6`ia687?!d1<383o6`m628:?>o5i10;6)hn8;0:b>hai>0>7)l94;0;g>he>:0376g=a683>!`f0382j6`ia685?!d1<383o6`m6284?>o5i?0;6)hn8;0:b>hai>0<7)l94;0;g>he>:0=76g=a483>!`f0382j6`ia68;?!d1<383o6`m6286?>o5i=0;6)hn8;0:b>hai>027)l94;0;g>he>:0?76g=a283>!`f0382j6`ia68b?!d1<383o6`m6280?>o5i;0;6)hn8;0:b>hai>0i7)l94;0;g>he>:0976g=a083>!`f0382j6`ia68`?!d1<383o6`m6282?>o51k0;6)hn8;0:b>hai>0o7)l94;0;g>he>:0;76g=d`83>!`f038o56`ia683?!d1<38h:6`m628g?>o5l10;6)hn8;0g=>hai>0:7)l94;0`2>he>:0h76g=d683>!`f038o56`ia681?!d1<38h:6`m628a?>o5l?0;6)hn8;0g=>hai>087)l94;0`2>he>:0j76g=e283>!`f038o56`ia687?!d1<38h:6`m628:?>o5m;0;6)hn8;0g=>hai>0>7)l94;0`2>he>:0376g=e083>!`f038o56`ia685?!d1<38h:6`m6284?>o5m90;6)hn8;0g=>hai>0<7)l94;0`2>he>:0=76g=dg83>!`f038o56`ia68;?!d1<38h:6`m6286?>o5ll0;6)hn8;0g=>hai>027)l94;0`2>he>:0?76g=de83>!`f038o56`ia68b?!d1<38h:6`m6280?>o5lj0;6)hn8;0g=>hai>0i7)l94;0`2>he>:0976g=dc83>!`f038o56`ia68`?!d1<38h:6`m6282?>o5l<0;6)hn8;0g=>hai>0o7)l94;0`2>he>:0;76g:9283>!`f03?2>6`ia683?!d1<3?>56`m6282b>=n=0;1<7*ia986=7=inh=1=6*m65861<=ij?91=h54i4:e>5<#nh2194<4ngc4>7=#j?>19874nc40>4b<3`?3i7>5$gc;>0?53glj;7=4$c47>03>3gh=?7?l;:k6e290/jl655808jcg02?1/n;:554;8jg0428307d;7a;29 cg?2<397chn7;58 g032o2000;6)hn8;7:6>hai>037)l94;76=>he>:0:;65f59:94?"ai10>5?5af`59=>"e>=0>945ab71953=494?:%db:2dmm:4l;%`50?3212di:>4>3:9j1=5=83.mm54:939mbd1=l2.i:94:589mf35=9;10e86=:18'bd>==080bko8:d9'f32==<30bo8<:038?l3?93:1(ko7:4;1?k`f?3l0(o8;:47:?kd1;3;;76g:8183>!`f03?2>6`ia6824>"e>=0>945ab719b>=n=>l1<7*ia986=7=inh=1=<5+b76910?5<#nh2194<4ngc4>44<,k5$gc;>0?53glj;7?<;%`50?3212di:>4l;:k63f<72-lj47;62:lee2<6<2.i:94:589mf35=j21b9:l50;&ee=<21;1ejl95149'f32==<30bo8<:`98m0?f290/jl655808jcg028<0(o8;:47:?kd1;3307d;69;29 cg?2<397chn7;34?!d1<3?>56`m628;?>o2110;6)hn8;7:6>hai>0:46*m65861<=ij?91;65f58594?"ai10>5?5af`595<=#j?>19874nc40>3=03>3gh=?7;4;h7:1?6=,ok3687=;odb3?7e3-h=87;:9:la26<332c>594?:%db:2dmm:4>c:&a21<2=01en;=53:9j1<6=83.mm54:939mbd1=9m1/n;:554;8jg042;10e86::18'bd>==080bko8:0g8 g032he>:0;76a9d883>!`f03i1l>0;6)hn8;4g<>hai>0:7)l94;4g4>he>:0976a9d783>!`f03i1l<0;6)hn8;4g<>hai>087)l94;4g4>he>:0;76g:d783>!`f03?o96`ia683?!d1<3?hh6`m6280?>o2l=0;6)hn8;7g1>hai>0:7)l94;7``>he>:0976g:d283>!`f03?o96`ia681?!d1<3?hh6`m6282?>o2l;0;6)hn8;7g1>hai>087)l94;7``>he>:0;76a9e883>!`f03i1m>0;6)hn8;4f<>hai>0:7)l94;4f4>he>:0976a9e783>!`f03i1m<0;6)hn8;4f<>hai>087)l94;4f4>he>:0;76a9a583>!`f03=h>h81<7*ia985e6=inh=1=6*m65852d=ij?91=h54o7c3>5<#nh21:l=4ngc4>7=#j?>1:;o4nc40>4b<3f<2j7>5$gc;>3g43glj;7=4$c47>30f3gh=?7?l;:m5=`<72-lj478n3:lee2<33-h=8789a:la26<6j21d:4j50;&ee=<1i:1ejl955:&a21<1>h1en;=51`98k3?d290/jl656`18jcg02?1/n;:567c8jg0428307b86b;29 cg?2?k87chn7;58 g032?i11h0;6)hn8;4b7>hai>037)l94;45e>he>:0:;65`68;94?"ai10=m>5af`59=>"e>=0=:l5ab71953=4>3:9l2<2=83.mm549a29mbd1=l2.i:9496`9mf35=9;10c;7<:18'bd>=>h90bko8:d9'f32=>?k0bo8<:038?j0>:3:1(ko7:7c0?k`f?3l0(o8;:74b?kd1;3;;76a99083>!`f03"e>=0=:l5ab719b>=h>0:1<7*ia985e6=inh=1=<5+b76923g5<#nh21:l=4ngc4>44<,k5$gc;>3g43glj;7?<;%`50?01i2di:>4l;:m5?k0bo8<:`98k3ge290/jl656`18jcg028<0(o8;:74b?kd1;3307b8na;29 cg?2?k87chn7;34?!d1<3<=m6`m628;?>i1i00;6)hn8;4b7>hai>0:46*m65852d=ij?91;65`6`:94?"ai10=m>5af`595<=#j?>1:;o4nc40>3=30f3gh=?7;4;n4b2?6=,ok36;o<;odb3?7e3-h=8789a:la26<332e=m84?:%dbc:&a21<1>h1en;=53:9l2d7=83.mm549a29mbd1=9m1/n;:567c8jg042;10c;79:18'bd>=>h90bko8:0g8 g032?he>:0;76g>13:94?"ai10:=?94ngc4>5=#j?>1=;4?:%db1ejl951:&a21<698l0bo8<:398m475=3:1(ko7:0313>hai>097)l94;325c=ij?91=65f1007>5<#nh21=<<8;odb3?5<,kf:la26<732c>>k4?:%db4>f:9j17b=83.mm54:2d9mbd1=92.i:94;f49mf35=9l10e8==;o0bko8:39'f32=!`f03?9i6`ia687?!d1<3>m96`m6282f>=n=;21<7*ia9866`=inh=196*m6587b0=ij?91=l54i404>5<#nh219?k4ngc4>3=#j?>18k;4nc40>4?<3`?9:7>5$gc;>04b3glj;794$c47>1`23gh=?7?7;:k660<72-lj47;=e:lee27cl93;37?>o2:90;6)hn8;71a>hai>0h7)l94;6e1>he>:0:?65f50d94?"ai10>>h5af`59`>"e>=0?j85ab71957==n4?:%db0:&a21<3n<1en;=5f:9j14d=83.mm54:2d9mbd1=981/n;:54g78jg042l10e8?n:18'bd>==;o0bko8:008 g032=l>7cl93;f8?l3613:1(ko7:40f?k`f?3;87)l94;6e1>he>:0h76g:1983>!`f03?9i6`ia6820>"e>=0?j85ab719f>=n=8=1<7*ia9866`=inh=1=85+b7690c35<#nh219?k4ngc4>40<,k5$gc;>04b3glj;7?8;%`50?2a=2di:>47;:k671<72-lj47;=e:lee2<602.i:94;f49mf35=?21b9>=50;&ee=<2:l1ejl95189'f32=m96`m6287?>o2;90;6)hn8;71a>hai>0:o6*m6587b0=ij?91?65f53a94?"ai10>>h5af`595a=#j?>18k;4nc40>7=1`23gh=?7?4;h722?6=,ok368<7?8b;37`?{#00:1m6*7908b?!>>:3k0(57<:`9'<<2=i2.3584n;%::2?g<,13<6l5+88;9e>"?1h0j7)66b;c8 =?d2h1/44j5a:&;=`d=#0h;1m6*7a38b?!>f;3k0(5o;:`9'"?ih0j7)6nb;c8 =gd2h1/4lj5a:&;e`d=#0k;1m6*7b38b?!>e;3k0(5l;:`9'"?jh0j7)6mb;c8 =dd2h1/4oj5a:&;f`d=#0j;1m6*7c38b?!>d;3k0(5m;:`9'"?kh0j7)6lb;c8 =ed2h1/4nj5a:&;g`d=#0m;1m6*7d38b?!>c;3k0(5j;:`9'"?lh027)??938:?!771:027)?>f2825c4<,8;m87?>f39m0f4=12d?o>46;o45=?67??f99'55072=;j7)??60875d=#99?n6<>nf:&2424=992>7)6kb;`53>N68k<0(<<>1;3307=#;9:1==8m;%:e4?22j11/==9>:c44?k759:099ik4$02;a?33:j1b==:6:188m14a290Cjl74;h5;`?6=3`;;9;4?::k770<72Alj565f116b>5<97>5;h5;f?6=3`?h:7>5$gc;>0e23glj;7>4$c47>0dc3gh=?7=4;h7`0?6=,ok368m:;odb3?7<,k5$gc;>0e23glj;7<4$c47>0dc3gh=?7?4;h7`6?6=,ok368m:;odb3?5<,k1ejl950:&a21<699l0bo8<:298m476>3:1(ko7:0323>hai>0:7)l94;324c=ij?91>65f1036>5<#nh21=!`f03<8i6`ia682?!d1<3<;96`m6282a>=h>:h1<7*ia9857`=inh=1>6*m658540=ij?91=i54o71b>5<#nh21:>k4ngc4>6=#j?>1:=;4nc40>4e<3f<857>5$gc;>35b3glj;7:4$c47>3623gh=?7?m;:m57=<72-lj478950;&ee=<1;l1ejl956:&a21<18<1en;=51898k351290/jl6562g8jcg02>1/n;:56178jg0428207b8<5;29 cg?2?9n7chn7;:8 g032?:>7cl93;34?>i1;=0;6)hn8;40a>hai>027)l94;431>he>:0::65`62194?"ai10=?h5af`59e>"e>=0=<85ab71950=:;o`57?7432e=>k4?:%db4>2:9l27c=83.mm5493d9mbd1=m2.i:949049mf35=9810c;=>:o0bko8:g9'f32=>9?0bo8<:028?j05k3:1(ko7:71f?k`f?3;;7)l94;431>he>:0m76a92c83>!`f03<8i6`ia6825>"e>=0=<85ab719a>=h>;k1<7*ia9857`=inh=1=?5+b7692535<#nh21:>k4ngc4>45<,k:;o`57?e<3f<947>5$gc;>35b3glj;7?;;%`50?07=2di:>4m;:m562<72-lj4789?0bo8<:898k322290/jl6562g8jcg028=0(o8;:726?kd1;3207b8;4;29 cg?2?9n7chn7;3;?!d1<3<;96`m6284?>i1<:0;6)hn8;40a>hai>0:56*m658540=ij?91:65`65094?"ai10=?h5af`595d=#j?>1:=;4nc40>0=:6=4+f`:926c3623gh=?7:4;n474?6=,ok36;=j;odb3?7d3-h=878?5:la26<432e=?n4?:%dbd:&a21<18<1en;=52:9l267=83.mm5493d9mbd1=9l1/n;:56178jg042810c;<9:18'bd>=>:o0bko8:0d8 g032?:>7cl93;28?l4f83:1(ko7:3;e?k`f?3:0(o8;:3:`?kd1;3n07d<6e;29 cg?2;3m7chn7;38 g032;2h7cl93;a8?l4>l3:1(ko7:3;e?k`f?380(o8;:3:`?kd1;3h07d<6c;29 cg?2;3m7chn7;18 g032;2h7cl93;c8?l4f13:1(ko7:3;e?k`f?3>0(o8;:3:`?kd1;3307d0(o8;:3a5?kd1;3307d;3:1(ko7:4;1?k`f?3:0(o8;:47:?kd1;3;m76g:9083>!`f03?2>6`ia682?!d1<3?>56`m6282a>=n=1l1<7*ia986=7=inh=1>6*m65861<=ij?91=i54i4:f>5<#nh2194<4ngc4>6=#j?>19874nc40>4e<3`?3h7>5$gc;>0?53glj;7:4$c47>03>3gh=?7?m;:k6f290/jl655808jcg02>1/n;:554;8jg0428207d;79;29 cg?2<397chn7;:8 g032o2010;6)hn8;7:6>hai>027)l94;76=>he>:0::65f59594?"ai10>5?5af`59e>"e>=0>945ab71950=4>4?:%db:2dmm:4k;%`50?3212di:>4>2:9j1=4=83.mm54:939mbd1=m2.i:94:589mf35=9810e86>:18'bd>==080bko8:g9'f32==<30bo8<:028?l3?83:1(ko7:4;1?k`f?3;;7)l94;76=>he>:0m76g:7g83>!`f03?2>6`ia6825>"e>=0>945ab719a>=n=>o1<7*ia986=7=inh=1=?5+b76910?5<#nh2194<4ngc4>45<,k5$gc;>0?53glj;7?;;%`50?3212di:>4m;:k63g<72-lj47;62:lee2<6=2.i:94:589mf35=i21b94o50;&ee=<21;1ejl95179'f32==<30bo8<:898m0?>290/jl655808jcg028=0(o8;:47:?kd1;3207d;68;29 cg?2<397chn7;3;?!d1<3?>56`m6284?>o21>0;6)hn8;7:6>hai>0:56*m65861<=ij?91:65f58494?"ai10>5?5af`595d=#j?>19874nc40>0=6=4+f`:91<403>3gh=?7:4;h7:0?6=,ok3687=;odb3?7d3-h=87;:9:la26<432c>5=4?:%db:2dmm:4>d:&a21<2=01en;=52:9j1=3=83.mm54:939mbd1=9l1/n;:554;8jg042810e89n:18'bd>==080bko8:0d8 g0323:1(ko7:7f;?k`f?380(o8;:7f3?kd1;3;07b8k5;29 cg?2?n37chn7;18 g032?n;7cl93;28?l3c>3:1(ko7:4f6?k`f?3:0(o8;:4ag?kd1;3907d;k4;29 cg?27chn7;38 g0327chn7;18 g0323:1(ko7:7g;?k`f?380(o8;:7g3?kd1;3;07b8j5;29 cg?2?o37chn7;18 g032?o;7cl93;28?j0f<3:1(ko7:7c0?k`f?3:0(o8;:74b?kd1;3;m76a9a383>!`f03=h>h:1<7*ia985e6=inh=1>6*m65852d=ij?91=i54o7;e>5<#nh21:l=4ngc4>6=#j?>1:;o4nc40>4e<3f<2i7>5$gc;>3g43glj;7:4$c47>30f3gh=?7?m;:m5=a<72-lj478n3:lee2<23-h=8789a:la26<6i21d:4m50;&ee=<1i:1ejl956:&a21<1>h1en;=51898k3?e290/jl656`18jcg02>1/n;:567c8jg0428207b86a;29 cg?2?k87chn7;:8 g032?i1100;6)hn8;4b7>hai>027)l94;45e>he>:0::65`68:94?"ai10=m>5af`59e>"e>=0=:l5ab71950=4>2:9l2<5=83.mm549a29mbd1=m2.i:9496`9mf35=9810c;7=:18'bd>=>h90bko8:g9'f32=>?k0bo8<:028?j0>93:1(ko7:7c0?k`f?3;;7)l94;45e>he>:0m76a99183>!`f03"e>=0=:l5ab719a>=h>1l1<7*ia985e6=inh=1=?5+b76923g5<#nh21:l=4ngc4>45<,k5$gc;>3g43glj;7?;;%`50?01i2di:>4m;:m5?k0bo8<:898k3gf290/jl656`18jcg028=0(o8;:74b?kd1;3207b8n9;29 cg?2?k87chn7;3;?!d1<3<=m6`m6284?>i1i10;6)hn8;4b7>hai>0:56*m65852d=ij?91:65`6`594?"ai10=m>5af`595d=#j?>1:;o4nc40>0=30f3gh=?7:4;n4b1?6=,ok36;o<;odb3?7d3-h=8789a:la26<432e=m<4?:%dbd:&a21<1>h1en;=52:9l2<0=83.mm549a29mbd1=9l1/n;:567c8jg042810c;6m:18'bd>=>h90bko8:0d8 g032?54i0312?6=,ok361g9mf35=:21b=<<::18'bd>=988<7chn7;08 g0328;:j6`m6282?>o69;>1<7*ia9825715+b769547a3gh=?7>4;h71b?6=,ok368>i4?:%db;%`50?2a=2di:>4>e:9j17d=83.mm54:2d9mbd1=:2.i:94;f49mf35=9m10e8==;o0bko8:29'f32=0(o8;:5d6?kd1;3;i76g:2983>!`f03?9i6`ia686?!d1<3>m96`m6282e>=n=;=1<7*ia9866`=inh=1:6*m6587b0=ij?91=454i405>5<#nh219?k4ngc4>2=#j?>18k;4nc40>4><3`?997>5$gc;>04b3glj;764$c47>1`23gh=?7?8;:k661<72-lj47;=e:lee2<>3-h=87:i5:la26<6>21b9?=50;&ee=<2:l1ejl95a:&a21<3n<1en;=51498m045290/jl6553g8jcg02k1/n;:54g78jg0428>07d;=0;29 cg?2<8n7chn7;a8 g032=l>7cl93;30?>o29o0;6)hn8;71a>hai>0o7)l94;6e1>he>:0:>65f50g94?"ai10>>h5af`59a>"e>=0?j85ab71954=4;h72g?6=,ok368=o4?:%db1:&a21<3n<1en;=5e:9j14g=83.mm54:2d9mbd1=9;1/n;:54g78jg042m10e8?6:18'bd>==;o0bko8:018 g032=l>7cl93;a8?l3603:1(ko7:40f?k`f?3;?7)l94;6e1>he>:0i76g:1683>!`f03?9i6`ia6821>"e>=0?j85ab719e>=n=:<1<7*ia9866`=inh=1=;5+b7690c35<#nh219?k4ngc4>41<,k<3`?887>5$gc;>04b3glj;7?7;%`50?2a=2di:>48;:k676<72-lj47;=e:lee2<612.i:94;f49mf35=>21b9><50;&ee=<2:l1ejl951`9'f32=07d;<0;29 cg?2<8n7chn7;3`?!d1<3>m96`m6280?>o2:j0;6)hn8;71a>hai>0:h6*m6587b0=ij?91>65f53394?"ai10>>h5af`595`=#j?>18k;4nc40>4=1`23gh=?7>4;|`7ffb=93hh6=4?{%33bg<68=80D41?28=h6<96:05g>41f28>m6<:j:073>41e28>o6p*7988b?!>>i3k0(57m:`9'<"?i80j7)6n2;c8 =g42h1/4l:5a:&;e0d=#0h21m6*7a88b?!>fi3k0(5om:`9'"?j80j7)6m2;c8 =d42h1/4o:5a:&;f0d=#0k21m6*7b88b?!>ei3k0(5lm:`9'"?k80j7)6l2;c8 =e42h1/4n:5a:&;g0d=#0j21m6*7c88b?!>di3k0(5mm:`9'"?l80j7)6k2;c8 =b42h1/4i:5a:&;`0d=#0m21m6*>1g1954`53-;:j94>1g08j1e5201e8n=59:l52<<73g4$6;2>46a02.<5?4>0g:8 =?72h1/44?5a:&;=7d=#00?1m6*7978b?!>>?3k0(5j6:89'540c8 46193>:m6*>04g955ga3-;;5?46;%33=6<>3-;;;?4>0978 =be2k<<7E??b79'577628:?>6*<018243d<,1l;69;m8:&2427=;9l0b<<>3;06`c=#992n69ll3:k241?=831b8?h50;Jee<=2o6=44i0262?6=3`>897>5Hgc:?>o68=k1<75f1176>5<2i6=44i4a5>5<#nh219n;4ngc4>5=#j?>19oj4nc40>6=5<#nh219n;4ngc4>7=#j?>19oj4nc40>4=5+b7691gb7:lee2<73-h=87?>0g9mf35=;21b==98;<7chn7;38 g0328;;j6`m6281?>o698?1<7*ia982541169mbd1=;2.i:94>11d8jg042910c;=i:18'bd>=>:o0bko8:19'f32=>9?0bo8<:0d8?j04l3:1(ko7:71f?k`f?3;0(o8;:726?kd1;3;n76a93c83>!`f03<8i6`ia681?!d1<3<;96`m6282`>=h>:k1<7*ia9857`=inh=1?6*m658540=ij?91=n54o71:>5<#nh21:>k4ngc4>1=#j?>1:=;4nc40>4d<3f<847>5$gc;>35b3glj;7;4$c47>3623gh=?7?n;:m572<72-lj478850;&ee=<1;l1ejl957:&a21<18<1en;=51998k352290/jl6562g8jcg0211/n;:56178jg0428=07b8<4;29 cg?2?9n7chn7;;8 g032?:>7cl93;35?>i1;:0;6)hn8;40a>hai>0j7)l94;431>he>:0:965`62094?"ai10=?h5af`59f>"e>=0=<85ab71951=:;o`57?7532e=>h4?:%db4>1:9l27b=83.mm5493d9mbd1=n2.i:949049mf35=9910c;=>:o0bko8:028 g032?:>7cl93;d8?j05j3:1(ko7:71f?k`f?3;:7)l94;431>he>:0n76a92`83>!`f03<8i6`ia6826>"e>=0=<85ab719`>=h>;31<7*ia9857`=inh=1=>5+b7692535<#nh21:>k4ngc4>42<,k:;o`57?d<3f<9;7>5$gc;>35b3glj;7?:;%`50?07=2di:>4n;:m503<72-lj4782.i:949049mf35=121d:9;50;&ee=<1;l1ejl95169'f32=>9?0bo8<:998k323290/jl6562g8jcg02820(o8;:726?kd1;3=07b8;3;29 cg?2?9n7chn7;3:?!d1<3<;96`m6285?>i1<;0;6)hn8;40a>hai>0:m6*m658540=ij?91965`65394?"ai10=?h5af`595g=#j?>1:=;4nc40>1=;6=4+f`:926c3623gh=?7=4;n40g?6=,ok36;=j;odb3?7c3-h=878?5:la26<532e=?<4?:%dbe:&a21<18<1en;=51:9l270=83.mm5493d9mbd1=9o1/n;:56178jg042910e?o?:18'bd>=:0l0bko8:19'f32=:1i0bo8<:e98m7?b290/jl6528d8jcg0281/n;:529a8jg042j10e?7k:18'bd>=:0l0bko8:39'f32=:1i0bo8<:c98m7?d290/jl6528d8jcg02:1/n;:529a8jg042h10e?o6:18'bd>=:0l0bko8:59'f32=:1i0bo8<:898m7g?290/jl6528d8jcg02<1/n;:529a8jg042110e?o8:18'bd>=:0l0bko8:79'f32=:1i0bo8<:698m7g1290/jl6528d8jcg02>1/n;:529a8jg042?10e?o::18'bd>=:0l0bko8:99'f32=:1i0bo8<:498m7g3290/jl6528d8jcg0201/n;:529a8jg042=10e?o<:18'bd>=:0l0bko8:`9'f32=:1i0bo8<:298m7g5290/jl6528d8jcg02k1/n;:529a8jg042;10e?o>:18'bd>=:0l0bko8:b9'f32=:1i0bo8<:098m7?e290/jl6528d8jcg02m1/n;:529a8jg042910e?jn:18'bd>=:m30bko8:19'f32=:j<0bo8<:e98m7b?290/jl652e;8jcg0281/n;:52b48jg042j10e?j8:18'bd>=:m30bko8:39'f32=:j<0bo8<:c98m7b1290/jl652e;8jcg02:1/n;:52b48jg042h10e?k<:18'bd>=:m30bko8:59'f32=:j<0bo8<:898m7c5290/jl652e;8jcg02<1/n;:52b48jg042110e?k>:18'bd>=:m30bko8:79'f32=:j<0bo8<:698m7c7290/jl652e;8jcg02>1/n;:52b48jg042?10e?ji:18'bd>=:m30bko8:99'f32=:j<0bo8<:498m7bb290/jl652e;8jcg0201/n;:52b48jg042=10e?jk:18'bd>=:m30bko8:`9'f32=:j<0bo8<:298m7bd290/jl652e;8jcg02k1/n;:52b48jg042;10e?jm:18'bd>=:m30bko8:b9'f32=:j<0bo8<:098m7b2290/jl652e;8jcg02m1/n;:52b48jg042910e87<:18'bd>==080bko8:19'f32==<30bo8<:0d8?l3>93:1(ko7:4;1?k`f?3;0(o8;:47:?kd1;3;n76g:8g83>!`f03?2>6`ia681?!d1<3?>56`m6282`>=n=1o1<7*ia986=7=inh=1?6*m65861<=ij?91=n54i4:g>5<#nh2194<4ngc4>1=#j?>19874nc40>4d<3`?3o7>5$gc;>0?53glj;7;4$c47>03>3gh=?7?n;:k6>290/jl655808jcg0211/n;:554;8jg0428=07d;78;29 cg?2<397chn7;;8 g032o20>0;6)hn8;7:6>hai>0j7)l94;76=>he>:0:965f59494?"ai10>5?5af`59f>"e>=0>945ab71951=4?4?:%db:2dmm:4j;%`50?3212di:>4>1:9j1=7=83.mm54:939mbd1=n2.i:94:589mf35=9910e86?:18'bd>==080bko8:028 g032he>:0n76g:7d83>!`f03?2>6`ia6826>"e>=0>945ab719`>=n=>n1<7*ia986=7=inh=1=>5+b76910?5<#nh2194<4ngc4>42<,k5$gc;>0?53glj;7?:;%`50?3212di:>4n;:k6=d<72-lj47;62:lee2<6>2.i:94:589mf35=121b94750;&ee=<21;1ejl95169'f32==<30bo8<:998m0??290/jl655808jcg02820(o8;:47:?kd1;3=07d;67;29 cg?2<397chn7;3:?!d1<3?>56`m6285?>o21?0;6)hn8;7:6>hai>0:m6*m65861<=ij?91965f58794?"ai10>5?5af`595g=#j?>19874nc40>1=03>3gh=?7=4;h7:4?6=,ok3687=;odb3?7c3-h=87;:9:la26<532c>484?:%db:2dmm:4>e:&a21<2=01en;=51:9j12g=83.mm54:939mbd1=9o1/n;:554;8jg042910c;j6:18'bd>=>m20bko8:19'f32=>m:0bo8<:298k3b0290/jl656e:8jcg0281/n;:56e28jg042;10c;j9:18'bd>=>m20bko8:39'f32=>m:0bo8<:098k3b2290/jl656e:8jcg02:1/n;:56e28jg042910e8j9:18'bd>==m?0bko8:19'f32==jn0bo8<:298m0b3290/jl655e78jcg0281/n;:55bf8jg042;10e8j<:18'bd>==m?0bko8:39'f32==jn0bo8<:098m0b5290/jl655e78jcg02:1/n;:55bf8jg042910c;k6:18'bd>=>l20bko8:19'f32=>l:0bo8<:298k3c0290/jl656d:8jcg0281/n;:56d28jg042;10c;k9:18'bd>=>l20bko8:39'f32=>l:0bo8<:098k3c2290/jl656d:8jcg02:1/n;:56d28jg042910c;o;:18'bd>=>h90bko8:19'f32=>?k0bo8<:0d8?j0f:3:1(ko7:7c0?k`f?3;0(o8;:74b?kd1;3;n76a9a183>!`f03=h>0l1<7*ia985e6=inh=1?6*m65852d=ij?91=n54o7;f>5<#nh21:l=4ngc4>1=#j?>1:;o4nc40>4d<3f<2h7>5$gc;>3g43glj;7;4$c47>30f3gh=?7?n;:m5=f<72-lj478n3:lee2<13-h=8789a:la26<6121d:4l50;&ee=<1i:1ejl957:&a21<1>h1en;=51998k3?f290/jl656`18jcg0211/n;:567c8jg0428=07b869;29 cg?2?k87chn7;;8 g032?i1110;6)hn8;4b7>hai>0j7)l94;45e>he>:0:965`68594?"ai10=m>5af`59f>"e>=0=:l5ab71951=6=4+f`:92d54?:%db4>1:9l2<4=83.mm549a29mbd1=n2.i:9496`9mf35=9910c;7>:18'bd>=>h90bko8:028 g032?83:1(ko7:7c0?k`f?3;:7)l94;45e>he>:0n76a98g83>!`f03"e>=0=:l5ab719`>=h>1o1<7*ia985e6=inh=1=>5+b76923g5<#nh21:l=4ngc4>42<,k5$gc;>3g43glj;7?:;%`50?01i2di:>4n;:m5eg<72-lj478n3:lee2<6>2.i:9496`9mf35=121d:lo50;&ee=<1i:1ejl95169'f32=>?k0bo8<:998k3g>290/jl656`18jcg02820(o8;:74b?kd1;3=07b8n8;29 cg?2?k87chn7;3:?!d1<3<=m6`m6285?>i1i>0;6)hn8;4b7>hai>0:m6*m65852d=ij?91965`6`494?"ai10=m>5af`595g=#j?>1:;o4nc40>1=6=4+f`:92d530f3gh=?7=4;n4b5?6=,ok36;o<;odb3?7c3-h=8789a:la26<532e=5;4?:%dbe:&a21<1>h1en;=51:9l2=d=83.mm549a29mbd1=9o1/n;:567c8jg042910e=n988=6=4+f`:954403glj;7?4$c47>476n2di:>4=;:k2573=83.mm54>1358jcg02;1/n;:5103e?kd1;3;07d?>2583>!`f03;:>:5af`597>"e>=0:=5=>o4?:%db4>d:9j17g=83.mm54:2d9mbd1=;2.i:94;f49mf35=9j10e8<6:18'bd>==;o0bko8:59'f32=!`f03?9i6`ia685?!d1<3>m96`m6282=>=n=;<1<7*ia9866`=inh=1;6*m6587b0=ij?91=554i406>5<#nh219?k4ngc4>==#j?>18k;4nc40>41<3`?987>5$gc;>04b3glj;774$c47>1`23gh=?7?9;:k666<72-lj47;=e:lee2f;29 cg?2<8n7chn7;f8 g032=l>7cl93;31?>o29l0;6)hn8;71a>hai>0n7)l94;6e1>he>:0:=65f50f94?"ai10>>h5af`59b>"e>=0?j85ab71955=4$c47>1`23gh=?7h4;h72f?6=,ok368=l4?:%db2:&a21<3n<1en;=5d:9j14?=83.mm54:2d9mbd1=9:1/n;:54g78jg042j10e8?7:18'bd>==;o0bko8:068 g032=l>7cl93;`8?l36?3:1(ko7:40f?k`f?3;>7)l94;6e1>he>:0j76g:3783>!`f03?9i6`ia6822>"e>=0?j85ab719=>=n=:?1<7*ia9866`=inh=1=:5+b7690c35<#nh219?k4ngc4>4><,k5$gc;>04b3glj;7?6;%`50?2a=2di:>49;:k677<72-lj47;=e:lee2<6i2.i:94;f49mf35==21b9>?50;&ee=<2:l1ejl951c9'f32=m96`m6281?>o2:80;6)hn8;71a>hai>0:i6*m6587b0=ij?91=65f50494?"ai10>>h5af`595c=#j?>18k;4nc40>5=io:4>:ca94?6|,8:mn7??439K54`?3A;;jk5U3`29g~bd2mn1=:6516a952?=9>n1=:o515d951c=9<:1=:l515f9y!>>83k0(57>:`9'<<4=i2.35>4n;%::0?g<,13>6l5+8849e>"?1>0j7)669;c8 =?f2h1/44l5a:&;=fd=#00l1m6*7a18b?!>f93k0(5o=:`9'"?i10j7)6n9;c8 =gf2h1/4ll5a:&;efd=#0hl1m6*7b18b?!>e93k0(5l=:`9'"?j10j7)6m9;c8 =df2h1/4ol5a:&;ffd=#0kl1m6*7c18b?!>d93k0(5m=:`9'"?k10j7)6l9;c8 =ef2h1/4nl5a:&;gfd=#0jl1m6*7d18b?!>c93k0(5j=:`9'"?l10j7)?>f2825c4<,8;m87?>f39m0f4=12d?o>46;o45=?67??f99':53b?!77=l0:2.:>09g90ge43`;;844?::k76c<72Alj565f79f94?=n99?=6=44i516>5>o68o85af`595>"e>=0>ni5ab7196>=n=j91<7*ia986g0=inh=1>6*m6586fa=ij?91=65f5b094?"ai10>o85af`597>"e>=0>ni5ab7194>=n98;36=4+f`:954703glj;7>4$c47>477n2di:>4<;:k2540=83.mm54>1058jcg0281/n;:5102e?kd1;3807d?>1483>!`f03;:=:5af`596>"e>=0:==h4nc40>4=5$gc;>476?2dmm:4<;%`50?768o1en;=50:9l26`=83.mm5493d9mbd1=82.i:949049mf35=9o10c;=k:18'bd>=>:o0bko8:09'f32=>9?0bo8<:0g8?j04j3:1(ko7:71f?k`f?380(o8;:726?kd1;3;o76a93`83>!`f03<8i6`ia680?!d1<3<;96`m6282g>=h>:31<7*ia9857`=inh=186*m658540=ij?91=o54o71;>5<#nh21:>k4ngc4>0=#j?>1:=;4nc40>4g<3f<8;7>5$gc;>35b3glj;784$c47>3623gh=?7?6;:m573<72-lj478;50;&ee=<1;l1ejl958:&a21<18<1en;=51698k353290/jl6562g8jcg0201/n;:56178jg0428<07b8<3;29 cg?2?9n7chn7;c8 g032?:>7cl93;36?>i1;;0;6)hn8;40a>hai>0i7)l94;431>he>:0:865`62294?"ai10=?h5af`59g>"e>=0=<85ab71956=:;o`57?7632e=>i4?:%db4>0:9l27e=83.mm5493d9mbd1=991/n;:56178jg042o10c;=>:o0bko8:038 g032?:>7cl93;g8?j05i3:1(ko7:71f?k`f?3;97)l94;431>he>:0o76a92883>!`f03<8i6`ia6827>"e>=0=<85ab719g>=h>;21<7*ia9857`=inh=1=95+b7692535<#nh21:>k4ngc4>43<,k:;o`57?g<3f5$gc;>35b3glj;7?9;%`50?07=2di:>46;:m500<72-lj4789?0bo8<:698k324290/jl6562g8jcg02830(o8;:726?kd1;3<07b8;2;29 cg?2?9n7chn7;3b?!d1<3<;96`m6286?>i1<80;6)hn8;40a>hai>0:n6*m658540=ij?91865`65294?"ai10=?h5af`595f=#j?>1:=;4nc40>6=3623gh=?7<4;n405?6=,ok36;=j;odb3?7b3-h=878?5:la26<632e=>;4?:%dbf:&a21<18<1en;=50:9j6d6=83.mm54=9g9mbd1=82.i:94=8b9mf35=l21b>4k50;&ee=<51o1ejl951:&a21<50j1en;=5c:9j64m50;&ee=<51o1ejl953:&a21<50j1en;=5a:9j6d?=83.mm54=9g9mbd1=<2.i:94=8b9mf35=121b>l650;&ee=<51o1ejl955:&a21<50j1en;=58:9j6d1=83.mm54=9g9mbd1=>2.i:94=8b9mf35=?21b>l850;&ee=<51o1ejl957:&a21<50j1en;=56:9j6d3=83.mm54=9g9mbd1=02.i:94=8b9mf35==21b>l:50;&ee=<51o1ejl959:&a21<50j1en;=54:9j6d5=83.mm54=9g9mbd1=i2.i:94=8b9mf35=;21b>l<50;&ee=<51o1ejl95b:&a21<50j1en;=52:9j6d7=83.mm54=9g9mbd1=k2.i:94=8b9mf35=921b>4l50;&ee=<51o1ejl95d:&a21<50j1en;=50:9j6ag=83.mm54=d89mbd1=82.i:94=c79mf35=l21b>i650;&ee=<5l01ejl951:&a21<5k?1en;=5c:9j6a1=83.mm54=d89mbd1=:2.i:94=c79mf35=j21b>i850;&ee=<5l01ejl953:&a21<5k?1en;=5a:9j6`5=83.mm54=d89mbd1=<2.i:94=c79mf35=121b>h<50;&ee=<5l01ejl955:&a21<5k?1en;=58:9j6`7=83.mm54=d89mbd1=>2.i:94=c79mf35=?21b>h>50;&ee=<5l01ejl957:&a21<5k?1en;=56:9j6a`=83.mm54=d89mbd1=02.i:94=c79mf35==21b>ik50;&ee=<5l01ejl959:&a21<5k?1en;=54:9j6ab=83.mm54=d89mbd1=i2.i:94=c79mf35=;21b>im50;&ee=<5l01ejl95b:&a21<5k?1en;=52:9j6ad=83.mm54=d89mbd1=k2.i:94=c79mf35=921b>i;50;&ee=<5l01ejl95d:&a21<5k?1en;=50:9j1<5=83.mm54:939mbd1=82.i:94:589mf35=9o10e87>:18'bd>==080bko8:09'f32==<30bo8<:0g8?l3?n3:1(ko7:4;1?k`f?380(o8;:47:?kd1;3;o76g:8d83>!`f03?2>6`ia680?!d1<3?>56`m6282g>=n=1n1<7*ia986=7=inh=186*m65861<=ij?91=o54i4:`>5<#nh2194<4ngc4>0=#j?>19874nc40>4g<3`?3n7>5$gc;>0?53glj;784$c47>03>3gh=?7?6;:k6?290/jl655808jcg0201/n;:554;8jg0428<07d;77;29 cg?2<397chn7;c8 g032o20?0;6)hn8;7:6>hai>0i7)l94;76=>he>:0:865f59694?"ai10>5?5af`59g>"e>=0>945ab71956=4<4?:%db:2dmm:4i;%`50?3212di:>4>0:9j1=6=83.mm54:939mbd1=991/n;:554;8jg042o10e89i:18'bd>==080bko8:038 g032he>:0o76g:7e83>!`f03?2>6`ia6827>"e>=0>945ab719g>=n=>i1<7*ia986=7=inh=1=95+b76910?5<#nh2194<4ngc4>43<,k5$gc;>0?53glj;7?9;%`50?3212di:>46;:k6=<<72-lj47;62:lee2<6?2.i:94:589mf35=021b94650;&ee=<21;1ejl95199'f32==<30bo8<:698m0?0290/jl655808jcg02830(o8;:47:?kd1;3<07d;66;29 cg?2<397chn7;3b?!d1<3?>56`m6286?>o21<0;6)hn8;7:6>hai>0:n6*m65861<=ij?91865f58694?"ai10>5?5af`595f=#j?>19874nc40>6=03>3gh=?7<4;h7;1?6=,ok3687=;odb3?7b3-h=87;:9:la26<632c>;l4?:%db:2dmm:4>f:&a21<2=01en;=50:9l2a?=83.mm549d99mbd1=82.i:949d19mf35=;21d:i950;&ee=<1l11ejl951:&a21<1l91en;=52:9l2a0=83.mm549d99mbd1=:2.i:949d19mf35=921d:i;50;&ee=<1l11ejl953:&a21<1l91en;=50:9j1a0=83.mm54:d49mbd1=82.i:94:ce9mf35=;21b9i:50;&ee=<2l<1ejl951:&a21<2km1en;=52:9j1a5=83.mm54:d49mbd1=:2.i:94:ce9mf35=921b9i<50;&ee=<2l<1ejl953:&a21<2km1en;=50:9l2`?=83.mm549e99mbd1=82.i:949e19mf35=;21d:h950;&ee=<1m11ejl951:&a21<1m91en;=52:9l2`0=83.mm549e99mbd1=:2.i:949e19mf35=921d:h;50;&ee=<1m11ejl953:&a21<1m91en;=50:9l2d2=83.mm549a29mbd1=82.i:9496`9mf35=9o10c;o=:18'bd>=>h90bko8:09'f32=>?k0bo8<:0g8?j0f83:1(ko7:7c0?k`f?380(o8;:74b?kd1;3;o76a99g83>!`f03=h>0o1<7*ia985e6=inh=186*m65852d=ij?91=o54o7;g>5<#nh21:l=4ngc4>0=#j?>1:;o4nc40>4g<3f<2o7>5$gc;>3g43glj;784$c47>30f3gh=?7?6;:m5=g<72-lj478n3:lee2<03-h=8789a:la26<6021d:4o50;&ee=<1i:1ejl958:&a21<1>h1en;=51698k3?>290/jl656`18jcg0201/n;:567c8jg0428<07b868;29 cg?2?k87chn7;c8 g032?i11>0;6)hn8;4b7>hai>0i7)l94;45e>he>:0:865`68794?"ai10=m>5af`59g>"e>=0=:l5ab71956=4>0:9l2<7=83.mm549a29mbd1=991/n;:567c8jg042o10c;7?:18'bd>=>h90bko8:038 g032?he>:0o76a98d83>!`f03"e>=0=:l5ab719g>=h>1n1<7*ia985e6=inh=1=95+b76923g5<#nh21:l=4ngc4>43<,k5$gc;>3g43glj;7?9;%`50?01i2di:>46;:m5ed<72-lj478n3:lee2<6?2.i:9496`9mf35=021d:l750;&ee=<1i:1ejl95199'f32=>?k0bo8<:698k3g?290/jl656`18jcg02830(o8;:74b?kd1;3<07b8n7;29 cg?2?k87chn7;3b?!d1<3<=m6`m6286?>i1i?0;6)hn8;4b7>hai>0:n6*m65852d=ij?91865`6`794?"ai10=m>5af`595f=#j?>1:;o4nc40>6=30f3gh=?7<4;n4:2?6=,ok36;o<;odb3?7b3-h=8789a:la26<632e=4o4?:%dbf:&a21<1>h1en;=50:9j544?290/jl651004?k`f?3:0(o8;:032b>he>:0876g>13494?"ai10:=?94ngc4>4=#j?>1=84?:%db1ejl952:&a21<698l0bo8<:098m475<3:1(ko7:0313>hai>087)l94;325c=ij?91<65f53d94?"ai10>>h5af`594>"e>=0?j85ab7195c=>l4?:%db4>c:9j17?=83.mm54:2d9mbd1=<2.i:94;f49mf35=9k10e8<7:18'bd>==;o0bko8:49'f32=!`f03?9i6`ia684?!d1<3>m96`m6282<>=n=;?1<7*ia9866`=inh=146*m6587b0=ij?91=:54i407>5<#nh219?k4ngc4><=#j?>18k;4nc40>40<3`?9?7>5$gc;>04b3glj;7o4$c47>1`23gh=?7?:;:k667<72-lj47;=e:lee250;&ee=<2:l1ejl95c:&a21<3n<1en;=51298m07a290/jl6553g8jcg02m1/n;:54g78jg0428807d;>e;29 cg?2<8n7chn7;g8 g032=l>7cl93;32?>o29m0;6)hn8;71a>hai>0m7)l94;6e1>he>:0:<65f50a94?"ai10>>h5af`5955=#j?>18k;4nc40>c=1`23gh=?7k4;h72e?6=,ok368=44?:%db3:&a21<3n<1en;=5c:9j14>=83.mm54:2d9mbd1=9=1/n;:54g78jg042k10e8?8:18'bd>==;o0bko8:078 g032=l>7cl93;c8?l34>3:1(ko7:40f?k`f?3;=7)l94;6e1>he>:0276g:3483>!`f03?9i6`ia6823>"e>=0?j85ab719<>=n=:>1<7*ia9866`=inh=1=55+b7690c35<#nh219?k4ngc4>4?<,k7>5$gc;>04b3glj;7?n;%`50?2a=2di:>4:;:k674<72-lj47;=e:lee2<6j2.i:94;f49mf35=<21b9>>50;&ee=<2:l1ejl951b9'f32=m96`m6282?>o29?0;6)hn8;71a>hai>0:j6*m6587b0=ij?91<65rb5``0?7=jj0;6=u+11da>463:2B:=k64H02eb>\4i90hwim5de823=<6?j0:;44>7e823d<651823g<6"?1?0j7)667;c8 =?>2h1/44o5a:&;=gd=#00o1m6*79g8b?!>f83k0(5o>:`9'4n;%:b0?g<,1k>6l5+8`49e>"?i>0j7)6n8;c8 =g>2h1/4lo5a:&;egd=#0ho1m6*7ag8b?!>e83k0(5l>:`9'4n;%:a0?g<,1h>6l5+8c49e>"?j>0j7)6m8;c8 =d>2h1/4oo5a:&;fgd=#0ko1m6*7bg8b?!>d83k0(5m>:`9'4n;%:`0?g<,1i>6l5+8b49e>"?k>0j7)6l8;c8 =e>2h1/4no5a:&;ggd=#0jo1m6*7cg8b?!>c83k0(5j>:`9'4n;%:g0?g<,1n>6l5+8e49e>"?l>0j7)6k8;c8 47a;3;:j?5+10d7>47a:2d?o?46;o6`7??"0180:46a02.3h446;%:ge??<,8:=<7:>a:&2437=<8k0(<>:e;33ec=#9939645+11;0><=#99=96<>75:&;`g>1C==l9;%3154<68=80(>>?:025f>"?n90?9o64$0245?57n2d:><=524g2?!770l0?nn=4i027=?6=3`>9j7>5Hgc:?>o00m0;66g>04494?=n<:?1<7Fia898m463i3:17d??5483>>o00k0;66g:c783>!`f03?h96`ia683?!d1<3?ih6`m6280?>o2k=0;6)hn8;7`1>hai>0:7)l94;7a`>he>:0976g:c283>!`f03?h96`ia681?!d1<3?ih6`m6282?>o2k;0;6)hn8;7`1>hai>087)l94;7a`>he>:0;76g>10:94?"ai10:=<94ngc4>5=#j?>1=<>i;o`57?5<3`;:=;4?:%db1ejl951:&a21<699l0bo8<:398m476=3:1(ko7:0323>hai>097)l94;324c=ij?91=65f1037>5<#nh21=4>f:9l26b=83.mm5493d9mbd1=92.i:949049mf35=9l10c;=m:18'bd>=>:o0bko8:39'f32=>9?0bo8<:0f8?j04i3:1(ko7:71f?k`f?390(o8;:726?kd1;3;h76a93883>!`f03<8i6`ia687?!d1<3<;96`m6282f>=h>:21<7*ia9857`=inh=196*m658540=ij?91=l54o714>5<#nh21:>k4ngc4>3=#j?>1:=;4nc40>4?<3f<8:7>5$gc;>35b3glj;794$c47>3623gh=?7?7;:m570<72-lj478:50;&ee=<1;l1ejl959:&a21<18<1en;=51798k354290/jl6562g8jcg02h1/n;:56178jg0428?07b8<2;29 cg?2?9n7chn7;`8 g032?:>7cl93;37?>i1;90;6)hn8;40a>hai>0h7)l94;431>he>:0:?65`63d94?"ai10=?h5af`59`>"e>=0=<85ab71957=:;o`57?7732e=>n4?:%db0:&a21<18<1en;=5f:9l27d=83.mm5493d9mbd1=981/n;:56178jg042l10c;=>:o0bko8:008 g032?:>7cl93;f8?j0513:1(ko7:71f?k`f?3;87)l94;431>he>:0h76a92983>!`f03<8i6`ia6820>"e>=0=<85ab719f>=h>;=1<7*ia9857`=inh=1=85+b7692535<#nh21:>k4ngc4>40<,k:;o`57??<3f5$gc;>35b3glj;7?8;%`50?07=2di:>47;:m501<72-lj4789?0bo8<:798k325290/jl6562g8jcg028k0(o8;:726?kd1;3?07b8;1;29 cg?2?9n7chn7;3a?!d1<3<;96`m6287?>i1<90;6)hn8;40a>hai>0:o6*m658540=ij?91?65`62a94?"ai10=?h5af`595a=#j?>1:=;4nc40>7=3623gh=?7?4;n412?6=,ok36;=j;odb3?7a3-h=878?5:la26<732c9m=4?:%dbn2dmm:4?;%`50?4?k2di:>4k;:k1=`<72-lj47<6f:lee2<63-h=87<7c:la26n2dmm:4=;%`50?4?k2di:>4m;:k1=f<72-lj47<6f:lee2<43-h=87<7c:la26n2dmm:4;;%`50?4?k2di:>46;:k1e=<72-lj47<6f:lee2<23-h=87<7c:la26n2dmm:49;%`50?4?k2di:>48;:k1e3<72-lj47<6f:lee2<03-h=87<7c:la26<132c9m84?:%dbn2dmm:47;%`50?4?k2di:>4:;:k1e1<72-lj47<6f:lee2<>3-h=87<7c:la26<332c9m>4?:%dbn2dmm:4n;%`50?4?k2di:>4<;:k1e7<72-lj47<6f:lee2n2dmm:4l;%`50?4?k2di:>4>;:k1=g<72-lj47<6f:lee22di:>4k;:k1`=<72-lj472di:>4m;:k1`3<72-lj474?:%db2di:>46;:k1a7<72-lj472di:>48;:k1a5<72-lj472di:>4:;:k1``<72-lj473-h=872di:>4<;:k1`f<72-lj472di:>4>;:k1`0<72-lj475>4?:%db:2dmm:4?;%`50?3212di:>4>f:9j1<7=83.mm54:939mbd1=92.i:94:589mf35=9l10e86i:18'bd>==080bko8:39'f32==<30bo8<:0f8?l3?m3:1(ko7:4;1?k`f?390(o8;:47:?kd1;3;h76g:8e83>!`f03?2>6`ia687?!d1<3?>56`m6282f>=n=1i1<7*ia986=7=inh=196*m65861<=ij?91=l54i4:a>5<#nh2194<4ngc4>3=#j?>19874nc40>4?<3`?3m7>5$gc;>0?53glj;794$c47>03>3gh=?7?7;:k6<<<72-lj47;62:lee20290/jl655808jcg02h1/n;:554;8jg0428?07d;76;29 cg?2<397chn7;`8 g032o20=0;6)hn8;7:6>hai>0h7)l94;76=>he>:0:?65f59194?"ai10>5?5af`59`>"e>=0>945ab71957=4=4?:%db:2dmm:4>0:&a21<2=01en;=5f:9j12`=83.mm54:939mbd1=981/n;:554;8jg042l10e89j:18'bd>==080bko8:008 g032he>:0h76g:7b83>!`f03?2>6`ia6820>"e>=0>945ab719f>=n=>h1<7*ia986=7=inh=1=85+b76910?5<#nh2194<4ngc4>40<,k5$gc;>0?53glj;7?8;%`50?3212di:>47;:k6==<72-lj47;62:lee2<602.i:94:589mf35=?21b94950;&ee=<21;1ejl95189'f32==<30bo8<:798m0?1290/jl655808jcg028k0(o8;:47:?kd1;3?07d;65;29 cg?2<397chn7;3a?!d1<3?>56`m6287?>o21=0;6)hn8;7:6>hai>0:o6*m65861<=ij?91?65f58294?"ai10>5?5af`595a=#j?>19874nc40>7=6=4+f`:91<403>3gh=?7?4;h74e?6=,ok3687=;odb3?7a3-h=87;:9:la26<732e=h44?:%db4<;:m5`2<72-lj478k8:lee2<63-h=878k0:la26<532e=h;4?:%db4>;:m5`0<72-lj478k8:lee2<43-h=878k0:la26<732c>h;4?:%db4<;:k6`1<72-lj47;k5:lee2<63-h=87;ld:la26<532c>h>4?:%db4>;:k6`7<72-lj47;k5:lee2<43-h=87;ld:la26<732e=i44?:%db4<;:m5a2<72-lj478j8:lee2<63-h=878j0:la26<532e=i;4?:%db4>;:m5a0<72-lj478j8:lee2<43-h=878j0:la26<732e=m94?:%db4>f:9l2d4=83.mm549a29mbd1=92.i:9496`9mf35=9l10c;o?:18'bd>=>h90bko8:39'f32=>?k0bo8<:0f8?j0>n3:1(ko7:7c0?k`f?390(o8;:74b?kd1;3;h76a99d83>!`f03=h>0n1<7*ia985e6=inh=196*m65852d=ij?91=l54o7;`>5<#nh21:l=4ngc4>3=#j?>1:;o4nc40>4?<3f<2n7>5$gc;>3g43glj;794$c47>30f3gh=?7?7;:m5=d<72-lj478n3:lee2h1en;=51798k3??290/jl656`18jcg02h1/n;:567c8jg0428?07b867;29 cg?2?k87chn7;`8 g032?i11<0;6)hn8;4b7>hai>0h7)l94;45e>he>:0:?65`68694?"ai10=m>5af`59`>"e>=0=:l5ab71957=0:&a21<1>h1en;=5f:9l2<6=83.mm549a29mbd1=981/n;:567c8jg042l10c;6i:18'bd>=>h90bko8:008 g032?he>:0h76a98e83>!`f03"e>=0=:l5ab719f>=h>1i1<7*ia985e6=inh=1=85+b76923g5<#nh21:l=4ngc4>40<,k5$gc;>3g43glj;7?8;%`50?01i2di:>47;:m5e<<72-lj478n3:lee2<602.i:9496`9mf35=?21d:l650;&ee=<1i:1ejl95189'f32=>?k0bo8<:798k3g0290/jl656`18jcg028k0(o8;:74b?kd1;3?07b8n6;29 cg?2?k87chn7;3a?!d1<3<=m6`m6287?>i1i<0;6)hn8;4b7>hai>0:o6*m65852d=ij?91?65`6`394?"ai10=m>5af`595a=#j?>1:;o4nc40>7=30f3gh=?7?4;n4;f?6=,ok36;o<;odb3?7a3-h=8789a:la26<732c:=?650;&ee=<69;=0bko8:19'f32=98;m7cl93;18?l76:?0;6)hn8;3262=inh=1=6*m658254`1g9mf35=921b=<<;:18'bd>=988<7chn7;18 g0328;:j6`m6283?>o2:o0;6)hn8;71a>hai>0;7)l94;6e1>he>:0:j65f53f94?"ai10>>h5af`595>"e>=0?j85ab7195`=>44?:%db4>b:9j17>=83.mm54:2d9mbd1==2.i:94;f49mf35=9h10e8<8:18'bd>==;o0bko8:79'f32=3:1(ko7:40f?k`f?3=0(o8;:5d6?kd1;3;376g:2483>!`f03?9i6`ia68;?!d1<3>m96`m62823>=n=;>1<7*ia9866`=inh=156*m6587b0=ij?91=;54i400>5<#nh219?k4ngc4>d=#j?>18k;4nc40>43<3`?9>7>5$gc;>04b3glj;7l4$c47>1`23gh=?7?;;:k665<72-lj47;=e:lee2d;29 cg?2<8n7chn7;d8 g032=l>7cl93;33?>o29j0;6)hn8;71a>hai>0:<6*m6587b0=ij?91j65f50`94?"ai10>>h5af`5954=#j?>18k;4nc40>`=1`23gh=?7j4;h72=?6=,ok368=54?:%db4:&a21<3n<1en;=5b:9j141=83.mm54:2d9mbd1=9<1/n;:54g78jg042h10e8=9:18'bd>==;o0bko8:048 g032=l>7cl93;;8?l34=3:1(ko7:40f?k`f?3;<7)l94;6e1>he>:0376g:3583>!`f03?9i6`ia682<>"e>=0?j85ab7193>=n=:91<7*ia9866`=inh=1=45+b7690c35<#nh219?k4ngc4>4g<,k5$gc;>04b3glj;7?m;%`50?2a=2di:>4;;:k675<72-lj47;=e:lee2<6k2.i:94;f49mf35=;21b9?m50;&ee=<2:l1ejl951e9'f32=6;29 cg?2<8n7chn7;3e?!d1<3>m96`m6283?>{e"?1<0j7)666;c8 =?02h1/4475a:&;=dd=#00n1m6*79d8b?!>>n3k0(5o?:`9'"?i?0j7)6n7;c8 =g?2h1/4l75a:&;edd=#0hn1m6*7ad8b?!>fn3k0(5l?:`9'"?j?0j7)6m7;c8 =d?2h1/4o75a:&;fdd=#0kn1m6*7bd8b?!>en3k0(5m?:`9'"?k?0j7)6l7;c8 =e?2h1/4n75a:&;gdd=#0jn1m6*7cd8b?!>dn3k0(5j?:`9'"?l?0j7)6k7;c8 =b?2h1/="69o>1=h1kl0;7)961;33b==#?081==h7;%:g=??<,1nj645+1143>17f3-;;:<4;1`9'553b28:jj6*>0809=>"6809156*>060955>23-2on7l97:J24g0<,88:=7??439'756=99>i;o3156<5=l80(<>7e;6ag6=n99>26=44i50e>5M`f121b==:n:188m462=3:17d97b;29?l3d>3:1(ko7:4a6?k`f?3:0(o8;:4`g?kd1;3907d;l4;29 cg?27chn7;38 g0327chn7;18 g03254i0322?6=,ok367:lee2<63-h=87?>0g9mf35=:21b==98;<7chn7;08 g0328;;j6`m6282?>o698>1<7*ia9825415+b769546a3gh=?7>4;n40b?6=,ok36;=j;odb3?6<,k:;o`57?7a32e=?i4?:%db;%`50?07=2di:>4>e:9l26d=83.mm5493d9mbd1=:2.i:949049mf35=9m10c;=n:18'bd>=>:o0bko8:29'f32=>9?0bo8<:0a8?j0413:1(ko7:71f?k`f?3>0(o8;:726?kd1;3;i76a93983>!`f03<8i6`ia686?!d1<3<;96`m6282e>=h>:=1<7*ia9857`=inh=1:6*m658540=ij?91=454o715>5<#nh21:>k4ngc4>2=#j?>1:=;4nc40>4><3f<897>5$gc;>35b3glj;764$c47>3623gh=?7?8;:m571<72-lj4783-h=878?5:la26<6>21d:>=50;&ee=<1;l1ejl95a:&a21<18<1en;=51498k355290/jl6562g8jcg02k1/n;:56178jg0428>07b8<0;29 cg?2?9n7chn7;a8 g032?:>7cl93;30?>i1:o0;6)hn8;40a>hai>0o7)l94;431>he>:0:>65`63g94?"ai10=?h5af`59a>"e>=0=<85ab71954=4;n41g?6=,ok36;=j;odb3?773-h=878?5:la26o4?:%db1:&a21<18<1en;=5e:9l27g=83.mm5493d9mbd1=9;1/n;:56178jg042m10c;<6:18'bd>=>:o0bko8:018 g032?:>7cl93;a8?j0503:1(ko7:71f?k`f?3;?7)l94;431>he>:0i76a92683>!`f03<8i6`ia6821>"e>=0=<85ab719e>=h>=<1<7*ia9857`=inh=1=;5+b7692535<#nh21:>k4ngc4>41<,k:;o`57?><3f5$gc;>35b3glj;7?7;%`50?07=2di:>48;:m506<72-lj47821d:9<50;&ee=<1;l1ejl951`9'f32=>9?0bo8<:498k326290/jl6562g8jcg028h0(o8;:726?kd1;3>07b8;0;29 cg?2?9n7chn7;3`?!d1<3<;96`m6280?>i1;j0;6)hn8;40a>hai>0:h6*m658540=ij?91>65`62394?"ai10=?h5af`595`=#j?>1:=;4nc40>4=3623gh=?7>4;h0b4?6=,ok36?7i;odb3?6<,k5$gc;>7?a3glj;7?4$c47>7>d3gh=?7m4;h0:`?6=,ok36?7i;odb3?4<,k5$gc;>7?a3glj;7=4$c47>7>d3gh=?7o4;h0b=?6=,ok36?7i;odb3?2<,k5$gc;>7?a3glj;7;4$c47>7>d3gh=?764;h0b3?6=,ok36?7i;odb3?0<,k5$gc;>7?a3glj;794$c47>7>d3gh=?784;h0b1?6=,ok36?7i;odb3?><,k5$gc;>7?a3glj;774$c47>7>d3gh=?7:4;h0b7?6=,ok36?7i;odb3?g<,k7>5$gc;>7?a3glj;7l4$c47>7>d3gh=?7<4;h0b5?6=,ok36?7i;odb3?e<,k5$gc;>7?a3glj;7j4$c47>7>d3gh=?7>4;h0ge?6=,ok36?j6;odb3?6<,k5$gc;>7b>3glj;7?4$c47>7e13gh=?7m4;h0g3?6=,ok36?j6;odb3?4<,k5$gc;>7b>3glj;7=4$c47>7e13gh=?7o4;h0f7?6=,ok36?j6;odb3?2<,k7>5$gc;>7b>3glj;7;4$c47>7e13gh=?764;h0f5?6=,ok36?j6;odb3?0<,k5$gc;>7b>3glj;794$c47>7e13gh=?784;h0gb?6=,ok36?j6;odb3?><,k5$gc;>7b>3glj;774$c47>7e13gh=?7:4;h0g`?6=,ok36?j6;odb3?g<,k5$gc;>7b>3glj;7l4$c47>7e13gh=?7<4;h0gf?6=,ok36?j6;odb3?e<,k5$gc;>7b>3glj;7j4$c47>7e13gh=?7>4;h7:7?6=,ok3687=;odb3?6<,k5<4?:%db:2dmm:4>;%`50?3212di:>4>e:9j1=`=83.mm54:939mbd1=:2.i:94:589mf35=9m10e86j:18'bd>==080bko8:29'f32==<30bo8<:0a8?l3?l3:1(ko7:4;1?k`f?3>0(o8;:47:?kd1;3;i76g:8b83>!`f03?2>6`ia686?!d1<3?>56`m6282e>=n=1h1<7*ia986=7=inh=1:6*m65861<=ij?91=454i4:b>5<#nh2194<4ngc4>2=#j?>19874nc40>4><3`?357>5$gc;>0?53glj;764$c47>03>3gh=?7?8;:k6<=<72-lj47;62:lee2<>3-h=87;:9:la26<6>21b95950;&ee=<21;1ejl95a:&a21<2=01en;=51498m0>1290/jl655808jcg02k1/n;:554;8jg0428>07d;74;29 cg?2<397chn7;a8 g032o20:0;6)hn8;7:6>hai>0o7)l94;76=>he>:0:>65f59094?"ai10>5?5af`59a>"e>=0>945ab71954=4;h7;4?6=,ok3687=;odb3?773-h=87;:9:la26;k4?:%db:2dmm:4>1:&a21<2=01en;=5e:9j12c=83.mm54:939mbd1=9;1/n;:554;8jg042m10e89k:18'bd>==080bko8:018 g032he>:0i76g:7c83>!`f03?2>6`ia6821>"e>=0>945ab719e>=n=0k1<7*ia986=7=inh=1=;5+b76910?5<#nh2194<4ngc4>41<,k<3`?247>5$gc;>0?53glj;7?7;%`50?3212di:>48;:k6=2<72-lj47;62:lee2<612.i:94:589mf35=>21b94850;&ee=<21;1ejl951`9'f32==<30bo8<:498m0?2290/jl655808jcg028h0(o8;:47:?kd1;3>07d;64;29 cg?2<397chn7;3`?!d1<3?>56`m6280?>o2190;6)hn8;7:6>hai>0:h6*m65861<=ij?91>65f59794?"ai10>5?5af`595`=#j?>19874nc40>4=03>3gh=?7>4;n4g=?6=,ok36;j7;odb3?6<,k5$gc;>3b?3glj;7?4$c47>3b73gh=?7<4;n4g2?6=,ok36;j7;odb3?4<,k5$gc;>3b?3glj;7=4$c47>3b73gh=?7>4;h7g2?6=,ok368j:;odb3?6<,k5$gc;>0b23glj;7?4$c47>0ec3gh=?7<4;h7g7?6=,ok368j:;odb3?4<,k7>5$gc;>0b23glj;7=4$c47>0ec3gh=?7>4;n4f=?6=,ok36;k7;odb3?6<,k5$gc;>3c?3glj;7?4$c47>3c73gh=?7<4;n4f2?6=,ok36;k7;odb3?4<,k5$gc;>3c?3glj;7=4$c47>3c73gh=?7>4;n4b0?6=,ok36;o<;odb3?6<,k;%`50?01i2di:>4>e:9l2d6=83.mm549a29mbd1=:2.i:9496`9mf35=9m10c;7i:18'bd>=>h90bko8:29'f32=>?k0bo8<:0a8?j0>m3:1(ko7:7c0?k`f?3>0(o8;:74b?kd1;3;i76a99e83>!`f03=h>0i1<7*ia985e6=inh=1:6*m65852d=ij?91=454o7;a>5<#nh21:l=4ngc4>2=#j?>1:;o4nc40>4><3f<2m7>5$gc;>3g43glj;764$c47>30f3gh=?7?8;:m5=<<72-lj478n3:lee2<>3-h=8789a:la26<6>21d:4650;&ee=<1i:1ejl95a:&a21<1>h1en;=51498k3?0290/jl656`18jcg02k1/n;:567c8jg0428>07b865;29 cg?2?k87chn7;a8 g032?i11=0;6)hn8;4b7>hai>0o7)l94;45e>he>:0:>65`68194?"ai10=m>5af`59a>"e>=0=:l5ab71954=4;n4:5?6=,ok36;o<;odb3?773-h=8789a:la261:&a21<1>h1en;=5e:9l2=`=83.mm549a29mbd1=9;1/n;:567c8jg042m10c;6j:18'bd>=>h90bko8:018 g032?he>:0i76a98b83>!`f03"e>=0=:l5ab719e>=h>hh1<7*ia985e6=inh=1=;5+b76923g5<#nh21:l=4ngc4>41<,k<3f5$gc;>3g43glj;7?7;%`50?01i2di:>48;:m5e=<72-lj478n3:lee2<612.i:9496`9mf35=>21d:l950;&ee=<1i:1ejl951`9'f32=>?k0bo8<:498k3g1290/jl656`18jcg028h0(o8;:74b?kd1;3>07b8n5;29 cg?2?k87chn7;3`?!d1<3<=m6`m6280?>i1i80;6)hn8;4b7>hai>0:h6*m65852d=ij?91>65`68494?"ai10=m>5af`595`=#j?>1:;o4nc40>4=30f3gh=?7>4;h326=<72-lj47?>269mbd1=82.i:94>10d8jg042:10e=n988>6=4+f`:954403glj;7<4$c47>476n2di:>4>;:k2572=83.mm54>1358jcg02:1/n;:5103e?kd1;3:07d;=f;29 cg?2<8n7chn7;28 g032=l>7cl93;3e?>o2:m0;6)hn8;71a>hai>0:7)l94;6e1>he>:0:i65f53`94?"ai10>>h5af`596>"e>=0?j85ab7195a=5+b7690c3>54?:%db4>a:9j171=83.mm54:2d9mbd1=>2.i:94;f49mf35=9010e8<9:18'bd>==;o0bko8:69'f32=!`f03?9i6`ia68:?!d1<3>m96`m62822>=n=;91<7*ia9866`=inh=1m6*m6587b0=ij?91=854i401>5<#nh219?k4ngc4>g=#j?>18k;4nc40>42<3`?9<7>5$gc;>04b3glj;7m4$c47>1`23gh=?7?<;:k65c<72-lj47;=e:lee2c;29 cg?2<8n7chn7;33?!d1<3>m96`m628e?>o29k0;6)hn8;71a>hai>0:=6*m6587b0=ij?91i65f50c94?"ai10>>h5af`5957=#j?>18k;4nc40>a=1`23gh=?7m4;h72=:4?:%db5:&a21<3n<1en;=5a:9j160=83.mm54:2d9mbd1=9?1/n;:54g78jg042010e8=::18'bd>==;o0bko8:058 g032=l>7cl93;:8?l34<3:1(ko7:40f?k`f?3;37)l94;6e1>he>:0<76g:3283>!`f03?9i6`ia682=>"e>=0?j85ab7192>=n=:81<7*ia9866`=inh=1=l5+b7690c35<#nh219?k4ngc4>4d<,k5$gc;>04b3glj;7?l;%`50?2a=2di:>4<;:k66f<72-lj47;=e:lee2<6l2.i:94;f49mf35=:21b9??50;&ee=<2:l1ejl951d9'f32=0gd8^6g72jqo:7j8:016>45128996<=>:010>4532lo1in4jb;ge>x"?100j7)66a;c8 =?e2h1/44m5a:&;=ad=#0h:1m6*>1g1954`53-;:j94>0558j1e52880b9m<:008j30>291e:nk50:&4=4<68o20(:7=:02e<>"?190j7)661;c8 =?52h1/44=5a:&;e47o4$9c0>d=#0h>1m6*7a48b?!>f>3k0(5o8:`9'=i2.3m44n;%:be?g<,1ki6l5+8`a9e>"?im0j7)6ne;c8 =ga2h1/4o>5a:&;f47o4$9`0>d=#0k>1m6*7b48b?!>e>3k0(5l8:`9'=i2.3h448;%:ge?1<,8:?:7??b49'55072=;j7)??60875d=#99?n6<>nf:&24<4=?2.:<4=57:&;`g>1C==l9;%3154<68=90(>>?:025f>"?n90?9o64$0245?57n2d:><=524g0?!770l0?o;84i0263?6=3`=3i7>5;h330<<722c<4n4?::k771<72Alj565f42594?Nai010e<>;b;29?l77=<0;66g>10g94?"ai10:=5=#j?>1==>==0bo8<:d98k33d290/jl6564g8jcg02;1/n;:56558jg042m10c;;m:18'bd>=>==0bo8<:b98k33f290/jl6564g8jcg02=1/n;:56558jg042k10c;;6:18'bd>=>==0bo8<:`98k30?290/jl6564g8jcg02?1/n;:56558jg042010c;88:18'bd>=>==0bo8<:998k301290/jl6564g8jcg0211/n;:56558jg042>10c;8::18'bd>=>==0bo8<:798k303290/jl6564g8jcg02h1/n;:56558jg042<10c;8<:18'bd>=>==0bo8<:598k305290/jl6564g8jcg02j1/n;:56558jg042:10c;8>:18'bd>=>==0bo8<:398k307290/jl6564g8jcg02l1/n;:56558jg042810c;;7:18'bd>=>==0bo8<:198k3ba290/jl656eg8jcg0291/n;:56ec8jg042810c;jk:18'bd>=>mo0bko8:09'f32=>mk0bo8<:198k3ca290/jl656dg8jcg0291/n;:56dc8jg042810c;kk:18'bd>=>lo0bko8:09'f32=>lk0bo8<:198m475m3:1(ko7:031`>hai>0;7)l94;326<=ij?91=65f100`>5<#nh21=<4l;:k1fd<72-lj474n;:k1g0<72-lj4732c9o94?:%db47;:k1g6<72-lj4749;:k1g4<72-lj474;;:k1fc<72-lj473-h=874=;:k1fa<72-lj474?;:k6`f<72-lj47;kb:lee2<73-h=87;k7:la26<632c>hl4?:%db;%`50?3c?2di:>4?;:k6f6<72-lj47;m2:lee2<73-h=87;6b:la26n<4?:%db;%`50?3>j2di:>4j;:k6f5<72-lj47;m2:lee2<53-h=87;6b:la26mk4?:%dbj2di:>4l;:k6e`<72-lj47;m2:lee2<33-h=87;6b:la26mi4?:%dbj2di:>4n;:k6ff<72-lj47;m2:lee2<13-h=87;6b:la26<>32c>no4?:%dbj2di:>47;:k6fd<72-lj47;m2:lee2n44?:%dbj2di:>49;:k6f=<72-lj47;m2:lee2n:4?:%dbj2di:>4;;:k6f3<72-lj47;m2:lee2n84?:%dbj2di:>4=;:k6f1<72-lj47;m2:lee2mn4?:%dbj2di:>4?;:k60c<72-lj47;;e:lee2<73-h=87;<7:la268i4?:%db;%`50?34?2di:>4j;:k60f<72-lj47;;e:lee2<53-h=87;<7:la268o4?:%db4l;:k60d<72-lj47;;e:lee2<33-h=87;<7:la26844?:%db4n;:k61=<72-lj47;;e:lee2<13-h=87;<7:la26<>32c>9:4?:%db47;:k613<72-lj47;;e:lee2984?:%db49;:k611<72-lj47;;e:lee29>4?:%db4;;:k617<72-lj47;;e:lee29<4?:%db4=;:k615<72-lj47;;e:lee2854?:%db4?;:k1b3<72-lj47;%`50?4b<2di:>4m;:k1b6<72-lj4746;:k1b`<72-lj4748;:k1bf<72-lj474:;:k1bd<72-lj474<;:k1b=<72-lj474>;:k1b7<72-lj474i;:m5g7<72-lj478l3:lee2<63-h=878nc:la264k;:m5g5<72-lj478l3:lee2<43-h=878nc:la264m;:m5f`<72-lj478l3:lee2<23-h=878nc:la2646;:m5gf<72-lj478l3:lee2<03-h=878nc:la2648;:m5gd<72-lj478l3:lee2<>3-h=878nc:la26<132e=o44?:%db4:;:m5g=<72-lj478l3:lee24<;:m5g3<72-lj478l3:lee24>;:m5fa<72-lj478l3:lee2on4?:%db4>;:k6gd<72-lj47;lb:lee2<63-h=87;l7:la26<732wi8>m;:08ag?6=8r.:<7?8b;37`?{#0031m6*79`8b?!>>j3k0(57l:`9'<"?i;0j7)6n3;c8 =g32h1/4l;5a:&;e3d=#0h31m6*7a`8b?!>fj3k0(5ol:`9'"?j;0j7)6m3;c8 =d32h1/4o;5a:&;f3d=#0k31m6*7b`8b?!>ej3k0(5ll:`9'"?k;0j7)6l3;c8 =e32h1/4n;5a:&;g3d=#0j31m6*7c`8b?!>dj3k0(5ml:`9'"?l;0j7)6k3;c8 =b32h1/4i;5a:&;`3d=#98l86<>;7:&25c2=98l97c:l2;;8j1e4201e:;750:l5g`<73-=2=7??f99'3<4=99l37)660;c8 =?62h1/44<5a:&;=6d=#00<1m6*7968b?!>c1330(5jn:89'55072=;j7)??60875d=#99?n6<>nf:&24<4=12.:<4=59:&2424=992>7)6kb;`53>N68k<0(<<>1;3307=#;9:1==8m;%:e4?22j11/==9>:22e?k759:099h:4$02;a?24?o1b==:6:188m14a290Cjl74;h5;`?6=3`;;9;4?::k770<72Alj565f116b>5<97>5;h5;f?6=3`?h:7>5$gc;>0e23glj;7>4$c47>0dc3gh=?7=4;h7`0?6=,ok368m:;odb3?7<,k5$gc;>0e23glj;7<4$c47>0dc3gh=?7?4;h7`6?6=,ok368m:;odb3?5<,k1ejl950:&a21<699l0bo8<:298m476>3:1(ko7:0323>hai>0:7)l94;324c=ij?91>65f1036>5<#nh21=!`f03<8i6`ia682?!d1<3<;96`m6282a>=h>:h1<7*ia9857`=inh=1>6*m658540=ij?91=i54o71b>5<#nh21:>k4ngc4>6=#j?>1:=;4nc40>4e<3f<857>5$gc;>35b3glj;7:4$c47>3623gh=?7?m;:m57=<72-lj478950;&ee=<1;l1ejl956:&a21<18<1en;=51898k351290/jl6562g8jcg02>1/n;:56178jg0428207b8<5;29 cg?2?9n7chn7;:8 g032?:>7cl93;34?>i1;=0;6)hn8;40a>hai>027)l94;431>he>:0::65`62194?"ai10=?h5af`59e>"e>=0=<85ab71950=:;o`57?7432e=>k4?:%db4>2:9l27c=83.mm5493d9mbd1=m2.i:949049mf35=9810c;=>:o0bko8:g9'f32=>9?0bo8<:028?j05k3:1(ko7:71f?k`f?3;;7)l94;431>he>:0m76a92c83>!`f03<8i6`ia6825>"e>=0=<85ab719a>=h>;k1<7*ia9857`=inh=1=?5+b7692535<#nh21:>k4ngc4>45<,k:;o`57?e<3f<947>5$gc;>35b3glj;7?;;%`50?07=2di:>4m;:m562<72-lj4789?0bo8<:898k322290/jl6562g8jcg028=0(o8;:726?kd1;3207b8;4;29 cg?2?9n7chn7;3;?!d1<3<;96`m6284?>i1<:0;6)hn8;40a>hai>0:56*m658540=ij?91:65`65094?"ai10=?h5af`595d=#j?>1:=;4nc40>0=:6=4+f`:926c3623gh=?7:4;n474?6=,ok36;=j;odb3?7d3-h=878?5:la26<432e=?n4?:%dbd:&a21<18<1en;=52:9l267=83.mm5493d9mbd1=9l1/n;:56178jg042810c;<9:18'bd>=>:o0bko8:0d8 g032?:>7cl93;28?l4f83:1(ko7:3;e?k`f?3:0(o8;:3:`?kd1;3n07d<6e;29 cg?2;3m7chn7;38 g032;2h7cl93;a8?l4>l3:1(ko7:3;e?k`f?380(o8;:3:`?kd1;3h07d<6c;29 cg?2;3m7chn7;18 g032;2h7cl93;c8?l4f13:1(ko7:3;e?k`f?3>0(o8;:3:`?kd1;3307d0(o8;:3a5?kd1;3307d;3:1(ko7:4;1?k`f?3:0(o8;:47:?kd1;3;m76g:9083>!`f03?2>6`ia682?!d1<3?>56`m6282a>=n=1l1<7*ia986=7=inh=1>6*m65861<=ij?91=i54i4:f>5<#nh2194<4ngc4>6=#j?>19874nc40>4e<3`?3h7>5$gc;>0?53glj;7:4$c47>03>3gh=?7?m;:k6f290/jl655808jcg02>1/n;:554;8jg0428207d;79;29 cg?2<397chn7;:8 g032o2010;6)hn8;7:6>hai>027)l94;76=>he>:0::65f59594?"ai10>5?5af`59e>"e>=0>945ab71950=4>4?:%db:2dmm:4k;%`50?3212di:>4>2:9j1=4=83.mm54:939mbd1=m2.i:94:589mf35=9810e86>:18'bd>==080bko8:g9'f32==<30bo8<:028?l3?83:1(ko7:4;1?k`f?3;;7)l94;76=>he>:0m76g:7g83>!`f03?2>6`ia6825>"e>=0>945ab719a>=n=>o1<7*ia986=7=inh=1=?5+b76910?5<#nh2194<4ngc4>45<,k5$gc;>0?53glj;7?;;%`50?3212di:>4m;:k63g<72-lj47;62:lee2<6=2.i:94:589mf35=i21b94o50;&ee=<21;1ejl95179'f32==<30bo8<:898m0?>290/jl655808jcg028=0(o8;:47:?kd1;3207d;68;29 cg?2<397chn7;3;?!d1<3?>56`m6284?>o21>0;6)hn8;7:6>hai>0:56*m65861<=ij?91:65f58494?"ai10>5?5af`595d=#j?>19874nc40>0=6=4+f`:91<403>3gh=?7:4;h7:0?6=,ok3687=;odb3?7d3-h=87;:9:la26<432c>5=4?:%db:2dmm:4>d:&a21<2=01en;=52:9j1=3=83.mm54:939mbd1=9l1/n;:554;8jg042810e89n:18'bd>==080bko8:0d8 g0323:1(ko7:7f;?k`f?380(o8;:7f3?kd1;3;07b8k5;29 cg?2?n37chn7;18 g032?n;7cl93;28?l3c>3:1(ko7:4f6?k`f?3:0(o8;:4ag?kd1;3907d;k4;29 cg?27chn7;38 g0327chn7;18 g0323:1(ko7:7g;?k`f?380(o8;:7g3?kd1;3;07b8j5;29 cg?2?o37chn7;18 g032?o;7cl93;28?j0f<3:1(ko7:7c0?k`f?3:0(o8;:74b?kd1;3;m76a9a383>!`f03=h>h:1<7*ia985e6=inh=1>6*m65852d=ij?91=i54o7;e>5<#nh21:l=4ngc4>6=#j?>1:;o4nc40>4e<3f<2i7>5$gc;>3g43glj;7:4$c47>30f3gh=?7?m;:m5=a<72-lj478n3:lee2<23-h=8789a:la26<6i21d:4m50;&ee=<1i:1ejl956:&a21<1>h1en;=51898k3?e290/jl656`18jcg02>1/n;:567c8jg0428207b86a;29 cg?2?k87chn7;:8 g032?i1100;6)hn8;4b7>hai>027)l94;45e>he>:0::65`68:94?"ai10=m>5af`59e>"e>=0=:l5ab71950=4>2:9l2<5=83.mm549a29mbd1=m2.i:9496`9mf35=9810c;7=:18'bd>=>h90bko8:g9'f32=>?k0bo8<:028?j0>93:1(ko7:7c0?k`f?3;;7)l94;45e>he>:0m76a99183>!`f03"e>=0=:l5ab719a>=h>1l1<7*ia985e6=inh=1=?5+b76923g5<#nh21:l=4ngc4>45<,k5$gc;>3g43glj;7?;;%`50?01i2di:>4m;:m5?k0bo8<:898k3gf290/jl656`18jcg028=0(o8;:74b?kd1;3207b8n9;29 cg?2?k87chn7;3;?!d1<3<=m6`m6284?>i1i10;6)hn8;4b7>hai>0:56*m65852d=ij?91:65`6`594?"ai10=m>5af`595d=#j?>1:;o4nc40>0=30f3gh=?7:4;n4b1?6=,ok36;o<;odb3?7d3-h=8789a:la26<432e=m<4?:%dbd:&a21<1>h1en;=52:9l2<0=83.mm549a29mbd1=9l1/n;:567c8jg042810c;6m:18'bd>=>h90bko8:0d8 g032?54i0312?6=,ok361g9mf35=:21b=<<::18'bd>=988<7chn7;08 g0328;:j6`m6282?>o69;>1<7*ia9825715+b769547a3gh=?7>4;h71b?6=,ok368>i4?:%db;%`50?2a=2di:>4>e:9j17d=83.mm54:2d9mbd1=:2.i:94;f49mf35=9m10e8==;o0bko8:29'f32=0(o8;:5d6?kd1;3;i76g:2983>!`f03?9i6`ia686?!d1<3>m96`m6282e>=n=;=1<7*ia9866`=inh=1:6*m6587b0=ij?91=454i405>5<#nh219?k4ngc4>2=#j?>18k;4nc40>4><3`?997>5$gc;>04b3glj;764$c47>1`23gh=?7?8;:k661<72-lj47;=e:lee2<>3-h=87:i5:la26<6>21b9?=50;&ee=<2:l1ejl95a:&a21<3n<1en;=51498m045290/jl6553g8jcg02k1/n;:54g78jg0428>07d;=0;29 cg?2<8n7chn7;a8 g032=l>7cl93;30?>o29o0;6)hn8;71a>hai>0o7)l94;6e1>he>:0:>65f50g94?"ai10>>h5af`59a>"e>=0?j85ab71954=4;h72g?6=,ok368=o4?:%db1:&a21<3n<1en;=5e:9j14g=83.mm54:2d9mbd1=9;1/n;:54g78jg042m10e8?6:18'bd>==;o0bko8:018 g032=l>7cl93;a8?l3603:1(ko7:40f?k`f?3;?7)l94;6e1>he>:0i76g:1683>!`f03?9i6`ia6821>"e>=0?j85ab719e>=n=:<1<7*ia9866`=inh=1=;5+b7690c35<#nh219?k4ngc4>41<,k<3`?887>5$gc;>04b3glj;7?7;%`50?2a=2di:>48;:k676<72-lj47;=e:lee2<612.i:94;f49mf35=>21b9><50;&ee=<2:l1ejl951`9'f32=07d;<0;29 cg?2<8n7chn7;3`?!d1<3>m96`m6280?>o2:j0;6)hn8;71a>hai>0:h6*m6587b0=ij?91>65f53394?"ai10>>h5af`595`=#j?>18k;4nc40>4=1`23gh=?7>4;|`66d2=93=1<7>t$02ef?>al2B:=k64H02eb>"6:8;1==;<;%:e4?22j11/44653e9m57742;?n96*>09g900de3`25$0025?5792d:>=h50:9j<=6=83.:>21d96>=n0181<7*>20397571;g0=>=zj<8j>7?57;294~"68oh14kj4H03e<>N68ol0(<<>1;3316=#0o:188l7;%::4=5d48 46?m3>>no5f86d94?"6:8;1?=?4n003b?6<3`23<7>5$0025?5792d:>=h51:9j<=7=83.:>21d97>=n0191<7*>2039757ib;:e`>N69o20D<>if:&2647=99?87)6i0;66f==#0021?=5a1330>73b?2.:<5k544`a?l>0n3:1(<<>1;135>h6:9l1<65f89294?"6:8;1?=?4n003b?7<3`23=7>5$0025?5792d:>=h52:9j<=4=83.:>21d90>=n01>1<7*>203975750z&24cd=0on0D?83:1(<<>1;135>h6:9l1=65f89394?"6:8;1?=?4n003b?4<3`23>7>5$0025?5792d:>=h53:9j<=5=83.:>07d674;29 446939;=6`>21d91>=hj?31<7*>2039a6?<3th:ooo51;;94?6|,8:mn7??8g9K54`?3A;;jk5+88:92>"6:8;1==6i;%336gm1C==l9;I3315=#0o:188l7;o3156<5=l30(<>7e;3`f<=n:8o1<75f23394?=n:;h1<75f22694?=n<8k1<7`>0g195>=n<;21<7Fia898m1>02900c<><3;29?j77;=0;66sm1b`a>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>il5+11:f>4ee12c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=okm:08:>5<7s-;;jo4>09d8L47a02B:3=#9;;:6<>7f:&247d=0?n0D<>m6:J2406<,1l;69;m8:l2645=:5<5<4=>i68:>1<75rb0`fa?7=13:10g`955>a3A;:j55G11de?!>>03<0(<<>1;33e;29?l4593:17d<=b;29?l44<3:17d:>a;29j46a;3;07d:=8;29Lcg>32c?4:4?::m2465=831d===;:188yg7emo0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=524gg?!770l0:nhj4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3`f4<6200;6=u+11da>46?n2B:=k64H02eb>"?110=7)?=10824=`<,8:9n769d:J24g0<@8:><6*7f1871g>b28ii<6g=1d83>>o5:80;66g=2c83>>o5;=0;66g;1`83>k77n:0:76g;2983>M`f121b85950;9l55542900c<><4;29?xd6kk81=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:37fb>"681o1=nl?;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2gg1=9331<7>t$02ef?770o1C=203955>a3-;;>o476e9K55d13A;;9=5+8g2900d?3g;9=>4=5g28 46?m3;hn;5f20g94?=n:;;1<75f23`94?=n::>1<75f40c94?h68o91=65f43:94?Nai010e968:188k464;3:17b??3583>>{e9jh36<49:183!77nk0:0:&;b5<3=k20b<<>3;06b4=#992n6:53b?>o30>0;6)?=108;<<=5$0025?c4121b==46?n2.:2B:<8>4$9d3>13e02d:><=524d1?!770l0:oo=4i33f>5<5<5502694?=zj8ii97?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519'1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4ef03;157>50z&24cd=992m7E?>f99K55`a3-224784$0025?770o1/=="681o1=no8;h02a?6=3`89=7>5;h01f?6=3`8887>5;h62e?6=f8:m?7?4;h61>i68:91<75`1117>5<:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201960`23-;;4h4>c`58m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7dil0:644?:1y'55`e28:3j6F>1g:8L46an2.35549;%3154<681l0(<>=b;:5`>N68k<0D<>:0:&;b5<3=k20b<<>3;06b3=#992n6<4?::k16g<722c9?94?::k75d<72g;;j>4>;:k76=<72Alj565f49594?=h99986=44o0200?6=3th:olh51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>73a?2.:<5k51bcg?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6khh1=7750;2x 46aj3;;4k5G10d;?M77no1/44656:&2647=992m7)??2c8;2a=O99h=7E??519'<,8:3i7?la`9j64c=831b>??50;9j67d=831b>>:50;9j04g=83d:=83Bmm454i5:4>5<5;n3371<722wi=nol:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?42n01/==6j:0abe>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9jk>6<46:183!77nk0:<5h4H03e<>N68ol0(577:79'577628:3j6*>03`9<3b<@8:i:6F>0428 =`72=?i46`>201960`f3-;;4h4>c`68m77b2900e?<>:188m74e2900e?=;:188m17f290e==h<:098m14?290Cjl74;h6;3?6=3f;;?>4?::m2462=831vn1=#9;;:6:jm;I3315=#0o:188l7;o3156<5=oh0(<>7e;3`e1=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8ij>7?59;294~"68oh1==6i;I32b==O99lm7)668;48 44693;;4k5+110a>=0c3A;;n;5G1173?!>a83>>n55a1330>73ak2.:<5k51bc2?l46m3:17d<=1;29?l45j3:17d<<4;29?l26i3:1b<>i3;38?l2503:1Dko6;:k7<2<722e:<>=50;9l55532900qo?la282>3<729q/==hm:6a5?M76n11C==hi;%::14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:883>5}#99li6<>7f:J25c><@8:mj6*79985?!75980:<5h4$021f?>1l2B:"?n90?9o64n0027?42nl1/==6j:0a:<>o59l0;66g=2083>>o5:k0;66g=3583>>o39h0;6c??f282?>o3:10;6Ehn9:9j0=1=831d===<:188k464<3:17pl>c8c95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m57742;?mj6*>09g95f??3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:o4h51;;94?6|,8:mn7??8g9K54`?3A;;jk5+88:92>"6:8;1==6i;%336gm1C==l9;I3315=#0o:188l7;o3156<5>9:0(<>7e;3`=`=n:8o1<75f23394?=n:;h1<75f22694?=n<8k1<7`>0g195>=n<;21<7Fia898m1>02900c<><3;29?j77;=0;66sm1bc3>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>4e>m2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=n7l:08:>5<7s-;;jo4>09d8L47a02B:3=#9;;:6<>7f:&247d=0?n0D<>m6:J2406<,1l;69;m8:l2645=:?:97)??8d82g5<5<4=>i68:>1<75rb0a:`?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:09:==4$02;a?7d1k1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn09g95f?23`8:i7>5;h015?6=3`89n7>5;h000?6=3`>:m7>5n02e7?7<3`>947>5Hgc:?>o30>0;66a>02194?=h999?6=44}c3`=2<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891>;>:;%33<`<6k0?0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?l9282><<729q/==hm:02;b>N69o20D<>if:&;==<13-;9=<4>09d8 465j32=h6F>0c48L46282.3j=4;5c:8j446;38=<;5+11:f>4e>:2c9=h4?::k164<722c9>o4?::k171<722c?=l4?:o33b6<632c?>54?:Idb=>=n<1=1<75`1110>5<5;|`2g<2=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86?8?7:&24=c=9j397d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>c9c95??=83:p(<>ib;33=>2.:>544`;?k759:09:=64$02;a?7d001b>?l50;9j662=831b8e280=6=4?{%33bg<0k?1C=20393ad<@8:><6*7f1871g>b28i356g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1b;3>4<>290;w)??fc824=`<@8;m46F>0gd8 =??2?1/=??>:02;b>"68;h14;j4H02a2>N68<:0(5h?:57a<>h6:891>;>n;%33<`<6k1l0e??j:188m7462900e?3;7>5;n3376<722e:<>:50;9~f4e>93;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>4=61`8 46?m3;h4k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0a;`?7=13:10g`955>a3A;:j55G11de?!>>03<0(<<>1;33e;29?l4593:17d<=b;29?l44<3:17d:>a;29j46a;3;07d:=8;29Lcg>32c?4:4?::m2465=831d===;:188yg7d0l0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=5272g?!770l0:o5m4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3`<2<6200;6=u+11da>46?n2B:=k64H02eb>"?110=7)?=10824=`<,8:9n769d:J24g0<@8:><6*7f1871g>b28i3:6g=1d83>>o5:80;66g=2c83>>o5;=0;66g;1`83>k77n:0:76g;2983>M`f121b85950;9l55542900c<><4;29?xd6k121=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:343b>"681o1=n69;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2g=2=9331<7>t$02ef?770o1C=203955>a3-;;>o476e9K55d13A;;9=5+8g2900d?3g;9=>4=6028 46?m3;h4>5f20g94?=n:;;1<75f23`94?=n::>1<75f40c94?h68o91=65f43:94?Nai010e968:188k464;3:17b??3583>>{e9j2>6<49:183!77nk0:0:&;b5<3=k20b<<>3;0554=#992n6:53b?>o30>0;6)?=108;<<=5$0025?c4121b==46?n2.:2B:<8>4$9d3>13e02d:><=52731?!770l0:o:o4i33f>5<5<5502694?=zj8iN68ol0(577:59'57762>ni7E??519'1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4e?93;157>50z&24cd=992m7E?>f99K55`a3-224784$0025?770o1/=="681o1=n6?;h02a?6=3`89=7>5;h01f?6=3`8887>5;h62e?6=f8:m?7?4;h61>i68:91<75`1117>5<:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201963723-;;4h4>c928m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7d?l0:644?:1y'55`e28:3j6F>1g:8L46an2.35549;%3154<681l0(<>=b;:5`>N68k<0D<>:0:&;b5<3=k20b<<>3;0553=#992n6<4?::k16g<722c9?94?::k75d<72g;;j>4>;:k76=<72Alj565f49594?=h99986=44o0200?6=3th:o:h51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>706?2.:<5k51b5g?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6k>81=7750;2x 46aj3;;4k5G10d;?M77no1/44656:&2647=992m7)??2c8;2a=O99h=7E??519'<,8:3i7?l709j64c=831b>??50;9j67d=831b>>:50;9j04g=83d:=83Bmm454i5:4>5<5;n3371<722wi=n9<:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?41901/==6j:0a45>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9j=36<46:183!77nk0:<5h4H03e<>N68ol0(577:79'577628:3j6*>03`9<3b<@8:i:6F>0428 =`72=?i46`>2019637f3-;;4h4>c658m77b2900e?<>:188m74e2900e?=;:188m17f290e==h<:098m14?290Cjl74;h6;3?6=3f;;?>4?::m2462=831vn1=#9;;:6:jm;I3315=#0o:188l7;o3156<5>8h0(<>7e;3`32=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8i<97?59;294~"68oh1==6i;I32b==O99lm7)668;48 44693;;4k5+110a>=0c3A;;n;5G1173?!>a83>>n55a1330>706k2.:<5k51b57?l46m3:17d<=1;29?l45j3:17d<<4;29?l26i3:1b<>i3;38?l2503:1Dko6;:k7<2<722e:<>=50;9l55532900qo?l7782>3<729q/==hm:6a5?M76n11C==hi;%::14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:883>5}#99li6<>7f:J25c><@8:mj6*79985?!75980:<5h4$021f?>1l2B:"?n90?9o64n0027?419l1/==6j:0a5<>o59l0;66g=2083>>o5:k0;66g=3583>>o39h0;6c??f282?>o3:10;6Ehn9:9j0=1=831d===<:188k464<3:17pl>c7c95?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m57742;<:j6*>09g95f0?3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:o;h51;;94?6|,8:mn7??8g9K54`?3A;;jk5+88:92>"6:8;1==6i;%336gm1C==l9;I3315=#0o:188l7;o3156<5>;:0(<>7e;3`2`=n:8o1<75f23394?=n:;h1<75f22694?=n<8k1<7`>0g195>=n<;21<7Fia898m1>02900c<><3;29?j77;=0;66sm1b53>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:><5+11:f>4e1m2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=n8l:08:>5<7s-;;jo4>09d8L47a02B:3=#9;;:6<>7f:&247d=0?n0D<>m6:J2406<,1l;69;m8:l2645=:?897)??8d82g3d5<5<4=>i68:>1<75rb0a5`?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:09:?=4$02;a?7d>k1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn09g95f023`8:i7>5;h015?6=3`89n7>5;h000?6=3`>:m7>5n02e7?7<3`>947>5Hgc:?>o30>0;66a>02194?=h999?6=44}c3`22<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891>;<:;%33<`<6k??0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?l6282><<729q/==hm:02;b>N69o20D<>if:&;==<13-;9=<4>09d8 465j32=h6F>0c48L46282.3j=4;5c:8j446;38=>;5+11:f>4e1:2c9=h4?::k164<722c9>o4?::k171<722c?=l4?:o33b6<632c?>54?:Idb=>=n<1=1<75`1110>5<5;|`2g32=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86?8=7:&24=c=9j<97d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl>c4c95??=83:p(<>ib;33=>2.:>544`;?k759:09:?64$02;a?7d=01b>?l50;9j662=831b820393ad<@8:><6*7f1871g>b28i>56g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1b43>4<>290;w)??fc824=`<@8;m46F>0gd8 =??2?1/=??>:02;b>"68;h14;j4H02a2>N68<:0(5h?:57a<>h6:891>;3;7>5;n3376<722e:<>:50;9~f4e193;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>4=63`8 46?m3;h9k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0a6`?7=13:10g`955>a3A;:j55G11de?!>>03<0(<<>1;33e;29?l4593:17d<=b;29?l44<3:17d:>a;29j46a;3;07d:=8;29Lcg>32c?4:4?::m2465=831d===;:188yg7d=l0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=5270g?!770l0:o8m4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c3`12<6200;6=u+11da>46?n2B:=k64H02eb>"?110=7)?=10824=`<,8:9n769d:J24g0<@8:><6*7f1871g>b28i>:6g=1d83>>o5:80;66g=2c83>>o5;=0;66g;1`83>k77n:0:76g;2983>M`f121b85950;9l55542900c<><4;29?xd6k<21=7850;2x 46aj3=h:6F>1g:8L46an2.3554;;%3154<0lk1C==;?;%:e4?22j11e=??<:341b>"681o1=n;9;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`2g02=9331<7>t$02ef?770o1C=203955>a3-;;>o476e9K55d13A;;9=5+8g2900d?3g;9=>4=6228 46?m3;h9>5f20g94?=n:;;1<75f23`94?=n::>1<75f40c94?h68o91=65f43:94?Nai010e968:188k464;3:17b??3583>>{e9j?>6<49:183!77nk0:0:&;b5<3=k20b<<>3;0574=#992n6:53b?>o30>0;6)?=108;<<=5$0025?c4121b==46?n2.:2B:<8>4$9d3>13e02d:><=52711?!770l0:o9o4i33f>5<5<5502694?=zj8i?o7?56;294~"68oh1;n84H03e<>N68ol0(577:59'57762>ni7E??519'1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f4e293;157>50z&24cd=992m7E?>f99K55`a3-224784$0025?770o1/=="681o1=n;?;h02a?6=3`89=7>5;h01f?6=3`8887>5;h62e?6=f8:m?7?4;h61>i68:91<75`1117>5<:783>5}#99li6:m9;I32b==O99lm7)668;68 44693=on6F>0428 =`72=?i46`>201963523-;;4h4>c428m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg7d1g:8L46an2.35549;%3154<681l0(<>=b;:5`>N68k<0D<>:0:&;b5<3=k20b<<>3;0573=#992n6<4?::k16g<722c9?94?::k75d<72g;;j>4>;:k76=<72Alj565f49594?=h99986=44o0200?6=3th:o9h51;494?6|,8:mn79l6:J25c><@8:mj6*79987?!75980a83>>n55a1330>704?2.:<5k51b6g?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd6k=21=7750;2x 46aj3;;4k5G10d;?M77no1/44656:&2647=992m7)??2c8;2a=O99h=7E??519'<,8:3i7?l469j64c=831b>??50;9j67d=831b>>:50;9j04g=83d:=83Bmm454i5:4>5<5;n3371<722wi=n:6:085>5<7s-;;jo48c79K54`?3A;;jk5+88:90>"6:8;1;il4H0264>"?n90?9o64n0027?41;01/==6j:0a73>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e9j>>6<46:183!77nk0:<5h4H03e<>N68ol0(577:79'577628:3j6*>03`9<3b<@8:i:6F>0428 =`72=?i46`>2019635f3-;;4h4>c568m77b2900e?<>:188m74e2900e?=;:188m17f290e==h<:098m14?290Cjl74;h6;3?6=3f;;?>4?::m2462=831vn1=#9;;:6:jm;I3315=#0o:188l7;o3156<5>:h0(<>7e;3`01=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj8i8o7?59;294~"68oh1==6i;I32b==O99lm7)668;48 44693;;4k5+110a>=0c3A;;n;5G1173?!>a83>>n55a1330>704k2.:<5k51b1a?l46m3:17d<=1;29?l45j3:17d<<4;29?l26i3:1b<>i3;38?l2503:1Dko6;:k7<2<722e:<>=50;9l55532900qo?l3e82>3<729q/==hm:6a5?M76n11C==hi;%::14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:883>5}#99li6<>7f:J25c><@8:mj6*79985?!75980:<5h4$021f?>1l2B:"?n90?9o64n0027?41;l1/==6j:0a75>o59l0;66g=2083>>o5:k0;66g=3583>>o39h0;6c??f282?>o3:10;6Ehn9:9j0=1=831d===<:188k464<3:17pl>c5195?0=83:p(<>ib;5`2>N69o20D<>if:&;==<33-;9=<48dc9K55373-2m<7::b99m57742;<8j6*>09g95f263`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th:o>h51;;94?6|,8:mn7??8g9K54`?3A;;jk5+88:92>"6:8;1==6i;%336gm1C==l9;I3315=#0o:188l7;o3156<5>=:0(<>7e;3`7`=n:8o1<75f23394?=n:;h1<75f22694?=n<8k1<7`>0g195>=n<;21<7Fia898m1>02900c<><3;29?j77;=0;66sm1b63>4<1290;w)??fc84g3=O98l37E??fg9'<<>=<2.:>4e4m2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi=n=<:08:>5<7s-;;jo4>09d8L47a02B:3=#9;;:6<>7f:&247d=0?n0D<>m6:J2406<,1l;69;m8:l2645=:?>97)??8d82g645<5<4=>i68:>1<75rb0a00?7=>3:10g`93f0<@8;m46F>0gd8 =??2=1/=??>:6fa?M77=91/4k>544`;?k759:09:9=4$02;a?7d;;1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn09g95f5?3`8:i7>5;h015?6=3`89n7>5;h000?6=3`>:m7>5n02e7?7<3`>947>5Hgc:?>o30>0;66a>02194?=h999?6=44}c3`7d<62?0;6=u+11da>2e13A;:j55G11de?!>>03>0(<<>1;5gf>N68<:0(5h?:57a<>h6:891>;::;%33<`<6k:20e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo?l3782><<729q/==hm:02;b>N69o20D<>if:&;==<13-;9=<4>09d8 465j32=h6F>0c48L46282.3j=4;5c:8j446;38=8;5+11:f>4e4=2c9=h4?::k164<722c9>o4?::k171<722c?=l4?:o33b6<632c?>54?:Idb=>=n<1=1<75`1110>5<5;|`2g61=93<1<7>t$02ef?1d>2B:=k64H02eb>"?110?7)?=1084`g=O99?;7)6i0;66f==i9;;86?8;7:&24=c=9j9>7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl:22095??=83:p(<>ib;33=>2.:>544`;?k759:09:964$02;a?368k1b>?l50;9j662=831b8<6*7f1871g>b2<;;n6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm1b13>4<>290;w)??fc824=`<@8;m46F>0gd8 =??2?1/=??>:02;b>"68;h14;j4H02a2>N68<:0(5h?:57a<>h6:891>;:n;%33<`<6k;l0e??j:188m7462900e?3;7>5;n3376<722e:<>:50;9~f4e493;1:7>50z&24cd=?j<0D2be3A;;9=5+8g2900d?3g;9=>4=65`8 46?m3;h>k5f3`094?"6:8;18?64;h62e?6=,88:=7:>a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb0a1`?7=13:10g`955>a3A;:j55G11de?!>>03<0(<<>1;33e;29?l4593:17d<=b;29?l44<3:17d:>a;29j46a;3;07d:=8;29Lcg>32c?4:4?::m2465=831d===;:188yg7d:l0:6;4?:1y'55`e2>i=7E?>f99K55`a3-2247:4$0025?1cj2B:<8>4$9d3>13e02d:><=5276g?!770l0:o?m4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c7176<6200;6=u+11da>46?n2B:=k64H02eb>"?110=7)?=10824=`<,8:9n769d:J24g0<@8:><6*7f1871g>b2<;;n6g=1d83>>o5:80;66g=2c83>>o5;=0;66g;1`83>k77n:0:76g;2983>M`f121b85950;9l55542900c<><4;29?xd290<1=7850;2x 46aj3=h:6F>1g:8L46an2.:>"681o19<>m;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`666d=9331<7>t$02ef?770o1C=203955>a3-;;>o476e9K55d13A;;9=5+8g2900d?3g;9=>4=6428 46?m3?:1<75f40c94?h68o91=65f43:94?Nai010e968:188k464;3:17b??3583>>{e=83n6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;0514=#992n68??b:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==46?n2.:2B:<8>4$9d3>13e02d:><=52771?!770l0>==l4i33f>5<5<5502694?=zj<;j=7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'0c9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f044k3;157>50z&24cd=992m7E?>f99K55`a3-224784$0025?770o1/=="681o19<>m;h02a?6=3`89=7>5;h01f?6=3`8887>5;h62e?6=f8:m?7?4;h61>i68:91<75`1117>5<:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201963323-;;4h4:11`8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg35;m0:644?:1y'55`e28:3j6F>1g:8L46an2.35549;%3154<681l0(<>=b;:5`>N68k<0D<>:0:&;b5<3=k20b<<>3;0513=#992n68??b:k15`<722c9><4?::k16g<722c9?94?::k75d<72g;;j>4>;:k76=<72Alj565f49594?=h99986=44o0200?6=3th>=l>51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>702?2.:<5k5502a?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd2::l1=7750;2x 46aj3;;4k5G10d;?M77no1/44656:&2647=992m7)??2c8;2a=O99h=7E??519'<,8:3i7;>0c9j64c=831b>??50;9j67d=831b>>:50;9j04g=83d:=83Bmm454i5:4>5<5;n3371<722wi95<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?41=01/==6j:433f>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e=;>;6<46:183!77nk0:<5h4H03e<>N68ol0(577:79'577628:3j6*>03`9<3b<@8:i:6F>0428 =`72=?i46`>2019633f3-;;4h4:11`8m77b2900e?<>:188m74e2900e?=;:188m17f290e==h<:098m14?290Cjl74;h6;3?6=3f;;?>4?::m2462=831vn8?n3;392?6=8r.:7e;724g=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj<8887?59;294~"68oh1==6i;I32b==O99lm7)668;48 44693;;4k5+110a>=0c3A;;n;5G1173?!>a83>>n55a1330>702k2.:<5k5502a?l46m3:17d<=1;29?l45j3:17d<<4;29?l26i3:1b<>i3;38?l2503:1Dko6;:k7<2<722e:<>=50;9l55532900qo;>9682>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=:??o7)??8d8655d14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:883>5}#99li6<>7f:J25c><@8:mj6*79985?!75980:<5h4$021f?>1l2B:"?n90?9o64n0027?41=l1/==6j:433f>o59l0;66g=2083>>o5:k0;66g=3583>>o39h0;6c??f282?>o3:10;6Ehn9:9j0=1=831d===<:188k464<3:17pl:1`695?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742;<>j6*>09g9146e3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th>>9<51;;94?6|,8:mn7??8g9K54`?3A;;jk5+88:92>"6:8;1==6i;%336gm1C==l9;I3315=#0o:188l7;o3156<5>?:0(<>7e;724g=n:8o1<75f23394?=n:;h1<75f22694?=n<8k1<7`>0g195>=n<;21<7Fia898m1>02900c<><3;29?j77;=0;66sm50c6>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;38=:<5+11:f>077j2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9?=::08:>5<7s-;;jo4>09d8L47a02B:3=#9;;:6<>7f:&247d=0?n0D<>m6:J2406<,1l;69;m8:l2645=:?<97)??8d8655d5<5<4=>i68:>1<75rb43:3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:09:;=4$02;a?368k1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn8<<6;39=?6=8r.:09g9146e3`8:i7>5;h015?6=3`89n7>5;h000?6=3`>:m7>5n02e7?7<3`>947>5Hgc:?>o30>0;66a>02194?=h999?6=44}c72=<<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>;8:;%33<`<299h0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;=3882><<729q/==hm:02;b>N69o20D<>if:&;==<13-;9=<4>09d8 465j32=h6F>0c48L46282.3j=4;5c:8j446;38=:;5+11:f>077j2c9=h4?::k164<722c9>o4?::k171<722c?=l4?:o33b6<632c?>54?:Idb=>=n<1=1<75`1110>5<5;|`65t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86?897:&24=c==8:i7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl:22595??=83:p(<>ib;33=>2.:>544`;?k759:09:;64$02;a?368k1b>?l50;9j662=831b8<6*7f1871g>b2<;;n6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm531;>4<>290;w)??fc824=`<@8;m46F>0gd8 =??2?1/=??>:02;b>"68;h14;j4H02a2>N68<:0(5h?:57a<>h6:891>;8n;%33<`<299h0e??j:188m7462900e?3;7>5;n3376<722e:<>:50;9~f07>j3;1:7>50z&24cd=?j<0D4=67`8 46?m3?:a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb4070?7=13:10g`955>a3A;:j55G11de?!>>03<0(<<>1;33e;29?l4593:17d<=b;29?l44<3:17d:>a;29j46a;3;07d:=8;29Lcg>32c?4:4?::m2465=831d===;:188yg36i>0:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=5274g?!770l0>==l4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c717d<6200;6=u+11da>46?n2B:=k64H02eb>"?110=7)?=10824=`<,8:9n769d:J24g0<@8:><6*7f1871g>b2<;;n6g=1d83>>o5:80;66g=2c83>>o5;=0;66g;1`83>k77n:0:76g;2983>M`f121b85950;9l55542900c<><4;29?xd2:=91=7750;2x 46aj3;;4k5G10d;?M77no1/44656:&2647=992m7)??2c8;2a=O99h=7E??519'0c9j64c=831b>??50;9j67d=831b>>:50;9j04g=83d:=83Bmm454i5:4>5<5;n3371<722wi95<7s-;;jo48c79K54`?3A;;jk5+1332>2be3-2247:4H0264>"?n90?9o64n0027?41?91/==6j:433f>o4i;0;6)?=10876==17f32c?4:4?:%3154<3;29 44693o8565f110b>5<#9;;:6<>9a:9j020=83.:>{e=;>n6<46:183!77nk0:<5h4H03e<>N68ol0(577:79'577628:3j6*>03`9<3b<@8:i:6F>0428 =`72=?i46`>201963163-;;4h4:11`8m77b2900e?<>:188m74e2900e?=;:188m17f290e==h<:098m14?290Cjl74;h6;3?6=3f;;?>4?::m2462=831vn8?m1;392?6=8r.:>80(<>7e;724g=n;h81<7*>203907><3`>:m7>5$0025?26i21b85950;&2647=01307b??3283>!75980n?454i021e?6=,88:=7??6`98m111290/=??>:0260>=zj<8?o7?59;294~"68oh1==6i;I32b==O99lm7)668;48 44693;;4k5+110a>=0c3A;;n;5G1173?!>a83>>n55a1330>700;2.:<5k5502a?l46m3:17d<=1;29?l45j3:17d<<4;29?l26i3:1b<>i3;38?l2503:1Dko6;:k7<2<722e:<>=50;9l55532900qo;>ag82>3<729q/==hm:6a5?M76n11C==hi;%3154<0lk1/44654:J2406<,1l;69;m8:l2645=:?=?7)??8d8655d14?32c?=l4?:%3154<39h10e968:18'5776212276a>02194?"6:8;1i>74;h336d<72-;9=<4>07c8?l20>3:1(<<>1;3311=:883>5}#99li6<>7f:J25c><@8:mj6*79985?!75980:<5h4$021f?>1l2B:"?n90?9o64n0027?41?<1/==6j:433f>o59l0;66g=2083>>o5:k0;66g=3583>>o39h0;6c??f282?>o3:10;6Ehn9:9j0=1=831d===<:188k464<3:17pl:1c295?0=83:p(<>ib;5`2>N69o20D<>if:&2647=?mh0(577:59K55373-2m<7::b99m57742;<<:6*>09g9146e3`9j>7>5$0025?25021b85<#9;;:6h=6;:k247g=83.:>o3??0;6)?=1082402<3th>>9h51;;94?6|,8:mn7??8g9K54`?3A;;jk5+88:92>"6:8;1==6i;%336gm1C==l9;I3315=#0o:188l7;o3156<5>>=0(<>7e;724g=n:8o1<75f23394?=n:;h1<75f22694?=n<8k1<7`>0g195>=n<;21<7Fia898m1>02900c<><3;29?j77;=0;66sm50`1>4<1290;w)??fc84g3=O98l37E??fg9'57762>ni7)668;68L46282.3j=4;5c:8j446;38=;55+11:f>077j2c8m?4?:%3154<3:110e9?n:18'57762=;j76g;8683>!7598034454o0207?6=,88:=7k<9:9j554f290/=??>:025e>=n<><1<7*>2039553332wi9?;?:08:>5<7s-;;jo4>09d8L47a02B:3=#9;;:6<>7f:&247d=0?n0D<>m6:J2406<,1l;69;m8:l2645=:?=27)??8d8655d5<5<4=>i68:>1<75rb43a7?7=>3:10g`93f0<@8;m46F>0gd8 44693=on6*79987?M77=91/4k>544`;?k759:09::o4$02;a?368k1b?l<50;&2647=<;207d:>a;29 44693>:m65f49594?"6:8;14574;n3376<72-;9=<4j3898m465i3:1(<<>1;332d=462<21vn8<:3;39=?6=8r.:09g9146e3`8:i7>5;h015?6=3`89n7>5;h000?6=3`>:m7>5n02e7?7<3`>947>5Hgc:?>o30>0;66a>02194?=h999?6=44}c72f3<62?0;6=u+11da>2e13A;:j55G11de?!75980N68<:0(5h?:57a<>h6:891>;9l;%33<`<299h0e>o=:18'57762=8376g;1`83>!75980?=l54i5:4>5<#9;;:6566;:m2465=83.:><:7>5$0025?77==10qo;=5082><<729q/==hm:02;b>N69o20D<>if:&;==<13-;9=<4>09d8 465j32=h6F>0c48L46282.3j=4;5c:8j446;38=;i5+11:f>077j2c9=h4?::k164<722c9>o4?::k171<722c?=l4?:o33b6<632c?>54?:Idb=>=n<1=1<75`1110>5<5;|`65g2=93<1<7>t$02ef?1d>2B:=k64H02eb>"6:8;1;il4$9;;>1=O99?;7)6i0;66f==i9;;86?88e:&24=c==8:i7d=n2;29 44693>9465f40c94?"6:8;18:d1:?>o68;k1<7*>2039550f32c?;;4?:%3154<68<>07pl:24095??=83:p(<>ib;33=>2.:>544`;?k759:09::h4$02;a?368k1b>?l50;9j662=831b8<6*7f1871g>b2<;;n6g!75980?>554i53b>5<#9;;:69?n;:k7<2<72-;9=<478898k464;3:1(<<>1;g0=>=n998j6=4+1332>461i21b8:850;&2647=99??76sm5366>4<>290;w)??fc824=`<@8;m46F>0gd8 =??2?1/=??>:02;b>"68;h14;j4H02a2>N68<:0(5h?:57a<>h6:891>;6>;%33<`<299h0e??j:188m7462900e?3;7>5;n3376<722e:<>:50;9~f07f03;1:7>50z&24cd=?j<0D4=6908 46?m3?:a:9j0=1=83.:>5$0025?77>h10e999:18'577628:>865rb4072?7=13:10g`955>a3A;:j55G11de?!>>03<0(<<>1;33e;29?l4593:17d<=b;29?l44<3:17d:>a;29j46a;3;07d:=8;29Lcg>32c?4:4?::m2465=831d===;:188yg36i00:6;4?:1y'55`e2>i=7E?>f99K55`a3-;9=<48dc9'<<>=<2B:<8>4$9d3>13e02d:><=527:7?!770l0>==l4i2c1>5<#9;;:69<7;:k75d<72-;9=<4;1`98m1>0290/=??>:9::?>i68:91<7*>2039a6?<3`;;>l4?:%3154<68?k07d:86;29 44693;;9954}c710<<6200;6=u+11da>46?n2B:=k64H02eb>"?110=7)?=10824=`<,8:9n769d:J24g0<@8:><6*7f1871g>b2<;;n6g=1d83>>o5:80;66g=2c83>>o5;=0;66g;1`83>k77n:0:76g;2983>M`f121b85950;9l55542900c<><4;29?xd29hi1=7850;2x 46aj3=h:6F>1g:8L46an2.:>"681o19<>m;h1b6?6=,88:=7:=8:9j04g=83.:>1;:;=>=h99986=4+1332>`5>32c:!75980:<8:4;|`6611=9331<7>t$02ef?770o1C=203955>a3-;;>o476e9K55d13A;;9=5+8g2900d?3g;9=>4=6958 46?m3?:1<75f40c94?h68o91=65f43:94?Nai010e968:188k464;3:17b??3583>>{e=8kj6<49:183!77nk0:6fa?!>>03>0D<>:0:&;b5<3=k20b<<>3;05<==#992n68??b:k0e7<72-;9=<4;2998m17f290/=??>:53b?>o30>0;6)?=108;<<=5$0025?c4121b==46?n2.:2B:<8>4$9d3>13e02d:><=527::?!770l0>==l4i33f>5<5<5502694?=zj<;jn7?56;294~"68oh1;n84H03e<>N68ol0(<<>1;5gf>"?110?7E??519'0c9j7d4=83.:>1;62e>=n<1=1<7*>2039<=?<3f;;?>4?:%3154=a;29 44693;;:l54i555>5<#9;;:6<>:4:9~f043i3;157>50z&24cd=992m7E?>f99K55`a3-224784$0025?770o1/=="681o19<>m;h02a?6=3`89=7>5;h01f?6=3`8887>5;h62e?6=f8:m?7?4;h61>i68:91<75`1117>5<:783>5}#99li6:m9;I32b==O99lm7)?=1084`g=#002186F>0428 =`72=?i46`>201963>d3-;;4h4:11`8m6g5290/=??>:50;?>o39h0;6)?=10875d==>>32e:<>=50;&2647=m:307d??2`83>!75980:<;o4;h642?6=,88:=7??5598yg351g:8L46an2.35549;%3154<681l0(<>=b;:5`>N68k<0D<>:0:&;b5<3=k20b<<>3;05<4?::k16g<722c9?94?::k75d<72g;;j>4>;:k76=<72Alj565f49594?=h99986=44o0200?6=3th>=lk51;494?6|,8:mn79l6:J25c><@8:mj6*>20393ad<,133695G1173?!>a83>>n55a1330>70?m2.:<5k5502a?l5f:3:1(<<>1;61<>=n<8k1<7*>203904g<3`>3;7>5$0025?>?121d===<:18'57762l9276g>03c94?"6:8;1==8n;:k733<72-;9=<4>0468?xd3:10:6<4?:1y'57762lnn7E??fg9K<=e<,1oj6566;%:e7?cf02.3i447fd9m57742;<3j6gjdd83>!75980nhh54}c326c<6280;6=u+1332>`bb3A;;jk5G89a8 =`42l2?7)6j9;:ea>h6:891>;7?;*gga?6=,88:=7kke:9~f474?3;1=7>50z&2647=mmo0D<>if:J;=`b3g;9=>4=6838/`bb290/=??>:dff?>{e98936<4>:183!75980nhh5G11de?M>?k2.3j>4j889'<`?=0oo0b<<>3;05=7=,mmo1<7*>2039aac<3th:=>751;394?6|,88:=7kke:J24c`<@12h7)6i3;g;f>"?m003jh5a1330>70>;2!nhh4?:%31543`82>4<729q/=??>:dff?M77no1C45m4$9d0>`>a3-2n576ie:l2645=:?3?7&kke;29 44693ooi65rb030f?7=93:12039aac<@8:mj6F78b9'5<#9;;:6hjj;:a545d280:6=4?{%3154"?n:0n595+8d;90gd8L=>d3-2m?7k69:&;a<-bll0;6)?=108f``=:083>5}#9;;:6hjj;I33bc=O01i0(5h<:d;a?!>b132mi6`>201963??3"ooi7>5$0025?ccm21vn030'hjj:18'57762lnn76sm1013>4<6290;w)?=108f``=O99lm7E67c:&;b6t$0025?ccm2B:a;3oj>6*7e88;b`=i9;;86?86b:)f``<72-;9=<4jdd98yg76;;0:6<4?:1y'57762lnn7E??fg9K<=e<,1l86ho;;%:f=?>am2d:><=527;`?.ccm3:1(<<>1;gga>=zj8;8?7?51;294~"6:8;1iik4H02eb>N?0j1/4k=5e`58 =c>21ln7c?=12812`bb32wi=<=;:082>5<7s-;9=<4jdd9K55`a3A23o6*7f28feg=#0l314kk4n0027?411l1 iik50;&2647=mmo07pl>12495?7=83:p(<<>1;gga>N68ol0D56l;%:e7?c382.3i447fd9m57742;<2j6%jdd83>!75980nhh54}c3235<6280;6=u+1332>`bb3A;;jk5G89a8 =`42lkh7)6j9;:ea>h6:891>;o?;*gga?6=,88:=7kke:9~f47003;1=7>50z&2647=mmo0D<>if:J;=`b3g;9=>4=6`38/`bb290/=??>:dff?>{e98=26<4>:183!75980nhh5G11de?M>?k2.3j>4ja79'<`?=0oo0b<<>3;05e7=,mmo1<7*>2039aac<3th:=:o51;394?6|,88:=7kke:J24c`<@12h7)6i3;gb7>"?m003jh5a1330>70f;2!nhh4?:%31547c82>4<729q/=??>:dff?M77no1C45m4$9d0>`g63-2n576ie:l2645=:?k?7&kke;29 44693ooi65rb034g?7=93:12039aac<@8:mj6F78b9'5<#9;;:6hjj;:a541c280:6=4?{%3154"?n:0n5i5+8d;9o1=7?50;2x 44693ooi6F>0gd8L=>d3-2m?7k6a:&;a<-bll0;6)?=108f``=:083>5}#9;;:6hjj;I33bc=O01i0(5h<:d;;?!>b132mi6`>201963g?3"ooi7>5$0025?ccm21vnh30'hjj:18'57762lnn76sm1052>4<6290;w)?=108f``=O99lm7E67c:&;b6t$0025?ccm2B:a;3o3o6*7e88;b`=i9;;86?8nb:)f``<72-;9=<4jdd98yg76?:0:6<4?:1y'57762lnn7E??fg9K<=e<,1l86h6n;%:f=?>am2d:><=527c`?.ccm3:1(<<>1;gga>=zj8;<87?51;294~"6:8;1iik4H02eb>N?0j1/4k=5e9:8 =c>21ln7c?=12812db<#lnn6=4+1332>`bb32wi=<9::082>5<7s-;9=<4jdd9K55`a3A23o6*7f28f<3=#0l314kk4n0027?41il1 iik50;&2647=mmo07pl>16595?7=83:p(<<>1;gga>N68ol0D56l;%:e7?c?;2.3i447fd9m57742;!75980nhh54}c32f4<6280;6=u+1332>`bb3A;;jk5G89a8 =`42l>>7)6j9;:ea>h6:891>;l?;*gga?6=,88:=7kke:9~f47e13;1=7>50z&2647=mmo0D<>if:J;=`b3g;9=>4=6c38/`bb290/=??>:dff?>{e98hj6<4>:183!75980nhh5G11de?M>?k2.3j>4j469'<`?=0oo0b<<>3;05f7=,mmo1<7*>2039aac<3th:=ol51;394?6|,88:=7kke:J24c`<@12h7)6i3;g7<>"?m003jh5a1330>70e;2!nhh4?:%3154bb82>4<729q/=??>:dff?M77no1C45m4$9d0>`2>3-2n576ie:l2645=:?h?7&kke;29 44693ooi65rb03a`?7=93:12039aac<@8:mj6F78b9'5<#9;;:6hjj;:a54db280:6=4?{%3154"?n:0n8n5+8d;90gd8L=>d3-2m?7k;d:&;a<-bll0;6)?=108f``=:083>5}#9;;:6hjj;I33bc=O01i0(5h<:d6f?!>b132mi6`>201963d?3"ooi7>5$0025?ccm21vnk30'hjj:18'57762lnn76sm10`1>4<6290;w)?=108f``=O99lm7E67c:&;b6t$0025?ccm2B:a;3o>=6*7e88;b`=i9;;86?8mb:)f``<72-;9=<4jdd98yg76j=0:6<4?:1y'57762lnn7E??fg9K<=e<,1l86h;<;%:f=?>am2d:><=527``?.ccm3:1(<<>1;gga>=zj8;i97?51;294~"6:8;1iik4H02eb>N?0j1/4k=5e468 =c>21ln7c?=12812gb<#lnn6=4+1332>`bb32wi=5<7s-;9=<4jdd9K55`a3A23o6*7f28f10=#0l314kk4n0027?41jl1 iik50;&2647=mmo07pl>1c:95?7=83:p(<<>1;gga>N68ol0D56l;%:e7?c2>2.3i447fd9m57742;!75980nhh54}c511?7=93:12039aac<@8:mj6F78b9';0(5k6:9df?k2dj3:0b<>8b;73?k759:09:n>4+dff>5<#9;;:6hjj;:a375=93;1<7>t$0025?ccm2B:a;3o=j6*7e88;b`=i06`915=i9;;86?8l1:)f``<72-;9=<4jdd98yg15:3;1=7>50z&2647=mmo0D<>if:J;=`b3g>hn7>4n024f?373g;9=>4=6b08/`bb290/=??>:dff?>{e?;;1=7?50;2x 44693ooi6F>0gd8L=>d3-2m?7k9c:&;a<-bll0;6)?=108f``=N?0j1/4k=5e7c8 =c>21ln7c:lb;28j460j3?;7c?=12812f2<#lnn6=4+1332>`bb32wi;"?m003jh5a4b`94>h68>h19=5a1330>70d=2!nhh4?:%3154<,1o265hj;o6`f?6j<0'hjj:18'57762lnn76sm73f95?7=83:p(<<>1;gga>N68ol0D56l;%:e7?c1?2.3i447fd9m0fd=82d:<:l5519m57742;!75980nhh54}c51g?7=93:12039aac<@8:mj6F78b9'8b;73?k759:09:n64+dff>5<#9;;:6hjj;:a37d=93;1<7>t$0025?ccm2B:a;3o==6*7e88;b`=i06`915=i9;;86?8l9:)f``<72-;9=<4jdd98yg15i3;1=7>50z&2647=mmo0D<>if:J;4$9g:>=`b3g>hn7>4n024f?373g;9=>4=6bc8/`bb290/=??>:dff?>{e?;31=7?50;2x 44693ooi6F>0gd8L=>d3-2m?7k:a:&;a<-bll0;6)?=108f``=N?0j1/4k=5e5`8 =c>21ln7c:lb;28j460j3?;7c?=12812fe<#lnn6=4+1332>`bb32wi;?951;394?6|,88:=7kke:J24c`<@12h7)6i3;g77>"?m003jh5a4b`94>h68>h19=5a1330>70dl2!nhh4?:%3154jo0'hjj:18'57762lnn76sm70g95?7=83:p(<<>1;gga>N68ol0D56l;%:e7?cf=2.3i447fd9m0fd=82d:<:l5519m57742;!75980nhh54}c33`bb3A;;jk5G89a8 =cf2l927)6i3;g:5>"?m003jh5a77`9<>h68>h19=5a1330>70c82enhh4?:%31544<729q/=??>:dff?M77no1C45m4$9gb>`5>3-2m?7k67:&;a<ibll0;6)?=108f``=:083>5}#9;;:6hjj;I33bc=O01i0(5kn:d1:?!>a;3o?>6*7e88;b`=i??h146`>06`915=i9;;86?8k2:mf``<72-;9=<4jdd98yg770?0:6<4?:1y'57762lnn7E??fg9K<=e<,1oj6h=6;%:e7?c012.3i447fd9m33d=02d:<:l5519m57742;!75980nhh54}c:f`?7=93:12039aac<@8:mj6F78b9'<`g=m:30(5h<:d67?!>b132mi6`86c8;?k77?k0:86`>201963b33fooi7>5$0025?ccm21vn<>77;395?6=8r.:>am2d<:o47;o333g<282d:><=527f6?lccm3:1(<<>1;gga>=zj8:=>7?51;294~"6:8;1iik4H02eb>N?0j1/4ho589;8 =`42l>:7)6j9;:ea>h0>k037c??7c864>h6:891>;j9;hgga?6=,88:=7kke:9~f3`f280:6=4?{%3154"?mh0n?45+8g19a23<,1o265hj;o6`f?6m=0chjj:18'57762lnn76sm6g:95?7=83:p(<<>1;gga>N68ol0D56l;%:fe?c412.3j>4j779'<`?=0oo0b9mm:19m551e2<:0b<<>3;05`==hmmo1<7*>2039aac<3th=j:4>:083>5}#9;;:6hjj;I33bc=O01i0(5kn:d1:?!>a;3o>o6*7e88;b`=i06`915=i9;;86?8k9:mf``<72-;9=<4jdd98yg17;3;1=7>50z&2647=mmo0D<>if:J;74$9d0>`1d3-2n576ie:l7gg<73g;;;o4:0:l2645=:?nj7bkke;29 44693ooi65rb621>4<6290;w)?=108f``=O99lm7E67c:&;ad21ln7c:lb;28j460j3?;7c?=12812ad`bb32wi;=?51;394?6|,88:=7kke:J24c`<@12h7)6ja;g0=>"?n:0n;k5+8d;906!75980nhh54}c4eb?7=93:12039aac<@8:mj6F78b9'<`g=m:30(5h<:d:2?!>b132mi6`;cc83?k77?k0><6`>201963bb3fooi7>5$0025?ccm21vn;hj:082>5<7s-;9=<4jdd9K55`a3A23o6*7e`8f7<=#0o91i5<4$9g:>=`b3g>hn7>4n024f?373g;9=>4=6ed8k`bb290/=??>:dff?>{e>on1=7?50;2x 44693ooi6F>0gd8L=>d3-2nm7k<9:&;b61/4h758gg8j1ee291e==9m:428j446;38=i=5`eeg94?"6:8;1iik4;|`5bf<6280;6=u+1332>`bb3A;;jk5G89a8 =cf2l927)6i3;g6<>"?m003jh5a4b`94>h68>h19=5a1330>70b92enhh4?:%3154am2d?oo4?;o333g<282d:><=527g1?jccm3:1(<<>1;gga>=zj?l=6<4>:183!75980nhh5G11de?M>?k2.3il4j389'8b;73?k759:09:h=4odff>5<#9;;:6hjj;:a345=93;1<7>t$0025?ccm2B:bi3o856*7f28f1a=#0l314kk4n024f?373g;9=>4=6d68k`bb290/=??>:dff?>{e?8;1=7?50;2x 44693ooi6F>0gd8L=>d3-2nm7k<9:&;b6`bb32wi;>?51;394?6|,88:=7kke:J24c`<@12h7)6ja;g0=>"?n:0n:?5+8d;9l<0chjj:18'57762lnn76sm73d95?7=83:p(<<>1;gga>N68ol0D56l;%:fe?c412.3j>4j979'<`?=0oo0b<>8b;73?k759:09:h94odff>5<#9;;:6hjj;:a340=93;1<7>t$0025?ccm2B:bi3o856*7f28f<0=#0l314kk4n024f?373g;9=>4=6d:8k`bb290/=??>:dff?>{e?821=7?50;2x 44693ooi6F>0gd8L=>d3-2nm7k<9:&;b6`bb32wi;"?n:0n;>5+8d;9lk0chjj:18'57762lnn76sm70a95?7=83:p(<<>1;gga>N68ol0D56l;%:fe?c412.3j>4j3d9'<`?=0oo0b<>8b;73?k759:09:hl4odff>5<#9;;:6hjj;:a332=93;1<7>t$0025?ccm2B:bi3o856*7f28f20=#0l314kk4n024f?373g;9=>4=6da8k`bb290/=??>:dff?>{e??<1=7?50;2x 44693ooi6F>0gd8L=>d3-2nm7k<9:&;b6`bb32wi==66:082>5<7s-;9=<4>0208 46aj3;;??5+8g2900d?3A;;jk5a1330>70bm2.:<5k51b07?jc413:1(<<>1;g0=>=zj8i997?50;294~"68oh18:o4H02e`>N68k<0V<>=d;1xa0`=m0?1i5k5}[:42?7|998o6p`>201963ca3ty?>54?:2y]07><58>>o768e:?76=78;296~;68121n;74=0`ff?2??2wx==8<:181877>:0i:4521cgf>1>03ty8jo650;fbe~;2;h?1?l<4=41;7?5f:27>?l853`089056j39j>63:33297d4<5<99=7=n2:?6774=;h8018==3;1b6>;2;;>1?l<4=4111?5f:27>??853`089056139j>63:30c97d4<5<9:o7=n2:?674b=;h8018=>e;1b6>;2;8l1?l<4=41`?n753`08905c<39j>63:3ed97d4<5<9n>7=n2:?67`5=;h8018=j4;1b6>;2;l?1?l<4=41f2?5f:27>?h953`08905di39j>63:3b`97d4<5<9ho7=n2:?67fb=;h8018=le;1b6>;2;jl1?l<4=41g4?5f:27>?i?53`08905c:39j>63:3e197d4<5<9o97=n2:?67a0=;h8018=k7;1b6>;2;m21?l<4=41g=?5f:27>?io53`08905cj39j>63:3ea97d4<5<9oh7=n2:?67ac=;h8018=j0;1b6>;2;l;1?l<4=41b3?5f:27>?h653`089027139j>63:41c97d4<5<>:97=n2:?6076=;h8018:=3;1b6>;2<;>1?l<4=4611?5f:27>8?853`089025?39j>63:43:97d4<5<>;n7=n2:?605e=;h8018:?d;1b6>;2<9o1?l<4=463b?5f:27>8<>53`089026939j>63:40097d4<5<>:?7=n2:?6042=;h8018:>6;1b6>;2<8=1?l<4=4628<753`089026i39j>63:40`97d4<5<>:o7=n2:?604b=;h8018:>e;1b6>;2<8l1?l<4=4615?5f:27>8?<53`0894bb:39j>63=51797d4<58nio7=n2:?2`a>=;h801;6lji1?l<4=0fga?5f:27:him53`0894bcl39j>63>ded97d4<58nn<7=n2:?2``7=;h801;6lj81?l<4=0fab?5f:27:hn=53`0894bd<39j>63>db`97d4<58no<7=n2:?2`fb=;h801;6lm;1?l<4=0fg6?5f:27:hi853`0894bc<39j>63>de797d4<58no;7=n2:?2`a?=;h801>h:9;1b6>;4n>k1?l<4=2d4f?5f:278j5853`0896`>939j>63h67;1b6>;4n021?l<4=2d:=?5f:278j:m53`0896`0l39j>63h71;1b6>;4n181?l<4=2d;7?5f:278j5:53`0896`?=39j>63h7a;1b6>;4n1h1?l<4=2d;g?5f:278j5j53`0896`?m39j>63h63;1b6>;4m>k1?l<4=2g4f?5f:278i:m53`0896c0l39j>63k<1;1b6>;4m:81?l<4=2g07?5f:278i>:53`0896c4=39j>637=n2:?0a75=;h801>k=4;1b6>;4m;?1?l<4=2g12?5f:278i?953`0896c5039j>63k=d;1b6>;4m;o1?l<4=2g62?5f:278i8953`0896c1:39j>63k82;1b6>;4m>91?l<4=2g40?5f:278i:;53`0896c2039j>63m7=n2:?0a0d=;h801>k:c;1b6>;4m63k95;1b6>;4m?<1?l<4=2g53?5f:278i;653`0896c1139j>63k9e;1b6>;4m?l1?l<4=2ggb?5f:278h5o53`0896b?j39j>63j61;1b6>;4l081?l<4=2f:7?5f:278h4:53`0896b>=39j>63j86;1b6>;4mko1?l<4=2gab?5f:278ino53`0896cc=39j>63kkc;1b6>;4mmn1?l<4=2gga?5f:278in>53`0896cd939j>63kl5;1b6>;4mj<1?l<4=2g`3?5f:278in653`0896cd139j>63kle;1b6>;4mjl1?l<4=2gg4?5f:278ii?53`0896cc:39j>63k?9;1b6>;4lki1?l<4=2faa?5f:278ih?53`0896bb139j>63ki5;1b6>;4moi1?l<4=2ge`?5f:278ikk53`0896can39j>63h?3;1b6>;4mo<1?l<4=2ge3?5f:278ik653`0896ca139j>63j=8;1b6>;4l;l1?l<4=2f04?5f:278h>?53`0896b4:39j>63j<6;1b6>;4l;31?l<4=2f1e?5f:278h?l53`0896b5k39j>63jk1;1b6>;4lm21?l<4=2fg=?5f:278hio53`0896bcj39j>63jkf;1b6>;4lm81?l<4=2fg7?5f:278hi:53`0896bc=39j>63jn4;1b6>;4lhk1?l<4=2fbg?5f:278hlk53`0896be839j>63jm8;1b6>;4lh?1?l<4=2fb3?5f:278j>m53`0896bai39j>63k?3;1b6>;4m9>1?l<4=2g31?5f:278i=853`0896c7?39j>63jie;1b6>;4lol1?l<4=2g34?5f:278j9o53`0896`6i39j>637=n2:?0b52=;h801>h?f;1b6>;4n931?l<4=0653?5f:27:8;853`08942213h=563:35c97d4<5<9?n7=n2:?671c=;h8018=;f;1b6>;2;?k1?l<4=415f?5f:27>?::53`089050=39j>63:36497d4<5<9<;7=n2:?672>=;h8018=89;1b6>;2;>k1?l<4=414f?5f:27>?;m53`089051l39j>63:37g97d4<5<9=j7=n2:?6726=;h8018=81;1b6>;2;>81?l<4=4147?5f:27>?:j53`089054n39j>63:35297d4<5<9>87=n2:?6703=;h8018=;6;1b6>;2;==1?l<4=5af4?5f:27?oh<53`0891eb<39j>63;cd497d4<5=in47=n2:?7g`g=;h8019mjc;1b6>;3m9l1?l<4=5g24?5f:27?i39j>63;e3;97d4<5=o9m7=n2:?7a7d=;h8019k=c;1b6>;3m;n1?l<4=5g1a?5f:27?i63;e0197d4<5=o:87=n2:?7a43=;h8019k>6;1b6>;3m8=1?l<4=5g263;e0a97d4<5=o:h7=n2:?7a4c=;h8019k>f;1b6>;3m;:1?l<4=5g15?5f:27?i?<53`0891c5;39j>63;e3697d4<5=o997=n2:?7a71=;h8019k=8;1b6>;3n9l1?l<4=5d24?5f:27?j39j>63;f3;97d4<5=l9m7=n2:?7b7d=;h8019h=c;1b6>;3n;n1?l<4=5d1a?5f:27?j63;f0197d4<5=l:87=n2:?7b43=;h8019h>6;1b6>;3n8=1?l<4=5d263;f0a97d4<5=l:h7=n2:?7b4c=;h8019h>f;1b6>;3n;:1?l<4=5d15?5f:27?j?<53`0891`5;39j>63;f3697d4<5=l997=n2:?7b71=;h8019h=8;1b6>;3l=k1?l<4=5f7g?5f:27?h;<53`0891b1i39j>63;d7a97d4<5=n=i7=n2:?7`26=;h8019j82;1b6>;3l>>1?l<4=5f42?5f:27?h9j53`0891b3n39j>63;d4397d4<5=n>?7=n2:?7`03=;h8019j:7;1b6>;3l<31?l<4=5f6f?5f:27?h8j53`0891b2n39j>63;d7197d4<5=n=97=n2:?7`31=;h8019m;c;1b6>;3jmk1?l<4=425e?5f:27><;l53`089061k39j>63:07f97d4<5<:=i7=n2:?643`=;h8018>80;1b6>;28=h1?l<4=427g?5f:27><8953`089062j39j>63:04a97d4<5<:>h7=n2:?640c=;h8018>:f;1b6>;28?:1?l<4=4255?5f:27><9j53`089063m39j>63:05d97d4<5<:><7=n2:?6407=;h8018>:2;1b6>;28<91?l<4=4260?5f:27><8;53`089062>39j>63:04:97d4<5<:>57=n2:?640g=;h8018>92;1b6>;3l9?1?l<4=5f33?5f:27?h63;d3597d4<5=n957=n2:?7`7d=;h8019j=d;1b6>;3l;l1?l<4=5f05?5f:27?h=653`0891b7i39j>63;d1a97d4<5=n;i7=n2:?7`46=;h8019j>2;1b6>;3l8>1?l<4=5f22?5f:27?h<653`0891b6i39j>63;d0g97d4<5=n9<7=n2:?7`74=;h8019j79;1b6>;3l1h1?l<4=42;<5753`08906><39j>63:08:97d4<5<:257=n2:?646b;1b6>;280i1?l<4=42:`?5f:27><4k53`08906?i39j>63:09`97d4<5<:3o7=n2:?64=b=;h8018>7e;1b6>;281l1?l<4=42:4?5f:27><4?53`08906>:39j>63:08197d4<5<:297=n2:?64<0=;h8018>67;1b6>;28on1?l<4=42ea?5f:27>63:11397d4<5=i=97=n2:?7fg2=;h8019lkb;1b6>;3jk?1?l<4=5a:2?5f:27>63:11097d4<5<:2j7=n2:?7``3=;h8018>i0;1b6>;28o;1?l<4=42e6?5f:27>63:0g797d4<5<:m:7=n2:?64c1=;h8018>jd;1b6>;28lo1?l<4=42fb?5f:27>63:0b297d4<5<:h>7=n2:?64f2=;h8018>l6;1b6>;28j21?l<4=42`e?5f:27>63:0cc97d4<5=ohj7=n2:?7aag=;h8019kj5;1b6>;3ml31?l<4=5gfe?5f:27?ihl53`0891cbk39j>63;edf97d4<5=oni7=n2:?7aa6=;h8019kk1;1b6>;3mm81?l<4=5gg7?5f:27?ii:53`0891cc=39j>63;ee497d4<5=oo;7=n2:?7aa>=;h8019kk9;1b6>;3mmh1?l<4=5ggg?5f:27?iij53`0891ccm39j>63;eed97d4<5=on<7=n2:?7a`7=;h8019kj2;1b6>;3ml91?l<4=5gf0?5f:27?ih853`0891cb?39j>63;cb297d4<5=ih=7=n2:?7gfe=;h8019mk0;1b6>;3km;1?l<4=5ag6?5f:27?oi=53`0891ec<39j>63;ce797d4<5=io:7=n2:?7gf4=;h8019ml3;1b6>;3kj>1?l<4=5a`1?5f:27?on853`0891ed?39j>63;cb:97d4<5=ih57=n2:?7gfg=;h8019mlb;1b6>;3kjn1?l<4=5a`a?5f:27?onh53`0891e5039j>63;c2297d4<5=i8>7=n2:?7g62=;h8019m<6;1b6>;3k:21?l<4=5a0e?5f:27?o>m53`0891e6<39j>63;c0497d4<5=i:47=n2:?7g4g=;h8019m>c;1b6>;3k8o1?l<4=5a14?5f:27?o?<53`0891e5<39j>63;c3497d4<5=i957=n2:?7g7d=;h8019m=d;1b6>;3l>n1?l<4=5f4b?5f:27?h5?53`0891b?;39j>63;d9797d4<5=io;7=n2:?7g;3kh;1?l<4=5ab7?5f:27?ol;53`0891ef?39j>63:03g97d4<5<:9j7=n2:?6466=;h8018><1;1b6>;28:81?l<4=4207?5f:27>>l?53`0891e3;39j>63;bd497d4<5=hn;7=n2:?7f`>=;h8019lj9;1b6>;3jlk1?l<4=5`ff?5f:27?nhm53`0891e3?39j>63;e2`97d4<5=o?;7=n2:?7fc4=;h8019li3;1b6>;3jo>1?l<4=5`e1?5f:27?nk853`0891c4<39j>63;e3d97d4<5=hmn7=n2:?7fce=;h8019lid;1b6>;3joo1?l<4=5fb2?5f:27?j4o53`0891`>j39j>63;f`497d4<5=li=7=n2:?7bg2=;h8019hm5;1b6>;3nk<1?l<4=5da3?5f:27?jo653`0891`e139j>63;f8a97d4<5=l2h7=n2:?7b;3nh:1?l<4=5db5?5f:27?jl<53`0891`f;39j>63;f`697d4<5=lj97=n2:?7bd1=;h8019hn8;1b6>;3nh31?l<4=5dbe?5f:27?jll53`0891`fk39j>63;f`f97d4<5=lji7=n2:?7bd`=;h8019hm0;1b6>;3nk81?l<4=5da7?5f:27?jok53`0891`en39j>63;fb297d4<5=lh=7=n2:?7b3?=;h8019h83;1b6>;3n>>1?l<4=5d41?5f:27?j:853`0891`0?39j>63;f6:97d4<5=l<57=n2:?7b0`=;h8019h90;1b6>;3n?;1?l<4=5d56?5f:27?j;=53`0891`1<39j>63;f7797d4<5=l=:7=n2:?7b31=;h8019h98;1b6>;3n?k1?l<4=5d5f?5f:27?j;m53`0891`1l39j>63;f7g97d4<5=l=j7=n2:?7b26=;h8019h81;1b6>;3nj?1?l<4=5d`6?5f:27>>8j53`089042k39j>63:24`97d4<5<;;o7:=8:?655b=<;2018?:0;61<>;291818?64=32`f?5f:27963=0b197d4<5;:h87=n2:?14f3=;h801?>me;1b6>;58j=1?l<4=32``?5f:27963=0bd97d4<58l>o7=n2:?2b0b=;h801;6n<>1?l<4=0d61?5f:27:j8853`0894`3n39j>63>f4:97d4<58l>i7=n2:?2b01=;h801;6n?:1?l<4=0d`f?5f:27:jnm53`0894`c?39j>63>fd097d4<58ln97=n2:?2b`0=;h801;6nl21?l<4=0df=?5f:27:jho53`0894`dl39j>63>fbg97d4<58lhj7=n2:?2ba6=;h801;6nm81?l<4=0dg7?5f:27:ji:53`0894`c=39j>63>fe497d4<58lo47=n2:?2ba?=;h801;6nmh1?l<4=0dgg?5f:27:jij53`0894`cm39j>63>fed97d4<58ln<7=n2:?2b`7=;h801;6nl>1?l<4=321a?5f:27963=05797d4<5;:?47=n2:?141?=;h801?>;a;1b6>;58=h1?l<4=327g?5f:279<9j53`089764839j>63=02397d4<5;:8>7=n2:?1465=;h801?><4;1b6>;58:?1?l<4=3202?5f:279<>953`089764039j>63=02;97d4<5;:8n7=n2:?146e=;h801?>;58:o1?l<4=320b?5f:279<9>53`089763939j>63=05097d4<5;:??7=n2:?1412=;h801?>;6;1b6>;58==1?l<4=0d;=?5f:27:j5o53`0894`?939j>63>f9097d4<58l3?7=n2:?2b=2=;h801;6n1<1?l<4=330e?5f:279=>l53`089773>39j>63=14397d4<5;;>87=n2:?1503=;h801??:6;1b6>;59<=1?l<4=33663=12f97d4<5;;8i7=n2:?156`=;h801??;0;1b6>;59=;1?l<4=3376?5f:279=9=53`089773<39j>63=15797d4<5;;?;7=n2:?151>=;h801??;9;1b6>;59=k1?l<4=337f?5f:279=9m53`089773l39j>63=15g97d4<5;;?j7=n2:?1506=;h801??:2;1b6>;59<91?l<4=33:`?5f:279=4k53`08977f139j>63=1c697d4<5;;i;7=n2:?15g>=;h801??m9;1b6>;59kk1?l<4=33af?5f:279=om53`08977>n39j>63=1`297d4<5;;j=7=n2:?15d4=;h801??n3;1b6>;59h>1?l<4=33b1?5f:279=l853`08977f?39j>63=1`:97d4<5;;jm7=n2:?15dd=;h801??nc;1b6>;59hn1?l<4=33ba?5f:279=lh53`08977e839j>63=1c397d4<5;;i>7=n2:?15g5=;h801??m5;1b6>;59k<1?l<4=32e63=0g397d4<5;:m>7=n2:?14c5=;h801?>i4;1b6>;58o?1?l<4=32b2?5f:27963=0`c97d4<5;:j57=n2:?140d=;h801?>:d;1b6>;58<;53`089746>39j>63=20597d4<5;8:57=n2:?164>=;h801??la;1b6>;59ji1?l<4=33`f?5f:279>63=21297d4<5;8;>7=n2:?1657=;h801??ie;1b6>;59oi1?l<4=33eb?5f:279>=o53`089747j39j>63=21a97d4<5;8;h7=n2:?165c=;h801?;5:8:1?l<4=32;a?5f:279<4?53`08976>;39j>63=08097d4<5;:3j7=n2:?14=b=;h801?>60;1b6>;580h1?l<4=32:g?5f:279<4j53`08976>m39j>63=08d97d4<5;:j<7=n2:?14d7=;h8019=95;1b6>;3;?<1?l<4=515`?5f:27??;k53`089151n39j>63;36297d4<5=9<=7=n2:?7724=;h8019=83;1b6>;3;>>1?l<4=5153?5f:27??;653`089151139j>63;37c97d4<5=9=n7=n2:?773e=;h8019=:4;1b6>;3<1>1?l<4=56;1?5f:27?85853`08912??39j>63;49:97d4<5=>357=n2:?70=g=;h8019:7b;1b6>;3<>81?l<4=5647?5f:27?8::53`089120=39j>63;46497d4<5=><;7=n2:?702>=;h8019:89;1b6>;3=>n1?l<4=574a?5f:27?9:h53`08913?839j>63;59397d4<5=?3>7=n2:?71=5=;h8019;74;1b6>;3=?n1?l<4=575a?5f:27?9;h53`089130839j>63;56397d4<5=?<>7=n2:?7125=;h8019;84;1b6>;3=?91?l<4=5764?5f:27?98?53`089132:39j>63;54197d4<5=?397=n2:?70d2=;h8019:6b;1b6>;3<0i1?l<4=56:`?5f:27?84k53`08912f939j>63;47597d4<5=>=47=n2:?703?=;h8019::e;1b6>;3<63;5`a97d4<5=?jh7=n2:?71dc=;h8019;nf;1b6>;3=9h1?l<4=571g?5f:27?9?j53`089134<39j>63;52797d4<5=?8:7=n2:?7161=;h8019;<8;1b6>;3=:31?l<4=570e?5f:27?9>l53`089135m39j>63;53d97d4<5=?8<7=n2:?7167=;h8019;<2;1b6>;3=:91?l<4=51g`?5f:27?863;43097d4<5=>9?7=n2:?7072=;h8019:=5;1b6>;3<;<1?l<4=5613?5f:27?8?653`089125139j>63;43397d4<5=>;;7=n2:?705>=;h8019:?a;1b6>;3<9h1?l<4=563g?5f:27?8=j53`089127m39j>63;41d97d4<5=>:<7=n2:?7047=;h8019:?9;1b6>;60l31?l<4=0:fe?5f:27:4hl53`0894>bk39j>63>8df97d4<582ni7=n2:?2<33=;h801<696;1b6>;60?=1?l<4=0:51i39j>63>a4f97d4<58k>i7=n2:?2e0`=;h801;6i?;1?l<4=0c56?5f:27:5;j53`0894?1m39j>63>97d97d4<583<<7=n2:?2=27=;h801<782;1b6>;61o;1?l<4=0;e6?5f:27:5k=53`0894?a<39j>63>9g797d4<583m:7=n2:?2e=7=;h801;6i191?l<4=0c;0?5f:27:m5;53`0894g?>39j>63>a7;97d4<58k=m7=n2:?2e3d=;h801;6i?n1?l<4=0c5a?5f:27:m:;53`0894g0>39j>63>a6597d4<58k<47=n2:?2e2?=;h801;6i1n1?l<4=0c;a?5f:27:m5h53`0894g>839j>63>a8397d4<58k2>7=n2:?2e;6i0h1?l<4=0c:g?5f:27:m4j53`0894g>m39j>63>89;97d4<5823m7=n2:?2<=d=;h801<67c;1b6>;601n1?l<4=0:;a?5f:27:4:?53`0894>0:39j>63>86197d4<582<87=n2:?2<23=;h801<686;1b6>;60>n1?l<4=0:4a?5f:27:4:h53`0894>?839j>63>89397d4<5823>7=n2:?2;60hl1?l<4=0:a4?5f:27:4o?53`0894>e:39j>63>88797d4<5822:7=n2:?2<<1=;h801<668;1b6>;60031?l<4=0::e?5f:27:4l?53`0894>f:39j>63>8`197d4<582j87=n2:?2;60m;1?l<4=0:g6?5f:27:4i=53`0894>c<39j>63>8e797d4<582o:7=n2:?2;60kh1?l<4=0:ag?5f:27:4oj53`0894>em39j>63>8b797d4<582h:7=n2:?2;60j31?l<4=0:`e?5f:27:4ij53`0894>cm39j>63>8ed97d4<582n<7=n2:?2<`7=;h801<6j2;1b6>;60o?1?l<4=0:e2?5f:27:4k953`0894>a039j>63>8g;97d4<582mm7=n2:?2=4?=;h801<7>a;1b6>;618h1?l<4=0;2g?5f:27:563>91397d4<583;>7=n2:?2=55=;h801<7?4;1b6>;619?1?l<4=0;32?5f:27:5=j53`0894?7m39j>63>91d97d4<583:<7=n2:?2=47=;h801<7>2;1b6>;61:n1?l<4=0;0a?5f:27:5>h53`0894?3839j>63>95397d4<583?>7=n2:?2=73=;h801<7=6;1b6>;61;=1?l<4=0;163>92397d4<5838>7=n2:?2=65=;h801<7<4;1b6>;61:?1?l<4=0;02?5f:27:5;?53`0894?1:39j>63>97197d4<583=87=n2:?2=33=;h801<796;1b6>;61=31?l<4=0;7e?5f:27:59l53`0894?3k39j>63>95f97d4<583?i7=n2:?2=03=;h801<7:6;1b6>;61<=1?l<4=0;663>96;97d4<583;61>n1?l<4=0;4a?5f:27:55;53`0894??>39j>63>99597d4<583347=n2:?2==?=;h801<77a;1b6>;61h31?l<4=0;be?5f:27:5ll53`0894?fk39j>63>9`f97d4<583ji7=n2:?2=<7=;h801<762;1b6>;61091?l<4=0;:0?5f:27:54;53`0894?>>39j>63>98f97d4<5832i7=n2:?2=<`=;h801<7n0;1b6>;61h;1?l<4=0;b6?5f:27:5o;53`0894?e>39j>63>9c597d4<583i47=n2:?2=g?=;h801<7ma;1b6>;61j;1?l<4=0;`6?5f:27:5n=53`0894?d<39j>63>9b797d4<583h:7=n2:?2=`3=;h801<7j6;1b6>;61l=1?l<4=0;f63>9bf97d4<583hi7=n2:?2=f`=;h801<7k0;1b6>;61m;1?l<4=0;g6?5f:27:5i753`0894?ci39j>63>9e`97d4<583oo7=n2:?2=ab=;h801<7ke;1b6>;61on1?l<4=0;ea?5f:27:5kh53`0894g7839j>63>a1397d4<58k;>7=n2:?2e5?=;h801;6i9h1?l<4=0c3g?5f:27:m=j53`0894g7m39j>63>a3f97d4<58k9i7=n2:?2e7`=;h801;6i:;1?l<4=0c06?5f:27:m<;53`0894g6>39j>63>a0597d4<58k:47=n2:?2e4?=;h801a;1b6>;6i;;1?l<4=0c16?5f:27:m?=53`0894g5<39j>63>a3797d4<58k9:7=n2:?2e07=;h801;6i<91?l<4=0c60?5f:27:m8;53`0894g2>39j>63>a2;97d4<58k8m7=n2:?2e6d=;h801;6i:n1?l<4=0c0a?5f:27:m9;53`0894g3>39j>63>a5597d4<58k?47=n2:?2e1?=;h801;6ih<1?l<4=0cb3?5f:27:ml653`0894gf139j>63>a`c97d4<58kjn7=n2:?2ede=;h801;6ik?1?l<4=0ca2?5f:27:mo953`0894ge039j>63>ac;97d4<58kim7=n2:?23g`=;h801<9l4;1b6>;6?jl1?l<4=05ge?5f:27:;h953`08941a:39j>63>7g197d4<58=m87=n2:?23c3=;h801<9i6;1b6>;6?j?1?l<4=05`2?5f:27:;n953`08941d039j>63>7b;97d4<58=hm7=n2:?23fd=;h801<9lc;1b6>;6?jn1?l<4=05`a?5f:27:;i>53`08941c939j>63>7e097d4<58=o?7=n2:?23a2=;h801<9k5;1b6>;6?m<1?l<4=05g3?5f:27:;i653`08941c139j>63>7e`97d4<58=oo7=n2:?23ab=;h801<9j0;1b6>;6?l;1?l<4=05f6?5f:27:;h=53`08941b<39j>63>7d797d4<58=n:7=n2:?23`>=;h801<9j9;1b6>;6?lk1?l<4=05ff?5f:27:;hm53`08941bl39j>63>7dg97d4<58=nj7=n2:?23c6=;h801<9i1;1b6>;6j:h1?l<4=0`0a?5f:27:n;953`0894d0:39j>63>b6d97d4<58h3m7=n2:?2f<3=;h801;6jhn1?l<4=0`ah53`0894d3k39j>63>b4597d4<58h=<7=n2:?2f37=;h801;6j?91?l<4=0`50?5f:27:n;;53`0894d1>39j>63>b7:97d4<58h=57=n2:?2f3g=;h801;6j?i1?l<4=0`5`?5f:27:n;k53`0894d1n39j>63>b6297d4<58h<=7=n2:?2f25=;h801;6j>?1?l<4=0`463>b6`97d4<58h;6j1:1?l<4=0`;5?5f:27:n5<53`0894d?;39j>63>b9697d4<58h397=n2:?2f=0=;h801;6j121?l<4=0`;=?5f:27:n5l53`0894d?k39j>63>b9f97d4<58h3i7=n2:?2f=`=;h801;6j0;1?l<4=0`:6?5f:27:n4=53`0894d><39j>63>b8497d4<58h2;7=n2:?2f<>=;h801;6j0k1?l<4=0`:`?5f:27:n4k53`0894d>n39j>63>b`297d4<58hj=7=n2:?2fd5=;h801;6jh?1?l<4=0`b2?5f:27:nl953`0894df039j>63>b`;97d4<58hjm7=n2:?2fdd=;h801;6jho1?l<4=0`bb?5f:27:no>53`0894de939j>63>bc097d4<58hi?7=n2:?2fg2=;h801;6jk<1?l<4=0`a3?5f:27:no753`0894dei39j>63>bc`97d4<58hio7=n2:?2fgb=;h801;6jkl1?l<4=0``4?5f:27:nn?53`0894dd:39j>63>b5297d4<58h??7=n2:?2f12=;h801;6j=<1?l<4=0`73?5f:27:n9653`0894d3139j>63>b5c97d4<58h?n7=n2:?2f1b=;h801;6j=l1?l<4=0`64?5f:27:n8?53`0894d2:39j>63>b4197d4<58h>87=n2:?2f03=;h801;6j<21?l<4=0`6=?5f:27:n8o53`0894d2j39j>63>b4a97d4<58h>h7=n2:?2f0c=;h801;60<21?l<4=0:6=?5f:27:;8853`089412?39j>63;21d97d4<5=;o97=n2:?75a0=;h8019?kd;1b6>;39mo1?l<4=53gb?5f:27?=h>53`08917b939j>63;1d097d4<5=;n?7=n2:?75`2=;h8019?k7;1b6>;39m21?l<4=53g=?5f:27?=io53`08917cj39j>63;1ea97d4<5=83?7=n2:?76=2=;h8019<7b;1b6>;3:1i1?l<4=50;`?5f:27?>5k53`08914?n39j>63;28297d4<5=82=7=n2:?76<4=;h8019<75;1b6>;3:1<1?l<4=50;3?5f:27?>5653`08914?139j>63;29c97d4<5=8=?7=n2:?7632=;h8019<9b;1b6>;3:?i1?l<4=505`?5f:27?>;k53`089141n39j>63;26297d4<5=8<=7=n2:?7624=;h8019<95;1b6>;3:?<1?l<4=5053?5f:27?>;653`089141139j>63;27c97d4<5=;h87=n2:?7650=;h8019;3:9=1?l<4=503=m53`089147l39j>63;21g97d4<5=82:7=n2:?76<1=;h8019<;2;1b6>;3:=91?l<4=507e?5f:27?>9l53`089143k39j>63;25f97d4<5=8?i7=n2:?761`=;h8019<:0;1b6>;3:<;1?l<4=5070?5f:27?>9;53`089143>39j>63;25597d4<5=8?47=n2:?761?=;h8019<=0;1b6>;3:;;1?l<4=501?753`089145i39j>63;23`97d4<5=89o7=n2:?767b=;h8019<=e;1b6>;3:;l1?l<4=5016?5f:27?>?=53`089145<39j>63;23797d4<5=89:7=n2:?7671=;h8019<63;1b6>;3:<81?l<4=53e1?5f:27?=k853`08917al39j>63;1gg97d4<5=;mj7=n2:?7656=;h8019;3:981?l<4=5037?5f:27?>=:53`08917a?39j>63;1g:97d4<5=;m57=n2:?75cg=;h8019?ib;1b6>;39oi1?l<4=5305?5f:27?=><53`089174l39j>63;15:97d4<5=;?n7=n2:?751e=;h8019?;d;1b6>;39=o1?l<4=537b?5f:27?=8>53`089174;39j>63;12697d4<5=;897=n2:?7560=;h8019?<7;1b6>;39:21?l<4=530=?5f:27?=>o53`089174j39j>63;12a97d4<5=;8i7=n2:?756`=;h8019?;0;1b6>;39=;1?l<4=5376?5f:27?=9=53`089173<39j>63;15797d4<5=;?:7=n2:?7511=;h8019?;9;1b6>;39=k1?l<4=53b`?5f:27?=o>53`08917e:39j>63;1c397d4<5=;ji7=n2:?75de=;h8019?nf;1b6>;39kk1?l<4=53af?5f:27?=om53`08917el39j>63;1cg97d4<5=;ij7=n2:?75f6=;h8019;3:o31?l<4=50ee?5f:27?>kl53`08914ak39j>63;2gf97d4<5=8mi7=n2:?76c`=;h8019=;3;:o1?l<4=510b?5f:27??9>53`089153939j>63;35097d4<5=9??7=n2:?7712=;h8019;3;;<1?l<4=5113?5f:27???653`089155139j>63;33c97d4<5=99n7=n2:?777e=;h8019==d;1b6>;3;8<1?l<4=5121?5f:27??<:53`089156?39j>63;30`97d4<5=9:o7=n2:?774b=;h8019=;8;1b6>;3;=31?l<4=5171?5f:27??=m53`089157l39j>63;31g97d4<5=9;j7=n2:?7746=;h8019=>1;1b6>;3;881?l<4=5127?5f:27:ik953`0894ca=39j>63>ed797d4<58on:7=n2:?2a`b=;h801;6mll1?l<4=0ge4?5f:27:ik?53`0894ca:39j>63>eg197d4<58om87=n2:?2a`1=;h801;6ml31?l<4=0gfe?5f:27:ihl53`0894cbk39j>63>f3g97d4<58l9j7=n2:?2b60=;h801;6n:21?l<4=0d0=?5f:27:j>o53`0894`4j39j>63>f2a97d4<58l8h7=n2:?2b66=;h801;6n:81?l<4=0d07?5f:27:j>:53`0894`4=39j>63>f1a97d4<58l;h7=n2:?2b40=;h8017;1b6>;6n821?l<4=0d2=?5f:27:j63>f0a97d4<58l:h7=n2:?2b5c=;h801;6n8:1?l<4=0d25?5f:27:j<<53`0894`6;39j>63>f0697d4<58l:97=n2:?2ac?=;h801<;;6=:n1?l<4=070a?5f:27:9>h53`089433839j>63>55397d4<58??>7=n2:?2115=;h801<;83;1b6>;6=?91?l<4=0750?5f:27:9;l53`089431k39j>63>57f97d4<58?=i7=n2:?213`=;h801<;80;1b6>;6=>;1?l<4=0746?5f:27:9;;53`089431>39j>63>57597d4<58?=47=n2:?213?=;h801<;9a;1b6>;6=8i1?l<4=072`?5f:27:9?:53`089435=39j>63>53497d4<58?9;7=n2:?217>=;h801<;=9;1b6>;6=;k1?l<4=071f?5f:27:963>53297d4<58?9=7=n2:?2174=;h801<;=3;1b6>;663>4e497d4<58>o;7=n2:?20a>=;h801<:k9;1b6>;663>4e297d4<58>o=7=n2:?20a4=;h801<:k3;1b6>;663>4g497d4<58>m;7=n2:?20c>=;h801<:i9;1b6>;663>4g297d4<58>m=7=n2:?20c4=;h801<:i3;1b6>;663<5`297d4<5:?j=7=n2:?01d3=;h801>;n6;1b6>;4=h=1?l<4=27b63<5``97d4<5:?jo7=n2:?01d4=;h801>;n3;1b6>;4=h>1?l<4=27ae?5f:2789ol53`08963en39j>63<5b297d4<5:?h=7=n2:?01f4=;h801>;l3;1b6>;4=j>1?l<4=27`1?5f:2789n853`08963ek39j>63<5cf97d4<5:?ii7=n2:?01a2=;h801>;k5;1b6>;4=m31?l<4=27ge?5f:2789il53`08963ck39j>63<5ef97d4<5:?oi7=n2:?01a`=;h801>;j0;1b6>;4=m<1?l<4=27g3?5f:2789i653`0896?aj39j>63<9ga97d4<5:3mh7=n2:?0=cc=;h801>7if;1b6>;4i9:1?l<4=2c35?5f:278m=<53`0896g1j39j>63o9f;1b6>;4i>:1?l<4=2c45?5f:278m:<53`0896g0j39j>63o8f;1b6>;4i1:1?l<4=2c;5?5f:278m5<53`0896g?j39j>63o7f;1b6>;4i0:1?l<4=2c:5?5f:278m4<53`0896g>j39j>63o6f;1b6>;4ih:1?l<4=2cb5?5f:278ml<53`0896gfj39j>63onf;1b6>;4ik:1?l<4=2ca5?5f:278mo<53`0896gej39j>63omf;1b6>;4ij:1?l<4=2c`5?5f:278mn<53`0896gdj39j>63olf;1b6>;4im:1?l<4=2cg5?5f:278mi<53`0896gcj39j>63okf;1b6>;4il:1?l<4=2cf5?5f:278mh<53`0896gbj39j>63ojf;1b6>;4io:1?l<4=2ce5?5f:278mk<53`0896g7j39j>63o?f;1b6>;4i8:1?l<4=2c25?5f:278m<<53`0896g6j39j>63o>f;1b6>;4i;:1?l<4=2c15?5f:278m?<53`0896g5j39j>63o=f;1b6>;4i::1?l<4=2c05?5f:278m><53`0896g4j39j>63o;4i=:1?l<4=2c75?5f:278m9<53`0896g3j39j>63o;f;1b6>;4i<:1?l<4=2c65?5f:278m8<53`0896g2j39j>63h7=n2:?0e0c=;h801>o:f;1b6>;4i?:1?l<4=2c55?5f:278m;<53`08963b139j>63<5dc97d4<5:?nn7=n2:?01`e=;h801>;jd;1b6>;4=lo1?l<4=27fb?5f:2789k>53`089602139j>63<64c97d4<5:<>n7=n2:?020e=;h801>8:d;1b6>;4>53`089601139j>63<67c97d4<5:<=n7=n2:?023e=;h801>89d;1b6>;4>?o1?l<4=245b?5f:278::>53`089600139j>63<66c97d4<5:<88d;1b6>;4>>o1?l<4=244b?5f:278:5>53`08960?139j>63<69c97d4<5:<3n7=n2:?02=e=;h801>87d;1b6>;4>1o1?l<4=24;b?5f:278:4>53`08960>139j>63<68c97d4<5:<2n7=n2:?0286d;1b6>;4>0o1?l<4=24:b?5f:278:l>53`08960f139j>63<6`c97d4<5:8nd;1b6>;4>ho1?l<4=24bb?5f:278:o>53`08960e139j>63<6cc97d4<5:8md;1b6>;4>ko1?l<4=24ab?5f:278:n>53`08960d139j>63<6bc97d4<5:8ld;1b6>;4>jo1?l<4=24`b?5f:278:i>53`08960c139j>63<6ec97d4<5:8kd;1b6>;4>mo1?l<4=24gb?5f:278:h>53`08963a139j>63<5gc97d4<5:?mn7=n2:?01ce=;h801>;id;1b6>;4=oo1?l<4=27eb?5f:278:=>53`089607139j>63<61c97d4<5:<;n7=n2:?025e=;h801>8?d;1b6>;4>9o1?l<4=243b?5f:278:<>53`089606139j>63<60c97d4<5:<:n7=n2:?024e=;h801>8>d;1b6>;4>8o1?l<4=242b?5f:278:?>53`089605139j>63<63c97d4<5:<9n7=n2:?027e=;h801>8=d;1b6>;4>;o1?l<4=241b?5f:278:>>53`089604139j>63<62c97d4<5:<8n7=n2:?026e=;h801>8;4>:o1?l<4=240b?5f:278:9>53`089603139j>63<65c97d4<5:8;d;1b6>;4>=o1?l<4=247b?5f:278:8>53`08960b139j>63<6dc97d4<5:8jd;1b6>;4>lo1?l<4=24fb?5f:278:k>53`089612139j>63<74c97d4<5:=>n7=n2:?030e=;h801>9:d;1b6>;4?53`089611139j>63<77c97d4<5:==n7=n2:?033e=;h801>99d;1b6>;4??o1?l<4=255b?5f:278;:>53`089610139j>63<76c97d4<5:=98d;1b6>;4?>o1?l<4=254b?5f:278;5>53`08961?139j>63<79c97d4<5:=3n7=n2:?03=e=;h801>97d;1b6>;4?1o1?l<4=25;b?5f:278;4>53`08961>139j>63<78c97d4<5:=2n7=n2:?0396d;1b6>;4?0o1?l<4=25:b?5f:278;l>53`08961f139j>63<7`c97d4<5:=jn7=n2:?03de=;h801>9nd;1b6>;4?ho1?l<4=25bb?5f:278;o>53`08961e139j>63<7cc97d4<5:=in7=n2:?03ge=;h801>9md;1b6>;4?ko1?l<4=25ab?5f:278;n>53`08961d139j>63<7bc97d4<5:=hn7=n2:?03fe=;h801>9ld;1b6>;4?jo1?l<4=25`b?5f:278;i>53`089617139j>63<71c97d4<5:=;n7=n2:?035e=;h801>9?d;1b6>;4?9o1?l<4=253b?5f:278;<>53`08961c139j>63<7ec97d4<5:=on7=n2:?03ae=;h801>9kd;1b6>;4?mo1?l<4=25gb?5f:278;h>53`08960a139j>63<6gc97d4<5:8id;1b6>;4>oo1?l<4=24eb?5f:278;=>53`089616139j>63<70c97d4<5:=:n7=n2:?034e=;h801>9>d;1b6>;4?8o1?l<4=252b?5f:278;?>53`089615139j>63<73c97d4<5:=9n7=n2:?037e=;h801>9=d;1b6>;4?;o1?l<4=251b?5f:278;>>53`089614139j>63<72c97d4<5:=8n7=n2:?036e=;h801>9;4?:o1?l<4=250b?5f:278;9>53`089613139j>63<75c97d4<5:=?n7=n2:?031e=;h801>9;d;1b6>;4?=o1?l<4=257b?5f:278;8>53`08961b139j>63<7dc97d4<5:=nn7=n2:?03`e=;h801>9jd;1b6>;4?lo1?l<4=25fb?5f:278;k>53`0896>2139j>63<84c97d4<5:2>n7=n2:?0<0e=;h801>6:d;1b6>;4053`0896>1139j>63<87c97d4<5:2=n7=n2:?0<3e=;h801>69d;1b6>;40?o1?l<4=2:5b?5f:2784:>53`0896>0139j>63<86c97d4<5:268d;1b6>;40>o1?l<4=2:4b?5f:27845>53`0896>?139j>63<89c97d4<5:23n7=n2:?0<=e=;h801>67d;1b6>;401o1?l<4=2:;b?5f:27844>53`0896>>139j>63<88c97d4<5:22n7=n2:?0<66d;1b6>;400o1?l<4=2::b?5f:2784l>53`0896>f139j>63<8`c97d4<5:2jn7=n2:?06nd;1b6>;40ho1?l<4=2:bb?5f:2784o>53`0896>e139j>63<8cc97d4<5:2in7=n2:?06md;1b6>;40ko1?l<4=2:ab?5f:2784n>53`0896>d139j>63<8bc97d4<5:2hn7=n2:?06ld;1b6>;40jo1?l<4=2:`b?5f:2784i>53`0896>c139j>63<8ec97d4<5:2on7=n2:?06kd;1b6>;40mo1?l<4=2:gb?5f:2784h>53`08961a139j>63<7gc97d4<5:=mn7=n2:?03ce=;h801>9id;1b6>;4?oo1?l<4=25eb?5f:2784=>53`0896>7139j>63<81c97d4<5:2;n7=n2:?0<5e=;h801>6?d;1b6>;409o1?l<4=2:3b?5f:2784<>53`0896>6139j>63<80c97d4<5:2:n7=n2:?0<4e=;h801>6>d;1b6>;408o1?l<4=2:2b?5f:2784?>53`0896>5139j>63<83c97d4<5:29n7=n2:?0<7e=;h801>6=d;1b6>;40;o1?l<4=2:1b?5f:2784>>53`0896>4139j>63<82c97d4<5:28n7=n2:?0<6e=;h801>6;40:o1?l<4=2:0b?5f:27849>53`0896>3139j>63<85c97d4<5:2?n7=n2:?0<1e=;h801>6;d;1b6>;40=o1?l<4=2:7b?5f:27848>53`0896>b139j>63<8dc97d4<5:2nn7=n2:?0<`e=;h801>6jd;1b6>;40lo1?l<4=2:fb?5f:2784k>53`0896?2139j>63<94c97d4<5:3>n7=n2:?0=0e=;h801>7:d;1b6>;4153`0896?1139j>63<97c97d4<5:3=n7=n2:?0=3e=;h801>79d;1b6>;41?o1?l<4=2;5b?5f:2785:>53`0896?0139j>63<96c97d4<5:378d;1b6>;41>o1?l<4=2;4b?5f:27855>53`0896??139j>63<99c97d4<5:33n7=n2:?0==e=;h801>77d;1b6>;411o1?l<4=2;;b?5f:27854>53`0896?>139j>63<98c97d4<5:32n7=n2:?0=76d;1b6>;410o1?l<4=2;:b?5f:2785l>53`0896?f139j>63<9`c97d4<5:3jn7=n2:?0=de=;h801>7nd;1b6>;41ho1?l<4=2;bb?5f:2785o>53`0896?e139j>63<9cc97d4<5:3in7=n2:?0=ge=;h801>7md;1b6>;41ko1?l<4=2;ab?5f:2785n>53`0896?d139j>63<9bc97d4<5:3hn7=n2:?0=fe=;h801>7ld;1b6>;41jo1?l<4=2;`b?5f:2785i>53`0896?c139j>63<9ec97d4<5:3on7=n2:?0=ae=;h801>7kd;1b6>;41mo1?l<4=2;gb?5f:2785h>53`0896>a139j>63<8gc97d4<5:2mn7=n2:?06id;1b6>;40oo1?l<4=2:eb?5f:2785=>53`0896?7139j>63<91c97d4<5:3;n7=n2:?0=5e=;h801>7?d;1b6>;419o1?l<4=2;3b?5f:2785<>53`0896?6139j>63<90c97d4<5:3:n7=n2:?0=4e=;h801>7>d;1b6>;418o1?l<4=2;2b?5f:2785?>53`0896?5139j>63<93c97d4<5:39n7=n2:?0=7e=;h801>7=d;1b6>;41;o1?l<4=2;1b?5f:2785>>53`0896?4139j>63<92c97d4<5:38n7=n2:?0=6e=;h801>7;41:o1?l<4=2;0b?5f:27859>53`0896?3139j>63<95c97d4<5:3?n7=n2:?0=1e=;h801>7;d;1b6>;41=o1?l<4=2;7b?5f:27858>53`089624m39j>63<42d97d4<5:>?<7=n2:?0017=;h801>;>f;1b6>;4=;:1?l<4=267a?5f:27889h53`089622839j>63<44397d4<5:>>:7=n2:?0001=;h801>::8;1b6>;4<<31?l<4=270g?5f:2789>j53`089634m39j>63<52d97d4<5:??<7=n2:?0117=;h801>;;2;1b6>;4==91?l<4=2770?5f:27895853`08963??39j>63<59`97d4<5:?3o7=n2:?01=b=;h801>;7e;1b6>;4=1l1?l<4=27:4?5f:27894?53`08963>:39j>63<59:97d4<5:?357=n2:?01=g=;h801>7j9;1b6>;41lk1?l<4=2;ff?5f:2785hm53`0896?bl39j>63<9dg97d4<5:3nj7=n2:?0=c6=;h801><97;61<>;453`089620939j>63=22197d4<5;8887=n2:?166d=;h801?<;5::n1?l<4=300a?5f:279>>h53`089743839j>63=25397d4<5;8?>7=n2:?1663=;h801?<<6;1b6>;5::=1?l<4=300>753`089744i39j>63=23097d4<5;8nh7=n2:?16`c=;h801?;5:o:1?l<4=30e5?5f:279>k<53`08974a;39j>63=2g697d4<5;8on7=n2:?16ae=;h801?;5:mo1?l<4=30gb?5f:279>h>53`08974b939j>63=2d097d4<5;9n:7=n2:?17`1=;h801?=j8;1b6>;5;l31?l<4=31fe?5f:279?hl53`08975bk39j>63=3df97d4<5;9o:7=n2:?17a1=;h801?=k8;1b6>;5;m31?l<4=31ge?5f:279?il53`08975ck39j>63=3ef97d4<5;9ho7=n2:?17g?=;h801?=ma;1b6>;5;kh1?l<4=31ag?5f:279?hk53`089757l39j>63=31697d4<5;9;97=n2:?1750=;h801?=?7;1b6>;5;9k1?l<4=30g4?5f:279>i?53`08974c:39j>63=2b597d4<5;8h47=n2:?16f?=;h801?;5<9i1?l<4=3621?5f:2798<853`089726?39j>63=40:97d4<5;9<87=n2:?17<3=;h801?=66;1b6>;5;0n1?l<4=31:a?5f:279?4h53`08975f839j>63=3`397d4<5;9j>7=n2:?17d5=;h801?=n4;1b6>;5;0=1?l<4=31:i39j>63=38`97d4<5;92o7=n2:?163>=;h801?<71;1b6>;5:181?l<4=30;7?5f:279>5:53`08974?=39j>63=29497d4<5;83;7=n2:?16=>=;h801?<:0;324a=::;?:687?>0e9>673028;;h63=2479546c34899;4>11f89740=39j>63=26497d4<5;8<;7=n2:?162>=;h801?<7f;1b6>;5:0:1?l<4=30:5?5f:279>4<53`08972>j39j>63=48a97d4<5;>2h7=n2:?10;563=4b697d4<5;>h97=n2:?10f0=;h801?:n7;1b6>;563=4`a97d4<5;>jh7=n2:?10dc=;h801?:m3;1b6>;51?l<4=36a1?5f:2798o853`08972b939j>63=4d097d4<5;>n?7=n2:?10`2=;h801?:j5;1b6>;563=4ba97d4<5;>hh7=n2:?10fc=;h801?:k4;1b6>;563=47c97d4<5;>=n7=n2:?103e=;h801?:9d;1b6>;563=4g397d4<5;>m>7=n2:?10c>=;h801?:i9;1b6>;563=46:97d4<5;><57=n2:?102g=;h801?:8b;1b6>;5<>i1?l<4=364`?5f:2798:k53`08972??39j>63=49:97d4<5;>357=n2:?10=g=;h801?:7b;1b6>;5<1i1?l<4=36;`?5f:27985k53`08972>;39j>63=48697d4<5;>297=n2:?10<0=;h801?::d;1b6>;5<53`089721939j>63=47097d4<5;>=?7=n2:?1032=;h801?;nd;1b6>;5=ho1?l<4=37bb?5f:2799o>53`08973e939j>63=5c097d4<5;?i?7=n2:?11g2=;h801?;md;1b6>;5=ko1?l<4=37ab?5f:2799n>53`08973d939j>63=5b097d4<5;?h?7=n2:?11f2=;h801?;j5;1b6>;5=l<1?l<4=37f3?5f:2799h653`08973b139j>63=5dc97d4<5;?nn7=n2:?11`e=;h801?;6d;1b6>;5=0o1?l<4=37:b?5f:2799l>53`08973f939j>63=5`097d4<5;?j?7=n2:?11d2=;h801?;i5;1b6>;5=o<1?l<4=37e3?5f:2799k653`08973a139j>63=5gc97d4<5;?mn7=n2:?11ce=;h801?8;d;1b6>;5>=o1?l<4=347b?5f:279:8>53`089702939j>63=64097d4<5;<>?7=n2:?1202=;h801?8<5;1b6>;5>:<1?l<4=3403?5f:279:>653`089704139j>63=62c97d4<5;<8n7=n2:?126e=;h801?8=5;1b6>;5>;<1?l<4=3413?5f:279:?653`089705139j>63=63c97d4<5;<9n7=n2:?127e=;h801?8>5;1b6>;5>8<1?l<4=3423?5f:279:<653`089706139j>63=60c97d4<5;<:n7=n2:?124e=;h801?8?5;1b6>;5>9<1?l<4=3433?5f:279:=653`089707139j>63=61c97d4<5;<;n7=n2:?125e=;h801?87d;1b6>;5>1o1?l<4=34;b?5f:279:4>53`08970>939j>63=68097d4<5;<2?7=n2:?12<2=;h801?8:d;1b6>;5>53`089701939j>63=67097d4<5;<=?7=n2:?1232=;h801?86d;1b6>;5>0o1?l<4=34:b?5f:279:l>53`08970f939j>63=6`097d4<5;;5>k<1?l<4=34a3?5f:279:o653`08970e139j>63=6cc97d4<5;;5>>o1?l<4=344b?5f:279:5>53`08970?939j>63=69097d4<5;<3?7=n2:?12=2=;h801?89d;1b6>;5>?o1?l<4=345b?5f:279::>53`089700939j>63=66097d4<5;<;5>j<1?l<4=34`3?5f:279:n653`08970d139j>63=6bc97d4<5;;5=9l1?l<4=3724?5f:279963=5bg97d4<5;?hj7=n2:?11a6=;h801?;k1;1b6>;5=m81?l<4=37g7?5f:2799i:53`08970f139j>63=6`c97d4<5;;5=mk1?l<4=37gf?5f:2799im53`089703939j>63=65097d4<5;c;1b6>;5?8n1?l<4=352a?5f:279;63=73397d4<5;=9>7=n2:?1375=;h801e;1b6>;6m;:1?l<4=0g2b?5f:27:i63>e0a97d4<58o:m7=n2:?2a77=;h801;6m;n1?l<4=0g1a?5f:27:i?h53`0894c4839j>63>e2397d4<58o8>7=n2:?2a65=;h801;6m:?1?l<4=0g02?5f:278>;6543:894e6?39j>63>db297d4<58nh97=n2:?2`f1=;h801;6ljo1?l<4=0fge?5f:278ii953`08905?839j>63:34497d4<58i:>7=n2:?2b`d=;h801??:a;1b6>;3=?;1?l<4=576b?5f:27?84h53`089120i39j>63<51297d4<5:>m87=n2:?17fg=;h801?=l8;1b6>;5;921?l<4=30f7?5f:27:ook53`0894een39j>63;c5397d4<5<8>i7=n2:?7034=;h8019=85;1b6>;3<<>1?l<4=53`5?5f:27?>hj53`08974el39j>63=2b`97d4<5;8??7=n2:?75d4=;h8019?n3;1b6>;39h>1?l<4=53b1?5f:27?=l853`08917f?39j>63;1`:97d4<5=;j57=n2:?75dg=;h8019?nb;1b6>;3m:l1?l<4=5:663=1g697d4<5;;m97=n2:?15c0=;h801??i7;1b6>;59o21?l<4=33e=?5f:279=ko53`08977aj39j>63=09197d4<5;:387=n2:?14=3=;h801?>76;1b6>;581=1?l<4=32;63=09`97d4<5;:3o7=n2:?77f>=;h8019=lc;1b6>;3;jo1?l<4=51g4?5f:27??i<53`08915c<39j>63;3e497d4<5=9o47=n2:?77ag=;h8019=kc;1b6>;3;jk1?l<4=52e5?5f:27?63;11c97d4<5=;;n7=n2:?755e=;h8019??d;1b6>;399o1?l<4=533b?5f:27?=<>53`08916a:39j>63;0g197d4<5=:m87=n2:?74c3=;h8019>i6;1b6>;38o=1?l<4=52e63;0g`97d4<5=:mh7=n2:?74cc=;h8019>if;1b6>;399:1?l<4=5335?5f:27?==<53`089177;39j>63;11697d4<5=;;97=n2:?7550=;h8019??8;1b6>;39931?l<4=5g`e?5f:27?inl53`0891cdk39j>63;ebf97d4<5=ohi7=n2:?7`ag=;h8019jkc;1b6>;3lmo1?l<4=5ff4?5f:27?hh<53`0891bc839j>63;de097d4<5=no87=n2:?7`a0=;h8019jk8;1b6>;3lho1?l<4=5fa4?5f:27?ho<53`0891be<39j>63;dc497d4<5=nh87=n2:?7`f0=;h8019jl8;1b6>;3ljk1?l<4=5f`g?5f:27?hnk53`0891be039j>63;dcc97d4<5=nio7=n2:?7`gc=;h8019jl0;1b6>;3lj81?l<4=2ff5?5f:278hh<53`0896bb;39j>63;3m:31?l<4=5g64?5f:27?i><53`089637939j>63<51097d4<5:?;?7=n2:?0152=;h801>;?5;1b6>;4=9<1?l<4=26e1?5f:2788k853`08962a?39j>63<4g:97d4<5:>m57=n2:?00cg=;h8019k;3m:91?l<4=5d46?5f:27:o<=53`08962aj39j>63<4ga97d4<5:>mh7=n2:?7a`>=;h801>jn8;1b6>;4lh<1?l<4=2fa=?5f:278ho953`0896be=39j>63jnd;1b6>;4lhh1?l<4=2fb=?5f:278hl=53`0896ben39j>63h:6;1b6>;60:81?l<4=0506?5f:27:4>?53`089414939j>63>82297d4<58=8<7=n2:?2<7`=;h801<9=f;1b6>;60;o1?l<4=051a?5f:27:4?j53`089415l39j>63>84g97d4<58=>o7=n2:?2<0b=;h801<9:b;1b6>;6063>84c97d4<58=>47=n2:?2<1`=;h801<9;d;1b6>;60=81?l<4=0576?5f:27:4>953`089414?39j>63>83a97d4<58=9o7=n2:?2<7?=;h801<9=b;1b6>;60=>1?l<4=0570?5f:27:49=53`089413;39j>63>85397d4<58=?=7=n2:?2<16=;h801<9;0;1b6>;60:l1?l<4=050b?5f:27:4>k53`089414m39j>63>82f97d4<58=8h7=n2:?2<6e=;h801<9;60:h1?l<4=050f?5f:27:4>o53`089414i39j>63>82;97d4<58=857=n2:?2<6>=;h801<9<8;1b6>;60:<1?l<4=0502?5f:27:4>;53`089414=39j>63>82697d4<58=887=n2:?2<65=;h801<9<3;1b6>;60<=1?l<4=0561?5f:27:48853`089412<39j>63>84797d4<58=>?7=n2:?2<02=;h801<9:2;1b6>;60<91?l<4=0565?5f:27:48<53`089412839j>63>84397d4<58=?j7=n2:?2<06=;h801<9;e;1b6>;60=o1?l<4=057g?5f:27:49j53`089413j39j>63>85a97d4<58=?m7=n2:?2<1d=;h801<9;9;1b6>;60=k1?l<4=05763>85:97d4<58=?:7=n2:?2<13=;h801<9;5;1b6>;6kj=18?h4=0a`3?24=27:ono543d894edi3>8963:424907`<5<>8:7:<5:?6065=<;l018:<3;601>;2<::18?h4=4604?24=27>8?j543d89025l3>8963;bbf907`<5=hhh7:<5:?7ff1=<;l019ll7;601>;3jj>18?h4=5``0?24=27?nno543d891ddi3>8963;c759062<5=i=;7:<7:?77f2=<;l019=l4;601>;6kkk18?64=0aaf?5f:27:nhl543:894dbm3>9463>bdd97d4<58ii=7:=8:?2gg4=;h801;6kk21?l<4=0aa0?25027:oo;53`0894ef03>9463>c`;97d4<58iji7:=8:?2gd`=;h801;6khi1?l<4=0ab1?25027:ol853`0894ef:3>9463>c`197d4<58i257:=8:?2g;6kh:1?l<4=0a:g?25027:o4j53`0894e>>3>9463>c8597d4<58i2?7:=8:?2g<2=;h801;6k1h1?l<4=0a:4?25027:o4?53`0894e?l3>9463>c9g97d4<58i3;7:=8:?2g=>=;h801;6k1?1?l<4=0a4f?25027:o:m53`0894e?93>9463>c9097d4<58i;6k>91?l<4=0a49463>c6497d4<58i=57:=8:?2g3g=;h801;6k>:1?l<4=0a5g?25027:o;j53`0894e1>3>9463>c7597d4<58i=?7:=8:?2g32=;h801;6k9463>c4g97d4<58i>;7:=8:?2g0>=;h801;6k9463>c4097d4<58i?i7:=8:?2g1`=;h801;6k=31?l<4=0a71?25027:o9853`0894e4k3>9463>c2f97d4<58i?>7:=8:?2g15=;h801;6k=:1?l<4=0a07?25027:o>:53`0894e413>9463>c2c97d4<58i8:7:=8:?2g61=;h8018<<2;61<>;290?1?l<4=0a04?25027:o>?53`0894e5l3>9463>c3g97d4<5<88?7:=8:?65<0=;h8018<;290o1?l<4=400a?25027>=l?53`089044k3>9463:18d97d4<5<88h7:=8:?65d6=;h8018<;29h81?l<4=4074?25027>=l=53`089044<3>9463:18597d4<5<8?=7:=8:?65d2=;h8018<;2;61<>;29h?1?l<4=4001?25027>=4653`089044>3>9463:18;97d4<5<8857:=8:?65;290k1?l<4=400=4l53`089043<3>9463:1`597d4<5<88m7:=8:?6615=<;2018?n6;1b6>;2:=o18?64=43a5?5f:27>>9m543:8907fn39j>63:25f907><5<;i<7=n2:?661`=<;2018?m2;1b6>;2:<:18?64=43a7?5f:27>>8=543:8907e>39j>63:243907><5<;i87=n2:?6604=<;2018?m5;1b6>;2:=?18?64=43b>98543:8907f139j>63:25;907><5<;jo7=n2:?6611=<;2018?na;1b6>;2:=218?64=43bf?5f:27>>9o543:8907fl39j>63:25`907><5<;ji7=n2:p7cdf2908w0=k3680e6=:9=?=6o86;<1g34hm9;295c`|58>>m7l99:?0433=;h801>?n7;1b6>;49k:1?l<4=23a5?5f:278=o<53`08967e;39j>63<1c697d4<5:;i97=n2:?05g0=;h801>?m7;1b6>;49h21?l<4=23b=?5f:278=lo53`08967fj39j>63<1`a97d4<5:;jh7=n2:?05dc=;h801>?nf;1b6>;49l<1?l<4=23f3?5f:278=h653`08967b139j>63<1dc97d4<5:;nn7=n2:?05`2=;h801>?j5;1b6>;49m>1?l<4=23g1?5f:278=i653`08967c139j>63<1ec97d4<5:;on7=n2:?05ae=;h801>?kd;1b6>;49mo1?l<4=23gb?5f:278=i853`08967c?39j>63<0gg97d4<5::mj7=n2:?0553=;h801>??6;1b6>;499=1?l<4=23363<11`97d4<5:;;o7=n2:?0556=;h801>??1;1b6>;49981?l<4=2337?5f:278==:53`08967d839j>63<12d97d4<5:;?<7=n2:?0513=;h801>?;6;1b6>;49==1?l<4=23763<15`97d4<5:;?o7=n2:?0517=;h801>?;2;1b6>;49=91?l<4=2370?5f:279inm53`0897cdl39j>63=ee:97d4<5;ooo7=n2:?1aab=;h801?kke;1b6>;5mml1?l<4=3gf4?5f:279ih?53`0897cb:39j>63=ebg97d4<5;ohj7=n2:?1aa6=;h801?kk1;1b6>;5mm81?l<4=3gg7?5f:279ii:53`0897cc=39j>63=ee497d4<5;oo;7=n2:?1aa?=;h801?kka;1b6>;5mmh1?l<4=2024?5f:278>63<20797d4<5:8::7=n2:?0641=;h801><>8;1b6>;4:831?l<4=202e?5f:278>63<20197d4<5;ln<7:=8:?04a`=;h801>>ja;1b6>;48l?1?l<4=232b?5f:278=?>53`089675139j>63<13c97d4<5:;9n7=n2:?057e=;h801>?=d;1b6>;49;o1?l<4=231b?5f:278=>>53`089675939j>63<13097d4<5:;9?7=n2:?0572=;h801>?=5;1b6>;49;<1?l<4=2313?5f:278=?653`08966d?39j>63<0b:97d4<5::o?7=n2:?04a>=;h801>>k9;1b6>;48mk1?l<4=22gf?5f:27863<0eg97d4<5::h57=n2:?04fg=;h801>>lb;1b6>;48ji1?l<4=22``?5f:27863<0e297d4<5::o=7=n2:?04a4=;h801>>k4;1b6>;48m?1?l<4=22g2?5f:27863<19097d4<5:;3n7=n2:?05=e=;h801>?7d;1b6>;491o1?l<4=23;b?5f:278=4>53`08967>939j>63<18097d4<5:;3?7=n2:?05=2=;h801>?75;1b6>;491<1?l<4=23;3?5f:278=5653`08967?139j>63<19c97d4<5;lo57=n2:?1ba>=;h801?hkf;1b6>;5nmo1?l<4=3dg`?5f:279jim53`0897`cj39j>63=fec97d4<5;iij7=n2:?1`c`=;h801?k?8;1b6>;5m931?l<4=3g3e?5f:279i=l53`0897c7k39j>63=e1f97d4<5;o;i7=n2:?1a5`=;h801?k?0;1b6>;5m9;1?l<4=3g36?5f:279i==53`0897c7<39j>63=e1797d4<5;o;:7=n2:?1a51=;h801?k=c;1b6>;5m;n1?l<4=3g04?5f:279i>?53`0897c4:39j>63=e2197d4<5;o887=n2:?1a63=;h801?k<6;1b6>;5m:=1?l<4=3g1a?5f:279i?h53`0897b2>39j>63=d4597d4<5;n>h7=n2:?1`0c=;h801?j:f;1b6>;5l?:1?l<4=3f55?5f:279h;<53`0897b1;39j>63=d7697d4<5;n>47=n2:?1`0?=;h801?j:a;1b6>;5l?39j>63=d8:97d4<5;n2h7=n2:?1`;5lh:1?l<4=3fb5?5f:279hl<53`0897bf;39j>63=d`697d4<5;n257=n2:?1`;5l0i1?l<4=3`1a?5f:279n?h53`0897d4i39j>63=b2g97d4<5;h8j7=n2:?1f16=;h801?l;1;1b6>;5j=81?l<4=3`77?5f:279n9:53`0897d4839j>63=b2397d4<5;h8>7=n2:?1f65=;h801?l<4;1b6>;5j:?1?l<4=3`02?5f:279n>953`0897d4039j>63=b2;97d4<5;h8n7=n2:?1f6e=;h801?l;5m53`0897c1939j>63=e7097d4<5;o=?7=n2:?1a32=;h801?k95;1b6>;5m?<1?l<4=3g53?5f:279i8k53`0897c2n39j>63=c2c907><5;n857=n2:?1`14=;h801?j;5l>=1?l<4=3f463=d9197d4<5;n387=n2:?1`=3=;h801?j76;1b6>;5l1=1?l<4=3f;63=d6`97d4<5;n;5l>l1?l<4=3f;4?5f:279h??53`0897b5:39j>63=d3f97d4<5;n8>7=n2:?1`65=;h801?j<4;1b6>;5l:?1?l<4=3f02?5f:279h>953`0897b4039j>63=d3197d4<5;n987=n2:?1`73=;h801?j=6;1b6>;5l;=1?l<4=3f163=d3`97d4<5;n9o7=n2:?1`7c=;h801?j=f;1b6>;5l::1?l<4=3f05?5f:279hi753`0897bci39j>63=dd197d4<5;nn87=n2:?1``3=;h801?jj6;1b6>;5ll=1?l<4=3ff63=de`97d4<5;noo7=n2:?1`ab=;h801?jke;1b6>;5lml1?l<4=3ff4?5f:279hh?53`0897bb:39j>63=93397d4<5;k==7=n2:?1e3g=;h801?o9b;1b6>;5i?i1?l<4=3c5`?5f:279m;k53`0897g1n39j>63=a6297d4<5;k<=7=n2:?1e34=;h801?o93;1b6>;5i?>1?l<4=3c51?5f:279m;853`0897g1?39j>63=a7:97d4<5;k=57=n2:?1e=c=;h801?o7f;1b6>;5i081?l<4=3c:7?5f:279m4:53`0897g>=39j>63=a8497d4<5;k2;7=n2:?1e<>=;h801?o69;1b6>;5i0:1?l<4=3c:5?5f:2795o653`0897?e139j>63=9cd97d4<5;3h<7=n2:?1=f7=;h801?7l2;1b6>;51j91?l<4=3;`0?5f:2795n;53`0897?d>39j>63=9cc97d4<5;3in7=n2:?1=ge=;h801?7md;1b6>;51ko1?l<4=3c4e?5f:2795k753`0897?ai39j>63=9gd97d4<5;k;<7=n2:?1e57=;h801?o?2;1b6>;5i991?l<4=3c30?5f:279m=;53`0897g7>39j>63=9g`97d4<5;3mo7=n2:?1=cb=;h801?7ie;1b6>;5?0:1?l<4=35:5?5f:279;4m53`08971f839j>63=7`397d4<5;=j>7=n2:?13d5=;h801?9n4;1b6>;5?h?1?l<4=35b2?5f:279;4<53`08971>;39j>63=78697d4<5;=297=n2:?13<0=;h801?967;1b6>;5?021?l<4=35:=?5f:279;4o53`08971>j39j>63=78f97d4<5;=2i7=n2:?13<`=;h801?ome;1b6>;5ikl1?l<4=3c`6?5f:279mn=53`0897gd<39j>63=ab797d4<5;kh:7=n2:?1ef1=;h801?ol8;1b6>;5ij31?l<4=3c`4?5f:279mn?53`0897>>k3>9463=98`97d4<5;3j87=n2:?1=d6=;h801?7k9;1b6>;51mk1?l<4=3;f7?5f:2795h:53`0897?b=39j>63=9d497d4<5;3n;7=n2:?1=`>=;h801?7j9;1b6>;51lk1?l<4=3;gf?5f:2795im53`0897?cl39j>63=9eg97d4<5;3oj7=n2:?1=`6=;h801?7j1;1b6>;51l81?l<4=3;;7?5f:27955:53`0897??n39j>63=98697d4<5;3297=n2:?1=<0=;h801?767;1b6>;51021?l<4=3;:=?5f:27954o53`0897??=39j>63=99497d4<5;33;7=n2:?1==>=;h801?779;1b6>;511k1?l<4=3;;f?5f:27955m53`0897??l39j>63=99g97d4<5;32<7=n2:?1=<7=;h801?762;1b6>;51091?l<4=3c0f?5f:279m>m53`0897g3=39j>63=a5497d4<5;k?;7=n2:?1e1>=;h801?o;9;1b6>;5i=k1?l<4=3c7f?5f:279m9m53`0897g4l39j>63=a2g97d4<5;k8j7=n2:?1e16=;h801?o;1;1b6>;5i=81?l<4=3c77?5f:279m9:53`089671839j>63=dc:97d4<5;k:m7=n2:?04db=;h801>?n5;1b6>;5l9=1?l<4=3fe`?5f:2795;753`0897g2n39j>63=73697d4<5;=997=n2:?1370=;h801?9=7;1b6>;5?;21?l<4=351=?5f:279;?o53`089715j39j>6s|1545>5<6i1q6=ik=:0201>;6lki1===:;<3g`=<68:?01<5:?2`ac=999>70?kdb82463<58noh7??349>5aba28:8963>dd29555234;oi<4>027894bem3;;?8521ea1>464=27:hoh51116?87ck:0:<>;4=0f`0?77;<16=imm:0201>;6lm:1===:;<3gga<68:?01<5:?2`a4=999>70?kd782463<58no87??349>5ab228:8963>de59555234;oh44>02789421>3;;?>521eg0>=1a34?8<;47819>166f212;70=le98;<1=:;jnn659i;<3f20=1a34;n;?477g9>5`1421=m70?j758;3c=:9l=>659i;<3f3303;k521edg>=1a34;n:;477g9>5a`b21=m70?j668;3c=:9mlm659i;<3f2=?:95e?87b>003;k521d22>=1a34;n:l477g9>5`6521=m70?j6c8;3c=:9l:8659i;<3f2f;:95e?87b8<03;k523b7e>=>6349h:847809>7f01212:70=l668;<4=:;j<3656>;<1`2<k034<523b4`>=>6349h:i47809>7f07212:70=l608;<4=:;j<9656>;<1`26l03;k521g54>=>7348;i;47819>0151212;70:;338;<5=:<=9?659i;<01=c?7m:9:3?8451m03;k523132>=>7348h:o47819>6=ec212;70=>638;<5=::mhj656?;<0b5f=1a34985=477g9>74e021=m70=>734;n8i47819>5`2b212;70?j4g8;<5=:9l?;656?;<3f14=>734;oio47819>5`23212;70?keb8;<5=:9l>>656?;<3gaa=>734;oik47819>5`2?212;70?kf18;<5=:9l>2656?;<3gb4=1a34?99:47819>00g521=m70<;0c8;3c=:<9om659i;<63b5=>734;?5<477g9>51?5212;70?;928;3c=:9=3?656?;<37=0=>734;?4l47819>51>e212;70?;8b8;<5=:9=2o656?;<3feg=>734;nm?47819>5`g7212;70?j9d8;<5=:9l3<656?;<3f=0=>734;n4i47819>5`>e212;70?j8`8;<5=:<m<:95e?87bk=034=5230a6>=>5348n=i47839>6d1a212970:>758;3c=:<8<3659i;<61a5=1a34;=o?47829>65d021=m70?i498;3c=:<:?8656>;<0164h03;k5243g;>=1a34;n5n47809>51ga21=m70?jc38;<6=::9km656=;<015`?:i:95e?873ik03;k5215cg>=1a348=h8477g9>65dc212;70?i4d8;<5=:;9o?656?;<13a`i:>:9:3?84>i:034=522e65>=>73482m547819>75c?21=m70=?e88;3c=:=::3659i;<7047=;:9:3?856=o03;k522e`4>=1a348j=4477g9>01e3212;70:;cc8;<5=:<=ih656?;<67fa><9:9:3?844;<034=522217>=>73488>:47819>01db212;70<<298;<5=:<=hm656?;<006<=>73488j447819>01e6212;70<<2c8;<5=:<=i9656?;<006f=>73488>h47819>01e1212;70<<2g8;<5=:<=i<656?;<0075=>73488?>47819>01e>212;70<<338;<5=:<<2m656?;<00b==>73488jl47819>5ae6212870?kc78;<6=:9mi3656<;<3ggd034<524443>=>53488o447839>5abe21=m70656=;<13e=i>=:95e?84>>=03;k522g7b>=>6348m9n47809>6c3b212:70;<0af`om?:9:2?84d<103;k52293f>=>63483>=47809>6=45212:70<7a`8;3c=::olh659i;<0ebgkhn:95e?84an103;k522gd4>=1a348mj;477g9>6c`221=m70kh>:95e?84an903;k522gge>=1a348mii477g9>756421=m70=?038;3c=:;9::659i;<1345khi:95e?84anl03;k522gdg>=1a348mj4477g9>6f3121=m70n;>:95e?84d=903;k522b6e>=1a348h8h477g9>6f2c21=m70i659i;<0`0dn:6:95e?84d<>03;k522b7g>=1a348h9n477g9>6f3e21=m70n;8:95e?84d=:03;k5229`;>=1a3483n:477g9>6=d121=m70<7b58;3c=::1h8659i;<0;f75l>:95e?84?j903;k5229ce>=1a3483mh477g9>6=gc21=m70<7ab8;3c=::1ki659i;<0;e<5li:95e?84?jl03;k5229`g>=1a3483nn477g9>6=de21=m70<7b`8;3c=::1h2659i;<0;f0=>7348j;i47819>16>521=m70::548;3c=:::hn659i;<0ef1n?9:9:1?84??1034?522g`g>=>4348h=k47829>6=>6212870kli:9:0?84d:8034>5229:0>=>4348mo=47829>6f45212870<7858;<6=::oi:656<;<0`6656::9:0?84ak;034>522b07>=>434834;47829>6ce4212870n<9:9:0?84?01034>522ga6>=>4348h>:47829>6=>>212870kl9:9:0?84d91034>52295b>=>4348mn:47829>6f7>212870<77c8;<6=::oh3656<;<0`5d59l:9:0?84aj0034>522b3a>=>43483;i47829>6cdf212870n?k:9:0?84??o034>522g``>=>4348h=h47829>6=>7212870<=cb8;3c=:9ji:656?;<6726=>734898947819>75gb21=m70=>734>omk47819>0fg3212;70:la98;<5=:9mo?659i;<3ga0=>634>::>47819>041f212;70:=de8;<6=z{8hno7>52z?2bfd=<1=0152dy>5a3521=m70?k328761=:9m=969<;;<3g1ak03;k521e4`>=1a34;o:i477g9>5a0b21=m70?k6g8;3c=:9m=;659i;<3g34=1a34;o9;477g9>5a3021=m70?k598;3c=:9m?2659i;<3g1d=1a34;o9k477g9>5a0721=m70?k608;3c=:9m<9659i;<3g26<03;k521e45>=1a34;o::477g9>5a0>21=m70?k6`8;3c=:9m3i656?;<67=3=>634;oo547809>5aef212:70?kcg8;<4=:9mni656=;<6726=4}r1efg<728n>w0=l6d8761=::?8=6<><5:?1271=999>70<92982463<5;<957??349>634f28:8963=63`95552348=>n4>02789706=3;;?8522735>464=279:<951116?841910:<>;4=342=?77;<16>;?n:0201>;5>8h1===:;<055f<68:?01?8?5;3370=::?:=6<><5:?1251=999>70<90982463<5;<;57??349>636f28:8963=61`95552348=0278970?l3;;?85227:f>464=279:5h51116?841190:<>;4=34:5?77;<16>;7=:0201>;5>091===:;<05=1<68:?01?8:d;3370=::??n6<><5:?120`=999>70<96182463<5;<==7??349>630528:8963=67195552348=:94>0278970>l3;;?85227;f>464=279:4h51116?841i90:<>;4=34b5?77;<16>;o=:0201>;5>h91===:;<05e1<68:?01?8m5;3370=::?h=6<><5:?12g1=999>70<9b982463<5;63df28:8963=6c`95552348=nn4>02789700l3;;?852275f>464=279::h51116?841090:<>;4=34;5?77;<16>;6=:0201>;5>191===:;<05<1<68:?01?89d;3370=::?<5:?123`=999>70<97182463<5;<<=7??349>631528:8963=66195552348=;94>0278970d=3;;?85227a5>464=279:n951116?841k10:<>;4=34`=?77;<16>;mn:0201>;5>jh1===:;<05gf<68:?01?;?e;3370=::<:m6<><5:?1146=999>70<:1082463<5;?hh7??349>60eb28:8963=5bd95552348>h=4>0278973c93;;?85224f1>464=2799i=51116?842l=0:<>;4=34b=?77;<16>;on:0201>;5>hh1===:;<05ef<68:?01?;k9;3370=::<5:?11ad=999>70<:db82463<5;632528:8963=65195552348=894>0278967f?3;;?85230`3>464=278=o?51116?856j;0:<>;4=23a7?77;<16?;49k?1===:;<12f3<68:?01>?m7;3370=:;8k36<><5:?05d?=999>70=>a`82463<5:;jn7??349>74gd28:8963<1`f95552349:mh4>0278967fn3;;?85231df>464=278;4=2332?77;<16?<>8:0201>;49921===:;<124<<68:?01>??a;3370=:;8:i6<><5:?055e=999>70=>0182463<5:;;=7??349>746528:8963<11195552349:<94>02789674n3;;?8523063>464=278=9;51116?856;4=2373?77;<16?<:7:0201>;49=31===:;<120d<68:?01>?;b;3370=:;8>h6<><5:?0517=999>70=>4382463<5:;??7??349>742328:8963<0ed9020<5::nm7:86:?04`3=<><01?jif;3370=::l:36<><5:?1a5?=999>706`6d28:8963=e1f95552348n

    027897c7n3;;?8522d23>464=279i=?51116?84b8;0:<>;4=3g37?77;<16>h>;:0201>;5m9?1===:;<0f43<68:?01?k?7;3370=::m?=6<><5:?1`01=999>70i7??349>6a3a28:8963=d7295552348o:<4>027897b1:3;;?8522e40>464=279h;:51116?84c=10:<>;4=3f6=?77;<16>i;n:0201>;5l<5:?1`706ag728:8963=d`395552348om?4>027897bf;3;;?8522ec7>464=279h4751116?84c1h0:<>;4=3f:f?77;<16>i7l:0201>;5l:318:84=3f76?20>279h>k5464897g193;;?8522`4b>464=279m;l51116?84f>j0:<>;4=3c5`?77;<16>l8j:0201>;5i?l1===:;<0b35<68:?01?o81;3370=::h<96<><5:?1e35=999>706d0128:8963=a7595552348j:54>027897g113;;?85228`;>464=2795o751116?84>jo0:<>;4=3;`4?77;<16>4m>:0201>;51j81===:;<0:g6<68:?01?7l4;3370=::0i>6<><5:?1=f0=999>70<6b`82463<5;3in7??349>6027897?a13;;?85228db>464=2795kh51116?84f890:<>;4=3c35?77;<16>l>=:0201>;5i991===:;<0b41<68:?01?o?5;3370=::h:=6<><5:?1=cd=999>70<6fb82463<5;3mh7??349>6<`b28:8963=98`9020<5;3j87:86:?1=d6=<><01=l=:95e?87a<803;k524045>=1a34>;ih47859>5`g?212?70?;a68;3c=::oo2656?;<0eafkkn:9:3?84amk034=523130>=1a349;757321=m70=?078;3c=:;9:2659i;<134c=1a349;6c37212:70;<1357;:95e?8578>03;k523126>=1a348m:;47809>6c0f212:70;<0e27k87:9:2?84a>j034<522g4f>=>6348m9?47809>6c33212:708656?;<0`03n:;:9:3?84d<<034=522b4g>=1a348h:9477g9>6f0b21=m70n8n:95e?84d>103;k522b41>=1a348h::477g9>6gd5212:70;<0`2fn;j:95e?84d>803;k522b7e>=1a348io547809>6ged212:70;<0ag1omn:9:2?84ekl034<522cf3>=>6348in947809>6gd1212:70656?;<0;e=5o9:9:3?84?i>034=5229ae>=1a3483o;477g9>6=b721=m70<7c38;3c=::1i>659i;<0;gg5ml:95e?84?kh03;k5229a7>=1a3483o4477g9>6=73212:70<7108;<4=::1;i656>;<0;g`5m?:95e?84?k:03;k5229a2>=1a3483>l47809>6=4b212:70<7298;<4=::18?656>;<0;635=>63483=;47809>6=7?212:70<71`8;<4=:9j;<6<><5:?67=6=999>70;<5782432<58i:>7??349>5cce28:=863=14c9550334>>:<4>02789132n3;;:95245;e>464=27?8:o51116?852890:<>;4=26e0?77;<16>>mn:0201>;5;j21==8;;<004=<68:?01?n659i;<0ge3l>7:95e?825l?03495243g`>=1a348m9547809>6gdf212:70<71b8;<4=:<:i36<>94:?77fe=999>70:06b528:8963;3e69555234>8h;4>0278915c03;;?85242fb>464=27??im51116?824kh0:<>;4=52e5?77>=168=hl:0201>;399=1===:;<624d<68:?019??b;3370=:<8:h6<><5:?755b=999>70:>0d82463<5=;;j7??349>047728:8963;0g09555234>;j>4>0278916a<3;;?85241d6>464=27?0:<>;4=52e;38ok1===:;<63bg<68:?019>id;3370=:<9ln6<><5:?74c`=999>70:>0182463<5=;;=7??349>046528:8963;1119555234>:<94>02789177=3;;?8524025>464=27?==651116?826800:<>;4=2ff5?77;<168h:9:0201>;3m:31===:;<6f15<68:?019k<2;3370=:<659i;<1644<68:?01>;?2;3370=:;<:86<><5:?0152=999>70=:0482463<5:?;:7??349>71`228:8963<4g495552349?j:4>0278962a03;;?85235d:>464=2788ko51116?82b;h0:<>;4=5g07?77;<16=n?<:0201>;4:id;3370=:;mk36<><5:?0`d0=999>70=kb882463<5:ni;7??349>7ad228:8963027896bfn3;;?8523ecg>464=278hll51116?85ci00:<>;4=2fb7?77;<16?ili:0201>;4lkn1===:;<1e0<<68:?01>h<5:?2b22=01>01?>j3;:;0>;4kl<145:4=5`7f?>?<27?n9j58968yv7d:l0;69u217cg>=>334;ii?47859>5gea212?70?l2d82465k4?:2y]544a34;:8=47e59>544a2lnn7p}>c2394?2|5801;6k:;1===<;|q2561=839pR01{t9j9?6=4;{<35ec:9:7?87ekl0349521b17>464;2wx=<=7:180[76;116=<;7:9g7?876;10nhh5rs0a03?6=5896894db>323863>be19<=2<58i8;7??329~w47413:1?vP>12;89472j32n863>12;9aac53d6212?70?me38;<4=:9kim656>;<3`7d<68:90q~?>3`83>6}Y989j70?>5d8;a1=:989j6hjj;|q2g6b=83>p1<8m2;:;0>;6jl=145?4=0`g0?>?927:o>j51110?xu69:h1<7=t^030f>;69?;14h:4=030f?ccm2wx=n:?:187871j:0349521cg2>=>634;ioh47809>5f2728:8?6s|101`>5<4sW;:?n521047>=c334;:?n4jdd9~w4e3;3:18v3>6c69<=2<58hn:7671:?2fa5=01;0153z\256b<58;=;76j4:?256b=mmo0q~?l4783>1}:9?h>656;;<3aa7=4}r327`<72:qU=<=j;<322d?<27:nh95891894dc<323?63>c5;955543ty:=>h50;1xZ474n27:=;j58d689474n3ooi6s|1b6`>5<3s4;=mh47859>5gc6212870?mcd8;<6=:9j>h6<><3:p54572908wS?>319>542421o?70?>318f``=z{8i?j7>54z?22g1=01>01;6jm9145=4=0a7b?77;:1v;69:;1iik4}r3`17<72=q6=;l7:9:7?87em;034?521cae>=>534;h9?4>0218yv76;;0;6>uQ1011?876<003i9521011>`bb3ty:o8;50;6x940e1323863>bd59<=4<58ho87672:?2g03=99987p}>12194?5|V8;8?63>15a9<`2<58;8?7kke:p5f3?290?w0?9b`8;<1=:9ko:656=;<3ag`{t989?6=4<{_3271=:98>m65k;;<3271kh145:4=0`f2?>?:27:ni=5890894e2j3;;?>5rs0302?6=;rT:=>84=0366?>b<27:=>85eeg8yv7d=l0;69u217``>=>534;ih447859>5ge0212?70?l5d8246554172lnn7p}>c7394?2|5801;6k?;1===<;|q252>=839pR01{t9j464;2wx=<96:180[76?016=<76:9g7?876?00nhh5rs0a53?6=63>bea9<=5<58i=;7??329~w470i3:1?vP>16c8947>k32n863>16c9aac5gb>212:70?mc68;<4=:9?=n656=;<3`2d<68:90q~?>7c83>6}Y98=i70?>9g8;a1=:98=i6hjj;|q2g3b=83>p1;6>1:145<4=0`gg?>?927:o;j51110?xu69>i1<7=t^034g>;69h814h:4=034g?ccm2wx=n9?:18787el1034<521ca5>=>634;=4?47839>5f1728:8?6s|105g>5<4sW;:;i5210c6>=c334;:;i4jdd9~w4e0;3:18v3>bb:9<=7<58<387672:?2fae=0180153z\252c<58;j476j4:?252c=mmo0q~?l7783>1}:9kn2656<;<3ag2=4}r323c<72:qU=<9i;<32eg31<7:t=0``=?>?;27::565890894dcl323863>c6;955543ty:=5>50;1xZ47?827:=lk58d68947?83ooi6s|1b5`>5<3s4;ih547829>5ge1212870?98`8;<7=:9j=h6<><3:p54162908wS?>709>54>321o?70?>708f``=z{8i54z?2ff>=01901<881;:;6>;6jmn145=4=0a4b?77;:1v801;69>81iik4}r3`<7<72=q6=oj6:9:1?87ek>034?521750>=>534;h4?4>0218yv76?:0;6>uQ1050?8760h03i9521050>`bb3ty:o5;50;6x94dd1323>63>6679<=4<58hoh7671:?2g=3=99987p}>16694?5|V8;<863>19f9<`2<58;<87kke:p5f>?290?w0?md98;<7=:9ki=656=;<3532{t98=>6=4<{_3230=:983;65k;;<3230?:27:nij5890894e?j3;;?>5rs0343?6=;rT:=:94=03:7?>b<27:=:95eeg8yv7d0l0;69u217cg>=>434;ii=47859>5gec212?70?l8d8246554d62lnn7p}>c8394?2|5801;6k0;1===<;|q25g?=839pR01{t9j3?6=4;{<35ec464;2wx=5891894db<323863>be39<=2<58i2;7??329~w47ej3:1?vP>1c`8947cl32n863>1c`9aac53d6212870?me18;<4=:9kio656>;<3`=d<68:90q~?>bb83>6}Y98hh70?>e18;a1=:98hh6hjj;|q2gp1<8m2;:;7>;6jl?145?4=0`g6?>?927:o4j51110?xu69kn1<7=t^03a`>;69l914h:4=03a`?ccm2wx=no?:187871j:034>521cf5>=>634;io947809>5fg728:8?6s|10`f>5<4sW;:nh5210g5>=c334;:nh4jdd9~w4ef;3:18v3>6c69<=5<58hn87671:?2fa7=01;0153z\25g`<58;n576j4:?25g`=mmo0q~?la783>1}:9?h>656<;<3aa5=4}r32g5<72:qU=?;27:nh;5891894dc:323?63>c`;955543ty:=n?50;1xZ47d927:=hh58d68947d93ooi6s|1bc`>5<3s4;=mh47829>5gb1212870?mc58;<6=:9jkh6<><3:p54d52908wS?>b39>54e221o?70?>b38f``=z{8ijj7>54z?22g1=01901;6jm;145=4=0abb?77;:1v;69k91iik4}r3`f7<72=q6=;l7:9:0?87em9034?521cag>=>534;hn?4>0218yv76j=0;6>uQ10`7?876kk03i95210`7>`bb3ty:oo;50;6x940e1323?63>bd79<=4<58ho>7672:?2gg3=99987p}>1c794?5|V8;i963>1bg9<`2<58;i97kke:p5fd?290?w0?9b`8;<6=:9kn=656=;<3ag1{t98h=6=4<{_32f3=:98n:65k;;<32f3kh145=4=0`f0?>?:27:ni?5890894eej3;;?>5rs03ab<27:=o65eeg8yv5e=90;68u23c72>g0>34;m8?47829>5c26212870?i4b8;<7=:9o>n656>;|q0f00=83l:7;`5=>;3=<3145>4=5763?>?:27??8=58908915aj323>63:2c39<=77g3>2k<270?8c38;<4=:=;h:656?;<35g7l:a;292~;4j?927:i4o5890894c>k323?63>eb09<2`<5<8i=7673:p7g3d290>w0=m5e8a2<=::9h8656<;<03f7=lm:9:1?847jm034<5rs2`6a?6=63>4`:9<=2<5<8i=7672:p7g07290>w0=m608a2<=:;:h<656;;<10`<7::9:1?8541:034=5rs2`66?6=>r78n8=5b7;8975d:323<63=2629<=6<5;9h<7672:?1677=018018{t;k??6=4<{<1a10016>8><:95e?835io034>5rs41b2?6=;8q69>o9:0207>;2;:i14:h4=4102?>?827>??m58918976e1323<63=0c`9<=6<58l?m7670:?2b1e=01:019;:9;:;5>;6?j8145<4=2d`5?>?827:in>5890894c>i323<63>4c29<=6<58>j4768f:?07g1=01:01>=k9;:;5>;4;0?145>4=31`6?>?9279>:>589189737;323=63=6g59<=4<5;l01?9?6;:4b>;5?9214:h4=353e?>0n279;=m586d89717m32768f:?1356=01801?8if;:;5>;3=<=14:h4=31`4?>0n27>?>6589389054m323<63>6b09<=7<5;:i;7672:?2b1>=018019=:3;:;7>;5:;;145=4=0g:g?>?827:in<58938976el323>63>f5g9<=4<5=82m7671:?77cd=01:019{t=:k>6=4=bz?67d3=999870?lc48;<1=:=:9h656=;<7073=>5348;no47809>5c2521=m70?i408;<7=:9o>h656>;<661<=1a34;?n=47809>51g?212:70=7<:9:2?844k;034?522353>=>5348><>47819>17gc212;70<:098;3c=:<;<00g5=7:9:1?834;l034?5217a1>=1a34>89>47819>6746212;70;=ag8;3c=:9l3h656=;<3fg7=lk:95e?87a=1a34>95l47819>06`e21=m70=ic18;3c=:<;n?659i;|q6057=833p18:=2;3376=:9>nh6968;<3a31<30>16=o76:5:4?87ejl0?4:521c7e>1>034;m5n477g9>646e21=m70?74587<2=z{<>;<7>59z?6077=999870?8dc87<2=:9k=86968;<3a==<30>16=olk:5:4?87e=l0?4:521g;a>=1a348:5=242=2<7p}:3gg94??|5<>:j7??329>52b>2=2<70?m7087<2=:9k3<6968;<3aff<30>16=o;k:5:4?87a1103;k522024>=1a34;38<4;869~w05al3:15v3:40g9555434;5g172=2<70?m9787<2=:9khi6968;<3a1f<30>16=k78:95e?8468?03;k521963>1>03ty>?km50;;x9026l3;;?>5216f4>1>034;i:k4;869>5g?32=2<70?mb`87<2=:9k?i6968;<3e=3<>::95e?87?;o0?4:5rs41ef?6=1r7>81>034;i5>4;869>5gd>2=2<70?m5`87<2=:9o3>659i;<0241m0?4:521c;1>1>034;in:4;869>5g3>2=2<70?i958;3c=::8:8659i;<3;7a<30>1v8=i9;29=~;2<8k1===<;<34`1<30>16=o8l:5:4?87e180?4:521c`5>1>034;i954;869>5c?421=m70<>038;3c=:919h6968;|q67c>=833p18:>9;3376=:9>n86968;<3a2g<30>16=o7?:5:4?87ej<0?4:521c75>1>034;m5?477g9>646621=m70?73c87<2=z{<9m;7>59z?604>=999870?8d387<2=:9k16=ol;:5:4?87e=<0?4:521g;2>=1a348:<=477g9>5=5f2=2<7p}:3g494??|5<>:;7??329>52b62=2<70?m6887<2=:9k2n6968;<3af6<30>16=o;;:5:4?87a1903;k5221de>=1a34;3?44;869~w05a=3:15v3:4049555434;5g0?2=2<70?m8e87<2=:9kh96968;<3a16<30>16=k6i:95e?847nl03;k52191;>1>03ty>?k=50;;x9026<3;;?>5216af>1>034;i:;4;869>5g>d2=2<70?mb087<2=:9k?96968;<3e=hn:95e?87?;?0?4:5rs41e6?6=1r7>8<=51110?870km0?4:521c46>1>034;i4o4;869>5gd72=2<70?m5087<2=:9okj659i;<025<:i:5:4?834?:0?4:5216a`>1>034;5g032=2<70?m8887<2=:9kkm6968;<3a15<30>16=5;6:5:4?87ai003;k52203;>=1a34;3?94;869~w05a83:1hv3:4039555434?88h4;869>16152=2<70?8cc87<2=:9>l;6968;<3a26<30>16=o67:5:4?87eil0?4:521c6e>1>034;3954;869>5cg?21=m70<>168;3c=:91986968;|q67``=83;=w0;;1182465<5<9<=7:77:?773e=<1=01<9la;6;3>;6?ll18594=0`56?2??27:n595495894dfk3>3;63>b5g90=1<5=;oo7:77:?76=g=<1=019<9a;6;3>;38k=145<4=300e?2??27:jl9586d89776>32e`:9<=4<58o287671:?202e=01901<:63;:;5>;60:818594=0:63?2??2wx9>kj:1822~;2<9l1===<;<7035<30>168>8m:5:4?870k00?4:5216gf>1>034;i:<4;869>5g>12=2<70?mac87<2=:9k>o6968;<62`g<30>168?66:5:4?825>00?4:5241`5>=>53489?44;869>5cg121=m70<>148;3c=:9lk<656?;<3f=71>034;39;4;869~w05bl3:1=;u2552f>464;27>?;h549589151i3>3;63>7b:90=1<58=nh7:77:?2f36=<1=01;6jhk18594=0`7f?2??27?=io54958914?03>3;63;27:90=1<5=:i97672:?166>=<1=01;598>14:h4=0gb1?>?827:i4>589389420i323863>4839<=7<5828<7:77:?2<03=<1=0q~;40|5<>;h7??329>160b2=2<70:<6887<2=:9>i<6968;<34af<30>16=o;8:5:4?87e0=0?4:521cc:>1>034;i8l4;869>04b>2=2<70:=8687<2=:<;<<6968;<63f1?=8:5:4?87ai=03;k522030>=1a34;nm>47819>5`>b212:70?;788;<1=:9=3;659i;<3;6c<30>16=5;;:5:4?xu2;lh1<7?9{<774f<68:9018=9d;6;3>;3;?218594=05`2?2??27:;hl5495894d3k3>3;63>b9190=1<58hj47:77:?2f1?=<1=019?k8;6;3>;3:1<18594=5052?2??27?3>3;63>f8;9<2`<5;;;4768f:?2ad7=01:01;6<>2145:4=06;b?>0n27:4?k5495894>2;3>3;6s|52gb>5<6>r7>8=l51110?834>j0?4:524244>1>034;52cf2=2<70?m3g87<2=:9k296968;<3ae2<30>16=o:7:5:4?826l>0?4:5243:6>1>034>9:84;869>05d5212970<=3487<2=:9o2n659i;<03ba=>334>;j=477g9>5=4c2=2<70?75387<2=z{<>;47>516y>114?28:8?63:36`90=1<5=9<87:77:?23c0=<1=01<9j9;6;3>;6jk218594=0`;5?2??27:nl85495894d3?3>3;63;1d690=1<5=82>7:77:?7624=<1=019>l0;:;6>;5:=818594=0db7?>0n279=<<586d894cfi323=63>e8:9<=6<58><:7674:?74``=01;01<:7d;:4b>;608:1822~;2<;=1===<;<703d<30>168>9<:5:4?870n<0?4:5216g;>1>034;imi4;869>5g>72=2<70?ma487<2=:9k>=6968;<62a6<30>168?7>:5:4?825?80?4:5241`e>=>534898<4;869>5cg521=m70<>108;3c=:9l3=656?;<3f=a1>034;39=4;869~w027>3:144u25505>464;27>?:754958976dl3>3;63>f4g90=1<5=9<>7:77:?71=2=<1=01<9i4;6;3>;6?l<18594=0`b6?2??27:n:k5495894df<3>3;63>b5790=1<5=;n>7:77:?76<6=<1=019<80;6;3>;38ko145<4=50eb?2??27??9:549589155l3>3;63;2ca9<=4<5:k;>7:77:?0e24=<1=01>o72;6;3>;4i0818594=2cb6?2??278mo<5495896gd:3>3;637:77:?0ec4=<1=01>o>2;6;3>;4i;818594=2c06?2??278m9<5495896g2:3>3;63880;6;3>;4>1:18594=24:4?2??278:l>54958960e83>3;63<6b290=1<5:8?0;6;3>;4>8:18594=2414?2??278:>>54958960383>3;63<64290=1<5:980;6;3>;4?1:18594=25:4?2??278;l>54958961e83>3;63<7b290=1<5:=o<7:77:?0346=<1=01>9j0;6;3>;4?9:18594=2514?2??278;>>54958961383>3;63<74290=1<5:=m<7:77:?0<36=<1=01>680;6;3>;401:18594=2::4?2??2784l>5495896>e83>3;63<8b290=1<5:2o<7:77:?0<`6=<1=01>6?0;6;3>;408:18594=2:14?2??2784>>5495896>383>3;63<84290=1<5:2m<7:77:?0=36=<1=01>780;6;3>;411:18594=2;:4?2??2785l>5495896?e83>3;63<9b290=1<5:3o<7:77:?0=`6=<1=01>7?0;6;3>;418:18594=2;14?2??2785>>5495896?383>3;63<94290=1<5;8?<7:77:?17`b=<1=01?:l6;6;3>;53;63=47690=1<5;?i87:77:?11f2=<1=01?;jc;6;3>;5=h>18594=37eg?2??279:8:549589704k3>3;63=63a90=1<5;<:o7:77:?125e=<1=01?864;6;3>;5>?>18594=34b0?2??279:om54958970?<3>3;63=66690=1<5;;598:14:h4=5352?>?<27:il658938942?>323<63>e869<=6<5=8o:7673:?2022=01>01<:7b;:4b>;60::18;f~;2<;?1===<;<703=<30>16>=m8:5:4?87a=10?4:524252>1>034>>4>4;869>5dgd2=2<70?nb`87<2=:9>l86968;<34a0<30>16=o7::5:4?87e?m0?4:521cc0>1>034;i894;869>04c62=2<70:=8g87<2=:<;168=lk:9:1?825nl0?4:524260>1>034>8>n4;869>067c2=2<70:=bc8;<7=:;h::6968;<1b34<30>16?l6>:5:4?85f180?4:523`c2>1>0349jn<4;869>7de62=2<70=nd087<2=:;ho:6968;<1bb4<30>16?l?>:5:4?85f:80?4:523`12>1>0349j8<4;869>7d362=2<70=n6087<2=:;16?;8i:5:4?851?o0?4:5237:e>1>0349=5k4;869>73ga2=2<70=9bg87<2=:;?im6968;<15`c<30>16?8hi:5:4?8518o0?4:52373e>1>0349=>k4;869>735a2=2<70=94g87<2=:;?om6968;<141c<30>16?:8i:5:4?850?o0?4:5236:e>1>0349<5k4;869>72ga2=2<70=8bg87<2=:;>im6968;<144c<30>16?:ji:5:4?851no0?4:52363e>1>0349<>k4;869>725a2=2<70=84g87<2=:;>om6968;<1;1c<30>16?58i:5:4?85??o0?4:5239:e>1>034935k4;869>7=ga2=2<70=7bg87<2=:;1im6968;<1;`c<30>16?:hi:5:4?85?8o0?4:52393e>1>03493>k4;869>7=5a2=2<70=74g87<2=:;1om6968;<1:1c<30>16?48i:5:4?85>?o0?4:5238:e>1>034925k4;869>716?5hi:5:4?85>8o0?4:52383e>1>03492>k4;869>7<5a2=2<70=64g87<2=::;9m6968;<00af<30>16>9m::5:4?843im0?4:5225g4>1>0348?;i4;869>61>c2=2<70<;6287<2=::16>8km:5:4?842i:0?4:5224da>1>0348=9>4;869>635e2=2<70<92c87<2=::?;i6968;<054g<30>16>;7<:5:4?841>:0?4:5227c0>1>0348=no4;869>63>42=2<70<97287<2=::?ii6968;<06`6<30>16=ko?:95e?8468o03;k5215:6>=>634;nm:47809>5`?5212;70?;728;<1=:9=2j659i;<3;1g<30>16=5:k:5:4?xu2<9>1<7lm{<7761<68:9018=87;6;3>;58j218594=0d6=?2??27??:>54958913?:3>3;63>8dg90=1<582=m7:77:?2e34=<1=01<782;6;3>;61o<18594=0c;2?2??27:m;k5495894g0i3>3;63>a8090=1<58k2i7:77:?2<=c=<1=01<686;6;3>;601818594=0:a6?2??27:44o5495894>f>3>3;63>8e490=1<582ii7:77:?2;60ok18594=0;2a?2??27:5=85495894?6:3>3;63>95090=1<5839m7:77:?2=60=<1=01<796;6;3>;61=o18594=0;6e?2??27:5:k5495894??i3>3;63>9`g90=1<5832:7:77:?2=d4=<1=01<7ma;6;3>;61j<18594=0;fe?2??27:5i<5495894?cm3>3;63>a1090=1<58k;i7:77:?2e64=<1=01a;6;3>;6i;<18594=0c62?2??27:m>k5495894g3i3>3;63>a``90=1<58ki57:77:?23c4=<1=01<9j4;6;3>;6j1k18594=0`4g?2??27:nl?5495894d3;3>3;63;1d290=1<5=83i7:77:?763c=<1=019;38ki145<4=50e`?2??27??9<549589155j3>3;63;30a90=1<5=8im7672:?0e56=<1=01>o80;6;3>;4i1:18594=2c:4?2??278ml>5495896ge83>3;63oi0;6;3>;4i8:18594=2c14?2??278m>>5495896g383>3;638:e;6;3>;4>?o18594=244a?2??278:5k54958960>m3>3;63<6`g90=1<5:8ke;6;3>;4=oo18594=243a?2??278:3;63<62g90=1<5:9:e;6;3>;4??o18594=254a?2??278;5k54958961>m3>3;63<7`g90=1<5:=ii7:77:?03fc=<1=01>9?e;6;3>;4?mo18594=24ea?2??278;3;63<72g90=1<5:=?i7:77:?03`c=<1=01>6:e;6;3>;40?o18594=2:4a?2??27845k5495896>>m3>3;63<8`g90=1<5:2ii7:77:?06ke;6;3>;4?oo18594=2:3a?2??27845m3>3;63<82g90=1<5:2?i7:77:?0<`c=<1=01>7:e;6;3>;41?o18594=2;4a?2??27855k5495896?>m3>3;63<9`g90=1<5:3ii7:77:?0=fc=<1=01>7ke;6;3>;40oo18594=2;3a?2??27853;63<92g90=1<5:3?i7:77:?166c=<1=01?=jb;6;3>;518594=36bg?2??2798h8549589720k3>3;63=49a90=1<5;>=>7:77:?11g4=<1=01?;l2;6;3>;5=lk18594=37b6?2??2799ko549589702:3>3;63=62c90=1<5;<9m7:77:?124g=<1=01?8?a;6;3>;5>0818594=3456?2??279:l<54958970ei3>3;63=69090=1<5;<<>7:77:?12fg=<1=01?;k2;6;3>;6n0l14:h4=333a?>0n27:85:5893894cf=323=63>e829<=6<58><>7674:?20=?=0>l01<6:a;6;3>;60=i18594}r7746<72klp18:=3;3376=:=:==6968;<03f`<30>16=k:i:5:4?824>o0?4:5244:2>1>034;3ii4;869>5=0>2=2<70?n6087<2=:90=:6968;<3:b0<30>16=l6::5:4?87f>m0?4:521`5:>1>034;j5<4;869>5d?c2=2<70?78e87<2=:91=>6968;<3;<4<30>16=5l>:5:4?87?100?4:5219c6>1>034;3h84;869>5=dc2=2<70?7c887<2=:91o:6968;<3;b<<30>16=4?k:5:4?87>8<0?4:521832>1>034;28<4;869>5<4>2=2<70?63487<2=:90<>6968;<3:0a<30>16=4;6:5:4?87>?m0?4:5218::>1>034;2mi4;869>516=4k6:5:4?87>l80?4:5218fg>1>034;j<<4;869>5d6c2=2<70?n3087<2=:9h;26968;<3b60<30>16=l;::5:4?87f;m0?4:521`6:>1>034;jml4;869>5dd?2=2<70?8e687<2=:9>o86968;<3a3c<30>16=o9m:5:4?87ei90?4:521c63>1>034>:hk4;869>07>c2=2<70:=6e87<2=:<;:h6968;<63fg1>034>8=o4;869>07d>212970=6fg87<2=:;h16?l6i:5:4?85f1o0?4:523`ce>1>0349jnk4;869>7dea2=2<70=ndg87<2=:;hom6968;<1b4c<30>16?l?i:5:4?85f:o0?4:523`1e>1>0349j8k4;869>7d3a2=2<70=:ee87<2=:;??o6968;<152a<30>16?;9k:5:4?8510m0?4:5237;g>1>0349=mi4;869>73dc2=2<70=9ce87<2=:;?no6968;<16ba<30>16?;>k:5:4?8519m0?4:52370g>1>0349=?i4;869>732c2=2<70=9ee87<2=:;>?o6968;<142a<30>16?:9k:5:4?8500m0?4:5236;g>1>034972dc2=2<70=8ce87<2=:;>:o6968;<14`a<30>16?;hk:5:4?8509m0?4:52360g>1>0349722c2=2<70=8ee87<2=:;1?o6968;<1;2a<30>16?59k:5:4?85?0m0?4:5239;g>1>03493mi4;869>7=dc2=2<70=7ce87<2=:;1no6968;<14ba<30>16?5>k:5:4?85?9m0?4:52390g>1>03493?i4;869>7=2c2=2<70=7ee87<2=:;0?o6968;<1:2a<30>16?49k:5:4?85>0m0?4:5238;g>1>03492mi4;869>716?4>k:5:4?85>9m0?4:52380g>1>03492?i4;869>7<2c2=2<70<=3e87<2=:::oj6968;<07g6<30>16>9om:5:4?843m<0?4:5225f;>1>0348?:h4;869>61`52=2<70<;fb87<2=::==i6968;<0716>98>:5:4?842j80?4:5224a2>1>0348>i44;869>60g62=2<70<:f887<2=::??:6968;<057<<30>16>;<6:5:4?841900?4:52272:>1>0348=5<4;869>63062=2<70<9a087<2=::?h26968;<05<4<30>16>;9>:5:4?841k00?4:5224f2>1>034;m5h477g9>646c21=m70?;828;<4=:9lk8656>;<3f<`:9:7?8730103;k52196e>1>034;38o4;869~w027:3:1o:u25503>464;27>?:;54958976d=3>3;63>f4490=1<5=9=i7:77:?71=6=<1=01<6jc;6;3>;60?218594=0c54?2??27:5:>5495894?a<3>3;63>a9690=1<58k=o7:77:?2e2>=<1=01;6i0i18594=0:;g?2??27:4::5495894>?83>3;63>8c290=1<582247:77:?2;60ki18594=0:`5495894>a03>3;63>90a90=1<583;87:77:?2=46=<1=01<7;0;6;3>;61;218594=0;00?2??27:5;:5495894?3k3>3;63>94:90=1<583=<1=01<7nc;6;3>;610>18594=0;b4?2??27:5o65495894?d<3>3;63>9d:90=1<583o<7:77:?2=ae=<1=01;6i9i18594=0c04?2??27:m<65495894g5<3>3;63>a4690=1<58k8o7:77:?2e1>=<1=01;6ik=18594=05ge?2??27:;h<5495894d0:3>3;63>b6c90=1<58h2j7:77:?2ff4=<1=019?ke;6;3>;3:1i18594=505g?2??27?>=954958916ei323>63;2g`90=1<5=9?<7:77:?777?=<1=019=>6;6;3>;3:k2145<4=2;ea?2??278m;k5495896g0m3>3;63ome;6;3>;4ijo18594=2cga?2??278mhk5495896g7m3>3;63o;e;6;3>;4i3;63<66a90=1<5:<3o7:77:?028nc;6;3>;4>ki18594=24`g?2??278:im54958963ak3>3;63<61a90=1<5:<:o7:77:?027e=<1=01>8;4>=i18594=24fg?2??278;8m549589611k3>3;63<76a90=1<5:=3o7:77:?039nc;6;3>;4?ki18594=25`g?2??278;=m54958961ck3>3;63<6ga90=1<5:=:o7:77:?037e=<1=01>9;4?=i18594=25fg?2??27848m5495896>1k3>3;63<86a90=1<5:23o7:77:?0<6nc;6;3>;40ki18594=2:`g?2??2784im54958961ak3>3;63<81a90=1<5:2:o7:77:?0<7e=<1=01>6;40=i18594=2:fg?2??27858m5495896?1k3>3;63<96a90=1<5:33o7:77:?0=7nc;6;3>;41ki18594=2;`g?2??2785im5495896>ak3>3;63<91a90=1<5:3:o7:77:?0=7e=<1=01>7;41=i18594=300g?2??279?h754958972>m3>3;63=4b090=1<5;>jm7:77:?10g0=<1=01?:j4;6;3>;53;63=4g`90=1<5;>;554958973b03>3;63=5`290=1<5;?m47:77:?1206=<1=01?8<8;6;3>;5>;218594=34283>3;63=67290=1<5;=<1=01?870;6;3>;5>>:18594=34`3;63=6`a90=1<5;?oo7:77:?1212=<1=01;599i14:h4=06;6?>?927:il?5893894c?k323<63>47d9<=2<58>3;768f:?2<14=<1=01<6;a;6;3>{t=:lm6=4l8z?6043=999870;<7587<2=::9i?6968;<3e10<30>168>8k:5:4?822?o0?4:5219ga>1>034;3::4;869>5d3a2=2<70?66g87<2=:90l86968;<3b<6<30>16=l8m:5:4?87f?>0?4:521`:e>1>034;j5o4;869>5=>e2=2<70?77287<2=:91=m6968;<3;ec<30>16=578:5:4?87?i:0?4:5219f0>1>034;3no4;869>5=e02=2<70?7dg87<2=:91l<6968;<3:5g<30>16=4><:5:4?87>8o0?4:52181e>1>034;2>:4;869>5<542=2<70?66287<2=:90>i6968;<3:12<30>16=49m:5:4?87>0>0?4:5218ca>1>034;25>4;869>516=4mi:5:4?87>lk0?4:5218de>1>034;j5d4a2=2<70?n1687<2=:9h886968;<3b16<30>16=l=m:5:4?87f<>0?4:521`c;>1>034;jn;4;869>52ea2=2<70?8e087<2=:9k<<6968;<3a3<<30>16=o7j:5:4?87ek80?4:5240fg>1>034>94o4;869>070e2=2<70:=0487<2=:<9h2656=;<61bd<30>168>=i:5:4?824:10?4:524237>1>034>9n:47839>5``22=2<70=6fe87<2=:;h16?l6k:5:4?85f1m0?4:523`cg>1>0349jni4;869>7dec2=2<70=nde87<2=:;hoo6968;<1b4a<30>16?l?k:5:4?85f:m0?4:523`1g>1>0349j8i4;869>7d3c2=2<70=:ec87<2=:;??i6968;<152g<30>16?;9m:5:4?8510k0?4:5237;a>1>0349=mo4;869>73de2=2<70=9cc87<2=:;?ni6968;<16bg<30>16?;>m:5:4?8519k0?4:52370a>1>0349=?o4;869>732e2=2<70=9ec87<2=:;>?i6968;<142g<30>16?:9m:5:4?8500k0?4:5236;a>1>034972de2=2<70=8cc87<2=:;>:i6968;<14`g<30>16?;hm:5:4?8509k0?4:52360a>1>0349722e2=2<70=8ec87<2=:;1?i6968;<1;2g<30>16?59m:5:4?85?0k0?4:5239;a>1>03493mo4;869>7=de2=2<70=7cc87<2=:;1ni6968;<14bg<30>16?5>m:5:4?85?9k0?4:52390a>1>03493?o4;869>7=2e2=2<70=7ec87<2=:;0?i6968;<1:2g<30>16?49m:5:4?85>0k0?4:5238;a>1>03492mo4;869>716?4>m:5:4?85>9k0?4:52380a>1>03492?o4;869>7<2e2=2<70<=3c87<2=:::o36968;<07=a<30>16>9m>:5:4?843i00?4:5225`6>1>0348?i>4;869>61ec2=2<70<;d787<2=::=16>9hn:5:4?843?00?4:5225::>1>0348?584;869>613a2=2<70<:ag87<2=::16>87i:5:4?842n>0?4:52276e>1>0348=?:4;869>63402=2<70<91687<2=::?:<6968;<0516>;;i:5:4?8411o0?4:5227`4>1>0348=;k4;869>630a2=2<70<9c687<2=::<;;6968;<06gc<30>16>;om:5:4?842lk0?4:522760>1>034;m5l477g9>646>21=m70?;808;<4=:9lkh656?;<3f=c1>034;3844;869~w05a<3:1o5u2552b>464;27>?;l54958976d;3>3;63>f4690=1<5=9=:7:77:?712c=<1=01<6ja;6;3>;60?<18594=0c6a?2??27:5;k5495894?a:3>3;63>a9090=1<58k=m7:77:?2e20=<1=01;6i0k18594=0:;e?2??27:4:<5495894>0m3>3;63>8`g90=1<5822:7:77:?2;60kk18594=0:`2?2??27:4ik5495894>a>3>3;63>90c90=1<583;>7:77:?2=5c=<1=01<7;61;<18594=0;06?2??27:5;<5495894?3i3>3;63>94490=1<583;610818594=0;:a?2??27:5o85495894?d:3>3;63>9d490=1<583hi7:77:?2=ag=<1=01<7ie;6;3>;6i9k18594=0c1a?2??27:m<85495894g5:3>3;63>a4090=1<58k8m7:77:?2e10=<1=01;6ik?18594=05`0?2??27:;h>5495894d4m3>3;63>b6:90=1<58h2h7:77:?2ff6=<1=019?k6;6;3>;3:1>18594=5050?2??27?=n:54958916e0323>63;2g;90=1<5=98i7:77:?76``=<1=019==7;6;3>;3:k<145<4=2;eg?2??278m;m5495896g0k3>3;63omc;6;3>;4iji18594=2cgg?2??278mhm5495896g7k3>3;63o;c;6;3>;4i3;63<66c90=1<5:<3m7:77:?028na;6;3>;4>kk18594=24`e?2??278:io54958963ai3>3;63<61c90=1<5:<:m7:77:?027g=<1=01>8;4>=k18594=24fe?2??278;8o549589611i3>3;63<76c90=1<5:=3m7:77:?039na;6;3>;4?kk18594=25`e?2??278;=o54958961ci3>3;63<6gc90=1<5:=:m7:77:?037g=<1=01>9;4?=k18594=25fe?2??27848o5495896>1i3>3;63<86c90=1<5:23m7:77:?0<6na;6;3>;40kk18594=2:`e?2??2784io54958961ai3>3;63<81c90=1<5:2:m7:77:?0<7g=<1=01>6;40=k18594=2:fe?2??27858o5495896?1i3>3;63<96c90=1<5:33m7:77:?0=7na;6;3>;41kk18594=2;`e?2??2785io5495896>ai3>3;63<91c90=1<5:3:m7:77:?0=7g=<1=01>7;41=k18594=3000?2??279?h954958972>k3>3;63=4b290=1<5;>j47:77:?10g2=<1=01?:j2;6;3>;53;63=4g;90=1<5;><47:77:?10=>=<1=01?:64;6;3>;5<3>3;63=58g90=1<5;?m:7:77:?121c=<1=01?8<6;6;3>;5>;<18594=3422?2??279:=854958970?m3>3;63=64g90=1<5;<2i7:77:?12g0=<1=01?88e;6;3>;5>?o18594=34`2?2??2799=h54958973dm3>3;63=6`c90=1<5;?om7:77:?1214=<1=018==b;:;4>;6n1n14:h4=32eg?>0n27:85>5893894cfi323<63>e8:9<=7<58>l01<6=c;6;3>;60=218594}r70a<<72jkp18:?9;3376=:=:168>8::5:4?822?m0?4:5219g:>1>034;3:84;869>5d3c2=2<70?66e87<2=:90l:6968;<3b<4<30>16=l86:5:4?87f?<0?4:521`:g>1>034;j544;869>5=>>2=2<70?77087<2=:91=o6968;<3;ea<30>16=57::5:4?87?i80?4:5219f2>1>034;3n44;869>5=e22=2<70?7de87<2=:91l>6968;<3:5<<30>16=4>>:5:4?87>8m0?4:52181g>1>034;2>84;869>5<562=2<70?66087<2=:90>26968;<3:10<30>16=496:5:4?87>0<0?4:5218c:>1>034;25<4;869>516=4mk:5:4?87>l00?4:5218dg>1>034;j<44;869>5d4c2=2<70?n1487<2=:9h8:6968;<3b14<30>16=l=6:5:4?87f<<0?4:521`c5>1>034;jn94;869>52da2=2<70?8de87<2=:9k9i6968;<3a30<30>16=o7n:5:4?87ejo0?4:5240f6>1>034>94>4;869>07042=2<70:=0787<2=:<9h:656=;<61b=<30>168>=k:5:4?824:?0?4:524236>1>034>9n847839>5``02=2<70=6fc87<2=:;h16?l6m:5:4?85f1k0?4:523`ca>1>0349jno4;869>7dee2=2<70=ndc87<2=:;hoi6968;<1b4g<30>16?l?m:5:4?85f:k0?4:523`1a>1>0349j8o4;869>7d3e2=2<70=:e887<2=:;??26968;<152<<30>16?;96:5:4?851000?4:5237;:>1>0349=m44;869>73d>2=2<70=9c887<2=:;?n26968;<16b<<30>16?;>6:5:4?851900?4:52370:>1>0349=?44;869>732>2=2<70=9e887<2=:;>?26968;<142<<30>16?:96:5:4?850000?4:5236;:>1>034972d>2=2<70=8c887<2=:;>:26968;<14`<<30>16?;h6:5:4?850900?4:52360:>1>0349722>2=2<70=8e887<2=:;1?26968;<1;2<<30>16?596:5:4?85?000?4:5239;:>1>03493m44;869>7=d>2=2<70=7c887<2=:;1n26968;<14b<<30>16?5>6:5:4?85?900?4:52390:>1>03493?44;869>7=2>2=2<70=7e887<2=:;0?26968;<1:2<<30>16?496:5:4?85>000?4:5238;:>1>03492m44;869>72=2<70=6c887<2=:;0n26968;<1;b<<30>16?4>6:5:4?85>900?4:52380:>1>03492?44;869>7<2>2=2<70<=3287<2=:::o=6968;<07=g<30>16>9li:5:4?843i>0?4:5225`0>1>0348?i<4;869>61ee2=2<70<;d587<2=::=16>9h7:5:4?843?>0?4:5225:4>1>0348?5>4;869>613c2=2<70<:ae87<2=::16>87k:5:4?842n<0?4:52276g>1>0348=?84;869>63422=2<70<91487<2=::?:>6968;<0516>;;k:5:4?8411m0?4:5227`6>1>0348=;i4;869>630c2=2<70<9c487<2=::<:n6968;<06ga<30>16>;o6:5:4?842l00?4:522762>1>034?8>i47819>5c>d21=m70=>334;?4h47809>07?f212?70?72887<2=:91>>6968;|q6746=8398w0;<1g82465<5:h>h7670:?0f0?=01;01>l:f;:;4>;4j<914:h4=0a`1?>?8278n895893896d2=32=768f:?6756=019018;5=9=14:h4=40a=?>?827>>k>58928904a:323<63:2d:9<=6<5<8m:7670:?66c2=01:018;2:m8145>4=40f4?>?827>>h?58928904cj323<63:2d19<=6<5<8n57670:?66`b=01:018;2:o314:h4=40f1?>0n27>>h8586d8904ai323<63:2c79<=7<5<8ih7671:?66g`=01;018;2:j?145?4=40`5?>?927>>n958938904d1323=63:2bg9<=7<5<8o<7671:?66fe=01;018;2:m<145?4=40eg?77>=169?l<:95e?835j=034=523c7a>=>6349mnk47839>7ce521297p}:31d94?56s4?8=h4>021896d2l32?7670:?2gf3=0>l01>l:7;:4b>;4j?;278n8?5890890578323<63:2`f9<=5<5;?;;7670:?66g?=0>l018;2:o814:h4=40f0n27>>k8586d8904a<32768f:?66`6=0>l018;2:mh14:h4=40f7?>0n27>>h7586d8904bl327671:?66c>=99l018;2:j914:h4=40`1?>0n27>>n?586d8904d?32l018;2:m>14:h4=40g2?>0n27>>kh58908904al327g3e212;70=ibg8;<4=z{<9;i7>58by>167c28:8?63:2``9<2`<5:h897670:?0f16=01:01>l;b;:;4>;4j=n145>4=2`7a?>?8278n9h5892896d4>32l;4j:h145>4=2`0g?>?8278n>j5892896d4m323<63l01>l;3;:;4>;4j=>145>4=2`71?>0n278n98586d896d3?32l01>l;a;:;4>;4j=i145>4=2`6`?>?:278n8h5890896d2;323>63>cb79<=4<5:h>;7673:?0f03=01:01>l91;:;5>;4j<;145?4=4134?>0n27>>lj589089737?323>63:2d59<2`<5<8m=768f:?66c5=0>l018;2:o=14:h4=40e1?>0n27>>om586d8904c;32l018;2:li14:h4=40g=?>?9278n?65892896d5=323<63:2`c9<=6<5:h8<7672:?0f7c=01801>l=c;:;6>;4j;k145<4=2`1=?>?:278nl01>oi3;:4b>;4j;l14:h4=2`1`?>0n278n?l586d896d5?32l01>l=2;:4b>;4j:>14:h4=2`06?>0n278n?8586d896d5;32l01>l?1;:4b>;4iol14:h4=2cea?>0n278mkj586d896gak32l01>oi8;:4b>;4io=14:h4=2`14?>0n278n0768904f?3;;:9523c3:>=1a349i=l477g9>17c2212;70;=e78;<7=:=;li659i;<71bd=1a34?9o9477g9>17e121=m70;=c38;3c=:=;i3659i;<71gd=1a34?9h8477g9>17b021=m70;=fg8;<5=:;k;?659i;<1a50:95e?85e9;03;k523c2f>=1a349i7g6e21=m70=m0b8;3c=:;k:3659i;<1a4<=1a34?9hk47819>17`b21=m70;=b58;3c=:;k?2656<;<1a1g?l:0207>;2:hh145>4=2`01?>0n278n9>586d896d3j32l01>l<6;:;4>;4j:=14:h4=2`00n278n>7586d896d4i32l01>l;4j:l14:h4=2`75?>0n278n9<5892896d3;32l;7;:;4>;4j=2145>4=2`7=?>?8278n<85892896d3i32h7671:?0f0`=01;01>l:3;:;5>;6kj?145?4=2`63?>?:278n8;5893896d193201?;?7;:;5>;2:l=145>4=40e5?>?827>>k=58928904bn323<63:2g59<=6<5<8m97670:?66ge=01:018;2:l8145>4=40f0?>?827>>ho58928904bm323<63:2da9<=6<5<8o5768f:?0f7>=0>l01>l=5;:4b>;2:hk14:h4=2`04?>?9278n?k5893896d5k323=63l01>l>c;:;4>;4j8h145>4=2`23?>?8278n=>5892896ga;323<63l=7;:;4>;4j;>145>4=2`07?>?8278n>?5892896d5:323<637670:?0f70=01:01>l=3;:;4>;4j;;145>4=2`32?>?8278n=;5892896d79323<63oic;:;4>;4ioh145>4=2cee?>?8278mk75892896ga0323<63;4j9914:h4=2`30?>0n278mk;586d896ga>32=99;<71bd=>534?9o>47839>17e2212970;=c08;<7=:=;i<656=;<71g<=>534?9h947839>17b1212970;=fg8;3c=:;k;86<>94:?0f46=997g6028:=863:2c59<2`<5<8i4768f:?66ac=01:018;2:on145?4=40a0?>?9278n875890896d2j323>637673:p166d2908w0;<1`82465<58ih;72}:=:;26<><3:?22f7=01;01<9=7;:4b>;6?j8145=4=0a`3?4c027:ono52e:8940dl323<6s|523;>5<0s4?8>;4>0218940d9327359<=7<58=h>768f:?2gf1=:m=01;6>jn14:h4}r7052<72::p18==5;3376=:;ol:659i;<1eb7=1a349mj;477g9>7ce421=m70=ic58;3c=:;oi2659i;<1egg=1a349mh<477g9>7cb521=m70=id58;3c=:;on=659i;<1e`==1a349mo<477g9>76d021=m70=659i;<1e`2=>6349mnk47819>17ga212970=m1`8;<5=:;oih656?;<1ega=>7349i7g6d212;70=m088;<5=:9ji<6?j9;<3`gd<5l?16?km?:9:3?85ak;034=5217a1>=>734>9h947819>17d7212;7p}:30494?>bs4?8>94>0218940d8323>63>6b:9<=7<58;6>m8145?4=04g4?>?;27::i:58938940c>323=63>6ec9<=6<58;6>l8145>4=04f4?>?927::h:58918940b>323=63>6dc9<=6<58;6>o8145>4=04e4?>?927::k:58918940a>323<63>6gc9<=6<58;6?98145>4=0534?>?;27:;=:589389415>323>63>73c9<=5<58=;:7672:?235g=01801<9?8;:;6>;6?9i145<4=053a?>?:27:;<<5890894168323>63>7069<=4<58=::7672:?234g=01801<9>8;:;6>;6?8i145<4=052a?>?:27:;?<5890894158323>63>7369<=4<5=:2>7670:?7530=019019?9e;:;5>;39>:145?4=50:a?>0n27?>l>589089153k32l019;4<8214:h4=21a2?>0n278?ko58908965ei32=me;:4b>;4<9:145?4=21`4?>0n2788=<58918965d:32=l6;:;4>;4<9214:h4=21`0n2788=o58908965di32=le;:;4>;4<8:145>4=21g4?>0n2788<<58908965c:323<63<4069<=5<5:9o87670:?0040=01801>=k6;:;5>;4<:214:h4=21g0n2788=j0;:4b>;4<;:145?4=21f6?>0n2788?<58918965b<32=j8;:;4>;4<;214:h4=21fe?>0n2788?o58908965bk32=i0;:;4>;4<::145>4=21e6?>0n2788><58908965a<323<63<4269<=5<5:9m:7670:?0060=01801>=i8;:;5>;3:m<14:h4=50b2?>?927?=:;5893891710323863;2d29<2`<5=9?i768f:?76`e=01:019?82;:;7>;39?k145=4=50f?927?>h<58928914>i32cb596`5<58ihm7{t=:;>6=4;6z?6775=999870?9c18;<4=:9?i3656?;<35g3=>734;=h=47809>53b3212;70?9d78;<5=:9?nj659i;<35`==1a34;=i=47819>53c3212;70?9e78;<7=:9?oj656<;<35a==>434;=j=47839>53`3212:70?9f78;<6=:9?lj656>;<35b=521621>=>634;<<=47809>526321=m70?8278;<4=:9>8j656>;<3443n:9:3?87081034<52162`>=>434;<5275212;70?8118;<4=:9>;?656<;<345352163`>=>634;<=h47819>5245212;70?8218;<6=:9>8?656>;<10f2j6:95e?841n>03;k5227f;>=1a348<<947839>6261212970<8098;<7=::>:j656=;<044f:>j:9:1?84099034?522631>=>5348<<=477g9>63`a21=m70:=9`8;<7=:9ji<6?k=;<3`gd<5m;168=7n:95e?825l=034?5rs4120?6=m=q69><=:0207>;6>1i1==8;;<3501<865;3321=:9?3=6<>94:?22<1=9953?f28:=863>69g9550334;=4k4>0768940>83;;:95217;2>461<27::4<51147?8711:0:<;:4=04:0?77>=16=;m?:9:3?871k103;k5217a5>=>734;=ol477g9>53ed212;70?9d38;3c=:9?n;656?;<35`1=>534;=hn47839>53bb212970?9e38;<7=:9?o;656=;<35a1=>734;=in47819>53cb212;70?9f38;3c=:9?l;656?;<35b1=>534;=jn47819>53`b212970?8038;3c=:9>:;656=;<3441=>734;<526?212;70?80b8;<5=:9>:n656?;<3457=>434;<=l477g9>527?212:70?81b8;<5=:9>;n656<;<3467=1a34;i5n47819>52e621=m70?m3e8;<6=:9k>9656=;<34`c=>63498n;47809>76`f212;70=hj:9:3?854jl034<523523>=>43498o=47819>716521=m70=9:9:3?854k?034>52352;>=>63498o547839>716f212870=;<10gfj:9:2?854kl034<523533>=1a3498h=47829>7175212:70==>63498h547809>717f212;70==>43498i?47819>714521=m70=52350;>=>63498il47839>714f212870=;<10a`=1a3498j?47829>7155212:70==1a34985947819>76?b21=m70=<998;<5=:;:k;659i;<10=do=:95e?8541j034<5232c7>=>73498m;47829>76gb212970=l=:9:0?854ij034>522573>=>5348?9?47839>615f212;70<;3d8;3c=::=>j656=;<070`9=l:95e?843=>4348?9947819>6127212:70<;478;<5=::=>9656>;<07018>>:95e?8428903;k5225de>=1a348?jh477g9>61`c21=m70<9f68;<4=::?l9656=;<05ad;j8:9:0?841m9034<5227g7>=>7348=hn47809>63bb212870<9d`8;<6=::?o9656>;<0446;k7:9:1?841mj034?5227d7>=>5348=j=47839>63c1212970<9ed8;<7=:9?2j659i;<35<==1a34;=4=477g9>531b21=m70?97b8;3c=:9?=2659i;<3532=1a34;=;=477g9>07b221=m70<;1g8;<5=::=8;659i;<075g9?l:95e?8408=034<522625>=>6348<<547809>626f212:70<80b8;<4=::>:n656>;<0455:?=:9:2?84089034<5227de>=>7349i<947809>7d`1212:70;=a88;<4=::=88656>;<076109i<521bab>7c634>;5l47809~w056;3:1oku25202>464;2793;63>6`f9<2`<58l01<8m8;:4b>;6>k314:h4=04ae?>0n27::ol586d8940ek323=63>6cf9<=7<58l01<8m0;:4b>;6>k;14:h4=04a6?>0n27::o=586d8940e<326c79<2`<58018=<6;:;0>;2;;h14:h4=410e?>?827::n?58928940dl323=63>7359<=6<582?;7672:?2f;6j:n145?4=0`76?>?827:;ih5893894d0?323=63>83`9<=5<5=:2m7670:?20g6=01901<:n8;:;6>;64=06b0?>?827:8l=58928942f:323<63>4`39<=6<58>j<7670:?20<`=01:01<:6e;:;4>;6<0n145>4=06:g?>?827:84l58928942>i323<63>48;9<=6<58>247670:?20<0=01:01<:67;:;4>;4<82145>4=21a2?>?8278?ko586d8965ei323<63<3ga9<2`<5:9io7670:?07cc=0>l01>=me;:;4>;4<9:145>4=21`4?>?:2788=<58908965d:323?63<4169<2`<5:9h87672:?0050=01801>=l6;:;5>;4<92145>4=21`?82788=o586d8965di323<63<41a9<=6<5:9ho7670:?005c=01:01>=le;:;7>;4<8:145?4=21g4?>?:2788<<586d8965c:32=k6;:;4>;4<:2145>4=21g?82788l01>=j0;:;4>;4<;:145>4=21f6?>?:2788?<58908965b<323?63<4369<2`<5:9n:7672:?0070=01801>=j8;:;5>;4<;2145>4=21fe?>?82788?o586d8965bk323<63<43a9<=6<5:9ni7670:?007c=01:01>=i0;:;7>;4<::145?4=21e6?>?:2788><586d8965a<32=i8;:;4>;4;k>145=4=21:0?>0n278?4k58918965>032=6c;:4b>;4;h>145=4=21b2?>0n278?lk58928965f032l01>=nc;:;4>;5<<:145>4=3666?>?;2798>o589389724m323=63=45c9<=7<5;>?i7670:?106e=01;01?:;c;:;5>;5<=2145?4=3660?>?:27989>589189723>32?87670:?1157=01:01?;?0;:;4>;54=36ea?>?82798kj58928970a?323<63=6g09<=7<5;;5>l>14:h4=34gg?>?8279:ik58908970ci32=01;01?8jc;:;4>;5>o>145>4=34e4?>0n279:h8586d8970bm323?63>69c9<=7<58<347671:?22=0=01;01<874;:;5>;6>18145?4=04;4?>?927:::k589389400k323=63>66;9<=7<58<<;7671:?2223=01;01<883;:;5>;6>>;145?4=0444?>?927?>i;589289726n323=63=4329<=7<5;>:n7671:?104e=01;01?9?5;:4b>;5?9=14:h4=353=?>0n279;=l586d89717l32l01?9?0;:;4>;5>ol145<4=410?827>?>k586d89725;323<63=4369<=7<5=8257671:?2gf1=:l:01{t=:;96=4>4gy>164728:8?63=0b`90=1<58l>o7:77:?22;6>h?145>4=04b2?>?827::l958928940f0323<63>6`;9<=6<58;6>0n145>4=04:a?>?827::4h58928940f8323<63>6`39<=6<587670:?22d5=01:01<8n4;:;4>;5<;?145>4=361e?>?82798?l589289724<323>63=4349<=6<5;>9o7670:?107b=01:01?:<5;:;6>;5<;=145>4=361a?>?82798?h589289724>323>63=43:9<=6<5;>8<7670:?1067=01:01?:<7;:;6>;5<;3145>4=3606?>?82798>=5892897240323=63:32a9<=7<5<98:7671:?677e=01;01<8l0;:4b>;6>j2145<4=04`2?>0n27::no58908940dk326e09<=4<58;6>mk145=4=04g0n27::im58938940cm326d09<=5<58;6>lk145<4=04f0n27::hm58908940bm326g09<=4<58;6>ok145<4=04e?827::km58908940am327109<=4<58=;<7670:?2352=01801<9=6;:4b>;6?;k14:h4=0532?>0n27:;=o58918941703271a9<=7<58=;i768f:?2344=01901<9>0;:4b>;6?8>145?4=0522?>0n27:;70a9<2`<58=:i768f:?2374=01;01<9=0;:;4>;6?;>14:h4=0:73?>?927:n4m58908941d9323<63>b2f9<2`<58h?>7673:?23a`=01:01;60;h145>4=2de5?>?8278jk<5892896`a;323<63hl3;:;4>;4nj>145>4=2d`=?>?8278jnl5892896`dm323<63hk2;:;4>;4nm>145>4=2dg2?>?8278ji65892896`cl323<63;39?o145>4=5344?>0n27?>4k58928914f8323<63;35a9<=6<5=8n:768f:?76`2=01:019;3:m8145<4=06a4?>0n27:8l65892896260323?63<3c49<=5<5:9mm7673:?07gg=01901>=ic;:;5>;4;ki145<4=21ea?>?;278?ok589189627832;>7671:?07f4=01;01>:?4;:;7>;4;j>145=4=2632?>0n278?n8586d896270323?63<3b:9<=5<5:>;m7671:?07fg=01;01>:?c;:;7>;4;ji145=4=263a?>0n278?nk586d896268323?63<3e29<=7<5:>:>7673:?07a4=01901>:>4;:;5>;4;m>14:h4=2622?>?;278?i85891896240323?63<3e:9<=5<5:>:m7673:?07ae=01901>:>c;:;5>;4;mo145<4=262a?>?;278?h>5891896258329>7671:?07`2=01;01>:=4;:;7>;4;l<145=4=2612?>0n278?h6586d896250323?63<3dc9<=5<5:>9m7671:?07`e=01;01>:=c;:;7>;4;lo145=4=261a?>0n278?k>586d896248323?63<3g09<=7<5:>8>7673:?07c2=01901>:<4;:;5>;4;o<14:h4=2602?>?;278?k658918965e<323>63<3869<=4<5:92i7671:?07<>=01;01>=n0;:;5>;4;0k145=4=21b6?>?:278?4m58908965f<323>63<3`49<=7<5:9ji7671:?07d>=01;01>=m0;:;4>;4;hk145<4=21a6?>?:278?lm5890897228323?63=4409<=7<5;>8m768f:?106c=01801?:;a;:4b>;5<=o145<4=360g?>?;27989m589289723032?<7670:?1010=01901?:;2;:4b>;5<=>145<4=34e?9279:km58928970am323<63=6gc9<2`<5;768f:?12`g=0>l01?8k7;:4b>;5>l:145>4=34f0?>?:279:im58908970cm32768f:?1355=0>l01?8j8;:;4>;5>li14:h4=34e0?>0n279:k>58928970b>323?63=6dg9<=7<5<8n;7672:?66c7=018018;2:ll145<4=40e3?>?:27>>k;58908904ek323>63:2e19<=4<5<8n>7672:?66`2=018018;2:lo145<4=40fg?>?:27::5l586d8940?1326959<2`<58<39768f:?22=5=0>l01<871;:4b>;6>>l14:h4=044`?>0n27:::o586d894000326649<2`<58<<8768f:?2224=0>l01<88b;:4b>;4nm?145>4=2dg3?>?:278jn85892896`d?32hj1;:4b>;4nl9145>4=2df0?>0n278jh85892896`b?32hjd;:4b>;4no:145>4=3615?>0n279863=7159<=4<5;=;57672:?135d=01801?9?d;:;6>;5?9l145<4=3525?>?:279;<=5890897178323?63=6gd9<=5<5<8om7670:?0f4c=01:019;3:h<145>4=410?<27>?>k589689170=323<63;17:9<=5<5=8n<7673:?771c=01:019;39>8145?4=535e?>?927?>h6589189725:3;;:9523c3b>=>3349mol4>0768904aj323<63;2d09<=5<5=8257672:?66g1=01;018;2:mo145=4=40gb?>?927>>kk5892894ed?38oj63>cbc96a`<5=;=>7671:?752?=01;0q~;<1083>4bcs4?8=o4>0218940>j326`f9<=6<58<2o768f:?22dc=01:01<8n5;:4b>;6>k=145>4=04b2?>0n27::o658928940f?326c;9<=6<58;6>kh145>4=04be?>0n27::om586d8940fj326cf9<2`<58;6>hl145>4=04:a?>0n27::o>58928940>n326c39<=6<58;6>k9145>4=04b6?>0n27::o:58928940f;326c79<=6<58;5<;k14:h4=361f?>0n2798>:589289725>329h768f:?1063=01:01?:=7;:4b>;5<;o14:h4=361b?>0n2798>85892897250328=768f:?1061=01:01?:=9;:;6>;5<:814:h4=3607?>0n2798>6586d89054k323<63:3249<2`<5<99o7670:?14g?=01;01?>m2;:;4>;58kh14:h4=32a1?>0n27:j9o5893894`39323<63>f5a9<2`<58l?8768f:?2b10=0>l01;3=<314:h4=51ef?>?927::n>58918940d0323?63>6b49<=4<58;6>m:145<4=04g0?>?;27::i858918940ci323=63>6e:9<=5<58;6>l:145=4=04f0?>0n27::h858918940bi323=63>6d:9<=5<58;6>o:145=4=04e0?>0n27::k858938940ai323?63>6g:9<2`<58;6?9:14:h4=0530?>?;27:;?8589189415i323>63>7149<=5<58=;m7671:?235>=01901<9?c;:4b>;6?9o145=4=0526?>?927:;<>589189416<327049<=7<58=:m7673:?234>=0>l01<9>c;:;7>;6?8o145?4=0516?>?;27:;?>586d89415<323?63>8559<=6<58h2o768f:?23f7=01;01;6j=814:h4=05gb?>?;27:n:95892894>5j323=637671:?0bc5=01;01>hi4;:;5>;4no?145?4=2de2?>?9278jn=5893896`d<323=63hlf;:;5>;4nm:145?4=2dg5?>?9278ji<5893896`c<323=63hke;:;5>;4nlo145?4=52:6?>?:27?=;8589289171m32l019=;c;:;5>;3:l<145>4=50f0?>0n27?>i958928914c:323<63>eb29<2`<58o2m7671:?20g5=01:01<:n8;:;7>;60n27:8l=586d8942f:324`39<2`<58>j<768f:?20<`=0>l01<:6e;:4b>;6<0n14:h4=06:g?>0n27:84l586d8942>i3248;9<2`<58>24768f:?20<0=0>l01<:67;:4b>;4<82145<4=21a2?>?:278?ko58938965ei323>63<3ga9<=4<5:9io7673:?07cc=01;01>=me;:;6>;4<9:145<4=21`4?>?92788=<58928965d:323>63<4169<=4<5:9h87671:?0050=01901>=l6;:;6>;4<92145<4=21`?92788=o58928965di323>63<41a9<=4<5:9ho7671:?005c=01901>=le;:;6>;4<8:145<4=21g4?>?82788<<58928965c:323>63<4069<=4<5:9o87673:?0040=01;01>=k6;:;6>;4<:2145<4=21g?:278863<40a9<=4<5:9oi7673:?004c=01;01>=j0;:;6>;4<;:145<4=21f6?>?92788?<58928965b<323>63<4369<=4<5:9n:7671:?0070=01901>=j8;:;6>;4<;2145<4=21fe?>?92788?o58928965bk323>63<43a9<=4<5:9ni7671:?007c=01901>=i0;:;6>;4<::145<4=21e6?>?82788><58928965a<323>63<4269<=4<5:9m:7673:?0060=01;01>=i8;:;6>;4;k>145?4=21:0?>?9278?4k58928965>0323?63<3`29<=6<5:92m7671:?07d4=01;01>=6c;:;4>;4;h>14:h4=21b2?>?8278?lk586d8965f0323<63<3c29<2`<5:9jm7671:?07g4=01:01>=nc;:4b>;5;j814:h4=3044?>?927988>586d89722:328i7670:?101g=01:01?:;e;:4b>;5<:i145>4=367g?>0n279896589289722<323=63=4529<2`<5;>?:7672:?1014=01801?:;4;:4b>;5>o2145>4=34eg?>0n279:kk586d8970ai323<63=6g09<=6<5;;5>l>145?4=34gg?>0n279:ik58938970ci323=63=6d09<=6<5;=;?7671:?12`>=0>l01?8jc;:;5>;5>o>145?4=34e4?>?9279:h858938970bm323<63:2d59<=5<5<8m=7673:?66c5=019018;2:o=145=4=40e1?>?;27>>om58918904c;323?63:2d09<=5<5<8n87673:?66`g=019018;2:li145=4=04;f?>?827::5o58928940?1323<63>69:9<=6<58<3;7670:?22=0=01:01<875;:;4>;6>1>145>4=04;7?>?827::5<58928940?9323<63>6929<=6<58<;6>>i145>4=044e?>?827:::75892894000323<63>6659<=6<58<<:7670:?2223=01:01<884;:;4>;6>>9145>4=0446?>?827:::?589289400j323<63>6629<=6<5:lo9768f:?0ba1=01;01>hl6;:4b>;4nj=145?4=2dge?>0n278jil5893896`b832hj6;:4b>;4nl=145?4=2df=?>0n278jho5893896`bk32l01?:=1;:;5>;5<8h14:h4=362`?>?9279;=;589289717?323<63=71;9<=6<5;=;n7670:?135b=01:01?9?f;:;4>;5?8;145>4=3527?>?8279;=?58928904ci32l<0;:4b>;4j;o14:h4=2`1g?>0n278n?o586d896d513201>l>7;:;0>;4j9:145:4=2ce7?>?<278n?h5890896d5l323>63l<3;:;6>;4j:;145<4=2`16?>?:278n>:5890896d4:323>63l?6;:;7>;4j9?145=4=2`35?>?;278mkh5891896gam323?63oia;:;7>;4io3145=4=2ce?;278mk95891896d58323?63;3:h<145=4=410?;27>?>k589389170=32;3;=o145?4=50fg?>?:279;3:l2145<4=0g:g?>0n27:8lh5893894cd:323<63=0cf9<=2<58l?i7674:?0f55=01:01>oi5;:;4>;2:h2145>4=3617?>0n2798?:586d896d61323=63;3:l8145?4=50:=?>?827>>oo58938904em323=63:2b29<=7<5<8h87671:?66f0=01;018;2:j2145?4=40`e?>?927>>nh58938904c9323=63:2bf9<=7<5<8o97671:?66a1=01;01>l>4;:;5>;4j8?145:4=2`25?>?9278n<<5896896d7m323=6301>l?8;:;5>;4j93145:4=40a2?77>=169?jk:0250>;2:on145>4=40a0?>?<27:on952eg894edi38oi6s|4b2a>5<4s4>h>i4>021894dai323=63;c3g9<2`h0f4e28:8?63>bg;9<=7<5=i=;7;mb:?7g7e=0>l0q~:l0883>1}:<3:?2fc>=01;019m97;7ae>;3k;k14:h4}r6`4=<72=q68n<9:0207>;6jo<145?4=5a53?3e127?o?9586d8yv2d8>0;69u24b07>464;27:o=o5893891e1?3?i463;c379<2`h<;4?:5y>0f4528:8?63>c1;9<=7<5=i=;7;m7:?7g75=0>l0q~:l0483>1}:<3:?2g5>=01;019m97;7a2>;3k;;14:h4}r6`41<72=q68n?j:0207>;6k9=145?4=5a53?3e=27?o464;27:o=85893891e1?3?i863;c0f9<2`h0f7f28:8?63>c179<=7<5=i=;7;nc:?7g4d=0>l0q~:l0083><}:<3:?7g36=0>l019l6f;:;4>;6k9>145?4=5a2=?>?827?nnj52ec891dd?38om63;bb696ag<5=hhm7i768f:?7f;3k8=145>4=0a`3?4f827:ono52`2891ddl38o463;bb596a><5=hh87g}:<3:?7g0e=0>l019l6d;:;4>;6jo=145?4=5a21?>?827:on9528g894edi382i63;bbf96a1<5=hh;7{t;3j0i145>4=0a36?>?927?o>j5892894ed?382h63>cbc96;3jjk1>i84}r6`57<72kq68n=n:0207>;3k<214:h4=5`:f?>?827:o=?5893891e4j323<63>cb596;3jj>1>h=4=5``e?4b;2wx8n?>:18a82d;10:<>=4=5a62?>0n27?nl;5892894e78323=63;c2;9<=6<58ih;7;3jj=1>h<4=5``0?4b:27?nno52d08yv2d990;6ou24b15>464;27?o8:586d891df<323<63>bgd9<=7<5=i8;7670:?2gf1=:h201;3jjn1>h?4=5``3?4b927?nn:52d3891ddi38n=6s|4cd3>5<1s4>ij84>021891d>1323863;b8:9<=6<58hmi7671:?2gf1=:h=01{t;3j02145=4=5`:3?>?827:nkj5893894ed?38j:63>cbc96d0iih4?:9y>0g`428:8?63;b8;9<=4<5=h247672:?7f<0=01;019l67;:;6>;6joi145?4=0a`3?4f=27:ono52`78yv2emm0;64u24cd1>464;27?n475893891d>0323=63;b849<=6<5=h2;7671:?7f<3=0>l01;6kj=1>l:4=0a`e?4f<2wx9>9k:1813~;2;>n1===<;<376c=1a34;?88477g9>512321=m70?;428;3c=:9=>9659i;<3704=1a34;??i477g9>515e21=m70?;3`8;3c=:9=92659i;<377==1a34;??9477g9>515421=m70?;338;3c=:9=9;659i;<370c=1a34;?8o477g9>512f21=m70?;468;3c=:9=9h659i;<3774=>534;h=947809>5fe6212:7p};bec94?3|5=hom7??329>5fe4212:70?l0c8;<6=:9j;?656?;<3`g48??5495891`e:3;;?>5rs5d;b?6=;r78i;m549589026n3>3;63;fc2955543ty?j5k50;1x96c1j3>3;63:40g90=1<5=ljj7??329~w1`?l3:1?v3:h7:77:?7bdc=99987p};f9a94?5|5:o=57:77:?604e=<1=019hnd;3376=z{=l3n7>53z?0a3>=<1=018:>b;6;3>;3nhi1===<;|q7b=g=839p1>k97;6;3>;2<8k18594=5dbf?77;:1v9h79;297~;4m?<18594=462=?2??27?jlo51110?xu3n121<7=t=2g51?2??27>8<65495891`f13;;?>5rs5d;3?6=;r78i;:549589026?3>3;63;f`:955543ty?j5850;1x96c1;3>3;63:40490=1<5=lj;7??329~w1`?<3:1?v3:87:77:?7bd3=99987p};f9194?5|5:o=<7:77:?6045=<1=019hn4;3376=z{=l3>7>53z?0a0`=<1=018:>2;6;3>;3nh91===<;|q7b=7=839p1>k:e;6;3>;2<8;18594=5db6?77;:1v9h70;297~;4ml1<7=t=2g6g?2??27>8=h5495891`f83;;?>5rs5d4a?6=;r78i8l549589027m3>3;63;f8d955543ty?j:j50;1x96c2i3>3;63:41f90=1<5=l2i7??329~w1`0k3:1?v3;o7:77:?7b47:77:?605d=<1=019h6c;3376=z{=l257>53z?0a23=<1=018:=8;6;3>;3nk31===<;|q7b<>=839p1>k84;6;3>;2<;=18594=5da918594=4612?2??27?jo951110?xu3n0<1<7=t=2g46?2??27>8?;5495891`e>3;;?>5rs5d:1?6=;r78i:?549589025<3>3;63;fc7955543ty?j4:50;1x96c083>3;63:43190=1<5=li87??329~w1`>;3:1?v39<7:77:?7bg7=99987p};f8294?5|5:o=>7:77:?6043=<1=019hn6;3376=z{=l397>53z?0a01=<1=018:?a;6;3>;3n0h1===<;|q7b2g=839p1>k:6;6;3>;2<9318594=5d:e?77;:1v>hn6;296~;4m;o18594=0`ee?d112wx?ko::18185b:m0?4:521cd:>g0>3ty8jl:50;0x96c5k3>3;63>bg:9f3?4?:3y>7`4f2=2<70?mf78a2<=z{:lj>7>52z?0a7?=<1=01{t;ok:6=4={<1f6=<30>16=n>6:c4:?xu4nh:1<71>034;h<:4m689~w6`>m3:1>v36o86;|q0bk=3;6;3>;6k9>1n;74}r1e=g<72;q6?h<=:5:4?87d8:0i:45rs2d:e?6=:r78i??5495894da?3h=56s|3gcf>5<5s49n?84;869>5f652k<27p}7}:;l986968;<3`4501v>hnb;296~;4m:818594=0`eb?d112wx?kon:18185b;80?4:521cdf>g0>3ty8jl750;0x96c483>3;63>bgf9f3?7`4a2=2<70?mfb8a2<=z{:lj;7>52z?0a7d=<1=01{t;oh86=4={<1f3a<30>16=n>i:c4:?xu4nk81<71>034;hv3?:278h=k5890894e7j3h=563w0=le98;<4=:;m:n656<;<3`55016?nl6:9:3?85dm?034?5rs0f3=?6=:r7:89?5b7;891ddl3?296s|1e2;>5<5s4;?8=4m689>0gec2<3?7p}>d1494?4|58>8i7l99:?7ffb==0:0q~?k0483>7}:9=9o6o86;<6aga<20<1v<:181873;h0i:4524cab>0b53ty:h=<50;0x942413h=563;bbc91<>515?2k<270:mc`86=2=z{8n;<7>52z?2061=j?3019lla;7:2>{t9jlm6=4={<37730168omn:4;6?xu6koo1<7g0>34>iol4:919~w4eaj3:1>v3>4209f3?<5=hhm7;75:p5f`f2909w0?;318a2<=:;3jj=19i<4}r3`b=<72;q6=9:j:c4:?82ek>0>555rs0ae3?6=:r7:89j5b7;891dd?3?2;6s|1bd5>5<5s4;?8n4m689>0ge02<3=7p}>cg794?4|58>?n7l99:?7ff1==0?0q~?lf583>7}:9=>j6o86;<6ag2<21=1v82wx=nh=:181873;j0i:4524ca4>0>23ty:h<>50;0x942313h=563;bb5912g512?2k<270:mc586`7=z{8n;i7>52z?2010=j?3019ll4;7:<>{t9m:o6=4={<37000168om;:4;4?xu6l9i1<7g0>34>io94:949~w4b7i3:1>v3>4509f3?<5=hh87;64:p5a602909w0?;3g8a2<=:;3jj>195;4}r3`b4<72;q6=9=>:c4:?82ek=0>;l5rs0ae4?6=9:q6=9524cc:>=1a34>ioi4>136891ddl3;:>8524cag>475>27?nnj5100;?82ek>0:=?:4=5``3?76:<168om8:0312>;3jj=1=<<7;<6ag1<69;>019ll4;3260=:249>0gef28;9:63;bbc9544?3ty8j:<50;1x96`>;3;;?>521562>=>734>2;847839~w6`093:1?v30<0221297p}515b212;70:m108;<7=z{:l=i7>53z?0b=`=999870?;3e8;<5=:656=;|q0b3b=839p1>h7e;3376=:9=9i656?;<6bb<h9c;297~;4n1n1===<;<377d=>53ty8j;750;1x96`?i3;;?>521514>=>734>jo447839~w6`103:1?v30ddb21297p}5152212;70:nb08;<7=z{:l=:7>53z?0b=1=999870?;358;<5=:h75;3376=:9=99656?;<6b<1h93;297~;4n1>1===<;<3775=>53ty8j;>50;1x96`?93;;?>52156g>=>734>j?l47839~w6`2n3:1?v30d4c21297p}512e212;70:n1e8;<1=z{:l>h7>53z?0b2c=999870?;4`8;<5=:h8d;3376=:9=><656?;<6b43h:b;297~;4n>i1===<;<377f31<7=t=2d:=?77;:16=9:6:9:3?82>n0034?5rs2d4=>53ty8j:950;1x96`>?3;;?>521565>=>734>2h>47839~w6`0>3:1?v3021297p}5123212;70:6a88;<7=z{:l<87>53z?0b<2=999870?;428;<5=:<03<656=;|q0b25=839p1>h61;3376=:9=>9656?;<6:<=h80;297~;4n1<1===<;<377c=>73ty?j8?50;0x91`1k3;;?>521cdb>=>73ty?j8>50;0x91`1j3;;?>521cd:>=>73ty?j9h50;0x91`1i3;;?>521cd;>=>73ty?j9k50;0x91`103;;?>521cd5>=>73ty?j9j50;0x91`1?3;;?>521b2b>=>73ty?j9m50;0x91`1>3;;?>521b2:>=>73ty?j9l50;0x91`1=3;;?>521b2;>=>73ty?j9o50;0x91`1<3;;?>521b24>=>73ty?j9750;0x91`1;3;;?>521b25>=>73ty?j9650;0x91`1:3;;?>521b26>=>73ty?j9950;0x91`193;;?>521b27>=>73ty?j9850;1x9056i3>3;63;f729555434;h<>47819~w1`3=3:1?v3:30;90=1<5=l>j7??329>5g`0212;7p};f4g94?5|5<99:7:77:?7b2?=999870?l038;<5=z{=l>h7>53z?6773=<1=019h88;3376=:9j::656?;|q7b0e=839p18==4;6;3>;3n>=1===<;<3`45???5495891`0<3;;?>521cdg>=>73ty?j8650;1x905583>3;63;f619555434;ijn47819~w1`2?3:1?v3:30`90=1<5=l=57??329>5g`e212;7p};fcf94?4|5=lh=7??329>5f6a212;7p};fca94?4|5=lh<7??329>5f6b212;7p};fc`94?4|5=lij7??329>5f6c212;7p};fcc94?4|5=lii7??329>5f6d212;7p};fb094?>|5<9j:7:77:?7bf4=999870;<0`8;3c=:?659i;<6eg1:9:3?82a<9034=523b`:>=>43ty:oh;50;0x94239323=63>cbc927g5127212:70?lc`856<=z{8in>7>52z?206c=01;01{t9jo:6=4={<377a?927:ono56548yv7dlo0;6?u2151b>=>634;hol49449~w4ecm3:1>v3>42;9<=7<58ihm78;4:p5fbc2909w0?;398;<4=:9jij6;:<;|q2gae=838p1<:<7;:;5>;6kjk1:9<4}r3``g<72;q6=9=9:9:2?87dkh0=8<5rs0age?6=:r7:8>;5893894edi35<5s4;??947809>5fef2?9h7p}>ce594?4|58>8>7671:?2gfg=>:;0q~?ld783>7}:9=9;656>;<3`gd<1:?1v2wx=nj;:1818733b23ty:oi=50;0x9423l323=63>cb5927e512d212:70?lc6856g=z{8io=7>52z?201d=01;01{t9jn;6=4={<370d?927:on9563:8yv7dkl0;6?u2151`>=>634;ho:49269~w4ebk3:1>v3>45;9<=7<58ih;78;6:p5fce2909w0?;498;<4=:9ji<6;::;|q2g`g=838p1<:;6;:;5>;6kj=1:9:4}r3`a<<72;q6=9:::9:2?87dk>0=8>5rs0af6s|1bg4>5<5s4;?8>47809>5fe02?>:7p}>cd494?4|58>?>7671:?2gf1=>=:0q~?le283>7}:9=9m656>;<3`g2<1;j1v3413ty8n5;50;0x96d3<323>63>cbc92=`7g24212970?lc`85<`=z{:h3>7>52z?0f17=01801{t;k2:6=4={<1a7c?:27:ono56``8yv5e?o0;6?u23c1g>=>534;hol49a`9~w6d0m3:1>v3l;6kjk1:l94}r1a3g<72;q6?o=6:9:1?87dkh0=m;5rs2`4e?6=:r78n>65890894edi35<5s49i?:47839>5fef2?k:7p}0<0q~=m7783>7}:=;h?656=;<3`gd<10k1v>l85;296~;2:ol145?4=0a`3?0b>2wx?o9;:181835nh0349521ba4>3c23ty8n:=50;0x96d3m323>63>cb592<77g2c212970?lc685=5=z{:h<=7>52z?0f1d=01801{t;k=;6=4={<1a05?:27:on9569f8yv5e>l0;6?u253g5>=>734;ho:498b9~w6d?k3:1>v3e2909w0=m4`8;<7=:9ji<6;on;|q0f=g=838p1>l;9;:;6>;6kj=1:l74}r1a<<<72;q6?o:7:9:1?87dk>0=m55rs2`;5<5s49i8;47839>5fe02?k=7p}h?0q~=m8283>7}:;k>9656=;<3`g2<1i81v>l88;296~;4j:<145<4=0a`3?0>>2wx?o8k:181835ik034?521ba4>3>e3ty:ook50;0x9425n323<63>ccg955543ty:ooh50;1x904fm323?63>ccd9555434;ho=477g9~w6d1;3:1>v3>f549f3?<5<8m57674:p7g052909w0?i458a2<=:=;o>656;;|q0f32=838p1>l>6;:;0>;6n=?1n;74}r3e25<72;q6=k8?:0207>;2:ho145?4}r3e=4}r03ea<72;q6=n?i:95f?847im0:<>=4}r66<0<72;q6=n?n:95f?8220<0:<>=4}r1ae7<72;q6=;96:c4:?85e8?034?5rs2`b5?6=:r7:::95b7;896d7=323>6s|3cc3>5<5s4;=;84m689>7g6621297p}7}:9?=:6o86;<1bb`l6d;296~;6>1k1n;74=2ce`?>?:2wx?o7l:181871010i:4523`d`>=>53ty8n4l50;0x940?>3h=56353>32k<270=nf`8;<7=z{:h257>52z?22=4=j?301>oi9;:;6>{t;k336=4={<35<5016?lh7:9:1?xu4j0=1<7g0>349i>=47839~w6d>=3:1>v3>6629f3?<5:h:j7672:p7g?32909w0?99`8a2<=:;k;o656>;|q0f<5=838p1<869;`5=>;2:o3145<4}r1a=7<72;q6=;77:c4:?85e9j034?5rs2`:5?6=:r7::495b7;896d6j323>6s|3c;3>5<5s4;=5;4m689>7g7021297p}7}:9?2o6o86;<1bb6l7d;296~;6>1i1n;74=40f1?>?:2wx?oo6:1818711=0i:4523c3:>=>43ty8nl650;0x96d6>323=63>6819f3?53?52k<270=m158;<6=z{:hj:7>52z?22<7=j?301>l>1;:;7>{t;kk>6=4={<35=5016?o>j:9:0?xu4jh>1<7g0>349i<547829~w4db03:1>v3=12c90=1<58hho7l99:p5gc>290?w0<=fg8;<4=:9knm6o86;<004`?ml:9:3?xu6?<<1<70m27:;8851110?xu6?<=1<70m27:;8951110?xu6?o=1<7=t=04b`?>?927:4?751110?876<90:=4=0361?77j;1v<6?d;297~;6>hl145?4=0:03?77;:16=<;7:02a6>{t91;36=4<{<35f5;69;60=l1===<;<321`<68k80q~?72583>6}:9?h9656>;<3;1d<68:90153z?22g5=01;01<6:b;3376=:98m2:p5=412908w0?9b58;<4=:91?h6<><3:?2531=99h97p}>83594?5|586`824g454?:2y>53d1212:70?75d82465<58;=h7??b39~w41a03:1?v3>6`g9<=7<5829h7??329>542428:i>6s|16d:>5<4s4;=n:47809>5=4b28:8?63>154955d53ty:;ko50;1x940e0323=63>83d9555434;:844>0c08yv70nk0;6>u217`:>=>634;3?=4>02189473k3;;n?5rs05eg?6=;r7::oo5893894>493;;?>52106e>46e:2wx=:hk:180871jk034<521911>464;27:=8<511`1?xu6?oo1<7=t=04ag?>?827:4>=51110?876080:=4=03:2?77j;1v<6?0;297~;6>>:145=4=0:01?77;:16=<76:02a6>{t91::6=4<{<353f;690i1==l=;|q2<55=839p1<88e;:;7>;60:21===<;<32=c<68k80q~?70583>6}:9?2;656<;<3;7<<68:90153z?22=4=01901<66<>m2:p5=612908w0?9858;<6=:919i6<><3:?25d>=99h97p}>81594?5|58<3:7673:?2<6e=999870?>ac824g453>?212870?73e82465<58;ji7??b39~w4>713:1?v3>69c9<=5<5828i7??329>54>328:i>6s|192b>5<4s4;=;<47829>5=5a28:8?63>195955d53ty:4=l50;1x9400;323?63>8529555434;:4l4>0c08yv7?8j0;6>u21756>=>434;38<4>0218947?l3;;n?5rs0:3a?6=;r7:::95891894>3;3;;?>5210;3>46e:2wx=5>i:180871?0034>521967>464;27:=4=511`1?xu608:1<7=t=04b`?>?:27:49;51110?876k;0:=4=03g3?77j;1v<6>2;297~;6>hl145<4=0:7=?77;:16={t91;86=4<{<35f5;69mn1==l=;|q2<42=839p1<8m1;:;6>;60=h1===<;<32a5<68k80q~?71483>6}:9?h9656=;<3;0f<68:90153z?22g5=01801<6;d;3376=:98o=6<>m2:p5=702908w0?9b58;<7=:91>n6<><3:?25`?=99h97p}>80;94?5|58eb824g453d1212970?75082465<58;nj7??b39~w4>6j3:1?v3>6`g9<=4<582>>7??329>54e228:i>6s|193`>5<4s4;=n:47839>5=3428:8?63>1b:955d53ty:463>8469555434;:oo4>0c08yv7?9l0;6>u217`:>=>534;3984>0218947dm3;;n?5rs0:2b?6=;r7::oo5890894>2>3;;?>5210f2>46e:2wx=5464;27:=i:511`1?xu4jj;1<7g0>349i<847809~w6dem3:1>v3;|q0fge=838p1>hk4;`5=>;4ioo145?4}r1afg<72;q6?kj=:c4:?85fnm034<5rs2`ae?6=:r78ji?5b7;896gak323=6s|3c`:>5<5s49mh=4m689>7d`e212:7p}7}:;oin6o86;<1bb<lm6;296~;4njh1n;74=2ce?92wx?ol::18185ak00i:4523`d4>=>63ty8no=50;0x96`d<3h=5637ce42k<270=m1g8;<4=z{:hi=7>52z?0bc0=j?3018{t;kh;6=4={<1eb0016?o?l:9:2?xu4jhl1<7g0>349i=:47809~w6dfl3:1>v3;|q0fdd=838p1>hk7;`5=>;2:l?145=4}r1ag7<72;q6?o?9:9:1?85aml0i:45rs2`ab?6=:r78jim5b7;896d7<323?6s|3c`7>5<5s49mo54m689>7d`121287p}c083>67|58<=h7673:?223>=01901<893;:;7>;6>?;27::8:589189403n323?63>65c9<=5<58;6>:<145=4=0405?>?;27::?m589189405?323?63>6309<=5<58<:h7673:?224>=01901<8>3;:;7>;6>9o145=4=043=?>?;27::=:58918943an323?63>5gc9<=5<58?m97673:?21c6=01901<;jb;:;7>;6=l<145=4=07f5?>?;27:9im58918943c?323?63>5e09<=5<58?hh7673:?21f>=01901<;l3;:;7>;6=ko145=4=07a=?>?;27:9o:58918943fn323?63>5`c9<=5<58?j97673:?21d6=01901<;6b;:;7>;6=0<145=4=07:5?>?;27:95m58918943??323?63>5909<=5<5=;h=7??329~w14bl3:1?=>634;=::47809>5305212:70?95e8;<4=:9??3656>;<3516=>634;=?k47809>535f212:70?9348;<4=:9?9;656>;<356g=>634;==:47809>5375212:70?90e8;<4=:9?:3656>;<3546=>634;>ik47809>50cf212:70?:e48;<4=:9;<36`g=>634;>o:47809>50e5212:70?:be8;<4=:9;<36f6=>634;>5k47809>50?f212:70?:948;<4=:9<3;656>;<36464;2wx8?>7:187834464;27>??h5890896`bk32386s|4234>5<3s4?88o4;869>067028:8?63:3229<=4<5:lnh7672:p5`b7290?w0?jf182465<58l857:77:?003b=01901{t9lim6=4;{<3fac<68:901;40n2wx=hmj:18787bml0:<>=4=0d03?2??2788;75891894c?j325<3s4;nii4>021894`4>3>3;63<4759<=5<58ojn768f:p5`ed290?w0?je782465<58l9j7:77:?0033=01901{t9li>6=4;{<3fa0<68:901;40n2wx=hh7:1805~;6mo31===<;<352f;034952177g>=>334;=9547859>5334212?70?94d8;<1=:9?>2656;;<3501=>334;=?=47859>534e212?70?9278;<1=:9?8:656;;<355f=>334;=<547859>5364212?70?:fd8;<1=:9=>334;>i=47859>50be212?70?:d78;<1=:9=>334;>n547859>50d4212?70?:ad8;<1=:9=>334;>5=47859>50>e212?70?:878;<1=:9<2:656;;|q0ff5=838p1?>m5;`5=>;2:m3145>4}r03gc<72;q6>=mi:0207>;2:ho14:h4}r03b<<72:;p1?>i9;3376=:9?=>534;=9947839>532a212970?94`8;<7=:9?>>656=;<3505=>534;=>n47839>5340212970?9238;<7=:9?;o656=;<355==>534;=<947839>50`a212970?:f`8;<7=:9656=;<36b5=>534;>hn47839>50b0212970?:d38;<7=:9=>534;>n947839>50ga212970?:a`8;<7=:9656=;<36e5=>534;>4n47839>50>0212970?:838;<7=z{;8:o7>530y>677d28:8?63>67a9<=5<58<=;7673:?2234=01901<8:d;:;7>;6><2145=4=0467?>?;27::9k5891894031323?63>6569<=5<58<8j7673:?226g=01901<8<5;:;7>;6>::145=4=041f?>?;27::?85891894059323?63>60a9<=5<58<:;7673:?2244=01901<8?d;:;7>;6>92145=4=0437?>?;27:9kk58918943a1323?63>5g69<=5<58?nj7673:?21`g=01901<;j5;:;7>;6=l:145=4=07gf?>?;27:9i858918943c9323?63>5ba9<=5<58?h;7673:?21f4=01901<;md;:;7>;6=k2145=4=07a7?>?;27:9lk58918943f1323?63>5`69<=5<58?2j7673:?21;6=0:145=4=07;f?>?;27:95858918943?9323?6s|3cab>5<5s4;?m>4m689>7g4521287p}j>7l99:?0f62=0190q~=mc983>7}:9=k:6o86;<1a77ll7;296~;6?;2wx?om9:1818731o0i:4523c00>=>43ty8nn;50;0x942>m3h=5634?:3y>51?c2k<270=m328;<1=z{:ho>7>52z?20l<1;:;0>{t;kn:6=4={<37=g016?og0>349i>o47859~w6ddm3:1?v3>48:9f3?<5<8oi7671:?66a`=01>0q~=mce83>7}:9=3=6o86;<71`<llc;296~;6?<2wx?omm:181873i=0i:4523c07>=>33ty8nn:50;1x942>?3h=563:2c59<=2<5<8i47674:p7290=w0=;3882465<5:9i;7672:?07a?=01901>=65;:;7>;4;0914:h4=40ba?>?82wx?i77:18185ci>0:<>=4=625>=1b3ty8h4950;0x96bf=3;;?>527179<2c7ad?28:8?638118;3`=z{:nj<7>52z?0`g0=9998709?f;:4a>{t;m3m6=4={<1gf1<68:901:>j:95f?xu4l0o1<7464;27<k3:1>v3:j659j;|q0`jna;3376=:?9314:k4}r1g=<<72;q6?io;:0207>;08103;h5rs2f:2?6=:r78hl<51110?817<325<5s49onh4>0218927221=n7p}342=0>o0q~9?7;29224|588;>7687:?2`04=01;01;6l?2145?4=0f5f?>?927:h;m5893894b1l323=63>d7g9<=7<58n=j7671:?2`26=01;01;6l<9145?4=0f60?>?927:h885893894b2?323=63>d4:9<=7<58n>o7671:?2`0c=01;01;6l?;145?4=0f56?>?927:h;=5893894b1<323=63>d779<=7<58n=:7671:?2`31=01;01;6l?k145?4=2`f6?25<278o??5436896da?3>o?63m>5;6g7>;4k8=18i=4=2a2=?2c;278oo?63lj9;6g7>;4jlh18i=4=2`f`?2c;278nhh54e1896da93>o?63lib;6g7>;4jon18i=4=2`eb?2c;278o=?54e1896e7;3>o?63m?b;6g7>;4k9l18i=4=2f03?2?1278h>951116?85c;>0:<;:4=0653?2??27:8;=54b389421;3>ij63>47190gc<58>=?7:md:?2035=;6n:63>47190`3<58>=?7:i4:?2035=;6ni63>47190`b<58>=?7:jc:?2035=01<:93;67f>;6462<27:88<542f89422:3;;>h521571>464827:88<51112?873=;0:<8:4=5456?25<27?:l?54368910d93>9863;6dg9072<5=;3>l:18i=4=54f6?2c;27?:h:54e18910b>3>o?63;6d:90a5<5=;3>j<18i=4=54`o?63;6bg90a5<5=;3>m218i=4=54ge?2c;27?9oh54368913bk3>9863;7149072<5==:m7:=4:?735d=;3?9l18i=4=5525?2c;27?;<=54e189115k3>9863;7529072<5==8=7:k3:?7365=;3?:=18i=4=550=?2c;27?:==543689103:3>9863;7c090a5<5==i87:k3:?73g3=;3?k=18i=4=55ao?63;7c`90a5<5==io7:k3:?73g5=;299i1i>=4=433g?c4:27>==m541a89077k3o8963:11a9553234?:146d28:>:63:11a904b<5<;;o7??599>146d28:>n63:11a9075<5<;;o7??5e9>146d2=8970;>0b8240e<5<;;o7:>e:?655e=99?270;>0b8f6`=:=8:h6hk:d12?8368m0n?>52502g>`5534?:146c2l9>70;>0e82403<5<;;h7:>c:?655b=99?=70;>0e875a=:=8:o6<>:8:?655b=99?i70;>0e8766=:=8:o6<>:d:?655b=<;8018??d;331f=:=8:o69?j;<724a<68<3018??d;g1a>;29<:1i>?4=4364?c4;27>=8>5e208907283>;o63:1429a63<5<;><7??549>14372=;h70;>5182400<5<;><7:>d:?6506=99?370;>518240d<5<;><7??5e9>14372=8970;>518240e<5<;><7:>e:?6506=99?270;>518f6`=:=8?;6h=?;<72<716d34?:4?4j349>14>528:>963:1909553134?:4?4>04:8907?:3;;9o5250:1>462l27>=5<54308907?:3;;9n5250:1>17b34?:4?4>04;8907?:3o9i63:11a9605<5<;><7<:3:?655e=;:>018??c;614>;299i1==;n;<724a<3:9169<>k:026e>;29<:18?>4=4364?77=h169<6=:503?8360;0:<8o4=33`a?25<279=h?54368977dn3>o?63=1e390a5<5;;o?7:k3:?15a3=;59m318i=4=33gf?2c;279=ij54e189762n3>9863=0609072<5;:=<7:k3:?1434=94;6g7>;58?<18i=4=325o?63=07g90a5<5:h::7673:?7012=;3o?63;3`790a5<5=9j;7:k3:?77d?=;3;hn18i=4=51bb?2c;27??o?54e18915e;3>o?63;3939072<5=92:7:=4:?77=4=;3;1<18i=4=51;o?63;39g90a5<5=92<7:k3:?77<4=hi9;6g7>;4nok18i=4=2def?2c;278jkm54e1896`al3>o?63019>;4;6g7>;3854e189161:3>o?63;07690a5<5=:=:7:k3:?743>=9a;6g7>;38::18i=4=5206?2c;27?<>:54e189164>3>o?63;02:90a5<5=:8m7:k3:?746e=;38=:18i=4=5276?2c;27?<9854e18916303>o?63;05c90a5<5=:?o7:k3:?741c=:0;6g7>;38<818i=4=5260?2c;27?<8854e18916203>o?63;04a90a5<5=;019?8f;6g7>;391;18i=4=53;7?2c;27?=5;54e18917??3>o?63;19;90a5<5=;3n7:k3:?75=b=;6m>218?:4=2053?c49278>;95e2189641?3o8>63<275905e<5:8=;7k<5:?0631=99?>70==6682400<5:8=;7:>d:?0631=99?370==668764=:;;<<6<>:b:?0631=<;901><97;331a=:;;<<69<=;<1122<68<97;62a>;4:?=1==;6;<1122>0n?=523344>1473499::4>04c8964103o8=63<27:9a65<5:8=47k<2:?063>=<9i01><98;g01>;4:?218;4:?21810:<8l4=205;65117g?855>10?>?52334;>462k278>;6540g8964103;;9452334;>`4b3499:54j2g9>770?2l9;70==69811g=:;;<36?;l;<112=<51098i52334;>72b3499:54=4g9>770?2;?;70==698114=:;;<36?;<;<112=<3:916??87:026e>;4:?=1?>m4=2053?54l278>;9533a89641?399h63<275977c<5:8=;7==f:?0631=;::01><97;105>;4:?=1?><4=2053?54<278>?;543689644m3>9863=2`f90a5<5;9mo7:k3:?1760=l;a;:;5>;4j=i145?4=2`01?>?9278n9>5893896d3j323=63l<7;:;5>;4j:2145?4=2`0=?>?9278n>o5893896d4j323=63l;4j=;145?4=2`77?>?9278n9:589389734l323=63=5229072<5;?8<7:k3:?1113=<;>01?;77;610>;5=0:18?:4=3763?25<2799;<54368973103>9863=5679072<5;?:>7:=4:?1177=<;>01?hj0;g05>;5nl:1i>=4=3df4?c4:279jh>541a897`b83o8963=fd295532348mi=4>044897`b83>:h63=fd29553?348mi=4;209>6cc728:>n63=fd29075<5;ln<7??5e9>6cc72=8970e:?1b`6=99?270kk?:20f?84am908>k522gg3>657348mi=4<309>6cc72:9970kk?:503?84am90:<8o4=3gf7?25<279jo?63=eda90a5<5;oni7:k3:?1ac6=;5mo?18i=4=3ge3?2c;279j>o54e1897e4i3o8=63=c2c9a65<5;i8m7k<2:?1g6g=<9i01?m;5k:k1==;:;<0`7d<68<<01?m;5k:k1==;7;<0`7d<3:816>n=n:026f>;5k:k18?=4=3a0e?77=m16>n=n:501?84d;h0:<8m4=3a0e?26m279o>o5117:?84d;h0n>h522b1b>`4a348h?l4j319>6f5f2:8n70=?;<0`7d<4;816>n=n:211?84d;h08?9522b1b>734348h?l4;1c9>6f5f2=8;7001?l;c;6g7>;5j=o18i=4=3`64?2c;279n8<54e1897d2=3>o?63=b4590a5<5;h>57:k3:?1f;500i1i>=4=3::g?c4:27944m541a897>>k3o8963=88a9553234835n4>044897>>k3>:h63=88a9553?34835n4;209>6=?d28:>n63=88a9075<5;22o7??5e9>6=?d2=8970<79b8240e<5;22o7:>e:?1<57l:20f?84?1j08>k5229;`>65734835n4<309>6=?d2:9970<79b8071=::13h6?;<;<0;=f<39k16>57l:503?84?1j0:<8o4=35b3?25<279;i;54368971fm3>o?63=7c290a5<5;=i>7:k3:?13g2=;5?k318i=4=35af?2c;279;kk54e1894ba;3>9863>e149072<5>:<659j;<52`?>0m27?;5954e189112<3>o?63;a6c90a5<58ih;7;>6:?2gf1==;;01;6kj=19>>4=0a`3?34927:on95520894ed?3?8?63>cb59162<58ih;7;<5:?2gf1==:<01;6kj=19<64=0a`3?36127:on9550c894ed?3?:n63>cb5914e<58ih;7;8a:?2gf1==1?01;6kj=194:4=0a`3?3>=27:on95584894ed?3?2;63>cb591<><58ih;7;69:?2gf1==0k01;6kj=19:m4=0a`3?30l27:on9556g894ed?3?cb591=6<58ih;7;l2:?2gf1==j901;6kj=19i=4=0a`3?77<016=nm8:027e>;6kj=1==;:;<3`g2<68<<015:?2gf1=98;=70?lc68254><58ih;7?>259>5fe028;9963>cb59544134;ho:4>13:894edi3?::63>cbc9177<58ihm7;=c:?2gfg==::01;6kjk19><4=0a`e?34;27:ono5526894edi3?8963>cbc9160<58ihm7;>7:?2gfg==8201;6kjk19cbc91=3<58ihm7;60:?2gfg==0>01;6kjk19484=0a`e?3>?27:ono558:894edi3?2563>cbc91i01;6kjk19:k4=0a`e?30n27:ono5592894edi3?h>63>cbc91f5<58ihm7;k2:?2gfg==m901;a:?2gfg=99?>70?lc`82400<58ihm7?>159>5fef28;:963>cbc9547134;hol4>10:894edi3;:>9521bab>475=27:ono51005?87dkh0:=?64=4602?3d:27>8>855e089024>3;;98525515>462>27>8>851007?833;?0:=?;4=4602?76:?1699=9:031<>;2<:<19:o4=4602?3?=27>8>8558289024>3?2863:42491<3<5<>8:7;66:?6060==0=018:<6;7:<>;2<:919n<4=4607?3c:27>8>=51176?833;:0:<884=4607?76:=1699=<:0311>;2<:91=<<9;<7776<69;2018:<3;74e>;2<:9195;4=4607?3>827>8>=558689024;3?2963:42191<0<5<>8?7;67:?6065==02018:<0;7`6>;2<::19i<4=4604?77=<1699=?:0262>;2<::1=<<;;<7775<69;?018:<0;3263=:==9;6k018:<0;7;1>;2<::194>4=4604?3><27>8>>55878902483?2:63:42291<1<5<>8<7;68:?607b==j8018:=d;7g6>;2<;n1==;:;<776a<68<<018:=d;74e>;2<;n1=<<;;<776a<69;?018:=d;3263=:==8o6;2<;n194:4=461`?3>=27>8?j558489025l3?2;63:43f91<><5=hhh7;>6:?7ffb==;;019lld;71g>;3jjn19>>4=5```?34927?nnj5520891ddl3?8?63;bbf9162<5=hhh7;66:?7ffb==0=019lld;7:<>;3jjn19n<4=5```?3c:27?nnj5116:?82ekm0:<9o4=5```?77=<168omk:0262>;3jjn1=8:?7ff1==8<019ll7;715>;3jj=19?m4=5``3?34827?nn95523891dd?3?8>63;bb59165<5=hh;7;<4:?7ff1==j8019ll7;330<=:;a:?7ff1=99?>70:mc682400<5=hh;7?>159>0ge028;:963;bb59547134>io:4>10:891dd<3?::63;bb69177<5=hh87;=c:?7ff2==::019ll4;705>;3jj>19><4=5``0?34;27?nn:5526891dd<3?h>63;bb69552>34>io94>05c891dd<3;;98524ca7>462>27?nn:51037?82ek=0:=<;4=5``0?769?168om;:032<>;3jjk19<84=5``e?35927?nno553a891ddi3?8<63;bbc9167<5=hhm7;<2:?7ffg==:9019lla;700>;3jjk19n<4=5``e?77<0168omn:027e>;3jjk1==;:;<6agd<68<<019lla;3251=:5:?7ffg=98;=70:mc`8254><5=i=;7;3k?=1>k84=5a53?33027?o;95542891e1?3?>=63;c759104<5=i=;7;:3:?7g31==<>019m97;761>;3k?=19884=5a53?32?27?o;9554:891e1?3??563;c75911g<5=i=;7;;b:?7g31===i019m97;77`>;3k?=199h4=5a53?3fl27?o;955`g891e1?3?jj63;c7591g6<5=i=;7;m1:?7g31==k9019m97;7`e>;3k?=19nm4=5a53?3ci27?o;955ea891e1?3;;84524b44>463j27?o;951176?82d>>0:<894=5a53?769j168n88:032a>;3;j>19n<4=51`0?77<0168>m;:027e>;3;j>1==;:;<60g1<68<<019=l4;3261=:<:i?6<58iim7<>e:?2ggg=:;;01;6kkk1>>:4=0`ff?45927:nhl523`894dbj388863>bdg964c<58hni7<=1:?2f`c=:;h01;6kk;1>cc5964c<58ii;7<=1:?2gg1=:;h01;6kk>1>c`:964c<58ij47<=1:?2gd>=:;h01;6kho1>c``964c<58ijn7<=1:?2gdd=:;h01;6kh?1>c`0964c<58ij>7<=1:?2gd4=:;h01;6k031>1388863>c8d964c<58i2j7<=1:?2g<`=:;h01;6k0i1>k388863>c84964c<58i2:7<=1:?2g<0=:;h01;6k091>;388863>c9c964c<58i3m7<=1:?2g=g=:;h01;6k0:1>523`894e>8388863>c9f964c<58i3h7<=1:?2g=b=:;h01;6k1=1>c96964c<58i387<=1:?2g=2=:;h01;6k>h1>c93964c<58i3=7<=1:?2g=7=:;h01;6k>o1>c60964c<58i<>7<=1:?2g24=:;h01;6k>21>c67964c<58i<97<=1:?2g23=:;h01;6k?31>c7d964c<58i=j7<=1:?2g3`=:;h01;6k?i1>c74964c<58i=:7<=1:?2g30=:;h01;6k?91>c4c964c<58i>m7<=1:?2g0g=:;h01;6k?:1>523`894e18388863>c4f964c<58i>h7<=1:?2g0b=:;h01;6k<=1>c46964c<58i>87<=1:?2g02=:;h01;6k=h1>c43964c<58i>=7<=1:?2g07=:;h01;6k=o1>c5:964c<58i?47<=1:?2g1>=:;h01;6k=?1>c2a964c<58i8o7<=1:?2g6e=:;h01;6k=81>c2d964c<58i8j7<=1:?2g6`=:;h01;6k:91>=523`894e4;388863>c2;964c<58i857<=1:?2g6?=:;h01;6k:<1>8523`894e4>388863:2209677<5<88>7<=b:?6664=::>01;6k::1>??4=0a04?45j27:o>>5226894e5l38:i63>c3f9677<58i9h7<=b:?2g7b=::>018<<3;015>;2::91>?l4=4007?44<27>>>l523389044j389n63:22`9662<5<88i7<=1:?666c=:;h018<;2::i1>??4=400g?45j27>>>m522689044l389=63:22f967d<5<88h7<<4:?666`=:;;018<;2::l1>>:4=4074?45927>>9>523`890438388863:2269677<5<8887<=b:?6662=::>018<;1;015>;2:=;1>?l4=4075?44<27>>9<523389043:389n63:2509662<5<8897<=1:?6663=:;h018<<5;000>;2::<1>??4=4002?45j27>>>85226890441389=63:22;967d<5<8857<<4:?6661=:;;018<<7;01f>;2::=1>>:4=400>>6523`890440388863:256964c<5<8?87<=1:?6612=:;h018<;4;000>;2::k1>>>o522689043;38:i63:2519677<5<8??7<=b:?6615=::>018<;e;02a>;2:=o1>??4=407a?45j27>>9k522689043k38:i63:25a9677<5<8?o7<=b:?661e=::>018<;d;02a>;2:=n1>??4=407`?45j27>>9j522689043n38:i63:25d9677<5<8?j7<=b:?661`=::>018<:0;02a>;2:<:1>??4=4064?45j27>>8>522689042;38:i63:2419677<5<8>?7<=b:?6605=::>018<:1;02a>;2:<;1>??4=4065?45j27>>8?522689042:38:i63:2409677<5<8>>7<=b:?6604=::>018<;5;02a>;2:=?1>??4=4071?45j27>>9;522689043>38:i63:2549677<5<8?:7<=b:?6610=::>018<;9;02a>;2:=31>??4=407=?45j27>>97522689043?38:i63:2559677<5<8?;7<=b:?6611=::>018<;8;02a>;2:=21>??4=407>96522689043i38:i63:25c9677<5<8?m7<=b:?661g=::>018<;b;02a>;2:=h1>??4=407f?45j27>>9l52268907?:3>jo63:19090dg<5<;3>7:n9:?65=4=;291818l84=43;6?2f=27>=5<54`68907?:3>i963:19090g2<5<;3>7:m3:?65=4=;291818o>4=43;6?2fn27>=5<54`g8907?:3>jh63:19090d5<5<;><7:?3:?6506=<9;018?:0;634>;29<:1?kh4=4364?5am27>=8>53gf89072839mo63:14297cd<5<;><7=i9:?6506=;o2018?:0;1e3>;29<:1?k84=4364?5a=27>=8>53g689072839m?63:14297c4<5<;><7=i1:?6506=;o:018?:0;1fa>;29<:1?hj4=4364?5bk27>=8>53d`89072839nm63:14297`?<5<;><7=j8:?6506=;l=018?:0;1f2>;29<:1?h;4=4364?5b;27>=8>53d089072839n=63:14297`6<5<;><7=kf:?6506=;mo018?:0;1g`>;29<:1?im4=4364?5cj27>=8>53ec8907283>;563:142905><5<;><7:?7:?6506=<9<018?:0;631>;29<:18=:4=4364?5ai27>=8>53dd89072839n863:14297a?<5<;><7:nc:?6506=;29<:18l64=4364?2f?27>=8>54`48907283>j963:14290d2<5<;><7:m5:?6506=018?:0;6a7>;29<:18o<4=4364?2e927>=8>54c28907283>jj63:14290dc<5<;><7:nd:?6506=;299n18lo4=433`?2f127>==j54`:89077l3>j;63:11f90d0<5<;;h7:n5:?655b=018??d;6a1>;299n18o:4=433`?2e;27>==j54c089077l3>i=63:11f90g6<5<;;h7:nf:?655b=;299n18l=4=433g?27;27>==m541389077k3>;<63:11a97c`<5<;;o7=ie:?655e=;on018??c;1eg>;299i1?kl4=433g?5a127>==m53g:89077k39m;63:11a97c0<5<;;o7=i5:?655e=;o>018??c;1e7>;299i1?k<4=433g?5a927>==m53g289077k39ni63:11a97`b<5<;;o7=jc:?655e=;lh018??c;1fe>;299i1?h74=433g?5b027>==m53d589077k39n:63:11a97`3<5<;;o7=j3:?655e=;l8018??c;1f5>;299i1?h>4=433g?5cn27>==m53eg89077k39oh63:11a97ae<5<;;o7=kb:?655e=;mk018??c;63=>;299i18=64=433g?27?27>==m541489077k3>;963:11a9052<5<;;o7=ia:?655e=;ll018??c;1f0>;299i1?i74=433g?2fk27>==m54`c89077k3>j563:11a90d><5<;;o7:n7:?655e=;299i18l:4=433g?2e=27>==m54c689077k3>i?63:11a90g4<5<;;o7:m1:?655e=;299i18lk4=433g?2fl27>==m54`18964103>;?63<27:9057<5:8=47:?0:?063>=;ol01><98;1ea>;4:?21?kj4=205;653g`89641039m563<27:97c><5:8=47=i7:?063>=;o<01><98;1e1>;4:?21?k:4=205;653g089641039m=63<27:97c6<5:8=47=je:?063>=;ln01><98;1fg>;4:?21?hl4=205;653d;89641039n463<27:97`1<5:8=47=j6:?063>=;l?01><98;1f7>;4:?21?h<4=205;653d289641039oj63<27:97ac<5:8=47=kd:?063>=;mi01><98;1gf>;4:?21?io4=205;6541:8964103>;;63<27:9050<5:8=47:?5:?063>=<9>01><98;1ee>;4:?21?hh4=205;653e;8964103>jo63<27:90dg<5:8=47:n9:?063>=<98;6b3>;4:?218l84=205;654`68964103>i963<27:90g2<5:8=47:m3:?063>=<98;6a5>;4:?218o>4=205;654`g8964103>jh63<27:90d5<5:8=;7:?3:?0631=<9;01><97;634>;4:?=1?kh4=2053?5am278>;953gf89641?39mo63<27597cd<5:8=;7=i9:?0631=;o201><97;1e3>;4:?=1?k84=2053?5a=278>;953g689641?39m?63<27597c4<5:8=;7=i1:?0631=;o:01><97;1fa>;4:?=1?hj4=2053?5bk278>;953d`89641?39nm63<27597`?<5:8=;7=j8:?0631=;l=01><97;1f2>;4:?=1?h;4=2053?5b;278>;953d089641?39n=63<27597`6<5:8=;7=kf:?0631=;mo01><97;1g`>;4:?=1?im4=2053?5cj278>;953ec89641?3>;563<275905><5:8=;7:?7:?0631=<9<01><97;631>;4:?=18=:4=2053?5ai278>;953dd89641?39n863<27597a?<5:8=;7:nc:?0631=<97;6b=>;4:?=18l64=2053?2f?278>;954`489641?3>j963<27590d2<5:8=;7:m5:?0631=01><97;6a7>;4:?=18o<4=2053?2e9278>;954c289641?3>jj63<27590dc<5:8=;7:nd:?0631=;5nl:18=?4=3df4?278279jh>53gd897`b839mi63=fd297cb<5;ln<7=ic:?1b`6=;oh01?hj0;1e=>;5nl:1?k64=3df4?5a?279jh>53g4897`b839m963=fd297c2<5;ln<7=i3:?1b`6=;o801?hj0;1e5>;5nl:1?k>4=3df4?5bm279jh>53df897`b839no63=fd297`d<5;ln<7=ja:?1b`6=;l301?hj0;1f<>;5nl:1?h94=3df4?5b>279jh>53d7897`b839n?63=fd297`4<5;ln<7=j1:?1b`6=;l:01?hj0;1gb>;5nl:1?ik4=3df4?5cl279jh>53ea897`b839on63=fd297ag<5;ln<7:?9:?1b`6=<9201?hj0;633>;5nl:18=84=3df4?27=279jh>5416897`b839mm63=fd297``<5;ln<7=j4:?1b`6=;m301?hj0;6bg>;5nl:18lo4=3df4?2f1279jh>54`:897`b83>j;63=fd290d0<5;ln<7:n5:?1b`6=01?hj0;6a1>;5nl:18o:4=3df4?2e;279jh>54c0897`b83>i=63=fd290g6<5;ln<7:nf:?1b`6=;5nl:18l=4=3a0e?27;279o>o5413897e4i3>;<63=c2c97c`<5;i8m7=ie:?1g6g=;on01?m;5k:k1?kl4=3a0e?5a1279o>o53g:897e4i39m;63=c2c97c0<5;i8m7=i5:?1g6g=;o>01?m;5k:k1?k<4=3a0e?5a9279o>o53g2897e4i39ni63=c2c97`b<5;i8m7=jc:?1g6g=;lh01?m;5k:k1?h74=3a0e?5b0279o>o53d5897e4i39n:63=c2c97`3<5;i8m7=j3:?1g6g=;l801?m;5k:k1?h>4=3a0e?5cn279o>o53eg897e4i39oh63=c2c97ae<5;i8m7=kb:?1g6g=;mk01?m;5k:k18=64=3a0e?27?279o>o5414897e4i3>;963=c2c9052<5;i8m7=ia:?1g6g=;ll01?m;5k:k1?i74=3a0e?2fk279o>o54`c897e4i3>j563=c2c90d><5;i8m7:n7:?1g6g=;5k:k18l:4=3a0e?2e=279o>o54c6897e4i3>i?63=c2c90g4<5;i8m7:m1:?1g6g=;5k:k18lk4=3a0e?2fl279o>o54`1897>>k3>;?63=88a9057<5;22o7:?0:?1<;500i1?kj4=3::g?5ak27944m53g`897>>k39m563=88a97c><5;22o7=i7:?1<;500i1?k:4=3::g?5a;27944m53g0897>>k39m=63=88a97c6<5;22o7=je:?1<;500i1?hl4=3::g?5bi27944m53d;897>>k39n463=88a97`1<5;22o7=j6:?1<;500i1?h<4=3::g?5b927944m53d2897>>k39oj63=88a97ac<5;22o7=kd:?1<;500i1?io4=3::g?27127944m541:897>>k3>;;63=88a9050<5;22o7:?5:?1<01?66c;1ee>;500i1?hh4=3::g?5b<27944m53e;897>>k3>jo63=88a90dg<5;22o7:n9:?1<;500i18l84=3::g?2f=27944m54`6897>>k3>i963=88a90g2<5;22o7:m3:?1<;500i18o>4=3::g?2fn27944m54`g897>>k3>jh63=88a90d55171x9447;3o8m63>d2190a5<58n8i7:k3:?2`1?=;6l=i18i=4=0f7`?2c;27:h9k54e1894b3n3>o?63>d4290a5<58n>=7:k3:?2`62=;6l954e1894b403>o?63>d2;90a5<58n>57671:?2`6g=;6l:h18i=4=0f6f?>?927:h>m54e1894b4l3>o?63>d2d90a5<58n>j7671:?2`16=;6l=818i=4=0f77?2c;27:h9:54e1894b3=3>o?63>d5490a5<58n?;7:k3:?2`1>=;4k<;18i=4=2a62?2c;278o8954e1896e203>o?63m7:k3:?0g0d=m:c;6g7>;4ko?6397:k3:?0f`4=j<7;62e>;4l:=18564=0657?23l27?:n?54e189113k3>9863;7c09072<5==;299i1i?j4=433`?c5l27>==j5e3d89077l3o8<63:1429a7b<5<;><7k=f:?65=4=m;n018?72;g1b>;29181i>>4=33`a?2c;279<8h54e189123<3>9863;45g9072<5=>?:7:k3:?7011=;3=0n18?:4=57:1?2c;27?94854e18912dl3>9863;4g`9072<5=>o87:k3:?70a3=;3o?63;4e`90a5<5=>oo7:k3:?70f`=;3o?63;39390a5<5=8;47:77:?0bc?=<;>019>>a;610>;389?18?:4=521b?2c;27?=:k54e189156?3>3;63<2759a7b<5:8=47k=d:?16db=<;>01?;5:hl18i=4=30a4?2c;279?km543689727>3>9863=3gg90a5<5;9mj7:k3:?1760=<;>01?=94;610>;5;:n18i=4=310a?2c;279?>h54e18975383>o?63=35390a5<5;9?>7:k3:?1715=;5;=?18i=4=310754e189754i3>o?63=32`90a5<5;98o7:k3:?1b`6=m;n01?hj0;62g>;5n;k18?:4=3d0e?25<279j4?5436897`3;3>o?63=f5690a5<5;l?97:k3:?1b10=;5n=218i=4=3d7=?2c;279j9o54e1897`3j3>o?63=f2a90a5<5;l8h7:k3:?1b6c=;5n=:18i=4=3d75?2c;279j9<54e1897e4i3o9h63=c2c904e<5;h3o7:=4:?1f01?li3;610>;5jh?18i=4=3`b2?2c;279nl954e1897df03>o?63=b`;90a5<5;hjm7:k3:?1fdd=;5jhn18i=4=3`:a?2c;279n4h54e1897df83>o?63=b`390a5<5;hj>7:k3:?1fd5=;500i1i?j4=3::g?26k279;hk54368971am3>9863=8479072<5;2;;7:k3:?1<5>=;509k18i=4=3:3f?2c;2794=m54e1897>7l3>o?63=81g90a5<5;2;j7:k3:?1<56=;509818i=4=3:37?2c;2794=:54e1897>7=3>o?63=81490a5<5=?9o7:>a:?717b=<8k019;<4;62e>;3=:?189540c8913403>:m63;52;904g<5=?8m7:>a:?716d=<8k019;=e;62e>;3=;l18?540c89134:3>:m63;521904g<5;9297:>a:?17<0=<8k01?=6d;62e>;5;0o18540c8975f93>:m63=3`0904g<5;9j?7:>a:?17d2=<8k01?=67;62e>;5;0218j3>:m63=38a904g<5>;2659j;<64<=<3l:168:;::5f0?82f?k0?h>524c51>=>734>ioi488e9>0ge02>2o70:mc584m;:6:a?824k=0<4i521b`b>17f34;hno4;1`9>5gce2=;j70?mec815`=:9kon69?n;<3aac<39h16=nl>:53b?87dj;0?=l521b`4>17f34;hn54;1`9>5fd32=;j70?lb4875d=:9jk369?n;<3`e<<39h16=noj:53b?87dio0?=l521bca>17f34;hmn4;1`9>5fg22=;j70?la7875d=:9jk969?n;<3`e6<39h16=n76:53b?87d1h0?=l521b;e>17f34;hm=4;1`9>5f?d2=;j70?l9e875d=:9j3=69?n;<3`=2<39h16=n7<:53b?87d1=0?=l521b:b>17f34;h4o4;1`9>5f?72=;j70?l90875d=:9j2o69?n;<3`<`<39h16=n68:53b?87d010?=l521b:7>17f34;h484;1`9>5f1e2=;j70?l7b875d=:9j2:69?n;<3`<7<39h16=n9j:53b?87d?o0?=l521b51>17f34;h;>4;1`9>5f1?2=;j70?l78875d=:9j=>69?n;<3`33<39h16=n86:53b?87d>h0?=l521b4e>17f34;h;=4;1`9>5f0d2=;j70?l6e875d=:9j<=69?n;<3`22<39h16=n8<:53b?87d>=0?=l521b7b>17f34;h9o4;1`9>5f072=;j70?l60875d=:9j?o69?n;<3`1`<39h16=n;8:53b?87d=10?=l521b77>17f34;h984;1`9>5f2e2=;j70?l4b875d=:9j?:69?n;<3`17<39h16=n:j:53b?87d17f34;h844;1`9>5f222=;j70?l47875d=:9j9h69?n;<3`7a<39h16=n:=:53b?87d<:0?=l521b1e>17f34;h8=4;1`9>5f542=;j70?l35875d=:9j9269?n;<3`7d<39h16=n=9:53b?87d;>0?=l525311>77b34;h?=4;1`9>5f562=;j70?l2e875d=:9j8n69?n;<7176<59l169?=m:33f?835;l09=h52531`>77b34?9?i4=1d9>175a2;;n70;=41815`=:=;9?6??j;<7104<59l169?:=:33f?835;<09=h525315>77b34?9?44=1d9>17502;;n70;=39815`=:=;9j6?<>;|q460<72:qU;?;4=665>=c334=997kke:p375=839pR:<<;<577?>b<27<>>4jdd9~w2452908wS9=2:?40536b=0l>01:<>:dff?xu0:90;6>uQ7328925f21o?709=0;gga>{t?8l1<7=t^63e?814?32n86381g8f``=z{>8n6=4<{_51a>;0>803i95273g9aac53z\46a=:?`bb3ty<>n4?:2y]37e<5>?i65k;;<51g?ccm2wx;?l50;1xZ24e34=>476j4:?46g37g=mmo0q~9=9;297~X0:016;8<58d68924>2lnn7p}82983>6}Y?;201::i:9g7?81503ooi6s|73594?5|V>8<709;c;:f0>;0:>0nhh5rs605>5<4sW=9:638488;a1=:?;<1iik4}r52a?6=;rT<=h527269<`2<5>;n6hjj;|q0``>=838p1>jja;3376=:?:914:k4}r1ga2<72;q6?ik6:0207>;0;;03;h5rs2d6=?6==r78j8751110?873:o034?521b3;>=>634>mo:47809>114f21297p}7l99:?0`46=01:01>mic;:;6>;4l98145?4=2d3`?>?;27:o<658908yv5a;j0;6?u23g1`>464;27<=:477d9~w27e2909w0=k3682465<5>;i659j;|q0b7e=838p1>h=c;3376=:???14:k4}r1e0d<72;q6?k:n:0207>;0>>03;h5rs31fa?6=;8q6>>kj:0207>;6>?i145<4=0453?>?:27::;<589089402l323>63>64:9<=4<58<>?7672:?221c=01801<8;9;:;6>;6>=>145<4=040b?>?:27::>o589089404=323>63>6229<=4<58<9n7672:?2270=01801<8=1;:;6>;6>8i145<4=0423?>?:27::<<589089407l323>63>61:9<=4<58<;?7672:?21cc=01801<;i9;:;6>;6=o>145<4=07fb?>?:27:9ho58908943b=323>63>5d29<=4<58?on7672:?21a0=01801<;k1;:;6>;6=ji145<4=07`3?>?:27:9n<58908943el323>63>5c:9<=4<58?i?7672:?21dc=01801<;n9;:;6>;6=h>145<4=07:b?>?:27:94o58908943>=323>63>5829<=4<58?3n7672:?21=0=01801<;71;:;6>{t;k9<=:c4:?xu4j?h1<7?;2798??5b7;8yv5e>h0;6?u23c64>=>4348?=i4m689~w6d113:1>v3l;2;:;7>;5>ll58918972al3h=56s|263a>5<5s49i8447809>624428:8?6s|263b>5<5s49i8547809>624528:8?6s|263:>5<5s49i8:47809>624628:8?6s|263;>5<5s49i8;47809>624728:8?6s|2634>5<5s49i8847809>627a28:8?6s|2635>5<5s49i8?47809>627b28:8?6s|2636>5<5s49i?;47809>627c28:8?6s|2637>5<5s4?9mo47809>627d28:8?6s|1d63>5<5s48>;o4m689>710c212?7p}>e2d94?4|5;?0q~?j3d83>7}::<=26o86;<172<21n;74=2653?>?<2wx=h=l:181842?>0i:4523546>=>33ty:i>l50;0x9730>3h=563<4719<=260122k<270=;608;<6=z{;?;97>53z?1153=999870;=ad8;<7=::<:3656?;|q2a74=839:w0?j2382465<58<=i7673:?223?=01901<894;:;7>;6>?;27::8;5891894028323?63>65`9<=5<58;6>:=145=4=0406?>?;27::?j5891894050323?63>6319<=5<58<:i7673:?224?=01901<8>4;:;7>;6>9l145=4=043e?>?;27::=;5891894078323?63>5g`9<=5<58?m:7673:?21c7=01901<;jc;:;7>;6=l=145=4=07f6?>?;27:9ij58918943c0323?63>5e19<=5<58?hi7673:?21f?=01901<;l4;:;7>;6=kl145=4=07ae?>?;27:9o;58918943e8323?63>5``9<=5<58?j:7673:?21d7=01901<;6c;:;7>;6=0=145=4=07:6?>?;27:95j58918943?0323?63>5919<=5f34;h>>4m689>55>f2lnn7p}>09`94?5|V8:3n63>c0d9f3?<58:3n7kke:p55`f2908wS??f`9>5f7f2k<270??f`8f``=z{8:3:7>53z\24=0<58i9=7l99:?24=0=mmo0q~6jd;297~X?mm16=n?l:c4:?8>bl3ooi6s|55;6>5<0s4>39l47819>0d?e21=m70:72g8;<1=:<1l;656=;<776a<10k168;<=:9:3?8210803495rs46b4?6=?r7?:5m5892891>2k323<63;a8:9<=4<5=<9h7672:?7<67=01>018:=d;4:2>;3i1o145?4}r77eg<72?q68;78:9:3?82?>1034=52471;>=>534>38>47859>0<2c212;70;;2e85e4=z{<>ji7>56z?72;3>:h145<4=5:7?<27?5:h589289025l35<1s4>=5o47819>0=0d212;70:93b8;<7=:<1>2656>;<6:?827?4;j589289104l323>63;85c9<=7<5=3j<7670:?607b=>h=0q~;;b083>3}:=>734??>i49a99~w02e:3:1;v3;68g9<=6<5=2=j7670:?726`=0180196;c;:;5>;31l:145<4=461`?0f127?5n958928yv33j:0;6:u247;e>=>734>3;=47819>01<78t=54b4?>?827?5hh5891891039323>63;82;9<=4<5<>8<7866:?7<27=01;0q~;;9783>3}:3g634>3:;47809~w02>?3:1:v3;6919<=6<5=3mo7673:?7272=0180196;2<::1:l;4=5:46?>?92wx9977:1858210=034=524`2:>=>434>=>847839>0=5a212970;;3185e3=:<1=8656>;|q60;30>>145>4=5c2=?>?<27?:?85890891g5:323=63;8539<=4<5<>8<78n7:p11?f290656?;<6b6==>534???=49a99~w02>j3:1;v3;6959<=6<5=2<:7670:?7e62=01>0198=8;:;6>;3i:n145?4=5:73?>?:27>8>>56`;8yv331j0;6;u247:;>=>734>3;:47819>0d2b212870:n508;<5=:13145>4=5:4?827?m8=589689105i323>63;a4a9<=7<5<>8?7866:p11?b290=w0:98`8;<5=:<1?2656?;<6b250034<525510>3g63ty>84h50;7x910?j323<63;84`9<=6<5=k<:7674:?727e=018018:<3;4b1>{t==k:6=49{<65=>534>jm:47809>11542?k=7p}:4`094?0|5=<3i7670:?7<0c=01:019onb;:;0>;3>;l145<4=5ca0?>?927>8>=56`58yv33i:0;6;u247:e>=>734>39k47819>0dd?212?70:9318;<7=:;<7776<1i11v8:n4;292~;3>0:145>4=5:54?>?827?mnk5891891gdl323<63;6239<=4<5<>8?78n9:p11g2290=>534???;498c9~w02f>3:1;v3;6809<=6<5=2=>7670:?7e`0=019019oj5;:;4>;3>:9145<4=5:0?:27>8>856848yv33i>0;6:u247;0>=>734>3:>47819>0d`5212870:nf08;<5=:?827?4;:5892891gam323?63;agf9<=6<5=<897672:?7<6e=018018:<6;4b1>{t==k26=48{<65=0524c2:>=>734>=?;47839>0=5b212970;;3785e3=z{<>jm7>57z?72<0=01:019697;:;4>;3j8<145=4=5`21?>?827?:>95890891>38323>63:42492d103??212;70:7688;<5=:<0<2656<;<6:2==>734><9;47819>0230212970;;3785e==z{<>jh7>58z?72;31>h145>4=540e?>?:27?49858908911?i323<63:42492d?<5=3<57673:p112229034134>=>?47809~w02283:1:v3;84a9<=7<5=k2?7672:?727b=01:0196<1;:;4>;3i0?14:h4=461`?0492wx99;m:18582?>1034<52471;>=>734>38>47819>0<2c212870;;2e857f=:<0?;656>;|q600c=83;3>:h145>4=5:7?827?5:h589189025l30=0d212:70:93b8;<5=:<1>2656=;<6:r7?4;j589389104l323<63;85c9<=4<5=3j<7673:?607b=>=80197n3;:;4>{t==<:6=49{<6;2`=>434??>i49429>0;3>:l145>4=5:7g?>?:27>8?j56568yv33>:0;6;u24953>=>634>2i447829>0327212;70:6eb8;3c=:<19<656>;<7775<1:?1v8:94;291~;3>=;145>4=5:0=?>?927>8>>5623891>09323<63;9g09<2`0344212;70:73c8;<4=:==9;6;=l;<6;23?827?4>j58938902483w0:9248;<5=:<19m656>;<7775<1<816859<:9:3?82f8j03;k5rs467=?6=?r7?4::5893891g6m323?63;6349<=6<5=k9>7672:?7e4`=01>0196;1;:;5>;2<::1:9<4}r770d<72>q6859::9:2?821:>034=524`0e>=>534>j>n47859>0=22212:70;;318506=:;|q601d=83=p19686;:;5>;3>;2145>4=5c0`?>?:27?m>65896891>3?323=63:4229212<5=k8;7671:p112d290>w0:7768;<4=:;5rs467`?6==r7?4:65893891g2?323?63;63c9<=6<5=k>o7672:?6065=>:;0q~;;4d83>0}:<1?2656>;<6b210034?525510>35d3ty>89h50;6x91>2j323=63;a909<=5<5=<9o7670:?6065=>=:0q~;;5083>0}:<1?o656>;<6be6034?525510>3263ty>88<50;7x91>2m323=63;a`d9<=5<5=<9j7670:?7eg2=018018:<3;476>{t==?86=4:{<6;1c=>534???>49429~w022<3:19v3;8729<=7<5=kh97672:?7267=01:019ol8;:4b>;2<:91:9:4}r7710<72?q6858>:9:2?82fl8034?524711>=>734>jh9477g9>0=51212:70;;378563=z{<>>:7>56z?7<34=01;019okd;:;6>;3>:9145>4=5cf4?>0n27?4>6589389024>3<8=6s|5574>5<1s4>3:>47809>0dc>212970:9358;<5=:?927?mk;589089104=323<63;ag:9<2`<5=28o7671:?6060=>=:0q~;;5883>3}:<1<>656>;<6a44=>634???;49409~w022i3:1:v3;8759<=7<5=h;h7672:?7261=01:019l>0;:4b>;30=:145?4=4602?03:2wx99;l:18:82?>0034<524842>=>534>=?447819>0<0321=m70:7458;<4=:<>22656>;<64135rs466`?6=?r7?4;o5893891?1n323<63;9659<2`<5=<8m7670:?7<10=01;01997a;:;5>;2<:<1:9:4}r77f0<72:q68km;:9:0?833:h0i:4524g63>=>43ty?j8<50;0x9056k3>3;63;f7f955543ty?j8=50;0x9056l3>3;63;f7g955543ty?j8:50;0x9056m3>3;63;f7d955543ty?j8;50;0x9056n3>3;63;f62955543ty>84:50;7x91>b13h=563:42493=b<5<>8?797d:?6066=?1n018:=d;5;`>{t==>?6=4:{<6;a101699=9:6:a?833;:0<4o525513>2>e34??>i488c9~w02e>3:18v3;f509f3?<58i;n7671:?2g42=018018;:;0>{t==2h6=4:{<6:6301699=9:3fg?833;:09hi525513>7bc34??>i4=de9~w02?l3:19v3;9359f3?<5<>8:7;2<;n1>ik4}r77<`<727ba34???=4=dg9>114c2;nm7p}:49d94?3|5=3957l99:?6060=:l:018:<3;0f4>;2<::1>h>4=461`?4b82wx997?:18682>:k0i:4525515>7c634???>4=e09>11572;o:70;;2e81a4=z{<>2=7>55z?7=7b=j?3018:<6;0f6>;2<:91>h<4=4604?4b:27>8?j52d08yv331;0;68u2483`>g0>34???;4=e29>11542;o870;;3181a6=:==8o6?k<;|q60<5=83?p197>d;`5=>;2<:<1>i84=4607?4c>27>8>>52e489025l38o:6s|55::>5<2s4>2=o4m689>11512;n<70;;3281`2=:==9;6?j8;<776a<5l>1v8:7a;291~;31;:1n;74=4602?4c027>8>=52e:89024838o463:43f96a>0<442k<270;;3781`d=:==986?jn;<7775<5lh16998>852`189024;38j?63:42296d5<5<>9h7w0:7c48a2<=:==9=6?o;;<7776<5i=1699=?:3c7?833:m09m95rs460a?6==r7?4i>5b7;89024>38j963:42196d3<5<>8<70}:<1n96o86;<7773<5i?1699=<:3c5?833;909m;52550g>7g13ty>89>50;7x91>c<3h=563:42496d1<5<>8?7{t==>:6=4:{<6;`301699=9:3c;?833;:09m5525513>7g?34??>i4=a99~w023:3:19v3;8e;9f3?<5<>8:7;2<;n1>l74}r7706<727?d34???=4=9b9>114c2;3h7p}:42;94?3|5=2o47l99:?6060=:0n018:<3;0:`>;2<::1>4j4=461`?4>l2wx99=n:18682?ll0i:4525515>7?b34???>4=9d9>11572;3n70;;2e81=`=z{<>8n7>55z?7<`6=j?3018:<6;0b4>;2<:91>l>4=4604?4f827>8?j52`28yv330<0;68u24830>g0>34??>i4>10689025l3;:=852550g>476>27>8?j5103;?xu2<1<1<7;t=5;28>>51037?833;90:=<;4=4604?769?1699=?:032<>{t==2<6=4:{<6:5<01699=<:0320>;2<:91=347>55z?7=4g=j?3018:<6;3251=:==9=65:?6060=98;=70;;378254>0=`72k<270;;2e8653=z{<><<7>52z?7{t===i6=4={<6;b`01699o1<78?j55228yv33?o0;6?u24821>g0>34??>i4:309~w02?83:1>v3;9119f3?<5<>9h7;<2:p11>62909w0:6058a2<=:==8o68=<;|q60=4=838p197?5;`5=>;2<;n19>:4}r77<6<72;q684>n:c4:?833;90>=;5rs46;0?6=:r7?5=l5b7;8902483?9=6s|5545>5<5s4>3jo4m689>11572<8h7p}:47594?4|5=3;o7l99:?6066==::0q~;;6983>7}:<0:o6o86;<7775<2;81v8:99;296~;319o1n;74=4604?34:2wx998n:18182>8o0i:4525513>0543ty>8;l50;0x91?683h=563:42291620<762k<270;;328653=z{<>=h7>52z?7=44=j?3018:<3;715>{t==01699=<:40`?xu28>=55228yv33?80;6?u249d1>g0>34???>4:309~w020:3:1>v3;8g19f3?<5<>8?7;<2:p11142909w0:7f58a2<=:==9868=<;|q6022=838p196i5;`5=>;2<:919>:4}r7730<72;q685h8:c4:?833;?0>=;5rs4642?6=:r7?4k65b7;89024>3?9=6s|5554>5<5s4>3j44m689>11512<8h7p}:46:94?4|5=2mm7l99:?6060==::0q~;;7883>7}:<1lh6o86;<7773<2;81v8:8a;296~;30on1n;74=4602?34:2wx999l:18182?no0i:4525515>0543ty>8:j50;0x91?783h=563:4249162m9;4?:3:x91`093;;?>524865>=>634>j54477g9>0<`>212:70:n078;<4=:;<6:=201034<5248c:>=>634>jn<47809>0<02212:70:nc88;<4=:656>;<6ba4=>634>i=<47809>0212:70:n2e8;<4=:;<6b<1=>634>m8=47809>0656>;<6b=1=>434;h=547829>166?212970;<038;<4=:0349524c`0>=>534>imn47809>0f2e21=m7p}:39294??|5=h>87670:?7=14=018018=70;3376=:=:2:659i;<6;fg=>634>3n547809~w05f?3:1?v3:3`59555434>mo:47829>114f212:7p}:43`94?1|5<9;<7l99:?676e=019018=<6;:;7>;2;;i145<4=40a5?>?<27>?>6586d89054m323?6s|52c;>5<5s4?8o54>021891g>1323=6s|52`0>5<5s4?8o44>021891g><323?6s|52`f>5<5s4?8h94>021891?21323?6s|52a2>5<5s4?8hk4>021891??0323?6s|52a1>5<5s4?8i?4>021891?>?323?6s|52a0>5<5s4?8i>4>021891?f1323?6s|52a7>5<5s4?8i94>021891?e1323?6s|52a6>5<5s4?8i84>021891?c;323?6s|52a5>5<5s4?8i;4>021891?bi323?6s|52a4>5<5s4?8i:4>021891?a1323?6s|52c:>5<5s4?8ol4>021891?3>323?6s|52cb>5<5s4?8oo4>021891g7>323?6s|52ca>5<5s4?8on4>021891g6;323?6s|52c`>5<5s4?8oi4>021891g6l323?6s|52cg>5<5s4?8oh4>021891g5l323?6s|52cf>5<5s4?8ok4>021891g4i323?6s|52ce>5<5s4?8h=4>021891g3i323?6s|52`3>5<5s4?8h<4>021891g21323?6s|52`2>5<5s4?8h?4>021891g1>323?6s|52`1>5<5s4?8h>4>021891g?<323?6s|52`7>5<5s4?8h84>021891gf9323?6s|52`6>5<5s4?8h;4>021891ge9323?6s|52`5>5<5s4?8h:4>021891gem323?6s|52`4>5<5s4?8h54>021891gd1323?6s|52`;>5<5s4?8h44>021891gc=323?6s|52`:>5<5s4?8hl4>021891gb9323?6s|52`b>5<5s4?8ho4>021891gbl323?6s|52`a>5<5s4?8hn4>021891ga1323?6s|52``>5<5s4?8hi4>021891d7=323?6s|52`g>5<5s4?8hh4>021891d69323?6s|52`e>5<5s4?8i=4>021891?1=323?6s|52a3>5<5s4?8i<4>021891?0=323?6s|5222>5<5s4?84>4>02789057:3h=56s|5220>5<5s4?8m84>02789057<3h=56s|52:7>5<4s4?8m:4;869>17d72k<270;<058;<7=z{<9397>52z?67f>=<1=018{t=:3;6=4={<70g<<30>169?lm:c4:?xu2;0h1<7>i<5b7;8yv341l0;6?u252fe>1>034?9ho4m689~w05>n3:1>v3:3d090=1<5<8n<7l99:p16g72909w0;;2:l91n;74}r70e7<72;q69>k::5:4?835m00i:45rs41b7?6=:r7>?h854958904bj3h=56s|52c7>5<5s4?8i:4;869>17cc2k<27p}:39494?4|5<9hm7:77:?66fd=j?30q~;<8683>7}:=:ii6968;<71a=01v8=78;296~;2;ji18594=40e4?d112wx9>66:181834km0?4:5253d1>g0>3ty>?5o50;0x905dm3>3;63:2g69f3?16ea2=2<70;=f78a2<=z{<93o7>52z?67a6=<1=018{t=:2o6=4={<70`4<30>169?hl:c4:?xu2;1o1<7>o<5b7;8yv340o0;6?u252f0>1>034?9n84m689~w05>93:1>v3:3e790=1<5<8ih7l99:p16?52909w0;;2:j;1n;74}r70=1<72;q69>j7:5:4?835k:0i:45rs41:1?6=:r7>?i754958904d=3h=56s|52;5>5<5s4?8hl4;869>17e02k<27p}:38594?4|5<9on7:77:?66f?=j?30q~;<9983>7}:=:nh6968;<71gf01v8=69;296~;2;mn18594=40`a?d112wx9>7n:181834ll0?4:5253f3>g0>3ty>?4m50;0x905b83>3;63:2e69f3?16c62=2<70;=d78a2<=z{<93?7>511y>16>428:8?63j7673:?0f05=01901;4j<=145>4=2`61?>?;278n;?5892896d29323?63:3129<=4<5<8jh7671:?1151=01901>l:9;:4b>;4j0n278jn<586d8yv34m10;6>u252g;>464;27>?=8586d89057<325<3s4;ho84m689>5fe7212:70?lc684;6kj=1;5l4=0a`e?1?j2wx9>>::187834i<0?=l5252c5>17f34?84>4;1`9>16612k<27p}:31594?76s4?8=o4;1`9>16472=;j70;<20875d=:=:8969?n;<7066<39h169><;:53b?834:<0?=l525205>17f34?8=44;1`9>167f2=;j70;<1b875d=:=:;o69?n;<705`<39h169>?i:53b?834810i:45252:0>1>034?8i54;869~w05713:1>17f34??11722=;j70;;21875d=:==8869?n;<7761<39h1699<::53b?833:?0?=l525504>17f34??>54;1`9>116e2=;j70;;0b875d=:==:o69?n;<774`<39h1699>i:53b?833990?=l525532>17f34??=?4;1`9>11742=;j70;;15875d=:==;=69?n;<7752<39h1699?7:53b?833900?=l52553b>17f34??=o4;1`9>117d2=;j70;;1e875d=:==;n69?n;<775c<39h1699<>:53b?833:;0?=l52522b>g0>3ty:hh950;0x94bb:3>:m63>dd:9f3?5a35212;70?kbb82465<58n247670:p5ag02908w0?k5e8;<5=:9mho6<><3:?2`<>=0>l0q~?kb383>6}:9m<3656?;<3ggf<68:901{t9mh>6=4<{<3g2g;6l0=145=4}r3gf3<72:q6=i8l:9:3?87clj0:<>=4=0f:3?>?:2wx=il8:18087c>m034=521efg>464;27:h4958938yv7cj10;6>u21e4f>=>734;ohh4>021894b>?325<4s4;o:k47819>5aba28:8?63>d859<=65a17212;70?ke182465<58n287674:p5ade2908w0?k708;<5=:9mo:6<><3:?2`<2=0180q~?k9e83>6}:9m?8656?;<3gf`<68:901{t9m3n6=4<{<3g11;6l0>145?4}r3g=c<72=q6=i;::9:3?87c1=03;k521ea3>464;27:hn?58908yv7ci90;6>u21e75>=>734;oo?4>021894b><323<6s|1ec2>5<4s4;o9:47819>5ae428:8?63>d819<=55a3?212;70?kc582465<58n2?7674:p5ag4290?w0?k588;<5=:9m38656=;<3gg0<68:901{t9mk?6=4;{<3g1d0:<>=4=0f`?:2wx=io::18787c=k034=521e;0>=1a34;oo44>021894bdi323>6s|1ec5>5<4s4;o9n47819>5aee28:8?63>d849<=25a3b212;70?kce82465<58n2?7670:p5ag>290?w0?k5g8;<5=:9m3=656<;<3gg`<68:901{t9mkj6=4<{<3g25;6l0<145<4}r3geg<72:q6=i8>:9:3?87cl80:<>=4=0f:2?>?92wx=iol:18087c>;034=521ef1>464;27:h48586d8yv7cim0;6>u21e40>=>734;oh>4>021894b>>323<6s|1ecf>5<4s4;o:947819>5ab328:8?63>d879<=25a02212;70?kd482465<58n297673:p5ad72908w0?k678;<5=:9mn=6<><3:?2`<3=01;0q~?kb083>6}:9m<<656?;<3g`2<68:901{t9mh86=4<{<3g2<;6l0?14:h4}r3gf1<72=q6=i8n:9:3?87c1<034=521efb>464;27:hil58918yv7cm;0;6<68{<3ga7<68:901;2;9<145?4=413e?>?927>?=:5892896eb0323?63;6m>:145>4=0g45?>?827:i:<5892894c0;323<63>e669<=6<58o<97670:?2a20=01:01;6m>=145>4=0fe`?>?827:i;85892894bam323<63>e759<=6<58nmj7670:?2a3>=01:01;6m?3145>4=0g35?>?827:i;o5892894c7:323<63>e7`9<=6<58o;?7670:?2a3e=01:01;6m9?145>4=2a6b?>0n278o;;586d896e1>32l01>m9a;:4b>;4k?h14:h4=2a5g?>0n278o;j586d896e1832768f:?0g35=0>l01>m94;:;4>;6m?o145>4=0d43?>?9279323=63;4209<=7<5=>887671:?16<`=01;01?<6b;:;5>;5:0n145?4=2225?>?9279o;l5893897>dl323=63<1709<=7<5;nim7671:?1e4e=01;01>mia;:;4>;6n>8145>4=32f5?>?8278?4>58928967d?323<63=e0d9<=6<5;k3=7670:?7b14=01;01;6m=h145?4=0g7g?>?927:i9j5893894c3m323=63>e5d9<=7<58o><7671:?2a07=01;01;6llk145?4=0g67?>?927:hhl5893894c3<323=63>dda9<=7<58o?97671:?2``b=01;01;6llo145?4=0g73?>?927:hhh5893894c30323=63>dg29<=7<58o?57671:?2`c7=01;01;6lo8145?4=4061?>?827>>8958938913f:323<63=41`9<=6<5=:nj7670:?74c6=01;01<:7e;:;4>;6<1l145?4=06:4?>?927:84?58928942>:323=63>4819<=6<58>287671:?20<3=01;01<:77;:;5>;6<12145?4=06;=?>?927:85o58938942?j323=63>49a9<=7<58>3h7671:?2add=01801;6mh<145<4=0gb0?>?:27:il<5890894cf8323>63>e8g9<=4<58o2;7672:?2a<3=01801;6m0;145<4=0g;b?>?:27:i5j5890894c?j323>63>e9c9<=4<5=?>;7674:?17f6=01>019=l3;:;4>;6mj>145?4=23`1?>?;279id;:;7>;5l:i145>4=3;:a?>?8279;3:l2145>4=0g:g?>?<27:8lh5891894cd:323863=0`d9<=5<5;8:i7673:?161`=01:01<:nb;:;4>;64=34g1?>?8279;5l=<145?4=3;b?92787670:?6762=01;01>?:f;:;4>;5lk=145>4=3c2=?>?827?8n:58938912dj323=63;4ba9<=7<5=>ih7671:?1770=01;01?=<5;:;5>;5;:>145?4=3113?>?927?8ok5893897550323=63;4cd9<=7<5;9957671:?70f6=01;01?==a;:;5>;3=0:145?4=31e=?>?927?8n?589389755j323=63;4b09<=7<5;99o7671:?70f5=01;01?==d;:;5>;3?927?8n8589389755n323=63;4b59<=7<5;98<7671:?70f>=01;01?=<1;:;5>;3?927?8n7589389754:323=63;59d9<=7<5;9m47671:?71<4=01;01?=ib;:;5>;3=0;145?4=31ee?>?927>?895892891318323=63=3b;9<=7<5;:>:7673:?15f3=01901>>n8;:;6>;5l98145<4=3;50?>?:279j8o5890897`2k323>63=f4g9<=4<5;lni7672:?1fge=01801?lme;:;6>;5jj:145<4=3a7?:279458323>63=8309<=4<5;2jm7672:?1bce=01:01?hib;:;4>;5nok145>4=3de?8279jk95892897`a>323<63=fg79<=6<5;lm87670:?1bc5=01:01?hi2;:;4>;5no;145>4=3de4?>?8279jhh5892897`bl323<63<0119<=6<5::;>7670:?0457=01:01>>?0;:;4>;5nol145>4=3dea?>?8279jkj5892897`a1323<63=c449<=6<5;i>97670:?1g02=01:01?m:2;:;4>;5k<;145>4=3a64?>?8279o9h5892897e3m323<63=c5f9<=6<5;i?o7670:?1g1d=01:01?m;a;:;4>;5k=3145>4=3a73?>?8279o8j5892897e2k323<63=c4`9<=6<5;i>m7670:?1g0?=01:01?m:8;:;4>;5k<=145>4=3a67?>?82794o65892897>e?323<63=8c49<=6<5;2i87670:?1;50k;145>4=3:a4?>?82794lh5892897>fm323<63=8`f9<=6<5;2jo7670:?1;50kl145>4=3:aa?>?82794oj5892897>ek323<63=8c`9<=6<5;2im7670:?1;3:l8145:4=23`7?>0n279i97670:?17gc=01:01?hm4;:;7>;5k8<145=4=3:4?;279joj5896897e6n323863=8939<=2<5;lii7674:?1g76=01>01?672;:;0>;5nkl145:4=3a15?>?<27945=5896897`d8323863=c309<=2<5;2387674:?1bf7=01>01?m=3;:;0>;501?145:4=3d`6?>?<279o?:5896897>?>323863=fb19<=2<5;i997674:?1<=1=01>01?hl4;:;0>;5k;<145:4=3:;?<279jn;5896897e5?323863=89;9<=2<5;li97674:?1g41=01>01?689;:;0>;5nk<145:4=3a2?<2794:o5896897`e?323863=c0;9<=2<5;2=01>01?m>a;:;0>;50>i145:4=3da=?>?<279o0l323863=fcc9<=2<5;i:o7674:?1<2c=01>01?hmb;:;0>;5k8n145:4=3:4b?>?<279jom5896897e6m323863=8929<=2<5;8ho7671:?2gf7=019019:93;:;4>;3k=8145>4=406b?>?827??:8589089743<323>63<0`g9<=6<5;n;47670:?1=3g=01:01;3j=<145>4=5ab6?>?927?ol>5893891bfn323=63;c`69<=7<5=ij47671:?2``2=01:01;6ll<145>4=5357?>0n27?=:o586d8940d:323>63;2eg9<=55f7028:8?63>c029<=4<58i:4768f:p6061290=w0<:0487<2=::?l<656<;<05`=8>8:c4:?8408803;k5227f6>=>63ty:h4o50;3a87cjj0?=l521ef;>17f34;oni4;1`9>5aed2=;j70?kdd875d=:9mnh69?n;<3g`a<39h16=iji:53b?87cm90?=l521eg2>17f34;onh4;1`9>5ae52=;j70?kbg875d=:9mi869?n;<3gg1<39h16=imm:53b?87cl90?=l521eag>17f34;oh>4;1`9>5ab62=;j70?kd3875d=:9mn=69?n;<3g`1<39h16=ij::53b?87cl>0?=l521ef:>17f34;o5o4m689~w4b6;3:1>v3>d609f3?<58nio7:77:p5a352908w0?k538a2<=:9m986<>94:?2`24=0ll0q~?k3283>6}:9m986o86;<3g7`<3:=16=i9k:507?xu6l8>1<7u21e7g>g0>34;o?h4>076894b0l32nj6s|1e1f>5<4s4;o?h4m689>5a2>2=8?70?k898761=z{8n:j7>52z?2`=>=j?301{t9m<36=4<{<3g2=016=i:6:0250>;6l1214hh4}r3g0<<72:q6=i:6:c4:?87c9521e:a>1433ty:h?o50;0x94b?j3h=563>de:90=15a0e2k<270?k4c82432<58n3n76jf:p5a2e2908w0?k4c8a2<=:9m>h69<;;<3gj0i:4521e6`>461<27:h5m58dd8yv7cu21e6`>g0>34;o8i4;259>5a>c2=8?7p}>d3g94?4|58n3h7l99:?2`ab=<1=0q~?k6e83>6}:9m01{t9m>o6=4<{<3g0a016=i:j:507?87c0l0?>95rs0f1b?6=:r7:h5k5b7;894bcm3>3;6s|1e4f>5<4s4;o:h4m689>5a2b28:=863>d9g9<``5a2b2k<270?k4g8761=:9m2m69<;;|q2`66=838p1;6lml18594}r3g2c<72:q6=i8i:c4:?87cbn2wx=i:i:18087c14334;o5=4;259~w4b493:1>v3>d829f3?<58nn<7:77:p5a172908w0?k718a2<=:9m?;6<>94:?2`<6=0ll0q~?k5183>6}:9m?;6o86;<3g14<3:=16=i7>:507?xu6l:81<7u21e52>g0>34;o9<4>076894b>932nj6s|1e72>5<4s4;o9<4m689>5a532=8?70?k728761=z{8n:97>52z?2`25=j?301{t9m?86=4<{<3g16016=i=;:0250>;6l>914hh4}r3g71<72:q6=i=;:c4:?87c;<0?>9521e57>1433ty:h<850;0x94b0<3h=563>dcd90=15a332k<270?k3482432<58n<876jf:p5a522908w0?k348a2<=:9m9=69<;;<3g30<3:=1v7;296~;6l>?1n;74=0f`5?>0n2wx=i;::18087c=<0i:4521e15>461<27:h:;58dd8yv7c;?0;6>u21e15>g0>34;o?:4;259>5a112=8?7p}>d0:94?4|58n<:7l99:?2`f4=<1=0q~?k5783>6}:9m?=6o86;<3g72<68?>01{t9m9<6=4<{<3g72016=i=7:507?87c?>0?>95rs0f2=?6=:r7:h:95b7;894bd;3>3;6s|1e74>5<4s4;o9:4m689>5a5?28:=863>d659<``5a5?2k<270?k388761=:9m=369<;;|q2`4g=838p1;6lj>18594}r3g1=<72:q6=i;7:c4:?87c;00:<;:4=0f4bn2wx=i=6:18087c;00i:4521e1b>14334;o;44;259~w4b6j3:1>v3>d6;9f3?<58nh:768f:p5a3>2908w0?k588a2<=:9m9j6<>94:?2`2?=0ll0q~?k3`83>6}:9m9j6o86;<3g7g<3:=16=i9n:507?xu6l8i1<7u21e7b>g0>34;o?o4>076894b0i32nj6s|1e1a>5<4s4;o?o4m689>5a5d2=8?70?k7c8761=z{8n:h7>52z?2`2d=j?301{t9m?i6=4<{<3g1g016=i=l:0250>;6l>h14hh4}r3g7f<72:q6=i=l:c4:?87c;m0?>9521e5`>1433ty:hdb`90=15a3d2k<270?k3e82432<58no1n;74=0f``?2??2wx=i;j:18087c=l0i:4521e1e>461<27:h:k58dd8yv7c;o0;6>u21e1e>g0>34;o8=4;259>5a1a2=8?7p}>d3394?4|58nl0q~?k5g83>6}:9m?m6o86;<3g05<68?>01{t9m>;6=4<{<3g05016=i:>:507?87c090?>95rs0f16?6=:r7:h5>5b7;894bc83>3;6s|1e43>5<4s4;o:=4m689>5a2628:=863>d929<``5a262k<270?k438761=:9m2:69<;;|q2`75=838p1;6lm;18594}r3g24<72:q6=i8>:c4:?87c<;0:<;:4=0f;5?>bn2wx=i:=:18087c<;0i:4521e60>14334;o4?4;259~w4b5<3:1>v3>d909f3?<58no>7:77:p5a052908w0?k638a2<=:9m>86<>94:?2`=4=0ll0q~?k4283>6}:9m>86o86;<3g01<3:=16=i6<:507?xu6l;?1<7:0;6>u21e40>g0>34;o894>076894b?;32nj6s|1e67>5<4s4;o894m689>5a222=8?70?k858761=z{8n9:7>52z?2`=2=j?301{t9m016=i:::0250>;6l1>14hh4}r3g00<72:q6=i:::c4:?87c9521e:6>1433ty:h?950;0x94b?=3h=563>de790=15a022k<270?k4782432<58n3976jf:p5a212908w0?k478a2<=:9m><69<;;<3g<3<3:=1v?0i:4521e64>461<27:h5858dd8yv7c<>0;6>u21e64>g0>34;o854;259>5a>02=8?7p}>d3;94?4|58n3;7l99:?2`a1=<1=0q~?k6683>6}:9m<<6o86;<3g0=<68?>01{t9m>36=4<{<3g0=016=i:n:507?87c000?>95rs0f1f?6=:r7:h575b7;894bc13>3;6s|1e4:>5<4s4;o:44m689>5a2f28:=863>d9;9<``5a2f2k<270?k8`8761=z{8n9o7>52z?2`=g=j?301{t9m016=i6n:9ge?xu4j=i1<7>hj58908yv5e;:0;6?u253gf>=>3349i?>4m689~w6d603:1>v3:2df9<=5<5:h:47l99:p7g2f2909w0=m4`8a2<=:=;oi656=;|q0f67=838p18;4j:;1n;74}r1a53<72;q6?o?9:c4:?835mk034>5rs2`7=?6=:r78n975b7;8904b1323>6s|3c13>5<5s4?9i447829>7g572k<27p}7}:=;o2656;;<1a5601v>l;8;296~;4j=21n;74=40f7?>?:2wx?o523c0f>g0>3ty8n?j50;0x904b<32386317c4212?70=m118a2<=z{:h?;7>52z?0f11=j?3018{t;k8h6=4={<71a4?<278n?l5b7;8yv5e8m0;6?u253g2>=>3349i3:1>v3;4j9k1n;74}r1a00<72;q6?o:::c4:?835lk034?5rs2`1=?6=:r7>>il5891896d513h=56s|3c24>5<5s4?9ho47859>7g602k<27p}7}:;k:=6o86;<71`3l;3;296~;4j=91n;74=40g0?>?;2wx?o>::18185e8<0i:45253f7>=>33ty8n9<50;0x96d3:3h=563:2e09<=454?:3y>17b5212870=m298a2<=z{:h9;7>52z?66a5=01>01>l=7;`5=>{t;k:96=4={<71`7=:c4:?xu4j=;1<7>i>58918yv5e880;6?u23c22>g0>34?9h=47859~w6d4n3:1>v3l;2:ji145=4}r1bb`<72;q6?lhj:c4:?835kj03495rs2`0`?6=:r78n>j5b7;8904d1323?6s|3`dg>5<5s49jji4m689>17e>212?7p}7}:;hlh6o86;<71g2l?;2wx?lhm:18185fnk0i:45253a6>=>33ty8n>o50;0x96d4i3h=563:2b19<=57d`f2k<270;=c28;<1=z{:h857>52z?0f6?=j?3018{t;hl26=4={<1bb<0169?m>:9:7?xu4j:21<7>oh58918yv5fn10;6?u23`d;>g0>34?9nk47859~w6d4?3:1>v3l<6;`5=>;2:kh145<4}r1a60<72;q69?lm:9:0?85e:<0i:45rs2`10?6=:r7>>om5896896d5<3h=56s|3`d7>5<5s4?9no47859>7d`32k<27p}7}:;k8;6o86;<71f0l>f;296~;4j8l1n;74=40a7?>?82wx?o?k:18185e9m0i:45253dg>=>43ty8n9k50;0x96d3m3h=563:2g49<=4?4?:3y>17`0212?70=m238a2<=z{:h:o7>52z?66c0=01901>l>c;`5=>{t;k>o6=4={<1a0a0169?h;:9:1?xu4j:>1<7?<278n>:5b7;8yv5e9k0;6?u253d7>=>4349i=o4m689~w6d3j3:1>v37672:p7g552909w0;=f28;<1=:;k996o86;|q0f41=838p18;4j8=1n;74}r1a05<72;q6?o:?:c4:?835n9034?5rs2`12?6=:r7>>k?5896896d5>3h=56s|3c23>5<5s4?9j=47829>7g672k<27p}=0180q~=m2283>7}:=;om656;;<1a6601v>oi3;296~;2:l2145=4=2ce7?d112wx?o<>:181835m>0349523c02>g0>3ty>>ll50;0x904fj3h=563:2c;9<=417d>212870;=a`8a2<=z{<8j;7>52z?66g?=01>018{t;mo;6=4={<1e1<<30>16?k;8:c4:?xu4l8=1<7=t=2d4e?2??278h?951110?85cl90?4:5rs2f2a?6=;r78j:l5495896b503;;?>523ef2>1>03ty8h3>3;637ab>2=2<7p}7>53z?0b<3=<1=01>j<2;3376=:;mni6968;|q0`75=839p1>h66;6;3>;4l:91===<;<1g`f<30>1v>j=4;297~;4n0=18594=2f00?77;:16?ijk:5:4?xu4l;?1<7=t=2d:;51110?85cll0?4:5rs2f12?6=;r78j475495896b4>3;;?>523efe>1>03ty8h<650;1x96`0k3>3;637ab42=2<7p}53z?0b2`=<1=01>j=c;3376=:;mn>6968;|q0`4e=839p1>h70;6;3>;4l;n1===<;<1g`3<30>1v>j>d;297~;4n1;18594=2f1a?77;:16?ij8:5:4?xu4lj:1<751110?xu4lj=1<71<7754368yv5d8k0;6>u23b2a>g0>349h7f5?2=8?7p}01>m<6;610>{t;j:<6=4<{<1`42016?n>6:507?85d;<0?>95rs2a31?6=;r78o=;5b7;896e7?3>98634?:2y>7f642k<270=l048761=:;j9869<;;|q0g57=839p1>m?1;`5=>;4k9918?:4=2a06?25<2wx?ohi:18085eno0i:4523b22>143349h?<4;259~w6dal3:1?v30q~=mfc83>6}:;kli6o86;<1aba<3:=16?n986s|3cd6>5<4s49ij84m689>7g`>2=8?70=l2e8761=z{:hm?7>53z?0fc5=j?301>li5;610>;4k;h18?:4}r1ab4<72:q6?oh>:c4:?85en:0?>9523b0b>1433ty8nhh50;1x96dbn3h=563ljb;297~;4jlh1n;74=2`f`?25<278o?954368yv5em00;6>u23cg:>g0>349iio4;259>7f412=8?7p}01>m=5;610>{t;ko>6=4<{<1aa0016?ok8:507?85d:=0?>95rs2`f7?6=;r78nh=5b7;896db=3>98637f7c2k<270=me28761=:;j8969<;;|q0g4d=839p1>m>b;`5=>;4k8n18?:4=2a75?25<2wx?n?6:18085d900i:4523b3a>143349h8=4;259~w6e6?3:1?v30q~=l1483>6}:;j;>6o86;<1`52<3:=16?n=j:507?xu4k891<7=t=2a27?d11278o<;5436896e4l3>986s|3b32>5<4s49h=<4m689>7f742=8?70=l3b8761=z{:i;h7>53z?0g5b=j?301>m>1;610>;4k:h18?:4}r1ab2<72:q6?oh8:c4:?85d8m0?>9523b14>1433ty8nh<50;1x96db:3h=5637}:;o:?6968;<1e4=01v>h?a;296~;4n9318594=2d3a?d112wx?k??:18185a8o0?4:523g3:>g0>3ty8j3;63>4?:3y>7c452=2<70=i2c8a2<=z{:l9h7>53z?0b7e=<1=01>h<7;`5=>;4n:h18594}r1e7a<72:q6?k=l:5:4?85a<>0i:4523g6:>1>03ty8j9l50;1x96`3i3>3;63:7:77:p7f032909w0=l728;ac=:;j=838p1>m83;`5=>;4m9:18594}r1`10<72;q6?n;::c4:?85d?:0?>95rs2a57?6=;r78o8;51147?85d?;03ik523b40>g0>3ty8o9950;0x96e0:3h=5637f332k<270=l548761=:;j=969<;;|q0g34=839p1>m:4;3321=:;j=:65ki;<1`2701v>m;6;296~;4k>;1n;74=2fea?2??2wx?n;<:18085d=:0i:4523b77>143349h;<4;259~w6e193:1?v37f062k<27p}6}:;j?96o86;<1`16<3:=16?n9?:507?xu4k?:1<7=t=2a66?77>=16?n8i:9ge?85d>90i:45rs2a70?6=:r78o;h5b7;896bak3>3;6s|3b7f>5<4s49h9h4m689>7f352=8?70=l6g8761=z{:i=h7>53z?0g0c=99m8c;`5=>;4m9218594}r1`1a<72:q6?n;k:c4:?85d=l0?>9523b5`>1433ty8o;m50;1x96e2l3;;:9523b5a>=ca349h:n4m689~w6e3n3:1>v3m9b;297~;4ku23b7a>g0>349h9n4;259>7f1f2=8?7p}n7??659>7f1>21om70=l6`8a2<=z{:i?h7>52z?0g2?=j?301>k?5;6;3>{t;j?j6=4<{<1`1d016?n;m:507?85d?00?>95rs2a5=?6=;r78o8o51147?85d?103ik523b4:>g0>3ty8o9m50;0x96e003h=5637f3>2k<270=l5`8761=:;j=369<;;|q0g3>=839p1>m:9;3321=:;j=<65ki;<1`2=01v>m;b;296~;4k>=1n;74=2g37?2??2wx?n;7:18085d=10i:4523b7:>143349h;:4;259~w6e1?3:1?v37f002k<27p}6}:;j?<6o86;<1`1=<3:=16?n99:507?xu4k?<1<7=t=2a63?77>=16?n9::9ge?85d>?0i:45rs2a7=?6=:r78o:;5b7;896c793>3;6s|3b75>5<4s49h9;4m689>7f302=8?70=l748761=z{:i=97>53z?0g00=996o86;|q0g15=838p1>m84;`5=>;4loh18594}r1`14<72:q6?n;>:c4:?85d=?0?>9523b57>1433ty8o8h50;1x96e293;;:9523b4f>=ca349h9k4m689~w6e3:3:1>v3a:?0`dg=<8k01>jnc;62e>;4lho18:m63a:?0`d3=<8k01>jn7;62e>;4kol1n;74=2fb:m63a:?0`g5=<8k01>jm1;62e>;4lhl18:m63:m63a:?0acb=<8k01>kie;62e>;4mol18:m63a:?0ac1=<8k01>ki8;62e>;4mo3185<5s49ni<4;1`9>7a6b2k<27p}a:?0`gc=<8k01>j?1;`5=>;4lkl18<:1815~;4mko18:m63a:?0aad=<8k01>kkc;62e>;4mmn18540c896cd93>:m63a:?0af2=<8k01>kl5;62e>;4mj<18:m63a:?0afb=<8k01>kle;62e>;4mjl18:m63a:?0aa0=<8k01>j?8;`5=>;4mm2145=4}r1```<72:q6?i9::53b?85c??0?=l523bff>g0>3ty8h=h50;fx96b?i3>:m63a:?0`=`=<8k01>j60;62e>;4l0;18<3>:m63a:?0`=b=<8k01>j>0;`5=>{t;m:i6=4={<1f`c<39h16?i>l:c4:?xu4kl?1<7?9{<1f6g<39h16?h17f349n??4;1`9>7`542=;j70=j35875d=:;l9>69?n;<1f64<39h16?h<=:53b?85b::0?=l523d07>17f349n>84;1`9>7`412=;j70=j26875d=:;l8369?n;<1f6<<39h16?h17f349n>h4;1`9>7fc12k<27p}7`1e2=;j70=j7b875d=:;l=o69?n;<1f13<39h16?h;8:53b?85b>;0?=l523d4g>17f349n;=4;1`9>7`162=;j70=j73875d=:;l=869?n;<1f31<39h16?h9::53b?85b=10?=l523d7:>17f349n9l4;1`9>7`3e2=;j70=j5b875d=:;l?o69?n;<1f1`<39h16?h;i:53b?85b>90?=l523d42>17f349n:>4;1`9>7`032=;j70=j64875d=:;l<=69?n;<1f22<39h16?h87:53b?85b>00?=l523d4b>17f349n:o4;1`9>7`0d2=;j70=j6d875d=:;l01v>j;d;296~;4mko18594=2aaf?d112wx?i;7:18185bjo0?4:523b`g>g0>3ty8h;=50;0x96cdi3>3;637`b22=2<70=lcb8a2<=z{:n=;7>52z?0aa?=<1=01>mld;`5=>{t;m<36=4={<1f`d<30>16?nmj:c4:?xu4l?31<7h0;6?u23df`>1>0349hh=4m689~w6b1j3:1>v37l99:p7a0d2909w0=jdd87<2=:;jn86o86;|q0`1c=838p1>kl0;6;3>;4kj<1n;74}r1g0c<72;q6?hm>:5:4?85dl80i:45rs2f64?6=:r78in<5495896ec<3h=56s|3e72>5<5s49no>4;869>7fb22k<27p}7}:;li>6968;<1``201v>j:4;296~;4mj<18594=2ag0?4:523bf:>g0>3ty8h8850;0x96cd03>3;637`e>2=2<70=lbb8a2<=z{:n>57>52z?0afd=<1=01>mme;`5=>{t;m?j6=4={<1fgf<30>16?nli:c4:?xu4l5b7;8yv5c=j0;6?u23daf>1>0349ho<4m689~w6b2l3:1>v37l99:p7a3b2909w0=jd187<2=:;ji86o86;|q0`0`=838p1>kk1;6;3>;4kj>1n;74}r1g25<72;q6?hj=:5:4?85dk<0i:45rs2f55?6=:r78ii=5495896ed?3h=56s|3e41>5<5s49nh94;869>7fe?2k<27p}7}:;jii6o86;<1f`=j;a;296~;4ll318594=2abb?d112wx?i:m:18185cmh0?4:523b`1>g0>3ty8oim50;3285cl90?=l523ef2>17f349oh54;1`9>7ab>2=;j70=kd`875d=:;mni69?n;<1g`f<39h16?ijk:53b?85cll0?=l523efe>17f349oh?4;1`9>7ab42=;j70=kd5875d=:;mn>69?n;<1g`3<39h16?ij8:53b?85dlm0i:45rs2f5b?6=:r78ih?5495896ecj3h=56s|3e6`>5<5s49n<44;869>7fd>2k<27p}7}:;j8:6o86;<1`fgkn9;296~;4k;i1n;74=2aa`?>0n2wx?hl;:18185d;>0i:4523ba:>=1a3ty8io950;0x96e4j3h=5637f5d2k<270=lce8;3c=z{:oi57>52z?0g6b=j?301>mle;:4b>{t;lhj6=4={<1`7`016?nmi:95e?xu4mkh1<7586d8yv5bjj0;6?u23b63>g0>349hh?477g9~w6cel3:1>v3m=3;`5=>;4km;14:h4}r1fe4<72;q6?n<;:c4:?85dl=03;k5rs2gb6?6=:r78o?;5b7;896ec=325<5s49h>;4m689>7fb121=m7p}l0q~=ja483>7}:;j836o86;<1``=kn6;296~;4k;31n;74=2ag=?>0n2wx?ho8:18185d:h0i:4523b`b>=1a3ty8il650;0x96e5j3h=5637f4c2k<270=lbd8;3c=z{:ojn7>52z?0g7c=j?301>mmf;:4b>{t;lkh6=4={<1`6c016?nm?:95e?xu4mhn1<7g0>349ho?477g9~w6cfn3:1>v3m<4;`5=>;4kj?14:h4}r1ff7<72;q6?n=::c4:?85dk>03;k5rs2ga7?6=:r78o>85b7;896ed0325<5s49h?54m689>7fef21=m7p}l0q~=le883>7}:;ll?6968;<1`<101v>mi0;296~;4mo?18594=2a;f?d112wx?nh>:18185bnj0?4:523b:`>g0>3ty8ok<50;0x96cal3>3;634?:3y>7``b2=2<70=l7d8a2<=z{:im87>52z?0ac`=<1=01>m8f;`5=>{t;jl>6=4={<1e45<30>16?n6?:c4:?xu4ko<1<70;6?u23g21>1>0349h4?4m689~w6ea03:1>v36o86;|q0g`d=838p1>ki7;6;3>;4k1<1n;74}r1`af<72;q6?hh7:5:4?85d0>0i:45rs2af`?6=:r78ik75495896e?03h=56s|3bgf>5<5s49njl4;869>7f>>2k<27p}6}:;mhh6968;<1g02016?ilk:5:4?xu4l=21<7=t=2faa?2??278h975b7;896ben3>3;6s|3e1b>5<4s49om?4;869>7f?d2k<270=ka287<2=z{:n8h7>53z?0`d2=<1=01>mne;`5=>;4lh318594}r1g7`<72:q6?ion:5:4?85d0o0i:4523eca>1>03ty8h>h50;1x96bfk3>3;631v>j;1;297~;4lk:18594=2a:=?d11278ho?54958yv5c<;0;6>u23e`1>1>0349h5i4m689>7ad42=2<7p}jm5;6;3>{t;m>?6=4<{<1gf3<30>16?n7i:c4:?85cj>0?4:5rs2f71?6=;r78ho65495896ef83h=5637ag22=2<70=la98a2<=:;mk=6968;|q0`6e=839p1>jn7;6;3>;4khn1n;74=2fbg0>3ty8h>750;0x96b0>3>3;637a502:k<70=k708a2<=z{:n=i7>52z?0a`6=<1=01>h:8;`5=>{t;o896=4<1z?0b74=999870=ld`8;<5=:;jk?656>;<1`e3=>7349hhi47819>7a75212:70=k118;3c=:;o;?656?;<1e11=1a349m>k47819>7f`d212870=lfg8;<4=:;jnn656>;<1e60523g3`>=1a349m>=477g9>7c6e21=m70=i108;<4=:;o;3656?;<1e43=>7349m8>477g9>7c4b212870=i318;<5=:;o99659i;<1`=3=>5349hm=47839>7f?a212970=i0e8;<4=:;jk3656;;<1e4f?;278hh:5495896b79325<5lr78j=>7349m?<47859>7c4f212:70=lde8;<7=:;m;9656=;<1g55=>7349hjn477g9>7c41212:70=le58;3c=:;j3i656;;<1e61=>3349m><477g9>7c6e212;70=i0d8;<4=:;o;9656;;<1e5<7:95e?85a=:034?523g76>=>5349o7a62212970=k078;<5=:;m:<656>;<1e04=>4349m?:477g9>7f?1212:70=lb58;<5=:;jlo659i;<1e4al:9:3?85c8h034?523bc6>=>7349h5?47809>7fc621=m7p}021896e?<32l01>m78;:4b>;4k1314:h4=2a;e?>0n278o5l586d896e?k32l01>m70;:4b>;4k1;14:h4=2a;6?>0n278o5=586d896eci32j>2;:;7>;4l8:145<4=2d60?>?9278okk5891896eb8323?63mj4;:;5>;4k0h145?4=2d11?>?8278j?l5890896`6k323=63h>7;:;6>;4n8214:h4=2d32?>?9278j=95893896`3k323=63768f:?0`53=01:01>j?6;:;7>;4n=;145?4=2d77?>?<278j995892896`5m32mm4;:4b>;4n9n145>4=2d3g?>?9278ol;586d896e>:323>6364|5:l;57??329>7fbf212?70=l8e8;<5=:;j2n656?;<1`e1:9:3?85c<0034<523e64>=>6349hhi47809>7a7521=m70=k0b8;<7=:;m;;656<;<1e51=>7349o=947839>7c4a212870=lfg8;<5=:;jo?656?;<1e60=1a349m=h47859>7c47212;70=i108;<5=:;o>h656<;<1e0a523e24>=1a349m8=477g9>7c4b212:70=i318;3c=:;j3=659i;<1`=d=>7349h5k47819>7fg?21=m70=i0b8;3c=:;j3h659i;<1g4<=>7349h5847819>7f?6212:7p}021896`20323<63mn4;:;4>;4l=3145<4=2f73?>?:278oij586d896b6:323<63mie;:;5>;4kl:145<4=2f20?>?9278okm5893896ef:323?63h>c;:;4>;4n8n145?4=2d2a?>?9278j=k5892896`6:323<63h?7;:;4>;4n=i145<4=2d67?>?;278h=<5892896b7=323?63h;3;:;6>;4n;o145<4=2d06?>?<278j>;5893896e>>323<63mnd;:;5>;4kh:145?4=2a:b?>?9278j=j586d896ef0323<63l01>jj1;6;3>;4kl;145?4=2a:1?>?92wx?i98:18185c0h0:<>=4=2f;2?>0n2wx?i9n:18185c0k0:<>=4=2f;2?>?82wx?i9m:18185c0l0:<>=4=2f;?92wx?i9l:18185c0o0:<>=4=2f;3?>0n2wx?i9k:18185c190:<>=4=2f;1?>0n2wx?i9j:18185c180:<>=4=2f;1?>?82wx?i9i:18185c1;0:<>=4=2f;1?>?92wx?i6?:18185c1:0:<>=4=2f;?;2wx?i6>:18185c1=0:<>=4=2f;3?>?;2wx?i6=:18185c1<0:<>=4=2f;3?>?92wx?i97:18185c0j0:<>=4=2f;2?>?92wx?i96:18185c0m0:<>=4=2f;0n2wx?hk>:1824~;4ml;1===<;<1g37=>4349m=447839>7c6?212970=i4e8;<1=:;jo9656>;<6af5=>7349hm:47839>7fc1212;70=je28;3c=z{:ooj7>514y>7`ba28:8?63h>4;:;5>;4k0h145>4=2d10?>?8278jmm8;:;7>;4kok145:4=2f3a?>?9278j>7586d896ee9323?63l01>m65;:4b>{t;l=n6=4<{<1ff`<68:901>lkc;:;4>;4k;:14:k4}r1f<<<72:q6?hli:0207>;4jmh145>4=2`e0n2wx?h7;:18085bkh0:<>=4=2`gg?>0n278o=k586d8yv5b1>0;6>u23df6>464;278nim5893896e6:325<4s49nh44>021896dcj327`bf28:8?63=0>l0q~=j9c83>6}:;lnh6<><3:?0fad=01;01>m>a;:4b>{t;l3h6=4<{<1f`a<68:901>lkb;:;0>;4k8i14:h4}r1f=a<72:q6?hjj:0207>;4jmi145=4=2a2a?>0n2wx?h9i:18085bk90:<>=4=2`gf?>?;278nh:586d8yv5b090;6>u23da2>464;278nim5896896db>325<4s49no?4>021896dcl323<637`e428:8?6342908w0=jc582465<5:hoh7671:?0f`e=0>l0q~=j8583>6}:;li>6<><3:?0fab=01801>lje;:4b>{t;l2>6=4<{<1fg3<68:901>lkd;:;7>;4jo:14:h4}r1f<3<72:q6?hm8:0207>;4jmn145:4=2`e6?>0n2wx?h68:18085bk10:<>=4=2`ga?>?8278nk:586d8yv5b010;6>u23da:>464;278nik586d896da>325<4s49noo4>021896dcm323=637`ed28:8?63d2908w0=jce82465<5:hoi7673:?0fcc=0>l0q~=j8e83>6}:;lin6<><3:?0fac=01>01>m?0;:4b>{t;l2n6=4<{<1fgc<68:901>lkf;:;4>;4k9814:h4}r1f;4jml14:h4=2a30?>0n2wx?h7?:18085bl80:<>=4=2`gb?>?9278o=8586d8yv5b180;6>u23df1>464;278nih5890896e70325<4s49nh>4>021896dcn323?634?:2y>7`b328:8?63l0q~=j9783>1}:;ln<6<><3:?0g6g=0>l01>lj0;:;4>;4mm214:h4}r1fa1<72;q6?hh;:0207>;0;=03;h5rs2gff?6=:r78ik;51110?8131325<5s49njn4>0218922d21=n7p}31`=0>o0q~=jed83>7}:;lln6<><3:?417kjf;296~;4mol1===<;<561?>0m2wx?hh?:18185a890:<>=4=67;>=1b3ty8ik?50;0x96`793;;?>5274`9<2c7c6528:8?6385d8;3`=z{:om?7>52z?0b55=999870991;:4a>{t;lo>6=4={<1fb3<68:901:=8:95f?xu4ml<1<7o586g8yv5bm>0;6?u23dd;>464;27v32909w0=jf`82465<5>>8659j;|q0a`g=838p1>kib;3376=:?=<14:k4}r1g36<72=q6?i9::0207>;4kk<145?4=2d0b?>?:278j<8586d8yv5c?=0;69u23e55>464;278oo8586d896`4n3252754955d534=??7??b39>316=99h970947??b39>303=99h9709:2;33f7=:?=l1==l=;<57g?77j;16;97511`1?814<3;;n?5rs2g3=?6=nr78i=751110?85c?;034=523g3e>=1a349m7c6?212:70=le38;<5=:;l:i656?;<6af5=1a349m?k47829>7fg0212:70=i178;<6=:;jo=659i;|q0``d=83kp1>jia;3376=:;o>>656;;<1`e7=>7349m>:47819>7c5321=m70=i478;<6=:;o9=656=;|q0`c7=83=p1>jib;3376=:;o>>659i;<1e0`03;k523bc`>=1a349m?;47829~w6ba:3:19v37fgf212?70=i518;<7=:;j<=656?;|q0`c5=83?p1>k?2;3376=:;o>>656>;<1`ed>034=5rs2fe0?6==>7349h:547819~w6ba=3:18v37c36212870=l688;<5=z{:nm:7>54z?0a53=999870=la88;3c=:;o?:656;;<1`2dji7;29=~;4m9<1===<;<1e00=>7349h:o47819>7c53212;70=i478;<7=:;j3:659i;|q0`c>=83?p1>k?7;3376=:;o>>656<;<1`ed:9:2?85d>j034=5rs2fe=?6==r78i=651110?85a<=034=523bcb>=>6349m9<47839>7f0c212;7p}7c2321=m70=la`8;<5=:;o?:659i;<1`25jjd;291~;4lon1===<;<1e01=>73ty8hhk50;7x96bam3;;?>523g67>=>6349hm447859>7c37212870=l638;<5=z{:nnj7>55z?0`c`=999870=i458;<1=:;jk2656<;<1e15=>6349h:947809~w6c0>3:1>v3v3v3v33:1>v3v3v3v3v3v3v3v3v3v347809~w6c4?3:1>v3v3v3v3v3v3v3v3v3v3v3v3v3v33:1>v3v347819~w6c303:1>v3v3v3v3v3v3v3v3v3v3v3v3v37f?d212:70=k878;<7=z{:o;o7>54z?0a77=999870=k8c87<2=:;jkn659i;<1g<3k?d;290~;4m;81===<;<1g<`<30>16?n6i:95e?85c01034?5rs2g3a?6==1a349o4:47819~w6c7n3:18v37a>2212970=l968;<4=z{:o:<7>54z?0a73=999870=k9087<2=:;j32659i;<1g<0k>1;290~;4m;<1===<;<1g=7<30>16?n7k:95e?85c0<03495rs2g26?6==1a349o4547859~w6c6;3:18v37a>0212?70=l9g8;3c=z{:o:87>54z?0a7?=999870=k9487<2=:;m2<656=;<1`e5k>5;290~;4m;k1===<;<1g16?no7:9:2?85c0?03495rs2g22?6==>7349hmi477g9~w6c6?3:1;v37fd1212970=k468;3c=:;o9m656>;<1e53=>7349o84477g9>7c5a212;70=k178;<7=z{8n2>7>59z?2``4=<1=01;6l031n;74=0f`5?>?827:hn85892894bd0323<63>dbc9<=6<58nhj7670:?2`ad=01;0q~=md883>71|5:io?7670:?0ga4=01:01>mk0;:;4>;4kjl145>4=2a`a?>?8278onj5892896edk323<63ml8;:;4>;4kj=145>4=2a`1?>?8278on:5892896ed;323<63mmf;:;4>;4kko145>4=2aa`?>?8278oom5892896eei323<63mk6;:;4>;4km?145>4=2ag0?>?8278oi?5892896eej323<63j?5;:;0>;4l9<145:4=2f33?>?;278h=75893896b7i323<6s|3bca>5<3s49hm?47819>7f?f21=m70=lab8a2<=:;j3:656=;|q0g<2=832p1>mne;:;7>;4k0o145=4=2a:`?>?;278o4>5891896e?n323?630q~=la283>=}:;jkn656?;<1`=`=>7349hm94m689>7f?>212;70=l968;<7=z{:i2>7>5bz?0gdc=01801>m6e;:;6>;4k0n145<4=2a:4?>?:278o5h5890896e>1323?6301>m62;`5=>;4k0=145=4}r1`f0<72;q6?nl9:c4:?85dj>034=5rs2ab1?6=;r78ol85b7;896ef:3238634?:9y>7fd1212870=i158;<7=:;m226o86;<1e75=>5349m=;47859~w6b6=3:14v3mj2;:4b>;4kk214:h4=2g3f?>0n278oo?5893896cb;323<6s|3g1f>5<1s49m>947829>7c4>212?70=i1d8;<7=:;o>:656=;<1e06u23g12>=>6349m>;4m689>7c4e21=m7p}h:5;:4b>{t;jh<6=4:{<1`f2=>5349hn54m689~w6`513:18v3h>d;:;4>{t;o986=4<{<1e0`323863<656>;<1e72h<8;291~;4n;2145<4=2d7`?>?:278j>>5893896`4:323=637c712k<270=lfb8;<1=:;jnn656<;<1e52=:9:7?85dm803495rs2agb?6=:8q6?nj<:9:2?85dl;034<523bf3>=>6349hok47809>7feb212:70=lce8;<4=:;jih656>;<1`gg=>6349ho:47809>7fe2212:70=lc58;<4=:;ji8656>;<1`g7:9:2?85dk9034<523b`e>=>6349hnh47809>7fdc212:70=lbb8;<4=:;jhj656>;<1``<034<523bf5>=>6349hh847809>7fb3212:70=ld08;<4=:;jhi656>;<1`g3?:278i=l5b7;896ee9326}:;jh9656>;<1`ec:c4:?xu4l8;1<7=t=2aa6?>?8278olh5892896b6:3h=56s|3e30>5<3s49hn?477g9>7fga21=m70=k158a2<=:;jlj656>;|q0a`4=83kp1>mm2;:;6>;4khl145<4=2agf?>0n278o4l5891896eai323>63l01>m63;:;5>;4k0?145:4}r1`ba<72>q6?njm:9:3?85dnl0i:4523bde>=1a349hn:477g9>7f`f212870=k0d8;3c=:;jh:656;;|q0b45=83>p1>h>4;`5=>;4n83145=4=2d3?8278j9j58918yv5dm:0;6>u23bff>=>3349hi<4m689>7a66212:7p}>47394?5|58>=;7??2`9>510128:9m63>4709f3?510028:8?63>47490=151042=9m70?;598a2<=z{8>=<7>52z?2004=0o>01<:92;:4b>{t9=?n6=4={<371da<27:8;<58928yv73=<0;6?u21540>13234;?9;477d9~w422<3:1>v3>4719006<58>>5768e:p513c2909w0?;628705=:9=?3659j;|q200d=839p1<::c;`5=>;6=>634?8?l477g9~w05493:1?v3:33d9<=6<5<98<7670:?6764=j?30q~;<3883>7}:=:>;69?n;<707d01v8=<7;296~;2;1;145>4=410=k:181834?m0?=l52521f>g0>3ty>?>;50;30834>h0?=l52524a>17f34?8;94;1`9>16122=;j70;<77875d=:=:=<69?n;<703=<39h169>96:53b?834?h0?=l52525a>17f34?8:n4;1`9>160c2=;j70;<6d875d=:=:9>:53b?834?;0?=l525250>17f34?8?;4m689~w054j3:1?v3:35g904g<5<9?j7:>a:?676e=j?30q~;<5183>7}:=:??6968;<703f01v8=:1;296~;2;9j:181834g0>3ty>?:h50;0x9053?3>3;63:3229f3?54?:7y>165f212:70;<2e8;3c=:=:2:656>;<706d0169>=;:95e?834=>034?5rs411=?6=:r7>?>h549589055j3h=56s|5210>5<4s4?8994;1`9>16322=;j70;<358a2<=z{<9?=7>52z?6716=<1=018==d;`5=>{t=:>96=4={<70060169>;8:95e?xu2;<<1<7:t=4162?77;:169>==:9:0?834:h034=525274>=>43ty>?9j50;0x9053n3;;?>5253df>=>63ty>?9m50;0x9053m3;;?>5253da>=>63ty>?9>50;7x905383;;?>525211>=>534?8>l477g9>162421=m70;=b68;<7=z{<9>47>52z?673g=999870;=b98;<7=z{<9==7>52z?673d=999870;=bb8;<4=z{<9=>7>52z?6722=999870;=d28;<4=z{<9=?7>55z?6723=999870;<7b8;<5=:=;nj656>;<706`1<7:t=4142?77;:169>=>53ty>?;;50;0x9050?3;;?>5253g1>=>63ty>?;850;0x905003;;?>5253g7>=>63ty>?;950;0x905013;;?>5253gb>=>63ty>?;650;0x9050i3;;?>5253g`>=>63ty>?;750;0x9050j3;;?>5253gf>=>63ty>?8750;0x9051k3;;?>5253g4>=>63ty>?8o50;0x9051l3;;?>5253ge>=>63ty>?8l50;0x9051m3;;?>5253d2>=>63ty>?8m50;0x9051n3;;?>5253d0>=>63ty>?8j50;0x905083;;?>5253d6>=>63ty>?8k50;0x905093;;?>5253d4>=>63ty>?8h50;0x9050:3;;?>5253da>=>53ty>?;>50;0x9050;3;;?>5253df>=>53ty>?9;50;6x9053?3;;?>525213>=1a34?8>h477g9>164f212:7p}:35694?3|5<9?:7??329>164a21=m70;<7b8;3c=:=:8n656>;<706d?9l51110?834;9034>5rs417?9o51110?834:o034>5rs5:a7?6=;r7?4o:5b7;891bcn323=63;8`19<=42>k4?:5y>0<572k<270:7a38;<1=:<1i?656<;<6:7=?;27?5>658918yv2d1=0;6?>t=55gf?d1127?4=>586d8911b>323>63;7dg9<=6<5==m<7670:?73c4=01:0199i4;:;4>;3?o<145>4=55e?827?;ko58928911ak323<63;8069<=6<5=2::7670:?7<4>=01:0196>a;:;4>;308i145>4=5:2a?>?827?4?>5892891>5:323<63;8149<=7<5=2;m7671:?7<5c=01;0196>2;:;5>;3k?>145<4=5:36?>?;27?;ho58918911bk323?63;8139<=5<5=h=97673:?7f1`=018019l;e;:;7>;3k:o145<4}r64`<<72lq68:jm:9:2?820lh0i:4525211>=1a34>i=i477g9>1624212970:7228;3c=:<>im659i;<6a0==>434>om547839>0g36212970:8c`8;<7=z{=2n:7>54gy>02be212;70:7e68a2<=:<0>9659i;<6:47<:9:3?82>8=034=524822>=>734>2<847819>0=`6212;70:6128;<7=:<0;2656=;<6;b`:9:2?82b;103;k52495`>=1a34>35;47809>0=b521=m70:7d58;3c=:<1n=659i;<6;`==1a34>3i=477g9>0=c521=m70:j4g8;<5=:<1on656;;<6;a<=>734>34:47819>0=>2212;70;<338;<5=:<129656?;<6;=>634?88>47809>0=44212:70:8cg8;<4=:<1l2656>;<6;bf89034<5249dg>=>634>3jl47809>0=`?212:70:7f68;<4=:<0:o656>;<6:4`i:9:2?82>8j034<524833>=>634>20<6f212:70:7fc8;<4=:<1l9656>;<6;b6=>634>2=?47809>0<76212:70:7eb8;<4=:<656=;<64`f9h034>5249ae>=>634>3h<47809>0<73212?70:m6c8;<7=:656=;<6ge=:9:2?820kh034<524c6;>=>53ty?n>h50;0282e;o0i:4524e26>17f34>o<:4;1`9>0a7c2=;j70:k24875d=:17f34>o?<4;1`9>0a6?2=;j70:k0`875d=:17f34>o=;4;1`9>0a7?2=;j70:k1`875d=:5246g4>=>534>0=60212970:70c8;<7=:<1:m656=;<6;56<:9:1?82ci103495rs5:10?6=lr7?4?65b7;891de?323>63;b8c9<=4<5=h=47671:?7f6`=01>019lnb;:;0>;3k?>145=4=5`5f?>?<27?o9l5891891d3:323863;b519<=2<5=h?87674:?7f3?=01;0q~:8cc83>70|5==ho7l99:?7`1g=<8k019j;c;62e>;3l?818:m63;d62904g<5=n<>7:>a:?7`22=<8k019j86;62e>;3l=n18:m63;d47904g<5=n>;7:>a:?7`0?=<8k019j:b;62e>;3l:m63;d75904g<5=h2h7672:?7f;3j0o145<4=5`:b?>?:27?nl>5890891df9323>63;b`09<=4<5=hj?7672:?7fd2=018019ln5;:;6>;3098145<4=5`7a?>?:27?o>k58938yv2dg0>34>0f21212970:mac8;<6=z{==n47>5ez?73`?=j?30196?0;:;4>;3j0n145=4=5`:f?>?;27?n4m5891891d>m323?63;b8d9<=5<5=hj<7673:?7fd7=019019ln2;:;7>;3jh9145=4=5`b0?>?;27?nl;5891891bf0323?6s|46de>5<60r7?4=>5b7;8911b?323?63;7d`9<=5<5==nh7673:?73``=0190199i1;:;7>;3?o9145=4=55e1?>?;27?;k958918911a1323?63;7g`9<=5<5==mh7673:?7<43=0190196>7;:;7>;3083145=4=5:2f?>?;27?46n323?63;8339<=5<5=2;;7673:?7<5d=0190196?f;:;7>;3089145=4=5:37?>?;2wx85>>:182<~;30981n;74=55f3?>?<27?;hl58968911bl323863;7dd9<=2<5==m=7674:?73c5=01>0199i5;:;0>;3?o=145:4=55e=?>?<27?;kl58968911al323863;8079<=2<5=2:;7674:?7<4?=01>0196>b;:;0>;308n145:4=5:2b?>?<27?4??5896891>7?323863;81`9<=2<5=2;j7674:?7<45=01>0196?3;:;0>{t<>io6=4>7z?73fc=j?30199je;:;7>;3?o:145=4=55e6?>?;27?;k:58918911a>323?63;7g:9<=5<5==mm7673:?73ce=0190196>4;:;7>;308<145=4=5:2?;27?46k323?63;80g9<=5<5=29<7673:?7<74=0190196?6;:;7>;309k145=4=5:3a?>?;27?4<<58918911bi323863;7da9<=2i9l4?:5y>0g3f2k<270:m508;<1=:<>o=656;;<6a171n;74=5::b?>0n27?4n=586d891>e132;30j:14:h4=5`6g?>0n2wx8o;::18082?jk034>524c74>g0>34>i9n47809~w04?83:1>v3;92;9f3?<5=h>>7671:p0<5f2908w0:63b8a2<=:<1hj656<;<6a17:1n;74=5:`4?>?<2wx8oon:18082eil0349524cca>g0>34>in<47859~w1c4n3:15v3;b4d9<=4<5=o?5768f:?7a1c=0>l0196j9;:;4>;3m:l1===<;<6;6<;30<<145>4=5:10n2wx85<::18182?:?0i:452490:>=>53ty?n>m50;0`82>8;034<524820>=>634>2<947809>0<66212:70:6048;<4=:<1l:656>;<6;b`5249g7>=1a34>i?i4m689>0=`>212;70:7fb8;<5=:<1lm656?;<6:45=>734>3j:47819>0<6c212;70:60d8;<5=:<0:m656?;<6:4f8k034=52482b>=>734>3jo47819>0=`5212;70:7f28;<5=:<1l?656?;<6;ac9;034=524832>=>734>3in47819>0=cc212;70:7cb8;<4=:<1in656>;<6:569h034<52483:>=>634>3o:47809>0<7321287p};e1494?5|5=o;i7l99:?73ag=0190196=8;:;7>{t0168h=8:9:7?82?m003;k524c40>=>33ty?;h<50;6x91>?j32=01;0q~:8e583>1}:<>o>6o86;<64a4?:323=6s|46ff>5<4s4>02ba2k<270:77`8;<1=z{=h:m7>53z?73`7=01>01968f;:;0>;3j8h1n;74}r646d<72hq68:ji:95e?820:k0i:452483`>=>634>34k47839>0<7b212:70:8268;<5=:<1=n656<;<6:5c5rs5512?6=6o86;<6:63:10349524803>=>534>2=n47829>0<7e212?70:61e8;<1=:<082656<;<6:6g0n27?5?85890891?5:3h=563;9359<2`<5=394768f:?7=76=0>l0197>c;:4b>;318h14:h4=5;2`?>0n27?5?7586d891?5j32<>54?:6y>024>2k<270:77`8;<6=:<0;n656=;<6;3`=>63ty?45j50;7x91>?m3h=563;8829<=7<5=h:n7672:?7<2d=018019663;3321=z{=3?<7>53z?7=14=01;0197;1;`5=>;319=145?4}r66fa<72;:p196je;:;6>;3=ko1n;74=5:1g?>?827?4?j5892891>28323>63;8439<=4<5=2>>7672:?7<1`=0180196:3;:;6>;30=o145<4=5:7`?>?:27?49<5890891>5j323<63;8209<=5<5=28?7673:?7<62=0190196<0;:;7>;30:?145=4=5:61?>?;27?48:5891891>5m323?63;83c9<=7<5=28n768f:?7<6`=0>l0196;5;:4b>;30==14:h4=5:75?>0n27?4>j586d891>41320q~:7f483>=}:<1l:656<;<6;06=>634>3j;4m689>0<74212870:6188;<6=z{=3;47>524y>0<6>2k<270:6068;<6=:<1l:656;;<6;a`=>534>38>47829>0=2?212870:7308;<6=:<18m656<;<6;15:9:3?82?=;034=52496e>=>734>39>47819>0=2b212;70:74e8;<5=:<1>9656?;<6;6g=1a34>3?=477g9>0=5221=m70:7548;3c=:<1??659i;<6;6`=1a34>389477g9>0=2121=m70:7418;3c=:<19h659i;<6;7=?;27?4hj5b7;8yv2e?80;68u249a:>=1a34>i;?4m689>0=ed212;70:7cd8;<5=:<1i<656?;|q7;30jl145=4=5:g5?>?;27?4i<5891891>c<323?63;8e49<=5<5=2o47673:?7;30mo145=4=5:f4?>?;27?4h<58918yv2?k?0;6nu249a;>g0>34>3h=47829>0=e2212870:7d28;<6=:<1n>656<;<6;`25249f`>=>434>3hk47829>0=c6212870:7e28;<6=z{=2h57>5cz?7;30m:145<4=5:g7?>?:27?4i;5890891>c?323>63;8ef9<=4<5=2om7672:?7;30l;145<4=5:f7?>?:2wx85mk:18`82?kl0i:45249f1>=>734>3h947819>0=b1212;70:7d98;<5=:<1n2656?;<6;`g=>734>3ok47839>0=b621297p};e5c94?2|5=2hi768f:?7a1d=j?3019k;e;:;5>;30j=14:h4}r6:1`<720q684;i:c4:?82>km034>524d6:>=>734>28;477g9>0<`>21=m70:n078;3c=:?<27?mlh5896891gek323863;a909<=2<5=k>;7674:?7e32=01>0197;8;`5=>;31<>145>4=5;e6?>?827?5kh5892891g7k323<63;9c19<=7<5=3j?7671:?7==4=01;0197:0;:;6>{t<0ih6=4>9z?7=fb=j?30197l1;:;7>;3ih914:h4=5c22?>?;27?mlh586d891?19323863;9d09<=5<5=k8j7674:?7ef3=01>019ok1;:;0>;3imn145:4=5cf=?>?<27?mk;5896891d79323863;b1f9<=2<5=k987673:?7ege=0>l019o72;:4b>;3i:;145=4=5c63?>0n27?m;:586d891?2<323>63;9g09<=4<5=3mj7672:?7e5e=0180q~:6bg83>d}:<0ni6o86;<6:03=>734>2h>477g9>0?<27?58k5893891?08323=63;9g69<=7<5=k;=7671:?7e5c=01;0197l6;:;4>{t<0n:6=49{<6:`701684m8:9:2?82>>m034=5248d4>=>734>j<947819>0d76212;7p};9bd94?4|5=3o<7l99:?7f1g=01:0q~:n6e83>0}:=>63ty?m9?50;cx91g3:3h=563;94g9<=4<5=3m87672:?7e57=018019o?e;:;6>;31=k14:h4=5;;f?>0n27?5lm586d891?ek3229l4?:3y>0<3e2k<270:6508;<7=z{=3>o7>58z?7=0b=j?3019o78;:4b>;3i0814:h4=5;67?>?827?548586d891???32<6o86;<6a0d?<27?mnk5896891gci323863;ad49<=2<5=km>7674:?7ecc=01>019l?a;:;0>;3j8<145:4=5c;3?d1127?5n958908yv2>lj0;68u248fg>g0>34>j5=477g9>0;31mk1n;74=5;fg?>?927?m4;5893891g3j323=63;a9c9<=5<5=3=87673:?7ef>=019019ok4;:;7>;3il:145=4=5cfg?>?;27?mk65891891d7<323?63;b029<=52h:4?:dy>0=>534>jo547839>0db3212970:ne18;<7=:;:9:1?82e99034?5rs5;g0?6=:r7?5i;5b7;891?dk32386s|4852>5<5s4>2;?4m689>0<1e212:7p};96194?5|5=3<87l99:?7=2d=018019o6b;:;6>{t86=49{<6b010168l9?:9:3?82>=>734>2m<47819>0{t<0om6=4<{<6:b40168l7=:9:0?82>n9034>5rs5;eg?6=:r7?5kk5b7;891?al323?6s|4`2:>5<5s4>j0d6f21287p};91494??|5=3;;7l99:?7=54=0180197?3;:;6>;319>145<4=5;31?>?:27?497586d891>3i32ij034?5248``>=>53ty?5no50;0x91?dj3h=563;a9g9<=42=94?:4y>0<732k<270:6128;<1=:<0;3656;;<6:5d6s|4b66>5<4s4>h8;4m689>0f22212:70:ma78;<7=z{=hj47>53z?7fd?=j?3019ln7;:;7>;3k=k145=4}r6aa6<72:q68oo8:9:1?82em=0i:4524b6b>=>53ty?;5850;0x91g>i323>63;79:9f3?<494?:3y>02>32k<270:8868761=z{==257>52z?7352z?73d?=j?3019974;6g7>{t<>286=4={<64<60168:6;:507?xu3?021<7g0>34><4>4;259~w11>?3:1>v3;7859f3?<5==3>7??659~w11f?3:1>v3;7`59f3?<5==3>7:k3:p02>62909w0:8808a2<=:<>2969<;;|q73<0=838p19966;`5=>;3?1;1==8;;|q73d0=838p199n6;`5=>;3?1;18i=4}r64<5<72;q68:6?:c4:?820080?>95rs55:1?6=:r7?;4;5b7;8911?83;;:95rs55b1?6=:r7?;l;5b7;8911?83>o?6s|46;0>5<5s4><5>4m689>02>72=8?7p};7`094?4|5==j>7l99:?73<5=997}:<>396o86;<64=6<3:=1v99n1;296~;3?h;1n;74=55:6?77>=1v99m0;296~;3?k:1n;74=55:6?2c;2wx8:7>:181820180i:45246;1>1433ty?;l>50;0x911f83h=563;783955033ty?;lh50;0x911fn3h=563;78390a5<5=4?:3y>02?72k<270:8908761=z{==2j7>52z?73<`=j?3019960;3321=z{==ji7>52z?73dc=j?3019960;6g7>{t<>2m6=4={<640168:7?:507?xu3?0o1<7g0>34><4k4;259~w11>l3:1>v3;78f9f3?<5==3i7??659~w11fk3:1>v3;7`a9f3?<5==3i7:k3:p02>c2909w0:88e8a2<=:<>2n69<;;|q73;3?1n1==8;;|q73dd=838p199nb;`5=>;3?1n18i=4}r6495rs55:f?6=:r7?;4l5b7;8911?k3;;:95rs55be?6=:r7?;lo5b7;8911?k3>o?6s|46:a>5<5s4><4o4m689>02>d2=8?7p};78c94?4|5==2m7l99:?73=d=997}:<>=m6o86;<641n;74=554b?77>=1v99n3;296~;3?h91n;74=554b?2c;2wx8:lj:181820jl0i:45246`0>461<2wx8:ll:181820jj0i:45246`0>1433ty?;n950;0x911d?3h=563;7ca955033ty?;ol50;0x911ej3h=563;7ca907202e12k<270:8bc8243202df2k<270:8bc8761=z{==h97>52z?73f3=j?30199ma;3321=z{==i57>52z?73g?=j?30199ma;610>{t<>i?6=4={<64g10168:l6:0250>{t<>h36=4={<64f=0168:l6:507?xu3?j91<7g0>34>0768yv20j?0;6?u246`5>g0>34>v3;7b39f3?<5==i:7??659~w11e=3:1>v3;7c79f3?<5==i:7:=4:p02e72909w0:8c18a2<=:<>h>6<>94:p02d32909w0:8b58a2<=:<>h>69<;;|q73g`=838p199mf;`5=>;3?k>1==8;;|q73g4=838p199m2;`5=>;3?k>18?:4}r64fa<72;q68:lk:c4:?820j;0:<;:4}r6416<72;q68l7n:9:0?820=<0i:45rs5565?6=:r7?;8?5b7;89112<3>986s|4645>5<5s4><:;4m689>023628:=86s|4655>5<5s4><;;4m689>02362=n87p};74294?4|5==><7l99:?7307=<;>0q~:86483>7}:<><>6o86;<6415<68?>0q~:87483>7}:<>=>6o86;<6415<3l:1v99;f;296~;3?=l1n;74=5564?25<2wx8:8;:181820>=0i:452466e>461<2wx8:9;:181820?=0i:452466e>1b43ty?;9k50;0x9113m3h=563;75d9072<:>4?:3y>02042k<270:84d82432<;>4?:3y>02142k<270:84d87`6=z{==?h7>52z?731b=j?30199;e;610>{t<><96=4={<64270168::k:0250>{t<>=96=4={<64370168::k:5f0?xu3??:1<7o0;6?u2464e>g0>34><:=4>0768yv20?l0;6?u2465f>g0>34><:=4;d29~w112n3:1>v3;74d9f3?<5===<7:=4:p020b2909w0:86d8a2<=:<>?m6<>94:p021c2909w0:87e8a2<=:<>?m69j<;|q730c=838p199:e;`5=>;3?5rs556`?6=:r7?;8j5b7;89112m3>986s|464`>5<5s4><:n4m689>023c28:=86s|465a>5<5s4><;o4m689>023c2=n87p};74a94?4|5==>o7l99:?730b=<;>0q~:86c83>7}:<>0q~:87`83>7}:<>=j6o86;<641f<3l:1v99:b;296~;3?h0i:452467a>461<2wx8:96:181820?00i:452467a>1b43ty?;8o50;0x9112i3h=563;74`9072<:44?:3y>020>2k<270:85`82432<;54?:3y>021?2k<270:85`87`6=z{==>57>52z?730?=j?30199:a;610>{t<><36=4={<642=0168:;6:0250>{t<>=<6=4={<64320168:;6:5f0?xu3?<21<7>0;6?u24644>g0>34><954>0768yv20?80;6?u24652>g0>34><954;d29~w113k3:1>v3;75a9f3?<5==>47:=4:p02062909w0:8608a2<=:<>>h6<>94:p02172909w0:8718a2<=:<>>h69j<;|q726g=838p198:a;:fb>;3>:k1n;74}r655d<72;q68;?n:c4:?821=h0?>95rs540=?6=;r7?:g0>3ty?:<650;1x910603h=563;60c9072<5=<>57:=4:p03502908w0:91982432<5=<>;76jf:?7261=j?30q~:91683>6}::<1<7=t=5423?77>=168;;9:9ge?821;?0i:45rs5422?6=;r7?:<85b7;89106?3>9863;6449072=?84?:2y>037128:=863;6479<``<5=<897l99:p03722908w0:9148a2<=:8?1==8;;<65118>1<7=t=5420?d1127?:<;543689102<3>986s|4710>5<4s4>==94>07689102;32nj63;6219f3?==>4?:2y>03742k<270:9158761=:3;3321=:01v98>2;297~;3>881n;74=5427?25<27?:8<54368yv21;80;6>u24731>461<27?:8?58dd8910493h=56s|4732>5<4s4>==<4m689>03752=8?70:9508761=z{=<8<7>53z?7247=990;`5=>;3>8;18?:4=5464?25<2wx8;bn27?:?h5b7;8yv218o0;6>u2472e>g0>34>===4;259>032a2=8?7p};63g94?5|5=<;j7??659>032b21om70:92d8a2<=z{=<;h7>53z?725b=j?30198?f;610>;3>=o18?:4}r656f<72:q68;>k:0250>;3>=i14hh4=541g?d112wx8;>l:1808218j0i:452472g>14334>=8n4;259~w105j3:1?v3;61a9550334>=8o47eg9>034e2k<27p};61`94?5|5=<;n7l99:?725e=<;>0198;b;610>{t0198;a;:fb>;3>;k1n;74}r654d<72:q68;>n:c4:?8218k0?>952476b>1433ty?:?750;1x9107i3;;:952476:>=ca34>=>44m689~w10713:1?v3;61;9f3?<5=<;m7:=4:?721?=<;>0q~:92983>6}:94:?721>=0ll0198=8;`5=>{t0168;>6:507?821<10?>95rs5413?6=;r7?:=651147?821<>03ik524704>g0>3ty?:=950;1x9107?3h=563;61:9072<5=6}:;?1<7=t=5432?77>=168;:::9ge?821:<0i:45rs5431?6=;r7?:=;5b7;89107>3>9863;6579072=>94?:2y>036228:=863;6569<``<5=<987l99:p03632908w0:9058a2<=:69<;;<6501<3:=1v98=3;297~;3>9>1==8;;<6506;;1<7=t=5415?d1127?:=:543689103;3>986s|4762>5<4s4>=><4>07689101932nj63;6539f3?=>=4?:2y>03472k<270:9208761=:01v98>f;297~;3>8l1n;74=5414?25<27?:;>54368yv21;o0;6>u2473e>461<27?:8h58dd89104n3h=56s|473f>5<4s4>==h4m689>037a2=8?70:95g8761=z{=<8i7>53z?724c=99d;`5=>;3>8o18?:4=546a?25<2wx8;=k:1808219m0:<;:4=546`?>bn27?:>j5b7;8yv219j0;6>u2473`>g0>34>==i4;259>033c2=8?7p};62a94?5|5=<:o7??659>033d21om70:93b8a2<=z{=<:n7>53z?724d=j?30198>c;610>;3>;3>14334>=9o4;259~w10403:1?v3;60;9550334>=9547eg9>035?2k<27p};61g94?5|5=<;i7l99:?724?=<;>0198:8;610>{t0198;d;:fb>;3>;n1n;74}r6546<72:q68;><:c4:?8218l0?>952476g>1433ty?:?<50;1x9107;3;;:9524761>=ca34>=>?4m689~w06dm3:1:v3:0dd9555434>2>>47819>022521=m70:7838;<7=:<1o:656?;<73fgld;29=~;28lo1===<;<64a4:9034<52495e>=>534>0=1b21=m70:7dg8;<5=:=9h2656?;|q64fe=83ip18>jd;3376=:<>o:656>;<6:5g=1a34>3;h47839>0<7a21=m70:m1c8;3c=:<1=i659i;<6;`a=1a34>2=i47839>025121=m70:8268;<7=:<1=n656?;<6:5c=>734>358477g9>0=bd212;70;?cc8;<5=z{<:o97>513y>15`128:8?63;7ed9<=7<5==957671:?7=4e=01:01967d;:;4>;301l14:h4=5::4?>?827?5b;:;5>;30>h145?4=5::0?>?;27?44;5896891>ci323<63:0b;9<=615`228:8?63;73;9<=2<5==9n7670:?7<=c=01>01967d;:4b>;31;n145>4=5506?>0n27?45o5890891>c?323<63:0b59<=64?:ey>15`328:8?63;72d9<2`<5==8<7:k3:?737?=0>l0199=b;:;7>;301o145=4=5:;f?>?927?45j5893891?5j323<63;93g9<=7<5=2347670:?7l5;:;4>{t=9n96=4i{<73b6<68:90199;3?;l18i=4=551=?>?827?;?l586d891>?m323>63;89`9<=6<5=23h7672:?7=7?=01:0197=b;:;6>;31;n145<4=5:;3?>?:27?4565890891>c;323<63:0b19<=615`528:8?63;72f9<2`<5==9i7:k3:?7=7>=01:0199=9;:;7>;3?;h145<4=5:;a?>?927?45j5891891?5i32;30m:145>4=42`5?>?82wx9=j?:1825~;28o;1===<;<647f:>034<52480;>=>534><>447839>024e212:70:78d8;<5=:<12o656;;<6:6<:l03;k5249:0>=>534>34;47819>02c4212;70:7c48;<5=:=9hm656?;|q64f`=83;3w0;?f182465<5==8n768f:?737e=;31;<145?4=5;13?>?827?5?65893891>?m32;301l145>4=5::4?>?;27?5l019676;:;6>;3?;=145?4=55f7?>?:27?4h=58928906el323<6s|461:>5<5s4>02262=8?7p};72594?5|5==8;7l99:?736?=<;>0199;b;610>{t<>9>6=4<{<64700168:=8:507?82095rs5507?6=;r7?;>=5b7;89114=3>9863;75;907202562k<270:8328761=:<>>369<;;|q7366=839p199<0;`5=>;3?:;18?:4=5573?25<2wx8:=i:180820;o0i:4524613>461<27?;9858dd8yv20:o0;6>u2460e>g0>34>02212=8?7p};72g94?5|5==8i7l99:?737`=9953z?737c=j?30199=f;610>;3?=?18?:4}r647a<72:q68:=k:c4:?820:l0:<;:4=5570?>bn2wx8:14334><894;259~w114k3:1?v3;72a9f3?<5==9h7??659>022421om7p};73a94?5|5==9o7l99:?737b=<;>0199;3;610>{t<>9i6=4<{<647g0168:;3?=:14hh4}r6456<72;q68:?<:c4:?8209k0?>95rs5525?6=;r7?;9863;7379072<026a2k<270:8108761=:<>8?69<;;|q735b=839p199?d;`5=>;3?9l18?:4=5517?25<2wx8:>m:1808208k0i:452462g>14334><>?4;259~w117i3:1?v3;71c9f3?<5==;n7:=4:?7377=<;>0q~:81883>6}:<>:j6<>94:?7376=0ll0199>9;`5=>{t<>:26=4<{<644<0168:>n:507?820:90?>95rs552=ca3ty?;=650;1x911703h=563;71;9072<5==:j7:=4:p02702908w0:8168a2<=:<>:36<>94:?734c=0ll0q~:80683>6}:<>:<6o86;<644=<3:=168:?j:507?xu3?8<1<7=t=5522?d1127?;=951147?8209m03ik5rs5532?6=;r7?;=85b7;89117?3>9863;70f9072<=84?:2y>02722k<270:80782432<5==:m76jf:p00c42909w0::e28a2<=:<;3=ok18?:4}r66a7<72:q688k=:c4:?822kj0:<;:4=57e=?>bn2wx88mm:180822kk0i:45244a`>14334>>j44;259~w13b93:1?v3;5d39f3?<5=?hn7??659>00`?21om7p};5b;94?5|5=?h57l99:?71fd=<;>019;i8;610>{t<01688m6:0250>;3=o<14hh4}r66g=<72:q688m7:c4:?822k00?>95244d5>1433ty?9ik50;1x913cm3h=563;5b:9550334>>j847eg9~w13d?3:1?v3;5b59f3?<5=?h47:=4:?71c3=<;>0q~::de83>6}:<019;i4;:fb>{t<01688m8:507?822n=0?>95rs57gg?6=;r7?9im5b7;8913d>3;;:95244d0>=ca3ty?9n;50;1x913d=3h=563;5b49072<5=?m?7:=4:p00be2908w0::dc8a2<=:<6<>94:?71c4=0ll0q~::c583>6}:<9863;5g39072>h44?:2y>00b>2k<270::c282432<5=?m<76jf:p00e52908w0::c38a2<=:<=1688ki:9ge?xu3=j;1<7=t=57`5?d1127?9n<54368913bn3>986s|44f4>5<4s4>>h:4m689>00e628:=863;5dg9<``>o=4?:2y>00e72k<270::c08761=:<;3=j:1==8;;<66aa1n;74=57`4?25<27?9hj54368yv22mk0;6>u244ga>g0>34>>h94>07689107:32nj6s|44f0>5<4s4>>h>4m689>00b32=8?70:9038761=z{=?nm7>53z?71`g=j?3019;k3;3321=:;3=m918?:4=5435?25<2wx88k6:180822m00i:45244f1>461<27?:=>58dd8yv22l80;6>u244f2>g0>34>>h?4;259>03672=8?7p};5d:94?5|5=?n47l99:?71a7=9953z?71a6=j?3019;k1;610>;3=ol18?:4}r66a2<72:q688k8:c4:?822l90:<;:4=57ea?>bn2wx88mi:180822ko0i:45244f3>14334>>jh4;259~w13b>3:1?v3;5d49f3?<5=?hj7??659>00`c21om7p};5bg94?5|5=?hi7l99:?71f`=<;>019;id;610>{t<6=4<{<66a001688mj:0250>;3=oi14hh4}r66ga<72:q688mk:c4:?822kl0?>95244d`>1433ty?9h:50;1x913b<3h=563;5bf9550334>>jo47eg9~w13di3:1?v3;5bc9f3?<5=?hh7:=4:?71cd=<;>0q~::e183>6}:<019;i7;:fb>{t<01688mn:507?822n>0?>95rs57g1?6=;r7?9oh51147?822mj03ik5244f6>g0>3ty?:io50;0x910ci3h=563;6ga9072=h54?:2y>03b?2k<270:9d`8761=:;3>m218?:4=54ee?25<2wx8;j=:180821l;0i:45247f7>14334>=j54;259~w10c83:1?v3;6e29f3?<5=7:=4:?72c1=<;>0q~:9cd83>6}:ji1<7=t=54`g?d1127?:nk54368910a=3>986s|47ab>5<4s4>=ol4m689>03ed2=8?70:9f58761=z{=53z?72f>=j?30198la;610>;3>o918?:4}r65g3<72:q68;m9:c4:?821k10?>95247d1>1433ty?:n:50;1x910d<3h=563;6b49072<5=lk1n;74=54`6?25<27?:hh54368yv21m10;6>u247g;>g0>34>=il4;259>02622=8?7p};6d494?5|5==<;>0199?4;610>{t0168;k9:507?8208:0?>95rs54f6?6=;r7?:h<5b7;8910b<3>9863;7109072=i=4?:2y>03c72k<270:9e38761=:<>::69<;;|q72ac=839p198ke;`5=>;3>l:18?:4=5534?25<2wx8;jl:180821lj0i:45247ff>14334>=jk4;259~w10c>3:1?v3;6e49f3?<5=0q~:9c083>6}:li1<7=t=54`5?77>=168;kj:9ge?821mm0i:45rs54:=?6=:r7?:475b7;8910e132nj6s|475:>5<5s4>=;44m689>03d>2=8?7p};68:94?5|5=<247l99:?722?=9953z?7221=j?3019889;610>;3>k218?:4}r65=3<72:q68;79:c4:?821?>0:<;:4=54a2?>bn2wx8;99:180821??0i:4524754>14334>=n;4;259~w10>=3:1?v3;6879f3?<5=<<:7??659>03d221om7p};66794?5|5=<<97l99:?7220=<;>0198m5;610>{t0168;9::0250>;3>k>14hh4}r6531<72:q68;9;:c4:?821?<0?>95247`7>1433ty?:4=50;1x910>;3h=563;6669550334>=n>47eg9~w100;3:1?v3;6619f3?<5=<<87:=4:?72g5=<;>0q~:99383>6}:0198m2;:fb>{t0168;9<:507?821j;0?>95rs54:5?6=;r7?:4?5b7;89100:3;;:95247`2>=ca3ty?::?50;1x910093h=563;6609072<5=94:?72g6=0ll0q~:97183>6}:1l1<7=t=54;b?d1127?::>51147?821io03ik5rs545b?6=;r7?:;h5b7;8910083>9863;6`d9072=4h4?:2y>03>b2k<270:96g82432<5=1n1n;74=545a?77>=168;ok:9ge?xu3>?i1<7=t=545g?d1127?:;k54368910fl3>986s|47:a>5<4s4>=4o4m689>030d28:=863;6``9<``=:o4?:2y>030e2k<270:96b8761=:;3>?h1==8;;<65ed?k1n;74=545f?25<27?:lo54368yv21000;6>u247::>g0>34>=:l4>0768910f132nj6s|474:>5<4s4>=:44m689>030f2=8?70:9a88761=z{=<347>53z?72=>=j?3019899;3321=:=839p19898;`5=>;3>?318?:4=54b0i:452474;>461<27?:l958dd8yv21>>0;6>u24744>g0>34>=:54;259>03g02=8?7p};69494?5|5=<3:7l99:?7231=9953z?7230=j?3019897;610>;3>h<18?:4}r65<0<72:q68;6::c4:?821>?0:<;:4=54b1?>bn2wx8;8::180821><0i:4524745>14334>=m84;259~w10?<3:1?v3;6969f3?<5=<=97??659>03g321om7p};67694?5|5=<=87l99:?7233=<;>0198n4;610>{t0168;8;:0250>;3>h914hh4}r6526<72:q68;8<:c4:?821>=0?>95247c0>1433ty?:5<50;1x910?:3h=563;6719550334>=m?47eg9~w10?83:1?v3;6929f3?<5=<=?7:=4:?72d4=<;>0q~:9a183>6}:0198l0;:fb>{t0168;6?:507?821k90?>95rs54:b?6=;r7?:4h5b7;89100n3;;:95247`e>=ca3ty?::k50;1x9100m3h=563;66d9072<5=94:?72gc=0ll0q~:97e83>6}:0n1<7=t=54:`?d1127?::j51147?821jm03ik5rs544g?6=;r7?::m5b7;89100l3>9863;6cf9072=5n4?:2y>03?d2k<270:97b82432<5=0h1n;74=544f?77>=168;lm:9ge?xu3>>k1<7=t=544e?d1127?::l54368910ej3>986s|47;b>5<4s4>=5l4m689>031f28:=863;6cc9<``=;54?:2y>031?2k<270:97`8761=:;3>>21==8;;<65f2?n1n;74=544u247:`>g0>34>=:i4>0768910fk32nj6s|4741>5<4s4>=:?4m689>030c2=8?70:9ab8761=z{=<3=7>53z?7234=99;3lh21n;74}r6a=5<72;q68ohj:5:4?82e1=0i:45rs5`;b?6=:r7?nkj5495891d>;3h=56s|4c:f>5<5s4>ijn4;869>0g?52k<27p};b9f94?4|5=hmn7:77:?7f<7=j?30q~:l4283>4d|5=i??7??329>0f33212970:l578;<7=:=>534>h:?47809>0ggb212:70:l448;<5=:;<6ae<=1a34>i59477g9>0g?521=m70:m978;3c=:=>734>h8l47809>0f25212970:mb08;<7=z{=hjh7>55z?7fcd=<8k019lic;62e>;3jon181>034>i544m689~w1d?j3:1>v3;bg790=1<5=h247l99:p0g>f2909w0:mf587<2=:;3j0<1n;74}r6a<=<72;q68oh=:5:4?82e1<0i:45rs5`bb?6=>r7?nk<540c891da;3>:m63;bg6904g<5=hm97:>a:?7fc0=<8k019lm1;`5=>{t168h=?:c4:?82b;;0?4:524d10>1>03ty?i>;50;6x91c4<3>3;63;e2:9f3?<5=o857:77:?7a6g=<1=0q~:j3b83>6}:0168h:9:5:4?xu3m=21<7=t=5g73?2??27?i9h5b7;891c283>3;6s|4b67>5<3s4>h8>4;869>0f2d2=2<70:md`87<2=:>6o86;|q7g1>=838p19m;7;6;3>;3k=k1n;74}r6a3`<72;q68okl:5:4?82e0?0i:45rs5`4`?6=:r7?nhl5495891d?<3h=56s|4c5`>5<5s4>iil4;869>0g>42k<27p};b6`94?4|5=hn57:77:?7f=4=j?30q~:m7`83>7}:01v9l89;296~;3jl=18594=5`;4?d112wx8o97:18182em?0?4:524c5e>g0>3ty?no<50;:x91db>3>:m63;bd5904g<5=hn47:>a:?7f`?=<8k019lja;62e>;3jlh18g0>34>mo947819~w1d2m3:1>v3:2`3904g<5=h=87l99:p0g372909w0:ld6875d=:17f34>nin4;1`9>0`cc2=;j70:jed875d=:17f34>nh84;1`9>0`b12=;j70:jd6875d=:17f34>nhh4;1`9>0`ba2=;j70:je1875d=:17f34>ni:4;1`9>0g2e2k<270:jc`875d=:17f3ty?n;;50;ax906a83>:m63:0g3904g<5<:m>7:>a:?64c5=<8k018>i4;62e>;28o?18:m63:0dg904g<5<:nj7:>a:?7f33=j?30q~:m1b83>7}:=8:96<><5:?7f4b=j?30q~:m6`83>7}:=8:969?n;<6a2g01v9l:2;296~;3k0<183>:m63;e3;904g<5=o9m7:>a:?7a7d=<8k019k=c;62e>;3m;n18:m63;e01904g<5=o:87:>a:?7a43=<8k019k>6;62e>;3m8=18:m63;e0a904g<5=o:h7:>a:?7a4c=<8k019k>f;62e>;3m;:18:m63;e36904g<5=o997:>a:?7a71=<8k019k=8;62e>;3n9l183>:m63;f3;904g<5=l9m7:>a:?7b7d=<8k019h=c;62e>;3n;n18:m63;f01904g<5=l:87:>a:?7b43=<8k019h>6;62e>;3n8=18:m63;f0a904g<5=l:h7:>a:?7b4c=<8k019h>f;62e>;3n;:18:m63;f36904g<5=l997:>a:?7b71=<8k019h=8;62e>;29<:18==m540`891d3l3h=56s|4b2e>5<4s4>h?94>021891df;32h0f5528:8?63;b`09<2`<5=i8?768f:p0f6c2908w0:l3182465<5=hj=768f:?7g67=0>l0q~:l0b83>6}:<3:?7fd6=0>l019m=f;:4b>{t524b44>30?34>0f21212:70:mac8;<5=z{=ho47>52z?7g31=>?=019m;f;:;7>{t?168n:i:9:1?xu3jm<1<730334>h9<47839~w1dc<3:1>v3;c759235<5=i><7674:p0gb42909w0:l668527=:;3k=l145:4}r6a`4<72;q68n88:743?82d=9034>5rs5`g4?6=:r7?o;9564:891e2932386s|4c`6>5<6;r7?no;51110?82e1m034<524c;a>=>634>i5n47809>0g?b212:70:m9g8;<4=:;<6ae4=>634>im847809>0gd021=m70:l3d8;3c=:<>o2656>;<6;47=>73ty?nil50;6x91dcj3;;?>5246a`>=1a34>0g3f21=m7p};c7794?5|5=i=97??329>0f0028;9o63;c759544b3ty?o9?50;3382d==034<524b75>=>634>h9547809>0f3f212:70:l5b8;<4=:;<6`25=1a34>im:477g9>0gd4212;70:m9`8;3c=::6<><3:?7fg7=01:019m;a;:4b>{t<6=4>9z?7g11=999870:l558;<5=:=>734>h:=47819>0f0521=m70:mad8;<7=:>659i;<6ae3524c:0>=>334>i4<47839>0gd4212:70:m818;<4=:=>634>in<47809>0f2f212;7p};c5a94?2|5=i?o7??329>5fe4212;70?l118;<4=:9ji:659i;|q7fcg=83=p19lie;3376=:09i=524ca7>7c734>iol4=e19~w1da13:14v3;bgf9555434>im447859>0g?3212870:m928;<5=:524c;7>=>534>i5?47809>0g?4212970:mce81``=:=>734>i5>47809>0g?621=m70:mce81`a=:1<79t=5`a0?77;:168n:9:9:3?82eij03;k5246a`>=>734>h84477g9>02c>212;70:m5`8;<5=z{=hm=7>56z?7fc0=999870:m988;<5=:9ji8659i;<3`55:9:7?82ej803;k5rs5`f6?6=9034>524cg7>=>434>i4;477g9~w1db93:19v3;bd`9555434>h9h47829>0gc221=m70:m868;<5=:524c:7>=>43ty?nih50;5x91db13;;?>524b7b>=>434>i4?477g9>0g>4212870:me58;<7=:=1a34>ii847819>0g>0212:70:m848;<5=z{=hoh7>59z?7f`1=999870:l578;<6=:;<6a<6:9:2?82e0903;k524cg7>=1a34>i4;47819>0g>3212;7p};bea94?g|5=hn:7??329>0f33212870:m838;<5=:=>734>i4;47809>0g>3212:7p};c7194?0|5=i=87l99:?7ffb=?1h019ll7;5;f>;3jj>1;5l4=5``e?1?j27?o;9579a8yv2ej?0;6?u24c`7>17f34>in:4m689~w1d0?3:1>v3;be`90=1<5=h2m7l99:p0gdf290>w0:m9g8a2<=:0}:7?c3ty?nn<50;7x91d>k3h=563;bbf96{t0168omk:3c:?82ek>09m4524ca7>7g>34>iol4=a89~w1dd83:19v3;b`79f3?<5=hhh7;3jjk1>l64}r6afc<727g034>io94=a69>0gef2;k<7p};bcg94?3|5=hj?7l99:?7ffb=:h<019ll7;0b2>;3jj>1>l84=5``e?4f>2wx8olk:18682ei;0i:4524cag>7g234>io:4=a49>0ge32;k>70:mc`81e0=z{=hio7>55z?7fd7=j?3019lld;0b0>;3jj=1>l:4=5``0?4f<27?nno52`68yv2ejk0;68u24cc3>g0>34>ioi4=a29>0ge02;k870:mc581e6=:;3k?=19om4}r6`1c<72;q68n8?:c4:?82d>>09j>5rs5a6`?6=:r7?o8k5b7;891e1?38mj6s|4b7a>5<5s4>h9n4m689>0f002;ln7p};c4;94?4|5=i>m7l99:?7g31=:on0q~:l5683>7}:7`f3ty?o9>50;0x91e1=3>3;63;b`59f3?h?k4?:3y>0gd22=2<70:ma78a2<=z{=lh57>54z?7bgc=<1=0197?1;:;7>;30oo145=4=5:1e?d112wx8kmn:18182ajo0?4:52490a>g0>3ty?jnl50;0x91`d83>3;63;83a9f3?mon4?:3y>0ce62=2<70:72e8a2<=z{=i3m7>53z?7fg2=<1=0199la;`5=>;3j<8145=4}r6`=0<72;q69=7i:5:4?820lm0i:45rs5df`?6=2>3h=563;fb59<=2<5=l?<7674:p15c52909w0;?f187<2=:<12:6o86;|q64`2=838p18>i1;6;3>;30191n;74}r73a0<72;q69=h=:5:4?82?0<0i:45rs42f2?6=:r7>??3h=56s|51g4>5<5s4?;j94;869>0=>?2k<27p}:0d:94?4|5<:m97:77:?7<=g=j?30q~;?e883>7}:=9l=6968;<6;=401v8>ja;296~;28o=18594=5::2?d112wx9=km:181837mm0?4:52495`>g0>3ty>3;63;8929f3?4?:3y>15ca2=2<70:7838a2<=z{=h=i7>512y>0=>621=m70:m3g8;<7=:<1=h656?;<6;=303;k5249:6>=1a34>34?477g9>0=>f21=m70:7818;<5=:<13:656?;<64`a=>534>i8947839>0g2?212:70;=a28a2<=:656>;|q7f3b=839p18=<2;:;5>;2;=9145>4=40b6?d112wx8o8l:18`820lk03;k524c3g>=>534>3>>47819>02ea212970:m468;<6=:<>nh659i;<71e10168o8m:9:2?82ci1034=524c72>=>734>0g2?212;7p}:0``94?4|5==:m7l99:?7<`4=01;0q~;?ae83>7}:<>;o6o86;<6;gcne;296~;3?8o1n;74=5:g5?>?82wx9=oi:1818209o0i:45249f1>=>63ty>50;0x911583h=563;8e69<=702462k<270:7d78;<4=z{<:i>7>52z?7374=j?30196k9;:;5>{t=9h86=4={<646601685jm:9:2?xu28k>1<7g0>34>3hh47809~w06fk3:1>v3;70`9f3?<5=2n<7671:p15b02909w0:8418a2<=:<08=656?;|q64a?=838p199;3;`5=>;31;=145=4}r73`d<72;q68::;:c4:?82>:1034>5rs42gf?6=:r7?;9;5b7;891?5132386s|51f`>5<5s4><8;4m689>0<4e21287p}:0ef94?4|5==?;7l99:?7=7b=0190q~;?dd83>7}:<>>36o86;<6:5fkf;296~;3?=31n;74=5;2`?>?;2wx9=k?:181820=>43ty>02262k<270:6228;<6=z{=l9j7>52z?6434=<1=018{t9b;62e>;28?i18<;k540c89061n3>:m63:062904g<5<:?n7:>a:?641e=<8k018>:7;62e>;28<8j540c89062m3>:m63:04d904g<5<:=<7:>a:?6437=<8k018>;d;62e>;28=o18<8>540c8906293>:m63:040904g<5<:>?7:>a:?6402=<8k018>:5;62e>;28<<18<87540c89062i3>:m63:070904g<5<:347:>a:?64=?=<8k018>64;62e>;280218<4o540c8906>j3>:m63:08a904g<5<:2h7:>a:?647a;62e>;281h18<5j540c8906?m3>:m63:09d904g<5<:2<7:>a:?64<7=<8k018>62;62e>;280918<48540c8906>?3>:m63:035904g<5=h=47l99:?7a6`=<8k0196:8;62e>{t0168i69:9:2?xu3km21<71>034>28?4m689~w07713:1>v3;88`9f3?<5=n3m7671:p146f2909w0:79g8a2<=:;|q6555=839p196m8;`5=>;3j?92wx9<>;:18182?j00i:4524bc3>=>43ty>==;50;0x91>ei3h=563;c`09<=50=de2k<270:la58;<6=z{<;;;7>53z?7;3j524c71>=1a3ty?i:=50;0x913bk3h=563;8069<=7n;h4?:3y>00`02k<270:8f18;<4=z{=o3>7>52z?71cd=j?30199i8;:;5>{t0168:hn:9:2?xu3m1>1<7g0>34>3<<47839~w1c?>3:1>v3;5gd9f3?<5=2;97673:p0`>02909w0:9018a2<=:<1:2656<;|q7a=>=838p198?1;`5=>;309n145=4}r6f<<<72;q68;>=:c4:?82?98034>5rs5g40?6=:r7?9hj5b7;891>6>323=6s|4d56>5<5s4>>ih4m689>0=7?212:7p};e6494?4|5=?nj7l99:?7<4g=01;0q~:j7683>7}:<?92wx8h96:181822n;0i:4524903>=>63ty?i:o50;0x913a;3h=563;8309<=7n;o4?:3y>00`32k<270:8e`8;<7=z{=o52z?71c3=j?30199jc;:;6>{t0168:kj:9:2?xu3m>l1<7g0>34>v3;5gc9f3?<5==m:7671:p0g3c2909w0;=a087<2=:<>i36o86;|q7`=c=838p19m66;6;3>;30;91n;74}r6g5<5s4>hon4;869>02`02k<27p};d8d94?4|5=io<7:77:?73c?=j?30q~:ka183>7}:01v9jn1;296~;3km818594=5:37?d112wx8io=:18182dl:0?4:524924>g0>3ty?hl=50;0x91ec<3>3;63;81`9f3?om94?:3y>0fb22=2<70:70g8a2<=z{=nj97>52z?7ga0=<1=0196>3;`5=>{t1685?::c4:?xu3l0;1<71>034>3=44m689~w1b>;3:1>v3;cb790=1<5=2:n7l99:p0a?32909w0:lc787<2=:<1;o6o86;|q7`<3=838p19ml7;6;3>;308l1n;74}r6g=3<72;q68nm7:5:4?82?:80i:45rs5f:3?6=:r7?on754958911b?3h=56s|4e;;>5<5s4>hol4;869>02ce2k<27p};d8;94?4|5=ihn7:77:?73`b=j?30q~:k9c83>7}:01v9j6c;296~;3kjo18594=55e7?d112wx8i7k:18182dko0?4:5246d6>g0>3ty?h5j50;0x91bb<3>3;63;7d49f3?03cb2k<270:8fe8;<4=z{<::n7>52z?72c?=j?30199jf;:;5>{t=9;m6=4={<65b`0168:h8:9:2?xu28;:1<7g0>34>v3;7139f3?<5=2;?7670:p15442909w0:8038a2<=:<1:<656?;|q6472=838p199?3;`5=>;309h145>4}r7360<72;q68:>;:c4:?82?8o034=5rs4212?6=:r7?;=;5b7;891>6;323<6s|5132>5<5s4>=ik4m689>0=72212:7p}:00094?4|5=7}:>4;296~;3>o81n;74=5:2f?>?92wx9=?::181821n:0i:452493g>=>63ty><<850;0x910a<3h=563;80d9<=703`22k<270:7208;<4=z{<::47>52z?72c0=j?30199j7;:;4>{t=9;26=4={<65b20168:km:9:3?xu288k1<7g0>34>v3;6g`9f3?<5==m?7671:p157b2909w0:9fb8a2<=:<>l>656>;|q66=e=838p19klf;6;3>;3i0;1n;74}r71=2<72;q68hjn:5:4?82>=90i:45rs40:e?6=:r7?ih;5495891??:3h=56s|53;a>5<5s4>ni44;869>07}:01v8<6e;296~;3mli18594=5;`g0>3ty>>l>50;0x91cbm3>3;63;9g09f3?0`b72=2<70:6558a2<=z{<83?7>52z?7aa7=<1=0197if;`5=>{t=;2?6=4={<6f`7<30>168l>l:c4:?xu2:1?1<71>034>j>o4m689~w04??3:1>v3;ee790=1<5=k8;7l99:p17>?2909w0:jd787<2=:86o86;|q66=?=838p19kk7;6;3>;3i<<1n;74}r71:0i:45rs40;f?6=:r7?ii75495891g013h=56s|53:g>5<5s4>nho4;869>0dg52k<27p}:29g94?4|5=ooo7:77:?7edc=j?30q~;=8g83>7}:01v8<60;296~;3mmo18594=5c`3?d112wx9?7>:18182blo0?4:524`f0>g0>3ty>>4<50;0x91cb83>3;63;aed9f3?4?:3y>0`c62=2<70:nec8a2<=z{<8287>52z?7a`4=<1=019oi7;`5=>{t=;3>6=4={<6fa6<30>168o><:c4:?xu2:0<1<71>034>2:>4m689~w04>13:1?v3;ed590=1<5=3<=7l99:?7a`>=<1=0q~;?0d83>7}:<>h86o86;<6b3d<3:=1v9hld;296~;3n0k18594=5:1b?d112wx8kj7:18182a1k0?4:524912>g0>3ty?jh=50;0x91`f>3>3;63;8519f3?mi;4?:3y>0cd62=2<70:7498a2<=z{=ln;7>52z?7bg2=<1=0196;9;`5=>{t1685:n:c4:?xu3nl31<71>034>38n4m689~w1`bj3:1?v3;fc:90=1<5=2?h7l99:?7=5g=0180q~:ieb83>6}:01684>m:9:1?xu3njo1<7=t=5d:g?2??27?49<5b7;891>aj323>6s|4gae>5<4s4>m5i4;869>0=2a2k<270:60b8;<7=z{=lo<7>53z?7b;319n145<4}r6e`4<72:q68k7i:5:4?82?=80i:452482f>=>53ty?ji<50;1x91`f83>3;63;8409f3?<5=3;j7672:p0cb42908w0:ia087<2=:<1?86o86;<6:55u24gc0>1>034>3984m689>0<7521297p};fe494?5|5=lj87:77:?7<7c=j?30196jc;:;6>{t1685=?:c4:?82?mo034?5rs5dg=?6=;r7?jl95495891>4:3h=563;8g09<=4mhl4?:2y>0cg?2=2<70:7328a2<=:<1l8656=;|q7bad=839p19hn9;6;3>;30:>1n;74=5:e0?>?:2wx8kjl:18082aih0?4:524916>g0>34>3j847839~w1`cl3:1?v3;f``90=1<5=2m;7672:?7<60=j?30q~:idd83>6}:4i3h=56s|4gg3>5<4s4>mmh4;869>0=`f212970:73b8a2<=z{=ln=7>53z?7bd`=<1=0196ic;:;6>;30:o1n;74}r6ea7<72:q68kl?:5:4?82?nm034?524963>g0>3ty?jh:50;1x91`e:3>3;63;8gd9<=4<5=2?87l99:p0cc22908w0:ib287<2=:<0:;656=;<6;0301v9k7a;296~;3>=81n;74=5c44?>?;2wx8h7::181821=>63ty?il>50;0x910203h=563;95d9<=4nm>4?:3y>033e2k<270:6808;<7=z{=oj87>52z?720e=j?3019761;:;6>{t6=4={<651a01684o=:9:1?xu3mh<1<70;6?u2477e>g0>34>2o;47839~w1cf03:1>v3;6729f3?<5=3n:7674:p0`g>2909w0:9608a2<=:<0l?656;;|q7a=d=838p198;3;`5=>;315<5s4>=8;4m689>0d7f21287p};e9d94?4|5=7}:36o86;<6b70=31n;74=5c74?>?;2wx8h7=:181821=>43ty?i4=50;0x9103j3h=563;a739<=5n594?:3y>032d2k<270:n768;<1=z{=o2:7>52z?721c=j?3019o6e;:;7>{t0168lol:9:0?xu3m021<7g0>34>jok47859~w1c>i3:1>v3;6409f3?<5=kon7674:p0`?e2909w0:9528a2<=:;3io9145:4}r6f=a<72;q68;;::c4:?82fno03495rs5g:a?6=:r7?:885b7;891d7j32386s|4d;e>5<5s4>=9:4m689>0g70212?7p};e`394?4|5=<>57l99:?7=3g=01>0q~:ja383>7}:<3:?7f13=01:0196la;:4b>;3m=3145<4=5`7e?>0n27?i9:586d891c4l323=63;8df9<=7<5==oh7673:?7<=?=01;0196j8;:;7>;30l=145?4=5`50?>0n27?4n6586d891d3l3252494;>=1a34>3;>477g9>0=0>21=m70:76`8;3c=:<1=1a34>3:i477g9>0=3b21=m70:7748;3c=:<1k03;k524947>=1a34>3;?477g9>0=3e21=m70:7778;3c=:<1<;659i;<6;27=1a34>3:<477g9>0=3f21=m70:7718;3c=:<1=<659i;<6;23<03;k524857>=>634>3:>477g9>0<10212:70:n998;3c=:o659i;<6b14>1034<524`ag>=>634>jh447809>0dc2212:70:nf08;<4=:;<6a4<=1a34>=?5477g9>035e21=m70:93b8;3c=:=1a34>=>>477g9>034321=m70:9248;3c=:=1a34>=>o477g9>034d21=m70:92d8;3c=::95e?821;;03;k524710>=1a34>=?9477g9>035221=m70:9378;3c=:034?5248f;>=1a34>38>477g9>0=2?21=m70:7308;3c=:<18m659i;<6;b5=>734>2hl47839>0<>a212:70:64e8;<4=:<0=m656>;<6:e5=>734>jn947819>0de7212;70:n5b8;<5=:=>334>3?o47819>0=5a212;70:7448;<5=:<1><656?;<6;04=>734>2i=47819>0=5f212;70:73d8;<5=:<1>?656?;<6;03=>734>3?;47819>02>>21=m70:8578;3c=:<>2j659i;<6412=1a34>i99477g9>0=de212;70:79c8;3c=:;<6;f=003;k524e07>=>73ty?h9=50;6x91b1i3;;?>52517a>1>034>o:o477g9>0a41212;7p};d5694?2|5=n=o7??329>153d2=2<70:k6e8;3c=:p19j9e;3376=:=9?o6968;<6g2c=>73ty?h9950;6x91b0:3;;?>52517e>1>034>o;>477g9>0a4b212;7p};d5:94?2|5=n<87??329>15072=2<70:k748;3c=:p19j86;3376=:=9<:6968;<6g321<7:t=5f7`?77;:169=:k:5:4?82c=>73ty?h>;50;6x91b3n3;;?>52516f>1>034>o9=477g9>0a6e212;7p};d2494?2|5=n>=7??329>152a2=2<70:k538;3c=:p19j:3;3376=:=9?;6968;<6g11i:9:3?xu3l:21<7:t=5f61?77;:169=;>:5:4?82c=?03;k524e32>=>73ty?h>750;6x91b2?3;;?>525171>1>034>o95477g9>0a74212;7p};d2c94?2|5=n>57??329>15342=2<70:k5`8;3c=:656?;|q7`6d=83>p19j:b;3376=:=9??6968;<6g1f=>73ty?h>j50;6x91b2n3;;?>525175>1>034>o:=477g9>0a7e212;7p};d2d94?2|5=n=?7??329>153?2=2<70:k658;3c=:p19j95;3376=:=9?26968;<6g23:9:3?xu3l=;1<7:t=5f53?77;:169=;n:5:4?82c>103;k524e00>=>73ty?h>=50;dx91b3i3;;?>52516a>1>034>0f?421=m70:8d48;3c=:<>n<659i;<64`7=1a34>i;8477g9>0a2e212;70:8d98;3c=:<>n=659i;<6g43168:j;:9:3?82d1:034=5246f6>=>734>02b5212;70:8d18;<5=:<>n8656?;<64`48034=5246f;>=>734>0a7d212;7p};cdg94?5|5=n;97??329>15>?2=2<70:k078;3c=z{=im57>53z?7`51=999870;?8887<2=:d;3376=:=93?6968;<6g61168i<9:95e?xu3kol1<7=t=5f13?77;:169=76:5:4?82c:103;k5rs5f34?6=;r7?h?751110?8371h0?4:524e0b>=1a3ty?h=?50;1x91b5j3;;?>5251;a>1>034>o>n477g9~w1b7:3:1?v3;d3f9555434?;5n4;869>0a4b21=m7p};d1194?5|5=n9j7??329>15?c2=2<70:k318;3c=z{=n;87>53z?7`67=999870;?9d87<2=:168i>m:95e?xu3ko;1<7=t=5f3g?77;:169=6l:5:4?82c8m03;k5rs5ae6?6=;r7?h=k51110?8370m0?4:524e2e>=1a3ty?ok=50;1x91b683;;?>5251:f>1>034>o=<477g9~w1ea<3:1?v3;d009555434?;4k4;869>0a7421=m7p};cg794?5|5=n:87??329>15?72=2<70:k148;3c=z{=im:7>53z?7`40=999870;?9087<2=:8;3376=:=9396968;<6g5<168i?m:95e?xu3kok1<7=t=5f2a?77;:169=7::5:4?82c9o03;k5rs5aef?6=;r7?h?>51110?8371?0?4:524e02>=1a3ty?okm50;1x91b5:3;;?>5251;4>1>034>o>>477g9~w1bb>3:1>v3;e1d9555434>nv3;e029555434>nv3;e0`9555434>nv3;e349555434>nv3;e3;9555434>nv3;e3c9555434>nv3;e3`9555434>nv3;e3a9555434>nv3;e3f9555434>nv3;e3g9555434>nv3;e039555434>nv3;e009555434>nv3;e019555434>n<547819~w1bbi3:1>v3;e069555434>n<5477g9~w1bbj3:1>v3;e079555434>n<547809~w1bbk3:1>v3;e049555434>n<547839~w1bbl3:1>v3;e059555434>n<547829~w1bbm3:1?v3;e0:9555434?:4?4=4`9>0`6?212?7p};ddd94?5|5=o:57??329>14>52;??70:j088;<5=z{=nm<7>53z?7a4g=999870;>838110=:c;3376=:=8296?;9;<6f4<168h>6:9:1?xu3lo>1<7=t=5g2a?77;:169<6=:37;?82b80034>5rs5fe1?6=;r7?i=>33ty?hk850;1x91c583;;?>5250:1>73f34>n0`6d21=m7p};dg:94?5|5=o9>7??329>14>52;?h70:j0b8;<4=z{=nm57>53z?7a75=999870;>83810g=:l:9:7?xu3lon1<7=t=5g13?77;:169<6=:36f?82b8m03;k5rs5fea?6=>r7?i?651110?8360;098k5250:1>73734?:4?4=509>14>52;?870:j0e8;<5=z{=l;97>520y>0c7e28:8?63:2349<=4<5<898768f:?6676=01;018<=8;:;4>;2:8>145>4=401b?>?827>>?<589289045?323<63:2019<=6<5<89i7670:?6677=01:018<>2;:;4>;2:;n145>4=402b?>?827>>8;:;4>;2:::145>4=401e?>?827>><9589289047m323<63:23;9<=6<5<8:m7670:?665e=01:018<>b;:;4>;2:83145>4=4011?>?927>>=h5893890468323=6s|4g2;>5m>;4>02189045<323?63:2379<=6<5<8::7671:?665`=01:018<=0;:;4>;2:9h145?4=402`?>?827>><>589289045;323<6s|4g2:>5<>s4>m>44>02189046>323<63:2379<2`<5<8;j768f:?6676=0>l018;2:8n14:h4=4024?>0n27>>?=586d8yv2a8h0;6?u24g0b>464;27>>?858918yv2a8k0;6?u24g0a>464;27>>?9586d8yv2a8j0;6?u24g0`>464;27>>?6586d8yv2a8m0;6?u24g0g>464;27>>?o586d8yv2a8l0;6?u24g0f>464;27>>?l586d8yv2bn90;6?u24g32>464;27>>464;27>>?7586d8yv2bn;0;6?u24g30>464;27>>?m586d8yv2bn:0;6?u24g37>464;27>>?j586d8yv2bn=0;6?u24g36>464;27>>?k586d8yv2bn<0;6?u24g35>464;27>>?h586d8yv2bn?0;6?u24g34>464;27>>>>586d8yv2bn>0;6?u24g3;>464;27>>>?586d8yv2bn10;6?u24g3:>464;27>>=m586d8yv2bn00;6?u24g3b>464;27>>=k586d8yv2bnk0;6?u24g3`>464;27>>464;27>><<586d8yv2bnm0;6?u24g3f>464;27>><=586d8yv2bnl0;6?u24g3e>464;27>><:586d8yv2bno0;6?u24g03>464;27>><9586d8yv2a890;6?u24g02>464;27>><6586d8yv2a880;6?u24g01>464;27>><7586d8yv2a8;0;6?u24g00>464;27>>464;27>>464;27>>464;27>>??586d8yv2a8>0;6?u24g0;>464;27>>?<586d8yv2bmo0;6?kt=5d3b?77;:169?<9:9:3?835:=034=525333>=>534>j4547809>0d?5212:70:6958;3c=:<0?<659i;<6:<0j?03;k5248;5>=>734>24:47819>0=>634?9>:47809>1774212:70;=2d8;<4=:=;8:656>;<7157=>634?9>n47809>177b212:70;=308;<4=:=;8i656>;<715==>634?9174>212:70;=1`8;<4=:=;:h656>;<715g=>534?9>=47839>0<3621=m7p};egc94?50s4>m==4>021891g7j32n768f:?7=cc=0>l0197jb;:4b>;31m?14:h4=4012?>0n27>>63:20g9<=4<5<8987671:?7e=3=0>l019o7c;:4b>;31<9145?4=5;:0?>?927?5895893891??=323=63;9`49<=7<5=3i:7671:?7=<0=01;019777;:;5>;31h2145?4=5;a?927?m?m5892891g6n323<63;9ba9<2`<5=k847670:?667>=018018<>4;:;6>;2:;l145<4=4016?>?:27>>?9589089046;323>63:23g9<=4<5<89=7672:?6644=018018<=d;:;6>;2:8l145<4=4005?>?:27>>?l5890890460323>63:2229<=4<5<89m7672:?6641=018018;2:;3145<4=402e?>?:27>>=m589089046j323>63:20;9<=4<5<8997673:?665`=019018<=0;:;7>;2:8:145=4}r6f22<7289p19h=4;6;3>;3ml91===<;<65=00?h>524655>=>734><:;47819>02e0212970:8a88;<5=:<>32656?;<6b4g=1a34>i=947839>0351212:70:m058;<4=:<1lh659i;<6:=36968;<6fa1<68:9019866;:4b>;3>>=18i=4=542323>63;7b59<=2<5==j5768f:?73;3j88145<4=5;53?>?:27?n<;586d89104?323=63;b029<=7<5=2mh768f:?7=d>=018019o>f;:;6>{t3z?7b71=<1=019kj6;3376=:=>534>2:5477g9>0<1f212?70:9388;<4=:j1034?524`0`>=>534><4447859>0231212?70:88`8;<1=:<>?<656?;|q7a3d=83;mw0:i2987<2=:<3:?72l0199me;:;6>;31m?145>4=5;46?>?827?4no5892891c3k323863;e5g9<=6<5=k<=7673:?7a16=0180196j4;:;0>;3>:k145?4=5;42?>?;27?i9=5892891?7832;3?1k145=4=5563?>0n27?n;?586d891>dk32l0197>9;:4b>;31>314:h4=5;20?>0n27?4?758938yv2b=:0;6>=t=5d3b?2??27?:;<54e18913en3>o?63;61190a5<5==<<7670:?7337=01:0199md;:;4>;3?h9145>4=55:0?>?827?5=95892891g?=323=63;a8`9<=5<5=k<=7672:?7<`c=0>l0196>4;:4b>;30;i145?4=5:1`?>?927?4k>5896891>28323863;8439<=2<5=2>>7674:?7<1`=01>0196:3;:;0>;30=o145:4=5:7`?>?<27?49<5896891g>?323=63;83`9<=7<5=28>7672:?7<65=0180196<4;:;6>;30::145<4=5:01?>?:27?48;5890891>2<323>63;83g9<=4<5=k3m7671:?7=2e=0190196=a;:;4>;30:h145=4=5:0b?>?;27?49;5891891>3?323?63;8539<=5<5=28h7673:?7<6?=0190196<7;:;7>;31l:145=4=5c;4?d1127?m:j589289105:323>6s|4d7f>5<4lr7?j<>5495891cdn3;;?>5247:`>=1a34>=:i4;d29>00c721=m70::c`87`6=::9:1?820jm034?5246c0>=1a34><5947839>0<6021=m70:7f08;3c=:<0;8656?;<6:5=90034=524`:`>=>634>j5547809>0=cb212;70:8f18;3c=:<0o?656<;<6:22<>03;k52490`>=1a34>3>i477g9>0=24212970:7498;<7=:<19:656=;<6;6c=>634>38k47809>0=34212:70:74d8;<4=:<1>o656>;<6;07=1a34>3??47809>0=54212:70:7358;<4=:<19;656>;<6;70=>634>3>l477g9>0=5f212870:73d8;<6=:<1>?656<;<6;0352491;>=>434>3?;47829>0d>b21=m7p};e4594?7?s4>m=94;869>0`b428:8?63;6979<2`<5=<=:7:k3:?71a?=0>l019;l3;6g7>;3>9=18i=4=554f?>0n27?;;m58908911d:323>63;7`g9<2`<5==2j7672:?7b34=<1=019o>9;:;5>;3i8k145?4=5:2g?>0n27?:?85893891?7m32=01;019o=2;:4b>;3i;o145<4=5c2b?>?92wx8h;7:182<~;3n8?18594=5gg0?77;:168;69:95e?821>>0?h>5244fb>=1a34>>o94;d29>036?2=n870:87b8;<5=:<>1>034>j>547809>0d4>212:70:71d8;3c=:;<6:4c=>634>j>k477g9>0d5d212970:n2b8;<4=z{=o>57>516y>0c712=2<70:jd482465<5=<3;768f:?723>=;3=j?18i=4=543=?2c;27?;:m586d89111l323>63;7b19<=6<5==jj768f:?73d6=018019h94;6;3>;31m?145?4=5c00?>?927?m>;5893891>5832l019o<8;:;5>;3ij8145<4=5c7b?>0n2wx8h;n:182<~;3n8=18594=5gg2?77;:168;67:95e?821>00?h>5244f`>=1a34>>o;4;d29>036f2=n870:87e8;<5=:<>1>034>j4847819>0d2c212:70:n508;<6=:;656>;<6;67=>534>2=<477g9>0d3e212970:n3b8;<4=z{=o>n7>516y>0c7?2=2<70:jd682465<5=<35768f:?723g=;3=j=18i=4=543f?2c;27?;:j586d89111m323>63;7b19<=2<5==i<768f:?73d7=018019h96;6;3>;3i1i145>4=5c67?>?927?m8:5893891gc0323863;63c9<=7<5=3:>768f:?7e0e=0>l019o98;:;6>;3i;o145?4=55fe?>0n2wx8h;l:1823~;3n8318594=5ggk0?h>5244ff>=1a34>>o54;d29>036d2=n870:87d8;<5=:<>:9:3?820i;034=524g44>1>034>j;>47829>0d07212:70:n608;<4=:=1a34>j><47809>02cd21=m7p};e4f94?70s4>m=l4;869>0`b>28:8?63;69`9<2`<5=<=o7:k3:?71a`=0>l019;l9;6g7>;3>9n18i=4=554a?>0n27?;;h58908911d<323>63;7c39<2`<5==j>7672:?7b3>=<1=019o83;:;6>;3i><145?4=55fa?>0n27?mk>589689105k323=63;9979<=4<5=2nj768f:?7ed0=018019o>0;:;7>;3i>=14:h4}r6f1c<728=p19h>c;6;3>;3mmh1===<;<651b434>=0215212;70:8638;<5=:<>i?656;;<64e0h0?4:524`;g>=>634>j5h47809>02`521=m70:nfb8;<1=:;<6:=103;k524``0>=>534>j<>47829~w1c183:1=:u24g3g>1>034>nhn4>0218910?m32768f:?71fe=0;6g7>;3?>814:h4=5556?>?:27?;n;58928911f=32;3?o>14:h4=5`3?<27?:?h5893891?f>323>63;8g19<2`<5=ki8768f:?7=3e=018019ol3;:;6>{t6z?7b4c=<1=019kkd;3376=:524650>=>734><:>47819>02e2212970:8a78;<5=:<>3=656?;<6e2f<30>168ll7:9:2?820n?03;k524`a`>=>534>i=947859>0357212:70:6b78;<7=:<1l?659i;<6bg5=4=54:4?>0n27?::?54e189106:3>o?63;7619<2`<5===?7672:?73f3=01>0199n6;:4b>;3?0<145<4=5d5`?2??27?mno5890891?b;323=63;9759<=2<5=khh768f:?7ea>=0180198<1;:;5>;3ij2145?4=5:e1?>0n27?5nm58928yv2b>:0;6<:t=5d14?2??27?iih51110?8211803;k524751>1b434>==>4;d29>0213212;70:8658;<5=:<>i=656?;<64e2l0?4:5248ga>=>734>jh;47839>0db>21=m70:ne58;<7=:<0=j656<;<657703;k5248fe>=1a3ty?i;:50;3082a:80?4:524dg3>464;27?:4<586d89100;3>o?63;60690a5<5==<8768f:?7332=0180199l6;:;6>;3?h=14:h4=55:3?>?:27?j;h5495891?a9323<63;ad09<=4<5=3in7672:?7e`3=0>l019oi0;:;6>;3>:9145?4=5cf4?>?927?4k6586d8yv2b><0;6<:t=5d16?2??27?ih?51110?8211:03;k524757>1b434>==84;d29>0212212;70:8648;<5=:<>i=656;;<64e==>734>2mo47839>0dcb212970:nf08;3c=:=:034>5249d:>=1a3ty?i;850;3582a::0?4:524dg1>464;27?:4:586d89100=3>o?63;60490a5<5==<9768f:?7333=0180199l7;:;4>;3?h214:h4=55:?:27?j:?5495891?am323<63;98;9<=4<5=kmm7672:?7ecb=0>l019l?8;:;6>;3>:?145?4=5ce?927?4ko586d891???323>63;f6090=1<5=l?=768f:p0`0>290:4v3;f0`90=1<5=oom7??329>03?021=m70:97987`6=:<=>734>02g3212;70:89`8;<5=::9:3?82?m;034?52486b>=>734>0g73212870:64b8;<1=:;<6:<<n?034>5rs5g5g?6=90q68k<9:5:4?82bm<0:<>=4=54:e?>0n27?::o54e18913b=32l019997;:;6>;3?kl145>4=55b0?>0n27?;4o5890891`0;3>3;63;9139<2`<5=33n7670:?73cg=0>l019l?8;:;7>;31>o145:4=540f?>?927?45=5891891??=323?63;97a9<=5<5=32n7672:?7={t9z?7b7?=<1=019kj9;3376=:52473`>1b434><;:47819>020?212;70:8bg8;<7=:<>kj656?;<64=g8;03;k5246d`>=1a34>jjn47829>0<>b212?70:93b8;<4=:<12>656<;<6:ed1k034>5248;`>=>434>3h<477g9>0d65212:7p};e7g94?7?s4>m>l4;869>0`cf28:8?63;68a9<2`<5=<l019;k0;6g7>;3>8n18i=4=5543?>0n27?;;658908911en323863;7`c9<2`<5==2n7672:?7b23=<1=0197?3;:4b>;30m8145<4=5;bg?>?827?;kh586d891ga8323?63;98d9<=2<5=<8h7671:?7<=1=0190197ma;:4b>;31h<145=4=5c3b?>?92wx8h8i:182<~;3n;h18594=5gff?77;:168;7k:95e?821?m0?h>5244g;>=1a34>>h<4;d29>037b2=n870:8798;<5=:<><2656?;<64g51>034>2<9477g9>0=b3212970:6bb8;<5=:<1:=656=;<6ba1io034952471f>=>634>2n;47829>0=>?212?70:n208;<1=z{=o<<7>518y>0c4d2=2<70:jeb82465<5=<2i768f:?722c=;3=m818i=4=542b?2c;27?;:6586d891111323>63;7b29<=4<5==jn768f:?73;319?14:h4=5:g2?>?:27?5i:5890891>7i323>63;9d19<=5<5=ko47673:?7=f2=0180198;301k145=4=5c1a?>?<27?5nm5893891?b8323=6s|4d52>5<61r7?j?j5495891cbl3;;?>5247;e>=1a34>=;k4;d29>00cf21=m70::d287`6=:=>734><5i47819>0c1?2=2<70:6ec8;<4=:<1n2656=;<6:a3j:9:1?82>m=034<524`a`>=>434>=8=47809>081<7?6{<6e6`<30>168hkj:0207>;3>h:14:h4=54;4?2c;27?9hl586d8913c<3>o?63;63390a5<5==<5768f:?733g=0180199l1;:;4>;3?hi14:h4=55:`?>?:27?j:75495891?a9323=63;8849<=4<5=2on7672:?7=``=01:019o;e;:;4>;3088145<4=5475?>?927?5=l586d891gd:323?63;9g69<2`<5=3m;768f:?7=3d=01;0q~:j5583>4g|5=l:=7:77:?7aa6=999870:9838;3c=:52465b>=>734><:o47819>02e6212970:8ae8;<5=:<>3n656?;<6e1c<30>1684;m:9:2?82??j03495249f;>=>534>29l47819>0=7121=m70:9228;<4=:<0?8656=;<6;bg=>534>29h477g9>0<0c21=m7p};e4794?7fs4>m=?4;869>0`b628:8?63;6919<2`<5=<=87:k3:?71a1=0>l019;l1;6g7>;3>9?18i=4=554e?>0n27?;;l58908911d9323863;7`f9<2`<5==2i7672:?7b36=<1=0197ie;:;5>;30mo145<4=5;eg?>?827?4<6586d89105<323=63;8929<=4<5=3;o768f:?7==1=019019on6;:;5>;3i?2145:4=5c24?>?:27?m=?586d891g7<325<61r7?j<=5495891cc:3;;?>5247:7>=1a34>=:84;d29>00b?21=m70::c387`6=:=>734><5k47819>0c062=2<70:n0c8;<4=:<1o;656=;<6b4<=>634>34?47829>0<6c21=m70:6978;<6=::95e?xu28?81<7<9l51110?82f?m034?5rs420b?6=:r7><9m51110?82f0m03;k5rs4277?6=:r7><8951110?82><8l51110?82>?l034?5rs4271?6=:r7><8m51110?82>0l034?5rs4272?6=:r7><8j51110?82>1o034?5rs4273?6=:r7><8k51110?82>io034?5rs427<8h51110?82>l?034?5rs427=?6=:r7><;>51110?82>m<03495rs427e?6=:r7><;?51110?82>n<03;k5rs4201?6=:r7><9j51110?82>>k03;k5rs4202?6=:r7><9k51110?82f8;03;k5rs4203?6=:r7><9h51110?82f8o03;k5rs420<8>51110?82f9>034<5rs420=?6=:r7><8?51110?82f:?034<5rs420e?6=:r7><8<51110?82f;:034<5rs420f?6=:r7><8=51110?82f<8:51110?82f=l034<5rs420`?6=:r7><8;51110?82f>k034<5rs420a?6=:r7><8851110?82f?;034<5rs4274?6=:r7><8651110?82fi0034<5rs4275?6=:r7><8751110?82fj?034<5rs4276?6=:r7><8o51110?82fk;03495rs4245?6=;r7><5651110?82f?m034>5244f6>=>73ty><:m50;1x906?13;;?>5244g3>=>734>j4i47819~w06?83:1?v3:0869555434>>i947819>0<2c212?7p}:09394?5|5<:247??329>00c2212;70:67g8;<1=z{<:3>7>53z?646a;3376=:<74;297~;280h1===<;<66a=k=03495rs42;2?6=;r7><4j51110?822mh034=5248g5>=>43ty><5950;1x906>m3;;?>5244ga>=>734>2ik477g9~w060:3:1?v3:09c9555434>>h;47819>0<3f21=m7p}:06194?5|5<:3n7??329>00b0212;70:6fb8;3c=z{<:<87>53z?64=e=999870::d98;<5=:7d;3376=:<86;297~;281o1===<;<66`d=1<7=t=42;b?77;:1688jm:9:3?82f;=034>5rs424<4>51110?822lj034=524`72>=>33ty><:750;1x906>93;;?>5244fg>=>734>j9>47829~w060i3:1?v3:0809555434>>hh47819>0d0721287p}:06`94?5|5<:2?7??329>00ba212;70:n778;<6=z{<:53z?64<3=999870::e08;<5=:66;3376=:<8f;297~;280=1===<;<66a6=>43ty><;:50;6x9061j3;;?>524635>=>734>3<847809>0d?1212?7p}:07794?2|5<:=o7??329>0270212;70:6488;<4=:<1:2656>;|q6430=83>p18>9d;3376=:<>;3656?;<6:k:9:2?xu28?21<7jk034<52485b>=>53ty><;950;6x9061m3;;?>5248;:>=>634>3=<47809>027>212;7p}:0g:94?03s4?;ji4>021891g3=327671:?7e12=0>l019o;9;:;5>;31>814:h4=5;71?>?927?5:6586d891g>i323=63;a8`9<=6<5=k<=7671:?7=c>=01;019o?5;:;5>;3i88145?4=5;:=?>?;27?54j586d891g?l323=63;95;9<=5<5=33m7673:?7=dd=019019796;:;4>;31?3145?4=5;5e?>?927?5h95892891g39323?63;abc9<=6<5=khi7671:?7ef`=01;019ok6;:;4>;3imk145?4=5cgf?>?927?mh<5892891gb>323=63;ad59<=7<5=kni7670:?7ec4=01;019oi3;:;5>;3iok145>4=5cea?>?927?mkh5893891d7>323<63;b1c9<=7<5=h;n7671:?7f44=01:019l>6;:;5>;3j8=145?4=5;af?>?;27?m:;586d891?d?32l01976f;:4b>;31hl14:h4=5;`0?>0n27?5:o5893891?0>323<63;a959<=6<5=3?;7670:?7==?=01:0197na;:;4>;31kk145>4=5;g?827?5io586d891g>>323<63;9829<=6<5=3>o768f:?7=c5=0>l019o?0;:4b>;3i9n14:h4=5ca1?>0n27?m;o586d891g2l32;3i8i145>4=5c`6?>0n27?mn=586d891?>j323=63;98a9<=7<5=k;3i1o145>4=5;7a?>0n27?55>586d891?f932;3i>2145>4=5c02?>?827?m8;5892891g1:323<63;a5d9<=6<5=k3j768f:p15`>290<;v3:0gg9555434>2oi47839>0d22212;70:6dc8;<5=:<0n9659i;<6:`5?;034<52487f>=>734>2;447819>0d?f212;70:n9c8;<4=:;<6b34n=034=524`22>=>734>j0d?b21=m70:6968;<5=:<03:656?;<6b=5=>734>24<47819>0212;70:6a38;<5=:><034=524845>=>434>2:447839>0<0f212;70:6e48;3c=:<0o=656?;<6b0`=>434>joh47839>0dea212;70:nd48;<5=:=>434>ji;47839>0dc0212;70:nee8;<5=:=>434>jjh47839>0d`a212;70:m048;<5=:m:9:3?82e98034=524c31>=>434>i=;47839>0g70212;70:6b88;<5=:<0h9656?;<6b6a=>734>j;?47819>0d1421=m70:n758;3c=:=>734>j:<477g9>003;k5248f;>=>534>2hl47819>0<1d21=m70:65b8;<5=:<0l8656?;<6b45k:9:3?82fj<034=524`4b>=>734>j9i47819>0d55212;70:n248;<5=:<0<:9:3?82f99034=5248gg>=>734>jm=47809>0dg6212;70:n1b8;<4=:?k03;k524``:>=>734>j;:47819>0<0e212970:6f48;<7=:;31m8145?4=5;g4?>0n27?m99586d891g1m323<63;a509<=6<5=k?87670:?7e1?=01:0197lb;:4b>;31>>14:h4=5c4a?>?827?m4j586d891g>m323<63;98;9<2`<5=32h7670:?7=1?=0>l01977a;:4b>;31hh14:h4=5c2=?>0n27?ml0197j5;:;4>;31l<14:h4=5c7`?>?827?m9k5893891g29323>63;a529<=6<5=khm768f:?7ef`=0>l019ok6;:4b>;3imh14:h4=5cf6?>0n27?mh9586d891gbm32l019l?6;:4b>;3j9h14:h4=5`26?>0n27?n<9586d891?ej32=0>l019o82;:4b>;3i>?145>4=5c42?>0n27?m>:586d891g4=323<63;a419<2`<5=k>87670:?7e36=0>l019o91;:;4>;31l>14:h4=5;f7?>?:27?5;9586d891gdk32l019oic;:4b>;3j9214:h4=5`20?>0n27?5i85893891??m323=63;95a9<=7<5=3;31j>145>4=5;4e?>0n27?5:85893891g??323?63;9559<=7<5=3357671:?7=dg=01;0197ma;:;5>;31m2145=4=5;ge?>?<27?m49586d891g>>323>63;99d9<=4<5=3?h7672:?7=2`=0180197n0;:;6>;31k:145<4=5;4g?>?827?54>5893891?2k323>63;9g19<=4<5=k;<7672:?7e5b=018019on6;:;4>;3ik9145>4=5c6f?>?827?m;65892891g59323<63;a3g9<=6<5=k8o7670:?7eg0=0>l019on9;:4b>;3i?h14:h4=5c6a?>0n27?m>=586d891g5>32;3i8:145?4=5;f`?>?:27?5h>586d891?cn323>63;ab39550334>25o47819>0=>434>jn447839>0d10212970:n518;3c=:<0=:9:7?82f8o0349524`:e>=>63ty>=4=5;g6?>?827?5i>5892891g3?323<63;a7g9<2`<5=k?>768f:?7e12=01;019o;9;:4b>;31jh145>4=5;40?>?827?58o5893891?00323<63;a639<=6<5=3nj7671:?7=ce=01;019o?9;:;5>;3i0n145>4=5c:a?>?:27?5475892891?31323<63;99c9<=6<5=3jn7670:?7e4?=01:019o>a;:;6>;3ihh145>4=5cbg?>?:27?5;85893891?1i323?63;9d79<=7<5=3n:7672:?7e07=0>l019o;0;:;6>;3ijk145?4=5c`b?>?;27?mi85893891gcj323?63;ad09<=7<5=kn;7673:?7e`c=01;019oi3;:;7>;3iok145?4=5ceb?>?;27?n=85893891d7j323?63;b009<=7<5=h:;7673:?7=gd=01:019o=8;:;4>;3i;3145<4=5ca?827?m:<5890891g0;323<63;a669<=6<5=k<:7670:?7e62=01:019o<5;:;6>;3i<9145>4=5c60?>?:27?m;>5892891g19323>63;9d19<=6<5=3=;7670:?7efe=01:019ok8;:;4>;3il>145>4=5ce4?>?827?mkm5892891d70323<63;b069<=6<5=3o:7670:?7==c=01:0197;c;:;4>;31>o145>4=5;:b?>?827?5lh5892891?d<323?63;96c9<=6<5=3<:7672:?7e=1=01;0197;7;:;6>;3113145<4=5;be?>?:27?5oo5890891?c0323863;9ec9<=5<5=k2:768f:?7==`=0>l0197;d;:4b>;31>l14:h4=5;b4?>0n27?5o>586d891?0k323>63;9829<=4<5=kj:768f:?7eg5=0>l019o:b;:4b>;3i?214:h4=5c15?>0n27?m?k586d891g4k32;3i:9145>4=5c12?>?827?m<95892891?1k323=63;9g49<=7<5=k;?768f:?7e46=0>l0197jd;:;7>;3ij8145?4=5c`7?>?827?54l586d891?>k32;3i>=145?4=5c7b?>?:27?5;l5891891?a=323?63;a109<=5<5=k;j7673:?7e=`=01:0197:c;:;5>;31o9145?4=5c34?>?927?m=j5893891?cn323=6s|51d`>5<41r7>==?51110?82>km03495248fa>=>634>2hi47809>0<22212;70:6768;<7=:::9:3?82f9;034=524c6b>=>434>25:477g9>0m659i;<6:<=:95e?82>i003;k5248c1>=1a34>jn<477g9>0<0221=m70:n408;<5=:=1a34>jj4477g9>0g6221=m70:m108;3c=:<0h2659i;<6:f7=1a34>j?l477g9>0d3>21=m70:n678;3c=:<0n3656>;<6:`d;3i8h1==8;;<6:b=>;03;k524`f1>=1a34>jhh477g9>0dcf21=m70:nf78;3c=:=1a34>j?;477g9>0d3221=m70:n638;3c=z{<:947>518y>154b28:8?63;9539<2`<5=3;57670:?7a1d=01;019l92;:;7>;3m:<145:4=5g03?>?;27?i975893891c3k323=63;e529<=2<5=2nm7670:?7=43=01:019k<1;:4b>;3?j2145:4=5:f2?>?:27?9ok5890891c3;323=63;b619<2`<5<8j?768f:?66d4=0>l0196=6;:4b>;3j?914:h4=5`0`?>0n27?n>k586d8904f<325<6jr7><8034=52482:>=>534>n8o47839>0g7>212;70:m638;<5=:;<6f72524d61>=>634>3il477g9>0<7221=m70:j308;<5=:<>i3656<;<6;a3524c50>=>634?9m>47819>17g5212;70:7278;<5=::9:3?82e;l034=5253c7>=>73ty>=4=5;76?>?827?5=7586d891c3j327672:?7a60=019019k<7;:;5>;3m=i145=4=5g7`?>?827?i9>5892891c3932;3?j2145<4=5:f2?>?827?9ok586d891c3;32;30<<145?4=5`0`?>?927?n>k58938904f<323=6s|510a>5<6lr7><>?51110?82><8034>52482:>=>334>n8o47859>0g7>212:70:m638;<1=:=>734>3i947809>0<72212870:j318;<7=:<>lm656=;<64g==>534>n8>47839>0g5b212970:m728;<6=:=;k8656=;<71e7=1a34>i:>47809>0c26212:7p}:03a94?7as4?;??4>021891?39323>63;91;9<=5<5=o?n7670:?7f34=0>l019k<6;:4b>;3m:=14:h4=5g7g?>0n27?i9j586d891c3:32:7672:?7<`g=0180197>5;:;6>;3m::145=4=5:31?>?:27?4=75890891>7l323>63;8039<=4<5==mj7671:?73f>=01:0196j6;:;5>;3=ko145=4=5`47?>?:27>>l=58918904f:323?63;8349<=5<5==hi7670:?7f6b=019019l;2:h>145=4}r736a<72;8p18><3;3376=:<0>:656>;<6:4<=>634>n?;47839>0`5?212:70:j4e8;<7=:n656<;<6f07=>634>n?<47839>0=62212;70:7088;<5=:<1:o656?;<6;54=>334>>nh47859>0g14212;70;=a28;<1=:=;k9656;;<6;63:034?524837>=>734>i?i47859>0c26212970:m3d8;<1=:=;k?656;;|q64d5=83mc;3376=:<>;>659i;<6443<3l:1684:l:9:0?82?m:03;k5251`g>=1a3ty>524635>=1a34><<:4;d29>0<1b212870:7c48;3c=:=9hm659i;|q64d3=83l0;3376=:<>;<659i;<644=<3l:16846j:9:0?82?l903;k5251a2>=1a3ty>52463;>=1a34><<44;d29>0l4;3376=:<>:j69j<;<645<=1a3ty>3;;?>52462`>=1a34>2h;47829>0=b021=m70;?c68;3c=z{<:j57>55z?64f>=999870:6e48;<7=:<>:n659i;<6;`d=>734?;oo477g9~w06f83:19v3:0c49555434><=?477g9>0=bc21=m70:66c8;<5=:=9h<659i;|q64d7=83?p18>m8;3376=:<>;?659i;<6;`c=:9:3?837j003;k5rs42b6?6==r7>=1a34>j15de21=m7p};d6:94?5|5=n025e212;70:k7d8;<5=z{=n<57>53z?7`2`=999870:83b8;<5=:9o656?;<6g<7i1<7=t=5f;1?77;:168:=i:9:3?82c0?034=5rs5f;3?6=jr7?h5751110?820m<03;k5249:2>=>534>3;k47819>0=1f212;70:78g8;<4=:<12?656>;<6;<3?034=524e:b>=>73ty?h5650;dx91b?j3;;?>5246g2>=>734>34<47829>0=>e212970:77g8;3c=:<1=h656>;<6;=5=>434>i:;47809>0=>?212870:7958;3c=:<13>656?;<6g168nk>:9:3?xu3kmk1<7=t=5af6?77;:169=8m:5:4?82dm:034=5rs5agf?6=;r7?oh:51110?837>j0?4:524bg6>=>73ty?oim50;1x91eb>3;;?>52514g>1>034>hi:47819~w1ecl3:1?v3;cd:9555434?;:h4;869>0fc>212;7p};ceg94?5|5=inm7??329>150a2=2<70:lec8;<5=z{=ioj7>53z?7g`e=999870;?7187<2=:id;6;3>;30h>1n;74}r6ged<72;q69=hj:5:4?82?ih0i:45rs5fbf?6=:r7>fl3h=56s|4ec`>5<5s4?:<=4;869>0=d62k<27p};d`f94?4|5<;;=7:77:?72}:<3:?647c=<1=0197=5;:4b>;31;8145=4=5:63?>?927?o4k58928904f=323?6s|4b;;>5h5k4>02189065n3>3;63;9379<=4<5=39>768f:?7a6c=01:0196:7;:4b>;3kh:14:h4=40b1?>?<2wx8n76:18482di80:<>=4=4204?2??27?5?;5891891?5:323=63;e2g9<2`<5=ij>768f:?66d3=0180q~:l9`83>=}:<3:?6467=<1=0197=5;:;0>;31;8145>4=5g0a?>?;27?4895892891ef<32h5o4?:6y>0fg228:8?63:02090=1<5=3997670:?7=74=01>019k;3kh<145>4=40b1?>?82wx8n7l:18;82di>0:<>=4=4207?2??27?5?;5893891?5:323>63;e2g9<=4<5=2>;7672:?7gd>=0>l018{t3z?7a07=j?3018<=7;:;0>;2:;o145:4=4012?>?<27>>?j589689045k323863:23`9<=2<5<8987674:?667?=01>018<>b;:;0>;2:;2145:4=4020?>?<27>>?h5896890448323863:23c9<=2<5<8997674:?665`=01>018<=0;:;0>;2:8:145:4}r6f02<72nj659i;<6:galm03;k524d72>=>734>i9k47809>0=e>212870:j488;<1=:m656<;<6:00?>034>524`5e>=1a34>j<8477g9>0d7521=m70:na58;<4=:<039659i;<6b65=1a34>n8=47829>0`26212870:j458;<5=:<>i2659i;<7153=>534>j5?47839>0i656?;<6b=>734>ji=47819>0dcd212;70:nf98;<5=:n903495248dg>=>334>j0656?;<6:e3j:03;k5248c0>=1a34>24?477g9>0d4d212870:n1g8;<6=:<0ih656<;<6;aa=>534>25847839>0d7c212;70:j538;<5=:<1o<656=;<6a7cn1034=524c6a>=1a34>2:?47819>0db5212;70:ndd8;<5=:=:9:3?82e8l034=52490;>=>734?9=i47809>0g2c212;70:6508;<5=:=;88656>;<6a07;314=5;6`?>0n27?i8?5893891d3=323=63;b4d9<2`<5=o847673:?7;31j;145>4=5;`a?>?:27?5;h5890891g?132;3ih814:h4=5c:7?>0n27?m<85892891g58323<63;a`d9<=7<5=3==768f:?7=`4=01:0197j9;:;6>;31l2145>4=5c0b?>0n27?mn;586d891gd?32l019oi5;:4b>;3j9;14:h4=5`3`?>0n27?m?:5892891gek323=63;a909<=7<5=k8=7670:?7e01=01:019o94;:;5>;3m=;145<4=5g71?>?:27?;n75893891?30323=63;e2f9<=6<5=3m<7671:?7=cb=01;019o?a;:;5>;310914:h4=5;62?>0n27?55:586d891?f=32;3i;i14:h4=5c2b?>0n27?4hj5891891g4032d;:;5>;3mjk18594=5g66?>?927?4h958918911ci323=63;8b:9<=4<5=3h4768f:?7=27=01:019l;b;:;5>;3i0;145>4=5cba?>?827?5;=5892891g3;323<63;ae19<=6<5=koj7670:?7e`d=01:019oi7;:;4>;3j99145>4=5`3b?>?827?mol5892891g01323<63;a449<=6<5=k=?7670:?7<7>=018018<>6;:;7>;2:9h145=4=402`?>?:27?n9j5890891?29323=63:2319<=4n?94?:4cx91c4<3;;?>52487e>=>634>29i47819>0kl03;k52484e>=>634>j4447829>0d1a212;70:m4c8;<5=::9:0?82>m003;k524`1e>=>434>j8<477g9>0de2212870:nc78;<5=:524c22>=>434>i0`26212:70:8ed8;<7=:<>l;656=;<64b7=>534>02`d212970:7158;<7=:<1;=656=;<6;5==>534>3>=47839>0=45212970:7048;3c=:<1:2659i;<6;4a:95e?820k0034?524`3f>=>734>28547839>0`5c212970:6d28;<5=:<0oj656?;<6:30=>634>2j=47819>0<`c212;70:n0`8;<5=:<038656>;<6:13i<034<5248`6>=>634>2n9477g9>01<034<5246fb>=>734>02cf212:70:8eb8;<4=:<1i3656>;<6:27=>634>jj;47809>0g65212:70:m0d8;<4=:<1::656?;<6b72=1a3ty?i?h50;4g82b:o0:<>=4=5`5?827?58h586d891?2l323=63;8b79<=7<5=2o<7671:?7;30m=145?4=5:g`?>?927?4io5893891>ck323=63;8ed9<=7<5=2n=7671:?7<`5=01;019k;f;:;6>;31<814:h4=5;`5?>0n27?5;h586d891g?1323<63;9gc9<2`<5=k;;768f:?7e42=0>l019on3;:;6>;3ih>145>4=5cb6?>?:27?m4=5892891g6>32l0197j8;:4b>;3i:l145>4=5c`1?>?827?mn95892891gc9323<63;aef9<=6<5=kn57670:?7ec3=01:019l?1;:;4>;3j9n145>4=5c10?>0n27?mom5890891g?:323>63;a239<2`<5=k>;7671:?7e32=018019k;1;:;4>;3m=?145>4=5g04?>0n27?m;2:0n27?5k>5890891?al323>63;a1c9<=4<5=32?7670:?7=00=01:019774;:;4>;31h?145>4=5;a1?>?827?58>5892891>bl323863;7ef9<2`<5=2357674:?7=<3=01:019klb;6;3>;2:?827?;io5890891d4n323=63;b``9<=7<5=h=87670:?7=0190197l8;:;4>;31>;145?4=5`7f?>?:27?m4?5893891gfm323=63;9719<=7<5=k??7671:?7ea5=01;019okf;:;5>;3ilh145?4=5ce3?>?927?n==5893891d7n323=63;ac`9<=7<5=k<57671:?7e00=01;019o93;:;5>;3i:=145>4=5c1f?>?827?o;:5893891d1j323<63;b5f9<=5<5=3i87671:?7=d2=01;019773;:;5>;2:;9145=4=5a7f?>?927?n9<5893891d3;323=63;b569<=7om;4?:3cx91bf>3;;?>524923>=>634>3<;477g9>0=6f21=m70:70d8;3c=:<1;9659i;<6ge==>634>hm=47839>0aga212970:la58;<7=:=>734>02ca212;70:8f08;<5=:<>l8656?;<64b0=>734>0=72212;70:7168;<5=:<1;2656?;<6;5g=>734>3<<477g9>0g3f212970:l658;<5=:=>73ty?hh:50;dx91bb<3;;?>525021>1>034>i:;477g9>15dd2=;j70;?bd875d=:=9i;69?n;<73g7<39h169=m;:53b?837k?0?=l5251a;>17f34?;ol4;1`9>15d12=;j70;?b9875d=:=9hj69?n;<64a3=>634>on>47809>0=d?212;70:7b`8;<5=z{<:2j7>53z?64<`=999870:m498;3c=:659i;|q7gd?=83>p19ml0;3376=:1<7:t=5a`5?77;:168;j8:95e?820mo03;k524e42>=1a3ty?oo650;4x91edk3;;?>5247fg>=1a34>im=47819>02`021=m70:k688;<5=:k034=524b12>=>73ty?ooo50;4x91ec93;;?>5247g2>=1a34>im?47819>02`e21=m70:k6e8;<5=:o034=524b16>=>73ty?oom50;5x91ec;3;;?>524924>=1a34>=i8477g9>0f002;i;70:ma58;3c=:1===<;<6;4g>09o<524cc6>=1a34>o;>47819>0f5>21=m7p};ccg94?1|5=io97??329>0=6a21=m70:9e88;3c=:=1a34>h::4=c29>0g?d21=m70:k768;<5=:=>734>h=8477g9~w1efj3:1;v3;cb19555434>=o8477g9>0f002;i>70:m9d8;3c=:<1;<659i;<6g15>09n4524c;e>=1a34>3=4477g9>0a35212;70:l188;3c=z{=ijh7>56z?7gf3=999870:9c88;3c=:;<6;5gr7?on851110?821kk03;k524b73>=>734>3=i477g9>0a31212;70:l1e8;<5=z{=ijj7>56z?7gf1=999870:9ce8;3c=:m656>;<6;5cr7?on651110?821ko03;k524b73>=1a34>3><477g9>0a3f212;70:l208;<5=z{=ii=7>56z?7gf?=999870:8e68;3c=:r7?ono51110?820mk03;k5247f0>=1a34>h9<477g9>0a3b212;70:l248;<5=z{=ii?7>56z?7gfd=999870:9d48;3c=:034=5rs5aa1?6=>r7?onj51110?821l003;k524b6e>=1a34>0a03212;70:l2`8;<5=z{=ii:7>56z?7gfc=999870:9dc8;3c=:m656?;<64b6=1a34>o:547819>0f4b212;7p}:24g94?77s4>n8k47809>0`22212:70:j318;<5=:;<6a=d;3j?214:h4=5`0b?>0n27?nll586d891e1<32l019l;3;:4b>;3j=>14:h4=5`5=?>0n2wx9<7k:18182bkj0?4:52531b>464;2wx9<7l:18182f1803;k5250;`>464;2wx9<7m:18182>=?03495250;a>464;2wx9<7n:18182>0=03495250;b>464;2wx9<76:18182>1:03495250;:>464;2wx9<77:18182>i<03495250;;>464;2wx9<78:18182>j<03495250;4>464;2wx9k8034?5250c6>464;2wx9m;034?5250c7>464;2wx9nh034<5250c0>464;2wx9=;034<5250c1>464;2wx9:18182f8>034<5250c2>464;2wx9464;2wx9<7i:18182f9?034?5250;e>464;2wx9<7j:18182f:=034?5250;f>464;2wx9<79:18182f;8034?5250;5>464;2wx9<7::18082f00034<524`60>=1a34?:584>0218yv36il0;6>u24`;0>=>634>j9;477g9>14gb28:8?6s|50cg>5<4s4>29;47829>0d0421=m70;>ae824650<>3212870:n788;3c=:=8kh6<><3:p14ge2908w0:na38;<4=:<038656<;<72eg<68:90q~;>a`83>6}:<0k>656<;<6be`{t=8k26=4<{<6:f0=4}r72e=<72:q684m>:9:2?82fk>034>5250c;>464;2wx9m;034<524`f0>=1a34?:n;4>0218yv36j<0;6>u248db>=>534>jhk477g9>14d228:8?6s|50`7>5<4s4>29?47839>0dce21=m70;>b5824654?:2y>0d60212970:nf68;3c=:=8h86<><3:p14d52908w0:n158;<7=:b083>6}:;<6a4c:0207>{t=8h;6=4<{<6b61=4}r72ec<72:q68l=>:9:2?82>?803;k5250ce>464;2wx9464;2wx9034?5250c5>464;2wx8k=>:1818360;0nmi524`47>=>73ty?j><50;0x907?:3oi463;a909<=6m?>4?:3y>14>52li870:na28;<5=z{=l887>52z?65=4=mjo019onf;:;4>{t6=4={<72<70;6?u250:1>`bf34>jh<47809~w1`403:1>v3:1909aad<5=koh7671:p0c5>2909w0;>838f`f=:;|q7b6g=838p18?72;gg`>;3io?145?4}r6e7g<72;q69<6=:dcf?82e88034<5rs5d0g?6=:r7>=5<5e`d891d7l323=6s|4g1g>5<5s4?:4?4jb19>0<06212:7p};f2g94?4|5<;3>7km1:?7=3`=0190q~:l9083>41|5==o;7l99:?7l0197<7;:4b>;31:214:h4=5::b?>?;27?4l65896891>fn32l0196l3;:;4>;3003145>4=5::e?>?<27?n86586d891d2132;3kln145?4=5:b1?>?<27?5>:5892891>el323<6s|4b;3>5<6>r7?;i;5b7;891>e<323863;8`39<2`<5=385768f:?7<<`=01;0196l2;:4b>;30h2145=4=5:b=?>?827?4o;5890891>d8323<63;8``9<=6<5=22h7674:?794:?7l0197;31:o14:h4=5fg1?>?927?hnl5893891ebj323=63;8`19<=6h4o4?:30x911c83h=563;8c69<=5<5=2j=7670:?7=61=01>01966e;:;5>;30h2145<4=5:b=?>?927?4o;5892891>fn323863;8c29<=5<5=2jn768f:?7;300n145?4=5:b6?>?827?447586d891>>i32;3kl;145?4=5:b1?>?;27?4l85896891d08323=63;9269<=4<5=38:7670:?7=6>=01;0196l2;:;5>;30ko145?4=5:ab?>?827?n885893891?4n323<63;8c49<=6h4n4?:33x91>e<32;30h3145<4=5:a1?>?927?4lh5892891>e8323>63;8``9<=4<5=2jo7670:?7<;3003145=4=5::e?>?827?n865890891>d<323>63;92a9550334>o4=47809>0ada212:70:le28;<4=:<1k>656?;<6;e3;=034<524816>=>634>2?547819>0=e5212;70:7bd8;<5=:<1hm659i;<6a13=>634>2?847839>0<51212:70:6368;<4=:<13n656?;<6;e==>634>3n=47809>0=ge212870:7ab8;<7=:<09m656>;<6;=a=>634>i9547819>0=d1212:70:63d8;<5=:;<6`a0=>534>2?=47839>0<55212970:7c38;<7=:<09i656=;<6;f`=>534>2?i47809~w1e?m3:1>8u24c53>=>434>4m689>0=d3212:70:7a08;<6=:<09<656=;<6;=`=>434>3mk47839>0=d7212;70:7ac8;<4=:<1kh659i;<6;=a=>534>i9547809>0=e3212;70:63d8;<4=:;<6`a2=>434>2??47829>0<53212?70:6348;<1=:<1i9656;;<6:7g=>434>2?k47839>0g3>212?70:7b78;<7=:<09o656=;|q7g<4=83;?w0:l928a2<=:<09=659i;<6;=c=>734>35o47839>0abe212:70:kd28;<4=:;<6gg2;903;k524811>=1a34>2?o477g9>0=dc21=m70:m578;3c=:<1h3656=;<6;fd0168o9?:9:7?82?j=034?5249c2>=>534>2?:47829>0=?b21=m70:7a98;<4=:<1k2659i;<6;f0=>334>3mn47829>0=?c212;70:7988;<4=:<13j656<;<6a1=;l034>524bg:>=>634>3m=4>076891?48323863;9209<=2<5=3887673:?7=63=0190197<8;:;6>;30j8145=4=5;0f?>?<27?4ok5896891>en323?63;b449<=2<5=h>57673:?7{th1<7?<27?nno56568yv2d?j0;6?u246f1>=>334>iol49d49~w1e0l3:1>v3;7e19<=2<5=hhh78=6:p0f1b2908w0:8d58;<1=:656;;<6aga<1;81v9m8f;297~;3?m?145:4=55g2?>?<27?nnj562a8yv2d080;6>u246f4>=>334>0gec2?>;7p};c9094?4|5=i2?7674:?7ffb=>=;0q~:l7083>7}:<>n;656>;<6ag2<1<=1v9m82;296~;3?m;145?4=5``3?0c=2wx8n9<:181820l;034<524cab>3413ty?o::50;0x911c;323=63;bbc9267h;;4?:2y>02b3212:70:m748;<4=:;3?m<145?4=5``e?0382wx8n97:180820l>034<5246f;>=>634>iol49409~w1e013:1>v3;c819<=7<5=hhm78;2:p0f>?2909w0:8d18;<6=:;3jj=1:?84}r6`2g<72;q68:j=:9:0?82ek>0=?<5rs5a5g?6=:r7?;i=5891891dd?3<8o6s|4b4g>5<4s4>0g12212870:mc68505=z{=i=i7>53z?73a3=0190199k6;:;7>;3jj=1:9?4}r6`2c<72:q68:j8:9:0?820l1034>524ca4>3253ty?o:>50;0x91e>;323?63;bb59215h:l4?:3y>02b7212970:mc58563=z{=i<97>52z?73a7=018019ll4;405>{t?:27?nn:56528yv2d0=0;6>u246f7>=>534>i;847839>0ge32?>:7p};c9794?5|5==o97672:?73a0=018019ll4;476>{t5rs5a;3?6=:r7?o4=5890891dd<35<5s4>=m<4m689>0d1721297p};ec794?4|5=7}:kk1n;74=5;;4?>?;2wx8hm;:181821jk0i:45248;3>=>43ty?in;50;0x910ek3h=563;9`39<=5no;4?:3y>03dc2k<270:6b08;<6=z{=oh;7>52z?72gc=j?30197l7;:;7>{t01684k::9:0?xu3mj31<7g0>34>2:i47859~w1cfk3:1>v3;6`19f3?<5=k;87674:p0`gc2909w0:9a58a2<=:;3i8=145<4}r6fec<72;q68;o9:c4:?82f:?034?5rs5ga4?6=:r7?:l95b7;891g4;323>6s|4d`2>5<5s4>=m54m689>0d37212;7p};ec094?4|5=7}:hh1n;74=5c41?>?92wx8hl9:181821im0i:4524`c:>=>53ty?io950;0x910fm3h=563;ac49<=4nn54?:3y>03ga2k<270:nc28;<6=z{=oi57>52z?72g6=j?3019olf;:;6>{t0168ljm:9:1?xu3mkh1<7g0>34>jj>47839~w1cel3:1>v3;6c69f3?<5=kmj7672:p0`db2909w0:9b48a2<=:;3j8=145<4}r6fg4<72;q68;l7:c4:?82>>h034?5rs5g`6?6=:r7?:o75b7;891?0k323=6s|4ggf>5n0217212:70:8608;3c=:<>ho659i;<64e672f34>39l4m689>0=2c212870:7558;<5=:<19<656;;|q7bc?=83np19k>0;6;3>;3?>:145<4=5555?>?927?;oj58938911f;323>63;7869<=7<5<;><7<:4:?655e=:<>0196:c;`5=>;30o;145<4=5:7a?>?;27?48;5892891>4132386s|5127>5n=o4;869>0216212:70:8668;3c=:<>ho656<;<64e173234>3:54m689>0=`b212970:64`8;<4=:<1>9656<;<6;6`;299i1>884=5:5f?d1127?5=?5890891??j323=63;85d9<=5<5=28<7670:?7<6b=01>0q~;?0983>`}:=>634><5o477g9>14372;?<70;>0b8112=:<1=>334>25l4>0768yv37800;6hu24d0b>1>034><;:47839>020?212:70:8bg8;<6=:<>kj656=;<64=gg0>34>2<>47829>0?a;29a~;3m;h18594=554?927?;;7586d8911d832;30?o1n;74=5;30?>?;27?5om5893891>2:323?63;8269<=6<5=2?97674:p156e290ow0:j2b87<2=:<>=3656=;<642<=>634?:9=4=5`9>146d2;?j70:76g8a2<=:<0:>656<;<6;1603495rs423g?6=ir7?i?j5495891101323=63;77c9<2`<5==h<7673:?73de=01;01996d;:4b>;29<:1>8l4=433g?42j27?4:>5b7;891>3l325n>h4;869>021>212970:86`8;<4=:<>i:659i;<64ef73d34>3;<4m689>0=2b21=m70:6f68;<7=:<0l8656;;|q7b``=83ip19k>1;6;3>;3?>k145?4=555f?>0n27?;n?58938911fl323=63;78g9<2`<5<;><7<;b:?655e=:=h019696;`5=>;30=814:h4=5;5`?>?:27?58m58968yv2an90;6nu24d31>1>034><;l47839>020e212:70:8c08;<6=:<>ko656=;<64=`g0>34>38k477g9>0d63212970:n018;<1=z{=lm=7>5cz?7a45=<1=01998b;:;5>;3??i14:h4=55`6?>0n27?;lk58938911>n32;3i8;145<4=5c3`?>?<2wx8kh=:18a82b9=0?4:52465a>=>534><:n47809>02e5212:70:8ad8;<7=:<>3m656>;<7215<5l:36f?82??=0i:4524`3:>=>534>39<477g9~w1`a;3:1nv3;e0790=1<5==l0199l2;:;7>;3?hl145?4=55b4?>0n27>=8>525d89077k38?j63;8679f3?<5=k947672:?7<04=0>l0q~:if583>g}:=>534>14372;?;70;>0b8115=:<1==6o86;<6b71l018?:0;065>;299i1>8?4=5:43?d1127?48:58968yv2an?0;6lu24d3;>1>034><;i47839>020b212:70:8c28;<6=:<>h;656=;<64e4k:36b?82??10i:4524`70>=>534>39847859~w1`a?3:1mv3;e0;90=1<5==l0199l4;:4b>;3?k;145?4=55b6?>0n27>==j5246891>213h=563;a729<=4<5=29i7674:p0c`?290iw0:j1`87<2=:<>=n656=;<642c=>634?:0=3e2k<270:n728;<4=:?927?;;<586d8911d<323?63;7`79<=7<5==29768f:?655b=:<<0196:d;`5=>;3i0n145<4=5:06?>?<2wx8khm:18b82b9m0?4:524651>=>534><:?47809>02e221=m70:8a48;<7=:<>3>656>;<724a<5=>1685;j:c4:?82fik034?524910>=>33ty?jkm50;cx91c6m3>3;63;7619<=7<5===?768f:?73f3=01;0199n6;:;5>;3?0<14:h4=433`?42027?48h5b7;891ge0323>63;8269<=2mji4?:8y>0`7a2=2<70:8728;<7=:<><8656>;<64g073>34>3:=4m689>0=52212?7p};fgg94?>|5=o9<7:77:?7322=01;019994;:4b>;3?j<14:h4=55b3?>?927?;49586d89077l38>m63;8739f3?mjk4?:9y>0`462=2<70:8758;<7=:<>;<64g3034<52502g>73e34>3:?4m689~w06783:14v3;e3090=1<5==<97671:?7333=0>l0199l6;:;7>;3?h2145?4=55:0n27>==j524a891>1;3h=56s|5122>5n>>4;869>0212212970:8648;<4=:<>i<659i;<64e=g0>3ty><=<50;:x91c5<3>3;63;7649<=7<5===:768f:?73f1=01;0199n9;:;5>;3?0314:h4=433`?43k27?4;;5b7;8yv378:0;65u24d06>1>034><;;47839>0201212:70:8c68;<6=:<>k2656=;<64=<k:36g?82?>>0i:45rs4231?6==r7?i?954958911em32d}:k:36e?8368m099=52502g>73634?:0=0f2k<270:8888;<6=:<>?=656=;<6412?:27?i9>5893891>b<323?63;f529f3?<5=h==7670:?7<7?=01>0q~:ic283>7}:01v9hl6;296~;3nj?18594=5d`3?d112wx8k:<:1874~;3n0k18:m63;fc6904g<5=li97:>a:?7bg0=<8k019hm7;62e>;3nk218l3>:m63;f8g904g<5=l2j7:>a:?7bd6=<8k019hn1;62e>;3nh818:m63;f`5904g<5=lj47:>a:?7bd?=<8k019hna;62e>;3nhh18:m63;f`d904g<5=li<7:>a:?7bg4=<8k019hm3;62e>;3n?318:m63;f64904g<5=l<;7:>a:?7b2>=<8k019h89;62e>;3n:m63;f71904g<5=l=87:>a:?7b33=<8k019h96;62e>;3n?=18:m63;f7a904g<5=l=h7:>a:?7b3c=<8k019h9f;62e>;3n>:18:m63;fb2904g<5=lh=7:>a:?7b12=j?3019h82;62e>{t6=47{<6eg0<68:9019h;4;:;4>;3nj>14:h4=5d76?>0n27>?=6589389057:323>63;fb59<2`<5=l?<768f:p176c290>w0;=278;<4=:=;8?656=;<71660169?<7:9:0?835:>034>5rs403e?6=1r7>>=l5b7;89046<323?63:2019<=5<5<8:>7673:?6647=019018<<1;:;7>;2:::145=4=403a?>?;27>>=m58918yv359j0;64u2533g>g0>34?9>k47829>174b212870;=2e8;<6=:=;8h656<;<716g52533a>=>43ty>><;50;;x9046>3h=563:2309<=5<5<89=7673:?664`=019018<>e;:;7>;2:82145=4=4023?>?;27>>5<6;r7>=8>5ee58907?:39m<63:19097c7<5<;3>7=i2:?65=4=;o9018?72;1e0>;29181?k;4=43;6?5a>27>=5<53g58907?:39m463:19097c?<5<;3>7=ib:?65=4=;oi018?72;1e`>;29181?kk4=43;6?5an27>=5<54128907?:3>;=63:190905514372ln>70;>8380a`=z{<;52z?6506=mm>018?72;1f`>{t=8=n6=4={<7215n1<7=5<53d`8yv36?j0;6?u25073>`b634?:4?4v3:1429aa6<5<;3>7=j9:p141f2909w0;>518fgc=:=8296>k7;|q652?=838p18?:0;g``>;29181?h94}r723=<72;q69<;?:da`?8360;08i;5rs4343?6=:r7>=8>5eb`8907?:39n96s|5055>5<5s4?:9=4jc`9>14>52:o87p}:16794?4|5<;><7kl9:?65=4=;l80q~;>7583>7}:=8?;6hm7;<72<7<4m81v8?83;296~;29<:1in94=43;6?5b82wx9<9=:181836=90no;5250:1>6ba3ty>=:?50;0x907283oh963:19097ac14372li?70;>8380`a=z{<;=j7>52z?6506=mj8018?72;1gg>{t=8=5<53ec8yv36>j0;6?u25073>`da34?:4?4;089~w071j3:1>v3:1429agc<5<;3>7:?8:p140f2909w0;>518ffa=:=82969>8;|q653?=838p18?:0;gag>;291818=84}r722=<72;q69<;?:d`a?8360;0?<85rs4353?6=:r7>=8>5ecc8907?:3>;86s|5045>5<5s4?:9=4jb89>14>52:lj7p}:17794?4|5<;><7km7:?65=4=;ll0q~;>6583>7}:=8?;6hl9;<72<7<4m=1v8?93;296~;29<:1io;4=43;6?5c12wx9<;n:181836=9084h5250:1>6533ty>=8750;0x90728393o63:190976414372:2i70;>838074=z{<;>;7>52z?6506=;1k018?72;104>{t=8?=6=4={<7215<400169<6=:20e?xu29=5<533g8yv36==0;6?u25073>6>034?:4?4<2e9~w072;3:1>v3:14297=0<5<;3>7==c:p14052909w0;>5180=2=:=8296>=k;|q6537=838p18?:0;1:2>;29181?>m4}r7225<72;q69<;?:2;6?8360;08?o5rs436b?6=:r7>=8>53868907?:398m6s|507f>5<5s4?:9=4<929>14>52:927p}:14f94?4|5<;><7=62:?65=4=;:20q~;>5b83>7}:=8?;6>7>;<72<7<4;>1v8?:b;296~;29<:1?4>4=43;6?54>2wx9<;=:181836=9084k5250:1>6523ty>=8?50;0x90728393963:190977d146c2o3o70;>518e7g=z{<;?57>52z?655b=n0h018?:0;d0=>{t=8>36=4={<724a127>=8>5f258yv36c??34?:9=4i379~w073=3:1>v3:11f9b<1<5<;><7h<5:p14232909w0;>0e8e=3=:=8?;6k=;;|q6515=838p18??d;d:1>;29<:1j>=4}r7204<72;q69<>k:g;0?836=90m?<5rs4374?6=:r7>==j5f808907283l8<6s|501e>5<5s4?:14372o8m7p}:12g94?4|5<;;h7h60:?6506=n;o0q~;>3e83>7}:=8:o6k6i;<7215c4e3ty>=>o50;0x9077l3l3o63:1429b7g146c2o2i70;>518e6<=z{<;847>52z?655b=n1k018?:0;d1<>{t=89=6=4={<724a=8>5f378yv36;=0;6?u2502g>c>134?:9=4i259~w074;3:1>v3:11f9b=3<5<;><7h=3:p14552909w0;>0e8e<1=:=8?;6k<=;|q6567=838p18??d;d;7>;29<:1j??4}r7275<72;q69<>k:g:1?836=90m>=5rs431b?6=:r7>==j5f938907283l:j6s|500f>5<5s4?:14372o;n7p}:13f94?4|5<;;h7h8f:?6506=n8n0q~;>2c83>7}:=8:o6k9k;<7215c7>3ty>=?650;0x9077l3l:4?:3y>146c2o=270;>518e52=z{<;9:7>52z?655b=n>2018?:0;d22>{t=88>6=4={<724a169<;?:g36?xu29;>1<727>=8>5f068yv36::0;6?u2502g>c1234?:9=4i129~w075:3:1>v3:11f9b22<5<;><7h>2:p142a2909w0;>0e8ee6=:=8?;6k:>;|q651c=838p18??d;db6>;29<:1j9>4}r720a<72;q69<>k:gc2?836=90m?k5rs437g?6=:r7>==j5f`28907283l8i6s|506a>5<5s4?:14372o9o7p}:15094?4|5<;;h7h6e:?6506=n:i0q~;>3683>7}:=8:o6k7;;<7215:1818368m0m;h525073>c7d3ty>=?>50;0x9077l3l;299n1?k:4=433`?5a=27>==j53g489077l39m;63:11f97c><5<;;h7=i9:?655b=;oh018??d;1eg>;299n1?kj4=433`?5am27>==j53gd89077l3>;<63:11f9057<5<;;h7:?3:p14c12909w0;>0b8f`0=:=8:o6>kj;|q65`3=838p18??c;gg0>;299n1?hj4}r72a1<72;q69<>l:df0?8368m08in5rs43f7?6=:r7>==m5ee089077l39nn6s|50g1>5<5s4?:146c2:oj7p}:1d394?4|5<;;o7kk0:?655b=;l30q~;>e183>7}:=8:h6hmi;<724a<4m11v8?kf;296~;299i1inj4=433`?5b?2wx96c13ty>=ij50;0x9077k3ohn63:11f97`3146d2lij70;>0e80a6=z{<;on7>52z?655e=mj3018??d;1f6>{t=8nj6=4={<724fk:2g2?xu29m31<7==j53d28yv36l10;6?u2502`>`e134?:v3:11a9af3<5<;;h7=ke:p14b12909w0;>0b8fg1=:=8:o6>jk;|q65a3=838p18??c;g`6>;299n1?im4}r72`1<72;q69<>l:da2?8368m08ho5rs43g7?6=:r7>==m5eb289077l39om6s|50f1>5<5s4?:146c2=:27p}:1e394?4|5<;;o7kme:?655b=<920q~;>d183>7}:=8:h6hlk;<724a<38>1v8?lf;296~;299i1iom4=433`?27>2wx91623ty>=nj50;0x9077k3oim63:11f9052146d2lh270;>0e80bd=z{<;hn7>52z?655e=mk=018??d;1fb>{t=8ij6=4={<724fk:2g7?xu29j31<7==j53e;8yv36k90;6?u2502`>6>b34?:v3:11a97=e<5<;;h7=<2:p14db2909w0;>0b80=>;|q65gb=838p18??c;1;e>;299n1?>>4}r72ff<72;q69<>l:2::?8368m08>k5rs43af?6=:r7>==m539:89077l399i6s|50`b>5<5s4?:146c2:8o7p}:1c;94?4|5<;;o7=76:?655b=;;i0q~;>c983>7}:=8:h6>78;<724a<4;m1v8?l7;296~;299i1?484=433`?54k2wx965e3ty>=n;50;0x9077k392863:11f976g146d2:3870;>0e807<=z{<;h?7>52z?655e=;08018??d;10<>{t=8i96=4={<724f<418169<>k:214?xu29j;1<7827>==j53248yv36j10;6?u2502`>6>a34?:v3:11a97=3<5<;;h7==b:p17642909w0;>518ff1=:=;>86968;|q6654=838p18?:0;ga7>;2:=>18594}r7144<72;q69<;?:d`1?835=8>5ec389043l3>3;6s|50df>5<5s4?:9=4jb19>172b2=2<7p}:1gf94?4|5<;><7knf:?661`=<1=0q~;>fb83>7}:=8?;6hoj;<7115<30>1v8?ib;296~;29<:1iij4=4065?2??2wx91>03ty>=k750;0x907283oon63:24190=114372lnj70;=4487<2=z{<;m;7>52z?6506=mm3018<;6;6;3>{t=8l=6=4={<7215>9654958yv36n:0;6?u25073>`e434?9844;869~w07a:3:1>v3:1429ag><5<8?m7:77:p14`62909w0;>518fea=:=;>i6968;|q65c6=838p18??c;ga0>;2::818594}r72ac<72;q69<>l:d`0?835;:0?4:5rs43fa?6=:r7>==m5ec089044j3>3;6s|50gg>5<5s4?:175d2=2<7p}:1da94?4|5<;;o7km0:?666b=<1=0q~;>ec83>7}:=8:h6hoi;<717`<30>1v8?ja;296~;299i1ilk4=400b?2??2wx9?>6:1818368j0nhi525363>1>03ty>>=650;0x9077k3ooo63:25390=1146d2lni70;=4387<2=z{<8;:7>52z?655e=mmk018<<4;6;3>{t=;:>6=4={<724f1<7>>854958yv36no0;6?u2502`>`eb34?9?:4;869~w07a<3:1>v3:11a9af5<5<8847:77:p14c>2909w0;>0b8ff==:=;926968;|q65`>=838p18??c;gb`>;2::k18594}r7113<72=np18??c;615>;299n18??4=4364?25927>=8>54318907?:3>:n63:190904e<5<;3>7:>d:?65=4=<;;018?72;617>;2:<=1n;74=4006?26i27>=4;540c89044;3>:m63:184904g<5<88n7:>a:?65;29h;18=4h540c89044l3>:m63:1`2904g<5<88j7:>a:?65d4=<8k018<;0;62e>;29h918=49540c8904393>:m63:1`6904g<5<8?>7:>a:?65d3=<8k018<<5;62e>;290218=47540c8904413>:m63:18a904g<5<88;7:>a:?65;290h18=l9540c89044i3>:m63:251904g<5<;j:7:>a:?661c=<8k018?m1;62e>;2:=i18>9j540c8907e83>:m63:25d904g<5<;i>7:>a:?6606=<8k018?m3;62e>;2:<918>8?540c8907e<3>:m63:240904g<5<;i97:>a:?6613=<8k018?n8;62e>;2:=<18>97540c8907fk3>:m63:255904g<5<;jm7:>a:?661>=<8k018?nb;62e>;2:=k18>9l540c8907fm3>:m6s|5377>5<3s4?99i4>02789042k3;;?852537a>464=27>>8;5b7;8yv35=h0;6?u2537g>464;27>>8h58908yv35=00;6?u2537g>1>034?99n4>0218yv35=10;6?u2537`>1>034?99o4>0218yv35>90;6?u2502`>64e34?9v3:11a9763<5<8:<7l99:p17112909w0;>0b8073=:=;8;6o86;|q662?=838p18??c;103>;2:;>1n;74}r713d<72;q69<>l:21;?835:<0i:45rs404f?6=:r7>==m532;89045>3h=56s|535`>5<5s4?:17402k<27p}:26f94?4|5<;;o7==j?30q~;=7d83>7}:=8:h6>=l;<716d01v8<8f;296~;299i1?>j4=401f?d112wx9?8>:1818368j08>n52533a>g0>3ty>>;<50;0x9077k399h63:23;9f3?4?:3y>146d2:8n70;=2b8a2<=z{<8=87>52z?655e=;;l018<=d;`5=>{t=;<>6=4={<724f<4;9169?>?h5b7;8yv35>>0;6?u2502`>65534?9?=4m689~w04103:1>v3:142977d<5<88=7l99:p170>2909w0;>518070=:=;:h6o86;|q663g=838p18?:0;102>;2:9o1n;74}r712f<72;q69<;?:214?835980i:45rs405`?6=:r7>=8>532:89046:3h=56s|534f>5<5s4?:9=4<389>17742k<27p}:27d94?4|5<;><7=7}:=8?;6>=m;<715201v8<81;296~;29<:1?>m4=402g0>3ty>>:=50;0x90728399o63:20c9f3?14372:8o70;=1d8a2<=z{<8<97>52z?6506=;;o018<>f;`5=>{t=;=<6=4={<7215<4:o169?<>:c4:?xu2:>21<7;t=4364?54827>=8>5323890728398>63:1429762<5<89>7l99:p5f752909?v3>43d9<=7<58i;o768f:?2g5b=0>l01;6k9l14:h4=0`e3?>0n27:o==586d894e7<32c179<2`<58i;:768f:?2g51=0>l01;6k9314:h4=0a3e?>0n27:nk8586d894da032bg;9<2`<58hmm768f:?2fcd=0>l01;6jon14:h4=0`ea?>0n27:nkh586d894e7832c139<2`<58i;>768f:?2g47=0>l012;3376=:=1a34;h=547819>0ce021297p}=0c694?2|5;:i?7l99:?14g?=0>l01?>m2;:;5>;58k=145=4}r03f=<72;q6>=m9:5:4?847j00i:45rs32ae?6=;r79:m63=0c`9f3?65e?2=;j70=m::53b?847jm0i:45rs32a2?6=;r79:m63=0c59f3?65eb2=2<70ld;3376=::9h8656>;<1a6cl7;296~;58j=1===<;<1a6al8;2960}::9i36<><3:?14c>=<1=01?>ib;:;5>;58ok145?4=32e`?>?9279;5999145?4=3330?>?9279==;589389777>323=63=11:9<=7<5;;;;7671:?155?=01;01???a;:;5>;599h145?4=333g?>?9279==j589389777m323=63=11d9<=7<5;;:<7671:?1547=01;01??>3;:;5>;5988145?4=3320?>?9279=<;589389776>323=63=1059<=7<5;;:47671:?154?=01;01>l=b;:;7>;58l<145<4=32f7?>?82wx>=lj:180847jl0:<>=4=302a?>?827>>ik58908yv47k90;6>u221a0>464;279><;5495896d5<323?6s|21a2>5<4s48;o94>02189746>3>3;6365e228:8?63=20590=1<5;:i97670:p65e>290=w0k5;:;4>;58ml14:h4=2`05?>?;2wx>=mn:186847kj0:<>=4=32g3?>?927965e128:8?63=0d:9<=2<5;:n=7672:?14`5=0>l0q~6}::9in6<><3:?14g5=01:01?>m2;:;0>{t:8ii6=4=5z?15fd=999870=hk:9:1?847nj034?5221df>=>5348;jk47839>6467212970<>008;<7=::8:9656=;<0246<>;:9:1?8468<034?522025>=>5348:<547839>6460212970<>088;<7=::8:j656=;<024g<>l:9:1?8468m034?52202f>=>5348:6477212970<>108;<7=::8;8656=;<0257=>5348:=:47839>647?212970<>188;<7=::9o=656;;<015`j9;:;6>;58l2145>4=32ef?>?8279;599;145>4=3336?>?8279===589289777<323<63=1179<=6<5;;;:7670:?155>=01:01???7;:;4>;5993145>4=333e?>?8279==l589289777k323<63=11f9<=6<5;;;i7670:?155`=01:01??>0;:;4>;598;145>4=3327?>?8279=<<589289776<323<63=1079<=6<5;;::7670:?1541=01:01??>8;:;4>;5983145>4=32e3?>?827963=14`9<=5<5;;h97670:?14`5=0180q~=}::;;26968;<015=<39h16>=k6:c4:?846k:034=5220a:>=1a348:nk47809>64e6212:70<=1d8;<4=z{;;>m7>533y>65d4212970=k7:95e?847nk034>5221db>=>4348;ji47829>65`d212870<>>:9:0?8468;034>522020>=>4348:<947829>6462212870<>078;<6=::8:3656<;<0242<>6:9:0?8468h034>52202a>=>4348:646c212870<>0d8;<6=::8:m656<;<0255:9:0?8469:034>522031>=>4348:=947829>6472212870<>178;<6=::8;<656<;<025==1a348;hh477g9>65bd212;70<3:?14`0=0>l01?>j1;:;5>;59j=145>4=336f?>?<279=n;586d8904e?323?63=0d19<=5645f28:8?63=12`90=1<5<8i47673:p64422908w0<>3c82465<5;;?:7:77:?0f72=01;0q~<>3183>6}::8>=6<><3:?1507=<1=01>l=7;:;5>{t:8986=4<{<0214<68:901??:4;6;3>;58k?145?4}r0271<72:q6><;;:0207>;59?;2wx><=::180846=<0:<>=4=3362?2??278n?l58938yv46;?0;6>u22075>464;279=895495896d5l323=6s|2014>5<4s48:9:4>0218977203>3;63643?28:8?63=14;90=1<5:h8=7671:p645>2908w0<>5882465<5;;8o7:77:?0f65=01;0q~<>1c83>6}::89h6<><3:?156b=<1=01>l=1;:;5>{t:8;h6=4<{<027a<68:901??;4j;9145?4}r025a<72:q6><=j:0207>;59:l18594=2`12?>?92wx>=4=3374?2??278n><58938yv469o0;6>u22063>464;279=9?5495896d4<323=6s|2003>5<4s48:8<4>02189773:3>3;63<4?:2y>642528:8?63=15190=1<5<8mn7673:p64452908w0<>4282465<5;;?87:77:?66cc=0190q~<>2283>6}::8>?6<><3:?1513=<1=018{t:88?6=4<{<0200<68:901??;7;6;3>;2:kk145>4}r0263<72:q6><:8:0207>;59=218594=40aa?>?82wx><<8:180846<10:<>=4=337=?2??27>>n>58928yv46:10;6>u2206:>464;279=9o54958904d:323<6s|200:>5<4s48:8l4>02189773j3>3;63:2b69<=6l4?:2y>642e28:8?63=15a90=1<5<8h:7670:p644e2908w0<>4b82465<5;;?h7:77:?66f>=01:0q~<>2b83>6}::8>o6<><3:?151c=<1=018{t:88o6=4<{<020`<68:901??;f;6;3>;2:jn145>4}r026`<72:q6><:i:0207>;59<:18594=40`b?>?82wx><=4=3366?2??27>>i?58928yv46;80;6>u22071>464;279=8=54958904c=323<6s|2011>5<5s48:9>4>0218904c?323<6s|1g60>5<3s4;m8?4m689>5c2f21=m70?i408;<4=:9o>3656<;|q2b1?=838p1;6n=k1n;74}r3e0g<72:q6=k;7:53b?87a=l0?=l521g6`>g0>3ty:j9j50;4x94`213>:m63>f5d904g<58l>87:>a:?2b03=<8k01;6n=o1n;74}r3e02<72:q6=k;l:53b?87a=m0?=l521g6;>g0>3ty:j9>50;1x94`2n3>3;63>f7290=1<58l?=7l99:p5c3b2908w0?i5d82465<58l?>7671:?0f42=0180q~?i5983>7}:9o?36<><3:?0f47=0180q~?i5883>73|58l>57??329>5c>>2=2<70?i8b8;<4=:9o2i656>;<3e<`=>634;m5<47809>5c?5212:70?i928;<4=:9o3?656>;<3e=0034<521g;:>=>634;m5547809>5c?f212:70?i9c8;<4=:9o3h656>;<3e=a=>634;mm<47809>5cg5212:70?ia58;<4=:9ok8656>;<3ee0034<521gc;>=>634;mm447809>5cgf212:70?i768;<7=:;k:n656=;<3e31m:9:1?xu6n<;1<7=t=0d60?77;:16>=o9:5:4?85fn<03495rs0d66?6=;r7:j8;51110?847i>0?4:523c20>=>33ty:j8=50;1x94`2>3;;?>5221c;>1>0349i<547839~w4`2i3:1:v3>f4a9555434;m8847819>5c0?212;70?i6b8;<5=:9o<=656?;<3e35=>53ty:j8950;6x94`2?3;;?>521g5:>=>334;m;?47839>5c1321=m7p}>f4d94?5|58l>j7??329>5c25212;70?i408;<1=z{;:>o7>524y>653d28:8?63>f669<=7<58l3o7672:?2b=d=01801;6n1n145<4=0d;b?>?:27:j4>5890894`>9323>63>f809<=4<58l2?7672:?2b<2=01801;6n0<145<4=0d:3?>?:27:j475890894`>0323>63>f8c9<=4<58l2n7672:?2b;6n0o145<4=0d:b?>?:27:jl>5890894`f9323>63>f`09<=4<58lj87672:?2bd5=01801;6nh<145<4=0db3?>?:27:jl65890894`f1323>63>f`c9<=4<58l<;7674:?14d`=0>l01?>:6;:;5>{t:9?o6=4=9z?140b=999870?i7`8;<7=:9o=2656?;<3e=>734;m4k47819>5c?7212;70?i908;<5=:9o39656?;<3e=6=>734;m5:47819>5c?>212;70?i998;<5=:9o3j656?;<3e=g=>734;m5k47819>5cg7212;70?ia08;<5=:9ok9656?;<3ee1=>734;mm:47819>5cg?212;70?ia88;<5=:9okj656?;<3e<==>4348;9;47819>5c1321297p}>f4294?>|5;:jm7:77:?14d?=<8k01;58<>145>4=326e?>0n279<8>589389762:323=63=0`d9<=763>f6c9<2`<58l=4768f:?2b3e=0>l01;6n1i145=4=0d;f?>?;27:j5k5891894`?l323?63>f9d9<=5<58l2<7673:?2b<7=01901;6n09145=4=0d:0?>?;27:j4;5891894`>>323?63>f859<=5<58l257673:?2b<>=01901;6n0h145=4=0d:g?>?;27:j4j5891894`>m323?63>f8d9<=5<58lj<7673:?2bd7=01901;6nh>145=4=0db7?>?;27:jl;5891894`f>323?63>f`59<=5<58lj47673:?2bd?=01901;6n?<14:h4=0d5a?>0n27:j;h586d894`1l323<63>f9:9<2`<58lnn7??329>5c1021=m70?i738;<4=::9?3656?;<71e==>43ty:jll50;1x94`dj3;;?>521ga`>1>034?9m547829~w4`e>3:1?v3>fba9555434;mh:4;869>7d`221287p}>fb394?5|58lo;7??329>5cc52=2<70=m028;<6=z{8lh87>53z?2b`4=999870?ie487<2=:;k:3656?;|q2bf3=839p116?o>j:9:3?xu6nj=1<7=t=0df3?77;:16=kk7:5:4?85e98034=5rs0d`=>73ty:jn750;1x94`b13;;?>521ggb>1>034;m8847809~w4`di3:1?v3>fdc9555434;moi4;869>7g7>212;7p}>f`a94?5|58lhh7??329>5ceb2=2<70?i458;<5=z{8ljh7>53z?2bfc=999870?icg87<2=:;hl8656<;|q2bdc=839p116?o?8:9:0?xu6nk:1<7=t=0dg5?77;:16=kj=:5:4?85e9k034>5rs0da5?6=;r7:ji<51110?87al:0?4:523c3`>=>43ty:jo<50;1x94`c;3;;?>521gf7>1>034;m8;47819~w4`e;3:1?v3>fe69555434;mh84;869>7g7c21287p}>fc694?5|58lo97??329>5cb12=2<70=m1g8;<1=z{8li97>53z?2ba0=999870?id987<2=:;k8;656;;|q2bg1=839p116?lh7:9:7?xu6nk31<7=t=0dge?77;:16=kjm:5:4?85fn003495rs0dae?6=;r7:jil51110?87alj0?4:523`db>=>33ty:jol50;1x94`ck3;;?>521gfg>1>0349jjo47859~w4`ek3:1?v3>fef9555434;mhh4;869>7d`d212?7p}>fcf94?5|58loi7??329>5cba2=2<70=nfe8;<1=z{8lii7>53z?2ba`=999870?ie187<2=:;hln656;;|q2bg`=839p116?o>>:9:7?xu6nj81<7=t=0df7?77;:16=kk;:5:4?85e8<03495rs0d`7?6=:r7:jh:51110?85e8?03495rs0d5e?6=?r7:j:o5891894`113h=563>f7:9<=5<58l=o7674:?2b2?=01901?>:8;:;7>;6nli145<4}r3e33<72:q6=k66:0201>;6n><145>4=0d43?d112wx=k9>:18087a000?=l521g51>g0>34;min477g9~w4`1j3:1>v3>f9490=1<58l=o7l99:p5c002909w0?i8487<2=:9o<36o86;|q2b33=838p1;6n?<1n;74}r3e21<72;q6=k6<:5:4?87a>o0i:45rs0d57?6=:r7:j5<5495894`1m3h=56s|1g41>5<5s4;m4<4;869>5c0c2k<27p}>f6:94?1|58l3=7:>a:?2b=4=<8k01;6n1>185<59r79:m63=02c904g<5;:?97:>a:?141>=<8k01?>;9;62e>;58=k18:m63=022904g<5;:8=7:>a:?1464=<8k01?><3;62e>;58:>188540c89764?3>:m63=02:904g<5;:857:>a:?146d=<8k01?>;58:n18h540c8976383>:m63=053904g<5;:?>7:>a:?1415=<8k01?>;4;62e>;58=<18:m63>fd0904g<58ln97:>a:?2b`0=<8k01;6nl218:m63>fbg904g<58lhj7:>a:?2ba6=<8k01;6nm818:m63>fe4904g<58lo47:>a:?2ba?=<8k01;6nmh18:m63>fed904g<58ln<7:>a:?2b`7=<8k01;6nl>18g0>3ty:j5950;0x94`?i3>:m63>f9:9f3?5c>>28:8?63>f649<2`5c>628:8?63>f7;9<=6<58l=:7672:?2b3c=01:01;6n?n14:h4}r3e3f<72;6n?3145?4=0d52?>?;27:j;k5893894`1n323>6s|1g5g>5<3s4;m4>4>021894`11323>63>f749<=2<58l=j7670:p5c1b2908w0?i8582465<58l=5768f:?2b30=01;0q~?i7g83>2}:9o2>6<><3:?2b2g=01;01;6n?i145<4=0d4=?>?9279<865896894`bk323<6s|1g:3>5<1s4;m4;4>021894`0i323<63>f7a9<=5<58l<57672:?140>=01;01{t9oon6=4={<036`<68:901{t9ol26=4={<036c<68:901{t:9:?6=4={<037d<68:901{t:9:<6=4={<0300<68:901{t:9:36=4={<030=<68:901{t:9:26=4={<030<<68:901{t:9:j6=4={<030d<68:901{t:9:h6=4={<030f<68:901{t:9:o6=4={<030a<68:901{t9oom6=4={<0375<68:901{t9ol;6=4={<0374<68:901{t9ol:6=4={<0377<68:901{t9ol96=4={<0376<68:901{t9ol86=4={<0371<68:901{t9ol>6=4={<0373<68:901{t9ol=6=4={<0372<68:901{t9ol<6=4={<037=<68:901{t9ol36=4={<037<<68:901{t9olj6=4={<037g<68:901{t9oli6=4={<037f<68:901{t9olh6=4={<037a<68:901{t9oln6=4={<037c<68:901{t9olm6=4={<0305<68:901{t:9:;6=4={<0304<68:901{t:9::6=4={<0307<68:901{t:9:96=4={<0306<68:901{t:9:86=4={<0301<68:901{t:9:>6=4={<0303<68:901{t:9:i6=4<{<030g<68:901;6n>:145:4}r3eb1<72:q6>==::0207>;6nh2145:4=0d44?>?92wx=khk:180847;l0:<>=4=0d:7?>?<27:j:>58918yv478?0;6?u22164>464;27:j:>58908yv478l0;6?u2210f>1>034;m4n4m689~w76613:1>v3=03d90=1<58l3h7l99:p65432909w0;5;6;3>;6n0n1n;74}r036=<72;q6>=:7:5:4?87a1l0i:45rs321=?6=:r79<975495894`>n3h=56s|210b>5<5s48;8l4;869>5cg72k<27p}=03`94?4|5;:?n7:77:?2bd7=j?30q~7}::9>h6968;<3ee701v?>=d;296~;58=n18594=0db7?d112wx>=>i:181847;90?4:521g:f>g0>3ty9<<>50;0x976493>3;63>f8;9f3?65552=2<70?ia58a2<=z{;::>7>52z?1465=<1=01{t:9;86=4={<0371<30>16=ko9:c4:?xu588>1<71>034;mm54m689~w766>3:1>v3=02590=1<58lj57l99:p65702909w0=838p1?><9;6;3>;6n1h1n;74}r035d<72;q6>==m:5:4?87a0o0i:45rs322f?6=:r79<>m5495894`>83h=56s|213`>5<5s48;?i4;869>5c?62k<27p}=00f94?4|5;:8i7:77:?2b<4=j?30q~7}::99m6968;<3e=601v?>>f;296~;58=:18594=0d:0?d112wx>=g0>3ty93;63>f849f3??4?:3y>65242=2<70?i968a2<=z{;:9?7>52z?1412=<1=01{t:98>6=4={<0303<30>16=k7m:c4:?xu58;<1<7=>4348;h54m689>65b0212870<;m:9:1?xu58l?1<7=t=32e=k::9:3?847m?0i:45rs32f4?6=;r7965`22=2<7052z?14c2=<1=01?>k7;`5=>{t:9n?6=4={<03b6<30>16>=j::c4:?xu58m91<71>0348;hi4m689~w76c93:1>v3=0g290=1<5;:oo7l99:p65c0290=h<:53b?847n=0?=l5221d6>17f348;i54m689~w76b:3:1>17f348:5h4;1`9>64g>2=;j70<>b5875d=::8h<69?n;<02f=<39h16>17f348:nn4;1`9>64?a2=;j70<>a1875d=::8k:69?n;<02e7<39h16>17f348:m;4;1`9>64g02=;j70<>a9875d=::8kj69?n;<02eg<39h16>17f348:mk4;1`9>64d72=;j70<>b0875d=::8h969?n;<02f6<39h16>g0>3ty917f348:8;4;1`9>64362=;j70<>55875d=::8?>69?n;<0213<39h16><;8:53b?846=10?=l52207:>17f348:?n4;1`9>645c2=;j70<>3d875d=::89m69?n;<0205<39h16><:>:53b?846<;0?=l522060>17f348:894;1`9>64222=;j70<>46875d=::8>369?n;<020<<39h16><:n:53b?84617f348:8i4;1`9>642b2=;j70<>4g875d=::8?;69?n;<0217<39h16><;<:53b?847m<0i:45rs336g?6=:r795<5s48;j44;1`9>65`02k<27p}=0g:94?4|5;:m47??329>65c221=m7p}=0dc94?0|5;:m<7??329>65b?212;70=jl:95e?xu58lh1<7;t=32e5?77;:16>=j7:9:2?847l<034>5221fg>=>6348;hh47839~w76bk3:18v3=0g095554348;h547839>65b2212?7053z?14c5=999870656>;|q14`c=83=p1?>i4;3376=::9o2656>;<03`2=jm:9:1?847m1034<5220a4>=>3348:9o47819~w76bn3:1:v3=0g795554348;i447819>65be212870;<021g1===<;<024a=ji:9:7?xu59?91<7=t=33b0?77;:16>=>43ty9=:;50;0x977e>3;;?>5221fe>=>53ty9=:j50;0x977>l3>3;63=0g`9f3?64?b2=2<7052z?15d?=<1=01???9;`5=>{t:83=6=4={<02f1<30>16><>l:c4:?xu590=1<71>0348:13:1>v3=1c;90=1<5;;;j7l99:p64?f2909w0<>b`87<2=::8;;6o86;|q15;598;1n;74}r02=f<72;q6>5<5s48:m=4;869>646?2k<27p}=19294?4|5;;j=7:77:?1545=j?30q~<>8083>7}::8k96968;<025101v??72;296~;59h918594=3321?d112wx><6<:181846i=0?4:522035>g0>3ty9=5:50;0x977f=3>3;63=1059f3?64g12=2<70<>198a2<=z{;;3:7>52z?15d1=<1=01??>9;`5=>{t:82<6=4={<02e=<30>16>=hn:c4:?xu59131<71>0348;jk4m689~w77?j3:1>v3=1`a90=1<5;;;<7l99:p64>d2909w0<>ae87<2=::8::6o86;|q15=b=838p1??ne;6;3>;59981n;74}r02<`<72;q6>549589777<3h=56s|20;3>5<5s48:n<4;869>64622k<27p}=18394?4|5;;i>7:77:?1550=j?30q~<>9383>7}::8h86968;<024201v??64;296~;59k?18594=333e?d112wx><7::181846j?0?4:52202a>g0>3ty9<8;50;0x976fl3>:m63=0449f3?653e2=;j70;7>54z?14d0=<8k01?>n7;62e>;58h218=;>:181847=j0?4:522171>g0>3ty9<9h50;0x9762l3>3;63=0429f3?4?:3y>653e2=2<7052z?14d?=<1=01?>nc;`5=>{t:9kn6=4={<03ea<30>16>=oi:c4:?xu58=;;:95e?847=903;k522171>=1a3ty9=4=32;a?77;<16>=7>:0201>;58091===:;<03=7<68:?01?>7f;3370=::92o6<><5:?14<6=999>7065?c28:8963=08g95552348;5k4>0278976f83;;?85221c2>464=279<5=51147?8470=0:<>;4=32;1?77;<16>=69:0201>;581=1===:;<03<=<68:?01?>79;3370=::92j6<><5:?14=d=999>7065gf28:8?63=0469<=7<5;:>m7670:?1406=01801?>:2;:;6>;58<214:h4=32bg?>0m2wx>=o=:187847=h034<522173>=>7348;9?47819>65>52k<27p}=0`194?5|5;:j:7??329>651a21=m7054z?14d1=999870n5;292~;58h21===<;<033`=9i:9:1?8470903;k5221:2>=>5348;4?47819~w77d<3:1>v3=20a904g<5;;h97l99:p64e?2909w0<>c`875d=::8i26o86;|q15f0=83>p1?<>5;62e>;5:8<181>0348:o<4m689~w77em3:1>v3=1ba90=1<5;;ij7l99:p64e52909w0<>c`87<2=::8i86o86;|q164g=838p1?<>8;6;3>;5:8h1n;74}r015a<72;q6>??l:5:4?8459l0i:45rs33`e?6==1a348:o<477g9~w74603:1=4u2233;>464;279=kj51116?845890:<>;4=3036?77;<16>?>>:0201>;59oo1===:;<02bf<68:?01??if;3370=::;:j6<><5:?165d=999>70<=0b82463<5;8;h7??349>676b28:8963=21d955523489==4>0278977a:3;;:95220d0>464=279=k:51116?846n<0:<>;4=33e2?77;<16>;59o21===:;<02b<<68:?01??ia;3370=::8li6<><5:p677>290;59j;145<4=33`3?>0n279>=>6348:nk47819>64e6212;70<>f08a2<=z{;8:>7>53z?1643=999870<>ed8;3c=::8l;659i;|q1645=83>p1?<>6;3376=::8oo659i;<02b5:9:2?xu5:8>1<78t=3023?77;:16>=1a348:j=47839>64`6212;7p}=1e`94?5|5;;on7l99:?15ab=<;>01??j9;610>{t:8n26=4<{<02`<016>95rs33g3?6=;r79=i95b7;8977c13>9863=1d5907264b22k<270<>d68761=::8o=69<;;|q15a5=839p1??k3;`5=>;59m?18?:4=33f1?25<2wx>:180846l80i:45220f0>143348:i94;259~w77dn3:1?v3=1bd9f3?<5;;o=7:=4:?15`5=<;>0q~<>cd83>6}::8in6o86;<02gc<3:=16>=16>:9ge?846m90i:45rs33ef?6=;r79><>54958977aj3;;?>5220ga>=1a3ty9=ko50;0x977ai3;;?>5220ff>=1a3ty9=k750;1x9747n3>3;63=1g;95554348:hn477g9~w77a03:1>v3=1g:95554348:hl477g9~w77a?3:1?v3=21g90=1<5;;m;7??329>64b?21=m7p}=1g494?4|5;;m:7??329>64b121=m7p}=1g794?5|5;8;h7:77:?15c3=999870<>d58;3c=z{;;m87>53z?165e=<1=01??i4;3376=::8n9659i;|q15c5=839p1?;59o91===<;<02`5:9:0?xu5:931<7=t=33eg?2??279><>51110?846mo034>5rs3035220gg>=>33ty9>=950;1x974793>3;63=21g95554348:j=47829~w747>3:1?v3=1gf90=1<5;8;h7??329>64cc212:7p}=21794?5|5;8;>7:77:?165e=999870<>eg8;<4=z{;8;87>53z?1656=<1=01?;|q1655=839p1??if;6;3>;5:9k1===<;<02b49c;297~;58?i1n;74=325a?25<279<:o54368yv47>h0;6>u2214b>g0>348;:n4;259>651>2=8?7p}=07:94?5|5;:=47l99:?143g=<;>01?>88;610>{t:9<=6=4<{<0323016>=87:507?847?>0?>95rs3250?6=;r79<;:5b7;89761>3>9863=064907265052k<27069<;;|q1436=839p1?>90;`5=>;58?818?:4=3240?25<2wx>=;i:180847=o0i:4522143>143348;;>4;259~w76083:1?v3=04d95503348;;?47eg9>65162k<27p}=09a94?5|5;:j=7:77:?14=e=99987052z?14=d=99987053z?14d6=<1=01?>7a;3376=::979;3376=::9=839p1?>6f;6;3>;58121===<;<032<77;296~;581=1===<;<032276;297~;580o18594=32;2?77;:16>=8::95e?xu581?1<7=t=32:`?2??279<5;51110?847>:03;k5rs32;0?6=;r79<4m54958976?<3;;?>522142>=1a3ty9<5=50;1x976>j3>3;63=09195554348;;<477d9~w76>83:1>v3=08295554348;4?47829~w76>i3:1?v3=09f90=1<5;:j=7??329>65>721287p}=08;94?5|5;:3j7:77:?14d6=99987053z?14<4=<1=01?>6f;3376=::92:656<;|q14<1=839p1?>7e;6;3>;580o1===<;<033`66;297~;580918594=32:`?77;:16>=6?:9:2?xu580?1<7=t=32:5?2??279<4m51110?847?o034<5rs32:0?6=;r79<4>54958976>j3;;?>5221:1>=>53ty9<5j50;0x976?l3;;?>5221:3>=>53ty9<5h50;0x976?n3;;?>52215f>=>43ty9<4<50;0x976>:3;;?>5221:2>=>63ty9<5k50;0x976?m3;;?>52215f>=>53ty9<4=50;0x976>;3;;?>5221:3>=>73ty9<4?50;0x976>93;;?>52215e>=>73ty?98750;7x9132i3h=563;54;9<=5<5=?=>7670:?7136=01:019;:5;:;6>{t<<>j6=46{<6616<68:9019;:a;:;6>;3==;145>4=5776?>?;27?99=589089133=32o7674:?710c=01>0q~::4883>f}:<<3:?710g=01;019;;1;:;6>;3==8145<4=5770?>0n27?99=589389133=323>63;5549<=4<5=?>o7671:?710c=019019;;3==:145>4}r660=<72jq688;>:0207>;3=4=5775?>?927?99<589389133<323=63;5519<=6<5=??97671:?7110=019019;:c;:;4>;3=?827?99>586d8yv22<>0;6ou24473>464;27?98o586d891339323?63;5509<2`<5=??87670:?7115=0>l019;;5;:;4>;3==<14:h4=576g?>0n27?98k589289134m3;;:95rs561`?6=:r7?8;754958912483h=56s|450`>5<5s4>?:54;869>014a2k<27p};43`94?4|5=>=;7:77:?707c=j?30q~:;3083>1}:<=<<69?n;<672=<39h168986:53b?823;;0i:45rs56b6?6=:r7?8l?54958912f;3h=56s|45c6>5<5s4>?m94;869>01g22k<27p};48294?4|5=>2i7:77:?70<2=j?30q~:;8g83>7}:<=3o6968;<67=601v9:7e;296~;3<0i18594=56:6?d112wx896k:1818231k0?4:5245;2>g0>3ty?84;50;7x912>j3>:m63;48a904g<5=>2h7:>a:?70{t<<=h6=4={<66<1<68:9019;84;6;3>{t<<=i6=4={<66<6<68:9019;83;6;3>{t<<=j6=4={<66<7<68:9019;82;6;3>{t<<=26=4={<66<4<68:9019;81;6;3>{t<<=36=4={<66<5<68:9019;80;6;3>{t<<=<6=4={<663c<68:9019;9f;6;3>{t<<==6=4={<663`<68:9019;9e;6;3>{t<<=>6=4={<663a<68:9019;9d;6;3>{t<<{t<<{t<<{t<<<26=4={<6634<68:9019;{t<<<36=4={<6635<68:9019;;0;:;7>{t<<<<6=4={<662c<68:9019;{t<<<=6=4={<662`<68:9019;;0;:;5>{t<<<>6=4={<662a<68:9019;{t<<<86=47{<6626<68:9019;:9;:;0>;3==8145>4=5772?>?827?9;<586d896ga=323>63;5729<=5<5=?>97671:p003a290?w0::5g82465<5=?>o7673:?710c=0>l019;90;:;0>{t<o0?=l524453>17f34>>;<4;1`9>00152=;j70::72875d=:<<=?69?n;<661001v9;94;296~;3=?918594=5772?d112wx88=k:181822<803;k52441f>g0>3ty?99l50;0x913?=3>3;63;5539f3?>8k4?:3y>00342=2<70::448a2<=z{=??i7>52z?7104=<1=019;;4;`5=>{t<<>o6=4={<6614<30>1688:<:c4:?xu3==i1<717f34>>;h4;1`9>001a2=;j70::81875d=:<<2:69?n;<66<7<39h16886<:53b?8220=0?=l524474>g0>3ty?98l50;0x9131:323=63;54a9f3?>9i4?:3y>003b2k<270::618;3c=z{=?>47>52z?7135=<8k019;:9;`5=>{t<=3j6=4<{<67=`<68:9019:64;:;5>;3;3<09145?4=56:0?>?<27?8l>58918yv23110;68u245;`>464;27?84<58908912>;323?63;4869<=5<5=>j<7672:p01?0290>w0:;9c82465<5=>2>7671:?70<5=018019:64;:;6>;3<0;14:h4}r67=c<721q6896m:5:4?823i:034=5245c6>=>434>?5k4>02189120j323=63;4249<=5<5=>8>7674:?70d6=01>0q~:;5583>g}:<=k8656>;<67=7=>734>?5<47809>01g2212970:;338;3c=:<=9?656=;<67e5{t<=<96=4j{<67=7=1a34>?5<47819>015a212:70:;438;<4=:<=>8656>;<677`=1a34>?:?4>02189122=325?m<4>021891248323>63;4`19<2`<5=>9j7671:?707c=01:019:n5;:4b>;3<>h145<4=5602?>?:27?8><589189124<323<63;4719<=4?m94?:9y>01g328:8?63;4`19<=4<5=>j97670:?702d=019019:<6;:4b>;3<:8145<4=5600?>?;27?8;=58918yv23>?0;6>u2454:>464;27?8>>586d8912f>325<3s4>?:54>021891248323<63;43d9<=6<5=>j:7670:p0103290>w0:;6682465<5=>8<7671:?707`=0>l019:=e;:4b>;3=4=564=?2??2wx896=:180823000?4:5245:b>464;27?8:654958yv23080;6>u245:;>1>034>?444>02189120?3>3;6s|45:3>5<4s4>?4:4;869>01>?28:8?63;46490=1?;k4?:2y>01>12=2<70:;8682465<5=><97:77:p011b2908w0:;8487<2=:<=2=6<><3:?7022=<1=0q~:;7e83>6}:<=2?6968;<67<0<68:9019:83;6;3>{t<==h6=4={<67<1<68:9019:82;6;3>{t<=986=46{<67<1<39h16896::53b?8230?0?=l5245:4>17f34>?454;1`9>01>>2=;j70:;8`875d=:<=2i69?n;<677101v9:<5;29=~;3<>818:m63;464904g<5=><;7:>a:?702>=<8k019:89;62e>;3<:<1n;74}r6017<728;p19=95;62e>;3;?<18:m63;362904g<5=9<=7:>a:?7724=<8k019=83;62e>;3;>>18:m63;37c904g<5=9=n7:>a:?773e=<8k019=:3;`5=>{t<:?>6=4={<6020<68:9019:l4;:4b>{t<:?h6=4={<6023<68:9019:lb;:4b>{t<:?o6=4={<602a<68:9019:lc;:4b>{t<:?n6=4={<602`<68:9019:md;:4b>{t<:?m6=4={<602c<68:9019:me;:4b>{t<:<;6=4={<6035<68:9019:mf;:4b>{t<:<:6=4={<6034<68:9019:l0;:4b>{t<:<96=4={<6037<68:9019:l1;:4b>{t<:<86=4={<6036<68:9019:l2;:4b>{t<:{t<:?=6=4={<6022<68:9019:l5;:4b>{t<:?<6=4={<602=<68:9019:l6;:4b>{t<:?36=4={<602<<68:9019:l7;:4b>{t<:?26=4={<602d<68:9019:l8;:4b>{t<:?j6=4={<602g<68:9019:l9;:4b>{t<:?i6=4={<602f<68:9019:la;:4b>{t<:=>6=4;{<673g464;2wx8>;;:181824==0:<>=4=5142?>0n2wx8>jk:181824lm0:<>=4=40b?:2wx8>98:181835i1034<5242a7>3>e3ty??:650;0x96ga=323=63;3b692<08;44?:3y>7g64212:70:52z?0f5?=019019=l4;4b1>{t<:=i6=4={<1a4fm;:7c5?xu3;>i1<7?;27??n:56`58yv24?m0;6?u23c31>=>434>8o949a99~w150m3:1>v3464;27?98o589189133>323=63;54a9<=4<5=?>i7672:p006e290=w0::0c82465<5=>2:7672:?7005=01:019;7e;:;7>;3=h8145?4=5661?>?:2wx888>:180822>80:<>=4=5756?>?:278n==58908yv23?h0;69u24277>1>034>?;l4>02189120j323<63;3649<=7?:l4?:3y>011528:8?63;3b69140?:o4?:3y>011428:8?63;3b69177?:n4?:3y>011328:8?63;3b6917e?:i4?:3y>011228:8?63;3b69166?:h4?:3y>011128:8?63;3b69167?:k4?:3y>011028:8?63;3b69164?;=4?:3y>011?28:8?63;3b69165?;<4?:3y>011>28:8?63;3b69162?8=4?:4y>015a212970:;438;<7=:<=>8656=;<677`:c4:?xu3<=i1<7bn27?89j5b7;8yv23<>0;6?u24564>g0>34>?9<4;259~w123i3:1?v3;4559550334>?9=47eg9>012e2k<27p};45494?5|5=>?:7l99:?7011=<;>019::0;610>{t<=>36=4<{<6703<68?>019:;f;:fb>;3<=31n;74}r6701<72:q689:;:c4:?823952456e>1433ty?88<50;7x9122m3>:m63;44d904g<5=>=<7:>a:?7037=<8k019::3;`5=>{t<=9h6=4:{<677c=1a34>??i4m689~w12403:1>v3;45g9f3?<5=>8j7670:p015>2909w0:;4g8a2<=:<=>9656?;|q706g=838p19::0;`5=>;3<=9145>4}r677g<72;q689;>:c4:?823;l034=5rs5662?6==r7?88k51110?823;m034?524566>=1a34>?8<47829>0132212?7p};44594?3|5=>>j7??329>015c212:70:;408;<7=:<=?>656<;<670<:9:2?823=<034<52456a>=1b3ty?88750;7x912193;;?>52451g>=1a34>?8<47819>0132212;70:;4e8;3`=z{=>>m7>52z?700c=<1=019:{t<=?i6=4={<671c<30>1689:=:c4:?xu3<1>034>??h4m689~w13>j3:1>v3;5`29<``<5=?2o7l99:p00?12909w0::978a2<=:<01v9;65;297~;3=0?1n;74=57:2?25<27?94h54368yv221>0;6>u244;6>461<27?94k58dd8913>03h=56s|44;0>5<4s4>>5>4m689>00?22=8?70::9d8761=z{=?j=7>55z?71de=<8k019;nd;62e>;3=ho18g0>34>>5=477g9>00>a21=m70::938;3c=:<<3:659i;|q71=>=838p19;6d;`5=>;3=0:145<4}r66<<<72;q6887j:c4:?82218034?5rs57;e?6=:r7?94h5b7;8913>:323>6s|44:a>5<5s4>>m=4m689>00>a21297p};59594?4|5=?j?7:77:?71=c=j?30q~::a583>1}:<<3:?71=b=018019;64;:4b>;3=1o145<4}r66e0<72=q688ok:0207>;3=1n145?4=57;a?>?927?946586g8yv22i?0;69u244cf>464;27?95j58928913?m323<63;58c9<2c>m:4?:5y>00ga28:8?63;59f9<2`<5=?3i768f:?71o0q~::a983>7}:<01v9;n9;296~;3=hn18594=57:5?d112wx88on:181822il0?4:5244;1>g0>3ty?9ll50;0x913fn3>3;63;59d9f3??i:4?:3y>006621om70:;e98a2<=z{=>o?7>52z?70a5=j?3019;?1;610>{t<=o>6=4<{<67`6<68?>019;?0;:fb>;39524423>1433ty?8h=50;1x912c:3;;:95245de>=ca34>?i94m689~w12c93:1?v3;4e39f3?<5=>o>7:=4:?70c`=<;>0q~:;e083>6}:<=n:6<>94:?70cc=0ll019:j2;`5=>{t<=n;6=4<{<67`501689j>:507?823nl0?>95rs56gb?6=;r7?8i>51147?823nm03ik5245g3>g0>3ty?8nh50;1x912dn3h=563;4e29072<5=>mh7:=4:p01bc2908w0:;cg82432<5=>mo76jf:?70ac=j?30q~:;db83>6}:<=nh6o86;<67gc<3:=1689hl:507?xu3=1688>n:9ge?823nh0i:45rs56gf?6=;r7?8il5b7;8912ck3>9863;51c9072?j:4?:2y>01be28:=863;51;9<``<5=>m47l99:p01bf2908w0:;d`8a2<=:<=ni69<;;<664<<3:=1v9:i5;297~;3986s|45d0>5<4s4>?h44>07689137?32nj63;4g69f3??h54?:2y>01b?2k<270:;d88761=:<<:<69<;;|q70c7=839p19:k8;3321=:<<:=65ki;<67b701v9:k7;297~;3u245f4>461<27?9=;58dd8912a83h=56s|45f5>5<4s4>?h;4m689>01b02=8?70::048761=z{=>nh7>53z?70a0=99;3bn27?8hm5b7;8yv23l=0;6>u245f7>g0>34>?h84;259>00642=8?7p};4d;94?5|5=>o87??659>006521om70:;e`8a2<=z{=>hh7>53z?70fb=j?3019:k4;610>;3=9818?:4}r67f=<7288p19;?b;6;3>;3?:27?8nl58908912dk323>63;4cf9<=4<5=>ii7672:?70g`=018019:l0;:;6>;3?:27?8n=58908912d=323>63;4b49<=4<5=>h;7672:?70f>=018019:la;:;6>;35rs56bb?6=:r7?9=<5b7;8912dj323?6s|45`3>5<5s4>><>4m689>01ed21287p};4c394?4|5=?;87l99:?70gb=0190q~:;b383>7}:<<:>6o86;<67f`?;2wx89l;:1818228>0i:45245a3>=>43ty?8o;50;0x913703h=563;4b39<=5?n;4?:3y>006>2k<270:;c38;<6=z{=>i;7>52z?715g=j?3019:l3;:;7>{t<=k26=4={<67bf01689m::9:0?xu3g0>34>?o:47829~w12fk3:1>v3;4gd9f3?<5=>h47673:p01gc2909w0::018a2<=:<=i2656<;|q70dc=838p19;?1;`5=>;3;34=56`a?>0n2wx88?<:180822:m0:<>=4=56ae?>0n27?8ho586g8yv229=0;6>u24417>464;27?8oo58938912bk325<4s4>>?84>0218912ei323>63;4dg9<2c>=;4?:2y>005128:8?63;4cc9<=5<5=>m<768e:p00702908w0::3682465<5=>im7674:?70c4=0>o0q~::1983>6}:<<936<><3:?70gd=01:019:i4;:4a>{t<<;26=4<{<667<<68:9019:mb;:4b>;3;30m2wx88?m:180822;k0:<>=4=56af?>?:27?8ko586g8yv228m0;6>u2440f>464;27?8ol58918912cm325<4s4>>>k4>0218912ej323863;4d29<2c>005728:8?63;4ca9<=6<5=>n>768e:p00772908w0::3082465<5=>io768f:?70`2=0>o0q~::1083>6}:<<996<><3:?70ge=01;019:j6;:4a>{t<<;96=4<{<6676<68:9019:mc;:;0>;35<5s4>>?94;869>01ed2k<27p};53794?4|5=?897:77:?70gb=j?30q~::2783>7}:<<9=6968;<67f`01v9;=7;296~;3=:=18594=56ab?d112wx88<7:181822;10?4:5245a3>g0>3ty?9?750;0x913413>3;63;4b39f3?>>l4?:3y>005f2=2<70:;c38a2<=z{=?9n7>52z?716d=<1=019:l3;`5=>{t<<;o6=4={<666`<30>1689m::c4:?xu3=8o1<71>034>?o:4m689~w13583:1>v3;52390=1<5=>h47l99:p00462909w0::3387<2=:<=i26o86;|q7174=838p19;<3;6;3>;3k>:c4:?824n<03;k5242g0>=>43ty??h;50;1x915b<3h=563;3g09550334>8i<47859~w15b?3:1>v3;3d49f3?<5=9m?7674:p06cd2909w0:;3;ll145>4=51e4?>0n2wx8>h7:180824n00i:45242d5>=>434>8ih4>0768yv241;0;6?u242;1>g0>34>85:4;259~w15>83:1?v3;3829f3?<5=92>7:=4:?77d7=<;>0q~:<8d83>6}:<:2n6o86;<60=5<3:=168>o?:507?xu3;1i1<7=t=51;g?d1127??5k54368915>n3>986s|42:b>5<4s4>84l4m689>06>d2=8?70:<9d8761=z{=9347>53z?77=>=j?3019=7a;610>;3;0n18?:4}r60<3<72:q68>69:c4:?824010?>95242;`>1433ty??5:50;1x915?<3h=563;3949072<5=92n7:=4:p06>52908w0:<838a2<=:<:2?69<;;<60=d<3:=1v9=71;297~;3;1;1n;74=51;6?25<27??4754368yv241=0;6>u242:2>461<27??4858dd8915>=3h=56s|42`2>5<4s4>8n<4m689>06d42=8?70:53z?77d`=j?3019=m1;610>;3;j;18?:4}r60ea<72:q68>ok:c4:?824io0?>95242a3>1433ty??ll50;1x915fj3h=563;3`f9072<5=9ij7:=4:p06g>2908w0:u242c6>g0>34>8m:4;259>06dd2=8?7p};3`194?5|5=9j?7l99:?77d3=<;>019=mb;610>{t<:k96=4<{<60e70168>o<:507?824jh0?>95rs51a1?6=;r7??l<51147?824j>03ik5242`5>g0>3ty??km50;5x9127?3;;?>5242d:>=>434>8io477g9>06c521=m70:659j;<60g1<5lm1v9=l9;296~;3<9318594=51:3?d112wx8>jm:181823980?4:5242c2>g0>3ty??i750;0x912683>3;63;3`29f3?8h:4?:3y>016a2=2<70:<9g8a2<=z{=9o97>52z?705c=<1=019=6e;`5=>{t<:n86=4={<674a<30>168>7k:c4:?xu3;m;1<71>034>85o4m689~w15dl3:1>v3;41c90=1<5=92m7l99:p06ee2909w0:;0987<2=:<:326o86;|q77f1=838p19:?7;6;3>;3;0<1n;74}r60gd<72:q689<>:5:4?824kh0:<>=4=51a=?>0n2wx8>jl:180823:00?4:5242f`>464;27??o:586d8yv24lh0;6>u2450;>1>034>8hl4>0218915e:325<4s4>?>:4;869>06b?28:8?63;3c29<2`8h;4?:2y>01412=2<70:<3:?77de=0>l0q~:6}:<=8?6968;<60`7<68:9019=na;:4b>{t<:n;6=4<{<6766<30>168>j?:0207>;3;h214:h4}r60g`<72:q689<=:5:4?824kl0:<>=4=51b2?>0n2wx8>ml:180823:90?4:5242a`>464;27??l:586d8yv24k10;6>u2453e>1>034>8o54>0218915e>325<4s4>8hi4;869>06ba2k<270:5cz?7051=<8k019:?8;62e>;3<9k18:m63;41g904g<5=>;j7:>a:?7046=<8k019:>1;62e>;3<9318:m63;436904g<5=>997:>a:?7070=<8k019:=7;62e>;3<;218a:?77fc=<8k019=k0;62e>;3;m818:m63;3ec904g<5=9oo7:>a:?77fg=<8k0q~:<8183>0}:<:i86o86;<60g1<698>019=l4;3250=:<:i?66:?77f2=98;37p};3gg94?1|5=>;47??329>06`>212?70:m;:3ff?824lo034?5rs51eb?6=0r7?8=o51110?824n003;k5242ga>=>434>848477g9>06`421=m70:km:9:1?8240>03;k5242d0>=>634>8j947839>06e32;o;70:;=7>57z?705e=999870:;<60<<h<:9:0?824k=09i<5242g7>=>73ty?8=<50;:x9127l3;;?>5242g5>=1a34>8j447839>06ce212?70:<8c8;3c=:<:l?659i;<60g1<5m;168>k>:9:1?xu3<991<79t=563a?77;:168>6k:95e?824n>03;k5242g1>=>634>8ik47829>06`7212;70:;87>57z?705`=999870:<8g8;3c=:<:l<656?;<60ach?:9:1?824k=09h;5242g0>=>53ty?8=;50;4x912683;;?>5242g;>=1a34>85<477g9>06`0212:70:;|q7050=83?p19:>1;3376=:<:38659i;<60b3k=:9:0?824k=09h55rs51e`?6==r7?8=751110?8241103;k5242d5>=1a34>8o94=d`9>06ba212:7p};40694?2|5=>:j7??329>06c5212;70:p19:=0;3376=:<:l>656=;<60g1<5i=168>ji:9:3?xu3<8=1<7;t=5616?77;:168>h<:9:1?824n=03495242a7>7g234>8i<477g9~w12603:19v3;4319555434>8j>47819>06`3212:70:a;291~;3<;?1===<;<60a3h;:9:3?824k=09m55242g2>=>73ty?83;;?>5242g1>=>534>8ik47859>06`7212:70::o7>55z?7071=999870:k<:9:3?xu3<8n1<7:t=561k7:9:3?824k=095i5242g0>=1a3ty?85242d5>=>534>8i?47859>06e32;3n7p};40794?2|5=>9=7??329>06`1212:70:016=;m9:9:7?871kh03495217f5>=>334;=hl47859>53b?212?70?9db8;<1=:9?o=656;;<35ad=>334;=jl47859>53`?212?70?9fb8;<1=:9>:=656;;<344d7:9:7?8708j0349521635>=>334;<=l47859>527?212?70?81b8;<1=z{854z?22f7=01801<9=7;:;6>;6>j81n;74=04``?>?:2wx=;mj:182=~;6>jn1n;74=04`g?>?<27::i<58968940c8323863>6e69<=2<5801<8j0;:;0>;6>l>145:4=04fa?>?<27::k<58968940a8323863>6g69<=2<5801<9?0;:;0>;6?9>145:4=053a?>?<27:;<<5896894168323863>7069<=2<58=:i7674:?2374=01>01<9=0;:;0>;6?;>145:4}r346=<72:q6=:<9:9:7?870:>0i:452160b>=>33ty:;n=50;;x94>3?323?63>7b09f3?<58h2o7673:?23f7=01901;6j=8145?4=05gb?>?:27:n:95891894>5j323>6s|1965>5<6;r7:487540c894>203>:m63>8559f3?<582>;7:>a:?2<00=<8k01<6:5;62e>;60<>18293>:m63>842904g<582?i7:>a:?2<1b=<8k01<6;c;62e>;60=h18303>:m63>857904gl4?:33x94>5j3h=563>820904g<5828=7:>a:?2<66=<8k01<6=f;62e>;60;o182l3>:m63>84a904g<582>n7:>a:?2<0g=<8k01<6;f;62e>;60=818513>:m63>856904g<582??7:>a:?2<17=<8k01<6;0;62e>;60:l18j540c894>4k3>:m63>82`904g<5828m7:>a:?2<6?=<8k01<6<8;62e>;60:<18:540c894>4;3>:m6s|1c62>5<59r7:n9>540c894dd:3>:m63>bb3904g<58hh<7:>a:?2fg`=<8k01;6j:m63>b4c904g<58h>57:>a:?2f0>=<8k01;6j:m63>b43904g<58h><7:>a:?2f1`=<8k01;6j=n18:m63>b5:904g<58h?;7:>a:?2f10=<8k01;6j=>18:m63>bca904g<58hin7:>a:?2fgg=<8k01;6jk=18:m63>bc1904g<58hi>7:>a:?2fg7=<8k01;6jhl18:m63>b`c904g<58hj57:>a:?2fd>=<8k01;6jh<18:m63>b`3904g<58hj<7:>a:?2f<`=<8k01;6j0n18?18?3>:m63>b84904g<58h287:>a:?2f<5=<8k01;6j0;18:m63>b9f904g<58h3o7:>a:?2f=d=<8k01;6j1218:m63>b96904g<58h3?7:>a:?2f=4=<8k01;6j1:18:m63>b6`904g<58ha:?2f2?=<8k01;6j>=1n;74}r3a7f<72;;p1;6jk218=3>:m63>b9c904g<58ha:?2f24=<8k01;6j>918:m63>b7d904g<58h=i7:>a:?2f3b=<8k01;6j?h18:m63>b2g904g<58h=:7:>a:?2f33=<8k01;6j?918:m63>b45904g<58h?o7:>a:?2f6`=<8k01{t9>nn6=4>3z?23ab=<8k01<9i1;62e>;6?o:18:m63>7da904g<58=nn7:>a:?23`g=<8k01<9j9;62e>;6?l218:m63>7d1904g<58=n>7:>a:?23`7=<8k01<9j0;62e>;6?ml1n;74}r34g5<72;;p1<9mf;62e>;6?o<18:m63>7g0904g<58=n;7:>a:?23ag=<8k01<9kc;62e>;6?mh18:m63>7e5904g<58=o:7:>a:?23a3=<8k01<9k4;62e>;6?m918:m63>7b6904g<58=hi7:>a:?23fb=<8k01<9lc;62e>;6?jh18:m63>7b5904g<58=h:7:>a:?23f3=<8k01<9l1;`5=>{t9?3i6=4={<35=g0169?o6:9:3?xu6>hn1<7>l758908yv711j0;6?u217;`>g0>34;=4n47819~w40fm3:1>v3>6`g9f3?<58<3o768f:p53g22909w0?9a48a2<=:9?2o656?;|q22g1=838p1<8m7;`5=>;6>1n14:h4}r35e3<72;q6=;o9:c4:?8711<034=5rs04a=325<5s4;=m:4m689>53?1212;7p}>6c;94?4|58l0q~?9a983>7}:9?k36o86;<35=2kk1n;74=04:3?>0n2wx=;o6:181871i00i:45217;;>=>73ty::ol50;0x940ej3h=563>68:9<2`53gf2k<270?9988;<5=z{852z?22ge=j?301<869;:4b>{t9?ki6=4={<35eg016=;7n:9:3?xu6>kn1<7g0>349jj;47819~w40em3:1>v3>6cg9f3?<5:km:7672:p53?c2909w0?99e8a2<=:;k:?656?;|q22d`=838p1<8nf;`5=>;4j9>145<4}r35=`<72;q6=;7j:c4:?8710l034=5rs04a4?6=:r7::o>5b7;8940?m325<5s4;=5k4m689>53>a212;7p}>6c394?4|58l0q~?9a183>7}:9?k;6o86;<35=5k81n;74=04:4?>0n2wx=;o>:181871i80i:45217;2>=>73ty::o=50;0x940e;3h=563>6839<2`53g52k<270?9938;<5=z{852z?22g2=j?301<862;:4b>{t9?k86=4={<35e6016=;7<:9:3?xu6>k?1<7g0>34;=5947819~w40e>3:1>v3>6c49f3?<58<28768f:p524>2903w0?nb5875d=:9hh>69?n;<3bf3<39h16=ll8:53b?87fj10?=l521``:>17f34;jnl4;1`9>524f2k<27p}>73794?>|58kj:7:>a:?2ed1=<8k01;6ih318:m63>7349f3?<4?:6y>5d222=;j70?n47875d=:9h><69?n;<3b0=<39h16=l:6:53b?87fg0>3ty:;:m63>a2c904g<58k8n7:>a:?2e6e=<8k01;6i:o1817f34;j9>4;1`9>5d332=;j70?n54875d=:9h?=69?n;<346101v<9>b;293~;6i;;18:m63>a37904g<58k9:7:>a:?234e=j?30q~?81883>2}:9h;>69?n;<3b53<39h16=l?8:53b?87f910?=l521`3:>17f34;j=l4;1`9>527f2k<27p}>70f94?1|58k9h7:>a:?2e7c=<8k01;6i::18<540c89416m3h=56s|1634>5<0s4;j<44;1`9>5d6f2=;j70?n0c875d=:9h:h69?n;<3b4a<39h16=l>j:53b?870910i:45rs0521?6=?r7:5kj540c894?am3>:m63>9gd904g<58k;<7:>a:?2e57=<8k01;6?8<1n;74}r3454<72>q6=4j6:53b?87>lh0?=l5218fa>17f34;2hn4;1`9>5;96o86;|q235`=83=p1<7ld;62e>;61jo18540c894?c93>:m63>9e0904g<58=:<7l99:p5274290m00?=l5218gb>17f34;<=94m689~w417l3:1;v3>9b3904g<583h>7:>a:?2=f5=<8k01<7l4;62e>;61j?1817f34;2n;4;1`9>5l:c4:?xu6?9=1<79t=0;:`?26i27:54k540c894?>n3>:m63>9`2904g<583j=7:>a:?2=d4=<8k01<9?8;`5=>{t9>:>6=48{<3:=4<39h16=47=:53b?87>1:0?=l5218;7>17f34;2584;1`9>557z?2=d?=<8k01<7na;62e>;61hh18:m63>71c9f3?4?:6y>5<>22=;j70?687875d=:902<69?n;<3:<=<39h16=466:53b?87>0h0?=l521627>g0>3ty:;=?50;5x94?013>:m63>96c904g<583a:?2=2e=<8k01<78d;62e>;61>o18=<0?=l521875>17f34;29:4;1`9>5<3?2=;j70?658875d=:90?j69?n;<35b`01v<8ib;293~;61=318:m63>95f904g<583?i7:>a:?22ce=j?30q~?9fg83>2}:90<:69?n;<3:27<39h16=48<:53b?87>>=0?=l521846>17f34;2:;4;1`9>52672k<27p}>6g594?1|5838=7:>a:?2=64=<8k01<7<3;62e>;61:>188540c8940a03h=56s|17d6>5<0s4;2>84;1`9>5<412=;j70?626875d=:908369?n;<3:6<<39h16=4j540c894?4m3>:m63>92d904g<583?<7:>a:?2=17=<8k01<7;2;62e>;6>ok1n;74}r35b4<72>q6=4>k:53b?87>8l0?=l52182e>17f34;2==4;1`9>5<762=;j70?613875d=:9?l96o86;|q22``=83=p1<7?1;62e>;619818:m63>914904g<589m0?=l52183f>17f34;=j94m689~w40bl3:1;v3>8g7904g<582m:7:>a:?2;60o31817f34;3hh4;1`9>5=ba2=;j70?7e1875d=:91o:69?n;<3;a7<39h16=;kl:c4:?xu6>l=1<79t=0:`1?26i27:4n8540c894>d?3>:m63>8b:904g<582h57:>a:?2{t9?o>6=48{<3;f<<39h16=5ln:53b?87?jk0?=l5219``>17f34;3ni4;1`9>5=db2=;j70?9e78a2<=z{857z?2;60m918c>3>:m63>6dc9f3?5=g62=;j70?7a3875d=:91k869?n;<3;e1<39h16=5o::53b?87?i?0?=l5217g1>g0>3ty::ih50;5x94>>=3>:m63>884904g<5822;7:>a:?2<<>=<8k01<669;62e>;600k1817f34;3mk4;1`9>5=d72=;j70?7b0875d=:91h969?n;<35a101v<8kb;293~;60>n18?83>:m63>893904g<5823>7:>a:?22ae=j?30q~?9d883>2}:91=:69?n;<3;37<39h16=59<:53b?87??=0?=l521956>17f34;3;;4;1`9>53bf2k<27p}>6ef94?1|582357:>a:?2<=g=<8k01<67b;62e>;601i185<0s4;j544;1`9>5d?f2=;j70?n9c875d=:9h3h69?n;<3b=a<39h16=l7j:53b?871l10i:45rs04g1?6=?r7:m5j540c894g?m3>:m63>a9d904g<58k2<7:>a:?2e<7=<8k01;6>m<1n;74}r35`4<72>q6=l9::53b?87f??0?=l521`54>17f34;j;54;1`9>5d1>2=;j70?n7`875d=:9?n96o86;|q22f`=83=p1;6i?k18:m63>a7g904g<5817f34;=h94m689~w40d13:1;v3>9g3904g<583m>7:>a:?2=c5=<8k01<7i4;62e>;61o?180;6:u2184g>17f34;2:h4;1`9>5<0a2=;j70?671875d=:90=:69?n;<3:37<39h16=;m7:c4:?xu6>jh1<79t=0c6`?26i27:m8k540c894g2n3>:m63>a72904g<58k==7:>a:?2e34=<8k01<8lc;`5=>{t9?hm6=48{<3;20<39h16=589:53b?87?>>0?=l52194;>17f34;3:44;1`9>5=0f2=;j70?9c18a2<=z{857z?2<`?=<8k01<6ja;62e>;60lh18bm3>:m63>6b49f3?53362k<270?85487<2=z{8=i;7>52z?221e=j?301<9:4;6;3>{t9>h=6=4={<3502016=:;<:5:4?xu6?k?1<7g0>34;<9<4;869~w41e;3:1>v3>62:9f3?<58=><7:77:p52d52909w0?9328a2<=:9>>m6968;|q23g7=838p1<8=e;`5=>;6?=o18594}r34ec<72;q6=;<;:c4:?8703;6s|16cg>5<5s4;==l4m689>522f2=2<7p}>7`a94?4|58<:97l99:?231?=<1=0q~?8ac83>7}:9?;;6o86;<340=<30>1v<9na;296~;6>9h1n;74=0573?2??2wx=:o6:1818718?0i:4521665>1>03ty:;l650;0x940793h=563>75790=150`d2k<270?84587<2=z{8=j:7>52z?21c1=j?301<9;3;6;3>{t9>k?6=4={<36aa016=::>:5:4?xu6?h91<754958yv70i;0;6?u214g0>g0>34;v3>5eg9f3?<58=8i7:77:p52g72909w0?:d88a2<=:9>9o6968;|q23<`=838p1<;k4;`5=>;6?:i18594}r34=`<72;q6=8mi:c4:?870;k0?4:5rs05:`?6=:r7:9no5b7;89414i3>3;6s|16;`>5<5s4;>o84m689>525>2=2<7p}>78`94?4|58?h<7l99:?236>=<1=0q~?89883>7}:91v<968;296~;6=k;1n;74=0501?2??2wx=:78:181872ij0i:4521617>1>03ty:;4850;0x943f?3h=563>72190=150g52k<270?83387<2=z{8=287>52z?21{t9>386=4={<36==016=:=?:5:4?xu6?081<7g0>34;<>h4;869~w41>83:1>v3>59;9f3?<58=9h7:77:p52db2909w0?96g8a2<=:9>?h6968;|q23gb=838p1<89a;`5=>;6?5b7;8941213>3;6s|16`b>5<5s4;=9o4m689>523?2=2<7p}>7c;94?4|58<>:7l99:?231b=<1=0q~?8b183>7}:9?826o86;<3407<30>1v<9n5;296~;6=o81n;74=0503?2??2wx=:7n:181872jk0i:452160`>1>03ty:;5h50;0x943?<3h=563>73`90=1<4?:3y>5=3?28:8?63>6ca9<=5?4?:3y>5=3>28:8?63>6cf9<=55g>?28:8?63>6`c9<=75g>>28:8?63>6``9<=75g>e28:8?63>66`9<=55g>d28:8?63>66f9<=55g>c28:8?63>66d9<=55g>b28:8?63>6939<=55g>a28:8?63>6919<=55g?728:8?63>6979<=55g?628:8?63>6959<=55g?528:8?63>69;9<=55g?428:8?63>69`9<=55g?328:8?63>6609<=55g?128:8?63>6669<=55g?028:8?63>6649<=55g??28:8?63>66:9<=55g?>28:8?63>66c9<=54?:3y>5g?f28:8?63>68`9<=55g?c28:8?63>6`a9<=55g?b28:8?63>68f9<=55g?a28:8?63>68g9<=55gg728:8?63>68d9<=55gg628:8?63>6`29<=55gg428:8?63>6`39<=55gg328:8?63>6`09<=55gg228:8?63>6`19<=55gg128:8?63>6`69<=55gg028:8?63>68a9<=55gg?28:8?63>6`79<=5=4?:3y>5gg>28:8?63>6`49<=5<4?:3y>5ggf28:8?63>6`59<=5?4?:3y>5gge28:8?63>6`:9<=5>4?:3y>5ggd28:8?63>6`;9<=584?:3y>5ggb28:8?63>6`c9<=5;4?:3y>5gga28:8?63>6``9<=5:4?:3y>5gd728:8?63>66`9<=754?:3y>5gd628:8?63>66f9<=744?:3y>5gd528:8?63>66d9<=7l4?:3y>5gd428:8?63>6939<=7o4?:3y>5gd328:8?63>6919<=7n4?:3y>5gd228:8?63>6979<=7i4?:3y>5gd128:8?63>6959<=7h4?:3y>5gd028:8?63>69;9<=75gd>28:8?63>69`9<=75gdf28:8?63>6609<=75gde28:8?63>6669<=74?:3y>5gdd28:8?63>6649<=75gdc28:8?63>66:9<=75gdb28:8?63>66c9<=75gda28:8?63>68`9<=45ge728:8?63>6`a9<=45ge628:8?63>68f9<=45ge528:8?63>68g9<=45g2728:8?63>68d9<=45g2428:8?63>6`29<=45g2328:8?63>6`39<=45g2228:8?63>6`09<=45g2128:8?63>6`19<=45g2028:8?63>6`69<=45g2?28:8?63>68a9<=44?:3y>5g2>28:8?63>6`79<=45g2f28:8?63>6`49<=45g2e28:8?63>6`59<=45g2c28:8?63>6`:9<=45g2b28:8?63>6`;9<=45g2a28:8?63>6`c9<=45g3728:8?63>6``9<=45g3628:8?63>66`9<=45g3528:8?63>66f9<=45g3428:8?63>66d9<=45g3328:8?63>6939<=45g3228:8?63>6919<=45g3128:8?63>6979<=45g3?28:8?63>6959<=44?:3y>5g3>28:8?63>69;9<=45g3f28:8?63>69`9<=45g3e28:8?63>6609<=45g3d28:8?63>6669<=45g3c28:8?63>6649<=45g3b28:8?63>66:9<=45g3a28:8?63>66c9<=45g>028:8?63>6`;9<=7<58<>=768f:p5d`a2908w0?m8782465<58l0q~?nfd83>6}:9k2>6<><3:?22d1=01;01<8;7;:4b>{t9hlo6=4<{<3a<1<68:901<8n6;:;5>;6>=814:h4}r3bbf<72:q6=o6<:0207>;6>h?145?4=040`?>0n2wx=lhm:18087e0;0:<>=4=04:g?>?927::>6586d8yv7fnh0;6>u21c:2>464;27::l:589389404;325<4s4;i4=4>0218940f;323=63>63g9<2`5g1b28:8?63>6`09<=7<58<98768f:p5d`12908w0?m7e82465<58l0q~?nf483>6}:9k=h6<><3:?22d6=01;01<8>a;:4b>{t9hl?6=4<{<3a3g<68:901<86f;:;5>;6>8?14:h4}r3bb6<72:q6=o9n:0207>;6>0o145?4=0424?>0n2wx=lh=:18087e?00:<>=4=04:`?>?927::=l586d8yv7fn80;6>u21c5;>464;27::lm589389407>325<4s4;i;84>0218940>j323=63>6139<2`5g1328:8?63>5ga9<2`<58<=01>0q~?neb83>6}:9k=:6<><3:?21`b=0>l01<886;:;0>{t9hoi6=4<{<3a35<68:901<;j8;:4b>;6>>>145:4}r3bad<72:q6=o8i:0207>;6=l914:h4=0446?>?<2wx=lk6:18087e>l0:<>=4=07ga?>0n27::5l58968yv7fm10;6>u21c4g>464;27:9i7586d8940?132386s|1`g4>5<4s4;i:n4>0218943c<326959<=25g0e28:8?63>5bd9<2`<58<397674:p5dc22908w0?m6`82465<58?hm768f:?22=5=01>0q~?ne583>6}:9k<26<><3:?21f3=0>l01<871;:;0>{t9ho86=4<{<3a2=<68:901<;l0;:4b>;6>>l145:4}r3ba4<72:q6=o89:0207>;6=k<14:h4=044`?>?<2wx=lk?:18087e><0:<>=4=07a5?>0n27:::l58968yv7flo0;6>u21c47>464;27::ll58968943fk325<4s4;i:>4>0218940fi323863>5`59<2`5g0528:8?63>6`;9<=2<58?j>768f:p5dbd2908w0?m6082465<58l0q~?ndc83>6}:9k<;6<><3:?22d1=01>01<;68;:4b>{t9hnj6=4<{<3a12<68:901<8n6;:;0>;6=0914:h4}r3b`4<72:q6=o:l:0207>;6>h?145:4=07;a?>0n2wx=lm9:18087e;o0:<>=4=04:g?>?<27:957586d8yv7e;h0;6>u21c`;>464;27::l:589689401n325<4s4;imi4>0218940f;323863>67c9<2`94?:2y>5gg528:8?63>6`09<=2<58<=9768f:p5g7>2908w0?m9482465<58l0q~?m0d83>6}:9k2j6<><3:?22d6=01>01<8:b;:4b>{t9k:86=4<{<3a3c<68:901<86f;:;0>;6><<14:h4}r3bb=<72:q6=o9=:0207>;6>0o145:4=041=?>0n2wx=lkk:18087e>>0:<>=4=04:`?>?<27:9k<586d8yv7fm;0;6>u21c1f>464;27::lm58968943ej325<4s4;i?o4>0218940>j323863>5969<2`5dd328:8?63>beg9<=6<58hoj7672:p5dgb290=w0?nb482465<58hn?7670:?2fa1=01:01;6jmi145>4=0`g`?>?82wx=loi:18g87fj?0:<>=4=0`g2?>0n27:nh>586d894db932bd09<2`<58hn8768f:?2f`3=0>l01;6jl=14:h4=0`g0n27:ni7586d894dck32bef9<2`5dd028:8?63>be49<=6<58hn<7670:?2f`7=01:01;6jl>145>4=0`f1?>?827:nh85892894db?323<63>be:9<=6<58ho57670:?2fad=99ac394?4|58ki47??329>5gba212;7p}>ac094?2|58ki57??329>5gc421=m70?md68;3c=:9knn659i;|q2eg5=838p1=>53ty:ml?50;fx94gf03;;?>521ca7>=1a34;ioi477g9>5geb21=m70?mcg8;3c=:9kn:659i;<3a`7=1a34;io:477g9>5ge?21=m70?mc88;3c=z{8kj>7>5dz?2ed?=999870?mc58;<5=:9kio656?;<3ag`=>734;ih>47819>5gb3212;70?mc78;<5=:9ki<656?;<3ag=1<7:t=0cbf?77;:16=oj?:95e?87ek<03;k521caa>=1a3ty:ml;50;0x94gfk3;;?>521ca`>=1a3ty:m9l50;1x94g293;;?>52176e>=1a34;=9=47839~w4g3k3:18v3>a409555434;=8h477g9>532a212:70?9518;<4=z{8k?h7>54z?2e05=999870?94d8;<5=:9?>m656?;<35151===<;<3515=>63ty:m9?50;6x94g3?3;;?>52176:>=>734;=8l47819>532e212;7p}>a5094?4|58k?47??329>532e21=m7p}>a5194?4|58k?57??329>532d21297p}>a5694?4|58k?m7??329>532d212:7p}>a2194?5|58k857??329>532221=m70?9478;<7=z{8k887>54z?2e6g=999870?9458;3c=:9?>>656>;<3503m51110?871j51110?871<>034?5rs0c0k51110?871<>034<5rs0c13?6=;r7:m?j51110?871<903;k521762>=>53ty:m?650;6x94g5m3;;?>52171e>=1a34;=8=47809>5326212:7p}>a3;94?2|58k9j7??329>535a212;70?9418;<5=:9?>:656?;|q2e7g=838p1:659i;|q2e7d=838p19656=;|q2e7e=838p19656>;|q2e4d=839p1c;290~;6i;81===<;<357d=>734;=?n47819~w4g6m3:1>v3>a369555434;=?n477g9~w4g6n3:1>v3>a379555434;=?i47839~w4g583:1>v3>a349555434;=?i47809~w4g7n3:1?v3>a079555434;=?;477g9>535021297p}>a0294?2|58k::7??329>535221=m70?9378;<4=:9?9<656>;|q2e47=83>p17;3376=:9?9>656?;<35731<7:95e?871;;034?5rs0c30?6==>634;=??47809~w4g7=3:18v3>a1`9555434;=?=47819>5356212;70?9338;<5=z{8k;:7>52z?2e5e=999870?9338;3c=z{8k;;7>52z?2e5b=999870?9328;<7=z{8k;47>52z?2e5c=999870?9328;<4=z{83m;7>53z?2=cb=999870?92b8;3c=:9?8o656=;|q2=c>=83>p1<7ie;3376=:9?8i659i;<356f=>73ty:5ko50;0x94g783;;?>52170g>=1a3ty:5kl50;0x94g793;;?>52170f>=>53ty:5km50;0x94g7:3;;?>52170f>=>63ty:5ih50;1x94?b=3;;?>521701>=1a34;=>>47839~w4?b83:18v3>9d49555434;=><477g9>5345212:70?9228;<4=z{83n=7>54z?2=`1=999870?9208;<5=:9?89656?;<35661<7:t=0;ge?77;:16=;?l:95e?8719m034<52173f>=>63ty:5i;50;6x94?cj3;;?>52173`>=>734;==i47819>537b212;7p}>9e494?4|583oo7??329>537b21=m7p}>9e594?4|583oh7??329>537a21297p}>9e:94?4|583oi7??329>537a212:7p}>9b594?5|583hh7??329>537?21=m70?9188;<7=z{83h47>54z?2=fc=999870?9168;3c=:9?;3656>;<355<51110?8719003;k5rs0;`f?6=:r7:5i?51110?8719h034?5rs0;`g?6=:r7:5i<51110?8719h034<5rs0;af?6=;r7:5n?51110?8719:03;k521737>=>53ty:5om50;6x94?d:3;;?>521731>=1a34;==>47809>5373212:7p}>9cf94?2|583h?7??329>5375212;70?9128;<5=:9?;?656?;|q2=gc=838p1<7l4;3376=:9?;?659i;|q2=g`=838p1<7l5;3376=:9?;>656=;|q2=f6=838p1<7l6;3376=:9?;>656>;|q2=d`=839p1<7m5;3376=:9?:n659i;<354cj:9:2?8718o034<5rs0;a5?6==>734;=v3>9c:9555434;=v3>9c;9555434;===47839~w4?e<3:1>v3>9cc9555434;===47809~w4?f;3:1?v3>9`;9555434;=<4477g9>536f21297p}>9`694?2|583jm7??329>536?21=m70?9088;<4=:9?:j656>;|q2=d3=83>p1<7nb;3376=:9?:3656?;<354<n:9:3?xu61h<1<7n:95e?xu61h=1<7m:9:1?xu61h21<7m:9:2?xu610=1<7=t=0;:`?77;:16=;>;:95e?8718<034?5rs0;:=>634;=<847809~w4?>13:18v3>98d9555434;=<>47819>5363212;70?9048;<5=z{832m7>52z?2=d6=999870?9048;3c=z{832n7>52z?2=d7=999870?9078;<7=z{832o7>52z?2=d4=999870?9078;<4=z{833n7>53z?2=<7=999870?:fg8;3c=:9?:;656=;|q2==e=83>p1<762;3376=:9?:9:2?xu611n1<7:t=0;:7?77;:16=8hj:9:3?872no034=521723>=>73ty:55k50;0x94?><3;;?>521723>=1a3ty:55h50;0x94?>=3;;?>521722>=>53ty:54>50;0x94?>>3;;?>521722>=>63ty:5:h50;1x94??=3;;?>5214db>=1a34;>jo47839~w4??83:18v3>9949555434;>j4477g9>50`f212:70?:fc8;<4=z{833=7>54z?2==1=999870?:f88;<5=:931===<;<36b0>1<7:t=0;4e?77;:16=8h;:95e?872n<034<5214d5>=>63ty:5:;50;6x94?0j3;;?>5214d7>=>734;>j847819>50`1212;7p}>96494?4|58350`121=m7p}>96594?4|58350`021297p}>96:94?4|58350`0212:7p}>94`94?5|583==7??329>50ce21=m70?:eb8;<7=z{83>o7>54z?2=34=999870?:e`8;3c=:9;<36af=>53ty:58>50;6x94?2>3;;?>5214g6>=1a34;>i;47809>50c0212:7p}>94394?2|583>;7??329>50c2212;70?:e78;<5=:9;|q2=15=839p1<7;9;3376=:9:9:2?872m;034<5rs0;71?6==>734;>i?47819~w4?3>3:1>v3>95a9555434;>i?477g9~w4?3?3:1>v3>95f9555434;>i>47839~w4?303:1>v3>95g9555434;>i>47809~w4?4?3:1?v3>92f9555434;>hn477g9>50bc21297p}>92:94?2|5838i7??329>50be21=m70?:db8;<4=:9;|q2=6?=83>p1<7<51110?872l?03;k5214f4>=>634;>h547809~w4?5l3:18v3>9219555434;>h;47819>50b0212;70?:d98;<5=z{839i7>52z?2=62=999870?:d98;3c=z{839j7>52z?2=63=999870?:d88;<7=z{838<7>52z?2=60=999870?:d88;<4=z{83:j7>53z?2=73=999870?:d38;3c=:9p1<7=6;3376=:9:9:3?872l;034=5214f0>=>73ty:5?<50;0x94?503;;?>5214f0>=1a3ty:5?=50;0x94?513;;?>5214f7>=>53ty:5?:50;0x94?5i3;;?>5214f7>=>63ty:5<=50;1x94?613;;?>5214ag>=1a34;>oh47839~w4?6<3:18v3>90c9555434;>on477g9>50ec212:70?:cd8;<4=z{83:97>54z?2=4d=999870?:cb8;<5=:96;296~;618i1===<;<36g`7;296~;618n1===<;<36gc8;296~;618o1===<;<36gc=>63ty:5=750;6x94?7n3;;?>5214a4>=>734;>o547819>50e>212;7p}>91c94?4|583:<7??329>50e>21=m7p}>91`94?4|583:=7??329>50ef21297p}>91a94?4|583:>7??329>50ef212:7p}>8g`94?5|583;=7??329>50e421=m70?:c58;<7=z{82mo7>54z?2=54=999870?:c38;3c=:9;<36g1=>53ty:4k>50;6x94>a>3;;?>5214`g>=1a34;>nh47809>50da212:7p}>8g394?2|582m;7??329>50dc212;70?:bd8;<5=:9;|q2=>734;>n847819~w4>ci3:1>v3>8d29555434;>n8477g9~w4>cj3:1>v3>8d39555434;>n;47839~w4>ck3:1>v3>8d09555434;>n;47809~w4>dj3:1?v3>8e39555434;>mk477g9>50d721297p}>8ba94?2|582o>7??329>50gb21=m70?:ag8;<4=:9;|q2p1<6k3;3376=:9:9:1?xu60m:1<7:9:2?xu60kl1<7=t=0:`1?77;:16=8on:95e?872ik034?5rs0:`4?6==>634;>mo47809~w4>d93:18v3>8b59555434;>m447819>50gf212;70?:ac8;<5=z{82h>7>52z?2=999870?:ac8;3c=z{82h?7>52z?252z?253z?2p1<6ma;3376=:9=>73ty:4o850;0x94>ek3;;?>5214c5>=1a3ty:4o950;0x94>el3;;?>5214c4>=>53ty:4o650;0x94>em3;;?>5214c4>=>63ty:4l950;1x94>fl3;;?>5214c3>=1a34;>m<47839~w4>f03:18v3>8`g9555434;>5k477g9>50g7212:70?:a08;<4=z{82j57>54z?2=>63ty:44j50;6x94>f;3;;?>5214;b>=>734;>5o47819>50?d212;7p}>88g94?4|582j87??329>50?d21=m7p}>88d94?4|582j97??329>50?c21297p}>8`294?4|582j:7??329>50?c212:7p}>89d94?5|582297??329>50?121=m70?:968;<7=z{822<7>54z?2<<0=999870?:948;3c=:9<3=656>;<36=2034=5rs0::6?6=:r7:44651110?8721>03;k5rs0::7?6=:r7:44751110?87211034?5rs0::0?6=:r7:44o51110?87211034<5rs0:;7?6=;r7:45751110?8721803;k5214;1>=>53ty:45:50;6x94>?i3;;?>5214;3>=1a34;>5<47809>50?5212:7p}>89794?2|5823n7??329>50?7212;70?:908;<5=:9<39656?;|q2<=0=838p1<67c;3376=:9<39659i;|q2<=1=838p1<67d;3376=:9<38656=;|q2<=>=838p1<67e;3376=:9<38656>;|q2<21=839p1<68d;3376=:9<2h659i;<36o1===<;<36=>734;>4i47819~w4>0i3:1>v3>8929555434;>4i477g9~w4>0j3:1>v3>8939555434;>4h47839~w4>0k3:1>v3>8909555434;>4h47809~w4>1j3:1?v3>8639555434;>4:477g9>50>?21297p}>87a94?2|582<>7??329>50>121=m70?:868;<4=:9<23656>;|q2<3b=83>p1<683;3376=:9<2=656?;<36<2:1<7l034?5rs0c:0?6=j03;k52174g>=>634;=:h47809~w4g>=3:18v3>a8`9555434;=:n47819>530c212;70?96d8;<5=z{8k2:7>52z?2e52z?2e52z?2e53z?2e=b=999870?9698;3c=:9?<2656=;|q2e=>=83>p11034=52174:>=>73ty:m5o50;0x94g>83;;?>52174:>=1a3ty:m5l50;0x94g>93;;?>52174b>=>53ty:m5m50;0x94g>:3;;?>52174b>=>63ty:m:l50;1x94g?93;;?>521740>=1a34;=:947839~w4g0k3:18v3>a909555434;=:?477g9>5304212:70?9658;<4=z{8k54z?2e=5=999870?9638;<5=:9?<8656?;<35211===<;<3521?1===<;<351`:1<7:t=0c42?77;:16=;;k:95e?871=l034<52177e>=>63ty:m:?50;6x94g0?3;;?>52177g>=>734;=9h47819>533a212;7p}>a6094?4|58k<47??329>533a21=m7p}>a6194?4|58k<57??329>530721297p}>a6694?4|58k5307212:7p}>a7194?5|58k=57??329>533>21=m70?95`8;<7=z{8k=87>54z?2e3g=999870?9598;3c=:9??2656>;<351d=>53ty:m8650;6x94g2m3;;?>521770>=1a34;=9947809>5332212:7p}>a4;94?2|58k>j7??329>5334212;70?9558;<5=:9??>656?;|q2e0g=838p1659i;|q2e0d=838p1;|q2=`d=839p1<7i1;3376=:9?8<659i;<356==>734;=>547819~w4?bm3:1>v3>9g69555434;=>5477g9~w4?bn3:1>v3>9g79555434;=>447839~w4?a83:1>v3>9g49555434;=>447809~w4?1?3:1?v3>97f9555434;>j=477g9>50`621297p}>97:94?2|583=i7??329>50ca21=m70?:f18;<4=:9;|q2=3?=83>p1<79f;3376=:9:9:3?xu61?k1<7:95e?xu61?h1<7=>634;>nl47809~w4>b=3:18v3>8d`9555434;>n547819>50d>212;70?:b`8;<5=z{82n:7>52z?2<`e=999870?:b`8;3c=z{82n;7>52z?2<`b=999870?:bc8;<7=z{82n47>52z?2<`c=999870?:bc8;<4=z{82>j7>53z?2<33=999870?:838;3c=:9<28656=;|q2<36=83>p1<696;3376=:9<2:659i;<36<7:9:3?8720;034=5214:0>=>73ty:4;<50;0x94>103;;?>5214:0>=1a3ty:4;=50;0x94>113;;?>5214:7>=>53ty:4;:50;0x94>1i3;;?>5214:7>=>63ty:;5950;0x941a83;;?>521675>1>03ty:;5650;0x941a93;;?>521674>1>03ty:;5850;0x941bn3;;?>521772>=>43ty:;5;50;0x941bm3;;?>52176`>=>43ty:;5:50;0x941bl3;;?>521764>=>43ty:;5=50;0x941bk3;;?>521761>=>43ty:;5<50;0x941bj3;;?>52171g>=>43ty:;5?50;0x941bi3;;?>52171;>=>43ty:;5>50;0x941b13;;?>521710>=>43ty:;:h50;0x941b03;;?>52170f>=>43ty:;:j50;0x941b>3;;?>521707>=>43ty:;:m50;0x941b=3;;?>52173e>=>43ty:;:l50;0x941b<3;;?>52173b>=>43ty:;:o50;0x941b;3;;?>521736>=>43ty:;:750;0x941b:3;;?>521733>=>43ty:;:650;0x941b93;;?>52172a>=>43ty:;:950;0x941b83;;?>521725>=>43ty:;:850;0x941cl3;;?>521722>=>43ty:;:;50;0x941ck3;;?>5214d`>=>43ty:;::50;0x941cj3;;?>5214d4>=>43ty:;:<50;0x941c13;;?>5214gg>=>43ty:;:?50;0x941c03;;?>5214g;>=>43ty:;:>50;0x941c?3;;?>5214g0>=>43ty:;;h50;0x941c>3;;?>5214ff>=>43ty:;;k50;0x941c=3;;?>5214f:>=>43ty:;;j50;0x941c<3;;?>5214f7>=>43ty:;;m50;0x941c;3;;?>5214ae>=>43ty:;;l50;0x941c:3;;?>5214ab>=>43ty:;;o50;0x941c93;;?>5214a6>=>43ty:;;750;0x941c83;;?>5214a3>=>43ty:;;950;0x941dm3;;?>5214`5>=>43ty:;;850;0x941dl3;;?>5214`2>=>43ty:;;;50;0x941dk3;;?>5214c`>=>43ty:;;:50;0x941dj3;;?>5214c4>=>43ty:;;=50;0x941di3;;?>5214c1>=>43ty:;;<50;0x941d13;;?>5214;g>=>43ty:;;?50;0x941d03;;?>5214;;>=>43ty:;;>50;0x941d?3;;?>5214;0>=>43ty:;8h50;0x941d>3;;?>5214:f>=>43ty:;8k50;0x941d=3;;?>5214::>=>43ty:;5k50;0x941a>3;;?>52174e>=>43ty:;5j50;0x941a=3;;?>52174b>=>43ty:;5m50;0x941a<3;;?>521746>=>43ty:;5l50;0x941a;3;;?>521743>=>43ty:;5o50;0x941a:3;;?>52177a>=>43ty:;5750;0x941b?3;;?>521775>=>43ty:;:k50;0x941ci3;;?>52170:>=>43ty:;:=50;0x941dn3;;?>5214d1>=>43ty:;;650;0x941d<3;;?>5214`a>=>43ty:;8j50;0x941en3;;?>5214:7>=>43ty?>l?50;0x96`d83h=563;08c9<=49m>4?:2y>7ce52k<270:=d58;<6=:=;km656>;|q0bc1=83>p1>hmf;`5=>;2:k:145?4=50:=?>?;27?<4o58968yv25i;0;6?u23ga2>g0>34>;5l47829~w17e83:1>v3;1c29555434>:5h47819~w17e:3:1>v3;1c09555434>:5k47819~w17fl3:1>v3;1`f9555434>:5i47819~w17e93:1>v3;1c39555434>:m=47809~w17fm3:1>v3;1`g9555434>:5i477g9~w17fk3:1>v3;1`a9555434>:5k477g9~w17e;3:1?v3;1`d90=1<5=;im7??329>04g6212;7p};1c694?5|5=;i<7:77:?75gd=999870:>9d8;<4=z{=;i97>53z?75g4=<1=019?mc;3376=:<83m656=;|q75g0=839p19?nd;6;3>;39kn1===<;<62=a5240;e>=>63ty?=lh50;0x917fn3;;?>5240c2>=1a3ty?=l<50;1x917ei3>3;63;1`09555434>:5=477d9~w17f;3:1?v3;1c`90=1<5=;j?7??329>04>721=m7p};1`694?5|5=;io7:77:?75d2=999870:>838;3c=z{=;j97>53z?75gb=<1=019?n5;3376=:<82?659i;|q75d0=838p19?n6;3376=:<82=659i;|q75d1=839p19?me;6;3>;39h=1===<;<62<=g0>3ty?=:k50;1x9170m3h=563;16d9072<5=;2>7:=4:p041a2908w0:>7g8a2<=:<82:69<;;<62=6<3:=1v9?71;297~;391;1n;74=53;7?25<27?=4:54368yv260:0;6>u240:0>g0>34>:484;259>04?22=8?7p};19794?5|5=;397l99:?75=1=<;>019?66;610>{t<82<6=4<{<62<20168<66:507?8261>0?>95rs53;=?6=;r7?=575b7;8917?j3>9863;18:9072:4o4?:2y>04>e2k<270:>8e8761=:<83269<;;|q74d7=83np19>6a;`5=>;3808145=4=5352?>?:27?=;k5890891708323>63;28g9<=4<5=8j<7671:?7522=01>019?98;:;6>;39>8145:4=535e?>?:27?=;?589689170032386s|417;>5<4s4>;954m689>053d2=8?70:?848761=z{=:>:7>53z?7400=j?3019>:8;610>;381918?:4}r6311<72:q68=;;:c4:?827=?0?>95241:1>1433ty?<8<50;1x9162:3h=563;0469072<5=:3=7:=4:p05372908w0:?518a2<=:<9?969<;;<63<5<3:=1v9>;e;297~;38=o1n;74=5264?25<27?<:h54368yv27u2416`>g0>34>;8h4;259>051b2=8?7p};05c94?5|5=:?m7l99:?741e=<;>019>8d;610>{t<9>36=4<{<630=0168=:n:507?827?j0?>95rs5272?6=;r7?<985b7;8916303>9863;06`9072;8?4?:2y>05252k<270:?478761=:<9=j69<;;|q7416=839p19>;0;`5=>;38=818?:4=52414334>;;:4;259~w164k3:1?v3;02a9f3?<5=:8i7:=4:?7420=<;>0q~:?3`83>6}:<99j6o86;<637f<3:=168=9::507?xu38:21<7=t=520o543689160<3>986s|4115>5<4s4>;?;4m689>055?2=8?70:?728761=z{=:887>53z?7462=j?3019><6;610>;38>818?:4}r6377<72:q68===:c4:?827;=0?>9524152>1433ty?<>>50;1x916483h=563;0209072<5=:<<7:=4:p050f2908w0:?6`8a2<=:<99;69<;;<632c<3:=1v9>98;297~;38?21n;74=525e?25<27?<5k54368yv27>?0;6>u24145>g0>34>;:54;259>05>c2=8?7p};07694?5|5=:=87l99:?7430=<;>019>7c;610>{t<9<96=4<{<63270168=8;:507?8270k0?>95rs5254?6=;r7?<;>5b7;89161:3>9863;09c9072;9h4?:2y>053b2k<270:?618761=:<92269<;;|q740g=839p19>:a;`5=>;3814334>;494;259~w165n3:1?v3;03d9f3?<5=:?87:=4:?742?=<;>0q~:?6b83>6}:<98m6<>94:?743c=0ll019>9d;`5=>{t<9:i6=4={<634g0168g0>34>;v3;0039f3?<5=:;m7??659~w16603:1>v3;00:9f3?<5=:;m7:k3:p056>2909w0:?088a2<=:<9:j69<;;|q7446=838p19>>0;`5=>;38931==8;;|q7441=838p19>>7;`5=>;389318i=4}r634=<72;q68=>7:c4:?827800?>95rs523b?6=:r7?<=h5b7;8916703;;:95rs5222?6=:r7?<<85b7;8916703>o?6s|4124>5<5s4>;<:4m689>056?2=8?7p};01g94?4|5=:;i7l99:?7451=997}:<9:=6o86;<6342<3:=1v9>?d;296~;389n1n;74=5232?77>=1v9>>4;296~;388>1n;74=5232?2c;2wx8=>::1818278<0i:4524125>1433ty?<=m50;0x9167k3h=563;017955033ty?<<=50;0x9166;3h=563;01790a5;>=4?:3y>05472k<270:=418;<1=z{=:9;7>52z?7471=j?3019>=0;3321=z{=:9i7>52z?747c=j?3019>=0;6g7>{t<9;m6=4={<635c0168=g0>34>;=k4;259~w165=3:1>v3;0379f3?<5=::i7??659~w165k3:1>v3;03a9f3?<5=::i7:k3:p057c2909w0:?1e8a2<=:<9;n69<;;|q7472=838p19>=4;`5=>;388n1==8;;|q747d=838p19>=b;`5=>;388n18i=4}r635f<72;q68=?l:c4:?8279m0?>95rs5217?6=:r7?o?6s|413a>5<5s4>;=o4m689>057d2=8?7p};03094?4|5=:9>7l99:?744d=997}:<9;j6o86;<635g<3:=1v9>=1;296~;38;;1n;74=522e?77>=1v9>=8;296~;38;21n;74=522e?2c;2wx8=>;:1818278=0i:4523gdf>461<2wx?khk:18185anm0i:4523gdf>1433ty?<==50;0x9167;3h=563;05652k<270=ifb824327c`e2k<270=ifb8761=z{=:;=7>52z?7457=j?301>hib;3321=z{:lmm7>52z?0bcg=j?301>hib;610>{t<9:;6=4={<6345016?khn:0250>{t;ol26=4={<1eb<016?khn:507?xu4nol1<703;k5rs53352417g>=1a3ty?==850;1x9173?3>3;63;1149555434>;94477g9~w177=3:1?v3;15490=1<5=;;97??329>053021=m7p};11694?5|5=;?97:77:?7552=999870:?548;3c=z{=;;?7>53z?7512=<1=019??3;3376=:<9?8659i;|q7554=839p19?;3;6;3>;39981===<;<631451110?82754958916an3;;?>52416a>=1a3ty?3;63;0gg9555434>;84477g9~w16al3:1?v3;12g90=1<5=:mh7??329>052021=m7p};0g`94?5|5=;8o7:77:?74cd=999870:?428;3c=z{=:mm7>53z?756d=<1=019>ia;3376=:<9>:659i;|q74c?=839p19?;38o31===<;<637ci8;297~;39:318594=52e954958916a>3;;?>52411:>=1a3ty?3>3;63;0g79555434>;?:477g9~w16a<3:1?v3;12790=1<5=:m87??329>055221=m7p};0g194?5|5=;887:77:?74c5=999870:?328;3c=z{=:m>7>53z?7565=<1=019>i2;3376=:<99:659i;|q7546=839p19?:0;6;3>;398:1===<;<632g>03;k5rs533`?6=;r7?=9j549589177l3;;?>524146>=1a3ty?==m50;1x9173k3>3;63;11a9555434>;:>477g9~w177j3:1?v3;15`90=1<5=;;n7??329>050621=m7p};11c94?5|5=;?47:77:?755g=999870:?5g8;3c=z{=;;;7>53z?756b=<1=019??7;3376=:<9?i659i;|q74ce=839p19?<2;6;3>;38oi1===<;<6300i1;297~;39:;18594=52e5?77;:168=8k:95f?xu38k=1<70;6?u241g7>g0>34>:jn4;869~w16f?3:1>v3;0`59f3?<5=:n87:=4:p05d12908w0:?b78a2<=:<9k<6<>94:?74`5=0ll0q~:?9783>7}:<9o86o86;<62bg<30>1v9>l7;296~;38m?14k?4=52b3?2c;2wx8=o9:180827i?0i:45241c4>14334>;i>4;259~w16e=3:1?v3;0c79f3?<5=:j:7??659>05c521om7p};08794?4|5=:n>7l99:?75cg=<1=0q~:?c783>7}:<9n?65h>;<63e3<3l:1v9>n5;297~;38h?1n;74=52b2?25<27?u241`7>g0>34>;m84>0768916b932nj6s|41;7>5<5s4>;i<4m689>04`>2=2<7p};0b794?4|5=:o?76i1:?74d3=6}:<9k?6o86;<63e0<3:=168=k>:507?xu38k91<7=t=52a7?d1127?5b7;8917a03>3;6s|41a7>5<5s4>;h?47f09>05g32=n87p};0`194?5|5=:j?7l99:?74d2=<;>019>j0;610>{t<9h96=4<{<63f70168=o<:0250>;38ml14hh4}r63=7<72;q68=ji:c4:?826n>0?4:5rs52`7?6=:r7?o?6s|41`3>5<4s4>;n=4m689>05g42=8?70:?dg8761=z{=:h<7>53z?74f6=j?3019>m0;3321=:<9oo65ki;|q74<7=838p19>jd;`5=>;3:9>18594}r63gc<72;q68=jl:9d2?827j90?h>5rs52bb?6=;r7?9863;0df9072;nk4?:2y>05da2k<270:?ag82432<5=:no76jf:p05g72909w0:?eb8a2<=:<;:86968;|q74fc=838p19>kb;:e5>;38hl18i=4}r63e`<72:q68=oj:c4:?827io0?>95241g`>1433ty?;io47eg9~w16>n3:1>v3;0d`9f3?<5=8;>7:77:p05ec2909w0:?d`8;b4=:<9kn69j<;|q74db=839p19>nd;`5=>;38ho18?:4=52ff?25<2wx8=lk:180827jm0i:45241cg>461<27?g0>34>9<<4;869~w16dk3:1>v3;0e;9mc;297~;38ki1n;74=52bg?77>=168=k6:9ge?xu380n1<7=>54958yv27kk0;6?u241f;>=`634>;mn4;d29~w16fj3:1?v3;0``9f3?<5=:jo7:=4:?74`?=<;>0q~:?bc83>6}:<9hi6o86;<63eg<68?>019>j8;:fb>{t<93h6=4={<63a=0168a927?u241cb>g0>34>;mo4;259>05c?2=8?7p};0cc94?5|5=:im7l99:?74dg=9952z?74`1=j?3019?ie;6;3>{t<9i26=4={<63`3986s|41`:>5<4s4>;n44m689>05g>28:=863;0d49<``;544?:3y>05c12k<270:>fe87<2=z{=:h47>52z?74a7=0o;019>n9;6g7>{t<9k36=4<{<63e=0168=o6:507?827m?0?>95rs52a=ca3ty?<4650;0x916b=3h=563;1g490=1;o?4?:3y>05b721l:70:?a987`6=z{=:j>7>53z?74d4=j?3019>n8;610>;38l?18?:4}r63f4<72:q68=l>:c4:?827i;0:<;:4=52ga?>bn2wx8=7?:181827ll0i:45240d6>1>03ty?95i4?:32x916d9323<63;0c39<2`<5=:o<7670:?74g>=0>l019>k1;:;4>;38k314:h4=52g2?>?827?l019>k9;:;4>;38kn14:h4=52ge?>?827?l019>kd;:;4>;38k814:h4=52g6?>?827?l019>k5;:;4>;38k<14:h4=52a3?>0n27?>4k5b7;8yv270o0;6>u241c1>14334>;hh4;259>05?52k<27p};16194?76s4>:j84;1`9>04`12=;j70:>fe875d=:<8ln69?n;<62bc<39h168?>?:53b?825880?=l524321>17f34>9<>4;1`9>07632=;j70:>f6875d=:<8l369?n;<62b<<39h16817f34>:;94m689~w14393:1>v3;2529f3?<5=;h>768f:p04162909w0:=92875d=:<8=96o86;|q753d=838:w0:=43875d=:<;>869?n;<610d<39h168?:m:53b?82517f34>98h4;1`9>072a2=;j70:=51875d=:<;?:69?n;<6101<39h168?:::53b?82517f34>9854;1`9>072>2=;j70:=21875d=:<;8:69?n;<616=<39h168?<6:53b?825:h0?=l52430a>17f34>9>n4;1`9>074c2=;j70:=2d875d=:<;8m69?n;<6167<39h168?<<:53b?825:=0?=l524306>17f34>9>;4;1`9>07402=;j70:>6b8a2<=z{=;=57>53z?76<0=<8k019<67;62e>;39?k1n;74}r6233<72;q68?>7:53b?826?h0i:45rs535b?6=98q68?8<:53b?825>=0?=l52434a>17f34>9:n4;1`9>070c2=;j70:=6d875d=:<;:53b?825?;0?=l524346>17f34>9:;4;1`9>07002=;j70:=69875d=:<;<269?n;<612d<39h168<9?:c4:?xu39?n1<7?>{<61<6<39h168?6;:53b?8250k0?=l5243:`>17f34>94i4;1`9>07>b2=;j70:=8g875d=:<;3;69?n;<61=4<39h168?7=:53b?8250<0?=l5243:5>17f34>94:4;1`9>07>?2=;j70:=88875d=:<;2j69?n;<622`01v9?97;2954}:<8n>69?n;<62`3<39h16817f34>:i=4;1`9>04c62=;j70:>e3875d=:<8o869?n;<62a1<39h16817f34>:hl4;1`9>04be2=;j70:>db875d=:<8<36o86;|q76;3:031n;74}r61=c<721q6817f34>9<:4;1`9>076d2=;j70:=0e875d=:<;:n69?n;<61e501v9?95;296~;3:921===:;<622301v9?:f;2954}:<8l>6<><5:?75c0=999>70:>fe82463<5=;mi7??349>04`a28:8963;2129555234>9<<4>02789147:3;;?8524320>464=27?>=:51116?826n>0:<>;4=53e;39ok1===:;<62bg<68:?019?ic;3370=:<8<86o86;|q76<>=838p19<:2;6;3>;38lo1n;74}r623f<720q6?khj:c4:?827ml034?52404`>=>634>::?47839>041>212970:>628;<7=:<8=j656=;<62245<3s4>95:4;869>062>2=2<70?:6487<2=:<9l;6o86;|q765?=83>p19=>634>:5i47829~w147j3:1;v3;21g95554349mi447859>04?b212970:>a18;<7=:<83o656;;<62=c:9:1?xu3:9=1<7;t=5033?77;:16?kk?:9:7?826?k034<52405;>=1a34>:;4477g9~w147=3:1=>u24326>464;27?mc;:;5>;38kn145?4=52aa?>?927?m5;:;5>;38k<145?4=52a3?>?927?<4<586d896`ci32386s|4325>5<>s4>9<;4>02189170<323=63;1769<=7<5=;019?92;:;4>;39>3145>4=5355?>?827?=:658928yv26k=0;68u240a7>464;278jn8589689171<323<63;1739<2`<5=;=>768f:p04c22902w0:?c08;3c=:<9h:656?;<62b0<68:901>hif;:4b>;38;2145>4=5215?>?827?<<=589289167k323<63:in4?:8y>05b721=m70:?b98;<5=:<8l=6<><3:?0bc`=01;019>=8;:4b>;38;;145<4=5227?>0n27?<=m5890896`d>323=6s|40gg>5<>s4>;h<477g9>05d>212;70:>fe82465<5:lmj7673:?747?=01:019>=2;:;4>;388>145>4=523`?>?8278jio58938yv26ml0;64u241f5>=1a34>;nl47819>04`b28:8?63;0129<2`<5=:95768f:?7474=018019>>4;:4b>;389n145<4=2df4?>?92wx803;k5241`a>=>734>:jk4>021891678323=63;03c9<=6<5=:9?7670:?7443=01:019>?e;:;4>;4nl9145?4}r62b5<720q68=j7:95e?827jj034=524323>464;27?<=>589189165i32hj6;:;5>{t<8l:6=46{<63`<=4=5235?>0n27?f383><}:<9nj659i;<63f`=:0207>;389;145?4=521f?>0n27?32?1;:;7>;38;i145>4=5211?>?827?<<95892891668323<63:j94?:8y>05bd21=m70:?c18;<5=:<;:?6<><3:?7454=0>l019>=c;:4b>;38;?145<4=5223?>0n27?<<>5890896`a8323=6s|40g5>5<>s4>;hi477g9>05d5212;70:>f682465<5=:;>7671:?747b=01:019>=6;:;4>;3882145>4=5225?>?8278ji;58938yv26m>0;64u241f1>=1a34>;n>47819>04`?28:8?63;0109<=5<5=:9h768f:?7470=018019>>8;:4b>;388;145<4=2de5?>?<2wx8=>734>:j44>02189167;32>2;:;4>;4no8145:4}r62a<<720q68=j;:95e?827j<034=5240db>464;27?<==589389165m32hi3;:;0>{t<8oj6=48{<63`0=4=5237?>?;278jk:5896891438323?63;1b09<=5:io4?:7y>05d0212;70:>fb82465<5=:;8768f:?0bc3=01>019<;0;:;4>;39j8145?4}r61=6<72;q68?7<:0207>;38lo14:h4}r6116<72;q68?8<:0207>;3:;:18594}r611d<72;q68?8;:0207>;3:;;18594}r611g<72;q68?8m:0207>;3:;218594}r611f<72;q68?8l:0207>;3:;318594}r611a<72;q68?8k:0207>;3:;k18594}r611`<72;q68?8j:0207>;3:;h18594}r611c<72;q68?8i:0207>;3:;i18594}r6125<72;q68?9?:0207>;3:;n18594}r6124<72;q68?9>:0207>;3:;o18594}r6127<72;q68?9=:0207>;3:;l18594}r6111<72;q68?8::0207>;3:;818594}r6110<72;q68?89:0207>;3:;918594}r6113<72;q68?88:0207>;3:;>18594}r6112<72;q68?87:0207>;3:;?18594}r611=<72;q68?86:0207>;3:;<18594}r611<<72;q68?8n:0207>;3:;=18594}r6136<72;q68?6<:0207>;3:=818594}r613d<72;q68?6;:0207>;3:=918594}r613g<72;q68?6m:0207>;3:=k18594}r613f<72;q68?6l:0207>;3:=h18594}r613a<72;q68?6k:0207>;3:=i18594}r613`<72;q68?6j:0207>;3:=n18594}r613c<72;q68?6i:0207>;3:=o18594}r61<5<72;q68?7?:0207>;3:=l18594}r61<4<72;q68?7>:0207>;3:<:18594}r61<7<72;q68?7=:0207>;3:<;18594}r6131<72;q68?6::0207>;3:=>18594}r6130<72;q68?69:0207>;3:=?18594}r6133<72;q68?68:0207>;3:=<18594}r6132<72;q68?67:0207>;3:==18594}r613=<72;q68?66:0207>;3:=218594}r613<<72;q68?6n:0207>;3:=318594}r6155<72:q68?;3889145?4=523g?>0n2wx8??8:180825:80:<>=4=5227?>?:27?<=m58938yv25910;6>u2430;>464;27?<<:589389167l325<4s4>9>44>02189166<323>63;01f9<=79=l4?:2y>074f28:8?63;0079<=7<5=:;i768f:p077e2908w0:=2c82465<5=::97672:?745c=01;0q~:=1b83>6}:<;8h6<><3:?7440=01;019>?f;:4b>{t<;;o6=4<{<616a<68:9019>>6;:;6>;389l145?4}r615`<72:q68?;388=145?4=5224?>0n2wx8??i:180825:o0:<>=4=5223?>?:27?<<>58938yv25980;6>u24301>464;27?<<65893891669325<4s4>9>>4>021891660323>63;0039<=79=>4?:2y>074328:8?63;00;9<=7<5=::>768f:p07732908w0:=2482465<5=::57672:?7444=01;0q~:=1483>7}:<;8=6<><3:?75f4=01>0q~:=1783>7}:<;8<6<><3:?75f4=01:0q~:=3183>6}:<;>96<><3:?747>=01;019>=1;:4b>{t<;9<6=4<{<6106<68:9019>=8;:;6>;38;;145?4}r617=<72:q68?:n:0207>;38;3145?4=5216?>0n2wx8?=6:180825=4=521=?>?:27?u2436`>464;27?5<4s4>98i4>02189165i323>63;0319<=79?n4?:2y>072b28:8?63;03`9<=7<5=:98768f:p075c2908w0:=4g82465<5=:9n7672:?7472=01;0q~:=3d83>6}:<;?;6<><3:?747e=01;019>=5;:4b>{t<;9m6=4<{<6114<68:9019>=c;:;6>;38;?145?4}r6174<72:q68?:;:0207>;38;n145?4=5212?>0n2wx8?==:180825<<0:<>=4=521`?>?:27?u24365>464;27?5<4s4>98:4>02189165m323>63;0359<=79?84?:3y>072?28:8?63;2529<=49?;4?:3y>072>28:8?63;2529<=79594?:5y>07?128:8?63;0dg9<=7<5=;=o7670:?0bc6=01>0q~:=9483>1}:<;3<6<><3:?74`c=01:019?9c;:4b>;4nm?145:4}r62g0<72:q68;4nol145>4=2d``?>?:2wx8=4=2deb?>?:278jn858918yv26km0;6>u240fg>464;278jkh5896896`ci323?6s|40af>5<4s4>:hh4>021891678323<63:ok4?:2y>04ba28:8?63;0129<=4<5:ln?7673:p04b72908w0:>e182465<5=:;<7674:?0b`0=0190q~:>d083>6}:<8o:6<><3:?7457=01:01>hj9;:;7>{t<8n96=4<{<62a7<68:9019>?1;:;6>;4nli145=4}r62`6<72:q68;389;145:4=2dfa?>?:2wx8=4=5236?>?8278jk>58918yv26k?0;6>u240f4>464;27?<=<5890896`c=323?6s|40a4>5<4s4>:h54>02189167:323863:o54?:2y>04b>28:8?63;0119<=6<5:lm>7672:p04e>2908w0:>d`82465<5=:;?7672:?0bc5=0180q~:>c`83>6}:<8ni6<><3:?7455=01>01>hi4;:;6>{t<8ii6=4<{<62`f<68:9019>?4;:;4>;4no?145<4}r6254<72;q68<=>:0207>;4njn145>4}r625f<72;q68<==:0207>;4nj<145<4}r6262<72;q68<=k:0207>;4nmk145<4}r626d<72;q68<:7:0207>;4nl:145<4}r626g<72;q68<:m:0207>;4nl9145<4}r626f<72;q68<:l:0207>;4nl<145<4}r626a<72;q68<:k:0207>;4nl3145<4}r626`<72;q68<:j:0207>;4nli145<4}r626c<72;q68<:i:0207>;4nlo145=4}r6275<72;q68<;?:0207>;4no:145<4}r6257<72;q68<=<:0207>;4nm?145<4}r6256<72;q68<=;:0207>;4no;145=4}r6251<72;q68<=::0207>;4no8145=4}r6250<72;q68<=9:0207>;4no9145=4}r6253<72;q68<=8:0207>;4no>145=4}r6252<72;q68<=7:0207>;4no?145=4}r625=<72;q68<=6:0207>;4no<145<4}r625<<72;q68<=n:0207>;4j8o14:h4}r625d<72;q68<=m:0207>;4nj9145<4}r625g<72;q68<=l:0207>;4nj>145<4}r625a<72;q68<=j:0207>;4nj3145<4}r625`<72;q68<=i:0207>;4njh145<4}r625c<72;q68<:?:0207>;4njo145<4}r6265<72;q68<:>:0207>;4njl145<4}r6264<72;q68<:=:0207>;4nm:145<4}r6267<72;q68<:<:0207>;4nm;145<4}r6266<72;q68<:;:0207>;4nm8145<4}r6261<72;q68<:::0207>;4nm>145<4}r6260<72;q68<:9:0207>;4nm<145<4}r6263<72;q68<:8:0207>;4nm2145<4}r626=<72;q68<:6:0207>;4nmn145<4}r626<<72;q68<:n:0207>;4nmo145<4}r6117<72:q68?;=:0207>;38lo145=4=5074?>0n2wx8?>i:182=~;3:9l1===<;<62ea<68:?019?m0;3370=:<8h96<><5:?75g7=999>70:>ad82463<5=;jo7??349>04ga28:8963;1cc9555234>:no4>0278917ek3;;?85240`g>464=27?=ok51116?826jo0:<>;4=53`4?77;<168;39h91===:;<62e1<68:?019?n5;3370=:<8k=6<><5:?75d1=999>70:>a982463<5=;j57??349>04gf28:8963;1``955523ty?=n=50;:x917f93h=563;1669<=4<5=;=8768f:?752d=0>l019?92;:;7>;39>3145=4=5355?>?;27?=:658918yv24;10;6?u2421a>=>434>8?l4m689~w154>3:1>v3;3259f3?<5=9857670:p066e2909w0:<0`8a2<=:<;on656=;|q775>=838p19=?b;`5=>;3;9314:h4}r600g<72;q68>:l:c4:?825ll03495rs50g0?6=lr7??9m58908914b>323>63;2d69<=4<5=8o;7671:?76a4=019019;3:h<145<4=50f4?>?:27??9k58908914bk323?63;2e69f3?<5=8n47674:?76`4=0180q~:=d`83>6}:<;n2656?;<61adi?58dd8yv25im0;6?u243f2>g0>34>8=>4;869~w14e<3:1>v3;2c69f3?<5=8o=7:=4:p07de2908w0:=bc8a2<=:<;h?6<>94:?76a6=0ll0q~:=ab83>7}:<;n;6o86;<6057<30>1v914334>9h=4;259~w14ei3:1?v3;2cc9f3?<5=8i?7??659>07ea21om7p};2``94?4|5=8hj7l99:?7747=<1=0q~:=c383>7}:<;i365h>;<61f6<3l:1v9nh54368yv25j00;6>u243`:>g0>34>9n?4>0768914dm32nj6s|43cb>5<5s4>9oh4m689>06772=2<7p};2b394?4|5=8h;76i1:?76g4=6}:<;h:6o86;<61f7<3:=168?mj:507?xu3:k21<7=t=50ao?51147?825km03ik5rs50b=?6=:r7?>nj5b7;89157n3>3;6s|43a3>5<5s4>9o;47f09>07d62=n87p};2c294?5|5=8i<7l99:?76g7=<;>019{t<;h<6=4<{<61f20168?l?:0250>;3:ji14hh4}r61e=<72;q68?ml:c4:?8248l0?4:5rs50ab?6=:r7?>n;58g38914e83>o?6s|43ce>5<4s4>9mk4m689>07d72=8?70:=cb8761=z{=8i:7>53z?76g0=j?3019;3;9n18594}r61f`<72;q68?m;:9d2?825io0?h>5rs50ba?6=;r7?>lk5b7;8914fn3>9863;2b`90729n84?:2y>07d22k<270:=ad82432<5=8hm76jf:p07g12909w0:=c`8a2<=:<::h6968;|q76gb=838p19;3:ho18i=4}r600a<728:p19;3:k?14:h4=50`0?>?827?>o8586d8914d=323<63;2c59<2`<5=8h:7670:?76g>=0>l019;3:k314:h4=50`?827?>oo586d8914d1323<63;2c`9<2`<5=8io768f:?771c=j?30q~:=a483>6}:<;kn69<;;<61gd<3:=168?o9:c4:?xu3:lh1<77t=513g?26i27??=j540c89157m3>:m63;31d904g<5=9:<7:>a:?7747=<8k019=>2;62e>;3;8918=l:181824;k0i:45243gf>=1a3ty?>h950;0x9153=3>:m63;2d:9f3?9i<4?:2y>062?2=;j70:<48875d=:<;o96o86;|q76`?=838p19=>7;62e>;3:lk1n;74}r61a0<720q68><9:53b?824:>0?=l52420;>17f34>8>44;1`9>064f2=;j70:<2c875d=:<:8h69?n;<606a<39h168?k9:c4:?xu3:l91<77t=510`?26i27??>k540c89154n3>:m63;352904g<5=9?=7:>a:?7714=<8k019=;3;62e>;3;=>1817f34>9jl4;1`9>07`e2=;j70:=fb875d=:<;lo69?n;<61b`<39h168?hi:53b?825m90i:45rs517e?6=:r7??9;54958914c:3h=56s|426e>59ik4;1`9>06712=;j70:<14875d=:<:;?69?n;<605g<39h168>?l:53b?8249m0?=l5243f4>g0>3ty?>i=50;0x9156?3;;?85243f5>g0>3ty?>i650;;x9157k3;;?852422g>464=27??=k51116?8248o0:<>;4=5124?77;<168>?>:0201>;3;881===:;<6056<68:?019{t<::;6=48{<61fa=4=5103?>?;27??=l5891896`dk323>63;2ef9<=48<<4?:6y>07e321=m70:=b78;<5=:<::o6<><3:?7761=01;019=?b;:;5>;4nj=145>4=50g`?>?92wx8>>=:184825k<03;k5243`4>=>734>8

    02189154?322}:<;i=659i;<61f=>i:0207>;4nl;145>4=510=?>0n27??=758938914cj32386s|4227>5<0s4>9o:477g9>07d>212;70:<1182465<5:ln87670:?776g=018019=?a;:;7>;3:mh145<4}r6040<72>q68?m7:95e?825jh034=524232>464;278jh9589289154i323<63;31c9<2`<5=8on7670:p0661290;4nlk145>4=50gg?>?;27?>hk58968yv248>0;6;u243``>=>734>8=>4>02189154j323<637}:<:;i6<><3:?0b`2=0180q~:<1883>7}:<:;h6<><3:?0b`1=0180q~:<1`83>7}:<:;o6<><3:?0b`g=0180q~:<1783>6}:<:;=6<><3:?76`g=0>l01>hj1;:;6>{t<:;?6=4m{<6051<68:9019;3:k<145?4=50a3?>?927?>o658938914e1323=63;2cc9<=7<5=8in7671:?76ge=01;01>hkb;:;6>;3:h<14:h4}r6050<72=q68>?::0207>;3:mi14:h4=50fg?>?<278jnm58918yv25mo0;6>u243ge>464;27?>i7586d896`d?323>6s|4266>5<5s4>8884>02189154j325<5s4>8>;4>02189157j32386s|423e>5<5s4>8>:4>02189157j323>6s|4203>5<5s4>8>54>02189157j323<6s|4202>5<5s4>8>44>021891571323<6s|4201>5<5s4>8>l4>02189157i323>6s|4200>5<5s4>8>o4>02189157i323<6s|4207>5<5s4>8>n4>0218914bm323?6s|4206>5<5s4>8>i4>0218914bm323=6s|420f>5<5s4>8?i4>02189154?32386s|420e>5<5s4>8?h4>02189154?323>6s|4213>5<5s4>8?k4>02189154?323<6s|4212>5<5s4>88=4>021891541323=6s|4211>5<5s4>88<4>02189154i323?6s|4210>5<5s4>88?4>02189154i325<5s4>88>4>02189154j323>6s|4216>5<5s4>8894>02189154j323=6s|4265>5<5s4>8854>021896d6i323>6s|4264>5<5s4>8844>021896`c?323<6s|43d3>5<4s4>9j54>021896`dl323?63;2ef9<=69j<4?:2y>07`>28:8?63l0q~:=f283>6}:<;li6<><3:?0b`7=019019{t<;l?6=4<{<61bf<68:901>hj4;:;7>;3:mh145?4}r61b0<72:q68?hk:0207>;4nl=145=4=50gf?>0n2wx8?h9:180825nl0:<>=4=2dfe?>?;27?>im58908yv25n>0;6>u243de>464;278jhj58918914ck323<6s|1d;a>5<68r7:ill5891894cf1323?63>e`49<=5<58oj87673:?2ad4=01901;6m0o145=4=0g:3?>?;27:i4;5891894c>;323?63>e839<=5<58o3j7673:?2a=b=01901;6m1k145=4=0g:g?d112wx=h76:1825~;6mh214:h4=0g:e?d1127:ill5893894cf1323=63>e`49<=7<58oj87671:?2ad4=01;01;6m0o145?4=0g:3?>?927:i4;5893894c>;323=63>e839<=7<58o3j7671:?2a=b=01;01;6m1k145?4}r3f2a<72;q6=h6?:9ge?87b>l0i:45rs0g6f?6=:r7:i8l5b7;894c?83>986s|1d4`>5<4s4;n9o4>076894c0n32nj63>e7a9f3?5`3e2=n870?j4`8a2<=z{8o>m7>53z?2a0g=j?301;6m>l18?:4}r3f2g<72:q6=h;n:0250>;6m>o14hh4=0g5f?d112wx=h:6:18187b=h0?h>521d6:>g0>3ty:i8750;1x94c213h=563>e4c9072<58o7}:9l?269j<;<3f0=01v00;6>u21d7;>461<27:i:m58dd894c113h=56s|1d64>5<5s4;n954;d29>5`202k<27p}>e4594?5|58o>;7l99:?2a0>=<;>01{t9l<36=4<{<3f12<68?>01;6m?21n;74}r3f03<72;q6=h;8:5f0?87b9863>e6`90725`3128:=863>e6c9<``<58o=;7l99:p5`222909w0?j5787`6=:9l>>6o86;|q2a03=839p1;6m<<18?:4=0g4e?25<2wx=h89:18087b=<0:<;:4=0g4=?>bn27:i;85b7;8yv7b<=0;6?u21d76>1b434;n894m689~w4c1<3:1?v3>e769f3?<58o>97:=4:?2a2?=<;>0q~?j7683>6}:9l94:?2a=?=0ll01{t9l?86=4={<3f21<3l:16=h;<:c4:?xu6m?91<7=t=0g57?d1127:i;:5436894c?13>986s|1d55>5<4s4;n:>4>076894c?032nj63>e649f3?5`042=n870?j538a2<=z{8o=>7>53z?2a34=j?301;6m1218?:4}r3f30<72:q6=h8=:0250>;6m1=14hh4=0g41?d112wx=h;>:18187b>;0?h>521d72>g0>3ty:i;?50;1x94c193h=563>e709072<58o3;7:=4:p5`132908w0?j6082432<58o3:76jf:?2a22=j?30q~?j5183>7}:9l<:69j<;<3f1501vu21d43>461<27:i5;58dd894c0;3h=56s|1d6e>5<5s4;n:=4;d29>5`2a2k<27p}>e4d94?5|58o>j7l99:?2a36=<;>01{t9l=96=4<{<3f1c<68?>01;6m>81n;74}r3f0`<72;q6=h;i:5f0?87b9863>e9690725`3b28:=863>e919<``<58o<=7l99:p5`2c2909w0?j5d87`6=:9l>o6o86;|q2a0b=839p1;6mbn27:i:>5b7;8yv7b1b434;n8n4m689~w4c2k3:1?v3>e4a9f3?<58o>h7:=4:?2a=4=<;>0q~?j6g83>6}:9l?h6<>94:?2a=7=0ll01{t9l>i6=4={<3f1f<3l:16=h:m:c4:?xu6m<>1<7=t=0g60?d1127:i8m5436894c?93>986s|1d46>5<4s4;n994>076894c0032nj63>e779f3?4?:3y>5`332=n870?j428a2<=z{8l;>7>53z?2b43=999870?jf887<2=:9l5;6;3>;6m1:1n;74}r3ff1<72;q6=k?;:5:4?87b?o0i:45rs0ga7?6=:r7:j<=5495894c0m3h=56s|1d`1>5<5s4;m=?4;869>5`1c2k<27p}>ec394?4|58l:=7:77:?2a2e=j?30q~?jb183>7}:9o;;6968;<3f3g01vg0>3ty:iok50;0x94`6l3>3;63>e9;9f3?5c7d2=2<70?j898a2<=z{8oio7>52z?2b4d=<1=01{t9lhi6=4={<3e5d<30>16=h69:c4:?xu6mkk1<71>034;n494m689~w4ce03:1>v3>f0590=1<58o3?7l99:p5`d02909w0?i1787<2=:9l296o86;|q2ag0=838p1;6m1;1n;74}r3fea<72;q6=k>l:5:4?87b?10i:45rs0d35?6=;r7:j<:51110?87b>j034<521d6b>=1a3ty:j=>50;1x94`6;3;;?>521d4a>=>634;n84477g9~w4can3:1?v3>f009555434;n:l47809>5`2?21=m7p}>egg94?5|58l:=7??329>5`0>212:70?j468;3c=z{8omh7>53z?2b46=999870?j698;<4=:9l>=659i;|q2ace=839p1;<3f00=1a3ty:j=750;1x94`6j3;;?>521d56>=>634;n9<477g9~w4`703:1?v3>f0c9555434;n;947809>5`3721=m7p}>f1594?5|58l:57??329>5`14212:70?j4g8;3c=z{8l;:7>53z?2b4>=999870?j738;<4=:9l>n659i;|q2b53=839p17;3376=:9l=:656>;<3f0a<034<521d60>=1a3ty:in=50;3087a8j0?=l521g2g>17f34;m=;4;1`9>5c702=;j70?i19875d=:9o;269?n;<3e5d<39h16=k?m:53b?87a9j0?=l521g3g>17f34;m5c6a2=;j70?i11875d=:9o;:69?n;<3e57<39h16=k?<:53b?87a9=0?=l521g36>17f34;no94m689~w4cd93:1>v3>eg7904g<58oh>7l99:p5`da2909w0?jf6875d=:9li;6o86;|q2aa3=838p1;6m1k1n;74}r3f`f<72;q6=hk9:5:4?87bi00i:45rs0gg`?6=:r7:ihj5495894cfj3h=56s|1dff>5<5s4;nih4;869>5`>e2k<27p}>eed94?4|58onj7:77:?2a=b=j?30q~?je183>7}:9ll;6968;<3f01vg0>3ty:ih=50;0x94ca;3>3;63>e879f3?5``32=2<70?j968a2<=z{8oo:7>52z?2a`1=<1=01{t9ln<6=4={<3fa=<30>16=ho?:c4:?xu6mm21<71>034;nm94m689~w4cci3:1>v3>ed`90=1<58oj:7l99:p5`be2909w0?jeb87<2=:9lk36o86;|q2ac0=839p16<><3:?2ad>=01:01;6mhk14:h4=0gb3?>0n27:il;586d894cf;32e`39<2`<58o2j768f:?2a<>=0>l01;6m0>14:h4=0g:6?>0n27:i4>586d894c?m32e9a9<2`<58o2h768f:p5`b62908w0?jf082465<58l8m7:77:?2a<7=0>l0q~?jd383>6}:9ll96<><3:?2b6d=<1=01{t9ln86=4<{<3fb6<68:901;6m0?14:h4}r3f`1<72:q6=hh;:0207>;6n:n18594=0g:3?>0n2wx=hm9:18087bm>0:<>=4=0d04?2??27:i4k586d8yv7bk>0;6>u21dg;>464;27:j>?5495894cf8325<4s4;ni44>021894`4:3>3;63>e`09<2`5`cf28:8?63>f2190=1<58oj8768f:p5`ef2908w0?jec82465<58l887:77:?2ad0=0>l0q~?jcc83>6}:9loh6<><3:?2b63=<1=01{t9o;n6=4={<3e6`<68:901{t9o8>6=4={<3e6c<68:901{t9o8=6=4={<3e73<68:901{t9o8<6=4={<3e72<68:901{t9o836=4={<3e7=<68:901{t9o826=4={<3e7<<68:901{t9o8j6=4={<3e7d<68:901{t9o8i6=4={<3e7g<68:901{t9o8h6=4={<3e7f<68:901{t9o8o6=4={<3e7a<68:901{t9o;m6=4={<3e75<68:901{t9o8;6=4={<3e74<68:901{t9o8:6=4={<3e77<68:901{t9o896=4={<3e76<68:901{t9o886=4={<3e71<68:901{t9o8?6=4={<3e70<68:901{t9=h:6=4<{<37f5016=9l<:95e?873io034=5rs06b=?6==r7:8l958938942f03h=563>4c79<=6<58>jn7672:?20db=0180q~?;b983>0}:9=hi6<><3:?20d1=01>01<:m5;:;7>;64=0741?>?:2wx=98l:181873jk0?4:52154g>g0>3ty:8o950;4x942ei3;;?>5215c4>=>434;?n847839>510e21=m70?;6e8;3c=:9<=>656>;|q203g=838p1<:ma;6;3>;6q6=9l6:0207>;6?927:8;l589289421l323=63>5679<=6<58>=i768f:p510>2909w0?;b887<2=:9=17f34>h8n4;1`9>0gbf2=;j70;<4`875d=:=:>i69?n;<7003<39h169>:8:53b?8529o0?=l523403>17f349>?n4;1`9>705c2=;j70=:3d875d=:;<9m69?n;<1605<39h16?8:>:53b?852<;0?=l523460>17f349>894;1`9>71312=;j70=;56875d=:;=?369?n;<171<<39h16>:?l:53b?8409m0?=l52263f>17f348<=k4;1`9>62472=;j70<820875d=::>8969?n;<0466<39h169>6=:c4:?xu6{<37gf<39h16=9mk:53b?873l=0?=l5215f6>17f34;?h;4;1`9>51b02=;j70?;d9875d=:9=n269?n;<37`d<39h16=9jm:53b?873kl0?=l5215ae>17f34;?h=4;1`9>51b62=;j70?;d3875d=:9=n869?n;<37af<39h16=9kk:53b?873n=0?=l5215d6>17f34;?j;4;1`9>51`02=;j70?;f9875d=:9=l269?n;<37bd<39h16=9hm:53b?873ml0?=l5215ge>17f34;?j=4;1`9>51`62=;j70?;f3875d=:9=l869?n;<37ea01v<:m2;2954}:9<;h69?n;<365a<39h16=8<;:53b?872:<0?=l521405>17f34;>>:4;1`9>504?2=;j70?:28875d=:9<8j69?n;<366g<39h16=8?j:53b?8729o0?=l521403>17f34;>><4;1`9>50452=;j70?:22875d=:9=h86o86;|q20dc=83;:w0?:62875d=:9<m0?=l52144f>17f34;>:k4;1`9>50172=;j70?:70875d=:9<=969?n;<3620<39h16=889:53b?872>>0?=l52144;>17f34;>:44;1`9>500f2=;j70?;ag8a2<=z{8>i87>52z?2125=<8k01<:m5;`5=>{t9=kj6=46{<367f<39h16=8=k:53b?872;l0?=l52141e>17f34;>8=4;1`9>50262=;j70?:43875d=:9<>869?n;<37eg01v<;84;296~;6=>918594=0741?d112wx=9o9:187873j00:<>;4=06ae?77;<16=9lm:0201>;6j54958942?83h=56s|1415>5<5s4;>?h4;869>51>62k<27p}>52594?4|58?8j7:77:?20=4=j?30q~?:3983>7}:9<>;6968;<37<601v<;<9;296~;6==;18594=06;0?d112wx=8=n:181872<;0?4:5215:6>g0>3ty:9>l50;0x9433;3>3;63>4949f3?507d2=2<70?;718a2<=z{8?:?7>52z?214b=<1=01<:8d;`5=>{t9<;?6=4={<3661<30>16=99j:c4:?xu6=8?1<71>034;?;<4m689~w436?3:1>v3>53590=1<58><>7l99:p507?2909w0?:2987<2=:9==86o86;|q214?=838p1<;=9;6;3>;6<>>1n;74}r365d<72;q6=83h=56s|142g>5<5s4;>=h4;869>51102k<27p}>51g94?4|58?:j7:77:?202>=j?30q~?:0g83>7}:9<8;6968;<373<01v<;>0;296~;6=;;18594=064e?d112wx=8?>:181872:;0?4:52155a>g0>3ty:9<<50;0x9435;3>3;63>46a9f3?994?:3y>50042=2<70?;8d8a2<=z{8?>m7>52z?2132=<1=01<:64;`5=>{t916=97::c4:?xu6=1>034;?454m689~w432m3:1>v3>57g90=1<58>357l99:p503a2909w0?:6g87<2=:9=2j6o86;|q2136=838p1<;80;6;3>;6<1h1n;74}r3624<72;q6=89>:5:4?8730j0i:45rs0756?6=:r7:9:<54958942?l3h=56s|1476>5<5s4;>:;4;869>51>a2k<27p}>54494?4|58?=;7:77:?20<6=j?30q~?:5683>7}:9<<36968;<37=401v<;:8;296~;6=?318594=06:6?d112wx=8;6:181872>h0?4:5215;0>g0>3ty:9:=50;07872?:0:<>=4=06a7?>?927:8l958928942e=3247`9<=7<58>=h7672:?2123=0>l01<:8f;:4b>;6<1:14:h4=06;5?>0n27:85<586d8942?;324969<2`<58>39768f:?20=0=0>l01<:9e;:;4>;2:k;14:h4=0642?>0n27:8:;586d89420<324619<2`<58><>768f:?2027=0>l01<:9f;:4b>;6<>o14:h4=064`?>0n27:8:l586d89420i3246;9<2`<58><4768f:?2021=0>l01<:80;:4b>;6<>i14:h4=06bb?>?:27:8ll58938942fl323=6s|15d`>5<6;r7:9=>334;?;;47809>5112212:70?;758;<4=:9==8656>;<3737:9:2?873>o034<52155f>=>634;?;i47809>511e212:70?;7`8;<4=:9==2656>;<373==>63ty:9==50;6x9436l3;;?>5215ag>1>034;?m947859>5117212;7p}>51694?2|58?987??329>51b32=2<70?;a48;<1=:9==o656?;|q2153=83>p1<;=5;3376=:9=n>6968;<37=3=>73ty:9=950;6x9435?3;;?>5215f4>1>034;?5447859>5116212;7p}>51:94?2|58?947??329>51b?2=2<70?;9`8;<1=:9==9656?;|q215?=83>p1<;=9;3376=:9=n26968;<37=g=>73ty:9=l50;6x9435j3;;?>5215fa>1>034;?5i47859>5112212;7p}>4gf94?2|58?:i7??329>51eb2=2<70?;9d8;<1=:9===656?;|q20cc=83>p1<;>f;3376=:9=im6968;<37=c=>73ty:9=>50;6x943593;;?>5215f2>1>034;?m<47859>511>212;7p}>51394?2|58?9>7??329>51b52=2<70?;a38;<1=:9==j656?;|q2154=83>p1<;=3;3376=:9=n86968;<37e61<7=t=0757?77;:16=978:9:2?873?9034>5rs077f?6=;r7:9;:51110?873i=034<52155g>=>43ty:99m50;1x9431j3;;?>5215c6>=>634;?;h47829~w433l3:1?v3>57a9555434;?5;47809>510a21287p}>55g94?5|58?=h7??329>51??212:70?;708;<6=z{8??j7>53z?213c=999870?;988;<4=:9==9656<;|q2106=839p1<;9f;3376=:9=3j656>;<3736:1===<;<37=g5rs0767?6=;r7:9:<51110?8731m034<521555>=>43ty:99;50;1x9431=3;;?>5215;f>=>634;?;:47829~w433>3:1?v3>5749555434;?5k47809>511?21287p}>55594?5|58?=;7??329>51g7212:70?;788;<6=z{8??47>53z?213>=999870?;a08;<4=:9==j656<;|q211?=839p1<;99;3376=:9=k9656>;<373g1<7:9:1?xu6034>5rs06`7?6=;r7:8nj51110?873mm0?4:5215c7>=>43ty:8n:50;1x942c<3;;?>5215d7>1>034;?m847829~w42d=3:1?v3>4e79555434;?j84;869>51?121287p}>4b494?5|58>o:7??329>51`12=2<70?;998;<6=z{8>h;7>53z?20a1=999870?;f687<2=:9=32656<;|q20f>=839p1<:k8;3376=:9=l36968;<37=d16=97m:9:0?xu65rs06`f?6=;r7:8il51110?873nk0?4:5215;g>=>43ty:8oj50;1x942dm3;;?>5215gf>1>034;?5h47829~w42em3:1?v3>4bd9555434;?ik4;869>51?a21287p}>4cd94?5|58>o<7??329>51`72=2<70?;a18;<6=z{8>h<7>53z?20a7=999870?;f087<2=:9=k:656<;|q20f7=839p1<:k2;3376=:9=l96968;<37e716=9o<:9:0?xu6=;n1<7:9:3?xu6=::1<7{<367f<68:901<:86;:;6>;6<>?145<4=0640?>?:27:8:=589089420:323>63>4639<=4<58>=j7672:?202c=01801<:8d;:;6>;6<>h145<4=064e?>?:27:8:75890894200323>63>4659<=4<58><<7672:?202e=0180q~=77|5:>:47674:?07g1=j?301>=m6;:;0>;4;ok145:4=21ae?>?<278?km58968965ek323863<3gg9<=2<5:9ii7674:?0056=01>01>=l0;:;0>;4<98145:4=21`6?>?<2788=:58968965d<323863<4149<=2<5:9h:7674:?005>=01>01>=l8;:;0>;4<9k145:4=21`e?>?<2788=m58968965dk323863<41g9<=2<5:9hi7674:?0046=01>01>=k0;:;0>;4<88145:4=21g6?>?<2788<:58968965c<323863<4049<=2<5:9o:7674:p76bf2909=v3<42:9<=2<5:9o57l99:?07a>=01>01>:>a;:;0>;4;mi145:4=262g?>?<278?ik589689626m323863<3d29<=2<5:>9<7674:?07`4=01>01>:=2;:;0>;4;l>145:4=2610?>?<278?h8589689625>323863<3d:9<=2<5:>947674:?07`g=01>01>:=a;:;0>;4;li145:4=261g?>?<278?hk589689625m323863<3g29<=2<5:>8<7674:?07c4=01>01>:<2;:;0>;4;o>145:4=2600?>?<278?k8589689624>323863<3g:9<=2=68;:;6>;4;h:145=4=21:e?>?:278?l<58918965>k323?63<3`69<=7<5:9j:7672:?07dc=01901>=n8;:;7>;4;k:145?4=21be?>?;278?o<58938965fk323=6s|32;1>5<5s49??44;869>76?42k<27p}<22694?4|5:8887l99:?0617=0ll0q~==2683>7}:;;8<6o86;<1104<3:=1v><<3;297~;4::91n;74=2013?77>=16??:?:9ge?xu4:;<1<7=t=2012?d11278>?954368964383>986s|3311>5<4s499??4m689>774128:=863<22d9<``77572k<270==278761=:;;9m69<;;|q066b=839p1><;4:::1==8;;<110d<=f;297~;4:;l1n;74=2004?25<278>9o54368yv55;j0;6>u2331`>g0>3499>k4>07689643132nj6s|330f>5<4s499>h4m689>774a2=8?70==488761=z{:88n7>53z?066d=j?301><=e;3321=:;;>365ki;|q067b=839p1><=d;`5=>;4:;o18?:4=207461<278>9958dd8yv55:j0;6>u2330`>g0>3499>i4;259>77202=8?7p}<22;94?5|5:8857l99:?067e=9953z?067d=j?301><=c;610>;4:=<18?:4}r117=<72:q6??=7:c4:?855:k0:<;:4=2071?>bn2wx??1433499884;259~w644?3:1?v3<2259f3?<5:89m7??659>772321om7p}<23;94?5|5:8957l99:?067g=<;>01><;4;610>{t;;9=6=4<{<1173016??<6:0250>;4:=914hh4}r116=<72:q6??<7:c4:?855:00?>9523360>1433ty8>>;50;1x9644=3h=563<23:9550334998?47eg9~w645=3:1?v3<2379f3?<5:8947:=4:?0614=<;>0q~==3083>6}:;;9:6o86;<1160<68?>01><{t;;2o6=4={<11016??9j:9:3?xu4:h>1<7:k586d8yv55?l0;6?u2335f>g0>3499;;47819~w64f=3:1>v3<2`79f3?<5:8297670:p77d32909w0==b58a2<=:;;3>659i;|q06<3=838p1><65;`5=>;4:><14:h4}r11<`<72;q6??6j:c4:?8550?034=5rs20bg?6=:r78>lm5b7;8964?>325<5s4994;4m689>7710212;7p}<2`f94?4|5:8jh7l99:?067}:;;h>6o86;<11=a<66;296~;4:0n1n;74=2043?>0n2wx??6i:1818550o0i:45233:4>=>73ty8>lk50;0x964fm3h=563<2959<2`77>02k<270==798;<5=z{:8jj7>52z?06d`=j?301><6e;:;4>{t;;h=6=4={<11f3016??7j:95e?xu4:0=1<7:6586d8yv55190;6?u233;3>g0>34994547819~w64e83:1>v3<2c29f3?<5:834768f:p77>62909w0==898a2<=:;;=2656?;|q06g7=838p1>;4:0l145>4}r11f2<72;q6??l8:c4:?8551o03;k5rs20:4h5b7;896401325<5s4995<4m689>77>>212;7p}<2c094?4|5:8i>7l99:?06=?=0>l0q~==8383>7}:;;226o86;<113d?82wx??l7:181855j10i:45233c3>=1a3ty8>4750;0x964f83h=563<26c9<2`77?52k<270==8`8;<5=z{:8j:7>52z?06d0=j?301><7a;:4b>{t;;286=4={<11016??9m:9:3?xu4:h=1<7l?58928yv55j00;6?u233`:>g0>3499m<477g9~w64>i3:1>v3<2`39f3?<5:8=838p1>;4:1h14:h4}r11<1<72;q6??6m:c4:?855?j034=5rs20b=?6=:r78>l75b7;8964f:323<6s|33`b>5<5s499nl4m689>77g521=m7p}<28`94?4|5:8j>7l99:?062e=0>l0q~==9583>7}:;;3?6o86;<110n2wx??6::1818550j0i:452335g>=>73ty8>ll50;0x964fj3h=563<2`19<=677de2k<270==a28;3c=z{:82o7>52z?06d5=j?301><8d;:4b>{t;==96=4>5z?014`=<1=01>7i2;:;4>;41===<;<16427?:9:1?853no03;h523210>=>33498??47859>7656212?70=<318;<1=:;:8m656;;<106`=>33499o<47859>77e7212?70==bg8;<1=:;;hn656;;<11fa1<7?586d8yv55=:0;6>u2334;><4f349>>k477g9>7056212;7p}<24094?2|5:8=477=9:?017b=0>l01>;=f;:;4>;4=:;145?4}r1114<72=>7349>>k47809>704e21=m7p}<24294?0|5:8=477=7:?0166=0>l01>;<2;:;4>;4=;k14:h4=271`?>?92789?l58928yv55<41349>>5477g9>704b21=m70=:318;<5=:;<99656>;<166d7672:?017e=0>l01>;=6;:4b>;4=;k145?4}r110a<720q6??87:86;?852:=03;k52340;>=>5349>>l47839>704b212:70=:318;<7=:;<99656<;<166f9m5b7;8yv54=:0;6?u23270>g0>34988947819~w651i3:1>v3<37c9f3?<5:9?8768f:p76232909w0=<458a2<=:;:9h656?;|q073d=838p1>=9b;`5=>;4;4}r103d<72;q6?>9n:c4:?854=k03;k5rs216f?6=:r78?8l5b7;89654k325<5s498994m689>762d212;7p}<36094?4|5:9<>7l99:?071e=0>l0q~=<4483>7}:;:>h6o86;<107a=83;296~;4;>91n;74=2157?>?82wx?>9m:181854?k0i:4523240>=1a3ty8?8m50;0x9651;3h=563<32f9<2`76322k<270=<4e8;<5=z{:9<87>52z?0722=j?301>=;d;:4b>{t;:>=6=4={<100a016?>=j:9:3?xu4;>?1<7g0>3498:9477g9~w652l3:1>v3<3769f3?<5:98i768f:p76312909w0=<578a2<=:;:>n656?;|q0720=838p1>=86;`5=>;4;=o14:h4}r1002<72;q6?>:j:c4:?854;o034=5rs2143?6=:r78?:95b7;89651=323<6s|325g>5<5s498;i4m689>760221=m7p}<34g94?4|5:9=97l99:?076`=0>l0q~=<5683>7}:;:?<6o86;<100c=88;296~;4;>21n;74=217b?>0n2wx?>:7:181854=>73ty8?:750;0x965013h=563<3749<=6761b2k<270=<678;3c=z{:9>j7>52z?0730=j?301>=;0;:4b>{t;:?36=4={<101=016?>;?:9:3?xu4;?i1<7586d8yv54<00;6?u23273>g0>34988<47819~w651l3:1>v3<37f9f3?<5:9=;7670:p761a2909w0=<7g8a2<=:;:<<659i;|q0736=838p1>=97;`5=>;4;=;14:h4}r101<<72;q6?>;6:c4:?854=8034=5rs215a?6=:r78?;k5b7;896529325<5s4989<4m689>7625212;7p}<37d94?4|5:9=j7l99:?073>=01:0q~=<8183>7}:;:2;6o86;<102==91;296~;4;?21n;74=2176?>0n2wx?>;n:181854=h0i:4523271>=>73ty8?:>50;0x965083h=563<3409<2`76352k<270=<428;<5=z{:9<=7>52z?0727=j?301>=99;:;4>{t;:2:6=4={<10<4016?>86:95e?xu4;?81<7<4e34999;47819>7021212:70=:498;<7=:;<>j656?;<160f=>3349>8i47859~w642l3:1?v3<2759=7g<5:?>=7671:?0105=01:0q~==5b83>1}:;;<<64<6;<160c:9:1?852=:034<5rs206f?6==r78>;9593:89633n323>63<5439<=5<5:?>?7672:?011b=01;0q~==5`83>0}:;;<<64<8;<160f=>53ty8>8750;4x9641?339:63<55c9<2`<5:??o7673:?0106=0>l01>;:2;:;4>;4==n145=4}r111=<72>q6??88:806?852<1034=52346b>=>4349>8n477g9>7037212;70=:538;<4=:;<>n659i;|q0601=832p1><97;;7<>;4==<14:h4=277?927899o589089633k323>63<5429<=7<5:?>>7672:?011c=01:0q~==5483>7}:;;<36>01v><92;296~;4<>;18594=2052?d112wx??8>:181853?90?4:523346>g0>3ty8>;>50;0x9621n3>3;63<2769f3?710b2=2<70==628a2<=z{:93j7>57z?006c=<8k01>:;4<=:18;9540`89641?3>:o63<3829f3?7<2>2=;j70=64`875d=:;0>i69?n;<1:0f<39h16?4:k:53b?85>17f34929=4;1`9>715?2k<27p}<42794??|5:3857:>a:?0=6g=<8k01>7;41:i18k540c896?4n3>:m63<952904g<5:>8:7l99:p71542902w0=628875d=:;08j69?n;<1:6g<39h16?4:m0?=l52380f>17f3492>k4;1`9>7<572=;j70=;358a2<=z{:>8=7>59z?0=4?=<8k01>7>a;62e>;418h18:m63<90d904g<5:39<7:>a:?0064=j?30q~=;2g83><}:;0:269?n;<1:4d<39h16?4>m:53b?85>8j0?=l52382g>17f34927<6a2=;j70=611875d=:;=9;6o86;|q007b=833p1>6i9;62e>;40ok18al3>:m63<8gg904g<5:2mj7:>a:?0=56=<8k01>:=e;`5=>{t;=8i6=46{<1:`<<39h16?4jn:53b?85>lk0?=l5238f`>17f3492hi4;1`9>701v>:=9;29=~;41j318:m63<9bf904g<5:3hi7:>a:?0=f`=<8k01>7k0;62e>;4<;k1n;74}r1762<720q6?4l6:53b?85>jh0?=l5238`a>17f3492nn4;1`9>7:m63<9`a904g<5:3jh7:>a:?0=dc=<8k01>7nf;62e>;41k:18100?=l5238;b>17f34925o4;1`9>7:m63<99`904g<5:33o7:>a:?0==b=<8k01>77e;62e>;411l1817f3492;l4;1`9>7<1e2=;j70=67b875d=:;0=o69?n;<1:3`<39h16?49i:53b?85>090?=l523503>g0>3ty88:m63<97c904g<5:3=n7:>a:?0=3e=<8k01>79d;62e>;41?o18540c89626m3h=56s|353a>5<>s492944;1`9>7<3f2=;j70=65c875d=:;0?h69?n;<1:1a<39h16?4;j:53b?85>=o0?=l523843>17f349?=n4m689~w62613:15v3<8d;904g<5:2nm7:>a:?0<`d=<8k01>6jc;62e>;40ln18a83>:m63<40c9f3?7=2>2=;j70=74`875d=:;1>i69?n;<1;0f<39h16?5:k:53b?85?17f34939=4;1`9>717?2k<27p}<40794??|5:2857:>a:?0<6g=<8k01>6;40:i18k540c896>4n3>:m63<852904g<5:>::7l99:p71742902w0=728875d=:;18j69?n;<1;6g<39h16?517f3493>k4;1`9>7=572=;j70=;158a2<=z{:>:=7>59z?0<4?=<8k01>6>a;62e>;408h186m3>:m63<80d904g<5:29<7:>a:?0044=j?30q~=;0g83><}:;1:269?n;<1;4d<39h16?5>m:53b?85?8j0?=l52392g>17f34937=6a2=;j70=711875d=:;=;;6o86;|q005b=833p1>9i9;62e>;4?ok18:m63<7gg904g<5:=mj7:>a:?0<56=<8k01>:?e;`5=>{t;=:i6=46{<1;`<<39h16?5jn:53b?85?lk0?=l5239f`>17f3493hi4;1`9>7=bb2=;j70=7dg875d=:;1o;69?n;<174f01v>:?9;29=~;40j318dk3>:m63<8bf904g<5:2hi7:>a:?06k0;62e>;4<9k1n;74}r1742<720q6?5l6:53b?85?jh0?=l5239`a>17f3493nn4;1`9>7=dc2=;j70=7bd875d=:;1hm69?n;<1;g5<39h16?9>7:c4:?xu4<9?1<77t=2:b=?26i2784lo540c896>fj3>:m63<8`a904g<5:2jh7:>a:?06nf;62e>;40k:18<:18:85?100?=l5239;b>17f34935o4;1`9>7=?d2=;j70=79e875d=:;13n69?n;<1;=c<39h16?5o?:53b?8538=0i:45rs2635?6=1r78457540c896>?i3>:m63<89`904g<5:23o7:>a:?0<=b=<8k01>67e;62e>;401l1817f3493;l4;1`9>7=1e2=;j70=77b875d=:;1=o69?n;<1;3`<39h16?59i:53b?85?090?=l523523>g0>3ty8?kj50;;x96>113>:m63<87c904g<5:2=n7:>a:?0<3e=<8k01>69d;62e>;40?o18540c8965am3h=56s|32da>5<>s493944;1`9>7=3f2=;j70=75c875d=:;1?h69?n;<1;1a<39h16?5;j:53b?85?=o0?=l523943>17f3498jn4m689~w65a13:15v3<7d;904g<5:=nm7:>a:?03`d=<8k01>9jc;62e>;4?ln18:m63<3gc9f3?722>2=;j70=84`875d=:;>>i69?n;<140f<39h16?::k:53b?85017f349<9=4;1`9>76`?2k<27p}<3g794??|5:=857:>a:?036g=<8k01>9;4?:i18k540c89614n3>:m63<752904g<5:9m:7l99:p76`42902w0=828875d=:;>8j69?n;<146g<39h16?:17f349<>k4;1`9>72572=;j70=59z?034?=<8k01>9>a;62e>;4?8h18:m63<70d904g<5:=9<7:>a:?07c4=j?30q~=<}:;?l269?n;<15bd<39h16?;hm:53b?851nj0?=l5237dg>17f349=jh4;1`9>73`a2=;j70=801875d=:;:on6o86;|q07`d=833p1>9k9;62e>;4?mk18:m63<7eg904g<5:=oj7:>a:?03`6=<8k01>=jc;`5=>{t;:om6=46{<144<<39h16?:>n:53b?8508k0?=l52362`>17f349<726b2=;j70=80g875d=:;>;;69?n;<10b501v>=j9;29=~;4?j318:m63<7bf904g<5:=hi7:>a:?03f`=<8k01>9k0;62e>;4;lk1n;74}r10a2<720q6?:l6:53b?850jh0?=l5236`a>17f34972dc2=;j70=8bd875d=:;>hm69?n;<14g5<39h16?>k7:c4:?xu4;l?1<77t=25b=?26i278;lo540c8961fj3>:m63<7`a904g<5:=jh7:>a:?03dc=<8k01>9nf;62e>;4?k:18k<:18:850100?=l5236;b>17f349<5o4;1`9>72?d2=;j70=89e875d=:;>3n69?n;<14=c<39h16?:o?:53b?854m=0i:45rs21f5?6=1r78;57540c8961?i3>:m63<79`904g<5:=3o7:>a:?03=b=<8k01>97e;62e>;4?1l1817f349<;l4;1`9>721e2=;j70=87b875d=:;>=o69?n;<143`<39h16?:9i:53b?850090?=l5232g3>g0>3ty8?ij50;;x961113>:m63<77c904g<5:==n7:>a:?033e=<8k01>99d;62e>;4??o18540c8965cm3h=56s|32fa>5<>s49<944;1`9>723f2=;j70=85c875d=:;>?h69?n;<141a<39h16?:;j:53b?850=o0?=l523643>17f3498hn4m689~w65c?3:15v3<6d;904g<5:a:?02`d=<8k01>8jc;62e>;4>ln18:m63<3e:9f3?732>2=;j70=94`875d=:;?>i69?n;<150f<39h16?;:k:53b?85117f349=9=4;1`9>76b12k<27p}<3e194??|5:<857:>a:?026g=<8k01>8;4>:i18k540c89604n3>:m63<652904g<5:9o87l99:p76b62902w0=928875d=:;?8j69?n;<156g<39h16?;17f349=>k4;1`9>73572=;j70=59z?024?=<8k01>8>a;62e>;4>8h18:m63<60d904g<5:<9<7:>a:?07a6=j?30q~=<}:;?:269?n;<154d<39h16?;>m:53b?8518j0?=l52372g>17f349=736a2=;j70=911875d=:;:in6o86;|q07fd=833p1>;i9;62e>;4=ok18:m63<5gg904g<5:?mj7:>a:?0256=<8k01>=lc;`5=>{t;:i26=46{<15`<<39h16?;jn:53b?851lk0?=l5237f`>17f349=hi4;1`9>73bb2=;j70=9dg875d=:;?o;69?n;<10gd01v>=l7;29=~;4>j318:m63<6bf904g<5:a:?02f`=<8k01>8k0;62e>;4;j21n;74}r10g0<720q6?;l6:53b?851jh0?=l5237`a>17f349=nn4;1`9>73dc2=;j70=9bd875d=:;?hm69?n;<15g5<39h16?>m9:c4:?xu4;j91<77t=24b=?26i278:lo540c8960fj3>:m63<6`a904g<5:a:?02dc=<8k01>8nf;62e>;4>k:18m>:18:851100?=l5237;b>17f349=5o4;1`9>73?d2=;j70=99e875d=:;?3n69?n;<15=c<39h16?;o?:53b?854k;0i:45rs21ab?6=1r78:57540c8960?i3>:m63<69`904g<5:<3o7:>a:?02=b=<8k01>87e;62e>;4>1l185b7;8yv54jm0;64u2375:>17f349=;l4;1`9>731e2=;j70=97b875d=:;?=o69?n;<153`<39h16?;9i:53b?851090?=l5232`f>g0>3ty8?ol50;;x960113>:m63<67c904g<5:<=n7:>a:?023e=<8k01>89d;62e>;4>?o18540c8965ek3h=56s|32`:>5<>s49=944;1`9>733f2=;j70=95c875d=:;??h69?n;<151a<39h16?;;j:53b?851=o0?=l523743>17f3498nl4m689~w65e=3:15v3<5d;904g<5:?nm7:>a:?01`d=<8k01>;jc;62e>;4=ln18:m63<3c49f3?4?:8y>7d3e2=;j70=n5b875d=:;h?o69?n;<1b1`<39h16?l;i:53b?85f>90?=l523`42>17f349j:?4;1`9>76d32k<27p}<3c394??|5:k?n7:>a:?0e1e=<8k01>o;d;62e>;4i=o18540c896g293>:m637l99:p76ga2902w0=n3c875d=:;h9h69?n;<1b7a<39h16?l=j:53b?85f;o0?=l523`63>17f349j8<4;1`9>7d252=;j70=59z?0e7d=<8k01>o=c;62e>;4i;n18:m637:>a:?07dc=j?30q~=<}:;h;i69?n;<1b5f<39h16?l?k:53b?85f9l0?=l523`3e>17f349j>=4;1`9>7d462=;j70=n23875d=:;:kh6o86;|q07d?=833p1>o?b;62e>;4i9i18:m63a:?0e44=<8k01>=na;`5=>{t;:k<6=46{<1bag<39h16?lkl:53b?85fmm0?=l523`gf>17f349jik4;1`9>7d`72=;j70=nf0875d=:;hl969?n;<10e=01v>=n5;29=~;4imh18:m63a:?0e`7=<8k01>oj2;62e>;4;h<1n;74}r10e6<720q6?lmm:53b?85fkj0?=l523`ag>17f349joh4;1`9>7dea2=;j70=nd1875d=:;hn:69?n;<1b`7<39h16?>o;:c4:?xu4;h;1<77t=2caf?26i278mom540c896gel3>:m63a:?0ef6=<8k01>ol1;62e>;4ij8187i:18:85fik0?=l523`c`>17f349jmi4;1`9>7dgb2=;j70=nag875d=:;hh;69?n;<1bf4<39h16?ll=:53b?854i90i:45rs21:`?6=1r78m4l540c896g>k3>:m63a:?0e<`=<8k01>on0;62e>;4ih;1817f349j4n4;1`9>7d>c2=;j70=n8d875d=:;h2m69?n;<1b=5<39h16?l7>:53b?85f1;0?=l5232;`>g0>3ty8?4750;;x96g0j3>:m63a:?0e2c=<8k01>o8f;62e>;4i1:18i3h=56s|32;4>5<>s49j:o4;1`9>7d0d2=;j70=n6e875d=:;h17f3498554m689~w65>93:15v3<9g`904g<5:3mo7:>a:?0=cb=<8k01>7ie;62e>;41ol18:m63<3869f3?>>4?:3y>705c2=2<70=:258a2<=z{:?997>52z?016c=<1=01>;=6;`5=>{t;<8<6=4={<167c<30>16?8<7:c4:?xu4=;31<71>0349>>o4m689~w635l3:1>v3<55090=1<5:?9h7l99:p704a2909w0=:4287<2=:;<8m6o86;|q0167=838p1>;;4;6;3>;4=:;1n;74}r1600<72;q6??87:216?852;653248963303h=56s|346:>5<5s499:54<369>702f2k<27p}<55`94?4|5:8=47=<8:?011e=j?30q~=:4e83>7}:;;<36>=6;<160a01v>;;f;296~;4:?21?>o4=277b?d112wx?8;>:181855>108?o523472>g0>3ty898=50;`x96410398o63<27:976b<5:8=47==c:?063>=;;n01><98;11a>;4:?21??h4=205;65323896410398>63<27:9762<5:?>?7l99:p706f290nw0=:87875d=:;<2<69?n;<1617f349>4k4;1`9>70?72=;j70=:90875d=:;<3969?n;<16<=<39h16?866:53b?8520h0?=l52342a>g0>3ty89??50;;x96?b13>:m63<9dc904g<5:3nn7:>a:?0=`e=<8k01>7jd;62e>;41lo18540c89635:3h=56s|38d2>5712a2=;j70=;51875d=:;=?:69?n;<172`<39h16?98i:53b?853?90?=l523552>17f3499:54;1b9>7<`52k<27p}<57694?4|5:8=;7==b:?076e=j?30q~=:6483>7}:;;<<6>=:;<107a01v>;96;296~;4:?=1?>84=210a?d112wx?888:181855>>08?:52321e>g0>3ty89;650;0x9641?398463<3529f3?:44?:3y>77002:9270=<408a2<=z{:?=m7>52z?0631=;:k01>=;2;`5=>{t;<:<:c4:?xu4=9i1<7kt=27b4?26i2789l?540c8963f=3>:m63<5`4904g<5:?j;7:>a:?01d>=<8k01>;n9;62e>;4=hk18:m63<5`1904g<5:?j87:>a:?015b=j?30q~=:0d83>`}:;17f349>o?4;1`9>70e42=;j70=:c5875d=:;69?n;<16g3<39h16?8ll:53b?852jm0?=l5234`f>17f349>a:?01a?=<8k01>;ka;62e>;4=mh18:m63<5ed904g<5:?n<7:>a:?01a0=<8k01>;k7;62e>;4=m2186=:186852i90?4:5234`b>1>0349>h94;869>70>12=2<70==6`8a2<=z{:93:7>55z?01d7=<1=01>;mb;6;3>;4=m?18594=27;3?2??278>:<5b7;8yv540>0;68u234c6>1>0349>nk4;869>70b>2=2<70=:8c87<2=:;;=86o86;|q07=>=83?p1>;n6;6;3>;4=j:18594=27ge?2??27895m549589640<3h=56s|32::>5<2s49>m:4;869>70e62=2<70=:dc87<2=:;<2o6968;<113001v>=7a;291~;4=h218594=27`6?2??2789im54958963?m3>3;63<27;9f3?70g>2=2<70=:c287<2=:;16??8m:c4:?xu4;1i1<7;t=27be?2??2789n:54958963cm3>3;63<58290=1<5:8=o7l99:p76>c290>w0=:ac87<2=:;6968;<16`c<30>16?87>:5:4?855>m0i:45rs21;a?6==r789lm54958963d>3>3;63<5d290=1<5:?2>7:77:?063c=j?30q~=<8283>0}:;16?8j9:5:4?852010?4:52334e>g0>3ty8?5:50;7x963f;3>3;63<5cf90=1<5:?o;7:77:?01=?=<1=01><80;`5=>{t;:2>6=4:{<16e1<30>16?8lj:5:4?852l10?4:5234:b>1>03499;<4m689~w636:3:1>v3<22g9f3?<5:8=m768f:p70712909w0==438a2<=:;;=9659i;|q0141=838p1><;3;`5=>;4:>914:h4}r165=<72;q6??:;:c4:?855?=03;k5rs272=?6=:r78>9;5b7;89640=325<5s4998;4m689>770>21=m7p}<50`94?4|5:8?;7l99:?063d=0>l0q~=:1b83>7}:;;>36o86;<112f;>d;296~;4:=31n;74=205`?>0n2wx?8?j:181855=1a3ty89<=50;0x9644n3h=563<27d9<2`=94?:3y>77272k<270==718;3c=z{:?:97>52z?0617=j?301><81;:4b>{t;=>=6=4={<112=<5:95b7;8yv53<10;6?u2334;>7323499;54m689~w62313:1>v3<27:9600<5:8<57l99:p712f2909w0==698112=:;;=j6o86;|q001d=838p1><98;06<>;4:>h1n;74}r170f<72;q6??87:37:?855?j0i:45rs267`?6=:r78>;6524c89640l3h=56s|35c7>5<5s4994i47839>77cd2k<27p}<4`794?4|5:83i7672:?06`b=j?30q~=;a783>7}:;;2m656=;<11a`01v>:n7;296~;4:0:145<4=20fb?d112wx?9o7:18185518034?5233d3>g0>3ty88l750;0x964>:323>63<2g39f3?77?4212970==f38a2<=z{:>jn7>52z?06<2=01801>{t;=3h6=4={<11?9278>h;5b7;8yv531l0;6?u233:e>=>63499i;4m689~w62>n3:1>v3<2829<=7<5:8n;7l99:p71g72909w0==908;<4=:;;o36o86;|q00d7=838p1><62;:;5>;4:l31n;74}r17e7<72;q6??7<:9:2?855mh0i:45rs26b7?6=:r78>4:58938964bj3h=56s|35;7>5<5s4994i47829>77bd2k<27p}<48794?4|5:83i7673:?06ab=j?30q~=;9783>7}:;;2m656<;<11``01v>:67;296~;4:0:145=4=20gb?d112wx?977:18185518034>5233g3>g0>3ty884750;0x964>:323?63<2d39f3?77?4212870==e38a2<=z{:>2n7>52z?06<2=01901>{t;=2h6=4={<11?<278>i;5b7;8yv530l0;6?u233:e>=>33499h;4m689~w62?n3:1>v3<2829<=2<5:8o;7l99:p71?72909w0==908;<1=:;;n36o86;|q00<7=838p1><62;:;0>;4:m31n;74}r17=7<72;q6??7<:9:7?855lh0i:45rs26:7?6=:r78>4:58968964cj3h=56s|35:7>5<5s499m947839>77ed2k<27p}<49794?4|5:8jo7672:?06fb=j?30q~=;8783>7}:;;kn656=;<11g`01v>:77;296~;4:k:145<4=20`b?d112wx?967:181855j;034?5233f3>g0>3ty885750;0x964f>323>63<2e39f3?77g?212970==d38a2<=z{:>3n7>52z?06dg=01801>{t;==h6=4={<11e1n1<7?9278>n;5b7;8yv53?l0;6?u233cf>=>63499o;4m689~w620n3:1>v3<2c29<=7<5:8h;7l99:p71>72909w0==b38;<4=:;;i36o86;|q00=7=838p1>;4:j31n;74}r17<7<72;q6??o7:9:2?855kh0i:45rs26;7?6=:r78>lo58938964dj3h=56s|35g`>5<5s499m947829>76532k<27p}<4df94?4|5:8jo7673:?0763=j?30q~=;ed83>7}:;;kn656<;<107301v>:jf;296~;4:k:145=4=2103?d112wx?9h?:181855j;034>52321;>g0>3ty88k?50;0x964f>323?63<32;9f3?77g?212870=<3`8a2<=z{:>m?7>52z?06dg=01901>={t;=o?6=4={<11e1?<278??j5b7;8yv53m?0;6?u233cf>=>33498>h4m689~w62b?3:1>v3<2c29<=2<5:99j7l99:p71c?2909w0==b38;<1=:;:9;6o86;|q00`?=838p1>;4;:;1n;74}r17ad<72;q6??o7:9:7?854;;0i:45rs26ff?6=:r78>lo589689654;3h=56s|35f`>5<5s499m847839>76432k<27p}<4ef94?4|5:8jh7672:?0773=j?30q~=;dd83>7}:;;km656=;<106301v>:kf;296~;4:k;145<4=2113?d112wx?9k?:181855j:034?52320;>g0>3ty88h?50;0x964f?323>63<33;9f3?77g>212970=<2`8a2<=z{:>n?7>52z?06dd=01801>==b;`5=>{t;=n?6=4={<11e0?l:c4:?xu4?9278?=>63498=h4m689~w62c?3:1>v3<2c39<=7<5:9:j7l99:p71b?2909w0==b28;<4=:;:8;6o86;|q00a?=838p1>;4;;;1n;74}r17`d<72;q6??o6:9:2?854:;0i:45rs26gf?6=:r78>ll589389655;3h=56s|35a`>5<5s499m847829>76732k<27p}<4bf94?4|5:8jh7673:?0743=j?30q~=;cd83>7}:;;km656<;<105301v>:lf;296~;4:k;145=4=2123?d112wx?9j?:181855j:034>52323;>g0>3ty88i?50;0x964f?323?63<30;9f3?77g>212870=<1`8a2<=z{:>o?7>52z?06dd=01901>=>b;`5=>{t;=i?6=4={<11e0>l:c4:?xu4?<278?=j5b7;8yv53k?0;6?u233ce>=>33498v3<2c39<=2<5:9;j7l99:p71e?2909w0==b28;<1=:;:;;6o86;|q00f?=838p1>;4;8;1n;74}r17gd<72;q6??o6:9:7?8549;0i:45rs26`f?6=:r78>ll589689656;3h=56s|35``>5<5s499n947839>76632k<27p}<4cf94?4|5:8i97672:?0753=j?30q~=;bd83>7}:;;h=656=;<104301v>:mf;296~;4:k=145<4=2133?d112wx?9m?:181855j1034?52322;>g0>3ty88n?50;0x964e1323>63<31;9f3?77df212970=<0`8a2<=z{:>h?7>52z?06gd=01801>=?b;`5=>{t;=h?6=4={<11f1?9278>kj5b7;8yv53j?0;6?u233`5>=>63499jh4m689~w62e?3:1>v3<2c59<=7<5:8mj7l99:p71d?2909w0==b98;<4=:;::;6o86;|q00g?=838p1>;4;9;1n;74}r17fd<72;q6??ln:9:2?8548;0i:45rs26af?6=:r78>ol589389657;3h=56s|35c`>5<5s499n947829>77`32k<27p}<4`f94?4|5:8i97673:?06c3=j?30q~=;ad83>7}:;;h=656<;<11b301v>:nf;296~;4:k=145=4=20e3?d112wx?9l?:181855j1034>5233d;>g0>3ty88o?50;0x964e1323?63<2g;9f3?77df212870==f`8a2<=z{:>i?7>52z?06gd=01901>{t;==?6=4={<11f1?1<7?<278>oj5b7;8yv53??0;6?u233`5>=>33499nh4m689~w620?3:1>v3<2c59<=2<5:8ij7l99:p711?2909w0==b98;<1=:;;i;6o86;|q002?=838p1>;4:j;1n;74}r173d<72;q6??ln:9:7?855k;0i:45rs264f?6=:r78>ol58968964d;3h=56s|344`>5<5s499?<477g9>703>2k<27p}<56294?4|5:889768f:?010e=j?30q~=:7083>7}:;;9=659i;<161a01v>;82;296~;4::=14:h4=276a?d112wx?89<:181855;103;k52347e>g0>3ty89::50;0x9644132;84?:3y>775f21=m70=:558a2<=z{:?<:7>52z?066d=0>l01>;:6;`5=>{t;<=<6=4={<117f21<70n2789865b7;8yv52>m0;6?u23311>=1a349>984m689~w631m3:1>v3<2219<2`<5:?>m7l99:p700a2909w0==358;3c=:;<98;;73>;4:=i14:h4=2710?>?82789?6589389635>323>63<53c9<=2<5:?9h7673:?017`=01901>;<1;:;7>;4=;h145=4}r1627<72hq6??87:80`?855=>6349>>547819>7041212:70=:2`8;<6=:;<8o656=;<166c:9:1?852:k034?5rs2755?6=ir78>;9595589642>32;;c;:;4>;4==l145>4=2765?>?827898=586d89633l323<6s|3862>5<5s492844>0218964bk323=6s|3861>5<5s4928l4>0218964bl323=6s|3860>5<5s4928o4>0218964bm323=6s|3867>5<5s4928n4>0218964bn323=6s|3866>5<5s4928i4>0218964a8323=6s|3865>5<5s4928h4>0218964a9323=6s|3864>5<5s4928k4>0218964a:323=6s|386;>5<5s4929=4>0218964a;323=6s|3812>5<5s492?44>0218964b<323=6s|3811>5<5s492?l4>0218964b=323=6s|3810>5<5s492?o4>0218964b>323=6s|3817>5<5s492?n4>0218964b?323=6s|3816>5<5s492?i4>0218964b0323=6s|3815>5<5s492?h4>0218964b1323=6s|3814>5<5s492?k4>0218964bi323=6s|381;>5<5s4928=4>0218964bj323=6s|3802>5<5s492>44>0218964ck323=6s|3801>5<5s492>l4>0218964cl323=6s|3800>5<5s492>o4>0218964cm323=6s|3807>5<5s492>n4>0218964cn323=6s|3806>5<5s492>i4>0218964b8323=6s|3805>5<5s492>h4>0218964b9323=6s|3804>5<5s492>k4>0218964b:323=6s|380;>5<5s492?=4>0218964b;323=6s|3832>5<5s492=44>0218964c<323=6s|3831>5<5s492=l4>0218964c=323=6s|3830>5<5s492=o4>0218964c>323=6s|3837>5<5s492=n4>0218964c?323=6s|3836>5<5s492=i4>0218964c0323=6s|3835>5<5s492=h4>0218964c1323=6s|3834>5<5s492=k4>0218964ci323=6s|383;>5<5s492>=4>0218964cj323=6s|3822>5<5s492<44>0218964dk323=6s|3821>5<5s4920218964dl323=6s|3820>5<5s4920218964dm323=6s|3827>5<5s4920218964dn323=6s|3826>5<5s4920218964c8323=6s|3825>5<5s492

    0218964c9323=6s|3824>5<5s4920218964c:323=6s|382;>5<5s492==4>0218964c;323=6s|39d2>5<5s493j44>0218964d<323=6s|39d1>5<5s493jl4>0218964d=323=6s|39d0>5<5s493jo4>0218964d>323=6s|39d7>5<5s493jn4>0218964d?323=6s|39d6>5<5s493ji4>0218964d0323=6s|39d5>5<5s493jh4>0218964d1323=6s|39d4>5<5s493jk4>0218964di323=6s|39d;>5<5s492<=4>0218964dj323=6s|38f2>5<5s492h44>02189654<323=6s|38f1>5<5s492hl4>02189654=323=6s|38f0>5<5s492ho4>02189654>323=6s|38f7>5<5s492hn4>02189654?323=6s|38f6>5<5s492hi4>021896540323=6s|38f5>5<5s492hh4>021896541323=6s|38f4>5<5s492hk4>02189654i323=6s|38f;>5<5s492i=4>02189654j323=6s|38a2>5<5s492o44>02189655k323=6s|38a1>5<5s492ol4>02189655l323=6s|38a0>5<5s492oo4>02189655m323=6s|38a7>5<5s492on4>02189655n323=6s|38a6>5<5s492oi4>021896548323=6s|38a5>5<5s492oh4>021896549323=6s|38a4>5<5s492ok4>02189654:323=6s|38a;>5<5s492h=4>02189654;323=6s|38`2>5<5s492n44>02189655<323=6s|38`1>5<5s492nl4>02189655=323=6s|38`0>5<5s492no4>02189655>323=6s|38`7>5<5s492nn4>02189655?323=6s|38`6>5<5s492ni4>021896550323=6s|38`5>5<5s492nh4>021896551323=6s|38`4>5<5s492nk4>02189655i323=6s|38`;>5<5s492o=4>02189655j323=6s|38c2>5<5s492m44>02189656k323=6s|38c1>5<5s492ml4>02189656l323=6s|38c0>5<5s492mo4>02189656m323=6s|38c7>5<5s492mn4>02189656n323=6s|38c6>5<5s492mi4>021896558323=6s|38c5>5<5s492mh4>021896559323=6s|38c4>5<5s492mk4>02189655:323=6s|38c;>5<5s492n=4>02189655;323=6s|38;2>5<5s492544>02189656<323=6s|38;1>5<5s4925l4>02189656=323=6s|38;0>5<5s4925o4>02189656>323=6s|38;7>5<5s4925n4>02189656?323=6s|38;6>5<5s4925i4>021896560323=6s|38;5>5<5s4925h4>021896561323=6s|38;4>5<5s4925k4>02189656i323=6s|38;;>5<5s492m=4>02189656j323=6s|38:2>5<5s492444>02189657k323=6s|38:1>5<5s4924l4>02189657l323=6s|38:0>5<5s4924o4>02189657m323=6s|38:7>5<5s4924n4>02189657n323=6s|38:6>5<5s4924i4>021896568323=6s|38:5>5<5s4924h4>021896569323=6s|38:4>5<5s4924k4>02189656:323=6s|38:;>5<5s4925=4>02189656;323=6s|3852>5<5s492;44>02189657<323=6s|3851>5<5s492;l4>02189657=323=6s|3850>5<5s492;o4>02189657>323=6s|3857>5<5s492;n4>02189657?323=6s|3856>5<5s492;i4>021896570323=6s|3855>5<5s492;h4>021896571323=6s|3854>5<5s492;k4>02189657i323=6s|385;>5<5s4924=4>02189657j323=6s|3842>5<5s492:44>0218964ak323=6s|3841>5<5s492:l4>0218964al323=6s|3840>5<5s492:o4>0218964am323=6s|3847>5<5s492:n4>0218964an323=6s|3846>5<5s492:i4>021896578323=6s|3845>5<5s492:h4>021896579323=6s|3844>5<5s492:k4>02189657:323=6s|384;>5<5s492;=4>02189657;323=6s|3872>5<5s492944>0218964a<323=6s|3871>5<5s4929l4>0218964a=323=6s|3870>5<5s4929o4>0218964a>323=6s|3877>5<5s4929n4>0218964a?323=6s|3876>5<5s4929i4>0218964a0323=6s|3875>5<5s4929h4>0218964a1323=6s|3874>5<5s4929k4>0218964ai323=6s|387;>5<5s492:=4>0218964aj323=6s|39g2>5<5s493i44>0218964ek323=6s|39g1>5<5s493il4>0218964el323=6s|39g0>5<5s493io4>0218964em323=6s|39g7>5<5s493in4>0218964en323=6s|39g6>5<5s493ii4>0218964d8323=6s|39g5>5<5s493ih4>0218964d9323=6s|39g4>5<5s493ik4>0218964d:323=6s|39g;>5<5s493j=4>0218964d;323=6s|3962>5<5s493844>0218964bk323>6s|3961>5<5s4938l4>0218964bl323>6s|3960>5<5s4938o4>0218964bm323>6s|3967>5<5s4938n4>0218964bn323>6s|3966>5<5s4938i4>0218964a8323>6s|3965>5<5s4938h4>0218964a9323>6s|3964>5<5s4938k4>0218964a:323>6s|396;>5<5s4939=4>0218964a;323>6s|3912>5<5s493?44>0218964b<323>6s|3911>5<5s493?l4>0218964b=323>6s|3910>5<5s493?o4>0218964b>323>6s|3917>5<5s493?n4>0218964b?323>6s|3916>5<5s493?i4>0218964b0323>6s|3915>5<5s493?h4>0218964b1323>6s|3914>5<5s493?k4>0218964bi323>6s|391;>5<5s4938=4>0218964bj323>6s|3902>5<5s493>44>0218964ck323>6s|3901>5<5s493>l4>0218964cl323>6s|3900>5<5s493>o4>0218964cm323>6s|3907>5<5s493>n4>0218964cn323>6s|3906>5<5s493>i4>0218964b8323>6s|3905>5<5s493>h4>0218964b9323>6s|3904>5<5s493>k4>0218964b:323>6s|390;>5<5s493?=4>0218964b;323>6s|3932>5<5s493=44>0218964c<323>6s|3931>5<5s493=l4>0218964c=323>6s|3930>5<5s493=o4>0218964c>323>6s|3937>5<5s493=n4>0218964c?323>6s|3936>5<5s493=i4>0218964c0323>6s|3935>5<5s493=h4>0218964c1323>6s|3934>5<5s493=k4>0218964ci323>6s|393;>5<5s493>=4>0218964cj323>6s|3922>5<5s493<44>0218964dk323>6s|3921>5<5s4930218964dl323>6s|3920>5<5s4930218964dm323>6s|3927>5<5s4930218964dn323>6s|3926>5<5s4930218964c8323>6s|3925>5<5s493

    0218964c9323>6s|3924>5<5s4930218964c:323>6s|392;>5<5s493==4>0218964c;323>6s|36d2>5<5s490218964d<323>6s|36d1>5<5s490218964d=323>6s|36d0>5<5s490218964d>323>6s|36d7>5<5s490218964d?323>6s|36d6>5<5s490218964d0323>6s|36d5>5<5s490218964d1323>6s|36d4>5<5s490218964di323>6s|36d;>5<5s493<=4>0218964dj323>6s|39f2>5<5s493h44>02189654<323>6s|39f1>5<5s493hl4>02189654=323>6s|39f0>5<5s493ho4>02189654>323>6s|39f7>5<5s493hn4>02189654?323>6s|39f6>5<5s493hi4>021896540323>6s|39f5>5<5s493hh4>021896541323>6s|39f4>5<5s493hk4>02189654i323>6s|39f;>5<5s493i=4>02189654j323>6s|39a2>5<5s493o44>02189655k323>6s|39a1>5<5s493ol4>02189655l323>6s|39a0>5<5s493oo4>02189655m323>6s|39a7>5<5s493on4>02189655n323>6s|39a6>5<5s493oi4>021896548323>6s|39a5>5<5s493oh4>021896549323>6s|39a4>5<5s493ok4>02189654:323>6s|39a;>5<5s493h=4>02189654;323>6s|39`2>5<5s493n44>02189655<323>6s|39`1>5<5s493nl4>02189655=323>6s|39`0>5<5s493no4>02189655>323>6s|39`7>5<5s493nn4>02189655?323>6s|39`6>5<5s493ni4>021896550323>6s|39`5>5<5s493nh4>021896551323>6s|39`4>5<5s493nk4>02189655i323>6s|39`;>5<5s493o=4>02189655j323>6s|39c2>5<5s493m44>02189656k323>6s|39c1>5<5s493ml4>02189656l323>6s|39c0>5<5s493mo4>02189656m323>6s|39c7>5<5s493mn4>02189656n323>6s|39c6>5<5s493mi4>021896558323>6s|39c5>5<5s493mh4>021896559323>6s|39c4>5<5s493mk4>02189655:323>6s|39c;>5<5s493n=4>02189655;323>6s|39;2>5<5s493544>02189656<323>6s|39;1>5<5s4935l4>02189656=323>6s|39;0>5<5s4935o4>02189656>323>6s|39;7>5<5s4935n4>02189656?323>6s|39;6>5<5s4935i4>021896560323>6s|39;5>5<5s4935h4>021896561323>6s|39;4>5<5s4935k4>02189656i323>6s|39;;>5<5s493m=4>02189656j323>6s|39:2>5<5s493444>02189657k323>6s|39:1>5<5s4934l4>02189657l323>6s|39:0>5<5s4934o4>02189657m323>6s|39:7>5<5s4934n4>02189657n323>6s|39:6>5<5s4934i4>021896568323>6s|39:5>5<5s4934h4>021896569323>6s|39:4>5<5s4934k4>02189656:323>6s|39:;>5<5s4935=4>02189656;323>6s|3952>5<5s493;44>02189657<323>6s|3951>5<5s493;l4>02189657=323>6s|3950>5<5s493;o4>02189657>323>6s|3957>5<5s493;n4>02189657?323>6s|3956>5<5s493;i4>021896570323>6s|3955>5<5s493;h4>021896571323>6s|3954>5<5s493;k4>02189657i323>6s|395;>5<5s4934=4>02189657j323>6s|3942>5<5s493:44>0218964ak323>6s|3941>5<5s493:l4>0218964al323>6s|3940>5<5s493:o4>0218964am323>6s|3947>5<5s493:n4>0218964an323>6s|3946>5<5s493:i4>021896578323>6s|3945>5<5s493:h4>021896579323>6s|3944>5<5s493:k4>02189657:323>6s|394;>5<5s493;=4>02189657;323>6s|3972>5<5s493944>0218964a<323>6s|3971>5<5s4939l4>0218964a=323>6s|3970>5<5s4939o4>0218964a>323>6s|3977>5<5s4939n4>0218964a?323>6s|3976>5<5s4939i4>0218964a0323>6s|3975>5<5s4939h4>0218964a1323>6s|3974>5<5s4939k4>0218964ai323>6s|397;>5<5s493:=4>0218964aj323>6s|36g2>5<5s490218964ek323>6s|36g1>5<5s490218964el323>6s|36g0>5<5s490218964em323>6s|36g7>5<5s490218964en323>6s|36g6>5<5s490218964d8323>6s|36g5>5<5s490218964d9323>6s|36g4>5<5s490218964d:323>6s|36g;>5<5s490218964d;323>6s|3662>5<5s49<844>0218964bk323<6s|3661>5<5s49<8l4>0218964bl323<6s|3660>5<5s49<8o4>0218964bm323<6s|3667>5<5s49<8n4>0218964bn323<6s|3666>5<5s49<8i4>0218964a8323<6s|3665>5<5s49<8h4>0218964a9323<6s|3664>5<5s49<8k4>0218964a:323<6s|366;>5<5s49<9=4>0218964a;323<6s|3612>5<5s490218964b<323<6s|3611>5<5s490218964b=323<6s|3610>5<5s490218964b>323<6s|3617>5<5s490218964b?323<6s|3616>5<5s490218964b0323<6s|3615>5<5s490218964b1323<6s|3614>5<5s490218964bi323<6s|361;>5<5s49<8=4>0218964bj323<6s|3602>5<5s49<>44>0218964ck323<6s|3601>5<5s49<>l4>0218964cl323<6s|3600>5<5s49<>o4>0218964cm323<6s|3607>5<5s49<>n4>0218964cn323<6s|3606>5<5s49<>i4>0218964b8323<6s|3605>5<5s49<>h4>0218964b9323<6s|3604>5<5s49<>k4>0218964b:323<6s|360;>5<5s490218964b;323<6s|3632>5<5s49<=44>0218964c<323<6s|3631>5<5s49<=l4>0218964c=323<6s|3630>5<5s49<=o4>0218964c>323<6s|3637>5<5s49<=n4>0218964c?323<6s|3636>5<5s49<=i4>0218964c0323<6s|3635>5<5s49<=h4>0218964c1323<6s|3634>5<5s49<=k4>0218964ci323<6s|363;>5<5s49<>=4>0218964cj323<6s|3622>5<5s49<<44>0218964dk323<6s|3621>5<5s49<0218964dl323<6s|3620>5<5s49<0218964dm323<6s|3627>5<5s49<0218964dn323<6s|3626>5<5s49<0218964c8323<6s|3625>5<5s49<

    0218964c9323<6s|3624>5<5s49<0218964c:323<6s|362;>5<5s49<==4>0218964c;323<6s|37d2>5<5s49=j44>0218964d<323<6s|37d1>5<5s49=jl4>0218964d=323<6s|37d0>5<5s49=jo4>0218964d>323<6s|37d7>5<5s49=jn4>0218964d?323<6s|37d6>5<5s49=ji4>0218964d0323<6s|37d5>5<5s49=jh4>0218964d1323<6s|37d4>5<5s49=jk4>0218964di323<6s|37d;>5<5s49<<=4>0218964dj323<6s|36f2>5<5s4902189654<323<6s|36f1>5<5s4902189654=323<6s|36f0>5<5s4902189654>323<6s|36f7>5<5s4902189654?323<6s|36f6>5<5s49021896540323<6s|36f5>5<5s49021896541323<6s|36f4>5<5s4902189654i323<6s|36f;>5<5s4902189654j323<6s|36a2>5<5s4902189655k323<6s|36a1>5<5s4902189655l323<6s|36a0>5<5s4902189655m323<6s|36a7>5<5s4902189655n323<6s|36a6>5<5s49021896548323<6s|36a5>5<5s49021896549323<6s|36a4>5<5s4902189654:323<6s|36a;>5<5s4902189654;323<6s|36`2>5<5s4902189655<323<6s|36`1>5<5s4902189655=323<6s|36`0>5<5s4902189655>323<6s|36`7>5<5s4902189655?323<6s|36`6>5<5s49021896550323<6s|36`5>5<5s49021896551323<6s|36`4>5<5s4902189655i323<6s|36`;>5<5s4902189655j323<6s|36c2>5<5s4902189656k323<6s|36c1>5<5s4902189656l323<6s|36c0>5<5s4902189656m323<6s|36c7>5<5s4902189656n323<6s|36c6>5<5s49021896558323<6s|36c5>5<5s49021896559323<6s|36c4>5<5s4902189655:323<6s|36c;>5<5s4902189655;323<6s|36;2>5<5s49<544>02189656<323<6s|36;1>5<5s49<5l4>02189656=323<6s|36;0>5<5s49<5o4>02189656>323<6s|36;7>5<5s49<5n4>02189656?323<6s|36;6>5<5s49<5i4>021896560323<6s|36;5>5<5s49<5h4>021896561323<6s|36;4>5<5s49<5k4>02189656i323<6s|36;;>5<5s4902189656j323<6s|36:2>5<5s49<444>02189657k323<6s|36:1>5<5s49<4l4>02189657l323<6s|36:0>5<5s49<4o4>02189657m323<6s|36:7>5<5s49<4n4>02189657n323<6s|36:6>5<5s49<4i4>021896568323<6s|36:5>5<5s49<4h4>021896569323<6s|36:4>5<5s49<4k4>02189656:323<6s|36:;>5<5s49<5=4>02189656;323<6s|3652>5<5s49<;44>02189657<323<6s|3651>5<5s49<;l4>02189657=323<6s|3650>5<5s49<;o4>02189657>323<6s|3657>5<5s49<;n4>02189657?323<6s|3656>5<5s49<;i4>021896570323<6s|3655>5<5s49<;h4>021896571323<6s|3654>5<5s49<;k4>02189657i323<6s|365;>5<5s49<4=4>02189657j323<6s|3642>5<5s49<:44>0218964ak323<6s|3641>5<5s49<:l4>0218964al323<6s|3640>5<5s49<:o4>0218964am323<6s|3647>5<5s49<:n4>0218964an323<6s|3646>5<5s49<:i4>021896578323<6s|3645>5<5s49<:h4>021896579323<6s|3644>5<5s49<:k4>02189657:323<6s|364;>5<5s49<;=4>02189657;323<6s|3672>5<5s49<944>0218964a<323<6s|3671>5<5s49<9l4>0218964a=323<6s|3670>5<5s49<9o4>0218964a>323<6s|3677>5<5s49<9n4>0218964a?323<6s|3676>5<5s49<9i4>0218964a0323<6s|3675>5<5s49<9h4>0218964a1323<6s|3674>5<5s49<9k4>0218964ai323<6s|367;>5<5s49<:=4>0218964aj323<6s|37g2>5<5s49=i44>0218964ek323<6s|37g1>5<5s49=il4>0218964el323<6s|37g0>5<5s49=io4>0218964em323<6s|37g7>5<5s49=in4>0218964en323<6s|37g6>5<5s49=ii4>0218964d8323<6s|37g5>5<5s49=ih4>0218964d9323<6s|37g4>5<5s49=ik4>0218964d:323<6s|37g;>5<5s49=j=4>0218964d;323<6s|3762>5<5s49=844>0218964bk325<5s49=8l4>0218964bl325<5s49=8o4>0218964bm325<5s49=8n4>0218964bn325<5s49=8i4>0218964a8325<5s49=8h4>0218964a9325<5s49=8k4>0218964a:325<5s49=9=4>0218964a;325<5s49=?44>0218964b<325<5s49=?l4>0218964b=325<5s49=?o4>0218964b>325<5s49=?n4>0218964b?325<5s49=?i4>0218964b0325<5s49=?h4>0218964b1325<5s49=?k4>0218964bi325<5s49=8=4>0218964bj325<5s49=>44>0218964ck325<5s49=>l4>0218964cl325<5s49=>o4>0218964cm325<5s49=>n4>0218964cn325<5s49=>i4>0218964b8325<5s49=>h4>0218964b9325<5s49=>k4>0218964b:325<5s49=?=4>0218964b;325<5s49==44>0218964c<325<5s49==l4>0218964c=325<5s49==o4>0218964c>325<5s49==n4>0218964c?325<5s49==i4>0218964c0325<5s49==h4>0218964c1325<5s49==k4>0218964ci325<5s49=>=4>0218964cj325<5s49=<44>0218964dk325<5s49=0218964dl325<5s49=0218964dm325<5s49=0218964dn325<5s49=0218964c8325<5s49=

    0218964c9325<5s49=0218964c:325<5s49===4>0218964c;325<5s49>j44>0218964d<325<5s49>jl4>0218964d=325<5s49>jo4>0218964d>325<5s49>jn4>0218964d?325<5s49>ji4>0218964d0325<5s49>jh4>0218964d1325<5s49>jk4>0218964di325<5s49=<=4>0218964dj325<5s49=h44>02189654<325<5s49=hl4>02189654=325<5s49=ho4>02189654>325<5s49=hn4>02189654?325<5s49=hi4>021896540325<5s49=hh4>021896541325<5s49=hk4>02189654i325<5s49=i=4>02189654j325<5s49=o44>02189655k325<5s49=ol4>02189655l325<5s49=oo4>02189655m325<5s49=on4>02189655n325<5s49=oi4>021896548325<5s49=oh4>021896549325<5s49=ok4>02189654:325<5s49=h=4>02189654;325<5s49=n44>02189655<325<5s49=nl4>02189655=325<5s49=no4>02189655>325<5s49=nn4>02189655?325<5s49=ni4>021896550325<5s49=nh4>021896551325<5s49=nk4>02189655i325<5s49=o=4>02189655j325<5s49=m44>02189656k325<5s49=ml4>02189656l325<5s49=mo4>02189656m325<5s49=mn4>02189656n325<5s49=mi4>021896558325<5s49=mh4>021896559325<5s49=mk4>02189655:325<5s49=n=4>02189655;325<5s49=544>02189656<325<5s49=5l4>02189656=325<5s49=5o4>02189656>325<5s49=5n4>02189656?325<5s49=5i4>021896560325<5s49=5h4>021896561325<5s49=5k4>02189656i325<5s49=m=4>02189656j325<5s49=444>02189657k325<5s49=4l4>02189657l325<5s49=4o4>02189657m325<5s49=4n4>02189657n325<5s49=4i4>021896568325<5s49=4h4>021896569325<5s49=4k4>02189656:325<5s49=5=4>02189656;325<5s49=;44>02189657<325<5s49=;l4>02189657=325<5s49=;o4>02189657>325<5s49=;n4>02189657?325<5s49=;i4>021896570325<5s49=;h4>021896571325<5s49=;k4>02189657i325<5s49=4=4>02189657j325<5s49=:44>0218964ak325<5s49=:l4>0218964al325<5s49=:o4>0218964am325<5s49=:n4>0218964an325<5s49=:i4>021896578325<5s49=:h4>021896579325<5s49=:k4>02189657:325<5s49=;=4>02189657;325<5s49=944>0218964a<325<5s49=9l4>0218964a=325<5s49=9o4>0218964a>325<5s49=9n4>0218964a?325<5s49=9i4>0218964a0325<5s49=9h4>0218964a1325<5s49=9k4>0218964ai325<5s49=:=4>0218964aj325<5s49>i44>0218964ek325<5s49>il4>0218964el325<5s49>io4>0218964em325<5s49>in4>0218964en325<5s49>ii4>0218964d8325<5s49>ih4>0218964d9325<5s49>ik4>0218964d:325<5s49>j=4>0218964d;325<5s49j9o4>02189652;323>6s|3`77>5<5s49j9n4>02189652<323>6s|3`76>5<5s49j9i4>02189652=323>6s|3`75>5<5s49j9h4>02189652>323>6s|3`74>5<5s49j9k4>02189652?323>6s|3`7;>5<5s49j:=4>021896520323>6s|3`7:>5<5s49j:<4>021896521323>6s|3`7b>5<5s49j:?4>02189652i323>6s|3`60>5<5s49j8o4>02189652;323=6s|3`67>5<5s49j8n4>02189652<323=6s|3`66>5<5s49j8i4>02189652=323=6s|3`65>5<5s49j8h4>02189652>323=6s|3`64>5<5s49j8k4>02189652?323=6s|3`6;>5<5s49j9=4>021896520323=6s|3`6:>5<5s49j9<4>021896521323=6s|3`6b>5<5s49j9?4>02189652i323=6s|3`10>5<5s49j?o4>02189652;323?6s|3`17>5<5s49j?n4>02189652<323?6s|3`16>5<5s49j?i4>02189652=323?6s|3`15>5<5s49j?h4>02189652>323?6s|3`14>5<5s49j?k4>02189652?323?6s|3`1;>5<5s49j8=4>021896520323?6s|3`1:>5<5s49j8<4>021896521323?6s|3`1b>5<5s49j8?4>02189652i323?6s|3`00>5<5s49j>o4>02189652;32386s|3`07>5<5s49j>n4>02189652<32386s|3`06>5<5s49j>i4>02189652=32386s|3`05>5<5s49j>h4>02189652>32386s|3`04>5<5s49j>k4>02189652?32386s|3`0;>5<5s49j?=4>02189652032386s|3`0:>5<5s49j?<4>02189652132386s|3`0b>5<5s49j??4>02189652i32386s|3`30>5<5s49j=o4>02189651i323>6s|3`37>5<5s49j=n4>02189650:323>6s|3`36>5<5s49j=i4>02189650<323>6s|3`35>5<5s49j=h4>02189650>323>6s|3`34>5<5s49j=k4>021896500323>6s|3`3;>5<5s49j>=4>02189651k323>6s|3`3:>5<5s49j><4>02189651m323>6s|3`3b>5<5s49j>?4>021896508323>6s|3`20>5<5s49j02189651i323=6s|3`27>5<5s49j02189650:323=6s|3`26>5<5s49j02189650<323=6s|3`25>5<5s49j

    02189650>323=6s|3`24>5<5s49j021896500323=6s|3`2;>5<5s49j==4>02189651k323=6s|3`2:>5<5s49j=<4>02189651m323=6s|3`2b>5<5s49j=?4>021896508323=6s|3`g0>5<5s49jio4>02189651i323?6s|3`g7>5<5s49jin4>02189650:323?6s|3`g6>5<5s49jii4>02189650<323?6s|3`g5>5<5s49jih4>02189650>323?6s|3`g4>5<5s49jik4>021896500323?6s|3`g;>5<5s49jj=4>02189651k323?6s|3`g:>5<5s49jj<4>02189651m323?6s|3`gb>5<5s49jj?4>021896508323?6s|3`f0>5<5s49jho4>02189651i32386s|3`f7>5<5s49jhn4>02189650:32386s|3`f6>5<5s49jhi4>02189650<32386s|3`f5>5<5s49jhh4>02189650>32386s|3`f4>5<5s49jhk4>02189650032386s|3`f;>5<5s49ji=4>02189651k32386s|3`f:>5<5s49ji<4>02189651m32386s|3`fb>5<5s49ji?4>02189650832386s|3`a0>5<5s49joo4>02189651j323>6s|3`a7>5<5s49jon4>02189650;323>6s|3`a6>5<5s49joi4>02189650=323>6s|3`a5>5<5s49joh4>02189650?323>6s|3`a4>5<5s49jok4>021896501323>6s|3`a;>5<5s49jh=4>02189651l323>6s|3`a:>5<5s49jh<4>02189651n323>6s|3`ab>5<5s49jh?4>021896509323>6s|3``0>5<5s49jno4>02189651j323=6s|3``7>5<5s49jnn4>02189650;323=6s|3``6>5<5s49jni4>02189650=323=6s|3``5>5<5s49jnh4>02189650?323=6s|3``4>5<5s49jnk4>021896501323=6s|3``;>5<5s49jo=4>02189651l323=6s|3``:>5<5s49jo<4>02189651n323=6s|3``b>5<5s49jo?4>021896509323=6s|3`c0>5<5s49jmo4>02189651j323?6s|3`c7>5<5s49jmn4>02189650;323?6s|3`c6>5<5s49jmi4>02189650=323?6s|3`c5>5<5s49jmh4>02189650?323?6s|3`c4>5<5s49jmk4>021896501323?6s|3`c;>5<5s49jn=4>02189651l323?6s|3`c:>5<5s49jn<4>02189651n323?6s|3`cb>5<5s49jn?4>021896509323?6s|3`;0>5<5s49j5o4>02189651j32386s|3`;7>5<5s49j5n4>02189650;32386s|3`;6>5<5s49j5i4>02189650=32386s|3`;5>5<5s49j5h4>02189650?32386s|3`;4>5<5s49j5k4>02189650132386s|3`;;>5<5s49jm=4>02189651l32386s|3`;:>5<5s49jm<4>02189651n32386s|3`;b>5<5s49jm?4>02189650932386s|3`:0>5<5s49j4o4>02189650i323>6s|3`:7>5<5s49j4n4>02189650j323>6s|3`:6>5<5s49j4i4>02189650k323>6s|3`:5>5<5s49j4h4>02189650l323>6s|3`:4>5<5s49j4k4>02189650m323>6s|3`:;>5<5s49j5=4>02189650n323>6s|3`::>5<5s49j5<4>0218965?8323>6s|3`:b>5<5s49j5?4>0218965?9323>6s|3`50>5<5s49j;o4>02189650i323=6s|3`57>5<5s49j;n4>02189650j323=6s|3`56>5<5s49j;i4>02189650k323=6s|3`55>5<5s49j;h4>02189650l323=6s|3`54>5<5s49j;k4>02189650m323=6s|3`5;>5<5s49j4=4>02189650n323=6s|3`5:>5<5s49j4<4>0218965?8323=6s|3`5b>5<5s49j4?4>0218965?9323=6s|3`40>5<5s49j:o4>02189650i323?6s|3`47>5<5s49j:n4>02189650j323?6s|3`46>5<5s49j:i4>02189650k323?6s|3`45>5<5s49j:h4>02189650l323?6s|3`44>5<5s49j:k4>02189650m323?6s|3`4;>5<5s49j;=4>02189650n323?6s|3`4:>5<5s49j;<4>0218965?8323?6s|3`4b>5<5s49j;?4>0218965?9323?6s|38d0>5<5s492jo4>02189650i32386s|38d7>5<5s492jn4>02189650j32386s|38d6>5<5s492ji4>02189650k32386s|38d5>5<5s492jh4>02189650l32386s|38d4>5<5s492jk4>02189650m32386s|38d;>5<5s49j<=4>02189650n32386s|38d:>5<5s49j<<4>0218965?832386s|38db>5<5s49j0218965?932386s|357b>5<5mr788>k549589621m3;;?>523270>=>73498:l47819>760e212;70=<7`8;<5=:;:??656?;<10379<:9:3?854?k034=523276>=>73498;947819>7612212;70=<7b8;<5=:;:?=656?;<103398:9:3?854?m034=523274>=>73498;547819>761>212;70=<7d8;<5=:;:?3656?;<102f8k:9:3?854?o034=52327:>=>73498:h47819>760a212;70=<818;<5=:;:?j656?;<10359>:9:3?85408034=52357e>=>7349?:<47819>710421=m70=;648;3c=:;=<<659i;<172<m03;k523346>=>73499:;47819>770321=m70==628;3`=z{:>>n7>52ey>715a2=2<70=;6g82465<5:9>?768f:?073g=0>l01>=9b;:4b>;4;>k14:h4=2160?>0n278?:<586d89650;329768f:?0722=0>l01>=85;:4b>;4;>i14:h4=2162?>0n278?:8586d89650?32;768f:?072>=0>l01>=89;:4b>;4;>o14:h4=2160n278?;m586d89651l325768f:?073c=0>l01>=9f;:4b>;4;1:14:h4=216e?>0n278?:>586d89650932>j768f:?0037=0>l01>:93;:;6>;4?:2788;7589089621j323>63<47f9<=4<5:8=97671:?0630=01;01><94;:;4>{t;=?h6=4>cz?0016=<1=01>:80;3376=:;:>?6<>94:?070d=99762c28:=863<3769550334988h4>07689651=3;;:952326e>461<278?;851147?854=90:<;:4=2153?77>=16?>;>:0250>;4;?21==8;;<1017<68?>01>=99;3321=:;=?m656>;<1724<034=523544>=>7349?:447819>710e212;70=;6e8;<5=:;;<>659i;<1123::d;2956}:;=>:6968;<1734<68:901>=94:?076c=99762628:=863<3509550334988>4>07689622n323863<4739<=4<5:>=?7671:?0033=01;01>:97;:;5>;4?92788;j589389641>325<5s49>h94>021896321323>6s|34aa>5<5s49>h84>02189632k323>6s|34a`>5<5s49>h44>02189632l323>6s|34ag>5<5s49>hl4>02189632m323>6s|34af>5<5s49>ho4>02189632n323>6s|34ae>5<5s49>hn4>021896318323>6s|34f3>5<5s49>hi4>02189632<323>6s|34f2>5<5s49>hh4>02189632>323>6s|34f1>5<5s49>hk4>02189632?323>6s|34f0>5<5s49>i=4>021896320323>6s|34a;>5<5s49>h;4>02189632=323>6s|34a:>5<5s49>h:4>02189632i323>6s|34ab>5<5s49>h54>02189632j323>6s|34cg>5<5s49>nl4>021896321323?6s|34`2>5<5s49>no4>02189632k323?6s|34`1>5<5s49>nk4>02189632l323?6s|34`0>5<5s49>o=4>02189632m323?6s|34`7>5<5s49>o<4>02189632n323?6s|34`6>5<5s49>o?4>021896318323?6s|34`5>5<5s49>o>4>02189632<323?6s|34`4>5<5s49>o94>02189632>323?6s|34`;>5<5s49>o84>02189632?323?6s|34`:>5<5s49>o;4>021896320323?6s|34cf>5<5s49>nn4>02189632=323?6s|34ce>5<5s49>ni4>02189632i323?6s|34`3>5<5s49>nh4>02189632j323?6s|34;0>5<5s49>m=4>021896321323=6s|34;4>5<5s49>m<4>02189632k323=6s|34;;>5<5s49>m84>02189632l323=6s|34;:>5<5s49>m;4>02189632m323=6s|34;b>5<5s49>m:4>02189632n323=6s|34;a>5<5s49>m54>021896318323=6s|34;`>5<5s49>m44>02189632<323=6s|34;g>5<5s49>ml4>02189632>323=6s|34;f>5<5s49>mo4>02189632?323=6s|34;e>5<5s49>mn4>021896320323=6s|34;7>5<5s49>m?4>02189632=323=6s|34;6>5<5s49>m>4>02189632i323=6s|34;5>5<5s49>m94>02189632j323=6s|345:>5<5s49>4;4>02189632132386s|345g>5<5s49>4:4>02189632k32386s|345f>5<5s49>4o4>02189632l32386s|345e>5<5s49>4n4>02189632m32386s|34:3>5<5s49>4i4>02189632n32386s|34:2>5<4s49>4h4>021896?b13>3;63<5729<=24?4?:2y>70>a28:8?63<9dc90=1<5:?>87674:p70>42908w0=:9182465<5:3nn7:77:?0100=01>0q~=:8583>6}:;<3:6<><3:?0=`e=<1=01>;:7;:;0>{t;<2>6=4<{<16=7<68:901>7jd;6;3>;4=<2145:4}r163d<72:q6?867:0207>;41lo18594=2761?>?<2wx?89m:180852000:<>=4=2;fb?2??27898o58968yv52?j0;6>u234:b>464;2785k>549589632j32386s|3571>502189635:323=63<2639<=4<5:8<<7672:?063`=01801><9e;:;6>;4:?n145<4=205g?>?:278>;l5890896411323>63<2679<=4<5:8<87672:?0625=01801><82;:;6>;4:?k145<4}r1716<72oq6?9;8:0207>;4=;8145:4=2045?>?;278>:>589189641n323?63<27g9<=5<5:8=h7673:?063e=01901><9b;:;7>;4:?3145=4=2041?>?;278>::589189640;323?63<2609<=5<5:8=m7673:p7133290mw0=;5982465<5:?9>768f:?0627=01:01><80;:;4>;4:?l145>4=205a?>?8278>;j589289641k323<63<27`9<=6<5:8=57670:?0623=01:01><84;:;4>;4:>9145>4=2046?>?8278>;o58928yv53=<0;6ku2357:>464;2789?<5892896409323=63<2629<=7<5:8=j7671:?063c=01;01><9d;:;5>;4:?i145?4=205f?>?9278>;7589389640=323=63<2669<=7<5:8<9a;:;5>{t;<:36=4>3z?014`=999870=:618;3c=:;=1a349>9l477g9>703>21=m70=:598;3c=:;=>7349>706a21=m70=:108;<5=:;<89656=;|q015?=83;8w0=:2182465<5:?=<7670:?010`=01:01>;:e;:;4>;4=4=276g?>?827898l589289632i323<63<54;9<=6<5:?>47670:?0101=01:01>;:6;:;4>;4=4=2760?>?82789=l586d89637l321}:;<9h6<><3:?0667=01:01><=5;6g7>;4:?k145:4}r1671<72=q6?8=k:0207>;4::?145>4=201:<58968yv52;<0;69u2341f>464;278>>858928964513>o?63<2619<=2?;4?:5y>705a28:8?63<2259<=6<5:89m7:k3:?0622=01>0q~=:3683>1}:;<>;6<><3:?066>=01:01><=b;6g7>;4:>?145:4}r167=<72=q6?8:>:0207>;4::3145>4=201g?2c;278>;758968yv52;00;69u23461>464;278>>o589289645l3>o?63<27`9<=2?l4?:5y>702428:8?63<22`9<=6<5:89i7:k3:?063e=01>0q~=:3c83>c}:;<>?6<><3:?066e=01:01><=f;6g7>;4::n145>4=2004?2c;278>><589289645>3>o?63<2219<=6<5:89;7:k3:?0662=01:01><81;:;0>;4:>:145:4=205b?>?<278>;k589689641l32386s|3561>5<5:r7889k51110?853=?0?4:5233:g>=>73499m947819>77g2212;70==b58;<5=:;;2n656?;<11ef=>73499mh47819>77ga212;70==b78;<5=:;;3;656?;<11f5:9:3?855j>034=5233;2>=>73499n?47819>77d4212;70==b98;<5=:;;39656?;<11e3=>73499m547819>77g>212;70==b`8;<5=:;;3?656?;<11ed;4<<=18594=20;`?>0n278>l:586d8964f=32l01>;4:k?14:h4=20;b?>0n278>lk586d8964fn32l01>;4:k=14:h4=20:5?>0n278>o<586d8964e;32768f:?06d0=0>l01>;4:k314:h4=20:7?>0n278>l6586d8964f132l01>;4:kh14:h4}r1701<7288p1>::0;3376=:;=?36968;<113`<68?>01><65;3321=:;;2=6<>94:?0677>?28:=863<28d955033499444>0768964f83;;:95233:b>461<278>l?51147?8550k0:<;:4=20b6?77>=16??6l:0250>;4:h91==8;;|q0013=83kp1>::1;3376=:;=?26968;<1133<68?>01><87;3321=:;;=36<>94:?062?=99771d28:=863<26f955033ty88>o50;0x9624m3;;?>52356f>1>03ty88>l50;0x9624n3;;?>52356e>1>03ty88>m50;0x962383;;?>523573>1>03ty88>j50;0x962393;;?>523572>1>03ty88:=50;37852:90?4:5238d1>=1a349><=4>02189637?323<63<3829<=7<5:98?7673:?0764=01901>=<1;:;7>;4;::145=4=211b?>?;278??k589189655l323?63<2b19<=5<5:8h>7673:?06f7=01901>;4:kl145=4=20aa?>?;278>oj58918964ek323?6s|357f>5<5s499::4=4`9>713a2k<27p}<47294?4|5:8=;7<:4:?0037=j?30q~=;6383>7}:;;<<6?;:;<172601v>:94;296~;4:?=1>884=2651?d112wx?989:181855>>099:523544>g0>3ty88;650;0x9641?38>463<47;9f3?77002;?270=;6c8a2<=z{:>=o7>5cz?0631=:<97;06f>;4:?=1>8m4=2053?43j278>;9525a89641?38?h63<275961c<5:8=;7<;f:?0631=:<:01><97;065>;4:?=1>8=4=265`?d112wx>>6m:1818441j0?4:522210>g0>3ty9?5o50;0x975>j3>3;63=3209f3?66?f2=2<70<<308a2<=z{;9347>52z?17{t::2<6=4={<00==<30>16>>1>03488>i4m689~w75>;3:1>v3=3`190=1<5;99o7l99:p66?52909w0<;5;;k1n;74}r00=5<72;q6>>o?:5:4?844:00i:45rs31;b?6=:r79?4h54958975503h=56s|22:f>5<5s4885h4;869>66412k<27p}=39f94?4|5;92h7:77:?1763=j?30q~<<8b83>7}:::3=6968;<007101v?=75;296~;5;0?18594=3113?d112wx>>9m:1808441j0:<>=4=3111?>?<279?8?586g8yv44?h0;6>u222;a>464;279??;589389753n325<4s4885l4>02189755=3266?>28:8?63=3379<=6<5;9?n768e:p66102908w0<<9982465<5;9987674:?171?=0>o0q~<<7783>6}:::3<6<><3:?1772=01901?=;7;:4a>{t::2?6=4<{<00e1<68:901?==4;:;6>;5;?914:k4}r00<6<72:q6>>o<:0207>;5;;>145?4=3155?>0m2wx>>6=:180844i;0:<>=4=3110?>0n279?8h586g8yv44080;6>u222c2>464;279??:589289752l325<4s488m=4>02189755;323863=34`9<2c66?a28:8?63=3319<=5<5;9>5768e:p661b2908w0<<9d82465<5;99?7672:?1701=0>o0q~<<7e83>6}:::3o6<><3:?1775=01;01?=:5;:4a>{t::=h6=4<{<00=3<68:901?==3;:4b>;5;<914:k4}r0030<72:q6>>7::0207>;5;;9145>4=3103?>0n2wx>>?8:181844>h0i:4522210>=>43ty9?<850;0x975113h=563=3209<=5660?2k<270<<308;<6=z{;9:87>52z?1731=j?301?=<0;:;7>{t::;86=4={<0023016>>g0>3488>i47829~w756n3:1>v3=3609f3?<5;99o7673:p667b2909w0<<708a2<=:::8i656<;|q174b=838p1?=80;`5=>;5;;k145=4}r005f<72;q6>>8i:c4:?844:0034>5rs312f?6=:r79?;k5b7;897550323?6s|223b>5<5s488:i4m689>664121287p}=30;94?4|5;9=o7l99:?1763=0190q~<<1983>7}:::1;296~;5;?>1n;74=3113?>?;2wx>><>:1826~;5;>>18594=3111?d11279??8589089754=323>63=3269<=4<5;99;7672:?177>=01801?==9;:;6>;5;;k145<4=311f?>?:279??m589089755l323>63=33g9<=4<5;99j7672:?1766=01801?=<1;:;6>;5;:9145<4=3106?>?:2wx>>=9:180844;?0i:452221g>1433488:o4;259~w752:3:1?v3=32f955033488:o47eg9>66342k<27p}=32f94?5|5;98h7l99:?176c=<;>01?=9c;610>{t::??6=4<{<007`<68?>01?=9c;:fb>;5;>=j:c4:?844;o0?>952224g>1433ty9?8850;1x9754n3;;:952224g>=ca34889:4m689~w754n3:1?v3=32d9f3?<5;9?<7:=4:?173c=<;>0q~<<5983>6}:::>;6<>94:?173c=0ll01?=:9;`5=>{t::>;6=4<{<0005016>>:>:507?844>o0?>95rs316e?6=;r79?9?51147?844>o03ik52227a>g0>3ty9?9?50;1x975393h=563=3509072<5;9<<7:=4:p663d2908w0<<4382432<5;9<<76jf:?170b=j?30q~<<4383>6}:::>96o86;<0006<3:=16>>9>:507?xu5;=16>>9>:9ge?844=o0i:45rs3177?6=;r79?9=5b7;89753<3>9863=3609072662328:=863=3609<``<5;9==7l99:p66232908w0<<458a2<=:::>>69<;;<0036<3:=1v?=92;297~;5;=?1==8;;<0036>8<:c4:?xu5;=?1<7=t=3171?d11279?>6543689751=3>986s|2265>5<4s488?54>07689751=32nj63=3559f3?665?2k<270<<388761=:::<=69<;;|q171>=839p1?=<9;3321=:::<=65ki;<000<01v?=<9;297~;5;:31n;74=310e?25<279?;954368yv44u2221b>461<279?;958dd89753j3h=56s|221b>5<4s488?l4m689>665e2=8?70<<698761=z{;9?o7>53z?176d=99o6o86;|q176d=839p1?=;5;:i18?:4=315=?25<2wx>>:j:180844;j0:<;:4=315=?>bn279?9h5b7;8yv44;j0;6?u2221`>g0>3488:l4;259~w75283:1>v3=37c9<``<5;9>=7l99:p61732909w0<;1987<2=:::l36o86;|q1045=838p1?:>7;6;3>;5;oh1n;74}r0757<72;q6>9?9:5:4?844nh0i:45rs3625?6=:r798<;54958975a13h=56s|2533>5<3s48?=54>0218975a>32;9768e:p616a290?w0<;1682465<5;9m:7670:?17c1=01:01?:?3;:4a>{t:=:n6=4;{<0753<68:901?=i6;:;5>;5;o=145?4=3635?>0m2wx>9>k:1878439<0:<>=4=31e2?>?:279?kj586d8975a?323>6s|22d3>5<5s48?66`02k<27p}=3g694?4|5;>;57l99:?17c>=0180q~<7}::=:36o86;<00bg?:2wx>>h>:1818438?0i:45222d:>=>53ty9?k;50;7x975a>3h=563=3g;9<2`<5;9m4768f:?17cd=0>l01?=ia;:4b>{t:=:j6=4:{<0750<39h16>9?9:53b?8439>0?=l52253;>17f348?0q~<;0183>6}:::ln6<>94:?1051=0ll01?:?1;`5=>{t::ln6=4<{<00b`016>>hi:507?843810?>95rs3636?6=;r79?kh51147?8438103ik522520>g0>3ty9?kh50;0x975an3h=563=41;9072616>21om70<;048a2<=z{;8h:7>52z?16fg=<1=01?{t:;i>6=4={<01g<<30>16>?ol:c4:?xu5:j>1<7ll5b7;8yv45k:0;6?u223a4>1>03489m54m689~w74d:3:19v3=2bc955543489m;477g9>67gf212;70<=bd8;<5=::;h=659j;|q16f7=83?p1??lj:9:2?845j=03;h5rs30`4?6==r79>n651110?845i?034<5223cb>=>53489nh47829>67d521=n7p}=2cd94?3|5;8h;7??329>67g1212970<=ad8;3c=::;kj656<;<01f`?82wx>?o<:181845j00i:45223c`>=>73ty9>l<50;0x974e03h=563=2``9<=667d02k<270<=a98;<5=z{;8j97>55z?16d0=j?301?;5:hi14:h4=30bf?>0n279>l6586d8yv45jk0;68u223a4>17f3489o54;1`9>67e>2=;j70<=c`875d=::;hh6o86;|q16db=839p1?;5:hl18?:4=30a?l>:180845io0:<;:4=30abn279>o<5b7;8yv45io0;6>u223ce>g0>3489n=4;259>67d>2=8?7p}=2c194?5|5;8i<7??659>67d>21om70<=b58a2<=z{;8i<7>52z?16g6=j?301?{t:;h>6=4={<01fd?l9:c4:?xu5:h31<7;t=30b3?>?:279>lm58908974fj323>63=2`:9<=4<5;8jm7l99:p66e5290>w0<>m6:9:3?844jl034?5rs31a7?6=1r79?om51110?844k:034?5222cb>=>73488mo47829>66gd212970<>m8:9:7?xu5;k81<7mt=31af?77;:16>>m<:9:2?844ih034?5222ca>=>53488mi477g9>66gd212:70<>m8:9:0?844i103;k5222c:>=>73ty9?o?50;ax975ei3;;?>5222a0>=>73488ml47809>66ge212:70<>oi:9:0?844k<034=5222a4>=>63488m547819>66g>21=m7p}=3c294?d|5;9i57??329>66e421=m70<>ol:95e?844il034=5222ce>=1a3488o8477g9>66e0212;70<67b52=2<70<=988a2<=z{;8297>52z?16a7=<1=01?<68;`5=>{t:;3?6=4={<01`5<30>16>?78:c4:?xu5:0k1<7:t=30g4?26i279>i?540c8974c:3>:m63=28`9f3?666f2=2<70<<0b8a2<=z{;9;i7>52z?175b=<1=01?=?e;`5=>{t:;l26=4={<0042<30>16>?hk:c4:?xu5:o21<7km5b7;8yv45n>0;6?u22226>1>03489jo4m689~w74a>3:1>v3=31690=1<5;8mm7l99:p67`b290>w0<<05875d=::::>69?n;<0043<39h16>>>8:53b?845no0i:45rs31f1?6=:r79?hj51110?844lm0?4:5rs31f0?6=:r79?hm51110?844lj0?4:5rs31f7?6=:r79?hl51110?844lk0?4:5rs31f6?6=:r79?ho51110?844lh0?4:5rs31f5?6=:r79?h751110?844l00?4:5rs31f4?6=:r79?h651110?844l10?4:5rs31gb?6=:r79?h951110?844l>0?4:5rs31ga?6=:r79?h851110?844l?0?4:5rs31g1?6=:r79?ij51110?844i003495rs31g0?6=:r79?im51110?844i103495rs31g7?6=:r79?il51110?844i0034?5rs31g6?6=:r79?io51110?844i1034?5rs31g5?6=:r79?i751110?844i0034>5rs31g4?6=:r79?i651110?844i1034<5rs31`b?6=:r79?i951110?844i0034<5rs31`a?6=:r79?i851110?844i1034>5rs31`g?6=0r79?nm51110?844k;03495222ca>=>73488mk47819>66ee21=m70=m248;<6=:::i2656<;<00f`>m8:95e?844k003495rs31a`?6=1r79?i8540c8975c?3>:m63=3e:904g<5;9o57:>a:?17ag=<8k01?=kb;62e>;5;mi181>03488mk4m689~w75f>3:1>v3=3`c9<2`<5;9j;7l99:p66d32909w0<=838p1?=mc;6;3>;5;ho1n;74}r00f2<72;q6>>lm:5:4?844im0i:45rs31a2?6=:r79?oo54958975fk3h=56s|22`6>5<5s488n44;869>66ge2k<27p}=3cd94??|5;9n:7:>a:?17`1=<8k01?=j8;62e>;5;l318:m63=3df904g<5;9h<7l99:p66e32909w0<6o86;|q17f0=838p1?=l7;`5=>;5;j314:h4}r00g4<72;q6>>ml:53b?844k;0i:45rs3137?6=;r79?=951110?845nm034<52222:>=>63ty9?=<50;6x9757>3;;?>5223d`>=>63489ji47859>666>21287p}=31394?3|5;9;97??329>67`e212970<=fb8;<6=::;lo656<;<004<1===<;<01bg?hl:9:1?845nm034?5223db>=1a3ty9?=650;:x974a<3>3;63=31a9<=6<5;9;i7673:?175>=999870<=e58;<4=::;3m656<;<01=g>>6:9:7?xu5:kn1<7lt=313g?>?9279>kl58928974ak323<63=2gf9<=6<5;8mj7670:?16cg=01;01?=?e;:;6>;5:0h14:h4=30:`?>?:279?=758928974el3;;?>5rs30`f?6=mr79>om586d8974f?323=63=2`a9<=7<5;8jn7671:?16d>=01;01?;5:oi14:h4=30e`?>0n279>kh586d8974ai323<63=2`c9<2`<5;9;5768f:?16fd=999870<=bd8;3c=z{;9;m7>5bz?175g=999870<=988;<7=::::h659i;<01==?78:9:3?8448l03;k5223g7>=>534895k47839>67?e212870<=9e8;<5=::;ih656=;|q175b=832p1?=?d;3376=::::h656=;<004`?k;:9:0?8451o03;k5223;a>=>534895i47829>67ed21287p}=2bd94?5|5;8o>7??329>67?>21=m70<<0g8;3c=z{;8hi7>54z?16a7=999870<=988;<5=::;33656?;<004c?77:95e?8451>03;k52222e>=>63ty9>hm50;1x974a;3>3;63=2g6955543489i?4;869~w74bj3:1?v3=2g090=1<5;8m?7??329>67c62=2<7p}=2dc94?5|5;8m=7:77:?16c4=999870<=e187<2=z{;8n57>53z?16c6=<1=01?=839p1?;5:o:1===<;<01``<30>1v??jk:5:4?xu5:l<1<7=t=30f`?2??279>hk51110?845lj0?4:5rs30f1?6=:r79>hj51110?845lk0?4:5rs30:g?6=1r79>hj540c8974bm3>:m63=2dd904g<5;8m<7:>a:?16c7=<8k01?;5:o9184j5b7;8yv451l0;64u223fa>17f3489hn4;1`9>67bc2=;j70<=dd875d=::;nm69?n;<01a5<39h16>?k>:53b?845m;0?=l5223;e>g0>3ty9>?>50;32845;:0?=l522317>17f3489?o4;1`9>675d2=;j70<=3e875d=::;9n69?n;<017c<39h16>?:?:53b?845<80?=l522361>17f3489?84;1`9>67512=;j70<=36875d=::;9369?n;<017<<39h16>?=n:53b?845:80i:45rs3017?6=:r79>>=51110?844:>03;k5rs301e?6=:r79>>:51110?844;=03;k5rs301f?6=:r79>>l51110?844;<03;k5rs301g?6=:r79>>m51110?844:?03;k5rs301`?6=:r79>>j51110?844:103;k5rs301a?6=:r79>>k51110?844:003;k5rs301b?6=:r79>>h51110?844:h03;k5rs3004?6=:r79>9>51110?844:k03;k5rs3005?6=:r79>9?51110?844:j03;k5rs3006?6=:r79>9<51110?844:m03;k5rs3010?6=:r79>>;51110?844:l03;k5rs3011?6=:r79>>851110?844:o03;k5rs3012?6=:r79>>951110?844;903;k5rs3013?6=:r79>>651110?844;803;k5rs301>751110?844;;03;k5rs301=?6=:r79>>o51110?844;:03;k5rs3077?6=5o58928974b<32v3=23095554348989477g9~w74103:1>v3=27:9555434?9ml47829~w74013:1>v3=2939555434?9ml47839~w740i3:1>v3=29095554349i>847839~w740j3:1>v3=29195554349i>547839~w740k3:1>v3=29695554349i>447819~w740l3:1>v3=29795554349i>l47819~w740m3:1>v3=29495554349i>n47819~w740n3:1>v3=29595554349i>h47819~w74?83:1>v3=29:95554349i?=47819~w727k3:1mv3=3c;904g<5;9im7:>a:?17gd=<8k01?=mc;62e>;5;lo18>m<:9:0?844io034<5222a6>=>53488o:47839~w750<3:1:v3=366955543489nn47819>67`a212970<;<01f`h=51110?845m=034=522367>=>63ty9>i=50;0x974cj3;;?>522373>1>03ty9>i:50;0x974ck3;;?>522372>1>03ty9>i;50;0x974cl3;;?>522371>1>03ty9>i850;0x974cm3;;?>522370>1>03ty9>i950;0x974cn3;;?>522377>1>03ty9>i650;0x974b83;;?>522376>1>03ty9>i750;0x974b93;;?>522375>1>03ty9>io50;0x974b:3;;?>522374>1>03ty9>;o50;1x9741j3h=563=27c9<2`<5;8=h768f:p67>b290hw0<=518171=::;?:6?=;;<0117<5;=16>?;<:317?845==09?9522374>7533489984=359>67312;9?70<=9382465<5;8=n7670:?161c=0>l01?<9e;:4b>{t:;2o6=4k{<0115<5:k16>?;>:30a?845=;09>o522370>74e3489994=2c9>67302;8i70<=54816g=::;?=6?;5:=n14:h4=307a?>?:279>;k58908yv450j0;6hu22373>74634899<4=209>67352;8:70<=528164=::;??6?<>;<0112<5:816>?;::302?845=?09><5223;3>464;279>;o589389743l323=63=25g9<=7<5;8?o768f:?163b=01:0q~<=8c83>c}::;?;6??j;<0114<59l16>?;=:33f?845=:09=h522377>77b34899:4=1d9>67322;;n70<=57815`=::;2m6<><3:?163g=01901?<;d;:;4>;5:=o145>4=307g?>?8279>;j589189743j32567362?l>70<=5385b0=::;?86;h:;<0111<1n<16>?;8:7d6?845=<0=j8522375>3`23489;54>02189741j32?;<:7d7?845==0=j9522374>3`334899849f59>67312?l?70<=7682465<5;8=n7671:?161?=0>l01?<;a;:;6>;5:?o145?4}r0137<72lq6>?;?:7d0?845=80=j>522371>3`434899>49f29>67332?l870<=5685b6=::;?>6;h<;<0113<1n:16>?99:0207>;5:?k145>4=307=?>?9279>9o58938974303267372?l970<=5085b7=::;?96;h=;<0116<1n;16>?;;:7d1?845=>0=j?522376>3`534899;49f39>671228:8?63=27c9<=4<5;8?57670:?161g=01:01?<;8;:;4>;5:?n145<4=3073?>0m2wx>?;i:1818451;0?4:52236f>g0>3ty9>8j50;0x974>93>3;63=25f9f3?67?72=2<70<=4b8a2<=z{;8>57>52z?16=`=<1=01?<;b;`5=>{t:;?n6=4={<013=<30>16>?:n:c4:?xu5:975b7;8yv45=h0;6?u22355>1>03489854m689~w74203:1>v3=26790=1<5;8?;7l99:p670d2908w0<=8`8;3c=::;:>586d8yv45>o0;68u22356>17f3489;;4;1`9>67102=;j70<=79875d=::;=;6o86;|q16=?=83?p1?<7f;62e>;5:0:184<540c8974?i3h=56s|2365>5<>s4899=4>11g8974293;:477m279>8=5102f?845==0:==k4=3063?768l16>?;::033a>;5:<<1=<>j;<010c01v?<90;296~;5:1;18594=3064?0a92wx>?8>:1818450;0?4:522372>3`63ty9>;<50;0x974?;3>3;63=24092c74?:3y>67>32=2<70<=5285b4=z{;8=87>52z?16=3=<1=01?<:4;4e5>{t:;<>6=4={<01<3<30>16>?;::7d2?xu5:?<1<78856g38yv45>>0;6?u223:;>1>034899:49f09~w72dn3:1>v3=4e695554348?>l47829~w725=3:1>v3=4379f3?<5;>mh7674:p614f2909w0<;2`8a2<=::=lo656<;|q107d=838p1?:=b;`5=>;59=;:c4:?843nm034?5rs3612?6=:r798?85b7;8972am32386s|250`>5<5s48?>n4m689>61`b21287p}=43f94?4|5;>9h7l99:?10cc=01;0q~<;3483>7}::=9>6o86;<07b`?<2wx>9=>43ty98?h50;0x9725n3h=563=4gd9<=761512k<270<;fg8;<7=z{;>947>52z?107>=j?301?;?0;:;0>{t:=9;6=4={<0775016>8>?:9:0?xu5<:;1<758938yv43;>0;6?u22514>g0>348><=47839~w72513:1>v3=43;9f3?<5;?;=7674:p61552909w0<;338a2<=::<::656<;|q1065=838p1?:<3;`5=>;5=9;145?4}r077=<72;q6>9=7:c4:?84288034?5rs3736?6=nr799==5b7;897228323=63=4409<=6<5;>8m7672:?106c=01901?:;a;:;7>;5<=o145?4=360g?>?:27989m5891897230323>63=4469<=5<5;>?<7672:?1010=01;01?:;2;:;7>;5<=>145=4}r0716<720q6>9;k:53b?843=l0?=l52257e>17f348?:=4;1`9>61062=;j70<;63875d=::=<869?n;<0721<39h16>9;;:c4:?xu5<<;1<7;t=36:7?26i27984:540c8972>=3>:m63=484904g<5;>>>7l99:p612a2902w0<;86875d=::=2369?n;<07<<<39h16>96n:53b?8430k0?=l5225:`>17f348?4i4;1`9>61>b2=;j70<;518a2<=z{;>?h7>59z?1021=<8k01?:88;62e>;5<>318:m63=46f904g<5;>a:?101c=j?30q~<;4883>3}::=l369?n;<07b<<39h16>9hn:53b?843nk0?=l5225d`>17f348?8l4m689~w723?3:1:v3=4dg904g<5;>nj7:>a:?10c6=<8k01?:i1;62e>;59:m:185843>h0?=l52254a>17f348?:n4;1`9>610c2=;j70<;6d875d=::=>h6o86;|q1015=83;5:m63=4569f3?61ee2=;j70<;cb875d=::=io69?n;<07g`<39h16>9:=:c4:?xu5<=?1<77t=36f5?26i2798h<540c8972b;3>:m63=4d6904g<5;>n97:>a:?10`0=<8k01?:j7;62e>;59=k:186843j:0?=l5225`7>17f348?n84;1`9>61d12=;j70<;3d8a2<=z{;>8n7>59z?10d1=<8k01?:n8;62e>;5:m63=4`f904g<5;>ji7:>a:?106e=j?30q~<;3g83><}::=hm69?n;<07g5<39h16>9m>:53b?843k;0?=l5225a0>17f348?o94;1`9>61e22=;j70<;c7875d=::=>;6o86;|q106?=83?p1?:6b;62e>;5<0i185<5s48?9i4>02189725=32386s|2575>5<5s48?9h4>02189725>32386s|2574>5<5s48?9k4>02189725?32386s|257;>5<5s48?:=4>02189725032386s|257:>5<5s48?:<4>021897251323=6s|257b>5<5s48?:?4>02189726k323>6s|257a>5<5s48?:>4>021897258323>6s|257`>5<5s48?:94>02189725;32386s|25:e>5<5s48?5>4>02189724<325<5s48?594>02189724=325<5s48?584>02189724>325<5s48?5;4>02189724?325<5s48?4:4>02189724<323=6s|25:3>5<5s48?454>02189724=323=6s|25:2>5<5s48?444>02189724>323=6s|25:1>5<5s48?4l4>02189724?323=6s|25:0>5<5s48?4o4>021897240323<6s|25:7>5<5s48?4n4>02189726k323?6s|25:6>5<5s48?4i4>021897258323?6s|25:5>5<5s48?4h4>02189725;323?6s|254e>5<5s48?;:4>02189725j323>6s|2553>5<5s48?;54>02189725l323>6s|2552>5<5s48?;44>02189725n323>6s|2551>5<5s48?;l4>021897249323>6s|2550>5<5s48?;o4>02189724;323>6s|2557>5<5s48?;n4>02189726j323>6s|2556>5<5s48?;i4>02189726n323>6s|2555>5<5s48?;h4>02189725<323>6s|2546>5<5s48?:l4>02189725j323?6s|2545>5<5s48?:o4>02189725l323?6s|2544>5<5s48?:n4>02189725n323?6s|254;>5<5s48?:i4>021897249323?6s|254:>5<5s48?:h4>02189724;323?6s|25d0>5<5s48?j54>02189725j323=6s|25d7>5<5s48?j44>02189725l323=6s|25d6>5<5s48?jl4>02189725n323=6s|25d5>5<5s48?jo4>021897249323=6s|25d4>5<5s48?jn4>02189724;323=6s|25g:>5<5s48?ih4>02189725j32386s|25gb>5<5s48?ik4>02189725l32386s|25ga>5<5s48?j=4>02189725n32386s|25g`>5<5s48?j<4>02189724932386s|25gg>5<5s48?j?4>02189724;32386s|25f:>5<5s48?i<4>02189725i323>6s|25fb>5<5s48?i?4>02189725k323>6s|25fa>5<5s48?i>4>02189725m323>6s|25f`>5<5s48?i94>021897248323>6s|25fg>5<5s48?i84>02189724:323=6s|25ff>5<5s48?i;4>02189726j323?6s|25fe>5<5s48?i:4>02189726n323?6s|25g3>5<5s48?i54>02189725<323?6s|25f3>5<5s48?h84>02189725k323?6s|25f2>5<5s48?h;4>02189725m323?6s|25f1>5<5s48?h:4>021897248323?6s|25f0>5<5s48?h54>02189724:323>6s|25a4>5<5s48?oo4>02189725i323=6s|25a;>5<5s48?on4>02189725k323=6s|25a:>5<5s48?oi4>02189725m323=6s|25ab>5<5s48?oh4>021897248323=6s|25`4>5<5s48?nk4>02189725i32386s|25`;>5<5s48?o=4>02189725k32386s|25`:>5<5s48?o<4>02189725m32386s|25`b>5<5s48?o?4>02189724832386s|25`a>5<5s48?o>4>02189724:323?6s|25``>5<5s48?o94>02189726k323<6s|25`g>5<5s48?o84>021897258323<6s|25`f>5<5s48?o;4>02189725;323>6s|25ce>5<5s48?n>4>02189725=323>6s|25`3>5<5s48?n94>02189725>323>6s|25`2>5<5s48?n84>02189725?323>6s|25`1>5<5s48?n;4>021897250323>6s|25;e>5<5s48?m:4>02189725=323?6s|25c3>5<5s48?m54>02189725>323?6s|25c2>5<5s48?m44>02189725?323?6s|25c1>5<5s48?ml4>021897250323?6s|25c0>5<5s48?mo4>021897251325<5s48?mn4>02189726j32386s|25c6>5<5s48?mi4>02189726n32386s|25c5>5<5s48?mh4>02189725<32386s|25;4>5<5s48?5o4>02189725=323=6s|25;;>5<5s48?5n4>02189725>323=6s|25;:>5<5s48?5i4>02189725?323=6s|25;b>5<5s48?5h4>021897250323=6s|27d5>5<2s48=j5477g9>63`02k<270<9fb8;<4=::?ln656>;<05bd;kn:9:0?841l>034=5227g3>=>4348=i947829>63bd212870<9dd8;<5=::?nj656?;<05a7:><:9:0?841m1034>5227g`>=>4348=j947829>63`7212870<9e78;<5=::?on659i;|q1176=838p1?;=0;`5=>;5=;214hh4}r065=<72;q6>8?7:c4:?842:10?>95rs372b?6=;r799=ca3ty99<950;1x9736?3h=563=50:9072<5;?9;7:=4:p607b2908w0<:1d8a2<=::<;<6<>94:?1170=0ll0q~<:1783>6}::<;=6o86;<0652<3:=16>8<9:507?xu5=8n1<7=t=372`?d112799<851147?842:<03ik5rs3721?6=;r799<;5b7;89736>3>9863=5379072=n4?:2y>607d2k<270<:1482432<5;?9876jf:p60732908w0<:158a2<=::<;>69<;;<0661<3:=1v?;>b;297~;5=8h1n;74=3720?77>=16>8<<:9ge?xu5=891<7=t=3727?d112799<:543689735;3>986s|243b>5<4s48>=l4m689>607428:=863=5309<``=?4?:2y>60752k<270<:128761=::<8969<;;|q114?=839p1?;>9;`5=>;5=881==8;;<0664>1n;74=374f?>bn2wx>88k:181842>m0i:452245a>1433ty99:=50;1x9730;3h=563=57f95503348>;l47eg9~w731k3:1?v3=57a9f3?<5;?=h7:=4:?112g=<;>0q~<:7383>6}::<=96o86;<062f<68?>01?;89;:fb>{t:<016>88l:507?842?00?>95rs3745?6=;r799:?5b7;89731j3;;:952245;>=ca3ty99;o50;1x9731i3h=563=57`9072<5;?<47:=4:p60172908w0<:718a2<=::<94:?1121=0ll0q~<:6883>6}::<<26o86;<062d<3:=16>898:507?xu5=?l1<7=t=375=?77>=16>899:9ge?842>o0i:45rs3759863=5649072:h4?:2y>600b2k<270<:6982432<5;?<976jf:p60362909w0<:6987`6=::;5=4=373b?77;:16>8;k:9:3?842=l034=52247e>=>7348>:=47819>6006212;70<:508;<5=::8;;:9:3?842=:034=522471>=>73ty99;?50;0x973193h=563=5759<``9o4?:3y>603e2k<270<:668761=z{;?=<7>53z?1136=j?301?;:b;3321=::<<=65ki;|q1100=838p1?;:b;6g7>;5=<<1n;74}r061d<72:q6>8;n:c4:?842=k0?>9522445>1433ty998h50;1x9732n3h=563=54c95503348>:847eg9~w732=3:1>v3=54c90a5<5;?>97l99:p603>2908w0<:588a2<=::=16>88;:9ge?xu5=<>1<7u2247;>g0>348>944;259>60032=8?7p}=54f94?5|5;?>h7l99:?110>=99?7>52z?110>={t:016>8;7:507?842>:0?>95rs376g?6=;r7998m5b7;89732?3;;:9522441>=ca3ty998<50;0x9732?3>o?63=5409f3?60>e212;70<:0d82465<5;?=i7672:?11=e=01:01?;7d;:;4>;5=1o145>4=37;b?>?827888h5890897328323<63=55d9<=6<5;??i7670:?111b=01:01?;9f;:;5>{t:<2m6=4={<06016>87;:9ge?xu5=1k1<7u224:f>g0>348>4l4>0768973>;32nj6s|2473>5<5s48>4l4;d29>60372k<27p}=59;94?5|5;?357l99:?11=g=<;>01?;63;610>{t:<2o6=4<{<06016>866:0250>;5=0814hh4}r060c<72;q6>866:5f0?8429863=58090724n4?:2y>60>d2k<270<:8982432<5;?2=76jf:p602b2909w0<:8987`6=::<>n6o86;|q11=1=839p1?;77;`5=>;5=1218?:4=37:5?25<2wx>86m:1808420k0i:45224:4>461<27994>58dd8yv421b4348>8i4m689~w73?>3:1>v3=5759f3?<5;?<8768f:p60>32908w0<:678a2<=::<=8659i;<062a<3l:1v?;72;297~;5=??1n;74=3746?>0n2799;m54e18yv42090;6>u22447>g0>348>;<477g9>600e2=n87p}=56g94?5|5;?=?7l99:?1126=0>l01?;9a;6g7>{t:<=h6=4<{<0627016>886:5f0?842>o03;k5rs37;1?6=:r7994:5b7;89730<323<6s|24:0>5<5s48>5>4m689>6014212;7p}=59394?4|5;?2>7l99:?1124=01:0q~<:7g83>7}::<3:6o86;<0634?82wx>8:;:181842<=0i:452246`>=ca3ty99?h50;0x9735n3h=563=5569<=7?;4?:3y>605d2k<270<:4b8761=z{;???7>53z?1115=j?301?;i65ki;|q117c=839p1?;=e;`5=>;5==9145?4=370g?2c;2wx>8=::180842;k0i:452241`>143348>8o4;259~w733:3:1?v3=5509f3?<5;?8n7??659>602f21om7p}=53f94?5|5;?9h7l99:?1114=01;01?;{t:<9?6=4<{<067d016>8=m:507?84295rs3775?6=;r7999?5b7;89734i3;;:952246:>=ca3ty99?m50;1x9735k3h=563=5539<=7<5;?8m7:k3:p60542908w0<:388a2<=::<9j69<;;<060<<3:=1v?;;0;297~;5==:1n;74=370=?77>=16>8:7:9ge?xu5=;h1<7=t=371f?d1127999>58938973413>o?6s|2411>5<4s48>?54m689>605>2=8?70<:498761=z{;?8j7>53z?116`=j?301?;<8;3321=::<><65ki;|q117g=839p1?;=a;`5=>;5=:l145?4=3708=>:180842;>0i:452241;>143348>8:4;259~w734m3:1?v3=52g9f3?<5;?8;7??659>602121om7p}=53;94?5|5;?957l99:?116c=01;01?;<7;6g7>{t:<9;6=4<{<0675016>8=8:507?84295rs370`?6=;r799>j5b7;8973483;;:9522466>=ca3ty9:h750;7x970393>:m63=650904g<5;a:?1212=<8k01?8ja;`5=>{t:?ni6=4:{<06`<<39h16>8jn:53b?842lk0?=l5224f`>17f348=hn4m689~w70a13:19v3=6`;904g<5;a:?12dd=<8k01?8nc;62e>;5>ok1n;74}r0447<720q6>8mk:53b?842kl0?=l5224ae>17f348>h=4;1`9>60b62=;j70<:d3875d=:::><:c4:?xu5?9:1<7;t=373a?26i2799=h540c8973683>:m63=503904g<5;=;<7l99:p63`a2902w0<9c4875d=::?i=69?n;<05g2<39h16>;m7:53b?841k00?=l5227ab>17f348=oo4;1`9>63ed2=;j70<9fg8a2<=z{;59z?123b=<8k01?89e;62e>;5>?l18:m63=661904g<5;<<87:>a:?12cc=j?30q~<9fc83><}::?=o69?n;<053`<39h16>;9i:53b?841090?=l5227:2>17f348=4?4;1`9>63>42=;j70<985875d=::?lh6o86;|q12c3=833p1?8m5;62e>;5>k<18:m63=6cc904g<5;a:?12ge=<8k01?8i8;`5=>{t:?l:6=46{<05=a<39h16>;7j:53b?8411o0?=l5227c3>17f348=m<4;1`9>63g52=;j70<9a2875d=::?k?69?n;<05b701v?8jf;29=~;5>:m63=673904g<5;<=>7:>a:?1235=<8k01?894;62e>;5>o:1n;74}r05b6<720q6>;6k:53b?8410l0?=l5227:e>17f348=5=4;1`9>63?62=;j70<993875d=::?3869?n;<05=1<39h16>;h;:c4:?xu5>ln1<77t=3431?26i279:=8540c89707?3>:m63=61:904g<5;<;57:>a:?125g=<8k01?8?b;62e>;5>9i18;km:18:8419<0?=l522735>17f348==:4;1`9>637?2=;j70<918875d=::?;j69?n;<055g<39h16>;?l:53b?841mj0i:45rs34f1?6=1r79:?;540c89705>3>:m63=635904g<5;<947:>a:?127?=<8k01?8=a;62e>;5>;h1817f348=?;4;1`9>63502=;j70<939875d=::?9269?n;<057d<39h16>;=m:53b?841;j0?=l5227g7>g0>3ty9:h950;;x9703l3>:m63=65g904g<5;a:?1206=<8k01?8:1;62e>;5><8185<>s48>j84;1`9>60`12=;j70<:f6875d=::8hn:53b?842nk0?=l5224d`>17f348=i?4m689~w70cn3:15v3=58f904g<5;?2i7:>a:?11<`=<8k01?;n0;62e>;5=h;18:m63=6d29f3?60c22=;j70<:e7875d=::8k6:53b?842mh0?=l5224ga>17f348>in4;1`9>63bf2k<27p}=6e494??|5;?ih7:>a:?11gc=<8k01?;mf;62e>;5=j:18:m63=5b6904g<5;8l?:53b?842j80?=l5224`1>17f348>n>4;1`9>60d32=;j70<9dd8a2<=z{:8:o7>56z?1113=j?301?;7b;:;5>;5=0n27888h5891897329325<1s48>8;4m689>60>d212:70<:5b8;3c=::;<062`8;=:95e?xu4:8o1<78t=3773?d1127995j589389732m323=63=5419<2`<5;?=j7670:?110b=0180q~==1g83>2}::<>36o86;<0686j:9:2?842=o034<522477>=1a348>8i477g9>603b21297p}<23294?1|5;??57l99:?11=e=0>l01?;7f;:;5>;5=?:145?4=3761?>0n27999k586d89732n323>6s|3302>5<1s48>8l4m689>60>c21=m70<:618;3c=::<<:656>;<06138:i:95e?xu4:;81<7:t=377f?d1127995k586d89731932>4?:3y>602d2k<270<:8g8;3c=z{;=987>53z?116b=01:01?;=9;:;4>;5?;>1===<;|q1373=839p1?;;5=;k145>4=3511?77;:1v?9=6;297~;5=:l145>4=371f?>?8279;?851110?xu5?;=1<7=t=3774?>?82799?m589289715?3;;?>5rs351627c28:8963=70g95552348<=k4>0278971583;;?8522602>464=279;?<51116?840::0:<>;4=34g1?d112wx>:>;:1818409j0?4:522627>g0>3ty9;=850;0x9716l3>3;63=7149f3?627b2=2<70<8098a2<=z{;=;m7>52z?134`=<1=01?9?a;`5=>{t:>:h6=4={<0465<30>16>:>l:c4:?xu5?9o1<71>0348<==4m689~w716:3:1>v3=73190=1<5;=:>7l99:p63g22908w0<9a882465<5;l857671:?1b62=0>l0q~<9a783>6}::?kj6<><3:?1b6?=01801?h<4;:;5>{t:?k<6=4={<05eg<68:901?h=f;:;7>{t:?k36=4={<05ef<68:901?h=f;:4b>{t:?9o6=4<{<0504<68:901?l6b;:;5>;5j0<14:h4}r057`<72:q6>;:=:0207>;5j0h145<4=3`:2?>?92wx>;=i:181841<:0:<>=4=3`:5?>?;2wx>;:?:181841<=0:<>=4=3`:5?>0n2wx>8j::180842l00:<>=4=35e`?>?9279;k6586d8yv42l?0;6>u224fb>464;279;kj58908971a0323=6s|24f4>5<5s48>ho4>0218971a;323?6s|24f;>5<5s48>hn4>0218971a;325<6mr78=i954958966c?3;;?>522802>1>0349;8h47839>7537212970=?538;<7=:;9??656=;<1313=>5349;9h47839>7507212970=?638;<7=:;94>l:9:3?84>8l034=522833>=>73482=?47819>6<73212;70<6178;<5=::0;3656?;<0:5d4?l:9:3?84>9l034=522803>=>7348m><477g9>6c4a212;7053z?116b=0>l01?;=9;:4b>;5nm31===<;|q1ba>=839p1?;;5=;k14:h4=3dg0n279jih51110?xu5nmo1<7=t=3774?>0n2799?m586d897`cm3;;?>5rs3dg`?6=;r7999?586d89735l32|5;ln<7<;a:?05=7=999870=1a3ty8=;j50;cx97`b838>863<19095554348mmo4;d29>6`?d212:70<8068;<4=::l3j656?;<12f<522g`g>=1a3ty8=;k50;ax97`b838>963<19`95554348mmn4;d29>6`?d21=m70<8088;<4=::lh7n:95e?856j0034?52305;>=>6349:nk47809>6cdb21=m7p}<17d94?b|5;ln<7<:6:?05=e=999870:i656>;<0f2c=>7349:nl47839>741c212;70=>798;<7=:;8hm656?;<0efc?80;29a~;5nl:1>894=23;`?77;:16>koj:5f0?8408m034<522d4e>=>7349;ml47809>6`?>212:70=>b88;3c=:;8hj656<;<123a=>3348mo=477g9~w67093:1iv3=fd2960><5:;3i7??329>6cga2=n870<80g8;<4=::l=>3349:nl477g9>741d212870=>818;<7=:;8=3656?;<0eg4?82;29b~;5nl:1>874=23;b?77;:16>kl?:5f0?84098034<522d4f>=>6349;ml477g9>74dd212870=>4349:nk477g9>6ce521=m7p}<16194?b|5;ln<7<:a:?05<6=999870;8656>;<0f2`=>3349:no47819>741d212:70=>7g8;<6=:;8=2656=;<0eg6?j0;296~;49l<1===<;<0440?j1;296~;49l=1===<;<0442?j2;296~;49l21===<;<044<?j3;296~;49l31===<;<044g?ja;296~;49lk1===<;<044a?jb;296~;49lh1===<;<044c?j4;296~;49l>1===<;<0454?j5;296~;49l?1===<;<04568;295`}:;9<>6968;<0f6c<30>16>i=>:0207>;48=o145>4=2264?>?8278<8<589289662<323<63<0449<=6<5::>47670:?040g=01:01>>:c;:;4>;484=2254?>?8278<;<589289661<323<63=c`:9<=4<5;ijm7672:?1gde=01801?mne;:;6>;5kk:145<4=3aa6?>?:279oo:5890897ee>323>63=cc:9<=4<5;iim7672:?1gge=01801?mme;:;6>;5j1914:h4=3`:5?>?8278=n=58968yv4>?h0;6;3;;?>522bc;>=>7348hml47819>6fgd212;70nl;:9:3?84dj?034=522b`;>=>7348hnl47819>6fdd212;704>j:9:1?84>99034?522831>=>53482=947839>6<71212970<6198;<7=::0;j656=;<0:5f4?j:9:1?84>:9034?5226g6>=1a34847819>6`7e212?7p}=5b794?4|5;?hh7??329>62e2212;7p}=5b494?4|5;?hi7??329>62ed212;7p}=5b594?4|5;?hj7??329>62ec212;7p}=5b:94?4|5;?o<7??329>62eb212;7p}=5b;94?4|5;?o=7??329>62ea212;7p}=5bc94?4|5;?o>7??329>62b7212;7p}=5b`94?4|5;?o?7??329>62b6212;7p}=5ba94?4|5;?o87??329>62b5212;7p}=51a94?1|5;?:<7??329>603d212970<:618;<7=::<<:656=;<061c8;j:95e?842=m03;k5rs373`?6=>r799=>5348>4i47839>60>b212970<:8g8;<7=z{;52z?12f3=99987052z?12f0=99987052z?12f1=99987052z?12f>=99987052z?12f?=999870=>818;3c=z{;7>52z?12fg=999870=>818;<4=z{;52z?12fd=999870=>7g8;<4=z{;52z?12fe=999870=>7g8;<7=z{;<=97>52z?123b=99987052z?123c=99987052z?123`=99987052z?1226=9998705fz?1227=999870=?1d8;3c=:;9;m656?;<135f=>7349;>847819>754c212970=?2g8;<4=:;98<659i;<1366=>53ty9:;o50;dx9700:3;;?>52313e>=1a349;=n47809>754>212970=?198;<7=:;9;i656>;<1360=>7349;>>477g9>754e212:70=?1`8;<4=:;99:656?;<1364<3:?044c=01:01>>>f;:;5>;488i145<4=221=?>0n278<<6589289666j323>63<0379<=4<5::9h7671:?047`=01:01>>=7;:;5>;48;9145?4=221f?>?8278<52313f>=>6349;=k47839>757d21=m70=?288;<5=:;9;3659i;<135g=1a349;>:47839>7544212970=?2c8;<7=:;9;j656=;<1374:9:2?xu5>>?1<79t=344`?77;:16?=kl:9:2?857mm034>5231g;>=>3349;i447809>75c5212;70=?e28;<7=z{;<<:7>52z?122c=999870=>c28;<6=z{;<<;7>5dz?122`=999870=?4d8;3c=:;9?;659i;<1317=1a349;9l477g9>753d21=m70=?5d8;3c=:;9<;659i;<1327>21<7?m{<05<5<68:901>>n8;:;7>;5nlo145=4=3deg?>?:279jkl5890897`ai323>63=fg:9<=4<5;lm;7672:?1bc0=01801?hi5;:;6>;5no>145<4=3de7?>?:279jk<5890897`a9323>63=fg29<=4<5;lnj7672:?1b`b=01801>>?3;:;6>;4898145<4=2235?>?:278<=>5890897`an323>63=fgg9<=4<5;lmh7672:?1bc?=01801>?l3;:;5>;48ho145<4}r053<<72mq6>;6>:0207>;49oi145=4=23ee?>?;278>=k589189647k323?63<21c9<=5<5:8;47673:?0650=01901>;4:98145=4=2034?>?;278=kk58918967a0323?6s|275b>50218967ak323<63<1gc9<=6<5:8;i7670:?065e=01:01>;4:92145>4=2032?>?8278>=:589289647:323<63<2129<=6<5:;mi7670:?05c>=01:0q~<97c83>a}::?286<><3:?05cb=01801>?ib;:;6>;4:9l145<4=203`?>?:278>=l5890896471323>63<2159<=4<5:8;97672:?0655=01801>;49ol145<4=23e=?>?:2wx>;9l:18g8410=0:<>=4=23e`?>?8278=kl589289647n323<63<21f9<=6<5:8;n7670:?065?=01:01>;4:9?145>4=2037?>?8278>=?58928967an323<63<1g;9<=663d228:8?63=f279<=7<5;l8<768f:p63gb2908w0<9b782465<5;l897672:?1b66=01;0q~<9ag83>6}::?h<6<><3:?1b60=01;01?h<1;:4b>{t:?h;6=4<{<05f=<68:901?h<6;:;6>;5n:;145?4}r05f4<72:q6>;l6:0207>;5n:=145?4=3d06?>0n2wx>;l=:180841jh0:<>=4=3d03?>?:279j><58938yv41j:0;6>u227`a>464;279j>65893897`4;325<4s48=nn4>021897`40323>63=f219<=763>c28:8?63=f1d9<=663>b28:8?63=f029<=663>a28:8?63=f109<=663?728:8?63=f119<=663?628:8?63=f169<=663?528:8?63=f179<=663?428:8?63=f149<=663?328:8?63=f159<=663?c28:8?63=f139<=663?b28:8?63=f1:9<=663?a28:8?63=f1;9<=663g728:8?63=f1c9<=663g628:8?63=f1`9<=663g528:8?63=f1a9<=663g428:8?63=f1f9<=663g328:8?63=f1g9<=6633c28:8?63=c519<=2633b28:8?63=c569<=2633a28:8?63=c579<=2630728:8?63=c549<=2630628:8?63=de:9<=4630528:8?63=de:9<=6630428:8?63=de59<=6630328:8?63=de59<=5ji4?:3y>636228:8?63=c519<2`jh4?:3y>636128:8?63=c569<2`jk4?:3y>636028:8?63=c579<2`636?28:8?63=c549<2`636>28:8?63=c6:9<2`<5;i<57670:?1g20=01:01?m73;:;5>;5k>8145?4=3a41?>?8279o:h5892897e??323>63=c9;9<=7<5;i3=768f:?1g2b=01:01?m75;:4b>;5k>>14:h4=3a;f?>?:2wx>;>=:18e8418h0:<>=4=3a4=?>0n279o:85893897e?;323>63=c609<=4<5;i<97671:?1g2`=0>l01?m77;:4b>;5k13145<4=3a;5?>?8279o:j586d897e?=323=63=c669<=7<5;i3n7670:?1g2d=0>l0q~<90283>46|5;<;n7??329>6f1?212;70n9=:9:3?84d?<034?522b5e>=>5348h4:47809>6f>>212;70;<0`<0n9;:9:3?84d0k034<522b5a>=>73ty9:=:50;338418j0:<>=4=3a4?9279o:75890897e0>32768f:?1g23=0>l01?m8f;:;5>;5k1=145>4=3a;=?>0n279o5?5890897e0l323>63=c979<=4<5;i<87672:?1g=d=0>l01?m8b;:;5>{t:?:o6=49{<0550<68:901?j;5l=>145?4=3f71?>?;279h9>5891897b4l325<5s48==;4>021897c6j323?6s|272e>5021897ef032l01?mm0;:4b>;5kk814:h4=3aa0?>0n279oo8586d897ee032l0q~<91183>4d|5;<:47??329>6a65212870n;;:9:1?84d=;034?522b72>=>5348h9=47839>6f2a212970o656=;<0`0fn:m:9:1?84d=>5348h8:47839>6f3c212970n;6:9:1?84d=1034?522b74>=>5348h9>47839>6`7e212:705dz?124?=999870=656<;<0f1dh;7:9:0?84b=?034>522d77>=>4348n9?47829>6`37212870h656<;<0f0dh:;:9:0?xu5>881<7jt=342e?77;:16>h:7:9:3?84b=>7348n9547819>6`31212;70h:j:9:3?84b=>7348n8947819~w706;3:1hv3=60`95554348n8447839>6`20212970h;::9:1?84b=:034?522d72>=>5348n8k47839>6`2c212970>656=;|q1242=83np1?8>c;3376=::l>2656?;<0f02h;m:9:3?84b=0034=522d74>=>7348n9847819>6`34212;70m656?;<0f0ah:m:9:3?84b<<034=5rs3471?6=;r79:9j51110?84e1>034<522c;1>=1a3ty9:9850;1x9703m3;;?>522c;4>=>5348i5?47809~w703?3:1?v3=65d95554348i5547809>6g?421=m7p}=65:94?5|5;<><7??329>6g??21297053z?1207=999870<91===<;<0a=do7::95e?xu5>=i1<7=t=3460?77;:16>o7n:9:1?84e1<034<5rs342`?6=:r79:?;51110?84e?8034=5rs342a?6=:r79:?851110?84e?;034=5rs342b?6=:r79:?951110?84e>=034=5rs3414?6=:r79:?651110?84e><034=5rs3415?6=:r79:?751110?84e>?034=5rs3416?6=:r79:?o51110?84e>>034=5rs3417?6=:r79:?l51110?84e>1034=5rs3410?6=:r79:?m51110?84e>0034=5rs341`?6=:r79:>;51110?84e>:034=5rs341a?6=:r79:>851110?84e>h034=5rs341b?6=:r79:>951110?84e>k034=5rs3404?6=:r79:>651110?84e>j034=5rs3405?6=:r79:>751110?84e>m034=5rs3406?6=:r79:>o51110?84e>l034=5rs3407?6=:r79:>l51110?84e>o034=5rs3400?6=:r79:>m51110?84e?9034=5rs37f`?6=:r799k;51110?84?i<03495rs37fa?6=:r799k851110?84?i?03495rs37fb?6=:r799k951110?84?i>03495rs37e4?6=:r799k651110?84?i103495rs37e5?6=:r799k751110?84f;h034?5rs37e6?6=:r799ko51110?84f;h034=5rs37e7?6=:r799kl51110?84f;0034=5rs37e0?6=:r799km51110?84f;0034>5rs37:1?6=:r7994j51110?84?i<03;k5rs37:2?6=:r7994k51110?84?i?03;k5rs37:3?6=:r7994h51110?84?i>03;k5rs37:51110?84?i103;k5rs37:=?6=nr799l?51110?84?lh03;k5229fa>=>73483h547819>6=c2212:70<7d58;<4=::1n<656?;<0;a45k6:9:1?84?mk034<5229g0>=1a3483hk47819>6=c021=m70<7d78;3c=::1oo656=;|q115k::9:1?84?l=034?5229f4>=>63483i<477g9>6=c>21=m70<7ec8;<7=::1o8656?;<0;`c5k8:9:2?84?l?034<5229gg>=>73483hi477g9~w73>j3:1==u224c0>464;2794io5892897>cj323=63=8e:9<=4<5;2n9768f:?1;50l;145<4=3:f=?>?92794hl5892897>b;323=63=8ed9<=7<5;2n;7670:?1;50mn145>4}r06=f<728:p1?;n4;3376=::1nj656>;<0;`g5j7:95e?84?m<034=5229f7>=1a3483h:477g9>6=c6212:70<7e88;<5=::1oi659i;<0;a65ji:9:1?84?m>034?5229f5>=>53483ii477g9>6=bc212:7p}=5`794?0|5;?jh7??329>647i:95e?xu5=h<1<7l9k:9:0?xu5=h=1<7jt=37bb?77;:16>4>n:95e?84>8j03;k52282f>=1a3482==477g9>6<7521=m70<6158;3c=::0;=659i;<0:5=4?n:95e?84>9j03;k52283f>=1a3482>=477g9~w73f03:1=ou224`3>464;2795;:5891897>fi323?63=8c:9<=4<5;2i;7672:?1;50k9145<4=3:a6?>?:2794o?5890897>e8323>63=8`d9<=4<5;2ji7672:?1;50hh145<4=3:b=?>?:2794oh5890897>em323>63=8cf9<=4<5;2io7672:?1;50k3145<4=3:a1?>?:279m:j5893897?1i323>6s|24c:>5n<4>021897gfi323?63=a`:9<=5<5;kio7673:?1egg=01901?om8;:;7>;5ik<145=4=3ca0?>?;279mo<5891897ge8323?63=a`g9<=5<5;kjo7673:?1ed0=0190q~<:a`83>a}::<3:?1edg=01:01?on8;:;4>;5iki145>4=3cae?>?8279mo65892897ge>323<63=ac69<=6<5;ki>7670:?1eg6=01:01?one;:;4>;5ihi145>4=3cb2?>?82wx>8om:18g842j:0:<>=4=3cbf?>?:279ml75890897gel323>63=ac`9<=4<5;ki57672:?1eg1=01801?om5;:;6>;5ik9145<4=3ca5?>?:279mlh5890897gfl323>63=a`59<=4mn4?:ey>60d328:8?63=a``9<=6<5;kj57670:?1egb=01:01?omb;:;4>;5ik3145>4=3ca3?>?8279mo;5892897ge;323<63=ac39<=6<5;kjj7670:?1edb=01:01?on7;:;4>{t:;5?o>14:h4}r06``<72:q6>8k9:0207>;5?o3145<4=35e0?>?92wx>8ji:180842m>0:<>=4=35ee?>?9279;k;586d8yv42m90;6>u224g;>464;279;ko58908971a=323=6s|24g2>5<4s48>i44>0218971aj323=63=7g49<2`i?4?:2y>60cf28:8?63=7g`9<=4<5;=m:7671:p60c42908w0<:ec82465<5;=mo7671:?13c1=0>l0q~<:e583>6}::<3:?13ce=01801?9i7;:;5>{t:6=4={<06fa<68:901?9k3;:;4>{t:{t:{t:{t:{t:{t:{t:{t:l<26=4j{<137dkk6:c4:?857;003;k52316`>=1a349;?847839>7522212:70=?4`8;<5=:;99<656?;<130==>5349;?i47819>755?21=m7p}=e7`94?`|5::8m7670:?0410=01:01>><9;:;5>;48=i145<4=3dff?d11278<>;589389663=32=01:01>>;3;:;4>;48:l145<4=2275?>?9278<>j586d896640323=6s|2d4`>5752121=m70=1a349;?:477g9>752?21=m70=?428;<4=:;99m659i;<1304>;5;:;6>;48=k145?4=2203?>?9278<96589389663;32><8;:;6>{t:l=;6=4>3z?1b06=0>l01?h;d;:4b>;5n<=14:h4=3d52?>0n279j;o586d897`1<32768f:?1b3>=0>l01?h9c;:4b>;5n?o14:h4=3d66?>0n279j8:586d897`2>324768f:?1b0g=0>l01?h:c;:4b>;5n;489i1n;74=3d7`?>?:279j895890897`1>323>63=f7c9<=4<5;l=87672:?1b36=01801?h92;:;6>;5n?2145<4=3d5g?>?:279j;k5890897`2:323>63=f469<=4<5;l>:7672:?1b0>=01801?h:a;:;7>;5n?;2wx?<;;:18584b0<034=522d:0>=>4348n4=47839>6`1a212870=?108a2<=::o?3656?;|q1a3b=83;9w0016>h6m:95e?857ij03;k522d:g>=>7348n5>47819>6`10212;70h9m:95e?84b?003;k522d:e>=1a348n58477g9>6`?021=m70;|q0505=83=p1?k75;:;6>;5m19145?4=2224?d11279j885892897c0l323?63=e929<=6<5;ov3=e979<=7<5;o3?7672:?1a=d=01:01>>nc;:;5>;5m1n145?4=3g:7?>?9279i:95893897c0l323=63=e939<=7<5;o;5m1l145<4=3g:1?>?:279i495890897c>9323>63=e8;9f3?<5:;j:7673:p6`?c290=w0=>43ty8=8850;5x9666;3h=563=e9;9<=4<5;l=<7670:?1a=d=01901?k7c;:;4>;5m1l145?4=3g:5?>?82wx??9279i5l58908966fk323<63=e9a9<=5<5;o2>7673:?1a20=01901?k8c;:;7>;5m1:145=4=3g4a?>?;278=om5b7;897c0j323863=e6;9<=2<5;o3j7674:?1a<3=01>01?k67;:;0>;5m0;145:4=23b2?>?:2wx?<;8:1848579=0i:4522g41>=>7348n4l47819>6`>d212970?;d;292~;5m1314:h4=3g;3?>?:278<=o5b7;897`3l323<63=e9`9<=7<5;o3h768f:p7432290=>5348n4k47819~w67e03:1=?u22d::>=>7348n4>47859>6`>f212870=?ab8;<7=::l2h656>;<0f=7h99:9:2?84b?j034<522d:3>=>6348n;h47809>6`1f212870h79:9:0?84b19034>5230`a>g0>349:m;47859~w67203:1;v3<0169f3?<5;l=87670:?1a=b=01901?k63;:;6>;5m1l145=4=3g:1?>?8279i4>58908yv56=h0;6:u23125>g0>348m:547819>6`?5212970h7?:9:2?xu49;323?63=e659<=4<5;o<57670:?1a<3=01901?k66;:;6>{t;8?26=48{<1340016>k89:9:3?84b1;034=522d:f>=>6348n5847809>6`?0212;70h7>57z?045?=j?301?h9e;:;4>;5m><145<4=3g4`?>0n279i:l5893897c00323>63=e849<=7756c2k<270h9i:95e?84b?h034?522d5:>=>43ty8=8m50;5x966703h=563=f7a9<=6<5;o<:7670:?1a2d=01:01?k89;:;5>;5m0>145?4=3g:3?>?;2wx?<;=:1848578o0i:4522d:0>=>7348m9947819>6`1d212970?:1;293~;489o1n;74=3d66?>?8279i:m5892897c?9323>63=e6d9<=4<5;o=01;0q~=>be83>1}:;8hh656>;<0f=<741d2k<270=?eb8;<7=:;9o2656<;<13a6?8e;290~;49>l1n;74=22fg?>?;2785<5s49;ii47809>741f2k<27p}<00f94?4|5:;;?7:77:?044c=j?30q~7}::oin65ki;<0eff01v?hna;296~;5nhk1n;74=3d`a?25<2wx>klm:18084aih0:<;:4=3d``?>bn279jol5b7;8yv4ai00;6>u22gc:>g0>348mml4;259>6cec2=8?7p}=fcc94?5|5;lj57??659>6ced21om7053z?1bd>=j?301?hn9;610>;5nji18?:4}r0ef<<72:q6>ko7:0250>;5njh14hh4=3da=?d112wx>ko8:18084ai>0i:4522gc;>143348moo4;259~w7`e03:1?v3=f`595503348mol47eg9>6cd?2k<27p}=f`494?5|5;lj:7l99:?1bd1=<;>01?hla;610>{t:oh<6=4<{<0ee3<68?>01?hl9;:fb>;5nk=1n;74}r0ee0<72:q6>ko::c4:?84ai?0?>9522ga:>1433ty9jo850;1x97`f=3;;:9522ga;>=ca348mn;4m689~w7`f<3:1?v3=f`69f3?<5;lj97:=4:?1bf>=<;>0q~6}::ok?6<>94:?1bf1=0ll01?hm5;`5=>{t:oh86=4<{<0ef6016>ko;:507?84ak>0?>95rs3d`1?6=;r79jo=51147?84al>03ik522ga6>g0>3ty9jo<50;1x97`e:3h=563=fc19072<5;lo;7:=4:p6ce32908w06}::oh:6o86;<0ef7<3:=16>kj9:507?xu5nj91<7=t=3da5?77>=16>kj::9ge?84ak:0i:45rs3da4?6=;r79jo>5b7;897`e93>9863=fe790726cd728:=863=fe69<``<5;lh>7l99:p6cga2908w0km>:c4:?xu5nho1<7=t=3dba?d11279jlh5436897`c;3>986s|2ga3>5<4s48mmh4>076897`c:32nj63=fb29f3?6cgc2k<27001v?hnc;297~;5nhi1n;74=3db`?25<279ji?54368yv4ajl0;6>u22gc`>461<279ji>58dd897`em3h=56s|2gca>5<4s48mmo4m689>6cgd2=8?7053z?1bdd=99;5nhh18?:4=3d`b?25<2wx>kl;:18084ai:0:<;:4=3d`2?>bn279jo:5b7;8yv56=l0;6>u22gc0>143348mo;4;259>743a2k<27p}=f6f94?4|5;l2576jf:?1b2c=j?30q~7}::o>96o86;<0e=<<3:=1v?h8b;297~;5n=81==8;;<0e==k9l:c4:?xu5n=;1<7=t=3d75?d11279j9<5436897`>03>986s|2g5:>5<4s48m8<4>076897`>?32nj63=f6c9f3?6c272k<27001v?hu22g1e>461<279j4;58dd897`0>3h=56s|2g1f>5<4s48m?h4m689>6c5a2=8?7053z?1b6c=99;5n:o18?:4=3d:0?25<2wx>k9>:18084a;m0:<;:4=3d:7?>bn279j:<5b7;8yv4a;j0;6>u22g1`>g0>348m?i4;259>6c?42=8?7p}=f7d94?5|5;l8o7??659>6c?521om7053z?1b1d=j?301?h;5n0818?:4}r0ek:m:0250>;5nh814hh4=3d:4?d112wx>k:n:18084a143348mm?4;259~w7`?l3:1?v3=f5c95503348mm<47eg9>6c>b2k<27p}=f5;94?5|5;l?57l99:?1b1g=<;>01?hn1;610>{t:o2i6=4<{<0e0<<68?>01?hn0;:fb>;5n1i1n;74}r0e0=<72:q6>k:7:c4:?84a<00?>9522gc3>1433ty9j5750;1x97`303;;:9522g;e>=ca348m4l4m689~w7`3?3:1?v3=f559f3?<5;l?47:=4:?1b<`=<;>0q~6}::o><6<>94:?1b{t:o>=6=4<{<0e03016>k:8:507?84a1l0?>95rs3d;1?6=;r79j9851147?84a1m03ik522g:5>g0>3ty9j9;50;1x97`3=3h=563=f549072<5;l2h7:=4:p6c>42908w06}::o>?6o86;<0e00<3:=16>k7l:507?xu5n1;1<7=t=3d70?77>=16>k7m:9ge?84a0;0i:45rs3d77?6=;r79j9=5b7;897`3<3>9863=f8`90726c2428:=863=f8c9<``<5;l3<7l99:p6c5f2908w0869<;;<0e=d<3:=1v?ki7;296~;5mo=1n;74=3d14?25<2wx>hh::18084bn<0i:4522dd4>143348m=k4;259~w7ca;3:1?v3=eg19f3?<5;om97:=4:?1b4c=<;>0q~6}::ll;6o86;<0fb6<3:=16>k?k:507?xu5mlo1<7=t=3gfa?d11279ik>5436897`6j3>986s|2dg`>5<4s48nin4m689>6`cb2=8?7053z?1a`g=j?301?kjc;610>;5n8318?:4}r0fa<<72:q6>hk6:c4:?84bmh0?>9522g3;>1433ty9j=950;1x97`7?3h=563=ed;95503348m=:47eg9~w7cb03:1?v3=ed:9f3?<5;on57:=4:?1b41=<;>0q~6}::o:=6o86;<0fa=<68?>01?h>6;:fb>{t:lo<6=4<{<0fa2016>hk7:507?84a9?0?>95rs3d31?6=;r79j=;5b7;897cb?3;;:9522g36>=ca3ty9ih850;1x97cb>3h=563=ed59072<5;l:97:=4:p6c632908w094:?1b42=0ll0q~6}::lo>6o86;<0fa3<3:=16>k?;:507?xu5n991<7=t=3d37?d11279ih;51147?84a9:03ik5rs3gf0?6=;r79ih:5b7;897cb=3>9863=f0190726c652k<27076jf:p6c672908w00;297~;5n8:1n;74=3d34?77>=16>k<6:9ge?xu5mol1<7=t=3geb?d11279j=>5436897`513>986s|2g2e>5<4s48m6``a28:=863=f3:9<``6``b2k<270;5moo1==8;;<0e62u22g2g>g0>348nji4>076897`5>32nj6s|2dd`>5<4s48njn4m689>6``c2=8?7053z?1b5e=j?301?kic;3321=::o8>65ki;|q1acd=839p1?kib;`5=>;5moi18?:4=3d11?25<2wx>k>m:18084a8k0i:4522dda>461<279j?:58dd8yv4bnh0;6>u22ddb>g0>348njo4;259>6c432=8?7p}=f1c94?5|5;l;m7l99:?1acg=9953z?1ac?=j?301?kia;610>;5n;918?:4}r0e4<<72:q6>k>6:c4:?84bn00:<;:4=3d16?>bn2wx>hh=:18084bn;0i:4522dd:>143348m>?4;259~w7`703:1?v3=f1:9f3?<5;om>7??659>6c7d21om7p}=ed194?5|5;on?7l99:?1ac4=<;>01?h>c;610>{t:o::6=4<{<0e44016>hk<:0250>;5n8;14hh4}r0e6`<72;q6>k:5b7;897`5m3;;:95rs3d0=?6=:r79j>75b7;897`5m3>o?6s|2g0g>5<5s48m>i4m689>6c4b2=8?7p}=f2194?4|5;l8?7l99:?1b7b=997}::o8h6o86;<0e6a<3:=1v?h<2;296~;5n:81n;74=3d1g?77>=1v?h<7;296~;5n:=1n;74=3d1g?2c;2wx>k1433ty9j>?50;0x97`493h=563=f3`955033ty9j>850;0x97`4>3h=563=f3`90a5l4?:3y>6c4f2k<27052z?1b66=j?301?h=a;3321=z{;l897>52z?1b63=j?301?h=a;6g7>{t:o?o6=4={<126=<30>16>k;j:c4:?xu481:1<71>0348m9n4m689~w660l3:1>v3=f8:9f3?<5;l>o7670:p6c3>2909w0=>2787<2=::o?j6o86;|q042g=838p1?h67;`5=>;5n4}r0e12<72;q6?<<::5:4?84a=10i:45rs2243?6=:r79j485b7;897`2032386s|2g76>5<5s49:>94;869>6c312k<27p}<06694?4|5;l297l99:?1b00=01>0q~7}:;8886968;<0e1101v>>81;296~;5n0>1n;74=3d60?>?<2wx>k;>:181856:;0?4:522g71>g0>3ty8<;k50;0x97`>;3h=563=f409<=274462=2<7052z?1b<4=j?301?h:0;:;0>{t:o16>k8j:c4:?xu48h;1<7k0;6?u2300e>1>0348m:n4m689~w66>m3:1>v3=f`39f3?<5;l=o7674:p6c0>2909w0=>2d87<2=::o;5n?k145:4}r0e22<72;q6?<10i:45rs22:5<5s49:>n4;869>6c012k<27p}<08794?4|5;l2i7l99:?1b30=01>0q~7}:;88i6968;<0e2101v>>62;296~;5n0n1n;74=3d50?>?<2wx>k8>:181856:h0?4:522g41>g0>3ty8<5h50;0x97`>k3h=563=f709<=2744>2=2<7052z?1b{t:o>n6=4={<1265<30>16>k;8:c4:?xu481?1<71>0348m8i4m689~w66103:1>v3=f839f3?<5;l?h7674:p75c72909w0=?dg87<2=:;9o?6o86;|q04`0=838p1>>j5;6;3>;48l=1n;74}r13ag<72;q6?=kn:5:4?857ml0i:45rs3df2?6=:r79jio5495897`b8339m6s|2gg6>5<5s48mho4;869>6cc720827p}=fd694?4|5;loo7:77:?1b`6=1;20q~7}::ono6968;<0ea5<>:>1v?hj2;296~;5nmo18594=3df4??5>2wx>kk>:18184alo0?4:522gg3><423ty9jh650;0x97`c03>3;63=fd29=1>6cb>2=2<7052z?05=g=<1=01?hle;`5=>{t;9=h6=4={<12<<<30>16>kmk:c4:?xu48>31<71>0348moo4m689~w660;3:1>v3<19490=1<5;lhm7l99:p75172909w0=>8487<2=::oi26o86;|q043b=838p1>?74;6;3>;5nj21n;74}r132d<72;q6?<6<:5:4?84ak>0i:45rs22b4?6=:r78=4<5495897`c?3h=56s|31;g>5<5s49:5<4;869>6cb12k<27p}<08c94?4|5:;2<7:77:?1ba3=j?30q~=?9683>7}:;82m6968;<0e`101v>>64;296~;491o18594=3dg7?d112wx?=7>:1818560m0?4:522gf1>g0>3ty8<5k50;0x967?k3>3;63=fe39f3?74>e2=2<7052z?05=4=<1=01?hlf;`5=>{t;9<<6=4={<12<4<30>16>km9:c4:?xu49?;1<7?<{<12<4<39h16?<6=:53b?8560k0?=l5230:`>17f349:4i4;1`9>74>b2=;j70=>8g875d=:;83;69?n;<12=4<39h16?<7=:53b?8560:0?=l5230:7>17f349:484;1`9>74>12=;j70=>86875d=:;82369?n;<12<<<39h16?<6n:53b?856>;0i:45rs220f?6=:r78=9:549589663>3h=56s|311:>5<5s49:8>4;869>755f2k<27p}<02494?4|5:;?>7:77:?046>=j?30q~=?3583>7}:;8>:6968;<137001v>>;b;296~;49=i18594=227g?d112wx?=:6:181856g0>3ty8<9950;0x9673i3>3;63<05:9f3?742>2=2<70=?448a2<=z{::?>7>52z?051>=<1=01>>;3;`5=>{t;9>;6=4={<1202<30>16?=:>:c4:?xu48:o1<7h5b7;8yv57;j0;6?u23066>1>0349;?i4m689~w664;3:1>v3<15290=1<5::8;7l99:p75552909w0=>3g87<2=:;9926o86;|q04g6=839p1>>l9;3376=::o:9659i;<0fa1<3l:1v>>l6;297~;48mo1===<;<0e55k>?:5f0?xu48j?1<7=t=22g`?77;:16>k>i:95e?84bno0?h>5rs22`0?6=;r781b43ty8522g2g>=1a348nji4;d29~w66d:3:1?v3<0ec95554348m6``d2=n87p}<0b394?5|5::o57??329>6c6e21=m7053z?04a>=999870>k3;3376=::o:2659i;<0fb<<3l:1v>>ma;297~;48j21===<;<0e4=hh=:5f0?xu48hl1<7=t=22`3?77;:16>k>>:95e?84bm:0?h>5rs22;=?6=:r785<5s49;h;4;869>6c7a2k<27p}<09594?4|5::o97:77:?1b4c=j?30q~=?8783>7}:;9n?6968;<0e5a01v>>72;296~;48m818594=3d2f?d112wx?=6>:181857l80?4:522g3b>g0>3ty8<:k50;0x966c83>3;63=f0;9f3?75ea2=2<7052z?04fc=<1=01?h>7;`5=>{t;9=>6=4={<13ga<30>16>k?9:c4:?xu48>81<7o0;6?u231aa>1>0348m=94m689~w661k3:1>v3<0bc90=1<5;l:?7l99:p750>2909w0=?c887<2=::o;96o86;|q04<`=838p1>>ke;6;3>;5n;31n;74}r13=f<72;q6?=jk:5:4?84a:10i:45rs22:=?6=:r785<5s49;ho4;869>6c412k<27p}<08194?4|5::om7:77:?1b73=j?30q~=?9183>7}:;9n26968;<0e6101v>>7d;296~;48m218594=3d17?d112wx?=6n:181857l:0?4:522g01>g0>3ty8<5=50;0x966d03>3;63=f0a9f3?75e02=2<7052z?0552=<1=01>>=1;`5=>{t;9;h6=4={<1247<30>16?=?i:c4:?xu48831<70;6?u23023>1>0349;=54m689~w66483:1>v3<11a90=1<5::8=7l99:p754b2909w0=>0c87<2=:;98m6o86;|q047e=838p1>??a;6;3>;48;n1n;74}r136d<72;q6?<>6:5:4?857:k0i:45rs2215<5s49:<:4;869>75402k<27p}<03694?4|5:;;:7:77:?0473=j?30q~=?2383>7}:;8:>6968;<136601v>>>6;296~;48ol18594=222e?d112wx?=?::181857nl0?4:52313`>g0>3ty817f348nh54;1`9>6`bd2=;j70hk?:53b?84bm80?=l522dg1>17f348noh4;1`9>6`ea2=;j70hj<:53b?84bl=0?=l522df6>17f348nh;4;1`9>6`b02=;j70=>6348n4:47819>6`>f212:70=?ab8a2<=::l2o656;;<0f=6h98:9:7?84b?m0349522d5e>=>3348n;l47819>6`1?212;70h7?:9:3?xu5m1<1<71>0348n444m689~w7c?i3:1>v3<1c390=1<5;o3m7l99:p6`>d2909w0=>b387<2=::l2h6o86;|q1a=c=838p1>?m3;6;3>;5m1o1n;74}r0f=5<72;q6?:3h=56s|2d;7>5<5s49:n;4;869>6`?32k<27p}=e8494?4|5:;i;7:77:?1a<0=j?30q~7}:;8k36968;<0f3301v?k88;296~;49h318594=3g4h9n:181856ih0?4:522d5b>g0>3ty9i:m50;0x967fj3>3;63=e6a9f3?74gd2=2<7052z?05db=<1=01?k70;`5=>{t:l296=4={<12e`<30>16>h6<:c4:?xu5m1>1<764e349:j54m689~w67am3:1>v3=fd29763<5:;mi7l99:p77672909w0;4:981n;74}r1141<72:q6?g0>3ty8>=850;1x967b?3>3;63=fd2976?<5:8;:7l99:p776?2908w0=>e987<2=::oo;6>=n;<114=01v>=o5b7;8yv558j0;6>u230gb>1>0348mi=4<3b9>776d2k<27p}<21g94?5|5:;nn7:77:?1b`6=;:n01>{t;8lj6=4<{<12a1<30>16>kk?:20`?856nh0i:45rs23eg?6=;r78=h;5495897`b8399h63<1ga9f3?77772=2<70=?4d8a2<=z{::>?7>52z?0647=<1=01>>:4;`5=>{t;9?>6=4={<1151<30>16?=;9:c4:?xu48<=1<71>0349;9l4m689~w662j3:1>v3<20590=1<5::>o7l99:p753c2909w0==1987<2=:;9?n6o86;|q040`=838p1><>9;6;3>;48?:1n;74}r1324<72;q6???n:5:4?857>;0i:45rs2257?6=:r78>5<5s499=?4;869>75372k<27p}<04394?4|5:8:?7:77:?0404=j?30q~=>c083>7}:;8i;6968;<0e6c01v?km5;296~;5mji18594=3dfa?d112wx>hm?:18184bkm0?4:522gd3>g0>3ty9in:50;0x97cc03>3;63=fg69f3?6`bd2=2<7052z?1aab=<1=01?hi6;`5=>{t:li<6=4={<0f``<30>16>kh8:c4:?xu5mj21<71>0348mjl4m689~w7cdi3:1>v3=ed390=1<5;lmn7l99:p6`ee2909w0;5no31n;74}r0ff2<72;q6>hmi:5:4?84anm0i:45rs3ga5495897`am3h=56s|2d`:>5<5s48nh<4;869>6c`a2k<27p}=ecc94?4|5;oo>7:77:?0456=j?30q~7}::ln86968;<134401v?kmc;296~;5mm>18594=2236?d112wx>hlk:18184bl<0?4:523120>g0>3ty9iok50;0x97cc>3>3;63=fdf9f3?6`b02=2<7052z?1aa?=<1=01?hi1;`5=>{t:li96=4={<0f`d<30>16>kh=:c4:?xu5mj91<7;48m91===:;<13`=<68:?01>>k9;3370=:;9nj6<><5:?04ad=999>70=?db82463<5::oh7??349>75bb28:8963<0b;95552349;ol4>0278966dj3;;?85231a`>464=278;4=22`b?77;<16?=j?:0201>;48m;1===:;<13`7<68:?01>>k4;3370=:;9n>6<><5:?04a0=999>70=?d682463<5:;h?7l99:p74e3290:8v3<10d95552349:>=4>0278967513;;?852300b>464=278=?l51116?856:j0:<>;4=231`?77;<16?<;49;l1===:;<1275<68:?01>?=1;3370=:;8896<><5:?0575=999>70=>2582463<5:;997??349>744128:8963<13595552349:>54>0278967d=3h=563<1719<=7464=278=5l51116?8560j0:<>;4=23;`?77;<16?<6j:0201>;491l1===:;<12=5<68:?01>?61;3370=:;8396<><5:?05=5=999>70=>8582463<5:;397??349>74>128:8963<19595552349:454>0278967?13;;?85230:b>464=278=n95b7;8yv56?=0;6;u22gg3>73e349:5<4>021897`e:3>o?63=e8f9<=6<5:;ih7670:?1bf2=0>l0q~=>7483>3}::oo;6?;l;<12=7<68:901?hm3;6g7>;5m0n145?4=23a`?>0n279jn;586d8yv56><0;6;u22gg3>72e349:4>4>021897`f<3>o?63=e8f9<=4<5:;ii7672:?1bg3=0>l0q~=>6783>3}::oo;6?:l;<12<1<68:901?hn5;6g7>;5m0n145=4=23aa?>?9279jo8586d8yv56>>0;6;u22gg3>72c349:484>021897`f>3>o?63=e8f9<=2<5:;ii7670:?1bg1=0>l0q~=>6983>3}::oo;6?:j;<12<3<68:901?hn7;6g7>;5m0n14:h4=23aa?>0n279jo6586d8yv56>00;64u22gg3>72a349:4:4>021897`f03>o?63=e7d9<=5<5::jn7673:?05gb=01901>?8d;:;7>;49>3145?4=3da=?>0n2wx?<8n:18:84am9099=5230:;>464;279jl754e1897c1m323?63<0``9<=7<5:;ih7672:?052b=01801>?89;:;4>;5nkk14:h4}r122g<720q6>kk?:372?856000:<>=4=3dbe?2c;279i;k58908966fj32l01?hmb;:4b>{t;8;48hh145>4=23aa?>?;278=:j5896896701323863=fca9<2`6`ed28:8?63=fg29<=76`ec28:8?63=fg69<=76`b?28:8?63=fg79<=76`bd28:8?63=fg49<=76`bc28:8?63=fg59<=76`bb28:8?63=fg:9<=76`ba28:8?63=fgc9<=76`c728:8?63=fg`9<=74?:3y>6`c628:8?63=fga9<=76`c528:8?63=fg;9<=76`eb28:8?63=fgf9<=76`ea28:8?63<1g;9<2`<5;lmi7671:p6`g62908w06}::ln:6<><3:?0657=0>l01>>?0;:;5>{t:lk86=4<{<0f`7<68:901>;489;145?4}r0fe1<72:q6>hj<:0207>;4:9?14:h4=2236?>?92wx>ho::18084bl=0:<>=4=2033?>0n278<==58938yv4bi>0;6>u22df5>464;278>=l586d897`bn323=6s|2dc;>5<4s48nh:4>02189647l326`b>28:8?63<21d9<2`<5;lm>7671:p6`ge2908w06}::lni6<><3:?05cb=0>l01?hje;:;5>{t:lk=6=4;{<0f`0<68:901>;5nlo145>4=3df`?>?92wx?=kn:1804~;48lk1===<;<0ea<kkl:9:1?84amh034?522gga>=>5349;=>47839>756f212970=?158;<7=:;9:=656=;<134<i:9:1?85799034?52312f>=>5349;<547839>756c212970=?0b8;3c=:;9;9656=;<13418:9:1?8578<034?5231g`>=>7349;ii477g9>742b212970=?108;<6=:;8<9656=;<12g0461<278=8h5893897`e<323<63=fcf9<=6<5;lii7670:?1bg`=01:01?hl0;:;4>;5nj;145>4=3d`6?>?8279jn=5892897`d<323<63=fb79<=6<5;li97670:?1bg0=01:01?hm7;:;4>;5nk2145>4=3da=?>?8279joo5892897`ej323<63=fca9<=6522gg:>=>4348min47829>6ccf21287052312:>=>4349;7577212870=?0d8;<6=:;9:3656<;<134al:9:2?8579;034>523127>=>4349;<:47829>7562212870=?eb8;3c=:;9oo656?;<120`:9:7?856>;034>5230a4>=>6349:o847819>75c028:=863<0d09<2`<5::n?7670:?050`=01901?hm4;:;0>;5nkn145<4=3daa?>?:279joh5890897`d8323>63=fb39<=4<5;lh>7672:?1bf5=01801?hl4;:;6>;5nj?145<4=3da1?>?:279jo85890897`e?323>63=fc:9<=4<5;li57672:?1bgg=01801?hmb;:;6>;5nki145<4}r13`c<72:;p1>>kf;3376=::oo2656>;<0eafkkn:9:2?84amk034<523130>=>7349;7573212;70=?078;<5=:;9:2656?;<134c=>7349;756d212;70=?138;<5=:;9:?656?;<1342::9:3?857mm034?52306f>=>7349;=<477g9>7405212?70=>c68;<7=:;8i>659i;<13a==1a349:9k47839>6cd3212:70;<0efckm?:9:2?84ak8034<522ga1>=>6348mo>47809>6ce3212:70656>;<0ef3kl8:9:2?84aj1034<522g`:>=>6348mnl47809>6cde212:7052z?0536=999870=>638;3c=z{:;8=7>53z?056`=999870=?0`8;<1=:;8>n656;;|q0560=838p1>?;0;3376=:;9;9656;;|q0561=838p1>?;5;3376=:;9;8656;;|q056>=838p1>?;6;3376=:;9;?656;;|q056?=838p1>?;7;3376=:;9:?656;;|q056g=838p1>?;8;3376=:;9:>656;;|q056d=838p1>?;9;3376=:;9:=656;;|q056e=838p1>?;a;3376=:;9:<656;;|q056b=838p1>?;b;3376=:;9:3656;;|q056c=838p1>?;c;3376=:;9:2656;;|q0564=838p1>?;1;3376=:;9:o656;;|q0565=838p1>?;2;3376=:;9:n656;;|q0562=838p1>?;3;3376=:;9:m656;;|q0563=838p1>?;4;3376=:;9;;656;;|q04``=839p1>>ie;3376=:;9:j656>;<120`>i5;296~;48ol1===<;<1357>i6;296~;499?1===<;<1356>i7;296~;499<1===<;<1351>i8;296~;499=1===<;<1341>i9;296~;49921===<;<1340>ia;296~;49931===<;<1343>ib;296~;499k1===<;<1342>ic;296~;499h1===<;<134=>id;296~;499i1===<;<134<>i0;296~;499:1===<;<134a>i1;296~;499;1===<;<134`>i2;296~;49981===<;<134c>i3;296~;49991===<;<1355>i4;296~;499>1===<;<1354?63;296~;5n=n145=4=23b1?77;:1v>?6c;296~;49h=1===<;<0e12?6d;296~;49k:1===<;<0e25?6e;296~;49k;1===<;<0e27?6f;296~;49k81===<;<0e21?n0;296~;49k91===<;<0e23?n1;296~;49k>1===<;<0e2=?n2;296~;49k?1===<;<0e2d?n3;296~;49k<1===<;<0e2f?n4;296~;49k=1===<;<0e2`?64;296~;49h21===<;<0e15?65;296~;49h31===<;<0e17?66;296~;49hk1===<;<0e11?67;296~;49hh1===<;<0e13?68;296~;49hi1===<;<0e1=?69;296~;49hn1===<;<0e1d?6a;296~;49ho1===<;<0e1f?6b;296~;49hl1===<;<0e1`??d;297~;498l1===<;<0f37k=m:95e?xu498<1<7=t=2314?77;:16>h9=:95e?84a0903;h5rs2323?6=;r78=?751110?84b?;034<522g:1>=1b3ty8=<650;1x9675i3;;?>522d51>=>5348m49477d9~w67613:1?v3<13`95554348n;?47829>6c>121=n7p}<10c94?5|5:;9o7??329>6`15212?7053z?057b=999870?=e;3376=::l=8659i;<0e?>d;297~;49;l1===<;<0f36k6j:95f?xu498o1<7=t=2304?77;:16>h9<:9:1?84a1903;h5rs233a?6=;r78=??51110?84b?:034>522g53>=1b3ty8==h50;1x9675:3;;?>522d50>=>3348m;?477d9~w67683:1?v3<13195554348n;947819>6c1321=n7p}<10394?5|5:;987??329>6`1321=m707>53z?0573=999870?=6;3376=::l=?656=;<0e3d?>4;297~;49;=1===<;<0f31k9l:95f?xu498?1<7=t=231h9;:9:7?84a?l03;h5rs22b`?6=:r785rs22a5?6=1b4349;8h47809~w66e:3:1;v3<1e690=1<5::hn7??329>6c52212;70=>5348m<8477g9>6`c02=n870=?578;<4=z{::i87>57z?05a>=<1=01>>ld;3376=::o9=656?;<0e74k>9:95e?84bm10?h>52317;>=>63ty83;63<0bg95554348m?;477g9>6c56212970>m6;292~;49mk18594=22`b?77;:16>k=8:9:3?84a;;034=52317`>=>6348nio477g9~w66e?3:1:v3<1e`90=1<5::o<7??329>6c5021=m70;<0faa>m8;292~;49mi18594=22g5?77;:16>k=7:9:3?84a;:034=523143>=>6348nik477g9~w66e13:1:v3<1ef90=1<5::o>7??329>6c5?21=m70;<0fb4>mb;292~;49mo18594=22g0?77;:16>k=6:9:3?84a;=034=523147>=>6348nj9477g9~w66ek3:1:v3<1ed90=1<5::o97??329>6c5>21=m70;<0fb3>md;293~;49m<18594=22g2?77;:16?=;=:9:2?84bn103;k522g0e>=>5349;m547809>75gb212:7p}<07794?4|5::=97??329>74e421297p}<1da94?4|5:8:<7??329>74`?21297p}<1dd94?4|5:8:=7??329>74`b21297p}<1g294?4|5:8:87??329>776721297p}<1g394?4|5:8:97??329>776521297p}<1g094?4|5:8::7??329>776321297p}<1g194?4|5:8:;7??329>776121297p}<1g694?4|5:8:47??329>776?21297p}<1g794?4|5:8:57??329>776f21297p}<1g494?4|5:8:m7??329>776d21297p}<1g594?4|5:8:n7??329>776b21297p}<1df94?4|5:8:>7??329>74`f21297p}<1dg94?4|5:8:?7??329>74`d21297p}<1b294?b|5:;h<7??329>74`c212:70=>fc8;<4=:;;:m656>;<114am:9:2?85580034<523324>=>63499<847809>7764212:70==008;<4=:;8lm656>;<12b<?l8;296~;49m>1===<;<12b=?lb;296~;49m?1===<;<12b`?lc;296~;49m21===<;<1145?ld;296~;49m31===<;<1147?le;296~;49mk1===<;<1141?lf;296~;49mh1===<;<1143?k0;296~;49mi1===<;<114=?k1;296~;49mn1===<;<114d?k2;296~;49mo1===<;<114f?k3;296~;49ml1===<;<114`?l9;296~;49m<1===<;<12bd?la;296~;49m=1===<;<12bf14:h4=3a77?d11279o4=586d897ef>32;5kh8145<4=3a:`?>?:279o475893897e>j323>63=c859<=6<5;i2>768f:p6dec290mw0no9:9:1?84d<<0i:4522b:e>=>6348h5k477g9>6fg3212970n76:9:1?84d1k034<522b;4>=1a348h5?47809~w7gdm3:1jv3=c869<=7<5;ij<768f:?1g10=j?301?m63;:;6>;5kh<145>4=3a;b?>0n279o4h5892897ef<32768f:?1g;5k0h145>4=3a:3?>?:279o4<58928yv4fkj0;6hu22bc3>=>6348h5>47819>6f232k<270no;:9:2?84d18034<522bc1>=>6348h5i477g9>6f?>212;70;<0`=7ol6:95e?84ek103;k522ca`>=1a348io;477g9>6ge521=m70oj?:95e?84ej=03;k522c`5>=1a348in5477g9>6db02k<270om?:95e?xu5k??1<7?<{<0af7n89:c4:?84eio034?522c`:>=>5348io547839>6ged212970omn:9:1?84ekl034?522cf3>=>5348in947839>6gd1212970olj:9:0?84ek9034>5rs3fbg?6=>r79mh95892897gb=323?63=ad09<=4<5;kn=7673:?1g3d=j?301?lma;:;4>{t:him6=4>2z?1e`1=0>l01?oj5;:4b>;5im:1n;74=3cf`?>0n279h=8586d897gbn323<63=ag79<=6<5;ko57670:?1ea`=01:01?oj3;:4b>;5il;145>4=3cg`?>0n279mil586d897ga932l01?jie;:;5>{t:mki6=48{<0ba2lk::9:2?84d>h0i:4522c`;>=>7348jhk47829>6dc5212;70513y>6dc0212:70lki:9:2?84fn<034<522`f:>=>6348jhk47809>6dc4212:70lh>:9:1?84fn>034?522`d:>=>5348jj>47839>6d`e2k<27056z?1ec`=j?301?ok0;:4b>;5l9?145:4=3fg0?>0n279hi<586d897b4l323<6s|2ecf>5<0s48h:i4m689>6dce212970lh>:9:2?84fn:034=5rs3g20?6=9;q6>lkm:9:7?84fm0034<522`gg>=>5348o<;47819>6dcb212870lk=:9:0?84fm9034>522d37>g0>348jhi47859>6dbe212?70lh<:9:7?84cnl034?5rs3fbb?6=?r79o;k5b7;897dd<323<63=ada9<=6<5;kni7672:?1ec3=0>l01?oi0;:;6>;5io9145?4}r0ge0<72?q6>lkm:95e?84fm0034?522b47>g0>348imk47819>6dcc212:7057z?1e`d=01901?oj9;:4b>;5jk3145>4=3a5g?d11279mhm5890897gbn323>63=ag39<=6;5io>145?4=3cg?9279mik5893897gb:323=63=ad29<=7<5;koo7673:?1eag=01901?oi0;:;7>;5io<145=4=3ce?;279mk<5891897c6;3h=563=dgg9<=26f3b2k<270lh>:9:0?84fn>034=522`d1>=>53ty9ho<50;5x97e183h=563=bbc9<=6<5;km87672:?1ea?=0>l01?oi6;:;6>;5io3145?4=3ce6?>?92wx>il<:18484d>80i:4522ca`>=>7348jj847829>6db>212970?8279mk:5892897ga8323=63=ag59<=7<5;km57670:?1ec5=0190q~2}::j<86o86;<0a`5lj7:9:1?84flo03;k522`fg>=>6348jhl47839>6d`?212:7p}=d`:94?1|5;i=;7l99:?1fg4=01:01?ok9;:;7>;5iml145<4=3cf5?>0n279mim5890897gcj323?6s|2e`7>5<0s48h:?4m689>6geb212;70lh9:9:2?84fn0034>5rs3fbe?6=?r79o;75b7;897gb=323<63=bc49<=6<5;koi7672:?1e`5=01:01?oj0;:;4>;5imi145?4}r0ge<<72>q6>n87:c4:?84ej=034=522`ff>=>7348ji>47839>6dc6212970;|q1a43=83>p1?k>4;:;5>;5ioh145=4=3g21?d11279i<=586d8yv4fnm0;6>u22`df>g0>348n=?47809>6ab3212;7p}=de194?2|5;no87l99:?1`6d=01801?j;4;:;6>;5l=:145:4}r0g`3<72=q6>i=m:9:3?84cl>0i:4522e67>=>4348o8=47809~w7bdm3:1>v3=d579<=7<5;no>7l99:p6f102909w0;5k8o1n;74}r0`4f<72;q6>n>l:c4:?84d;90?>95rs3a2`?6=;r79o=m51147?84d:o03ik522b3g>g0>3ty9o=l50;1x97e7j3h=563=c1a9072<5;i9j7:=4:p6f7d2908w06}::j:j6o86;<0`4g<3:=16>n=16>n9863=c3f90726f6>28:=863=c3a9<``<5;i:m7l99:p6f6?2908w09;297~;5k921==8;;<0`6gn?6:c4:?xu5k9=1<7=t=3a33?d11279o=65436897e5j3>986s|2b3;>5<4s48h<:4>076897e5i32nj63=c0:9f3?6f612k<27001v?m>5;297~;5k8?1n;74=3a32?25<279o?754368yv4d:>0;6>u22b36>461<279o>758dd897e5?3h=56s|2b37>5<4s48h=94m689>6f722=8?7053z?1g42=993;`5=>;5k8>18?:4=3a0n<::18084d9:0:<;:4=3a03?>bn279o?;5b7;8yv4d9;0;6>u22b31>g0>348h=>4;259>6f502=8?7p}=c3694?5|5;i:>7??659>6f5121om7053z?1g47=j?301?m>2;610>;5k:<18?:4}r0`66<72:q6>n?>:0250>;5k:?14hh4=3a17?d112wx>n??:18084d990i:4522b32>143348h?84;259~w7e5:3:1?v3=c0295503348h?947eg9>6f452k<27p}=c1d94?5|5;i;j7l99:?1g46=<;>01?m<4;610>{t:j8:6=4<{<0`4c<68?>01?m<3;:fb>;5k;;1n;74}r0`4`<72:q6>n>j:c4:?84d8o0?>9522b10>1433ty9o?>50;1x97e7m3;;:9522b11>=ca348h>=4m689~w7e7l3:1?v3=c1f9f3?<5;i;i7:=4:?1g64=<;>0q~6}::j:o6<>94:?1g67=0ll01?m>f;`5=>{t:j:>6=4<{<0`40016>n>k:507?84d;80?>95rs3a22?6=;r79o=;51147?84d:103ik522b35>g0>3ty9ho850;1x97e7=3>9863=c3:9072<5;ni;7l99:p6gba2909w0;5joh18?:4}r0a`a<72:q6>oo;:0250>;5jok14hh4=3`ga?d112wx>oo<:18084ei:0i:4522cc7>143348ijl4;259~w7dcj3:1?v3=b`195503348ij447eg9>6gbd2k<27p}=b`094?5|5;hj>7l99:?1fd5=<;>01?li9;610>{t:kn26=4<{<0ae7<68?>01?li8;:fb>;5jmk1n;74}r0ae4<72:q6>oo>:c4:?84ei;0?>9522cd;>1433ty9ni950;1x97df93;;:9522cd4>=ca348ih54m689~w7df83:1?v3=b`29f3?<5;hj=7:=4:?1fc1=<;>0q~6}::kk;6<>94:?1fc0=0ll01?lk6;`5=>{t:k3m6=4<{<0a=c016>oo?:507?84en?0?>95rs3`g7?6=;r79n4h51147?84en<03ik522cf7>g0>3ty9n4k50;1x97d>m3h=563=b8d9072<5;hm97:=4:p6gb62908w06}::kko6o86;<0a=`<3:=16>oh;:507?xu5jo;1<7=t=3`b`?77>=16>n>;:9ge?84en;0i:45rs3`bg?6=;r79nlm5b7;897dfl3>9863=c1690726ggd28:=863=c119<``<5;hm<7l99:p6gge2908w0okj:c4:?xu5jhk1<7=t=3`be?d11279nll5436897e7:3>986s|2cga>5<4s48iml4>076897e7932nj63=bda9f3?6gg>2k<27001v?ln8;297~;5jh21n;74=3`b=?25<279o=>54368yv4em>0;6>u22cc;>461<279nkh58dd897db03h=56s|2cc4>5<4s48im:4m689>6gg?2=8?7053z?1fd1=99;5jh=18?:4=3`ea?25<2wx>ok<:18084ei?0:<;:4=3`e`?>bn279nh:5b7;8yv4ei<0;6>u22cc6>g0>348im;4;259>6g`c2=8?7p}=bd394?5|5;hj97??659>6g`d21om7053z?1f;5joi18?:4}r0a1<<72;q6>o;6:c4:?84e0;0?>95rs3`63?6=;r79n895b7;897d213>9863=b9390726g322k<270;5jo;?:18084e=90i:4522c71>143348i;i4;259~w7d3m3:1?v3=b5g9f3?<5;h><7:=4:?1f2e=<;>0q~6}::k>h6o86;<0a0`<3:=16>o9m:507?xu5j=h1<7=t=3`7f?d11279n9m5436897d0i3>986s|2c4:>5<4s48i:44m689>6g2e28:=863=b6;9<``6g2f2k<270=839p1?l98;`5=>;5j=k1==8;;<0a3=>0;6>u22c44>g0>348i844>076897d0?32nj6s|2c6;>5<4s48i854m689>6g2>2=8?7053z?1f30=j?301?l;8;3321=::k==65ki;|q1f11=839p1?l;7;`5=>;5j=218?:4=3`42?25<2wx>o8::18084e><0i:4522c64>461<279n:;58dd8yv4eu22c65>g0>348i8:4;259>6g122=8?7p}=b7694?5|5;h=87l99:?1f10=997>53z?1f34=j?301?l;6;610>;5j>>18?:4}r0a37<72:q6>o9=:c4:?84e>;0:<;:4=3`;f?>bn2wx>o8>:18084e>80i:4522c41>143348i4o4;259~w7d093:1?v3=b639f3?<5;h==7??659>6g>f21om7p}=b7294?5|5;h=<7l99:?1f37=<;>01?l7a;610>{t:k=;6=4<{<0a35016>o8?:0250>;5j1314hh4}r0a1c<72:q6>o;i:c4:?84e>90?>9522c::>1433ty9n;h50;1x97d1n3h=563=b4d95503348i4547eg9~w7d2m3:1?v3=b4g9f3?<5;h>j7:=4:?1f=>=<;>0q~6}::k01?l77;:fb>{t:k?o6=4<{<0a1a016>o;j:507?84e0>0?>95rs3`5`?6=;r79n;j5b7;897d2l3;;:9522c:5>=ca3ty9n8m50;1x97d2k3h=563=b4f9072<5;h3:7:=4:p6g0d2908w094:?1f=3=0ll0q~6}::k?i6o86;<0a1f<3:=16>o6::507?xu5j?h1<7=t=3`5f?d11279n8l51147?84e0=03ik5rs3`60?6=;r79n8:5b7;897d2j3>9863=b9690726g0f2k<270=16>o9<:9ge?xu5j0:1<7g0>348i5=4>0768yv4e1k0;6?u22c;a>g0>348i5=4;d29~w7d?n3:1>v3=b9d9f3?<5;h2<7:=4:p6g?22909w094:p6g?f2909w0;5j1l18?:4}r0a=1<72;q6>o7;:c4:?84e0l0:<;:4}r0a=<<72;q6>o76:c4:?84e0l0?h>5rs3`;`?6=:r79n5j5b7;897d?m3>986s|2c;0>5<5s48i5>4m689>6g>c28:=86s|2c;;>5<5s48i554m689>6g>c2=n87p}=b9a94?4|5;h3o7l99:?1f=b=<;>0q~7}::k396o86;<0a0q~7}::k3<6o86;<0anjn:18184enk0i:4522ca3>=>73ty9noj50;0x97b0n3>3;63=bcg9f3?6g`f2k<27052z?1`2c=<1=01?lmc;`5=>{t:jn?6=4={<0ab<016>oll:9:3?xu5jk31<7g0>348inl47859~w7de?3:1>v3=d6a90=1<5;hi47l99:p6feb2909w0;5jk<1n;74}r0`gg<72;q6>oh9:c4:?84ej?03495rs3`a7?6=:r79h:o5495897de<3h=56s|2ba;>5<5s48ij84m689>6gd3212?7p}=bc394?4|5;n<57:77:?1fg4=j?30q~7}::kl?6o86;<0af7nhm:18184d8=0i:4522cf3>=>33ty9nnj50;0x97b??3>3;63=bbg9f3?6f642k<27052z?1`=0=<1=01?llc;`5=>{t:jl>6=4={<0`47016>oml:9:7?xu5jj31<7g0>348iol47859~w7dd?3:1>v3=d9690=1<5;hh47l99:p6fca2909w0;5jj<1n;74}r0`af<72;q6>ohi:c4:?84ek?03495rs3``7?6=:r79h5<5495897dd<3h=56s|2bg:>5<5s48ijh4m689>6ge3212?7p}=bb394?4|5;n3=7:77:?1ff4=j?30q~7}::klo6o86;<0ag7218594=3`a=?d112wx>nji:18184enj0i:4522c`:>=>33ty9nlk50;0x97b0?3>3;63=b`d9f3?6g`42k<27052z?1`6?=<1=01?j{t:m9m6=4={<0g7`<30>16>i:>:c4:?xu5l=91<7<4f348>>=47819~w7e4n3:1>v3=c2c9=7?<5;?:j7670:p6f5b2909w0;5=8n145>4}r0`7f<72;q6>n=n:805?8429j034=5rs3a0f?6=:r79o>o593789736j323<6s|2b61>5<5s48h?l46499>607f212;7p}=c5394?4|5;i8m77;7:?114?=01:0q~7}::mo96968;<0`7501v?mk6;296~;5ll;18594=3a1b?d112wx>nj<:18184cm90?4:522b0f>g0>3ty9oi>50;0x97bcn3>3;63=c3f9f3?6abb2=2<7052z?1`ab=<1=01?m=b;`5=>{t:ji<6=4={<0g`f<30>16>n1<71>0348h?44m689~w7ea?3:1>v3=dd;90=1<5;i847l99:p6f`32909w0;5k:<1n;74}r0`a`<72;q6>ik9:5:4?84d;<0i:45rs3aff?6=:r79hh;5495897e4<3h=56s|2bg;>5<5s48oi94;869>6f542k<27p}=cd794?4|5;nn?7:77:?1g64=j?30q~7}::mnj6968;<0`7401v?ml1;296~;5lm318594=3a1il6:1827~;5lm318:m63=dd7904g<5;nn:7:>a:?1``1=<8k01?jj8;62e>;5ll318:m63=def904g<5;noi7:>a:?1`a`=<8k01?jj0;62e>;5ll;181>0348hm=4m689~w7e>;3:1>v3=d8`90=1<5;i287l99:p6f?72909w0;5k1l1n;74}r0`e0<72;q6>io;:5:4?84di?0i:45rs3ab7?6=:r79hl=5495897ef<3h=56s|2bc2>5<5s48om?4;869>6fg52k<27p}=c8g94?4|5;nj=7:77:?1g<`=j?30q~7}::mk;6968;<0`=a01v?m6a;296~;5l0l18594=3a:f?d112wx>n77:18184c1l0?4:522b;:>g0>3ty9o4850;0x97b>l3>3;63=c859f3?6a??2=2<7052z?1`<1=<1=01?m63;`5=>{t:m:j6=4<{<0g66<68:901?l94;:4b>;5j=<18i=4}r0g65<72:q6>i=7:0207>;5j>814:h4=3`56?2c;2wx>i?i:18084c;>0:<>=4=3`45?>0n279n;?54e18yv4c9l0;6>u22e15>464;279n:>586d897d183>o?6s|2e3g>5<4s48o?84>021897d1n326a5328:8?63=b7g9<2`<5;h>i7:k3:p6a7e2908w06}::m996<><3:?1f3e=0>l01?l:c;6g7>{t:m;26=4<{<0g6a<68:901?l9b;:4b>;5ji<=:0207>;5j?k14:h4=3`60?2c;2wx>i>6:18084c:80:<>=4=3`57?>0n279n9;54e18yv4dm:0;6?u22e12>1>0348i4?4m689~w7eb:3:1>v3=d2290=1<5;h3=7l99:p6fc62909w0;5j>l1n;74}r0``f<72;q6>i5<5s48o>l4;869>6g1e2k<27p}=ce794?4|5;n957:77:?1f2g=j?30q~7}::m836968;<0a3<01v?mlf;296~;5l;=18594=3`4nml:18184c:?0?4:522c54>g0>3ty9on750;0x97b5=3>3;63=b649f3?6a432=2<7052z?1`75=<1=01?l84;`5=>{t:jl26=4={<0g7=<30>16>o6m:c4:?xu5ko<1<71>0348i444m689~w7ea83:1>v3=d2790=1<5;h347l99:p6fcc2909w0;5j1<1n;74}r0`a2<72;q6>i==:5:4?84e0<0i:45rs3af0?6=:r79h?j5495897d?<3h=56s|2bfg>5<5s48o>?4;869>6g1b2k<27p}=cb294?4|5;n9=7:77:?1f25=j?30q~7}::m?h6968;<0`3g01v?m86;296~;5ln9<:18184c=00?4:522b56>g0>3ty9o:?50;0x97b203>3;63=c609f3?6a032=2<7052z?1`35=<1=01?m79;`5=>{t:j2=6=4={<0g27<30>16>n68:c4:?xu5k1>1<71>0348h4>4m689~w7e?83:1>v3=d4d90=1<5;i3=7l99:p6f1b2909w0;5k>n1n;74}r0`35<72;q6>i;8:5:4?84d?=0i:45rs3a5b?6=:r79h885495897e0>3h=56s|2e22>5<60r79n?k540c897d5n3>:m63=b2c904g<5;h8i7:>a:?1f6`=<8k01?l;0;62e>;5j=;18:m63=b22904g<5;h8=7:>a:?1f64=<8k01?l<3;62e>;5j:>188540c897d4?3>:m63=b2:904g<5;h857:>a:?1f6d=<8k01?l;5j:n18io8:18184ci?0i:4522edf>=1a3ty9h==50;dx97gbj323=63=ad;9<=6<5;kno7671:?1`50=j?301?ojf;:;0>;5io?145:4=3cg=?>?<279mih5896897gb9323863=aea9<=6<5;kom7670:?1ec6=01:01?oi6;:;4>;5io2145>4=3ce6?>?82wx>lk7:18184cno0?4:522`g:>g0>3ty9mho50;0x97c703>3;63=ad`9f3?6`6>2=2<7052z?1a5g=<1=01?oje;`5=>{t:hl;6=4={<0f4g<30>16>lh?:c4:?xu5io81<71>0348jj94m689~w7ga>3:1>v3=e1g90=1<5;km:7l99:p6d`?2909w0=838p1?k?0;6;3>;5im21n;74}r0b`d<72;q6>h>>:5:4?84flh0i:45rs3cgg?6=:r79i=<5495897gck3h=56s|2`ff>5<5s48n<>4;869>6dbb2k<27p}=ad294?4|5;o;87:77:?1e`6=j?30q~7}::l:>6968;<0ba701v?oj4;296~;5m9<18594=3cf1?d112wx>lk9:18184b8>0?4:522`g4>g0>3ty9i9:50;0x97e4i399n63=e569f3?6f5f2:9>7052z?1g6g=;:<01?k;c;`5=>{t:l>n6=4={<0`7d<4;>16>h:j:c4:?xu5m<:1<75b7;8yv4b=;0;6?u22b1b>65>348n9?4m689~w7c2<3:1>v3=c2c976g<5;o>87l99:p6`312909w0=838p1?m;5m<21n;74}r0f1d<72;q6>n=n:21g?84b=h0i:45rs3g72?6=:r79o>o533a897c3>3h=56s|2d6;>5<5s48h?l4<2e9>6`2?2k<27p}=c`594?4|5;o>o7:77:?1gd>=j?30q~7}::l?o6968;<0`e`01v?mnf;296~;5m?:18594=3aa4?d112wx>nl>:18184b>80?4:522b`1>g0>3ty9oo=50;0x97c1:3>3;63=cc69f3?6`042=2<7052z?1a32=<1=01?mm8;`5=>{t:jh26=4={<0f20<30>16>nln:c4:?xu5kkh1<71>0348hnh4m689~w7ef13:1>v3=e4g90=1<5;ijm7l99:p6fge2909w08;6;3>;5j0;1n;74}r0a52<72;q6>o5<5s48i?l4;869>6f2b2k<27p}=b3594?4|5;h8i7:77:?1g1`=j?30q~7}::k9m6968;<0`1501v?l=9;296~;5j=:18594=3a65?d112wx>og0>3ty9n?l50;0x97d3:3>3;63=c469f3?n4?:3y>6g242=2<7052z?1f12=<1=01?m:6;`5=>{t:k;36=4={<0a75<30>16>n;<:c4:?xu5j831<71>0348h954m689~w7d6j3:1>v3=b2190=1<5;i>57l99:p6g7d2909w0;5ko=9:5:4?84d=j0i:45rs3`2b?6=:r79n>95495897e2l3h=56s|2c03>5<5s48i?54;869>6f202k<27p}=b3394?4|5;h857:77:?1g1?=j?30q~7}::k9i6968;<0`0g01v?l=4;296~;5j:i18594=3a7g?d112wx>o<::18184e;m0?4:522b6g>g0>3ty9i;4=3f16?77;<16>i;5l:81===:;<0g76<68:?01?j<4;3370=::m9>6<><5:?1`60=999>706a4428:8963=d3695552348o>84>027897b5>3;;?8522e04>464=279h?651116?84c:00:<>;4=3f1e?77;<16>i;5l;i1===:;<0g6`<68:?01?j=f;3370=::m9;6<><5:?1`67=999>70515y>6a1028:8963=d6:95552348o4<4>027897b?:3;;?8522e:0>464=279h5:51116?84c0<0:<>;4=3f;2?77;<16>i68:0201>;5l121===:;<0g3<<68:?01?j8a;3370=::m=i6<><5:?1`2e=999>706a1a28:8963=d9295552348n=i4m689>6ade212:7p}=e0g94?74s48oh44>027897bci3;;?8522eg0>464=279hh:51116?84cm<0:<>;4=3ff2?77;<16>ik8:0201>;5ll21===:;<0ga<<68:?01?jja;3370=::mni6<><5:?1`ae=999>706aba28:8963=dd295552348oi<4>027897bb:3;;?8522d3e>g0>3ty9hnm50;4x97e4i38>n63=dd;95554348h=94;d29>6d`a212;70;5llk1===<;<0`50<3l:16>lhi:9:2?84b9<03;k522b04>=1a3ty9hoj50;4x97e4i38?n63=de`95554348h<;4;d29>6d`a212970;5lmi1===<;<0`42<3l:16>lhi:9:0?84b9?034<522b3;>=1a3ty9hoh50;4x97e4i38?h63=def95554348h<54;d29>6d`a212?70;5lmo1===<;<0`4<<3l:16>lhi:95e?84b9?03;k522b3b>=1a3ty9hn?50;;x97e4i38?j63=ded95554348h6db6212870656<;<0g`0ij>:9:2?84d9k03;k5rs3f`6?6=1r79o>o5242897bb83;;?>522b2a>1b4348jh=47829>6a62212:70656=;<0g`4n?l:95e?xu5lj91<77t=3a0e?429279hh?51110?84d8j0?h>522`f3>=>5348o<8477g9>6`72212:70i>::9:3?84b9?034>522ef6>=>3348oh<47859>6f7b21=m7p}=dca94?1|5;i8m7<;a:?1`a?=999870h?8:9:1?84d9?03;k5rs3f`1?6=1r79o>o5246897bci3;;?>522b2g>1b4348jjh47809>6d`d212;70n?i:95e?xu5lj<1<7lt=3a0e?42=279hh=51110?84d8l0?h>522`df>=1a348jh<47839>6a63212870h?8:9:2?84d:903;k5rs3f`3?6=kr79o>o5244897bb<3;;?>522b2e>1b4348jh<47809>6a63212?70ij::9:3?84cl9034?522d34>=>7348h><477g9~w7bd03:1hv3=c2c9601<5;nn97??329>6f772=n870;<0bbgh?>:95e?84b9;034>522ef6>=1a348oh547809>6ab721=m70;5ll<1===<;<0`54<3l:16>lj>:95e?84c8=034?522d37>=1a348jjo47819>6`76212?70ij?:9:3?84d::03;k5rs3f`e?6=mr79o>o524;897bb?3;;?>522b31>1b4348jh=47809>6a6321=m70h?=:9:3?84cl=034?522ef4>=>6348oh<47829>6`7021=m705cz?1g6g=:i>;:9:3?84b9=034?522`da>=>3348n=>47819>6ab3212:700;296~;5j:o1===<;<0`151;296~;5j:l1===<;<0`142;296~;5j=:1===<;<0`173;296~;5j=;1===<;<0`114;296~;5j=81===<;<0`105;296~;5j=91===<;<0`136;296~;5j=>1===<;<0`16n;7:9:2?xu5j991<7=t=3`06?77;:16>h:m:95e?84d=0034<5rs3`30?6=;r79n>=51110?84b=>63ty9n=;50;1x97d4<3;;?>522d6e>=1a348h9o47809~w7d7>3:1?v3=b2795554348n9<477g9>6f3d212:7p}=b1594?5|5;h8:7??329>6`3421=m7053z?1f6>=9998702656>;|q1f5g=839p1?l<9;3376=::l?2659i;<0`0gn:l:9:2?xu5j9n1<7=t=3`0g?77;:16>h:8:95e?84dj51110?84b<003;k522b6;>=>63ty9n=650;6x97d4?3;;?>522d76>=1a348h8547819>6f20212:7p}=d5094?57s48o8?4>021897e3;323>63=c549<=4<5;i?87672:?1g13=01801?m9d;:;6>;5k?>145<4=3a5a?>?:279o;>5890897e1;323>63=c7;9<=4<5;i=m7672:?1g3>=01801?m92;:;6>;5k?=145<4=3a52?>0n279o;m5890897e2m323>63=c739<=4<5;i>j7672:?1`6d=01901?j;4;:;4>;5l=?14:h4=3f74?>?8279hl85890897e1j323?63=dcc9<=4<5;o:h7671:?1`g1=01;01?j;5k8<145>4=3a2b?>?8279o?>5892897e59323<63=c309<=6<5;i9?7670:?1g72=01:01?m=5;:;4>;5k;<145>4=3a13?>?8279o<95892897e60323<63=c0;9<=6<5;i:m7670:?1g4d=01:01?m>c;:;4>;5k8n145>4=3a2a?>?82wx>i=j:1805~;5l:o1===<;<0`06n:9:9:0?84d<=034>522b66>=>4348h:i47829>6f03212870n86:9:0?84d>h034>522b4;>=>4348h:?47829>6f00212870n8>:9:0?84d=o034>522e1a>=1a348o89477g9>6a22212;70iln:9:0?84b9o034<522d3g>=>7348o?n47829>6ad0212870n<>:9:1?84d:;034?522b00>=>5348h>947839>6f42212970n?7:9:1?84d90034?522b3b>=>5348h=o47839>6f7d212970;5k=?145?4=3a5`?>?8279o;:5892897e1m323<63=c729<=6<5;i=?7670:?1g3?=01:01?m9a;:;4>;5k?2145>4=3a56?>?8279o;95892897e1>323<63=c7a9<=6<5;i>i7670:?1g37=01:01?m:f;:;4>;5l=?145<4=3f74?>?:279hl85892897e1j32l01?j;5lk=145<4=3a22?>?9279o7671:?1g75=01;01?m=4;:;5>;5k;?145?4=3a12?>?9279o?95893897e6?323=63=c0:9<=7<5;i:57671:?1g4g=01;01?m>b;:;5>;5k8i145?4=3a2`?>?9279o464;279hoo586d8yv4c000;6>u22e;4>464;279o;:5896897bf>32386s|2e:f>5<5s48o554>021897e1k32386s|2e:e>5<5s48o5i4>021897e1l32386s|2e;3>5<5s48o5h4>021897e1m32386s|2e;2>5<5s48o5k4>021897e2m32386s|2e;1>5<5s48om=4>021897e2n32386s|2e;0>5<5s48om<4>021897e1832386s|2e;7>5<5s48om?4>021897e1932386s|2e;6>5<5s48om>4>021897e1:32386s|2e;5>5<5s48om94>021897e1;32386s|2e:b>5<5s48o544>021897e1?32386s|2e:a>5<5s48o5l4>021897e1032386s|2e:`>5<5s48o5o4>021897e1132386s|2e:g>5<5s48o5n4>021897e1i32386s|2e64>5<4s48o9;4>021897e1<323=63=d`49<=76a3028:8?63=c7a9<=76a3c28:8?63=c7f9<=76a3b28:8?63=c7g9<=76a3a28:8?63=c4g9<=76a0728:8?63=c4d9<=76a0628:8?63=c729<=74?:3y>6a0528:8?63=c739<=76a0428:8?63=c709<=76a0328:8?63=c719<=76a3?28:8?63=c759<=76a3>28:8?63=c7:9<=76a3f28:8?63=c7;9<=76a3e28:8?63=c7c9<=76a3d28:8?63=c7`9<=46gga2128706a`a28:8?63=bc;9<=56`6?28:8?63=bb09<=56`6>28:8?63=bb69<=56`6f28:8?63=bb49<=56`6e28:8?63=bb:9<=56`6d28:8?63=bbc9<=56`6c28:8?63=bba9<=56`6b28:8?63=bbg9<=56`6a28:8?63=be29<=56`6728:8?63=bc09<=56`6628:8?63=bc69<=56`6528:8?63=bc49<=56`6428:8?63=bc:9<=56`6328:8?63=bcc9<=56`6228:8?63=bca9<=26`6128:8?63=bcg9<=24?:3y>6`6028:8?63=bb29<=26a1028:8?63=ae69<=6<5;h2h768f:p6a0b2908w0o0q~6}::m2:6<><3:?1ea2=01;01?lj4;:4a>{t:m=;6=4<{<0g<7<68:901?ok4;:;6>;5jl<14:k4}r0g34<72:q6>i6<:0207>;5im>145=4=3`f0m2wx>i9=:18084c0=0:<>=4=3cg0?>?<279nho586g8yv4c?:0;6>u22e:6>464;279mi;5892897dbk325<4s48o4;4>021897gc=326a>028:8?63=ae79<=7<5;hm<768e:p6a112908w0o0q~6}::m=26<><3:?1ea3=01901?lk2;:4a>{t:m<<6=4<{<0g3d<68:901?ok5;:;0>;5jm>14:k4}r0g2=<72:q6>i9m:0207>;5im<145>4=3`g2?>0m2wx>i86:18084c?j0:<>=4=3cg2?>0n279ni6586g8yv4c>h0;6>u22e5g>464;279mi85893897dci325<4s48o;h4>021897gc>323>63=bea9<2c6a1a28:8?63=ae49<=5<5;hoi768e:p6a0c2908w0o0q~7}::m:9656?;<0g42<68:90q~1}::m8?6<><3:?1f33=0>l01?l;7;6g7>;5kh2145?4}r0g4f<72>q6>h=4=3`:3?>?8279n4<5892897d1>32<3:?1f<1=0>l01?l62;:;6>;5j?=14:h4=3`7=?2c;279oo>58938yv4c8l0;6:u22d13>1>0348o>:4>021897d>0323<63=b819<=6<5;h=4768f:?1f1g={t:m:m6=48{<0f74<30>16>i<7:0207>;5j0214:h4=3`:7?>?:279n;7586d897d3j3>o?63=cc69<=76`552=2<70;5j=n14:h4}r0g54<72?q6>h=<:5:4?84c:h0:<>=4=3`:=?>0n279n4:5890897ee0323=63=b5d9<2`6`532=2<70;5j<;14:h4}r0g56<72?q6>h=::5:4?84c:j0:<>=4=3`:e?>0n279n4;5890897eek323=63=b419<2`6`512=2<70;5j<<14:h4}r0g53<72?q6>h=8:5:4?84c:o0:<>=4=3`:f?>0n279n485890897efi323=63=b4:9<2`6`4b2=2<70l01?l61;:;6>;5l98145?4=3f3?92wx>nli:18184djo0:<>=4=3g2f?>?:2wx>h=7:18184b=j0:<>=4=3g70?>?:2wx>h=m:18184b=m0:<>=4=3g7e?>?:2wx>h=l:18184b>90:<>=4=3g7g?>?:2wx>h=k:18184b>80:<>=4=3g7a?>?:2wx>h=j:18184b>;0:<>=4=3g64?>?:2wx>h=i:18184b>:0:<>=4=3g66?>?:2wx>h:?:18184b>=0:<>=4=3g60?>?:2wx>h:>:18184b><0:<>=4=3g62?>?:2wx>h:=:18184b>?0:<>=4=3g6?:2wx>h:<:18184b>>0:<>=4=3g6e?>?:2wx>h=6:18184b=l0:<>=4=3g72?>?:2wx>h=n:18184b=o0:<>=4=3g7?:2wx>h?7:18g84b910:<>=4=3g7=?>?9279i995893897c2j323=63=e4;9<=7<5;o>;7671:?1a03=01;01?k:3;:;5>;5m<;145?4=3g7b?>?9279i9j5893897c3j323=63=e579<=7=4?:3y>6`4d28:8?63=e569<2`>4?:3y>6`4c28:8?63=e5c9<2`94?:3y>6`5728:8?63=e5a9<2`84?:3y>6`5628:8?63=e5g9<2`;4?:3y>6`5528:8?63=e429<2`:4?:3y>6`5428:8?63=e409<2`54?:3y>6`5328:8?63=e469<2`44?:3y>6`5228:8?63=e449<2`l4?:3y>6`5128:8?63=e4:9<2`o4?:3y>6`5028:8?63=e4c9<2`<4?:3y>6`4b28:8?63=e549<2`?4?:3y>6`4a28:8?63=e5:9<2`i4?:dy>6=`121=m70<7a48a2<=::1l>659i;<0:4=5h>:9:1?84>88034<522825>=>73483j>47819>6<63212970<7fg8;<7=::1li656>;<0;ba5h6:9:3?84?n=03;k5rs351b?6=nr794k85892897?7:323<63=8g79<=7<5;3;47672:?1;519;14:h4=3;32?>?:2794k=5890897?7<323<63=8gd9<=6<5;2mn7672:?1;50o>145?4}r0475<72oq6>5h9:9:2?84>8;03;k5229c;>g0>3483j847839>6<6?212;70<7f08;3c=::0::656?;<0:435h<:95e?84>8=03;k5229de>=>63483jo477g9>6=`c212;70<7f88;<7=::1l?656?;|q137c=83op1?7?2;:;5>;50o?145>4=3:b2?d112795=65893897>a9323<63=9139<=4<5;3;:7671:?1;50ol14:h4=3:ef?>?82794kj586d897>a1323=63=8g69<=46<32l01?6=e;:4b>;50;214:h4=3:10?>0n2794?8586d897>5k32768f:?1<40=0>l01?6>8;:4b>;508k14:h4=350=?d1127946m32768f:p6=e0290:?v3=8069<=4<5;2h47l99:?1<47=01801?6>b;:;6>;50;k145<4=3:1a?>?:2794?65890897>5<323>63=8349<=4<5;29o7672:?1<66=01801?6<2;:;6>;508<145<4=3:2?:27946k323>63=80g9<=5<5;29<7673:?1<74=0190q~3}::>>2656?;<0402::;:9:1?840<:034>5229ag>g0>3483=n47819~w71493:1=?u2266:>=1a348<8:477g9>62552k<270<84g8;3c=::0<3659i;<0414:;8:9:3?840;k034=522662>=>7348<88477g9>6224212;70<83g8;3c=::>9o659i;<0416:;6:95e?840=k03;k522676>=1a348j:=47809~w7g7l3:1;v3=75;9<=4<5;=?;7671:?1a;:;4>;5?=;145=4=3570?>?8279;9<58908yv40=j0;6<?9279;99589089713n323<63=97:9<=7<5;=>=7671:?1301=01;01?9;5?=;145?4=3571?>?9279;9=589389714n323>63=72f9<=4<5;=>?7672:?130?=01801?9:b;:;6>;5?58918yv40>80;6;u22642>g0>3486<00212?700;293~;50jl1n;74=357`?>?:2794?:589289713n323?63=7429<=6<5;=>?7671:?1303=01:0q~44|5;=?h7674:?131d=01;01?9;f;:;6>;51?2145>4=3564?>?;279;88589189714i323?63=7529<=5<5;=?87673:?1314=01901?o86;`5=>;5?:l145:4=350`?>?<279;8=5896897121323863=74`9<=2<5;=>97674:?1e36=0180q~2}::1n;6o86;<0;63::j:9:3?840=9034?522674>=1a348<9?47839>6232212:7p}=a1594?0|5;=?h768f:?131d=01801?6l6;`5=>;508;145>4=357b?>?9279;8?586d8yv4f8o0;6:u2266g>=>4348<8o477g9>6=7e212;70<7cd8a2<=::>>n656=;<0414:;<:9:3?xu5i>81<7?={<040a::8:9:7?84052284;>=>5348<9=47809>6231212:70<83`8;<4=::>>;656>;<0401::=:9:2?840;l034>52261`>=>4348<9?47829>623?212870<85`8;<6=::>??656<;<0b30016>l8?:9:7?xu5i881<79t=3:`4?d112794?65892897129323?63=7459<=4<5;=>?7673:?130?=01:01?9:4;:;6>{t:h;?6=48{<0;g7016>5=1a348<9547839>623e212:70<8558;<4=z{;k:97>57z?1;5?<=145=4=350f?>?:279;>j5892897121323?63=74c9<=44?:6y>6=e62k<270<72`8;<5=::>?=656?;<0417:;6:9:2?840=k034=522676>=>43ty9m<950;5x97>d=3h=563=8209<=6<5;=8m7672:?1317=0>l01?9;5?:i145<4=356e?>?92wx>l>n:18484?k00i:4522937>=>73486226212970<8428;3c=::>9n656=;<047a6;293~;50j>1n;74=3:04?>?8279;>o589289714n323<63=72f9<=7<5;=>47671:?130d=0190q~2}::1ii6o86;<04025?7:9:3?840<9034?522666>=>7348<8?47819>625b212:7p}=a1`94?1|5;2hm7l99:?1<40=01:01?9;0;:;4>;5?=?145<4=3577?>?:279;>h589189714k323=6s|2`54>5<3s48j;;47809>623c212870659i;|q130`=839p1?990;`5=>;5i>>145?4=3c02?>?82wx>l=::18784f;?0i:45228;g>=>53482m;47839>6;51h8145?4}r0b75<72;q6>4o8:9:2?84f;=0i:45rs3:g=?6=:r795oj5495897>ci3h=56s|29:3>5<5s4835?47eg9>6=>72k<27p}=87g94?4|5;2=i7l99:?1<<4=<;>0q~<77g83>6}::194:?1<<7=0ll01?68f;`5=>{t:1016>58j:507?84?180?>95rs3:4a?6=;r794;j51147?84?1903ik52295f>g0>3ty94;m50;1x97>1k3h=563=87f9072<5;22<7:=4:p6=1c2908w0<76b82432<5;23j76jf:?1<2b=j?30q~<76c83>6}::156i:507?xu50>i1<7=t=3:5f?77>=16>56j:9ge?84??j0i:45rs3:5e?6=;r794;o5b7;897>1j3>9863=89g90726=0f28:=863=89f9<``<5;22908w0<7688a2<=::159n:c4:?xu50?21<7=t=3:5?k3>986s|295:>5<4s483:54>076897>?j32nj63=86;9f3?6=102k<270<7698761=::12i69<;;|q1<=?=839p1?687;3321=::13i65ki;<0;<<01v?686;297~;50><1n;74=3:43?25<27944l54368yv4?010;6>u22955>461<27944o58dd897>?03h=56s|2956>5<4s483;84m689>6=112=8?70<79`8761=z{;23;7>53z?1<23=99;50>?18?:4=3::=?25<2wx>569:18084??=0:<;:4=3::bn2794585b7;8yv4??:0;6>u22950>g0>3483;94;259>6=??2=8?7p}=89794?5|5;26=?021om70<7848a2<=z{;2<>7>53z?1<24=j?301?683;610>;500=18?:4}r0;<1<72:q6>59=:0250>;500<14hh4=3:;0?d112wx>59>:18084??80i:4522951>14334835;4;259~w7>?;3:1?v3=8639550334835847eg9>6=>42k<27p}=86294?5|5;2<<7l99:?1<27=<;>01?665;610>{t:1296=4<{<0;35<68?>01?664;:fb>;50181n;74}r0;2c<72:q6>58i:c4:?84??90?>95229;7>1433ty945?50;1x97>1n3;;:95229;0>=ca34834<4m689~w7>1?3:1?v3=8759f3?<5;2=j7:=4:?1<<5=<;>0q~<77983>6}::1<<6<>94:?1<=g=0ll01?688;`5=>{t:h;36=4<{<0;22<3:=16>56n:507?84f900i:45rs3:75?6=:r7948j58dd897>3:3h=56s|2925>5<5s483<;4m689>6=3c2=8?7p}=82d94?5|5;2;:7??659>6=3d21om70<7418a2<=z{;2;97>53z?1<53=j?301?6?6;610>;505>::0250>;505>;:18084?8=0i:4522926>14334839o4;259~w7>4j3:1?v3=8169550334839l47eg9>6=5d2k<27p}=81194?5|5;2;?7l99:?1<52=<;>01?6:a;610>{t:1926=4<{<0;46<68?>01?6:9;:fb>;50:k1n;74}r0;47<72:q6>5>=:c4:?84?8:0?>952297:>1433ty94>950;1x97>7:3;;:952297;>=ca3483?54m689~w7>793:1?v3=8139f3?<5;2;>7:=4:?1<0>=<;>0q~<73483>6}::1::6<>94:?1<01=0ll01?6<6;`5=>{t:1:;6=4<{<0;45016>5>>:507?84?=>0?>95rs3:07?6=;r794=>51147?84?=?03ik522917>g0>3ty94=h50;1x97>7n3h=563=8129072<5;2>:7:=4:p6=342908w0<70g82432<5;2=:76jf:?1<02=j?30q~<70d83>6}::1:n6o86;<0;4c<3:=16>589:507?xu50<;1<7=t=3:3a?77>=16>58::9ge?84?=;0i:45rs3:3`?6=;r794=j5b7;897>7m3>9863=87790726=6c28:=863=8769<``<5;2><7l99:p6=6d2908w0<70b8a2<=::1:o69<;;<0;21<3:=1v?6;d;297~;509i1==8;;<0;265:j:c4:?xu509h1<7=t=3:3f?d112794=m5436897>1;3>986s|296a>5<4s483076897>1:32nj63=85a9f3?6=6f2k<270<70c8761=::1<969<;;|q1<1?=839p1?6?a;3321=::1<:65ki;<0;0d01v?6?9;297~;50931n;74=3:3e?25<2794;?54368yv4?<>0;6>u2292:>461<2794;>58dd897>303h=56s|292;>5<4s483<54m689>6=6>2=8?70<7618761=z{;2?97>53z?1<5>=99=6o86;|q1<51=839p1?6?7;`5=>;509218?:4=3:6b?25<2wx>5:<:18084?8>0:<;:4=3:6a?>bn27949:5b7;8yv40nl0;6>u226df>g0>3483<:4;259>6=3b2=8?7p}=7c`94?4|5;=in7l99:?13`2=<;>0q~<8b883>6}::>h26o86;<04fg<3:=16>:k<:507?xu5?k=1<7=t=35a3?d11279;o754368971b:3>986s|26`7>5<4s4862d02=8?70<8e08761=z{;=i>7>53z?13g4=j?301?9m4;610>;5?ml18?:4}r04f5<72:q6>:l?:c4:?840j;0?>95226ff>1433ty9;lk50;1x971fm3h=563=7c29072<5;=oh7:=4:p62gc2908w0<8ae8a2<=::>kn69<;;<04`f<3:=1v?9lb;297~;5?jh1n;74=35b`?77>=16>:jm:9ge?xu5?hi1<7=t=35bg?d11279;lj54368971cj3>986s|26ab>5<4s4862gd28:=863=7ec9<``62ge2k<270<8ab8761=::>nj69<;;|q13f?=839p1?9l9;`5=>;5?hh1==8;;<04`<u226a;>g0>3480768971c032nj6s|26c:>5<4s4862gf2=8?70<8d98761=z{;=h;7>53z?13f1=j?301?9n9;3321=::>n<65ki;|q13d>=839p1?9n8;`5=>;5?h318?:4=35g3?25<2wx>:m9:180840k?0i:45226c;>461<279;i858dd8yv40k=0;6>u226a7>g0>34862b12=8?7p}=7e694?5|5;=o87l99:?13f2=9953z?13f5=j?301?9l4;610>;5?ln18?:4}r04`6<72:q6>:j<:c4:?840k:0:<;:4=35fg?>bn2wx>:m=:180840k;0i:45226a0>1433487??659>62ce21om7p}=7b394?5|5;=h=7l99:?13f4=<;>01?9jb;610>{t:>n:6=4<{<04`4016>:m>:0250>;5?lk14hh4}r04g5<72:q6>:m?:c4:?840k80?>95226gb>1433ty9;i>50;1x971c83h=563=7b2955033480q~<8cg83>6}::>im6o86;<04fc<68?>01?9j8;:fb>{t:>hn6=4<{<04f`016>:li:507?840m10?>95rs35`a?6=;r79;nk5b7;8971em3;;:95226g4>=ca3ty9;oj50;1x971el3h=563=7cg9072<5;=n;7:=4:p62ec2908w0<8ce8a2<=::>ho6<>94:?13`0=0ll0q~<8b783>6}::>h=6o86;<04fa<3:=16>:k9:507?xu5?ji1<7=t=35`g?d11279;o851147?840m903ik5rs35b3?6=;r79;l95b7;8971e>3>9863=7d2907262e22k<270<8a682432<5;=o976jf:p62`52909w0<8f38a2<=::>l8656>;|q13c>=838p1?9i8;`5=>;5?o81==8;;|q13cb=838p1?9id;`5=>;5?o818i=4}r04b4<72;q6>:h>:c4:?840n;0?>95rs35e3?6=:r79;k95b7;8971a93;;:95rs35eg?6=:r79;km5b7;8971a93>o?6s|26d3>5<5s4862`62=8?7p}=7g494?4|5;=m:7l99:?13c6=997}::>om6o86;<04b5<3:=1v?9i5;296~;5?o?1n;74=35fb?77>=1v?9ia;296~;5?ok1n;74=35fb?2c;2wx>:kj:181840ml0i:45226ge>1433ty9;k:50;0x971a<3h=563=7dg955033ty9;k750;0x971a13h=563=7dg90a5<4?:3y>652z?1<0b=j?301?6=2;:;4>{t:1;m6=4={<0:a4<30>16>558928yv4?9m0;6?u228g3>1>03483=h4m689~w7?4>3:1>v3=84`9f3?<5;2:i7670:p6=7e2909w0<6dg87<2=::1;h6o86;|q1=65=838p1?6:a;`5=>;508i145:4}r0;5<<72;q6>4jj:5:4?84?9h0i:45rs3;04?6=:r794875b7;897>6i32386s|2934>5<5s482hi4;869>6=7?2k<27p}=93f94?4|5;2>47l99:?1<4>=01>0q~<71483>7}::0nh6968;<0;5301v?7=a;296~;50<=1n;74=3:22?>?<2wx>5?<:18184>lk0?4:522937>g0>3ty95?950;0x97>2>3h=563=8069<=26h7>52z?1<30=j?301?6<2;:;0>{t:18m6=4={<0:a<<30>16>5=?:c4:?xu51>58968yv4?:m0;6?u228g;>1>03483>h4m689~w7?2?3:1>v3=8769f3?<5;29i7674:p6=4e2909w0<6e687<2=::18h6o86;|q1=02=838p1?693;`5=>;50;i145:4}r0;6<<72;q6>4k9:5:4?84?:h0i:45rs3;65?6=:r794;<5b7;897>5i32386s|2904>5<5s482i84;869>6=4?2k<27p}=95g94?4|5;2==7l99:?1<7>=01>0q~<72483>7}::0o?6968;<0;6301v?7;b;296~;50?:1n;74=3:12?>?<2wx>5<<:18184>m:0?4:522907>g0>3ty959650;0x97>2n3h=563=8369<=2652z?1<0c=j?301?6>b;:;0>{t:1;;6=4={<0:`<<30>16>5?>:c4:?xu51;>1<71j0;6?u228;a>1>034825h4m689~w7?f93:1>v3=9`290=1<5;3j?7l99:p6;5=;:14:h4}r0;e4<72:q6>57l:80:?8429o03;k52243;>1b43ty94l>50;1x97>>k339463=50g9<2`<5;?:;7:k3:p6=?a2908w0<79b8:62=::<;o659i;<0653<3l:1v?66e;297~;500i15?84=372g?>0n2799<;54e18yv4?1m0;6>u229;`><42348>=o477g9>60732=n87p}=8`694?5|5;22o77;8:?114g=0>l01?;>3;6g7>{t:1k86=4<{<0;=f<><>16>8?6:95e?8429;0?h>5rs3;0f?6=:r79m9:5495897>>:3h=56s|281;>5<5s48j8>4;869>6=?62k<27p}=92794?4|5;k?>7:77:?1<<6=j?30q~<63383>7}::h>:6968;<0;01v?7=f;296~;5i=:18594=3:;a?d112wx>4g0>3ty95?750;0x97g4m3>3;63=89a9f3?;4?:3y>6d5c2=2<70<78c8a2<=z{;3>o7>52z?1e1e=<1=01?66b;`5=>{t:0?26=4={<0b0g<30>16>57n:c4:?xu51<<1<7=:0;6?u22`6:>1>03483554m689~w7?283:1>v3=a5:90=1<5;22;7l99:p6<2c2909w0;500?1n;74}r0:02<72;q6>l:::5:4?84?1=0i:45rs3;74?6=:r79m>m5495897>>;3h=56s|2800>5<5s48j?o4;869>6=>f2k<27p}=a0`94?74s48j?o4;1`9>6d5d2=;j70=69?n;<0b02<39h16>l:7:53b?84f<00?=l522`6b>17f348j8o4;1`9>6d2d2=;j70l:?:53b?84f<80?=l522`61>17f348j8>4;1`9>6d232=;j7052z?1=cc=<1=01?7?2;`5=>{t:1l>6=4={<0:ba<30>16>5h9:c4:?xu50o81<71>03483j<4m689~w7?7?3:1>v3=a1490=1<5;3;47l99:p6<622909w0;519>1n;74}r0:45<72;q6>l><:5:4?84>880i:45rs3:ea?6=:r79m=<5495897>an3h=56s|29d`>5<5s48j<<4;869>6=`c2k<27p}=8gc94?4|5;k;<7:77:?17}::0lm6968;<0;b<01v?6jf;296~;51ok18594=3:e7?d112wx>5kj:18184>n00?4:5229d6>g0>3ty95;m50;1x97??=3;;?>5226a5>=1a34862e32=n87p}=99394?5|5;3257??329>62b421=m70<8c287`6=z{;33<7>53z?1=<>=999870<8d38;3c=::>i969j<;|q1=2`=839p1?767;3376=::>n:659i;<04g4<3l:1v?78e;297~;510<1===<;<04`5:m?:5f0?xu51>n1<7=t=3;:1?77;:16>:mi:95e?840jo0?h>5rs3;4g?6=;r7954:51110?840kl03;k5226`f>1b43ty95:l50;1x97??n3;;?>5226ag>=1a3483:1?v3=9969555434862d12=n87p}=97`94?5|5;33?7??329>62e221=m70<8a687`6=z{;3?97>52z?1=<5=<1=01?9j4;`5=>{t:0>?6=4={<0:=7<30>16>:k<:c4:?xu51=91<7<;0;6?u228;3>1>0348v3=99g90=1<5;=oj7l99:p6<5c2909w0<68e87<2=::>nn6o86;|q1=6g=838p1?77c;6;3>;5?mn1n;74}r0:72<72;q6>46m:5:4?840lj0i:45rs3;00?6=:r7955o54958971cj3h=56s|2812>5<5s482444;869>62bf2k<27p}=93g94?4|5;3347:77:?13a?=j?30q~<62c83>7}::02<6968;<04`=01v?7=8;296~;511<18594=35g3?d112wx>4<::18184>0<0?4:5226f5>g0>3ty958l50;0x97?>i3>3;63=7df9f3?62=2<70<8eb8a2<=z{;3>97>52z?1=<>=<1=01?9jb;`5=>{t:0?96=4={<0:=2<30>16>:kn:c4:?xu51=l1<71>0348v3=98690=1<5;=n;7l99:p6<212909w0<68g87<2=::>o=6o86;|q1=6`=838p1?774;6;3>;5?l:1n;74}r0:67<72;q6>46<:5:4?840l<0i:45rs3:gg?6=:r795ok5495897>cl3h=56s|29f;>5<5s482nn4;869>6=be2k<27p}=8e794?4|5;3in7:77:?17}::0hj6968;<0;`101v?6jc;296~;51j<18594=3:f`?d112wx>5kn:18184>k<0?4:5229ga>g0>3ty94h650;0x97?d<3>3;63=8d;9f3?652z?1=f4=<1=01?6j5;`5=>{t:1o96=4={<0:g4<30>16>5k<:c4:?xu50l:1<71>03483hk4m689~w7>c:3:1>v3=9c;90=1<5;2o:7l99:p6=b62909w0<6b987<2=::1n36o86;|q1=35=83;3w0<891875d=::>3:69?n;<04=f<39h16>:o?:53b?840i80?=l5226c1>17f3484;1`9>62g32=;j70<8a4875d=::>k=69?n;<04=7<39h16>:7<:53b?8401=0?=l5226;6>17f348<5;4;1`9>62?02=;j70<899875d=::>3269?n;<04=d<39h16>:7m:53b?8401m0?=l5226;f>17f348<5k4;1`9>6<032k<27p}=a1;94?4|5;k;47l99:?1e36=0>l0q~<66483>c}::>>o656>;<040g::j:9:2?84>>10i:4522672>=>3348<9:47859>625e212?70<8408;<1=::>>8656;;<047`:=l:9:3?840=;034=52267;>=>7348<9l47819>6233212;7p}=75c94?4|5;k==7:77:?131d=j?30q~<84b83>7}::h01v?9;e;296~;5i?h18594=357a?d112wx>:;?:18184f>j0?4:522673>g0>3ty9;8<50;0x97g1l3>3;63=7409f3?6d0b2=2<70<8558a2<=z{;=>:7>52z?1e3`=<1=01?9:6;`5=>{t:>?36=4={<0b35<30>16>:;7:c4:?xu5?1>0348v3=a7190=1<5;=8o7l99:p625b2909w09n6o86;|q1316=838p1?o95;6;3>;5?=:1n;74}r0407<72;q6>l89:5:4?840<;0i:45rs3570?6=:r79m;9549589713<3h=56s|2665>5<5s48j:54;869>62202k<27p}=75:94?4|5;k=57:77:?131?=j?30q~7}::13h6>01v?onc;296~;500i1?>;4=3cbg?d112wx>loj:18184?1j08?;522`cf>g0>3ty9mo>50;0x97>>k398;63=ac29f3?6=?d2:937052z?1<{t:hh=6=4={<0;=f<4;h16>ll9:c4:?xu5ik21<765d348jnl4m689~w7gek3:1>v3=88a976b<5;kio7l99:p6dg?2909w0<79b806f=::hk36o86;|q1edg=838p1?66c;11`>;5ihk1n;74}r0:4<<72;q6>llj:5:4?84>8h0i:45rs3;3b?6=:r79moh5495897?683h=56s|2832>5<5s48jo?4;869>6<752k<27p}=90194?4|5;kh?7:77:?1=42=j?30q~<61483>7}::hi?6968;<0:5301v?7>7;296~;5ij?18594=3;24?6:18184fk?0?4:52283b>g0>3ty953;63=90a9f3?6de?2=2<70<61d8a2<=z{;3:j7>52z?1ef?=<1=01?7=0;`5=>{t:0:i6=4={<0bg5<30>16>4>l:c4:?xu519n1<71>03484m689~w71013:1>v3=78290=1<5;2jm7l99:p62>32909w0<89087<2=::1kh6o86;|q13=>=838p1?96c;6;3>;50k:1n;74}r04<<<72;q6>:o?:5:4?84?j80i:45rs35;e?6=:r79;l?5495897>e:3h=56s|26:a>5<5s486=d42k<27p}=79a94?4|5;=j?7:77:?17}::>k?6968;<0;f301v?97e;296~;5?h?18594=3:a3?d112wx>:6i:181840i?0?4:5229`;>g0>3ty9;:o50;0x971>:3>3;63=8c79f3?62?42=2<70<7b88a2<=z{;=52z?13<2=<1=01?6ma;`5=>{t:>=o6=4={<04=0<30>16>5lm:c4:?xu5?>o1<71>03483ni4m689~w71?83:1>v3=78:90=1<5;2ii7l99:p62>62909w0<89887<2=::1hm6o86;|q13=4=838p1?96a;6;3>;50h31n;74}r04<6<72;q6>:7m:5:4?84?ik0i:45rs35;1?6=:r79;4j5495897>fl3h=56s|26:5>5<5s48<5h4;869>6=gb2k<27p}=79594?4|5;=2j7:77:?14?|5;33?7??349>6<>328:8963=99d955523482594>027897?>=3;;?85228;5>464=27954951116?84>110:<>;4=3;:=?77;<16>47n:0201>;511?1===:;<0:<3<68:?01?777;3370=::0236<><5:?1==?=999>70<68`82463<5;33n7??349>6<>d28:8963=99f9555234824h4>027897?>83;;?85228;2>464=27954<51116?84>1:0:<>;4=3c4`?d112wx>l9j:1820~;51m31===:;<0:`d<68:?01?7j3;3370=::0o?6<><5:?1=`3=999>70<6e782463<5;3n;7??349>6027897?cj3;;?85228f`>464=2795ij51116?84>ll0:<>;4=3;gb?77;<16>4k?:0201>;51l;1===:;<0:a7<68:?01?o8f;`5=>;5i8n145?4}r0b<5<7289p1?o<5:?1e13=999>706d2?28:8963=a5;95552348j8l4>027897g3j3;;?8522`6`>464=279m>j51116?84f;l0:<>;4=3c0b?77;<16>l:?:0201>;5i=;1===:;<0b07<68:?01?o;3;3370=::h>?6<><5:?1e=7=j?30q~3}::13h6?;m;<0b0g<68:901?686;6g7>;5??;145>4=3c43?>?8279456586d8yv4f:o0;6;u229;`>73d348j8n4>021897>0?3>o?63=7739<=7<5;k<;768f:?1<=?=0>l0q~3}::13h6?:m;<0b7a<68:901?698;6g7>;5??;145<4=3c4?:2794:7586d8yv4f:90;6;u229;`>72d348j?h4>021897>113>o?63=7739<=5<5;k<47671:?1<2g=0>l0q~3}::13h6?:k;<0b7c<68:901?69a;6g7>;5??;145:4=3c4?82794:l586d8yv4f:;0;6;u229;`>72b348j8=4>021897>1j3>o?63=7739<2`<5;k<4768f:?1<2e=0>l0q~<}::13h6?:i;<0b04<68:901?69c;6g7>;5?:9145=4=3;53?>?;279m:95891897g4?323?63=a219<=7<5;296<><3:?1<3b=;51?=145?4=3c43?>?:279m>95890897g4;323<63=86g9<2`84?:8y>6=?d2;?:70;5i>=145?4=3c03?>?9279m>=586d897>0n325<0s48j894>02189714;323863=9759<=6<5;k<47673:?1e61=01>01?o<3;:;0>;501:14:h4}r0b5`<72>q6>57l:36b?84f;k0:<>=4=3:53?2c;279;;>5892897g4:323?63=a6;9<=4<5;2<4768f:p6d402902w0<79b8111=::h9h6<><3:?1<3`=;5?4=3c47?>?9279m><5896897g01323?63=8939<2`54?:cy>6=?d2;?>70l01?9<3;:;6>;51?<145=4=356a?>0n279m:=5890897g4:323=63=a6;9<=7<5;23>768f:p6d4>290hw0<79b8113=::h>=6<><3:?1<27=;51?<145:4=356a?>?9279m:=5892897g0<323>63=a259<=6<5;k8>7672:?1e2?=01:01?673;:4b>{t:h8j6=4k{<0;=f<5=>16>l:8:0207>;50>818i=4=3507?>?82795;8589389712l323=63=a619<2`<5;k<87673:?1e61=0>l01?o;5i:814:h4=3c4=?>?<27945:586d8yv4f:k0;6iu229;`>73?348j854>021897>0;3>o?63=7219<2`<5;3=:7672:?1e20=0>l01?9:d;:;4>;5i>9145:4=3c40?>0n279m>85891897g4i3226<><3:?1<22=;51?<14:h4=3c42?>?;279;8j586d897g0;323?63=a669<=6<5;k8:7672:?1e6?=01;01?o<3;:;7>;5i>314:h4=3:;2?>0n2wx>l464;2794:;54e189714:323<63=9749<=6<5;k<:7672:?130b=01>01?o85;:;4>;5i:<145?4=3c0=?>?:279m>=5890897>??325<5s48<5=4>021897>fk323=6s|264g>5<5s48<5<4>021897>e8323=6s|2652>5<5s48<5n4>021897>e9323=6s|2651>5<5s48021897>e:323=6s|2650>5<5s48021897>e;323=6s|2657>5<5s48021897>e<323=6s|2656>5<5s484>021897>e>323=6s|2655>5<5s48021897>e?323=6s|2654>5<5s48021897>e0323=6s|265;>5<5s48021897>e=323=6s|2640>5<5s48<5?4>021897>e1323=6s|2647>5<4s48<5>4>021897gf?3262?328:8?63=a`f9<2`<5;2in7671:p62012908w0<89482465<5;kjj768f:?16}::>3=6<><3:?1eg7=0>l01?6md;:;5>{t:><36=4<{<04=2<68:901?om3;:4b>;50ko145?4}r042<<72:q6>:77:0207>;5ik?14:h4=3:ab?>?92wx>:8m:1808401h0:<>=4=3ca=?>0n2794ll58938yv40>j0;6>u226;a>464;279mol586d897>fl323=6s|264f>5<4s48<5i4>021897gel3262?b28:8?63=a`;9<2`<5;2jj7671:p62172908w0<89g82465<5;kjn768f:?11}::>326<><3:?1eg1=0>l01?6na;:;4>;50h3145?4}r0:e1<72::p1?7n4;3376=::1k>656=;<0;e=5o9:9:1?84?i>034?5229ae>=>53483o;47839>6=b7212970<7c38;<7=::1i>656=;<0;gg5ml:9:1?84?kh034?5229a7>=>53483o447839>6=e?21=m70<7cd8;<7=::1i;656=;<0;g65m>:9:1?84>1m034>5228c5>=>73482m:477g9>6l9i:9:2?84f90034<5228;e>=>43483;547819>6=>6212;70<7838;<5=::128656?;<0;<156::9:3?84?0?034=5229:4>=>734834547819>6=>>212;70<7788;<5=::1=j656?;<0;3g59l:9:3?84??m034=52295f>=>73483;k47819>6=>7212;7p}=9`294?56s482m=4>021897>f=323?63=8`:9<=5<5;2j:7673:?1;50j<145=4=3:g4?>?;2794n<5891897>d=323?63=8b`9<=5<5;2ho7673:?1;50j3145=4=3:`?92794nk5891897>d8323?63=8b19<=5<5;2h=7673:?1=l01?7n6;:4b>;51h=145>4=3;b6?>0n279m=65891897>dl323863=a0a9<=5<5;k3=7671:?1e2`=01:01?76e;:;7>;5i83145=4=3:4?<27945?5890897>?:323>63=8919<=4<5;2387672:?1<=3=01801?676;:;6>;501=145<4=3:;?:2794575890897>01323>63=86c9<=4<5;2;50>o145<4=3:4b?>?:27945>58908yv4>1k0;6?ht=3;:f?77;:16>5o::9:2?84?i1034<5229c5>=>63483m:47809>6=ea212;70<7c78;<5=::1n;656?;<0;g75m::9:3?84?kk034=5229a`>=>73483ol47819>6=e3212;70<7c88;<5=::1i3656?;<0;g`5m?:9:3?84?k:034=5229a2>=>73482m:47839>6l6>:9:1?84f?o03;k5228;f>=>6348j=447839>6=1?212:70<7808;<4=::129656>;<0;<656;:9:2?84?0<034<5229:5>=>634834:47809>6=>?212:70<7888;<4=::1=2656>;<0;3d59m:9:2?84??j034<52295g>=>63483;h47809>6=1a212:70<7818;<4=z{;k:m7>52z?1e4g=99987053z?1=c?=999870<7c78;<1=::h:3656;;|q1=c6=838p1?7ia;3376=::1in656;;|q1=c7=838p1?7if;3376=::1im656;;|q1=c4=838p1?o?0;3376=::1n;656;;|q1=c5=838p1?o?1;3376=::1i;656;;|q1=c2=838p1?o?2;3376=::1i:656;;|q1=c3=838p1?o?3;3376=::1i9656;;|q1=c0=838p1?o?4;3376=::1i8656;;|q1=c1=838p1?o?5;3376=::1i?656;;|q1=c>=838p1?o?6;3376=::1i>656;;|q1=`e=838p1?7ib;3376=::1i2656;;|q1=`b=838p1?7ic;3376=::1ij656;;|q1=`c=838p1?7id;3376=::1ii656;;|q1=``=838p1?7ie;3376=::1ih656;;|q1=d?=839p1?7m8;3376=::1i=656>;<0b4=1===<;<0;g6:1===<;<0;75;1===<;<0;771===<;<0;5=:hi:95e?xu51m:1<7=t=3;ge?77;:16>:=9:95e?84?<=03;h5rs3;g5?6=;r795h=51110?840;?034<522965>=1b3ty95i<50;1x97?b<3;;?>522615>=>5348385477d9~w7?c;3:1?v3=9d7955543486=2f21=n7p}=9e694?5|5;3n:7??329>6251212?70<74b8;3`=z{;3o97>53z?1=`1=999870<8368;<5=::1>n659j;|q1=a0=839p1?7j8;3376=::>9<659i;<0;155;=:95f?xu51m21<7=t=3;fe?77;:16>:=8:9:1?84?==03;h5rs3;`034>522917>=1b3ty95n750;1x97?ck3;;?>522614>=>33483?;477d9~w7?di3:1?v3=9ef955543486=5?21=n7p}=9b`94?5|5;3oi7??329>625?21=m70<73`8;3`=z{;3ho7>53z?1=a`=999870<8398;<4=::19h659j;|q1=fb=839p1?7j0;3376=::>93656=;<0;7`5:?:95f?xu51jl1<7=t=3;f6?77;:16>:=7:9:7?84?<;03;h5rs3;5=?6=:r795;:5892897?113;;?>5rs3;5`?6=03;k5226c:>1b4348262`>212;70<8f58;<5=::>i3659i;<04ed<3l:16>4??:9:2?xu51?l1<79t=3c;b?2??27955651110?840n003;k5226d7>=>534862ge2=n870<6138;<4=z{;3<<7>57z?1e<4=<1=01?779;3376=::>lj656?;<04b0:mn:95e?840ij0?h>522837>=>63ty95:?50;5x97g>;3>3;63=99c9555434862`2212970<8cc8;3c=::>ko69j<;<0:5318594=3;;f?77;:16>:hm:9:3?840n?034=52283;>=>634862`e21=m70<8f78;<7=::0;j656>;<04f4:hl:9:3?840n>034=52283`>=>6348477g9~w7?0=3:1:v3=a8590=1<5;33i7??329>62`d21=m70<8f68;<7=::0;n656>;<04f0:hk:9:3?840n1034=522803>=>634862`c21=m70<8f98;<7=::0:h656>;<04fd4>j:9:2?840jj03;k5226d0>=>53482:947809>6<0f212:7p}=93394?4|5;39=7??329>6d1c21297p}=a8c94?4|5;kii7??329>6dg121297p}=a8f94?4|5;kij7??329>6dgd21297p}=a8g94?4|5;kh>7??329>6dgb21297p}=a8d94?4|5;kh?7??329>6dd721297p}=a`294?4|5;kh87??329>6dd521297p}=a`394?4|5;kh97??329>6dd321297p}=a`094?4|5;kh:7??329>6dd121297p}=a`194?4|5;kh;7??329>6dd?21297p}=a`694?4|5;kh47??329>6ddf21297p}=a`794?4|5;kh57??329>6ddd21297p}=a8`94?4|5;kh<7??329>6dg?21297p}=a8a94?4|5;kh=7??329>6dgf21297p}=a6c94?b|5;k6dge212:70;<0bfgll6:9:2?84fj>034<522``6>=>6348jn>47809>6dd6212:70;<0be21===<;<0bf71433ty:i=:50;1x94baj3;;:9521d2f>=ca34;n<94m689~w4ba:3:1>v3>dg`90a5<58nm>7l99:p5a`f2908w0?kf`8a2<=:9mli69<;;<3f4`<3:=1v<:c4:?xu6lo;1<7u21ed:>g0>34;ojl4;259>5`6c2=8?7p}>e1094?5|58nm57??659>5`6d21om70?j038a2<=z{8nm<7>52z?2`c?={t9ml36=4<{<3gb=016=ih6:507?87b8j0?>95rs0g35?6=;r7:hk651147?87b8k03ik521d22>g0>3ty:hhh50;0x94ba03>o?63>ddd9f3?5a`02k<270?kf98761=:9l:i69<;;|q2a56=839p101v14334;ndg49550334;n<447eg9>5a`a2k<27p}>ddf94?4|58nm:7:k3:?2``b=j?30q~?kf483>6}:9ml>6o86;<3gb3<3:=16=h>6:507?xu6loo1<7=t=0fe1?77>=16=h>7:9ge?87cnl0i:45rs0ffg?6=:r7:hk;54e1894bbk3h=56s|1ed7>5<4s4;oj94m689>5a`22=8?70?j098761=z{8nmh7>53z?2`c2=99;6llh1n;74}r3gb6<72:q6=ih<:c4:?87cn=0?>9521d24>1433ty:hkm50;1x94ba;3;;:9521d25>=ca34;ojn4m689~w4bbi3:1>v3>dg190a5<58nnm7l99:p5`7>2909w0?j3787<2=:9l:m6o86;|q2a4>=838p1;6m9o1n;74}r3f52<72;q6=h=;:5:4?87b8m0i:45rs0g22?6=:r7:i>=5495894c7k3h=56s|1d36>5<5s4;n??4;869>5`6e2k<27p}>e0694?4|58o8=7:77:?2a5g=j?30q~?j1283>7}:9l9;6968;<3f4<01v2;296~;6m;l18594=0g3:18187b:l0?4:521d24>g0>3ty:i<>50;0x94c5l3>3;63>e149f3?o4?:2y>5`5228:8?63>e169<=7<58nm>768f:p5`4f2908w0?j3582465<58o;?7672:?2`c7=0>l0q~?j2883>6}:9l986<><3:?2a54=01801{t9l836=4<{<3f77<68:901;6lll14:h4}r3f62<72:q6=h=>:0207>;6m9:145<4=0ffa?>0n2wx=h<9:18087b;90:<>=4=0feb?>?:27:hhj586d8yv7b:<0;6>u21d0e>464;27:hkk5890894bbk325<4s4;n>h4>021894bal323>63>dd`9<2`>4?:2y>5`4c28:8?63>dga9<=4<58nnm768f:p5`462909w0?j2082465<58o;?7671:p5`4d2908w0?j2387<2=:9l9=6<><3:?2a52=0180q~?j1`83>7}:9l;j6<><3:?2`ce=01;0q~?j1c83>7}:9l;i6<><3:?2`cb=01;0q~?j1b83>7}:9l;h6<><3:?2`cc=01;0q~?j1e83>7}:9l;o6<><3:?2`c`=01;0q~?j1d83>7}:9l;n6<><3:?2a56=01;0q~?j1g83>7}:9l;m6<><3:?2a57=01;0q~?j2183>7}:9l8;6<><3:?2a54=01;0q~?k9283>7}:9m386o86;<3g=<1n;74=0f:=?>?82wx=i7::18187c1<0i:4521e;:>=>63ty:h4850;0x94b>>3h=563>d8;9<=45a?02k<270?k988;<6=z{8n247>52z?2`<>=j?301{t;o>?6=4<{<1e01016?k:9:9:3?85a;?034=5rs2d71?6=;r78j9;5b7;896`3>323=637f`d2k<270=lfg8;<1=z{:imj7>52z?0gc`=01901>mid;`5=>{t;m2?6=4:{<1g<0016?i66:95e?85dj1034=523d2a>=>6349ni>47859~w6b?=3:19v3=01;01>k?b;:;6>;4ml9145=4}r1g<3<72=>5349n7`c421297p}mm8;:;0>;4m9h145:4=2gf7?>?92wx?no6:18085di00i:4523g04>=>6349hmn47839~w6efi3:1?v36}:;o?;6o86;<1e176s|3cfb>5<5s49iho4m689>7gc6212?7p}7}:;kno6o86;<1aa4lkd;296~;4jmo1n;74=2`f5?>?92wx?ojj:18185elo0i:4523cg2>=>73ty8nih50;0x96db83h=563n7>52z?0b70=01801>h=7;`5=>{t;jk:6=4={<1`e7016?no7:9:0?xu4kh21<7?:278ol;5b7;8yv5dj:0;6?u23b`4>=>6349hn94m689~w6ee<3:1>v3mj4;`5=>;4kmo145<4}r71ef<72;q69?ok:c4:?835il03495rs40b`?6=:r7>>lk5b7;8904e8323>6s|53cf>5<5s4?9mk4m689>17d721287p}n1883>7}::<:36o86;<0642o7>52z?66c7=j?3018{t1h;1<7>k<58938yv?f03:1>v3:2dd9f3?<5<8n47671:p=dc=838p18;2:o<145?4}r;a=?6=:r7>>k;5b7;8904a<323=6s|9dc94?4|5<8io7l99:?66gd=01;0q~o<3;296~;2:m91n;74=40g6?>?92wx9?jl:181835m9034<5253fg>g0>3tyj854?:3y>17c52k<270;=e08;<4=z{<8o47>52z?66ad=01;018{ti>h=58938yvg0:3:1>v3:2dc9f3?<5<8n57671:pe2e=838p18;2:ln145?4}rc;6?6=:r7>>hm5b7;8904bj323=6s|3b;;>5<5s49h5o4m689>7f?d21297p}7}:;o8>6o86;<1e6=h=8;296~;4n;21n;74=2d1f?>?82wx?k=>43ty8j7c7c2k<270=i208;<7=z{:l:i7>52z?0b4c=j?301>h=1;:;4>{t;o;m6=4<{<1e5c016?k5b7;896`59323=6s|3g2a>5<5s49m7c6d21297p}7}:;o:n656=;<1e4a01v>h>1;296~;4n8;1n;74=2d26?>?;2wx?k?=:18185a9;0i:4523g3:>=>33ty8j<950;0x96`6?3h=5637c7?2k<270=i188;<5=z{:l;:7>52z?0b50=j?301>h?8;:;0>{t;o:<6=4={<1e42016?k>7:9:0?xu4n=i1<7g0>349m9847829~w6`3m3:1>v397671:p7c322909w0=i528a2<=:;o?>656?;|qb=:c4:?85c88034=5rs2f30?6=:r78h=;5b7;896b71323?6s|3e26>5<5s49o<;4m689>7a60212?7p}0q~o66;296~;4kl;145=4=2af6?d112wx?k:>:18185a<90i:4523g62>=>33ty8j9<50;0x96`393h=5637c252k<270=i428;<4=z{:l?;7>52z?0b15=j?301>h;7;:;7>{t;o>36=4={<1e02958928yv5a;<0;6?u23g13>g0>349m?:47809~w6`4>3:1>v3h<7;:;0>;4n:<1n;74}r1`=0<72;q6?n7::c4:?85d1?03495rs`c2>5<5s49h5l4m689>7f?>212:7p}nb783>7}:;j3<6o86;<1`=4?92wx85o>:18182?i;0i:45249c0>=>43ty?4l<50;0x91>f;3h=563;d`d9<=552z?73`g=j?30199j7;:;5>{tiji1<7;3j=>145=4}r6:76<72;q684=;:c4:?82>;?034>5rs5;00?6=:r7?5>;5b7;891?4>323>6s|4816>5<4s4>2?;4m689>0<5>212:70:7b88;<7=z{=38:7>53z?7=61=j?30197<9;:;4>;30k3145?4}r6:72<72:q684=7:c4:?82>;0034?5249`:>=>43ty?44m50;0x91>>n323863;88f9f3?35i4?:3y>0=?b2k<270:79g8;<7=z{=2h=7>52z?7{t<1k<6=4={<6;e=0168ilk:9:2?xu30h21<7;k0;6?u2481a>g0>34>2?k47829~wg632909w0:7b48a2<=:;|q7<<1=838p1966b;:;7>;30021n;74}r6;ff<72;q685lk:c4:?82?k9034<5rs5:a`?6=:r7?4ok5b7;891>d8323?6s|49`f>5<5s4>3nk4m689>0=e721297p};8`g94?4|5=2j<7l99:?7=01>0q~:7b783>7}:<1h=6o86;<6;f=?92wx85l?:18182?j90i:4524ef4>=>63ty?n8850;0x91d2>3h=563;b4:9<=23mo4?:3y>0=ge2k<270:kcg8;<4=z{=2jo7>52z?7{tn6=4:{<6`0c0168n;=:95e?82e=k034=5246a`>=>334>h8447819~w1e3n3:19v3;c429f3?<5=i>>7670:?7f0d=01;0199lc;:;5>;3k=3145?4}r6`15<72:c4:?82d=;034<524c7a>=>534>0f2>21297p}m0g83>7}:?;2wx8o;i:18182e=o0i:4524c47>=>63ty?n;<50;0x91d1:3h=563;b719<=5i:>4?:3y>0g042k<270:m658;<7=z{=o8:7>52z?7a60=j?3019k<8;:;6>{t0168h=7:9:3?xue:o0;6?u249:a>g0>34>34l47809~wg5c2909w0:61c8;<4=:<0;m6o86;|q7<2b=838p1968f;:;7>;30>o1n;74}r6;3`<72;q6859i:c4:?82?0903;k5rs5:4=?6=:r7?4:o5b7;891>0k323>6s|495b>5<5s4>3;n47829>0=1e2k<27p};88094?4|5=22:768f:?7<<5=j?30q~:78b83>7}:<12o6o86;<6;=5?<2wx857?:18182?190i:45249;2>=1a3tyi?h4?:3y>0<7b2k<270:61e8;<4=z{k9m6=4={<6:6d01684<6:9:1?xue<90;6?u2480`>g0>34>2>o47809~wg332909w0:62d8a2<=:<08o656>;|q:1a<72;q6856::9:2?82?0?0i:45rs8:5>5<5s4>3494m689>0=>4212:7p};e1594?4|5=o;47l99:?7a5c=0180q~:j0983>7}:?92wx8h>n:18182b8k0i:4524d2f>=>73ty?i=l50;0x91c7k3h=563;e1g9<=5n0`6c2k<270:j0d8;3c=z{0336=4={<6;gc01685m::9:7?xu30j=1<7k3:1>v3;8e39f3?<5=2o<7674:p=;30j3145:4}r;:a?6=:r7?4i=5b7;891>c:32386s|98d94?4|5=2o97l99:?70q~7n0;296~;30m=1n;74=5:g2?>?<2wx5l<50;0x91>cl3h=563;8e:9<=252z?7{t1h>1<7v3;8ed9f3?<5=2oi7674:p=d0=838p196j1;`5=>;30l:145:4}r;b3?6=:r7?4h=5b7;891>b:32386s|4d6:>5<5s4>n844m689>0`2a212?7p};e5a94?4|5=o?o7l99:?7a1c=01>0q~:j4e83>7}:o6o86;<6f0`0n2wx84:<:18182>=h0i:452487`>=>43ty?59:50;0x91?2k3h=563;94g9<=52:=4?:3y>0<3b2k<270:6448;<6=z{=3=n7>52z?7=3d=j?301979c;:;0>{t<0016848k:9:0?xu31?n1<7>l0;6?u24866>g0>34>28;47859~w1?3=3:1>v3;9549f3?<5=3>87673:p0<352909w0:6538a2<=:<0?8656;;|q7=05=838p197:3;`5=>;31<>145?4}r6:g5<72;q684m>:c4:?82>k1034?5rs5;g2?6=:r7?5nk5893891?dk3h=56s|48ff>5<5s4>2oh4m689>07}:<0n?6o86;<6:g3?;2wx84m::18182>lo0i:45248a4>=>33ty?5n650;0x91?d<3h=563;9b49<=72o44?:3y>052z?7=3`=j?3019781;:;6>{t<0=>6=4={<6:32<1<7?827?5:85b7;8yv2>?>0;6?u24854>g0>34>2;<47829~w1?003:1>v3;96:9f3?<5=3<57674:p0<1>2909w0:6788a2<=:<0=i656;;|q7=2g=838p1978b;:;7>;31>k1n;74}r6:3g<72;q6849m:c4:?82>?9034>5rs5;4g?6=:r7?5:>5896891?0k3h=56s|4853>5<5s4>2;=4m689>0<16212?7p};a7a94?4|5=k397l99:?7e=>=01:0q~:n6g83>7}:01v9o75;296~;3i131n;74=5g`a?2??2wx8l67:18182f?o034>524`:b>g0>3ty?m5750;0x91g>13h=563;a8c9<=2j4l4?:3y>0d?f2k<270:n7g8;<4=z{=k3n7>52z?7e{t0168l9j:9:7?xu3i0k1<7=>534>j;o4m689~w1g083:1>v3;a6g9f3?<5=k;31o9145=4}r6:b6<72;q684h<:c4:?82>n=034>5rs5;e0?6=:r7?5k:5b7;891?a0323?6s|48d6>5<5s4>2j84m689>0<`1212?7p};9g494?4|5=3m:7l99:?7=c1=0190q~:6f683>7}:<0l<6o86;<6:b=?<2wx84h6:18182>n00i:45248d1>=>43ty?5ko50;0x91?ai3h=563;9g29<2`2j=4?:3y>0<`72k<270:6f38;<4=z{=3mn7>52z?7=ce=j?3019o?0;:;7>{t0168l>>:9:0?xu3i9;1<7g0>34>j<>47859~w1g7;3:1>v3;a119f3?<5=k;87673:p0d632909w0:n058a2<=:656=;|q7e53=838p19o?5;`5=>;3i9<145:4}r6b43<72;q68l>9:c4:?82>no034>5rs5c33?6=:r7?m=95b7;891?al325<5s4>2ji4m689>0<`a212:7p};a1:94?4|5=k;57l99:?7e5b=0190q~:n0e83>7}:?;2wx8l>i:18182f8o0i:4524`33>=>33ty?m<>50;0x91g683h=563;a039<=5j=<4?:3y>0d762k<270:n138;<7=z{=k:>7>52z?7e44=j?3019o>3;:;0>{t0168l>l:9:0?xu3i8>1<7g0>34>jv3;b5c9f3?<5=h?n7673:p0d?d2909w0:na28a2<=:;3ih:145=4}r6be=<72;q68l7k:c4:?82f1l03495rs5c:`?6=:r7?m4k5b7;891gf832386s|4`;f>5<5s4>jm?47819>0d?a2k<27p};99f94?4|5=32?7l99:?7=<3=01>0q~:69283>7}:<039656;;<6:=001v9765;296~;3108145=4=5;:2?d112wx8479:18182>1>0i:45248;1>=>53ty?54650;1x91?>13h=563;98`9<=2<5=32o7674:p02909w0:6908;<6=:<03j6o86;|q7=;310:14:h4}r6:<`<72;q6846i:c4:?82>1903495rs5;;b?6=:r7?54?5896891?>83h=56s|48;3>5<5s4>25<4m689>07}:01v9o63;296~;3i091n;74=5c:5?>?:2wx8l7;:18182f1=0i:4524`;6>=>33ty?m4;50;0x91g>=3h=563;a839<=5j5;4?:3y>0d??212870:n978a2<=z{=k247>52z?7e<>=j?3019o60;:;7>{t0168l6j:9:0?xu3i1o1<7=>334>j4k4m689~w1g>83:1>v3;a829f3?<5=k2=7674:p0<322909w0:6578a2<=:<0?;656<;|q7=0>=838p197:9;`5=>;31<;145=4}r6:1<<72;q684:n:9:7?82><>0i:45rs5;73?6=:r7?5975b7;891?3i323?6s|486;>5<5s4>28l4m689>0<2a21287p};95;94?1|5=3?j7671:?7=;3i0:145<4=5;;5?>?927?5l<5893891?e:323=6s|486b>5<5s4>28n4m689>0<2b212:7p};95`94?4|5=3?i7672:?7=1b=j?30q~:64b83>7}:<0>n6o86;<6:0c?<2wx849k:18182>0=0i:45248:1>=>53ty?55850;0x91???3h=563;9909<=524:4?:3y>0<>?2k<270:6828;<7=z{=3347>52z?7==d=01>019779;`5=>{t<0226=4={<6:016846m:9:0?xu311k1<70j0;6?u2485f>g0>34>24=47809~w1?0m3:1>v3;9929<=4<5=3;3119145=4}r6:=`<72;q684o::c4:?82>i:034?5rs5;b3?6=:r7?5l65b7;891?f;323?6s|48c;>5<5s4>2m44m689>07}:<0ki6o86;<6:ef?;2wx84ok:18182>1o0i:45248c2>=>63ty?54h50;0x91?f9323>63;9`29f3?2m=4?:3y>052z?7=d4=j?30197n4;:;7>{t6=4={<6b530168l?j:9:7?xu3i;:1<7:t=5c14?d1127?mj><4?:3y>0d462k<270:n1b8;<6=z{=k:47>52z?7e4?=j?3019o>a;:;0>{t0168l?l:9:7?xu3i8k1<7?927?mg0>34>jmh47839~w1ge83:1>v3;ac39f3?<5=kjh7671:p0dd52909w0:nb28a2<=:656>;|q7eg5=838p19om5;`5=>;3ihn145:4}r6bf0<72;q68lom:c4:?82fij03495rs5cbf?6=:r7?mlm5b7;891gfl323?6s|4`c`>5<5s4>jmi4m689>0dgb21287p};97394?4|5=3==7l99:?7=35=0190q~:66583>7}:<0<8656=;<6:2101v9795;296~;31??1n;74=5;56?>?:2wx8489:18182>>?0i:452484:>=1a3ty?5;950;0x91?11323<63;9759f3?2:44?:3y>0<0>2k<270:6638;<6=z{=3=m7>52z?7=3g=j?3019792;:;0>{t<0<96=4={<6:27016848<:9:7?xu31l;1<7m;0;6?u248g:>g0>34>2i547829~w1?b13:1>v3;9dc9f3?<5=3no7674:p0;31ln1n;74}r6:a6<72;q684k8:9:2?82>m:0i:45rs5;f1?6=:r7?5h;5b7;891?b?323>6s|48g5>5<5s4>2i;4m689>0=0180q~:n3d83>7}:?<2wx8l:n:18182f<:034?524`6a>g0>3ty?m9m50;0x91g3l3h=563;a5g9<=4j8i4?:3y>0d2b2k<270:n4g8;<6=z{=k?i7>52z?7e17=01>019o;f;`5=>{tm6=4={<6b140168l:?:9:7?xu3i<;1<7g0>34>j8>47859~w1gd<3:1>v3;ab79f3?<5=kh;7674:p0de22909w0:nc68;<7=:=838p19ol9;`5=>;3ij<145:4}r6bg<<72;q68lmn:c4:?82fkl03;k5rs5c`f?6=:r7?mnk5892891gdk3h=56s|4`a`>5<5s4>joh4m689>0de121287p};abg94?4|5=khj7l99:?7ef0=0180q~:ncg83>7}:?;2wx8lj>:18182fl:034?524`f7>g0>3ty?mi:50;0x91gc=3h=563;ae09<=4jh84?:3y>0db12k<270:nd`8;3c=z{=ko;7>52z?7eag=01:019ok8;`5=>{t0168lj=:9:0?xu3imk1<7g0>34>jh>47859~w1gck3:1>v3;aef9f3?<5=koj7673:p0dbc2909w0:ndg8;<7=:;3imo145<4}r6ba4<72;q68lk=:c4:?82fm?03;k5rs5cf7?6=:r7?mh85892891gb<3h=56s|4`g7>5<5s4>ji;4m689>0dbb21287p};ad494?4|5=kn;7l99:?7eac=01>0q~:ne683>7}:?;2wx8lk6:18182fmk034?524`g`>g0>3ty?mhm50;0x91gbl3h=563;adc9<=4jii4?:3y>0dcb2k<270:nf38;3c=z{=knj7>52z?7ec4=01:019oi0;`5=>{t0168lkn:9:0?xu3io81<7g0>34>jio47859~w1ga<3:1>v3;ag79f3?<5=km;7673:p0d`22909w0:nf68;<7=:=838p19oi9;`5=>;3io<145<4}r6bb<<72;q68lhn:c4:?82fnl03;k5rs5cef?6=:r7?mkk5892891gak3h=56s|4`d`>5<5s4>jjh4m689>0d`121287p};agg94?4|5=kmj7l99:?7ec0=01>0q~:nfg83>7}:?;2wx8o>>:18182e8:034?524c27>g0>3ty?n=:50;0x91d7=3h=563;b109<=4i<84?:3y>0g612k<270:m0`8;3c=z{=h;;7>52z?7f5g=01:019l?8;`5=>{t0168o>=:9:0?xu3j9k1<7g0>34>i<>47859~w1d7k3:1>v3;b1f9f3?<5=h;j7673:p0g6c2909w0:m0g8;<7=:1;`5=>;3j9o145<4}r6a54<72;q68o?=:c4:?82e9?03;k5rs5`27?6=:r7?n<85892891d6<3h=56s|4c37>5<5s4>i=;4m689>0g6b21287p};b0494?4|5=h:;7l99:?7f5c=01>0q~:m1683>7}:?:2wx84l8:18182>j10i:45248`0>=>43ty?5o650;0x91?e13h=563;9c69<=42n44?:3y>052z?7=gd=j?30197mc;:;7>{t<0hi6=4={<6:ff01684l=:9:0?xu31kn1<7io0;6?u248`2>=>534>2n=4m689~w1?e83:1>v3;9c39f3?<5=3i>7674:p0;3i;h145=4}r6b6a<72;q68l5<5s4>j>84m689>0d4f212?7p};a3594?4|5=k947l99:?7e7?=01>0q~:n2983>7}:?<2wx8ll8:18182fjj0i:4524``a>=>53ty?moj50;0x91gem3h=563;acc9<=7jnk4?:3y>0de62k<270:nb`8;<6=z{=kh=7>52z?7eg>=j?3019om9;:;7>{t0168lln:9:7?xu3ik31<7g0>34>j;447839~w1g?83:1>v3;a969f3?<5=k<47671:p0d>62909w0:n738a2<=:656;;|q7e=4=838p19o83;`5=>;3i>?145=4}r6b<6<72;q68l9;:c4:?82f?<034?5rs5c;0?6=:r7?m:;5b7;891g00323?6s|4`51>5<5s4>j;;4m689>0d1021287p};a6194?4|5=k<;7l99:?7e2>=01>0q~:n7583>7}:?;2wx8l=6:18182f;h0i:4524`15>=>53ty?m>l50;0x91g4k3h=563;a209<=7j?n4?:3y>0d552k<270:n378;<1=z{=k8>7>52z?7e62=j?3019o<5;:;0>{t0168l=9:9:0?xu3i:?1<7958968yv2f=;0;6?u24`74>g0>34>j9;47839~w1g2?3:14v3;a`69f3?<5=kj<768f:?7ed7=0>l019ond;:;6>;3ikk145<4=5c4?:27?m8;5890891g1:323>6s|4`7;>5<5s4>j944m689>0d32212:7p};a4c94?4|5=k>n7l99:?7e0b=01;0q~:n5c83>7}:?<2wx8l;<:18182f==0i:4524`76>=>43ty?m8:50;0x91g2=3h=563;a449<=53io4?:3y>0=cb2k<270:7f18;3c=z{=k>j7>52z?7e32=j?3019o93;:;6>{t6=4={<6b230168l8=:9:2?xu3i?=1<710;6?u24`4b>g0>34>j:?47859~w1g1i3:1>v3;a729f3?<5=k==7674:p0d072909w0:n608a2<=:;3i?9145=4}r6f7a<72;q68h:?:95e?82b;m0i:45rs5g74?6=:r7?i9>5b7;891c3:323?6s|4d62>5<5s4>n8<4m689>0`25212?7p};e5094?4|5=o?>7l99:?7a13=0>l0q~:j4283>7}:>656;;<6f0601v9k;4;296~;3m=>1n;74=5g71?>?;2wx85k::18182?m003495249g5>g0>3ty?48650;0x91>203;;?>524975>=1a3ty2m44?:3y>0=cf2k<270:7e58;<5=z{0kj6=4={<6:5001684?;:9:2?xu>ik0;6?u24d12>g0>34>n?=47859~w1e313:1>v3;c5;9f3?<5=i?n7672:p=de=838p19lj5;`5=>;3jl>145:4}r;b`?6=:r7?;hk5b7;8911bl323>6s|9`d94?4|5==m<7l99:?73``=0180q~7m0;296~;3?o81n;74=55e5?>?:2wx5o?50;0x911a<3h=563;7g19<=47>52z?73c0=j?30199i5;:;6>{t1k91<7v3;7gc9f3?<5==m57672:p=g3=838p199ic;`5=>;3?oh145<4}r;a2?6=:r7?4<:5b7;8911al323>6s|9c594?4|5=2::7l99:?7<43=0180q~7m8;296~;30821n;74=5:23?>?:2wx5oo50;0x91>6i3h=563;80;9<=452z?7<4e=j?30196>b;:;6>{t1ki1<7v3;8329f3?<5=2:j7672:p=gc=838p196=2;`5=>;30;;145<4}r6;41<72;q685>::c4:?82?8?034=5rs5:31?6=:r7?4=85b7;891>7?323=6s|492;>5<5s4>3<44m689>0=6f212;7p};81;94?4|5=2;m7l99:?7<5d=01;0q~:70b83>7}:<1:o6o86;<6;4`?92wx85??:18182?980i:4524931>=>73ty?46:3h=563;8019<=702`a2k<270:7008;<4=z{=2;?7>52z?7<57=j?30196?3;:;5>{t1kl1<7v3;7b;9f3?<5==h4768f:p=f7=838p19l75;`5=>;3j1>145<4}r;`6?6=:r7?j9?5b7;891`38323>6s|20g`>5<5s48:ii4m689>64cb21287p}=1df94?4|5;;ni7l99:?15c7=01>0q~<>ed83>7}::8om6o86;<02b50n2wx>=9k:181847?l0i:452215e>=>43ty9<:k50;0x9760n3h=563=0909<=265>72k<27052z?14=7=j?301?>72;:4b>{t1j91<7g0>34>?nn47839~w12ei3:1>v3;4c`9f3?<5=>io7673:p06cf2908w0:4=51`7?>?:27??hk5b7;8yv24n80;6?u242d1>g0>34>8j847859~w15a:3:1?v3;4019<=7<5=9h?7673:?77c3=j?30q~:6}:<:l=6o86;<6756m<:9:7?xu>k<0;6?u242g1>g0>34>8hk47829~w4dc=3:1>v3>be49f3?<58hn?7672:p5gb12909w0?me18a2<=:9ko8656>;|q2f`6=838p1;6jl9145=4}r3aa4<72;q6=ok=:c4:?87em:03495rs0`f6?6=:r7:nh=5b7;894dcn323=6s|1cg0>5<5s4;ii94m689>5gb021297p}>bd694?4|58hn97l99:?2fa1=01;0q~?me483>7}:9ko=6o86;<3a`2?<2wx=ok8:18187el>0i:4521cfe>=>43ty:ni950;0x94dc03h=563>beg9<=55gb>2k<270?mdd8;<4=z{8hom7>52z?2fac=01>01{t9kni6=4={<3a``016=oji:9:7?xu6jj91<758908yv7ek=0;6?u21cag>g0>34;ih=47809~w4ddl3:1>v3>bbg9f3?<58ho<7673:p5geb2909w0?mcg8a2<=:9kn;656;;|q2ff`=838p1;6jji145?4}r3a`5<72;q6=oj>:c4:?87ek<034?5rs0`g5?6=:r7:ni<5b7;894dd=323=6s|1cf1>5<5s4;ih>4m689>5ge221287p}>be194?4|58ho87l99:?2ff3=01>0q~?md583>7}:9ki>6o86;<3agf?;2wx=om9:18187ek>0i:4521caa>=>63ty:nn950;0x94dd03h=563>bbc9<=75ge>2k<270?mc`8;<5=z{8hh57>52z?2ffg=j?301{t9kij6=4={<3agg016=oml:9:7?xu6>?h1<7j0;6?u2174g>g0>34;=:h47859~w401l3:1>v3>67g9f3?<58<=j7670:p53012909w0?9668a2<=:9?<3656;;|q2231=838p1<898;`5=>;6>?3145:4}r352=<72;q6=;86:c4:?871>h034=5rs0455?6=:r7::;<5b7;89401;32386s|1741>5<5s4;=:>4m689>5303212?7p}>67194?4|58<=87l99:?2233=01:0q~?95b83>7}:9??o6o86;<351`?<2wx=;;j:181871=o0i:4521743>=>73ty::8950;0x940203h=563>64;9<=2533>2k<270?95`8;<1=z{8<>57>52z?220g=j?301<8:b;:;4>{t9??96=4={<3516016=;;;:9:7?xu6><91<7g0>34;=9;47819~w403l3:1>v3>65g9f3?<58;6><;145>4}r350=<72;q6=;:6:c4:?8715<5s4;=8o4m689>532d212;7p}>65194?4|580q~?94583>7}:9?>>6o86;<3503=<1n;74=0473?>?82wx=;=j:181871;o0i:4521763>=>33ty::>h50;0x940383h=563>6539<=253262k<270?9438;<5=z{8<857>52z?226g=j?301<8{t9?9j6=4={<357g016=;=l:9:7?xu6>:h1<7j58928yv71;=0;6?u21716>g0>34;=?;47859~w404=3:1>v3>6249f3?<58<8;7674:p53512909w0?9368a2<=:9?93656?;|q227`=838p1<8<0;`5=>;6>:;145:4}r3575<72;q6=;=>:c4:?871;;03495rs0405?6=:r7::><5b7;89404;323<6s|170b>5<5s4;=>o4m689>534d212?7p}>63`94?4|58<9o7l99:?227b=01>0q~?92b83>7}:9?8o6o86;<356`;<1n;74=0413?>?<2wx=;<9:181871:>0i:452170;>=>33ty::?950;0x940503h=563>63;9<=6=4?:3y>53462k<270?9238;<1=z{8<9=7>52z?2274=j?301<8=3;:;0>{t9?896=4={<3566016=;<;:9:3?xu6>8h1<7g0>34;==h47859~w406l3:1>v3>60g9f3?<58<:j7670:p53712909w0?9168a2<=:9?;3656;;|q2241=838p1<8>8;`5=>;6>83145:4}r355=<72;q6=;?6:c4:?8719h034=5rs0425?6=:r7::<<5b7;89406;32386s|1731>5<5s4;==>4m689>5373212?7p}>60194?4|58<:87l99:?2243=01:0q~?90b83>7}:9?:o6o86;<354`9o1n;74=043b?>?<2wx=;>j:1818718o0i:4521733>=>73ty::=950;0x940703h=563>61;9<=2536>2k<270?90`8;<1=z{8<;57>52z?225g=j?301<8?b;:;4>{t9?:96=4={<3546016=;>;:9:7?xu6>991<7g0>34;=<;47819~w43al3:1>v3>5gg9f3?<58?mj7674:p50`b2909w0?:fg8a2<=:9?:;656;;|q21c`=838p1<8?0;`5=>;6>9;145>4}r36b=<72;q6=8h6:c4:?872nh03495rs07e=?6=:r7:9ko5b7;8943aj32386s|14db>5<5s4;>jo4m689>50`d212;7p}>5g194?4|58?m87l99:?21c3=01>0q~?:f583>7}:96o86;<36b3?82wx=8kj:181872mo0i:45214d3>=>33ty:9hh50;0x943a83h=563>5g39<=2j=4?:3y>50`62k<270?:f38;<5=z{8?n57>52z?21`g=j?301<;jb;:;0>{t9016=8kl:9:7?xu6=lh1<7g0>34;>i;47859~w43b=3:1>v3>5d49f3?<58?n;7674:p50c12909w0?:e68a2<=:9;6=l;145:4}r36a5<72;q6=8k>:c4:?872m;03495rs07f5?6=:r7:9h<5b7;8943b;323<6s|14fb>5<5s4;>ho4m689>50bd212?7p}>5e`94?4|58?oo7l99:?21ab=01>0q~?:db83>7}:9?<2wx=8j9:181872l>0i:45214f;>=>33ty:9i950;0x943c03h=563>5e;9<=6h=4?:3y>50b62k<270?:d38;<1=z{8?o=7>52z?21a4=j?301<;k3;:;0>{t9016=8j;:9:3?xu6=jh1<7g0>34;>oh47859~w43dl3:1>v3>5bg9f3?<58?hj7670:p50e12909w0?:c68a2<=:9;6=j3145:4}r36g=<72;q6=8m6:c4:?872kh034=5rs07`5?6=:r7:9n<5b7;8943d;32386s|14a1>5<5s4;>o>4m689>50e3212?7p}>5b194?4|58?h87l99:?21f3=01:0q~?:bb83>7}:9?<2wx=8lj:181872jo0i:45214a3>=>73ty:9o950;0x943e03h=563>5c;9<=2n54?:3y>50d>2k<270?:b`8;<1=z{8?i57>52z?21gg=j?301<;mb;:;4>{t9016=8l;:9:7?xu6=k91<7g0>34;>n;47819~w43fl3:1>v3>5`g9f3?<58?jj7674:p50gb2909w0?:ag8a2<=:9;6=k;145>4}r36e=<72;q6=8o6:c4:?872ih03495rs07b=?6=:r7:9lo5b7;8943fj32386s|14cb>5<5s4;>mo4m689>50gd212;7p}>5`194?4|58?j87l99:?21d3=01>0q~?:a583>7}:96o86;<36e3?82wx=87j:1818721o0i:45214c3>=>33ty:94h50;0x943f83h=563>5`39<=2m=4?:3y>50g62k<270?:a38;<5=z{8?257>52z?21{t9<3j6=4={<36=g016=87l:9:7?xu6=0h1<7g0>34;>5;47859~w43>=3:1>v3>5849f3?<58?2;7674:p50?12909w0?:968a2<=:9<33656?;|q21=`=838p1<;60;`5=>;6=0;145:4}r36=5<72;q6=87>:c4:?8721;03495rs07:5?6=:r7:94<5b7;8943>;323<6s|14:b>5<5s4;>4o4m689>50>d212?7p}>59`94?4|58?3o7l99:?21=b=01>0q~?:8b83>7}:9<2o6o86;<36<`?<2wx=869:1818720>0i:45214:;>=>33ty:95950;0x943?03h=563>59;9<=64=4?:3y>50>62k<270?:838;<1=z{8?3=7>52z?21=4=j?301<;73;:;0>{t9<296=4={<36<6016=86;:9:3?xu>k?0;6?u217:a>g0>34;=4l47859~w5<5s4;=484m689>53>3212?7p}6c`83>7}:9?286o86;<35<7=>33ty2on4?:3y>531a2k<270?97d8;<1=z{0io6=4={<353a016=;9l:9:7?xu>kl0;6?u2175b>g0>34;=;447859~w5<5s4;=;94m689>5314212?7p}6d383>7}:9?=96o86;<3534=>33ty8ji=50;0x96`c=3h=5637ce12k<270=ic98;<5=z{:lh:7>52z?0bf1=j?301>hl8;:;5>{t;on26=4={<1e`d016?kjl:9:3?xu4nmk1<7g0>349i<447839~w6`b83:1>v3hj4;`5=>;4j9i145?4}r1ea0<72;q6?kk9:c4:?85e8o034?5rs2df2?6=:r78jh95b7;896d7n323=6s|3gg;>5<5s49mi44m689>7g7521297p}7}:;ooh6o86;<1a50hjc;296~;4nln1n;74=2`21?>?92wx?kki:18185an90i:4523c3b>=>63ty?=4m50;0x917>l3h=563;18g9<=5:5i4?:3y>04?b2k<270:>a08;<1=z{=;2i7>52z?75<`=j?3019?n0;:;0>{t<83m6=4={<62e50168:9:0?xu39?:1<780;6?u24041>g0>34>::>47859~w170?3:1>v3;16:9f3?<5=;<57674:p041?2909w0:>788a2<=:<8=j656;;|q776?=838p19=<9;`5=>;3;:k145?4}r604<<72;q68>>6:c4:?8248h034<5rs50gf?6=:r7?>il5b7;8914cm323>6s|43f`>5<5s4>9hn4m689>07bb212:7p}6d583>7}:<;n>6o86;<61`1=>33ty2h;4?:3y>5`gf2k<270?ja88;<1=z{0n<6=4={<3fe2016=ho9:9:7?xu>l10;6?u21dc6>g0>34;nm947859~w2909w0?ja28a2<=:9lk9656;;|q:`d<72;q6=ho>:c4:?87bi903495rs8fa>5<5s4;n5k4m689>5`?b212?7p}6db83>7}:9l336o86;<3f=2=>33ty2hh4?:3y>5`?32k<270?j928;<1=z{0nm6=4={<3f=7016=h7>:9:7?xu>m90;6?u21d;3>g0>34;n4k47859~w5<5s4;n5i4m689>5`>f212?7p}6e583>7}:;<8n6o86;<166a=>33ty2i;4?:3y>70552k<270=:308;<1=z{0o<6=4={<166f016?8m10;6?u23473>g0>349>8k477g9~w2908w0=:538a2<=:;=1a3ty9??<50;0x9755;3h=563=3379<=4>4?:3y>66432k<270<<248;<6=z{0oh6=4={<004c016>>>j:9:7?xu>mm0;6?u2234f>g0>3489:i47859~w726m3:1>v3=40d9f3?<5;>9=7672:p617a2909w0<;218a2<=::=8:656?;|q104g=838p1?:>b;`5=>;5<8n145<4}r075g<72;q6>9?l:c4:?8439m034=5rs8gf>5<5s48<<84m689>6263212;7p}6eg83>7}::>:<6o86;<0443=>73ty2j<4?:3y>626e2k<270<80`8;<5=z{0l96=4={<044a016>:>l:9:3?xu>n:0;6?u2262e>g0>348<;;656?;|q:b0<72;q6>:?<:c4:?8409;034=5rs8d5>5<4s48<<<4m689>6267212?70<9fg8;<1=z{;o<=7>53z?1a24=j?301?k85;:;5>;49?9145<4}r0f37<72:q6>h9<:c4:?84b?<03;k523040>=1a3ty9i:=50;1x97c0<3h=563=e679<=6<5:;=?7670:p=c1=838p1?k9f;`5=>;5m?o145:4}r;e5<5s49;ml4m689>75ge21297p}<0``94?5|5::jn7l99:?04de=01901>?n6;:;4>{t1o31<7v3=e819f3?<5;o2>768f:p=cd=838p1?k87;`5=>;5m><14:h4}r;eg?6=:r79i:j5b7;897c0k32l0q~7ie;296~;5m>l1n;74=3g4a?>0n2wx5kh50;0x967ek323<63<1cd9f3?52z?1a2d=j?301?k8a;:4b>{ti9;1<7v3=e9d9f3?<5;o3i768f:pe55=838p1?k65;`5=>;5m0>14:h4}rc30?6=:r79i495b7;897c>>32l0q~o?6;296~;5m0k1n;74=3g:=?>?:2wxm=950;0x967em3h=563<1cf9<=274d>2k<270=>bc8;<7=z{:;im7>52z?05gg=j?301>?mb;:;5>{ti921<7=1a349;i<4m689~wd6>2909w0=>818a2<=:;8=m659i;|q04`e=838p1>>jc;`5=>;48ln145:4}r13aa<72;q6?=kk:c4:?857ml03;k5rs2343?6=;r78=:65b7;89670i323=63<0d09<=4741>2k<270=>7`8;<5=:;9o9656>;|q1ea5=839p1?ok4;`5=>;5im=145?4=3faf?>?:2wx>lj;:18084fl<0i:4522`f4>=1a348ono477g9~w7gc=3:1?v3=ae49f3?<5;ko;7670:?1`gd=01:0q~o?a;296~;5im;1n;74=3cg4?>?<2wxm=l50;0x97gbl3h=563=ada9<2`6a632k<27053z?1`53=j?301?j?6;:;7>;5loo145>4}rc3g?6=:r79mhh5b7;897gbm32l0q~o?e;296~;5im31n;74=3cg0n2wxm=h50;0x97gcn3h=563=aeg9<2`52z?1e`5=j?301?oj2;:4b>{ti8;1<7586d8yvg6:3:1>v3=e069<=6<5;o:;7l99:pe45=838p1?okd;`5=>;5imi14:h4}rc20?6=:r79mil5b7;897gci32l0q~o>6;296~;5io=1n;74=3ce2?>0n2wxm<950;0x97ga13h=563=ag:9<2`52z?1ec5=j?301?oi2;:4b>{ti8k1<7v3=e049f3?<5;o:97674:p6`762909w02;`5=>;5m89145?4}rc2g?6=:r79hi;5b7;897bc<32386s|2e1a>5<5s48o?o4m689>6a5d21297p}n1e83>7}::mn36o86;<0g`21n;74=3f71?>?<2wx>i:::18184c<<0i:4522e65>=1a3ty9hnh50;1x97bc83h=563=de09<=7<5;n8h7672:p6ab72908w00n2wx>:=::180840;?0i:452261:>=>6348j=i47839~w714>3:1?v3=7259f3?<5;=85768f:?1e4b=0>l0q~<83683>6}::>936o86;<047<l?k:9:3?xuf9l0;6?u22610>g0>348>n659i;|q1=30=838p1?796;`5=>;51?=145<4}r0:22<72:q6>488:c4:?84>>1034>522`43>=>73tyj>=4?:3y>62362k<270<8518;3c=z{h8:6=4={<0412016>:;9:95e?xuf:;0;6?u2261a>g0>348>;659i;|qb61<72;q6>::::c4:?840<=03;k5rs`06>5<5s48<8>4m689>622521=m7p}n2783>7}::h==656?;<0b3<01vl<8:181840;o0i:452261f>=1a3tyj>54?:3y>625c2k<270<83b8;3c=z{h826=4={<0416016>:;=:95e?xuf:h0;6?u2267:>g0>348<95477g9~wd4e2909w0<85c8a2<=::>?j659i;|qb6f<72;q6>:;::c4:?840==03;k5rs`0g>5<5s48<9h4m689>623c21297p}n2d83>7}::h=36o86;<0b3291n;74=3c41?>?:2wx>l9;:18184f?=0i:4522`56>=>63tyj>k4?:3y>6d502k<27052z?1={ti::1<77586d8yv4>i?0;6?u228c5>g0>3482m:47859~w7?f?3:1>v3=9`59f3?<5;3j4768f:p6d562908w0;<0:=c?827954h58938yv4>i;0;6?u228c1>g0>3482m>477g9~w46??3:18vP>0958946?0320959aac<58:357k<9:p55052908wS??639>550421=n70??638f``=z{h9:6=4={<3`1d<30>16=<;=:c4:?xuf;;0;6?u21b74>1>034;:8k4m689~wd532909w0?l5587<2=:98>h6o86;|qb70<72;q6=n;>:5:4?876<00i:45rs`15>5<5s4;h8h4;869>54212k<27p}n3683>7}:9j>i6968;<320601vl=7:18187d<10?4:52104g>g0>3tyj?44?:3y>5f222=2<70?>6`8a2<=z{h9j6=4={<3`07<30>16=<88:c4:?xuf;k0;6?u21b1e>1>034;::94m689~wd5d2909w0?l3b87<2=:98<:6o86;|qb7a<72;q6=n=6:5:4?876=l0i:45rs`1f>5<5s4;h?;4;869>543e2k<27p}n3g83>7}:9j986968;<321=01vl:?:18187d;90?4:521076>g0>3tyj8<4?:3y>5f4c2=2<70?>418a2<=z{h>96=4={<3`16=<7<:c4:?xuf<:0;6?u21b:4>1>034;:5=4m689~wd232909w0?l8587<2=:982o6o86;|qb00<72;q6=n6>:5:4?8760h0i:45rs`65>5<5s4;h;h4;869>54>02k<27p}n4683>7}:9j=i6968;<32<101vl:6:18187d?10?4:5210cf>g0>3tyj8l4?:3y>5f122=2<70?>ac8a2<=z{h>i6=4={<3`37<30>16=1>034;:m84m689~wd2c2909w0?l6b87<2=:98k96o86;|qb0`<72;q6=n86:5:4?8761o0i:45rs`6e>5<5s4;h:;4;869>54?d2k<27p}n5183>7}:9j<86968;<32=<01vl;>:18187d>90?4:5210;5>g0>3tyj9?4?:3y>5f3c2=2<70?>808a2<=z{h?86=4={<3`fd<30>16=1>034;:h<4m689~wd322909w0?lb587<2=:98in6o86;|qb13<72;q6=nl>:5:4?876kk0i:45rs`74>5<5s4;hmh4;869>54e?2k<27p}n5983>7}:9jki6968;<32g001vl;6:18187di10?4:5210ge>g0>3tyj9l4?:3y>5fg22=2<70?>eb8a2<=z{h?i6=4={<3`e7<30>16=1>034;:i;4m689~wd3b2909w0?l9b87<2=:98o86o86;|qb1c<72;q6=n76:5:4?876m90i:45rs`43>5<5s4;h5;4;869>54bc2k<27p}n6083>7}:9j386968;<32`d01vl8=:18187d190?4:5210f4>g0>3tyj:>4?:3y>5f>c2=2<70?>c38a2<=z{h16;985b7;8yvg1=3:1>v3>86o86;|qb23<72;q6?i01vl87:18185c:h0?4:5272c9f3?52z?0`7?=<1=01:=8:c4:?xuf>h0;6?u23e15>1>034===7l99:pe3d=838p1>j<5;6;3>;0=l0i:45rs`4`>5<5s49o?94;869>30d=j?30q~o9d;296~;4l:918594=67;>g0>3tyj:h4?:3y>7a552=2<709:5;`5=>{ti?l1<77}:;m836968;<57=?d112wxm::50;0x96b5?3>3;638358a2<=z{?lj6=4<{_4ee>;08>0i:4526gc9aac53z\5b==:?9<1n;74=7d;>`bb3ty=j:4?:2y]2c1<5>:>6o86;<4e3?ccm2wx;==50;1xZ26434=:<7l99:?446=:180[17:27<354=mmo0q~9?1;297~X08816;=k5b7;892662lnn7p}80183>6}Y?9:01:>k:c4:?81783ooi6s|6gd94?5|V?lm709?c;`5=>;1no0nhh5rs7df>5<4sWoo1iik4}r4e`?6=;rT=ji5271c9f3?<5?lo6hjj;|q5bf<72:qU:km4=62:>g0>34016:k85eeg8yv16;3:1?vP8129>343=j?301:?<:dff?xu0980;6>uQ703892732k<2709>1;gga>{t?:;1<7=t^612?814;3h=5638308f``=z{>8m6=4<{_51b>;0;;0i:45273d9aac53z\453=:?8=1n;74=635>`bb3ty<=54?:2y]34><5>;26o86;<5234e=mmo0q~994;297~X0>=16;;;5b7;892032lnn7p}86783>6}Y??<01:88:c4:?811>3ooi6s|1b3;>5<5s4;h=:4;869>5f7?2k<27p}>db394?4|58nh<7:77:?2`f7=j?30q~?kc783>7}:9mi>6968;<3gg301vg0>3ty:hnh50;0x94bdm3>3;63>dbd9f3?5abf2=2<70?kdc8a2<=z{:oo47>52z?0aa1=<1=01>kk8;`5=>{t=:2:6=4={<70<5<30>169>6>:c4:?xu2;<=1<7?895b7;8yv7d9=0;6>u21b31>1>034;h=94m689>5f742=2<7p}>fda94?4|58lnn7:77:?2b`e=j?30q~<>5c83>7}::8?j6968;<021g01v9;92;296~;3=?;18594=5756?d112wx888?:181822=o0?4:524443>g0>3ty?8l>50;0x912>n3>3;63;4`29f3??;o4?:3y>011f2=2<70:;7c8a2<=z{:?;;7>58z?0156=<1=01>;?7;`5=>;4=9;18594=2736?2??2789==549589637<3>3;63<51790=1<5:?;:7:77:p71`b290iw0=;f587<2=:;=l>6968;<17b3<30>16?9h8:5:4?853n10?4:5235d:>1>0349?jl4;869>71`e2=2<70=;fb87<2=:;=lo6968;<17bc01v?=lb;296~;5;jk18594=31`f?d112wx>>m6:181844k10?4:5222a:>g0>3ty9?=750;0x975703>3;63=31;9f3?67c42=2<70<=e58a2<=z{:;=?7>52z?0536=<1=01>?93;`5=>{t:mhi6=4={<0gf=<30>16>ilm:c4:?xu5i8n1<7u23b33>g0>349h076896e4032nj6s|3b2`>5<4s49h7f6e28:=8637f6f2k<270=l0882432<5:i8976jf:p7f6?2908w0=l098a2<=:;j:<6<>94:?0g62=0ll0q~=l0783>6}:;j:=6o86;<1`40<68?>01>m<3;:fb>{t;j:?6=4<{<1`41016?n><:0250>;4k:814hh4}r1`47<72:q6?n>=:c4:?85d880:<;:4=2a05?>bn2wx?n>?:18085d890i:4523cde>461<278o>>58dd8yv5enl0;6>u23cdf>g0>349iji4>076896e5n32nj6s|3cd`>5<4s49ijn4m689>7g`e28:=8637g`f2k<270=mf882432<5:i9h76jf:p7g`12908w0=mf78a2<=:;kl>6<>94:?0g7d=0ll0q~=mf583>6}:;kl?6o86;<1ab6<68?>01>m=a;:fb>{t;kl96=4<{<1ab7016?oh>:0250>;4k;314hh4}r1ab5<72:q6?oh?:c4:?85emo0:<;:4=2a1bn2wx?okj:18085eml0i:4523cgg>461<278o?958dd8yv5emj0;6>u23cg`>g0>349iio4>076896e5>32nj6s|3cgb>5<4s49iil4m689>7gc>28:=8637gc?2k<270=me682432<5:i9876jf:p7gc12908w0=me78a2<=:;ko>6<>94:?0g75=0ll0q~=me583>6}:;ko?6o86;<1aa6<68?>01>m=2;:fb>{t;j;n6=4<{<1`5`016?n?k:0250>;4k=;14hh4}r1`5f<72:q6?n?l:c4:?85d9k0:<;:4=2a74?>bn2wx?n?n:18085d9h0i:4523b3:>461<278o>h58dd8yv5d910;6>u23b3;>g0>349h=:4>076896e4m32nj6s|3b35>5<4s49h=;4m689>7f7228:=8637f732k<270=l1282432<5:i8o76jf:p7f752908w0=l138a2<=:;j;:6<>94:?0g6d=0ll0q~=l0d83>6}:;j:n6o86;<1`4a<68?>01>m<7;:fb>{t;kl36=4<{<1ab=016?oh8:0250>;4k;i14hh4}r647d<72:q68:=n:c4:?820;00:<;:4=557f?>bn2wx8:=7:180820;10i:4524614>461<27?;9o58dd8yv20;?0;6>u24615>g0>34>07689113132nj6s|4617>5<4s4>025428:=863;75:9<``02552k<270:83082432<5==?;76jf:p02732908w0:8158a2<=:<>;86<>94:?7373=0ll0q~:81383>6}:<>;96o86;<6454<68?>0199=4;:fb>{t<>;;6=4<{<64550168:>i:0250>;3?;914hh4}r644`<72:q68:>j:c4:?8208m0:<;:4=5516?>bn2wx8:>l:1808208j0i:452462a>461<27?;??58dd8yv21lk0;6>u247fa>g0>34>=hl4>0768910aj32nj6s|47f:>5<4s4>=h44m689>03b?28:=863;6gc9<``=h84?:2y>03b22k<270:9d582432<5=94:?72c1=0ll0q~:9d083>6}:0198i6;:fb>{t0168;mj:0250>;3>o?14hh4}r65ga<72:q68;mk:c4:?821kj0:<;:4=54e0?>bn2wx8;mm:180821kk0i:45247ab>461<27?:k=58dd8yv21k00;6>u247a:>g0>34>=o54>0768910a:32nj6s|47a4>5<4s4>=o:4m689>03e128:=863;6g39<``=o84?:2y>03e22k<270:9c582432<5=94:?72``=0ll0q~:9ec83>6}:0199?5;:fb>{t0168;k7:0250>;3?9>14hh4}r65a2<72:q68;k8:c4:?821m?0:<;:4=5537?>bn2wx8;k::180821m<0i:45247g7>461<27?;=<58dd8yv21m:0;6>u247g0>g0>34>=i?4>07689117932nj6s|47g2>5<4s4>=i<4m689>03c728:=863;7129<``=hk4?:2y>03ba2k<270:9dd82432<5=94:?72cc=0ll0q~:9d683>6}:0198i9;:fb>{t:8nn6=4<{<02``016>;59l314hh4}r02`f<72:q6>bn2wx>461<279=h958dd8yv46l10;6>u220f;>g0>348:h:4>0768977b>32nj6s|20f5>5<4s48:h;4m689>64b228:=863=1d79<``64b32k<270<>d282432<5;;n876jf:p64b52908w0<>d38a2<=::8n:6<>94:?15`5=0ll0q~<>d183>6}::8n;6o86;<02gc<68?>01??j2;:fb>{t:9016>=8j:0250>;58>k14hh4}r032a<72:q6>=8k:c4:?847>j0:<;:4=324=?>bn2wx>=8m:180847>k0i:452214b>461<279<:658dd8yv47>00;6>u2214:>g0>348;:54>07689760?32nj6s|2144>5<4s48;::4m689>650128:=863=0649<``65022k<27094:?1422=0ll0q~6}::9<:6o86;<0325<68?>01?>83;:fb>{t<=>>6=4<{<670001689:;:0250>;3<=o14hh4}r66=1<72:q6887;:c4:?8221:0:<;:4=57:`?>bn2wx89mj:180823kl0i:45245ag>461<27?8kl58dd8yv241:0;6>u242;0>g0>34>85?4>0768915f932nj6s|42;2>5<4s4>85<4m689>06?728:=863;3`29<``84k4?:2y>06>a2k<270:<8d82432<5=92j76jf:p06>c2908w0:<8e8a2<=:<:2h6<>94:?776}:<:2i6o86;<60019=6d;:fb>{t<:226=4<{<60<<0168>67:0250>;3;0i14hh4}r60<2<72:q68>68:c4:?8240?0:<;:4=51:f?>bn2wx8>6::1808240<0i:45242:7>461<27??4o58dd8yv240:0;6>u242:0>g0>34>84?4>0768915>132nj6s|42`7>5<4s4>8n94m689>06d428:=863;3b09<``8n?4?:2y>06d52k<270:94:?77f6=0ll0q~:6}:<:kn6o86;<60ea<68?>019=mf;:fb>{t<:kh6=4<{<60ef0168>om:0250>;3;ko14hh4}r60ed<72:q68>on:c4:?824i00:<;:4=51a`?>bn2wx8>o7:180824i10i:45242c4>461<27??om58dd8yv24i?0;6>u242c5>g0>34>8m84>0768915ej32nj6s|42c7>5<4s4>8m94m689>06g428:=863;3cc9<``:4=4?:2y>04>72k<270:>7g82432<5=;2>76jf:p04>52908w0:>838a2<=:<82:6<>94:?75<5=0ll0q~:>8583>6}:<82?6o86;<62<6<68?>019?64;:fb>{t<82=6=4<{<62<30168<6::0250>;390?14hh4}r62<=<72:q68<67:c4:?8260>0:<;:4=53:2?>bn2wx8<6n:1808260h0i:45240::>461<27?=4958dd8yv260j0;6>u240:`>g0>34>:4o4>0768917>032nj6s|40:f>5<4s4>:4h4m689>04>c28:=863;18;9<``;9i4?:2y>053c2k<270:?5b82432<5=:3976jf:p053>2908w0:?588a2<=:<9?36<>94:?74=5=0ll0q~:?5683>6}:<9?<6o86;<6313<68?>019>72;:fb>{t<9?>6=4<{<63100168=;;:0250>;381;14hh4}r6316<72:q68=;<:c4:?827=;0:<;:4=52;4?>bn2wx8=;>:180827=80i:4524173>461<27?<:h58dd8yv27u2416e>g0>34>;8h4>07689160m32nj6s|416g>5<4s4>;8i4m689>052d28:=863;06f9<``;8o4?:2y>052e2k<270:?4`82432<5=:2908w0:?488a2<=:<9>36<>94:?742d=0ll0q~:?4683>6}:<9><6o86;<6303<68?>019>8a;:fb>{t<9>86=4<{<63060168=:=:0250>;38>214hh4}r6304<72:q68=:>:c4:?827<90:<;:4=5243?>bn2wx8==i:180827;o0i:452411f>461<27?<:858dd8yv27;m0;6>u2411g>g0>34>;?n4>07689160=32nj6s|411a>5<4s4>;?o4m689>055f28:=863;0669<``;?44?:2y>055>2k<270:?3982432<5=:94:?7424=0ll0q~:?3483>6}:<99>6o86;<6371<68?>019>81;:fb>{t<9986=4<{<63760168===:0250>;38>:14hh4}r6374<72:q68==>:c4:?827;90:<;:4=525b?>bn2wx8=8m:180827>k0i:452414b>461<27?<5k58dd8yv27>00;6>u2414:>g0>34>;:54>0768916?l32nj6s|4144>5<4s4>;::4m689>050128:=863;09a9<``;:84?:2y>05022k<270:?6582432<5=:3n76jf:p05042908w0:?628a2<=:<9<96<>94:?74=g=0ll0q~:?6083>6}:<9<:6o86;<6325<68?>019>79;:fb>{t<9?m6=4<{<631c0168=;j:0250>;381214hh4}r631g<72:q68=;m:c4:?827=h0:<;:4=52;0?>bn2wx8=:::180827<<0i:4524167>461<27?<:758dd8yv44;>0;6>u22214>g0>3488?;4>07689751<32nj6s|22dg>5<4s488ji4m689>66`d28:=863=4149<``67gb2k<270<=ae82432<5;8i;76jf:p6c5e2908w094:?1b<7=0ll0q~6}::ll36o86;<0fb2<68?>01?h>f;:fb>{t:ll=6=4<{<0fb3016>hh::0250>;5n8o14hh4}r0fb1<72:q6>hh;:c4:?84bn:0:<;:4=3d2`?>bn2wx>hh>:18084bn80i:4522dd3>461<279ju22dge>g0>348nih4>076897`6i32nj6s|2dgg>5<4s48nii4m689>6`cd28:=863=f0;9<``6`ce2k<27094:?1fc5=0ll0q~6}::k?j6o86;<0a1<<68?>01?l71;:fb>{t:k?36=4<{<0a1=016>o;8:0250>;5j1:14hh4}r0a13<72:q6>o;9:c4:?84e=<0:<;:4=3`4b?>bn2wx>o;<:18084e=:0i:4522c71>461<279n:j58dd8yv4e=80;6>u22c72>g0>348i9=4>076897d0k32nj6s|2c6e>5<4s48i8k4m689>6g2b28:=863=b6`9<``6g2c2k<270ln6<>94:?1<03=0ll0q~<8bb83>6}::>hh6o86;<04fg<68?>01?9j3;:fb>{t:>hj6=4<{<04fd016>:l6:0250>;5?l814hh4}r04f=<72:q6>:l7:c4:?840j>0:<;:4=35f5?>bn2wx>:l::180840j<0i:45226`7>461<279;ih58dd8yv40j:0;6>u226`0>g0>3480768971cm32nj6s|26`2>5<4s4862d728:=863=7ef9<``62ga2k<270<8ad82432<5;=oo76jf:p7f5f2909w0=l3`8a2<=:;j9265ki;|q7314=838p199;2;`5=>;3?=;14hh4}r645f<72;q68:?l:c4:?8209k03ik5rs54e`?6=:r7?:kj5b7;8910ak32nj6s|20ga>5<5s48:io4m689>64cf21om7p}=06a94?4|5;:7}:<:336o86;<60=2bn2wx8<7m:1818261k0i:45240;b>=ca3ty?<5950;0x916??3h=563;0949<``<4?:3y>6c462k<27052z?1f=5=j?301?l72;:fb>{t:>o>6=4={<04a0016>:k;:9ge?xuf?<0;6?u248g7>g0>34>2i>47859~wd112909w0:l4c8a2<=:j656;;|qb32<72;q69?jn:c4:?835l0034?5rs`5;>5<5s49i=h4m689>7g7c212?7p}n7883>7}:01vl9n:18182f:k034?524`0`>g0>3tyj;o4?:3y>0d7b212970:n1g8a2<=z{h=o6=4={<71f40169?l?:9:7?xuf?l0;6?u248a4>g0>34>2o;47859~wd1a2909w0:63g8a2<=:<09o656;;|qb<5<72;q68l;?:c4:?82f5<5s4>2:54m689>0<00212:7p}n8283>7}:=>63tyj484?:3y>0dc22k<270:ne58;<4=z{h2=6=4={<6bb40168lh?:9:2?xuf0>0;6?u24`dg>g0>34>jjn47809~wd>?2909w0:m088a2<=:;|qb<<<72;q68o?::c4:?82e9=034<5rs`:b>5<5s4>3o>47859>0=e32k<27p}n8c83>7}:<1826o86;<6;6==>43tyj4h4?:3y>06`02k<270:0168l79:9:0?xuf190;6?u24056>g0>34>:;947829~wd?62909w0:>658a2<=:<8<8656<;|qb=7<72;q68<9m:c4:?826?h034>5rs`;0>5<5s48o?n47859>6a5c2k<27p}n9583>7}::03n656;;<0:=c01vl7::18182e>?0i:4524c46>=>73tyj5:4?:3y>001684;9:9:1?xuf100;6?u248:6>g0>34>24947839~wd?f2909w0:6a78a2<=:<0k>656=;|qb=g<72;q684l9:c4:?82>j<034?5rs`;`>5<5s4>345477g9>0=>>2k<27p}n9e83>7}:=>43tyj5k4?:3y>0de72k<270:nc28;<1=z{hk;6=4={<6b1f0168l;m:9:0?xufi;0;6?u24`4:>g0>34>j:547829~wdg42909w0:n238a2<=:5rs`c6>5<5s4>j?i4m689>0d5d21287p}na783>7}:;8lo6o86;<12bf=>63tyjm54?:3y>776a2k<270==0d8;<4=z{hk26=4={<114a016??>l:9:2?xufih0;6?u2332a>g0>3499;|qbef<72;q6??>8:c4:?8558?034<5rs`cg>5<5s499<84m689>7763212:7p}nad83>7}:;;:86o86;<1147=>63tyjn=4?:3y>74`a2k<270=>fd8;<4=z{hh:6=4={<12b<016?g0>348n8547809~wdd42909w0=656>;|qbf1<72;q6>h;m:c4:?84b=h034<5rs``6>5<5s48n944m689>6`3?212:7p}nb683>7}::l?<6o86;<0f13=>63tyjn44?:3y>6`342k<270016>h;?:9:2?xufjk0;6?u22d6e>g0>348n8h47809~wddd2909w0h656>;|qbfa<72;q6>h:m:c4:?84b5<5s48n884m689>6`23212:7p}nbg83>7}::hki6o86;<0bed=>63tyjo?4?:3y>6ddc2k<270016>lln:9:2?xufk=0;6?u22``:>g0>348jn547809~wde22909w0;|qbg3<72;q6>ll::c4:?84fj=034<5rs`a4>5<5s48jn>4m689>6dd5212:7p}nc983>7}::hh:6o86;<0bf5=>63tyjol4?:3y>6dgc2k<270016>lo9:9:2?xufkm0;6?u248`7>g0>34>2n>47859~wdeb2909w0:6a58a2<=:<0k8656;;|qbgc<72;q6846<:c4:?82>0;03495rs`f3>5<5s4>jn;4m689>0dd221297p}nd083>7}:k0i:4524`4b>=>53tyjh>4?:3y>0d3b2k<270:n5e8;<7=z{hn?6=4={<6b760168l==:9:1?xufl?0;6?u24`05>g0>34>j>847839~wdb02909w0:n168a2<=:5<5s4?9j44m689>17`?21=m7p}nd`83>7}:=;l3656?;<71bd01vljm:18185e8:0i:4523c21>=1a3tyjhn4?:3y>7g632k<270=m038;<5=z{hno6=4={<1bb0016?lh;:95e?xufll0;6?u23`d5>g0>349jj947819~wdba2909w0:7988a2<=:<133659i;|qba5<72;q6857n:c4:?82?11034=5rs`g2>5<5s4?9m54m689>17g021=m7p}ne383>7}:=;k26o86;<71e2=1a3tyji94?:3y>61432k<270<;238;<5=z{ho>6=4={<1a5<016?o?7:95e?xufm?0;6?u23c3b>g0>349i=547819~wdc02909w0;=e48a2<=:=;ii659i;|qba=<72;q69?k9:c4:?835kk034=5rs`g:>5<5s49o<44m689>7a6?21=m7p}ne`83>7}:;m:j6o86;<1g4==1a3tyjin4?:3y>5gbc2k<270?mdc8;<5=z{hoo6=4={<1egf016?kmn:95e?xufml0;6?u23gag>g0>349mol47819~wdca2909w0:m598a2<=:034=5rs`d2>5<5s4>8ik4m689>06cb21=m7p}nf383>7}:<:l;6o86;<60a`g0>3tyjj94?:3y>06`5212;70:6=4={<13a=016?=k8:95e?xufn?0;6?u231g:>g0>349;i:47819~wd`02909w0=?e38a2<=:;9o:659i;|qbb=<72;q6?=k<:c4:?857m8034=5rs`db>5<5s4>3594m689>0=?421=m7p}nfc83>7}:<13>6o86;<6;=6=80i:4524873>=>33tyjji4?:3y>17`e2k<270;=f`8;<7=z{hln6=4={<60a60168>ji:9:7?xufno0;6?u243;b>g0>34>95447859~wg672909w0;=b`8a2<=:=;h>656?;|qa44<72;q69?lj:c4:?835jm034=5rsc21>5<5s4?9o=4m689>17da212;7p}m0283>7}:=;i?6o86;<71g6::181835k?0i:45253a6>=>73tyi<;4?:3y>17e52k<270;=c08;<5=z{k:<6=4={<71g=0169?m8:9:3?xue810;6?u253ab>g0>34?9o447819~wg6>2909w0;=cg8a2<=:=;in656?;|qa4d<72;q69?j>:c4:?835l9034=5rsc2a>5<5s4?9oi4m689>17ed212;7p}m0b83>7}:=;n>6o86;<71`1k:181835l>0i:45253f5>=>73tyi0=d02k<270:7b78;<1=z{k;;6=4={<6;7g01685=n:9:7?xue980;6?u2491e>g0>34>3?h47859~wg752909w0:7448a2<=:<1>?656;;|qa56<72;q685:8:c4:?82?5<5s4>38<4m689>0=27212?7p}m1483>7}:<19o6o86;<6;7f=>33tyi=:4?:3y>0=502k<270:7378;<1=z{k;36=4={<6:a501684ji:9:7?xue900;6?u253d`>=1a34?9ji4m689~wg7f2909w0;=fg8a2<=:=;lh656?;|qa5g<72;q688=i:c4:?822;l03;k5rsc3`>5<5s4>>8=4m689>005b212;7p}m1e83>7}:::k36o86;<00e2=>73tyi=k4?:3y>0dg72k<270:n9g8;3c=z{k8;6=4={<6be40168l7i:9:3?xue:80;6?u24`3`>g0>34>j=o477g9~wg452909w0:n1c8;<5=:5<5s49i=84m689>7g74212;7p}m2483>7}:;k;:6o86;<1a55=>73tyi>:4?:3y>7g6b2k<270=m0e8;3c=z{k836=4={<1a4c016?o>k:9:3?xue:00;6?u23c2a>g0>349i7:c4:?85e8>03;k5rsc0`>5<5s49i<44m689>7g60212;7p}m2e83>7}:=>73tyi?=4?:3y>17d02k<270;=b78;3c=z{k9:6=4={<71f=0169?l9:9:3?xue;;0;6?u253ff>g0>34?9hi477g9~wg542909w0;=dg8a2<=:=;no656?;|qa71<72;q6847m:c4:?82>1h03;k5rsc16>5<5s4>25n4m689>07}:=;ln6o86;<71ba0i:4523bc6>=>33tyi?54?:3y>7f?42k<270=l938;<1=z{k926=4={<71f60169?l=:95e?xue;h0;6?u253`7>g0>34?9n?47819~wg5e2909w0:63e8a2<=:<09h659i;|qa7f<72;q684=j:c4:?82>;j034=5rs0a`5?6=:r7:ook5495894ed93h=56s|1ba3>5<5s4;hnk4;869>5fe72k<27p};c5094?4|5=i?=7:77:?7g14=j?30q~;=5g83>7}:=;?n6968;<711c01v9:93;296~;399:181824?<0?4:524255>g0>3ty?88;50;0x9122<3>3;63;4479f3?:o?4?:3y>04e62=2<70:>c38a2<=z{=8ni7>52z?76`b=<1=019{t:;hn6=4={<01fa<30>16>?lj:c4:?xu5:ji1<7nm5b7;8yv45<=0;6?u22360>1>03489894m689~w66fm3:1>v3<0`f90=1<5::ji7l99:p74g12909w0=>a487<2=:;8k=6o86;|q1`5>=838p1?j?7;6;3>;5l921n;74}r0gb`<72;q6>ihk:5:4?84cnl0i:45rs3;5e?6=:r795;75495897?1i3h=56s|2`43>5<5s48j9k4;869>6d072k<27p}m4083>7}:<8k96968;<62=401vo:=:181826i:0?4:5240;1>g0>3tyi8>4?:3y>04g32=2<70:>928a2<=z{k>?6=4={<62e0<30>168<7;:c4:?xue<<0;6?u240c5>1>034>:584m689~wg212909w0:>a687<2=:<83=6o86;|qa02<72;q680i:45rsc6;>5<5s4>:m44;869>04??2k<27p}m4883>7}:<8kj6968;<62=<01vo:n:1818260m0i:45240;b>1433tyi8o4?:3y>04ge2=2<70:>9`8a2<=z{k>h6=4={<0461<30>16>8<>:c4:?xue1>0348>>?4m689~wg2b2909w0<82787<2=::<886o86;|qa0c<72;q6>:<8:5:4?842:=0i:45rsc73>5<5s48<>54;869>60422k<27p}m5083>7}::>826968;<066301vo;=:181840:h0?4:522404>g0>3tyi9>4?:3y>624e2=2<70<:298a2<=z{k?>6=4={<6f7c<30>168h=j:c4:?xue=?0;6?u2497;>1>034>39:4m689~wg302909w0:m5b8a2<=:5rs55;=?6=:r7?;5951147?820000i:45rs55;1?6=:r7?;595b7;8911?03>986s|46:b>5<5s4><454>0768911?i3h=56s|4675>5<5s4><994>07689112>3h=56s|4671>5<5s4><994m689>02322=8?7p};74594?4|5==>97??659>02302k<27p}m5883>7}::8l96968;<02a401vo;n:181846n:0?4:5220g1>g0>3tyi9o4?:3y>64`32=2<70<>e28a2<=z{k?h6=4={<02b0<30>16>1>0348:i84m689~wg3b2909w0<>f687<2=::8o=6o86;|qa1c<72;q6>0i:45rsc43>5<5s48:j44;869>64c?2k<27p}m6083>7}::8lj6968;<02a<01vo8=:181846lm0i:45220gb>1433ty29h4?:3y>64`e2=2<70<>e`8a2<=z{0?m6=4={<03<6<30>16>=9=:c4:?xu>>90;6?u221:7>1>0348;;>4m689~w<062909w0=69:5:4?847?<0i:45rs840>5<5s48;4:4;869>65112k<27p}66583>7}::9236968;<033201v48::181847000?4:52215;>g0>3ty2:;4?:3y>65>f2=2<7016>=9n:c4:?xu>>10;6?u2214f>g0>348;;o4;259~w<0>2909w0m7:5:4?824j>0i:45rs84a>5<5s4>8on4;869>06df2k<27p}66b83>7}:<:in6968;<60fg01v48k:181824l90?4:5242``>g0>3ty2:h4?:3y>06b52=2<70:168>lj:c4:?xu>?90;6?u242f5>1>034>8nk4m689~w<162909w0:jn:5:4?824k80i:45rs850>5<5s4>8hn4;869>06e52k<27p}67583>7}:<:h86o86;<60f=<3:=1v49::181824kh0?4:5242`;>g0>3ty2;;4?:3y>05`62=2<70:?6d8a2<=z{0=<6=4={<63bf<30>168=96:c4:?xu>?10;6?u24024>1>034>;494m689~w<1>2909w0:>0`87<2=:<9236o86;|q:3d<72;q68<>m:5:4?827000i:45rs85a>5<5s4>:05>f2k<27p}67b83>7}:<8:o6968;<6301v49k:1818268l0?4:5241:`>g0>3ty2;h4?:3y>046a2=2<70:?8e8a2<=z{0=m6=4={<6255<30>168=6j:c4:?xu>090;6?u241d1>1>034>;:k4m689~w<>62909w0:?f287<2=:<9=;6o86;|q:<7<72;q68=h;:5:4?827?80i:45rs8:0>5<5s4>;j84;869>05152k<27p}68583>7}:<9l=6968;<633601v46::181827n>0?4:524157>g0>3ty24:4?:3y>05`?2=2<70:?748a2<=z{0236=4={<63b<<30>168=99:c4:?xu>000;6?u241db>1>034>;;:4m689~w<>f2909w0:?fc87<2=:<9=36o86;|q:5<5s4>;jh4;869>051e2k<27p}68e83>7}:<9lm6968;<633f01v46j:181826890?4:52415g>g0>3ty24k4?:3y>04662=2<70:?7d8a2<=z{03;6=4={<6247<30>168=9i:c4:?xu>180;6?u24020>1>034>;4=4m689~w0587<2=:<92:6o86;|q:=6<72;q68<>::5:4?8270;0i:45rs8;7>5<5s4>:<;4;869>05>42k<27p}69483>7}:<8:36968;<63<001v479:181827=j0i:45241:5>1433ty25:4?:3y>046>2=2<70:?878a2<=z{=ohm7>56z?7afg=999870:n818;3c=:<656>;<6;b3r7?inl51110?82f09034=5244f6>=>534>3j;47819>0<70212;70:9808;<4=z{=oho7>56z?7afe=999870:n818;<4=:<656;;<6;b3r7?inj51110?82f09034?5244f6>=>434>3j;47839>0<70212:70:9808;<5=z{=ohi7>56z?7afc=999870:n818;<6=:<659i;<6;b35rs5fge?6=;r7?hio51110?82?j;03;k524efa>=>73ty?him50;1x91bck3;;?>5249`1>=>734>ohi47819~w1bcm3:1?v3;deg9555434>3n?47809>0aba212;7p};dd294?5|5=nn<7??329>0=d5212970:ke08;<5=z{=nn>7>53z?7``4=999870:7b38;<6=:1<7=t=5fg0?77;:1685l>:9:2?82cl<034=5rs5fg2?6=;r7?hi851110?82?j8034?524ef4>=>73ty?hi650;1x91bc03;;?>5249`2>=>434>oh447819~w1bfm3:1?v3;d`g9555434>3m9477g9>0aga21=m7p};dc294?5|5=ni<7??329>0=g3212;70:kb08;<5=z{=ni>7>53z?7`g4=999870:7a58;<4=:1<7=t=5f`0?77;:1685ok:95e?82ck<034=5rs5f`2?6=;r7?hn851110?82?im034=524ea4>=>73ty?hn650;1x91bd03;;?>5249cg>=>634>oo447819~w1bdi3:1?v3;dbc9555434>3mi47839>0aee212;7p};dba94?5|5=nho7??329>0=gc212870:kce8;<5=z{=nhi7>53z?7`fc=999870:7ae8;<1=:=839p19jm8;3376=:<1kj659i;<6gf<=>73ty?hn>50;1x91bd83;;?>5249cb>=>434>oo<47819~w1bd:3:1?v3;db09555434>3ml47859>0ae4212;7p}7c3021=m7p}7??329>7c30212;7p}7c30212:7p}7c3021297p}7c3021287p}7c30212?7p};a6a94?4|5=k0q~:n7e83>7}:0q~:7e683>7}:<1o36o86;<6;1396o86;<6`=a<39h168n7i:53b?82di80?=l524bc0>17f34>hm84;1`9>0fg02=;j70:k7e875d=:17f34>o4o4;1`9>0abf2=;j70:kdb875d=:17f34>oh94;1`9>0ab12=;j70:kd9875d=:17f34>oo94;1`9>0ae12=;j70:kc9875d=:17f34>onn4;1`9>0adb2=;j70:kc1875d=:i656>;<6g24k034<524e4g>=>634>o:k47809>0a16212:70:k728;<4=:656>;<6g32=>634>o9947809>0a31212:70:k598;<4=:;<6g1f9034<524e47>=>634>o:;47809>0a0?212:7p};d5`94?4|5=n?n7l99:?7`1g=<1=0q~:k6083>7}:1v9j99;296~;3l?31n;74=5f56?2??2wx8i8m:18182c>k0i:4524e4b>1>03ty?h;j50;0x91b1l3h=563;d7a90=1o:k4?:3y>0a0a2k<270:k6d87<2=z{=n<=7>52z?7`27=j?3019j80;6;3>{t0168i9=:5:4?xu3l>?1<70;6?u24e54>g0>34>o;;4;869~w1b3m3:1>v3;d5g9f3?<5=n?h7:77:p0a372909w0:k518a2<=:m6968;|q7`04=838p19j:2;`5=>;3l<;18594}r6g11<72;q68i;;:c4:?82c=:0?4:5rs5f62?6=:r7?h885b7;891b2=3>3;6s|4e7;>5<5s4>o954m689>0a302=2<7p};d4c94?4|5=n>m7l99:?7`0?=<1=0q~:k5b83>7}:1v9j:e;296~;3l90i:4524e7e>1>03ty?h;:50;0x91b1<3h=563;d7190=1o:;4?:3y>0a012k<270:k6487<2=z{=n=47>52z?7`3>=j?3019j97;6;3>{tn6=4>8z?7f1c=j?3019j?6;:;5>;3l8i145?4=5f10?>?927?h?85893891b50323=63;d3c9<=7<5=n9o7671:?7`7c=01;019j<0;:;5>;3l:8145?4=5f3=?>?927?h=l5893891b7l323=63;d1d9<=7<5=n:=7671:?7`45=01;019j>5;:;5>;3l8=145?4=5f2=?>?927?h6968;|q7`4e=838p19j>c;`5=>;3l9=18594}r6g61<72;q68i<;:c4:?82c9m0?4:5rs5f12?6=:r7?h?85b7;891b5=3>3;6s|4e0;>5<5s4>o>54m689>0a402=2<7p};d3c94?4|5=n9m7l99:?7`7?=<1=0q~:k2b83>7}:1v9j=e;296~;3l;o1n;74=5f1`?2??2wx8i=?:18182c;90i:4524e0e>1>03ty?h><50;0x91b4:3h=563;d2390=1o<44?:3y>0a6>2k<270:k0987<2=z{=n;n7>52z?7`5d=j?3019j?a;6;3>{t0168i>l:5:4?xu3l9l1<7g0>34>o==4;869~w1b6;3:1>v3;d019f3?<5=n:>7:77:p0a722909w0:k148a2<=:7;`5=>;3l8<18594}r6g5<<72;q68i?6:c4:?82c910?4:5rs5f2f?6=:r7?h3;6s|4e3e>5<5s4>o=k4m689>0a7b2=2<7p};d3394?4|5=n9=7l99:?7`76=<1=0q~:k2283>7}:1v9l;1;295g}:86o86;<64a`=>334>02`?212?70:8f`8;<1=:<>lh656;;<6;51=>334>3=n47859>0=7b212?70:7218;<1=:<189656;;<6`a5<39h168nk=:53b?82dm=0?=l524bg5>17f34>hi54;1`9>0fcf2=;j70:leb875d=:0168n7j:95e?82di?03;k524e5f>=1a34>o4=477g9>0a>521=m70:k858;3c=:=1a34>ohk477g9>0ac621=m70:ke28;3c=:03;k524ef:>=1a34>on<477g9>0ad421=m70:kb48;3c=:=1a34>ooi477g9>0aea21=m70:kb88;3c=:=1a3ty?o4k50;0x91e>m3h=563;c8f90=1hm=4?:3y>0f?a2=2<70:la18a2<=z{=ij>7>52z?7gd7=<1=019mn2;`5=>{t168no;:c4:?xu3kh<1<71>034>hm54m689~w1b0m3:1>v3;d6g9f3?<5=n72909w0:k818a2<=:;3l1;18594}r6g<1<72;q68i6;:c4:?82c0:0?4:5rs5f;2?6=:r7?h585b7;891b?=3>3;6s|4e:b>5<5s4>o4l4m689>0a>>2=2<7p};d9a94?4|5=n3o7l99:?7`=d=<1=0q~:kdc83>7}:1v9jkd;296~;3lmn1n;74=5fgg?2??2wx8iji:18182clo0i:4524eff>1>03ty?hh?50;0x91bb93h=563;dd290=1oi>4?:3y>0ac42k<270:ke387<2=z{=no=7>52z?7`a7=j?3019jk0;6;3>{t0168ij=:5:4?xu3lm?1<70;6?u24ef4>g0>34>oh;4;869~w1bc13:1>v3;de;9f3?<5=no47:77:p0aga2909w0:kad87<2=:;3lk:18594}r6gf6<72;q68il<:c4:?82cj;0?4:5rs5fa1?6=:r7?ho;5b7;891be<3>3;6s|4e`4>5<5s4>on:4m689>0ad12=2<7p};db794?4|5=nh97l99:?7`f2=<1=0q~:kc683>7}:1v9jl9;296~;3lj31n;74=5f`1>03ty?hnj50;0x91bdl3h=563;dba90=1ook4?:3y>0aea2k<270:kcd87<2=z{=ni57>52z?7`g?=j?3019jm8;6;3>{t0168iln:5:4?xu3lkn1<7g0>34>onh4;869~w1bd93:1>v3;db39f3?<5=nh<7:77:p0ae42909w0:kc28a2<=:;<6`74=>634>h?447809>0f5e212:70:l3e8;<4=:656>;<6`52=>634>h=k47809>0f46212:70:l228;<4=:656>;<6`62=>63ty?o?h50;0x91e5n3h=563;c3:90=1h?<4?:3y>0f562k<270:l3187<2=z{=i8?7>52z?7g65=j?3019m<2;6;3>{t6=4={<6`700168n=;:5:4?xu3k:=1<7854958yv2d;00;6?u24b1:>g0>34>h?54;869~w1e4j3:1>v3;c2`9f3?<5=i8m7:77:p0f5c2909w0:l3e8a2<=:5;`5=>;3k8>18594}r6`52<72;q68n?8:c4:?82d9?0?4:5rs5a2=?6=:r7?o<75b7;891e603>3;6s|4b3a>5<5s4>h=o4m689>0f7f2=2<7p};c0f94?4|5=i:h7l99:?7g4e=<1=0q~:l1g83>7}:1v9m=1;296~;3k;;1n;74=5a14?2??2wx8n<<:18182d::0i:4524b01>1>03ty?o?;50;0x91e5=3h=563;c3690=1h>:4?:3y>0f402k<270:l2787<2=z{=i9m7>52z?7g7g=j?3019m=9;6;3>{t0168ng0>34?;ni47809>15da212:70;?c08;<4=:=9i8656>;<73g0=>634?;n:47809>15d>212:70;?bc8;<4=z{<:ih7>52z?64gb=j?3018>mc;6;3>{t=9hm6=4={<73fc0169=lj:5:4?xu28j;1<754958yv37k:0;6?u251a0>g0>34?;o?4;869~w06d=3:1>v3:0b79f3?<5<:h87:77:p15e02909w0;?c68a2<=:=9i=6968;|q64f?=838p18>l9;`5=>;28j218594}r73gg<72;q69=mm:c4:?837kh0?4:5rs42a3?6=:r7>3>3;6s|51`:>5<5s4?;n44m689>15d?2=2<7p}:0c`94?4|5<:in7l99:?64gg=<1=0q~:m3e83>7}:1n;74=5:34?>?;27?4=<5896891d2i323863;7bc9<=5<5=h?j7673:?7g6c=0190q~:m6983>75|5=h=57l99:?64cb=<8k018>ie;62e>;28ol18==?540c89065m3>:m63:03d904g<5<:8<7:>a:?6467=<8k018><2;62e>;28:918>540c891e4:3>:m63;c26904g<5=i8:7:>a:?7g6>=<8k019m;3k:i18:m63;c0c904g<5=i:o7:>a:?7g4c=<8k019m=0;62e>;3k;818:m63;c3`904g<5=i9h7:>a:?7g10=019019l95;:;0>{t{t{t<6=47{<6a020168nk>:95e?82dm:03;k524bg6>=1a34>hi:477g9>0fc>21=m70:lec8;3c=:;3kl:18594}r6`a6<72;q68nk<:c4:?82dm;0?4:5rs5af1?6=:r7?oh;5b7;891eb<3>3;6s|4bg4>5<5s4>hi:4m689>0fc12=2<7p};cd;94?4|5=in57l99:?7g`>=<1=0q~:lec83>7}:1v9mjd;296~;3kln1n;74=5afg?2??2wx?8>>:1820~;4=9;1===<;<106f?<:9:0?8549;034>523232>=>43498==47829>766a212870=<0d8;<6=:;::o656<;<104f5233fa>=>43499hl47829>77b>212870==d98;<6=:;;n<656<;<11`35rs2736?6=9=q6?8>=:0207>;4;:h145=4=210e?>?;278?>75891896540323?63<3259<=5<5:98:7673:?0763=01901>=<4;:;7>;4;8h145=4=212e?>?;278?<75891896560323?63<3059<=5<5:8m57673:?06c>=01901>;4:o<145=4=20e1?>?;278>k:58918yv528:0;6<:t=2737?77;:16?>?9:9:0?8549<034>523237>=>33498766f212?70=<088;<1=:;::3656;;<1042>9:9:7?8548<0349523227>=>33499i>47859>77c5212?70==e08;<1=:;;o;656;;<11`c=>33ty89=:50;378528=0:<>=4=211f?>?<278??o5896896551323863<33:9<=2<5:99;7674:?0770=01>01>==5;:;0>;4;;>145:4=20e7?>?<278>k<58968964a9323863<2e19<=2<5:8o>7674:?06a7=01>01>;4:jl145:4=20`a?>?<278>nj58968964dk32386s|3426>5<6=>33498<<47859>7667212?70==fg8;<1=:;;ln656;;<11ba=>33499ih47859>77cc212?70==eb8;<1=:;;ii656;;<11gd=>33499o;47859~w637>3:1=>u23425>464;278??=589689655:323863<3339<=2<5:99<7674:?074`=01>01>=>e;:;0>;4;8n145:4=212g?>?<278>hl58968964bi323863<2d;9<=2<5:8n47674:?06`1=01>01>;4:l?145:4=20f0?>?<278>n;58968964d<32386s|35d6>5<6=r788k;51110?854:j0349523230>=>33498=?47859>7676212?70=<118;<1=:;::m656;;<104`>k:9:7?8548j03495233da>=>33499jl47859>77`>212?70==dc8;<1=:;;nj656;;<11`<03495233f5>=>33499h847859>77b3212?7p}<4g494?72s49?j;4>02189654j323863<32c9<=2<5:9857674:?076>=01>01>=<7;:;0>;4;:<145:4=2101?>?<278?>:589689656j323863<30c9<=2<5:9:57674:?074>=01>01>=>7;:;0>;4;8<145:4=2121?>?<278>k658968964a?323863<2g49<=2<5:8m97674:?06c2=01>0q~=;f683>f}:;=l<6<><3:?0742=01901>=?b;:;7>;4;9k145=4=20f7?>?;278>h<58918964b9323?63<2d29<=5<5:8oj7673:?06ac=01901>;4:mi145=4}r17b=<72jq6?9h7:0207>;4;;h145=4=211e?>?;278??75891896550323?63<3359<=5<5:99:7673:?06a6=01901>;4:jo145=4=20``?>?;278>nm58918yv53n00;6nu235d:>464;278?==589189657:323?63<3139<=5<5:9;<7673:?06c`=01901>;4:on145=4=20eg?>?;278>hm58918964dj323?63<2bc9<=571`f28:8?63<3329<=5<5:9:j7673:?074c=01901>=>d;:;7>;4;8i145=4=20ff?>?;278>ho58918964b1323?63<2d:9<=5<5:8n;7673:?06`0=01901>;4:l>145=4}r64`g<72;q68:jl:c4:?820kl034>5rs5g0e?6=:r7?i>o51110?82?m>03;k5rs5g07?6=>r7?i>=51110?82b=803;k524d71>=1a34>3i:47819>177121=m70;=0c8;3c=z{==o;7>57z?73a>=j?30197<5;:4b>;30h<14:h4=5;04?>?827?5><5892891?4j323<63;8c59<2`02b12k<270:6348;<5=:<1hn659i;<6;e0=>634>2??47809>0<5321=m70:63c8;<4=:<1h<656?;|q7a07=83lp19k:2;`5=>;2:89145:4=4015?>?<27>><<589689046n323863:2039<=2<5<8:i7674:?6667=01>018<>8;:;0>;2:9o145:4=402e?>?<27>>=m5896890461323863:2309<=2<5<8:;7674:p0c152909w0:i7382465<5<>9m7670:p5f742909w0?l1282465<5<>9m768f:p71`e290jw0=;fc82465<5:99?7673:?0774=01901>==1;:;7>;4:j3145=4=20`?;278>n958918964d>323?63<2b79<=5<5:8h87673:p71`d290jw0=;fb82465<5:9997673:?0772=01901>;4:o8145=4=20e5?>?;278>k>58918964bn323?63<2dg9<=5<5:8nh7673:p71`c290jw0=;fe82465<5:9;57673:?075>=01901>=?7;:;7>;4;9<145=4=2131?>?;278?=:58918964c;323?63<2e09<=5<5:8o=7673:p0`c?2909w0:je982465<5=2>:7673:p=;3j>814:h4}r;:e?6=:r7?4l;5b7;891>f8327}:;mk36<><3:?0gdb=0190q~=ka783>1}:;mk=6<><3:?0gd4=01801>mn7;:;4>;4kh?145?4}r1gf<<72;q6?il6:0207>;4kh:145=4}r1gf2<72;q6?il8:0207>;4k0l145=4}r1gf0<72;q6?il::0207>;4k0o145?4}r1gf6<72;q6?il<:0207>;4k0n145?4}r1gf4<72;q6?il>:0207>;4k03145<4}r1gec<72;q6?ioi:0207>;4k0=145>4}r1gea<72;q6?iok:0207>;4k0:145?4}r1geg<72;q6?iom:0207>;4k1l145?4}r1ge<<72;q6?io6:0207>;4kho145?4}r1ge6<72;q6?io<:0207>;4k0h14:h4}r1gfc<72;q6?ili:0207>;4l8<145>4}r1gfa<72;q6?ilk:0207>;4l8<14:h4}r1e0<<728kp1>h;9;3376=:;o8m659i;<1e63=>7349m=n47839>7c7b21=m70=i218;<1=:;o:n656<;<1e54=1a349m8n477g9>7c2b212:70=i528;<1=:;o>:656<;<1e06=>4349m?847839>7a6>21=m70=k0`8;3c=:;mo86968;|q0b6d=83;:w0=i3c82465<5:l>87672:?0b7`=01801>h=6;:4b>;4n;2145?4=2d2a?>?;278j=l5896896`60323>63j?5;:;5>;4l9<14:h4=2f33?>?8278j9>5896896`5m3238633;;?>523g7;>=1a349m?<47829>7c33212?70=i2g8;<4=:;o8?656>;<1e5aj:95e?85a9;034<523g3;>=>4349m<;47829>7c2d212?70=i4d8;<1=:;m:>659i;<1g438:9:1?85a;<034=523e2:>=>5349o7ac52=2<7p}>dd694?7c;r7:hh:5b7;8905f?3;;?85252g;>464=2799=;51116?85blo0:<>;4=2f;e?77;<16?i6m:0201>;4l1o1===:;<1gj60;3370=:;m3:6<><5:?0`<4=999>70=k9282463<5:n297??349>7a?328:8963027896cem3;;?8523d`e>464=278ino51116?85bl00:<>;4=2gg1?77;<16?hjn:0201>;4mmh1===:;<1f`f<68:?01>kkd;3370=:;lnn6<><5:?0af7=999>70=jc182463<5:oh>7??349>7`e428:8963027896cd>3;;?8523da;>464=278in951116?85bk00:<>;4=2g`f?77;<16?hml:0201>;4mjn1===:;<1fg`<68:?01>kk0;3370=:;lim6<><5:?0aa7=999>70=jd382463<5:oo?7??349>7`b328:8963027896c713;;?8523e`f>464=278ih?51116?85cm00:<;:4=2ffe?77>=16?hk?:0250>;4lm;1===:;<1g`5<68:?01>jk8;3370=:;mn26<><5:?0`ag=999>70=kdc82463<5:noo7??349>7abc28:8963027896bc:3;;?8523ef0>464=278hi:51116?85cl<0:<>;4=2fg2?77;<16?io=:0201>;4lm=1===:;<1ge1<68:?01>jna;3370=:;mkh6<><5:?0`dc=999>70=kb182463<5:ni87??349>7ad528:8963027896bf=3;;?8523ec4>464=278o8?5436896`4k3;;?8523g6b>464=278j;4=2d16?77;<16?k>;:0201>;4n931===:;<1e4c<68:?018=;e;3370=:=:>m6<><5:?673g=999>70;<6c82463<5<9<87??349>161128:8963:3679555234?8;:4>0278905003;;?852525:>464=27>?:o51116?834?k0:<>;4=415`?77;<169>8l:0201>;2;?o1===:;<702c<68:?018=80;3370=:=:=:6<><5:?6724=999>70;<7e82432<5<9165a28:8963:3529555234>o8l4>027891b3k3;;?8524e41>464=27?h;o51116?82c>j0:<>;4=5f5a?77;<168i9?:0201>;3l>81===:;<6g31<68:?019j86;3370=:o6<><5:?7`07=999>70:k4g82463<5=n>?7??349>0a3228:8963;d459555234>o944>027891b2j3;;?8524e7e>464=27?h8j51116?82c>:0:<>;4=5f51?77;<168i88:0201>;3l9?1===:;<6g42<68:?019j=5;3370=:<5:?7`71=999>70:k2882463<5=n9n7??349>0a4c28:8963;d3d9555234>o<54>027891b493;;?8524e2b>464=27?h=m51116?82c8l0:<>;4=5f24?77;<168i?=:0201>;3l8<1===:;<6g51<68:?019j>8;3370=:<5:?7`4c=999>70:k2182463<5=n9>7??349>0gd328:8963;c779550334>iho4>027891de=3;;?8524b;5>464=27?hh:51116?8371o0:<>;4=42e4?77;<168ik::0201>;28o;1==8;;<73b7<68?>018>i3;3321=:=9l?6<>94:?64c3=9915cc28:=863:0dg9550334?;ik4>076891ed83;;?8524ba2>464=27?onm51116?82dl80:<>;4=5ag4?77;<168nj=:0201>;3km91===:;<6``1<68:?019mk5;3370=:<5:?7gf5=999>70:lc382463<5=ih87??349>0fe228:8963;cb49555234>ho:4>027891ed03;;?8524bab>464=27?on751116?82dkk0:<>;4=5a``?77;<168nmj:0201>;3kjl1===:;<6``2<68:?019m;3;3370=:=;k:6<><5:?7f`0=990gc>28:=863;bdc9550334>iin4>076891dbj3;;:9524b64>464=27?i>l51116?82b<>0:<>;4=5`e6?77;<168oh<:0201>;3jo?1===:;<6ab1<68:?019li6;3370=:<5:?7a7`=999>70:ka782463<5=lh97??349>65e028:8963;fb095552348;oi4>0768976d>3;;?8521g7;>464=27:j8k51147?87a=>0:<>;4=0d;5?77;<16=k6=:0201>;6n191===:;<3e<1<68:?01<5:?14c6=999>7065`528:8963=0g695552348;j84>0278976f>3;;?85221c4>464=279;4=32be?77;<16>=;m:0201>;585;3370=::;;=6<><5:?164?=999>70<=1682463<5;8:47??349>64ef28:8963=1ba95552348:oo4>02789152<3;;?8524473>464=27?9;=51116?822=80:<>;4=5766?77;<1688;<:0201>;3=1?1==8;;<67e1<68:?019:6c;3370=:<=3i6<><5:?7070:;9d82463<5=>j=7??349>00g428:8963;51`9555234>?>=4>02789126n3;;?8524501>464=27?8?=51116?823:=0:<>;4=5611?77;<1689<9:0201>;3<;21===:;<6762<68:?019:=9;3370=:<=8:6<><5:?7051=999>70:;0982463<5=>;m7??349>016e28:8963;41a9555234>?02789127m3;;?852452e>464=27?8<>51116?823980:<>;4=563=?77;<16=o=j:0201>;6j:h1===:;<3a22<68:?01<5:?2f=g=999>70?m9482463<58hjh7??349>5gg528:8963>bc:9555234;i?k4>027894d3k3;;?8521c74>464=27:n;>51116?87e>;0:<>;4=0`55?77;<16=o8<:0201>;6j?>1===:;<3a20<68:?01<5:?2f3g=999>70?m6882463<58h=n7??349>5g0d28:8963>b7f9555234;i:h4>027894d1n3;;?8521c52>464=27:n:>51116?87e?:0:<>;4=0`40?77;<16=o9::0201>;6j>21===:;<3a3<<68:?01<5:?2f2e=999>70?m7e82463<58h5g>728:8963>b939555234;i4>4>027894d?:3;;?8521c:7>464=27:n5;51116?87e0?0:<>;4=0`;3?77;<16=o67:0201>;6j131===:;<3a<5:?2f=c=999>70?m8g82463<58h2<7??349>5g?628:8963>b819555234;i5?4>027894d><3;;?8521c;5>464=27:n4951116?87e110:<>;4=0`:=?77;<16=o7k:0201>;6j0k1===:;<3a=`<68:?01<5:?2fd7=999>70?ma282463<58hj97??349>5gg328:8963>b`49555234;3??4>07689414:3;;:9521912>461<27:;>?51147?87?;90:<;:4=0504?77>=16=5;6?;l1==8;;<3;6`<68?>01<9=e;3321=:918o6<>94:?237b=99o7??659>5=3c28:=863>74`9550334;39n4>07689412i3;;:952197a>461<27:;8751147?87?=h0:<;:4=056=16=5:i:0250>;6?=n1==8;;<3;07<68?>01<9;2;3321=:919<6<>94:?2361=995=4>28:=863>73`9550334;3894>07689413<3;;:9521960>461<27:;9=51147?87?<80:<;:4=0575?77>=16=5:?:0250>;6?=:1==8;;<3;7c<68?>01<994:?236c=995=5d28:=863>72a9550334;3?o4>07689414j3;;:952191b>461<27:;>o51147?87?;00:<;:4=050=?77>=16=5=7:0250>;6?:21==8;;<3;73<68?>01<9<6;3321=:919>6<>94:?2363=995=5428:=863>7219550334;39:4>07689412=3;;:9521975>461<27:;8:51147?87?=<0:<;:4=0567?77>=16=5;;:0250>;6?<81==8;;<3;16<68?>01<9:1;3321=:91?96<>94:?2306=995=3728:=863>75g9550334;38h4>07689413k3;;:952196g>461<27:;9l51147?87?=16=5:m:0250>;6?=31==8;;<3;0d<68?>01<9;8;3321=:91>26<>94:?2311=995=2228:=863>757955033ty:hh;50;3g7~;6ll?1n;74=0`b3?77;<16=oo7:0201>;6jh31===:;<3aed<68:?01<5:?2fdc=999>70?mag82463<58hi<7??349>5gd628:8963>bc09555234;in94>027894de;3;;?8521c`6>464=27:no851116?87ej>0:<>;4=0`a=?77;<16=oln:0201>;6jki1===:;<3afg<68:?01<5:?2fg`=999>70?mc182463<58hh=7??349>5g2728:8963>bb09555234;i8>4>027894d3<3;;?8521c66>464=27:n9851116?87e<>0:<>;4=0`7=?77;<16=o:7:0201>;6j=k1===:;<3a0g<68:?01n6<><5:?2f1`=999>70?m5082463<58h><7??349>5g3528:8963>b419555234;i994>027894d2=3;;?8521c75>464=27:n8751116?87e=10:<>;4=0`6e?77;<16=o;m:0201>;6j94:?2f0`=999>70?75882432<58=>:7??659>523028:=863;21d90=1<5=;h87??349>076228:8963;2149555234>9<:4>02789147k3;;?852432g>464=27?>=k51116?826;80:<>;4=530`?77;<168<==:0201>;39=21===:;<620g<68:?019?;c;3370=:<8>o6<><5:?751c=999>70:>5182463<5=;?j7??349>045428:8963;1269555234>:?84>02789174>3;;?8524014>464=27?=>751116?826;10:<>;4=530e?77;<168<=m:0201>;39:i1===:;<627`<68:?019?;6<><5:?7517=999>70:>4382463<5=;??7??349>042328:8963;1579555234>:8;4>02789173?3;;?852406b>464=27?=9751116?825mo0:<>;4=5122?77;<168>?::0201>;3;8>1===:;<605g<68:?019=>d;3370=:<:;h6<><5:?2ac1=999>70?jf882463<58?507d28:=863>50f9550334;>>84>07689435<3;;:9521405>461<27:9?951147?872:10:<;:4=071=?77>=16=8;6=8o1==8;;<366g<68?>01<;>f;3321=:9<8;6<>94:?2177=997<`e28:=863<42;955523492jn4>076896?al3;;:95238df>461<2785kh51147?85f890:<;:4=2c36?77>=16?l>>:0250>;4i?h1==8;;<1b2f<68?>01>o9d;3321=:;h94:?0e3`=997d1528:=863076896g0l3;;:9523`5f>461<278m:h51147?85f090:<;:4=2c;5?77>=16?l6=:0250>;4i1h1==8;;<1b01>o7d;3321=:;h2n6<>94:?0e<6=997d?528:=863076896g>l3;;:9523`;e>461<278m4k51147?85fi90:<;:4=2cb5?77>=16?lo=:0250>;4ihh1==8;;<1bef<68?>01>one;3321=:;hko6<>94:?0ed`=997dd528:=863076896gek3;;:9523``f>461<278moh51147?85fk90:<;:4=2c`5?77>=16?lm=:0250>;4iji1==8;;<1bgg<68?>01>old;3321=:;hin6<>94:?0ef`=997dbe28:=863076896gcl3;;:9523`ff>461<278mih51147?85fm90:<;:4=2cf6?77>=16?lk>:0250>;4ilh1==8;;<1baf<68?>01>ojd;3321=:;hon6<>94:?0e``=997d`528:=863076896g7l3;;:9523`2f>461<278m=h51147?85f980:<;:4=2c24?77>=16?l?=:0250>;4i8h1==8;;<1b5f<68?>01>o>d;3321=:;h;n6<>94:?0e76=997d4528:=863n4>076896g5l3;;:9523`0e>461<278m?k51147?85f;90:<;:4=2c05?77>=16?l==:0250>;4i:h1==8;;<1b7f<68?>01>o94:?0e6`=997d2528:=863076896g3k3;;:9523`6f>461<278m9h51147?85f=90:<;:4=2c65?77>=16?l;=:0250>;4i01>o:d;3321=:;h?n6<>94:?0e0`=9970c>28:=863il4>0768963bj3;;:95234g`>461<2789hj51147?852ml0:<;:4=27fb?77>=16?8h?:0250>;4><31==8;;<151d<68?>01>8:b;3321=:;??h6<>94:?020b=99733a28:=863<67;95503349=:l4>07689601j3;;:952374`>461<278:;j51147?851>o0:<;:4=245a?77>=16?;9?:0250>;4>>31==8;;<153d<68?>01>88b;3321=:;?=h6<>94:?022c=9973>728:=863<69;95503349=4l4>0768960?j3;;:95237:g>461<278:5m51147?8510l0:<;:4=24;b?77>=16?;7?:0250>;4>031==8;;<15=d<68?>01>86c;3321=:;?3i6<>94:?0273g728:=863<6`;95503349=mo4>0768960fi3;;:95237c`>461<278:lj51147?851il0:<;:4=24bb?77>=16?;l?:0250>;4>kk1==8;;<15f<<68?>01>8mb;3321=:;?hh6<>94:?02gb=9973e728:=863<6b;95503349=ol4>0768960dj3;;:95237a`>461<278:nj51147?851kl0:<;:4=24`b?77>=16?;j6:0250>;4>m:1==8;;<15`d<68?>01>8kb;3321=:;?nh6<>94:?02ab=9973ba28:=863<5g;95503349>jl4>0768963aj3;;:95234d`>461<2789kj51147?852no0:<;:4=27ea?77>=16?;>?:0250>;4>931==8;;<154d<68?>01>8?b;3321=:;?:h6<>94:?025c=99737728:=863<60;95503349==l4>07689606j3;;:952373g>461<278:=16?;;4>;31==8;;<156d<68?>01>8=c;3321=:;?8i6<>94:?027b=99735728:=863<62;95503349=?o4>07689604i3;;:952371`>461<278:>j51147?851;l0:<;:4=240b?77>=16?;:?:0250>;4>=31==8;;<150d<68?>01>8;b;3321=:;?>h6<>94:?021b=99733728:=863<6dc95503349=i44>0768960bj3;;:95237g`>461<278:hj51147?851ml0:<;:4=24fb?77>=16?:;6:0250>;4>o:1==8;;<141d<68?>01>9:b;3321=:;>?h6<>94:?030b=99723a28:=863<77;95503349<:l4>07689611j3;;:952364`>461<278;;j51147?850>o0:<;:4=255a?77>=16?:9?:0250>;4?>31==8;;<143d<68?>01>98b;3321=:;>=h6<>94:?032c=9972>728:=863<79;95503349<4l4>0768961?j3;;:95236:g>461<278;5m51147?8500l0:<;:4=25;b?77>=16?:7?:0250>;4?031==8;;<14=d<68?>01>96c;3321=:;>3i6<>94:?0372g728:=863<7`;955033490768961fj3;;:95236c`>461<278;lj51147?850il0:<;:4=25bb?77>=16?:l?:0250>;4?k31==8;;<14fg<68?>01>9ma;3321=:;>hh6<>94:?03gb=9972e728:=863<7bc955033490768961dj3;;:95236a`>461<278;nj51147?850kl0:<;:4=25`b?77>=16?:>6:0250>;4?m:1==8;;<144d<68?>01>9?b;3321=:;>:h6<>94:?035b=99726a28:=863<7e;955033490768961cj3;;:95236f`>461<278;ij51147?xu6ll<1<7?k4z?2``0=j?301>9kf;3321=:;>nn6<>94:?03`6=9973`e28:=863<6ga95503349=jh4>0768960al3;;:95237de>461<278;=>51147?850900:<;:4=252e?77>=16?:?m:0250>;4?8n1==8;;<145f<68?>01>9>e;3321=:;>;m6<>94:?0376=99724d28:=863<73`95503349<>i4>07689615m3;;:952360e>461<278;>>51147?850;00:<;:4=250f?77>=16?:=n:0250>;4?:i1==8;;<147a<68?>01>99m6<>94:?0316=99722e28:=863<75a95503349<8i4>07689613m3;;:952366e>461<278;h751147?850=90:<;:4=25fe?77>=16?:km:0250>;4?li1==8;;<14aa<68?>01>9je;3321=:;>l;6<>94:?03``=99m7??659>7=3e28:=863<84a9550334939i4>076896>2n3;;:952397f>461<2784;>51147?85?>00:<;:4=2:5e?77>=16?58m:0250>;40?i1==8;;<1;2`<68?>01>69d;3321=:;194:?0<26=997=1e28:=863<86f955033493;n4>076896>0m3;;:952395e>461<27845>51147?85?000:<;:4=2:;e?77>=16?56m:0250>;401i1==8;;<1;01>67e;3321=:;12m6<>94:?0<<6=997=?d28:=863<88`9550334935i4>076896>>m3;;:95239;e>461<2784l>51147?85?i00:<;:4=2:bf?77>=16?5on:0250>;40hi1==8;;<1;ea<68?>01>6ne;3321=:;1km6<>94:?07=de28:=863<8ca955033493ni4>076896>em3;;:95239`e>461<2784n751147?85?k90:<;:4=2:`e?77>=16?5mm:0250>;40ji1==8;;<1;ga<68?>01>6le;3321=:;1n;6<>94:?07=be28:=863<8ea955033493hi4>076896>cn3;;:95239ff>461<2784h>51147?850n00:<;:4=25ee?77>=16?:hm:0250>;4?oi1==8;;<14b`<68?>01>9id;3321=:;>lm6<>94:?0<56=997=6e28:=863<81a955033493076896>7m3;;:952392e>461<2784<>51147?85?900:<;:4=2:2e?77>=16?5?m:0250>;408n1==8;;<1;5f<68?>01>6>e;3321=:;1;m6<>94:?0<76=997=4d28:=863<83`955033493>i4>076896>5m3;;:952390e>461<2784>>51147?85?;00:<;:4=2:0f?77>=16?5=n:0250>;40:i1==8;;<1;7a<68?>01>694:?0<16=997=2e28:=863<85a9550334938i4>076896>3m3;;:952396e>461<2784h751147?85?=90:<;:4=2:fe?77>=16?5km:0250>;40li1==8;;<1;aa<68?>01>6je;3321=:;1l;6<>94:?0<``=99m7??659>7<3e28:=863<94a9550334929i4>076896?2n3;;:952387f>461<2785;>51147?85>>00:<;:4=2;5e?77>=16?48m:0250>;41?i1==8;;<1:2a<68?>01>79e;3321=:;094:?0=26=997<1e28:=863<96a955033492;h4>076896?0l3;;:952385e>461<27855>51147?85>000:<;:4=2;;e?77>=16?46m:0250>;411n1==8;;<1:01>77e;3321=:;02m6<>94:?0=<6=997076896?>m3;;:95238;e>461<2785l>51147?85>i00:<;:4=2;bf?77>=16?4on:0250>;41hi1==8;;<1:ea<68?>01>7ne;3321=:;0km6<>94:?0=g6=997076896?em3;;:95238`e>461<2785n751147?85>k90:<;:4=2;`e?77>=16?4mm:0250>;41ji1==8;;<1:ga<68?>01>7le;3321=:;0n;6<>94:?0=f`=997076896?cm3;;:95238fe>461<2785h>51147?85?n00:<;:4=2:ee?77>=16?5hm:0250>;40oi1==8;;<1;ba<68?>01>6if;3321=:;1ln6<>94:?0=56=997<6e28:=863<91a955033492

    076896?7l3;;:952382e>461<2785<>51147?85>900:<;:4=2;2e?77>=16?4?m:0250>;418n1==8;;<1:5f<68?>01>7>e;3321=:;0;m6<>94:?0=76=997<4d28:=863<93`955033492>i4>076896?5m3;;:952380e>461<2785>>51147?85>;00:<;:4=2;0f?77>=16?4=n:0250>;41:i1==8;;<1:7a<68?>01>794:?0=16=997<2e28:=863<95a9550334928i4>076896?3m3;;:952386e>461<2788;k51116?85>=90:<;:4=265b?77;<16?99?:0201>;4<>;1===:;<0167<68:?01?=lc;3370=:::h26<><5:?17gg=999>70<66cb28:=863=31f955523488<94>02789757=3;;?8522224>464=279?=851116?8448h0:<>;4=363g?77;<16>>9;:0201>;5:>?1===:;<0133<68:?01?<88;3370=::;=<6<><5:?16=`=999>70<=9182463<5;82=7??349>67?528:8963=48`95552348?5i4>0278972>k3;;?85225;f>464=2798oh51116?843k90:<>;4=36`5?77;<16>9m=:0201>;51===:;<07g6<68:?01?:l5;3370=::=i=6<><5:?10d1=999>70<;a982463<5;>j57??349>61ge28:8963=4`c95552348?mn4>0278972fl3;;?85225cf>464=2798o=51116?843j=0:<>;4=36a2?77;<16>9l::0201>;5<5:?10`3=999>70<;e682463<5;>n:7??349>61c?28:8963=4b`95552348?on4>0278972dl3;;?85225af>464=2798i:51116?843l<0:<>;4=36g2?77;<16>9j8:0201>;5<5:?103c=999>70<;6e82463<5;>ni7??349>61ca28:8963=4g295552348?j<4>0278972a:3;;?85225d:>464=2798k651116?843nh0:<>;4=36ef?77;<16>9hl:0201>;5<>=1===:;<073=<68:?01?:8a;3370=::==26<><5:?102d=999>70<;7b82463<5;>611b28:8963=49595552348?444>0278972?03;;?85225:b>464=27985l51116?8430j0:<>;4=36;`?77;<16>96j:0201>;5<0>1===:;<07=6<68:?01?:65;3370=::=3=6<><5:?100b=999>70<;5d82463<5;>>j7??349>610628:8963=47295552348?:?4>02789721;3;;?8522547>464=2799lj51116?842il0:<>;4=37a4?77;<16>8oi:0201>;5=k;1===:;<06f7<68:?01?;m3;3370=::<5:?11gb=999>70<:bd82463<5;?ij7??349>60e728:8963=5b395552348>o?4>0278973d;3;;?85224a7>464=2799h;51116?842m>0:<>;4=37f2?77;<16>8k7:0201>;5=l31===:;<06ad<68:?01?;jb;3370=::<5:?1170<:9e82463<5;?2j7??349>60g728:8963=5`395552348>m?4>0278973f;3;;?85224d6>464=2799l:51116?842n?0:<>;4=37e3?77;<16>8h7:0201>;5=o31===:;<06bd<68:?01?;ic;3370=::<5:?121b=999>70<94d82463<5;633728:8963=64395552348=9>4>02789702:3;;?8522777>464=279:>;51116?841;?0:<>;4=3403?77;<16>;=7:0201>;5>:k1===:;<057<<68:?01?8<5:?1273=999>7p}>72094?4|58=8>7??329>543521=n7p}>72394?4|58=8=7??329>542a21=n7p}>72294?4|58=8<7??329>542d21=n7p}>73d94?4|58=9j7??329>542>21=n7p}>73g94?4|58=9i7??329>542121=n7p}>73f94?4|58=9h7??329>542421=n7p}>74a94?4|58=>o7??329>540c21=n7p}>74`94?4|58=>n7??329>540f21=n7p}>74c94?4|58=>m7??329>540021=n7p}>74;94?4|58=>57??329>540321=n7p}>74:94?4|58=>47??329>540621=n7p}>75f94?4|58=?h7??329>543b21=n7p}>75094?4|58=?>7??329>543e21=n7p}>72594?4|58=8;7??329>543?21=n7p}>73a94?4|58=9o7??329>543221=n7p}>73`94?4|58=9n7??329>542721=n7p}>75694?4|58=?87??329>54?421=n7p}>75194?4|58=??7??329>54?721=n7p}>75394?4|58=?=7??329>54>c21=n7p}>75294?4|58=?<7??329>54>f21=n7p}>72d94?4|58=8j7??329>54>021=n7p}>72g94?4|58=8i7??329>54>321=n7p}>72f94?4|58=8h7??329>54gb21=n7p}>72a94?4|58=8o7??329>54ge21=n7p}>72`94?4|58=8n7??329>54g?21=n7p}>72c94?4|58=8m7??329>54g221=n7p}>72;94?4|58=857??329>54g521=n7p}>72:94?4|58=847??329>54?a21=n7p}>72494?4|58=8:7??329>54?d21=n7p}>72794?4|58=897??329>54?>21=n7p}>72694?4|58=887??329>54?121=n7p}>72194?4|58=8?7??329>54>621=n7p}>74794?4|58=>97??329>54b321=n7p}>74694?4|58=>87??329>54b621=n7p}>74194?4|58=>?7??329>54eb21=n7p}>74094?4|58=>>7??329>54ee21=n7p}>74394?4|58=>=7??329>54e?21=n7p}>74294?4|58=><7??329>54e221=n7p}>75d94?4|58=?j7??329>54ca21=n7p}>75g94?4|58=?i7??329>54cd21=n7p}>75a94?4|58=?o7??329>54c>21=n7p}>75`94?4|58=?n7??329>54c121=n7p}>75c94?4|58=?m7??329>54c421=n7p}>75;94?4|58=?57??329>54c721=n7p}>75:94?4|58=?47??329>54bc21=n7p}>75594?4|58=?;7??329>54bf21=n7p}>75494?4|58=?:7??329>54b021=n7p}>75794?4|58=?97??329>54e521=n7p}>ccc94?4|58iim7??329>5fde2=2<7p}>bdg94?4|58hni7??329>5gca2=2<7p}>cc394?4|58ii=7??329>5fd52=2<7p}>cc594?4|58ii;7??329>5fd?2=2<7p}>cc694?4|58ii87??329>5fd22=2<7p}>c`:94?4|58ij47??329>5fg>2=2<7p}>c`g94?4|58iji7??329>5fga2=2<7p}>c``94?4|58ijn7??329>5fgd2=2<7p}>c`794?4|58ij97??329>5fg12=2<7p}>c`094?4|58ij>7??329>5fg42=2<7p}>c8;94?4|58i257??329>5f?f2=2<7p}>c8d94?4|58i2j7??329>5fg72=2<7p}>c8a94?4|58i2o7??329>5f?c2=2<7p}>c8494?4|58i2:7??329>5f?02=2<7p}>c8194?4|58i2?7??329>5f?32=2<7p}>c9c94?4|58i3m7??329>5f>e2=2<7p}>c8294?4|58i2<7??329>5f?62=2<7p}>c9f94?4|58i3h7??329>5f>b2=2<7p}>c9594?4|58i3;7??329>5f>?2=2<7p}>c9694?4|58i387??329>5f>22=2<7p}>c6`94?4|58i5f1d2=2<7p}>c9394?4|58i3=7??329>5f>52=2<7p}>c6g94?4|58i5f1a2=2<7p}>c6094?4|58i<>7??329>5f142=2<7p}>c6:94?4|58i<47??329>5f1>2=2<7p}>c6794?4|58i<97??329>5f112=2<7p}>c7;94?4|58i=57??329>5f0f2=2<7p}>c7d94?4|58i=j7??329>5f172=2<7p}>c7a94?4|58i=o7??329>5f0c2=2<7p}>c7494?4|58i=:7??329>5f002=2<7p}>c7194?4|58i=?7??329>5f032=2<7p}>c4c94?4|58i>m7??329>5f3e2=2<7p}>c7294?4|58i=<7??329>5f062=2<7p}>c4f94?4|58i>h7??329>5f3b2=2<7p}>c4594?4|58i>;7??329>5f3?2=2<7p}>c4694?4|58i>87??329>5f322=2<7p}>c5`94?4|58i?n7??329>5f2d2=2<7p}>c4394?4|58i>=7??329>5f352=2<7p}>c5g94?4|58i?i7??329>5f2a2=2<7p}>c5:94?4|58i?47??329>5f2>2=2<7p}>c5794?4|58i?97??329>5f212=2<7p}>c2a94?4|58i8o7??329>5f5c2=2<7p}>c5094?4|58i?>7??329>5f242=2<7p}>c2d94?4|58i8j7??329>5f272=2<7p}>c2194?4|58i8?7??329>5f532=2<7p}>c2;94?4|58i857??329>5f5f2=2<7p}>c2494?4|58i8:7??329>5f502=2<7p}:22094?4|5<88>7??329>14?22=2<7p}>c2294?4|58i8<7??329>5f562=2<7p}>c3f94?4|58i9h7??329>5f4b2=2<7p}:22194?4|5<88?7??329>14?12=2<7p}:22`94?4|5<88n7??329>14?b2=2<7p}:22g94?4|5<88i7??329>14g62=2<7p}:22a94?4|5<88o7??329>14?a2=2<7p}:22f94?4|5<88h7??329>14g72=2<7p}:22d94?4|5<88j7??329>14g52=2<7p}:25294?4|5<8?<7??329>14g42=2<7p}:22694?4|5<8887??329>14?02=2<7p}:25394?4|5<8?=7??329>14g32=2<7p}:25094?4|5<8?>7??329>14g22=2<7p}:22794?4|5<8897??329>14??2=2<7p}:22494?4|5<88:7??329>14?>2=2<7p}:22;94?4|5<8857??329>14?d2=2<7p}:22594?4|5<88;7??329>14?f2=2<7p}:22:94?4|5<8847??329>14?e2=2<7p}:25694?4|5<8?87??329>14g02=2<7p}:25194?4|5<8??7??329>14g12=2<7p}:25g94?4|5<8?i7??329>14d62=2<7p}:25a94?4|5<8?o7??329>14ga2=2<7p}:25f94?4|5<8?h7??329>14d72=2<7p}:25d94?4|5<8?j7??329>14d52=2<7p}:24294?4|5<8><7??329>14d42=2<7p}:24194?4|5<8>?7??329>14d12=2<7p}:24394?4|5<8>=7??329>14d32=2<7p}:24094?4|5<8>>7??329>14d22=2<7p}:25794?4|5<8?97??329>14g?2=2<7p}:25494?4|5<8?:7??329>14g>2=2<7p}:25;94?4|5<8?57??329>14gd2=2<7p}:25594?4|5<8?;7??329>14gf2=2<7p}:25:94?4|5<8?47??329>14ge2=2<7p}:25c94?4|5<8?m7??329>14gc2=2<7p}:25`94?4|5<8?n7??329>14gb2=2<7psa10df0?6=9rB:4}62tP3;;4<{9d0>=c>2ok?6p*ia5820d=]99l264c8~j446;3:0qc?>fd794?7|@8:mj6`>20195>{i98ln:7>51zJ24c`if:l2645=;2we=3;68yk76nl31<7?tH02eb>h6:89196sa10dfe?6=9rB:<=57:m54`bk3:1=vF>0gd8j446;320qc?>fdf94?7|@8:mj6`>2019=>{i98lni7>51zJ24c`if:Kf``<4s=0:6>4rZ955>7}?n:03i44rn0027?d50;3xL46an2d:><=5c:m54`a93:1>vF>0gd8M`bb2:q?6<4<:|X;33<5s1l865k6:|l2645=l2we=3;g8yk76no91<7Obll08w94>:28~^=112;q3j>47e88~j446;3l0qc?>fg694?7|@8:mj6`>201955=zf8;mj84?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;86if:l2645=9;1vb7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:018yk76no21<7?tH02eb>h6:891=95rn03eb<<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>43<=5179~j47ank0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m577428=0qc?>fga94?7|@8:mj6`>20195==zf8;mji4?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;86<74}o32bcc=83;pD<>if:l2645=9h1vb7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:0`8yk7589:1<7?tH02eb>h6:891=n5rn00344<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>4b<=51d9~j4478:0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m577428l0qc?=01694?7|@8:mj6`>201965=zf88;<84?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;86??4}o31450=83;pD<>if:l2645=:;1vb<7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:318yk758921<7?tH02eb>h6:891>95rn0034<<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>73<=5279~j4478k0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m57742;=0qc?=01a94?7|@8:mj6`>20196==zf88;<1>v6i3;:f=?{i9;;86?74}o3145c=83;pD<>if:l2645=:h1vb<7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:3`8yk7588:1<7?tH02eb>h6:891>n5rn00354<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>7b<=52d9~j4479:0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m57742;l0qc?=00694?7|@8:mj6`>201975=zf88;=84?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;86>?4}o31440=83;pD<>if:l2645=;;1vb<7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:218yk758821<7?tH02eb>h6:891?95rn0035<<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>63<=5379~j4479k0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m57742:=0qc?=00a94?7|@8:mj6`>20197==zf88;=i4?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;86>74}o3144c=83;pD<>if:l2645=;h1vb<7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:2`8yk758;:1<7?tH02eb>h6:891?n5rn00364<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>6b<=53d9~j447::0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m57742:l0qc?=03694?7|@8:mj6`>201905=zf88;>84?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;869?4}o31470=83;pD<>if:l2645=<;1vb<7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:518yk758;21<7?tH02eb>h6:891895rn0036<<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>13<=5479~j447:k0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m57742==0qc?=03a94?7|@8:mj6`>20190==zf88;>i4?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;86974}o3147c=83;pD<>if:l2645=7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:5`8yk758::1<7?tH02eb>h6:8918n5rn00374<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>1b<50;3xL46an2d:><=54d9~j447;:0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m57742=l0qc?=02694?7|@8:mj6`>201915=zf88;?84?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;868?4}o31460=83;pD<>if:l2645==;1vb<7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:418yk758:21<7?tH02eb>h6:891995rn0037<<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>03o50;3xL46an2d:><=5579~j447;k0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m57742<=0qc?=02a94?7|@8:mj6`>20191==zf88;?i4?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;86874}o3146c=83;pD<>if:l2645==h1vb<7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:4`8yk758=:1<7?tH02eb>h6:8919n5rn00304<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>0b<=55d9~j447<:0;6?uG11de?Lccm39p87?53;Y<20=:r2m?76j9;m57742201925=zf88;884?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;86;?4}o31410=83;pD<>if:l2645=>;1vb<7}O99lm7Dkke;1x0?7=;3wQ4:852z:e7?>b13we=??<:718yk758=21<7?tH02eb>h6:891:95rn0030<<72;qC==hi;Hgga?5|<3;1?7sU86496~>a;32n57sa1330>33<=5679~j44720192==zf88;8i4?:3yK55`a3@ooi7=t4;397?{]0><1>v6i3;:f=?{i9;;86;74}o3141c=83;pD<>if:l2645=>h1vb<4}O99lm7c?=1285f>{i9;:><7>51zJ24c`20192`=zf88;9>4?:0yK55`a3g;9=>49f:m5762<3:1=vF>0gd8j446;3=;7p`>2176>5<6sA;;jk5a1330>27<=5739~j447=>0;6=;6:182M77no1e=??<:678yk758h6:891;;5rn0031g<728qC==hi;o3156<0?2we=?>:c;295~N68ol0b<<>3;5;?xh6:9?o6=4>{I33bc=i9;;86:74}o3140c=83;pD<>if:l2645=?h1vb<4}O99lm7c?=1284f>{i9;:=<7>51zJ24c`n0qc?=07094?7|@8:mj6`>20193`=zf88;:>4?:0yK55`a3g;9=>48f:m5761<3:1=vF>0gd8j446;32;7p`>2146>5<6sA;;jk5a1330>=7<=5839~j447>>0;633td:>=86:182M77no1e=??<:978yk758?k1<7?tH02eb>h6:8914;5rn0032g<728qC==hi;o31569c;295~N68ol0b<<>3;:;?xh6:9{I33bc=i9;;86574}o3143c=83;pD<>if:l2645=0h1vb<4}O99lm7c?=128;f>{i9;:<<7>51zJ24c`2019<`=zf88;;>4?:0yK55`a3g;9=>47f:m5760<3:1=vF>0gd8j446;33;7p`>2156>5<6sA;;jk5a1330><7<=5939~j447?>0;6=96:182M77no1e=??<:878yk758>k1<7?tH02eb>h6:8915;5rn0033g<728qC==hi;o3156<>?2we=?>8c;295~N68ol0b<<>3;;;?xh6:9=o6=4>{I33bc=i9;;86474}o3142c=83;pD<>if:l2645=1h1vb<4}O99lm7c?=128:f>{i9;:3<7>51zJ24c`6290:wE??fg9m577420n0qc?=09094?7|@8:mj6`>2019=`=zf88;4>4?:0yK55`a3g;9=>46f:m576?<3:1=vF>0gd8j446;3k;7p`>21:6>5<6sA;;jk5a1330>d7<=5a39~j4470>0;6=66:182M77no1e=??<:`78yk7581k1<7?tH02eb>h6:891m;5rn0037c;295~N68ol0b<<>3;c;?xh6:92o6=4>{I33bc=i9;;86l74}o314=c=83;pD<>if:l2645=ih1vb<4}O99lm7c?=128bf>{i9;:2<7>51zJ24c`2019e`=zf88;5>4?:0yK55`a3g;9=>4nf:m576><3:1=vF>0gd8j446;3h;7p`>21;6>5<6sA;;jk5a1330>g7<=5b39~j4471>0;6=76:182M77no1e=??<:c78yk7580k1<7?tH02eb>h6:891n;5rn003=g<728qC==hi;o31566c;295~N68ol0b<<>3;`;?xh6:93o6=4>{I33bc=i9;;86o74}o314if:l2645=jh1vb<4}O99lm7c?=128af>{i9;:j<7>51zJ24c`2019f`=zf88;m>4?:0yK55`a3g;9=>4mf:m576f<3:1=vF>0gd8j446;3i;7p`>21c6>5<6sA;;jk5a1330>f7<=5c39~j447i>0;6=o6:182M77no1e=??<:b78yk758hk1<7?tH02eb>h6:891o;5rn003eg<728qC==hi;o3156nc;295~N68ol0b<<>3;a;?xh6:9ko6=4>{I33bc=i9;;86n74}o314dc=83;pD<>if:l2645=kh1vb<4}O99lm7c?=128`f>{i9;:i<7>51zJ24c`2019g`=zf88;n>4?:0yK55`a3g;9=>4lf:m576e<3:1=vF>0gd8j446;3n;7p`>21`6>5<6sA;;jk5a1330>a7<=5d39~j447j>0;6=l6:182M77no1e=??<:e78yk758kk1<7?tH02eb>h6:891h;5rn003fg<728qC==hi;o3156mc;295~N68ol0b<<>3;f;?xh6:9ho6=4>{I33bc=i9;;86i74}o314gc=83;pD<>if:l2645=lh1vb<4}O99lm7c?=128gf>{i9;:h<7>51zJ24c`2019``=zf88;o>4?:0yK55`a3g;9=>4kf:m576d<3:1=vF>0gd8j446;3o;7p`>21a6>5<6sA;;jk5a1330>`7<=5e39~j447k>0;6=m6:182M77no1e=??<:d78yk758jk1<7?tH02eb>h6:891i;5rn003gg<728qC==hi;o3156lc;295~N68ol0b<<>3;g;?xh6:9io6=4>{I33bc=i9;;86h74}o314fc=83;pD<>if:l2645=mh1vb<4}O99lm7c?=128ff>{i9;:o<7>51zJ24c`2019a`=zf88;h>4?:0yK55`a3g;9=>4jf:m576c<3:1=vF>0gd8j446;3l;7p`>21f6>5<6sA;;jk5a1330>c7<=5f39~j447l>0;6=j6:182M77no1e=??<:g78yk758mk1<7?tH02eb>h6:891j;5rn003`g<728qC==hi;o3156kc;295~N68ol0b<<>3;d;?xh6:9no6=4>{I33bc=i9;;86k74}o314ac=83;pD<>if:l2645=nh1vb<4}O99lm7c?=128ef>{i9;:n<7>51zJ24c`2019b`=zf88;i>4?:0yK55`a3g;9=>4if:m576b<3:1=vF>0gd8j446;3;;<6sa132f1?6=9rB:j6;295~N68ol0b<<>3;336>{i9;:n;7>51zJ24c`0gd8j446;3;;86sa132f=?6=9rB:ja;295~N68ol0b<<>3;332>{i9;:nn7>51zJ24c`0gd8j446;3;;46sa132f`?6=9rB:je;295~N68ol0b<<>3;33e>{i9;:nj7>51zJ24c`0gd8j446;3;;o6sa132e5?6=9rB:i2;295~N68ol0b<<>3;33a>{i9;:m?7>51zJ24c`0gd8j446;3;:<6sa132e1?6=9rB:i6;295~N68ol0b<<>3;326>{i9;:m;7>51zJ24c`3:m576a03:1=vF>0gd8j446;3;:86sa132e=?6=9rB:ia;295~N68ol0b<<>3;322>{i9;:mn7>51zJ24c`7:m576ak3:1=vF>0gd8j446;3;:46sa132e`?6=9rB:ie;295~N68ol0b<<>3;32e>{i9;:mj7>51zJ24c`b:m577783:1=vF>0gd8j446;3;:o6sa13335?6=9rB:3;32a>{i9;;;?7>51zJ24c`f:m5777<3:1=vF>0gd8j446;3;9<6sa13331?6=9rB:3;316>{i9;;;;7>51zJ24c`0gd8j446;3;986sa1333=?6=9rB:3;312>{i9;;;n7>51zJ24c`0gd8j446;3;946sa1333`?6=9rB:3;31e>{i9;;;j7>51zJ24c`0gd8j446;3;9o6sa13325?6=9rB:2;295~N68ol0b<<>3;31a>{i9;;:?7>51zJ24c`0gd8j446;3;8<6sa13321?6=9rB:6;295~N68ol0b<<>3;306>{i9;;:;7>51zJ24c`0gd8j446;3;886sa1332=?6=9rB:a;295~N68ol0b<<>3;302>{i9;;:n7>51zJ24c`0gd8j446;3;846sa1332`?6=9rB:e;295~N68ol0b<<>3;30e>{i9;;:j7>51zJ24c`0gd8j446;3;8o6sa13315?6=9rB:3;30a>{i9;;9?7>51zJ24c`0gd8j446;3;?<6sa13311?6=9rB:3;376>{i9;;9;7>51zJ24c`0gd8j446;3;?86sa1331=?6=9rB:3;372>{i9;;9n7>51zJ24c`0gd8j446;3;?46sa1331`?6=9rB:3;37e>{i9;;9j7>51zJ24c`0gd8j446;3;?o6sa13305?6=9rB:3;37a>{i9;;8?7>51zJ24c`0gd8j446;3;><6sa13301?6=9rB:3;366>{i9;;8;7>51zJ24c`0gd8j446;3;>86sa1330=?6=9rB:3;362>{i9;;8n7>51zJ24c`0gd8j446;3;>46sa1330`?6=9rB:3;36e>{i9;;8j7>51zJ24c`0gd8j446;3;>o6sa13375?6=9rB:3;36a>{i9;;??7>51zJ24c`0gd8j446;3;=<6sa13371?6=9rB:3;356>{i9;;?;7>51zJ24c`0gd8j446;3;=86sa1337=?6=9rB:3;352>{i9;;?n7>51zJ24c`0gd8j446;3;=46sa1337`?6=9rB:3;35e>{i9;;?j7>51zJ24c`0gd8j446;3;=o6sa13365?6=9rB:3;35a>{i9;;>?7>51zJ24c`0gd8j446;3;<<6sa13361?6=9rB:3;346>{i9;;>;7>51zJ24c`0gd8j446;3;<86sa1336=?6=9rB:3;342>{i9;;>n7>51zJ24c`0gd8j446;3;<46sa1336`?6=9rB:3;34e>{i9;;>j7>51zJ24c`0gd8j446;3;3;34a>{i9;;=?7>51zJ24c`0gd8j446;3;3<6sa13351?6=9rB:3;3;6>{i9;;=;7>51zJ24c`0gd8j446;3;386sa1335=?6=9rB:3;3;2>{i9;;=n7>51zJ24c`0gd8j446;3;346sa1335`?6=9rB:3;3;e>{i9;;=j7>51zJ24c`0gd8j446;3;3o6sa13345?6=9rB:3;3;a>{i9;;51zJ24c`0gd8j446;3;2<6sa13341?6=9rB:92we=??86;295~N68ol0b<<>3;3:6>{i9;;<;7>51zJ24c`0gd8j446;3;286sa1334=?6=9rB:=2we=??8a;295~N68ol0b<<>3;3:2>{i9;;51zJ24c`0gd8j446;3;246sa1334`?6=9rB:12we=??8e;295~N68ol0b<<>3;3:e>{i9;;51zJ24c`0gd8j446;3;2o6sa133;5?6=9rB:l2we=??72;295~N68ol0b<<>3;3:a>{i9;;3?7>51zJ24c`0gd8j446;3;j<6sa133;1?6=9rB:3;3b6>{i9;;3;7>51zJ24c`0gd8j446;3;j86sa133;=?6=9rB:3;3b2>{i9;;3n7>51zJ24c`0gd8j446;3;j46sa133;`?6=9rB:3;3be>{i9;;3j7>51zJ24c`83:1=vF>0gd8j446;3;jo6sa133:5?6=9rB:3;3ba>{i9;;2?7>51zJ24c`<3:1=vF>0gd8j446;3;i<6sa133:1?6=9rB:3;3a6>{i9;;2;7>51zJ24c`03:1=vF>0gd8j446;3;i86sa133:=?6=9rB:3;3a2>{i9;;2n7>51zJ24c`k3:1=vF>0gd8j446;3;i46sa133:`?6=9rB:3;3ae>{i9;;2j7>52zJ24c`vF>0gd8j446;3;io6sa133b5?6=:rB:3;3aa>{i9;;j?7>52zJ24c`vF>0gd8j446;3;h<6sa133b1?6=:rB:3;3`6>{i9;;j;7>52zJ24c`vF>0gd8j446;3;h86sa133b=?6=:rB:3;3`2>{i9;;jn7>52zJ24c`vF>0gd8j446;3;h46sa133b`?6=:rB:3;3`e>{i9;;jj7>52zJ24c`vF>0gd8j446;3;ho6sa133a5?6=:rB:3;3`a>{i9;;i?7>52zJ24c`vF>0gd8j446;3;o<6sa133a1?6=:rB:3;3g6>{i9;;i;7>52zJ24c`vF>0gd8j446;3;o86sa133a=?6=:rB:3;3g2>{i9;;in7>52zJ24c`vF>0gd8j446;3;o46sa133a`?6=:rB:3;3ge>{i9;;ij7>52zJ24c`vF>0gd8j446;3;oo6sa133`5?6=:rB:3;3ga>{i9;;h?7>52zJ24c`vF>0gd8j446;3;n<6sa133`1?6=:rB:3;3f6>{i9;;h;7>52zJ24c`vF>0gd8j446;3;n86sa133`=?6=:rB:3;3f2>{i9;;hn7>52zJ24c`vF>0gd8j446;3;n46sa133``?6=:rB:3;3fe>{i9;;hj7>51zJ24c`0gd8j446;3;no6sa133g5?6=9rB:3;3fa>{i9;;o?7>51zJ24c`0gd8j446;3;m<6sa133g1?6=9rB:3;3e6>{i9;;o;7>51zJ24c`0gd8j446;3;m86sa133g=?6=9rB:3;3e2>{i9;;on7>51zJ24c`0gd8j446;3;m46sa133g`?6=9rB:3;3ee>{i9;;oj7>51zJ24c`0gd8j446;3;mo6sa133f5?6=9rB:3;3ea>{i9;;n?7>51zJ24c`0gd8j446;38;<6sa133f1?6=9rB:3;036>{i9;;n;7>51zJ24c`0gd8j446;38;86sa133f=?6=9rB:3;032>{i9;;nn7>51zJ24c`0gd8j446;38;46sa133f`?6=9rB:3;03e>{i9;;nj7>51zJ24c`0gd8j446;38;o6sa133e5?6=9rB:3;03a>{i9;;m?7>51zJ24c`0gd8j446;38:<6sa133e1?6=9rB:3;026>{i9;;m;7>51zJ24c`3:m577a03:1=vF>0gd8j446;38:86sa133e=?6=9rB:3;022>{i9;;mn7>51zJ24c`7:m577ak3:1=vF>0gd8j446;38:46sa133e`?6=9rB:3;02e>{i9;;mj7>51zJ24c`b:m574783:1=vF>0gd8j446;38:o6sa13035?6=9rB:3;02a>{i9;8;?7>51zJ24c`f:m5747<3:1=vF>0gd8j446;389<6sa13031?6=9rB:3;016>{i9;8;;7>51zJ24c`0gd8j446;38986sa1303=?6=9rB:3;012>{i9;8;n7>51zJ24c`0gd8j446;38946sa1303`?6=9rB:3;01e>{i9;8;j7>51zJ24c`0gd8j446;389o6sa13025?6=9rB:2;295~N68ol0b<<>3;01a>{i9;8:?7>51zJ24c`0gd8j446;388<6sa13021?6=9rB:6;295~N68ol0b<<>3;006>{i9;8:;7>51zJ24c`0gd8j446;38886sa1302=?6=9rB:a;295~N68ol0b<<>3;002>{i9;8:n7>51zJ24c`0gd8j446;38846sa1302`?6=9rB:e;295~N68ol0b<<>3;00e>h68ho1<6sa1302b?6=9rB:vF>0gd8M`bb2:q?6<4<:|X;33<3s1l865k6:024f?d1>3we=??<:31`?xh6:;8:6=4={I33bc=Nmmo1?v:51;19y_>0>3>p4k=58d;9551e2k<=6p`>201966b?<50;0xL46an2Cnhh4<{582>6h1n;85}o3156<5;l1vb<<=2283>7}O99lm7Dkke;1x0?7=;3wQ4:854z:e7?>b13;;;o4m678~j446;388j6sa13010?6=:rB:6}328086pT77787=`421o26<>8b;`52?{i9;;86?:?;|l267422909wE??fg9Jaac=;r>1=7=5}[:42?2|0o914h75115a>g012td:><=52538yk75:;<1<7Obll08w94>:28~^=112=q3j>47e88242d=j?<1qc?=128107=zf889>:4?:3yK55`a3@ooi7=t4;397?{]0><18v6i3;:f=?77?k0i:;4rn0027?43;2we=?<=8;296~N68ol0Ehjj:2y7>4<42tP3;;4;{9d0>=c>28:?7p`>230:>5<5sA;;jk5Feeg97~2=9391qW686;6xxh6:891>9;4}o3167g=838pD<>if:Kf``<4s=0:6>4rZ955>1}?n:03i44>06`9f30=ug;9=>4=479~j445:k0;6?uG11de?Lccm39p87?53;Y<20=?0vb<<>3;073>{i9;89o7>52zJ24c`u;:080>x\???0?w5h<:9g:>460j3h=:7sa1330>72?3td:>?a;32n57??7c8a230gd8j446;38?o6sa13005?6=9rB:3;07a>{i9;88?7>51zJ24c`0gd8j446;38><6sa13001?6=9rB:3;066>{i9;88;7>51zJ24c`0gd8j446;38>86sa1300=?6=9rB:3;062>{i9;88n7>51zJ24c`0gd8j446;38>46sa1300`?6=9rB:3;06e>{i9;88j7>51zJ24c`0gd8j446;38>o6sa13075?6=9rB:3;06a>{i9;8??7>51zJ24c`0gd8j446;38=<6sa13071?6=9rB:3;056>{i9;8?;7>51zJ24c`0gd8j446;38=86sa1307=?6=9rB:3;052>{i9;8?n7>51zJ24c`0gd8j446;38=46sa1307`?6=9rB:3;05e>{i9;8?j7>51zJ24c`0gd8j446;38=o6sa13065?6=9rB:x\???0?w5h<:9g:>20e28:70b3td:>?;<:182M77no1Biik51z09y_>0>3>p4k=58d;933d=99=i6p`>201963`8:50;3xL46an2Cnhh4>{38~^=112=q3j>47e8842g<68>h1qc?=128135=zf889984?:0yK55`a3@ooi7?t2;Y<20=3;045>{i9;8>:7>51zJ24c`460j3we=??<:351?xh6:;?<6=4>{I33bc=i9;;86?9<;|l2673?290:wE??fg9m57742;=?7p`>237:>5<6sA;;jk5a1330>7123td:>?;n:182M77no1e=??<:355?xh6:;?i6=4>{I33bc=i9;;86?98;|l2673d290:wE??fg9m57742;=37p`>237g>5<6sA;;jk5a1330>71>3td:>?;j:182M77no1e=??<:35b?xh6:;?m6=4>{I33bc=i9;;86?9m;|l26707290:wE??fg9m57742;=h7p`>2342>5<6sA;;jk5a1330>71c3td:>?8=:182M77no1e=??<:35f?xh6:;<86=4>{I33bc=i9;;86?9i;|l26703290:wE??fg9m57742;2;7p`>2346>5<6sA;;jk5a1330>7>63td:>?89:182M77no1e=??<:3:1?xh6:;<<6=4>{I33bc=i9;;86?6<;|l2670?290:wE??fg9m57742;2?7p`>234:>5<6sA;;jk5a1330>7>23td:>?8n:182M77no1e=??<:3:5?xh6:;{I33bc=i9;;86?68;|l2670d290:wE??fg9m57742;237p`>234g>5<6sA;;jk5a1330>7>>3td:>?8j:182M77no1e=??<:3:b?xh6:;{I33bc=i9;;86?6m;|l26717290:wE??fg9m57742;2h7p`>2352>5<6sA;;jk5a1330>7>c3td:>?9=:182M77no1e=??<:3:f?xh6:;=86=4>{I33bc=i9;;86?6i;|l26713290:wE??fg9m57742;3;7p`>2356>5<6sA;;jk5a1330>7?63td:>?99:182M77no1e=??<:3;1?xh6:;=<6=4>{I33bc=i9;;86?7<;|l2671?290:wE??fg9m57742;3?7p`>235:>5<6sA;;jk5a1330>7?23td:>?9n:182M77no1e=??<:3;5?xh6:;=i6=4>{I33bc=i9;;86?78;|l2671d290:wE??fg9m57742;337p`>235g>5<6sA;;jk5a1330>7?>3td:>?9j:182M77no1e=??<:3;b?xh6:;=m6=4>{I33bc=i9;;86?7m;|l267>7290:wE??fg9m57742;3h7p`>23:2>5<6sA;;jk5a1330>7?c3td:>?6=:182M77no1e=??<:3;f?xh6:;286=4>{I33bc=i9;;86?7i;|l267>3290:wE??fg9m57742;k;7p`>23:6>5<6sA;;jk5a1330>7g63td:>?69:182M77no1e=??<:3c1?xh6:;2<6=4>{I33bc=i9;;86?o<;|l267>?290:wE??fg9m57742;k?7p`>23::>5<6sA;;jk5a1330>7g23td:>?6n:182M77no1e=??<:3c5?xh6:;2i6=4>{I33bc=i9;;86?o8;|l267>d290:wE??fg9m57742;k37p`>23:g>5<6sA;;jk5a1330>7g>3td:>?6j:182M77no1e=??<:3cb?xh6:;2m6=4>{I33bc=i9;;86?om;|l267?7290:wE??fg9m57742;kh7p`>23;2>5<6sA;;jk5a1330>7gc3td:>?7=:182M77no1e=??<:3cf?xh6:;386=4>{I33bc=i9;;86?oi;|l267?3290:wE??fg9m57742;h;7p`>23;6>5<6sA;;jk5a1330>7d63td:>?79:182M77no1e=??<:3`1?xh6:;3<6=4>{I33bc=i9;;86?l<;|l267??290:wE??fg9m57742;h?7p`>23;:>5<6sA;;jk5a1330>7d23td:>?7n:182M77no1e=??<:3`5?xh6:;3i6=4>{I33bc=i9;;86?l8;|l267?d290:wE??fg9m57742;h37p`>23;g>5<6sA;;jk5a1330>7d>3td:>?7j:182M77no1e=??<:3`b?xh6:;3m6=4>{I33bc=i9;;86?lm;|l267g7290:wE??fg9m57742;hh7p`>23c2>5<6sA;;jk5a1330>7dc3td:>?o=:182M77no1e=??<:3`f?xh6:;k86=4>{I33bc=i9;;86?li;|l267g3290:wE??fg9m57742;i;7p`>23c6>5<6sA;;jk5a1330>7e63td:>?o9:182M77no1e=??<:3a1?xh6:;k<6=4>{I33bc=i9;;86?m<;|l267g?290:wE??fg9m57742;i?7p`>23c:>5<6sA;;jk5a1330>7e23td:>?on:182M77no1e=??<:3a5?xh6:;ki6=4>{I33bc=i9;;86?m8;|l267gd290:wE??fg9m57742;i37p`>23cg>5<6sA;;jk5a1330>7e>3td:>?oj:182M77no1e=??<:3ab?xh6:;km6=4>{I33bc=i9;;86?mm;|l267d7290:wE??fg9m57742;ih7p`>23`2>5<6sA;;jk5a1330>7ec3td:>?l=:182M77no1e=??<:3af?xh6:;h86=4>{I33bc=i9;;86?mi;|l267d3290:wE??fg9m57742;n;7p`>23`6>5<6sA;;jk5a1330>7b63td:>?l9:182M77no1e=??<:3f1?xh6:;h<6=4>{I33bc=i9;;86?j<;|l267d?290:wE??fg9m57742;n?7p`>23`:>5<6sA;;jk5a1330>7b23td:>?ln:182M77no1e=??<:3f5?xh6:;hi6=4>{I33bc=i9;;86?j8;|l267dd290:wE??fg9m57742;n37p`>23`g>5<6sA;;jk5a1330>7b>3td:>?lj:182M77no1e=??<:3fb?xh6:;hm6=4>{I33bc=i9;;86?jm;|l267e7290:wE??fg9m57742;nh7p`>23a2>5<6sA;;jk5a1330>7bc3td:>?m=:182M77no1e=??<:3ff?xh6:;i86=4>{I33bc=i9;;86?ji;|l267e3290:wE??fg9m57742;o;7p`>23a6>5<6sA;;jk5a1330>7c63td:>?m9:182M77no1e=??<:3g1?xh6:;i<6=4>{I33bc=i9;;86?k<;|l267e?290:wE??fg9m57742;o?7p`>23a:>5<6sA;;jk5a1330>7c23td:>?mn:182M77no1e=??<:3g5?xh6:;ii6=4>{I33bc=i9;;86?k8;|l267ed290:wE??fg9m57742;o37p`>23ag>5<6sA;;jk5a1330>7c>3td:>?mj:182M77no1e=??<:3gb?xh6:;im6=4>{I33bc=i9;;86?km;|l267b7290:wE??fg9m57742;oh7p`>23f2>5<6sA;;jk5a1330>7cc3td:>?j=:182M77no1e=??<:3gf?xh6:;n86=4>{I33bc=i9;;86?ki;|l267b3290:wE??fg9m57742;l;7p`>23f6>5<6sA;;jk5a1330>7`63td:>?j9:182M77no1e=??<:3d1?xh6:;n<6=4>{I33bc=i9;;86?h<;|l267b?290:wE??fg9m57742;l?7p`>23f:>5<6sA;;jk5a1330>7`23td:>?jn:182M77no1e=??<:3d5?xh6:;ni6=4>{I33bc=i9;;86?h8;|l267bd290:wE??fg9m57742;l37p`>23fg>5<6sA;;jk5a1330>7`>3td:>?jj:182M77no1e=??<:3db?xh6:;nm6=4>{I33bc=i9;;86?hm;|l267c7290:wE??fg9m57742;lh7p`>23g2>5<6sA;;jk5a1330>7`c3td:>?k=:182M77no1e=??<:3df?xh6:;o86=4>{I33bc=i9;;86?hi;|l267c3290:wE??fg9m57742::;7p`>23g6>5<6sA;;jk5a1330>6663td:>?k9:182M77no1e=??<:221?xh6:;o<6=4>{I33bc=i9;;86>><;|l267c?290:wE??fg9m57742::?7p`>23g:>5<6sA;;jk5a1330>6623td:>?kn:182M77no1e=??<:225?xh6:;oi6=4>{I33bc=i9;;86>>8;|l267cd290:wE??fg9m57742::37p`>23gg>5<6sA;;jk5a1330>66>3td:>?kj:182M77no1e=??<:22b?xh6:;om6=4>{I33bc=i9;;86>>m;|l267`7290:wE??fg9m57742::h7p`>23d2>5<6sA;;jk5a1330>66c3td:>?h=:182M77no1e=??<:22f?xh6:;l86=4>{I33bc=i9;;86>>i;|l267`3290:wE??fg9m57742:;;7p`>23d6>5<6sA;;jk5a1330>6763td:>?h9:182M77no1e=??<:231?xh6:;l<6=4>{I33bc=i9;;86>?<;|l267`?290:wE??fg9m57742:;?7p`>23d:>5<6sA;;jk5a1330>6723td:>?hn:182M77no1e=??<:235?xh6:;li6=4>{I33bc=i9;;86>?8;|l267`d290:wE??fg9m57742:;37p`>23dg>5<6sA;;jk5a1330>67>3td:>?hj:182M77no1e=??<:23b?xh6:;lm6=4>{I33bc=i9;;86>?m;|l26667290:wE??fg9m57742:;h7p`>2222>5<6sA;;jk5a1330>67c3td:>>>=:182M77no1e=??<:23f?xh6:::86=4>{I33bc=i9;;86>?i;|l26663290:wE??fg9m57742:8;7p`>2226>5<6sA;;jk5a1330>6463td:>>>9:182M77no1e=??<:201?xh6:::<6=4>{I33bc=i9;;86><<;|l2666?290:wE??fg9m57742:8?7p`>222:>5<6sA;;jk5a1330>6423td:>>>n:182M77no1e=??<:205?xh6:::i6=4>{I33bc=i9;;86><8;|l2666d290:wE??fg9m57742:837p`>222g>5<6sA;;jk5a1330>64>3td:>>>j:182M77no1e=??<:20b?xh6:::m6=4>{I33bc=i9;;86>2232>5<6sA;;jk5a1330>64c3td:>>?=:182M77no1e=??<:20f?xh6::;86=4>{I33bc=i9;;86>2236>5<6sA;;jk5a1330>6563td:>>?9:182M77no1e=??<:211?xh6::;<6=4>{I33bc=i9;;86>=<;|l2667?290:wE??fg9m57742:9?7p`>223:>5<6sA;;jk5a1330>6523td:>>?n:182M77no1e=??<:215?xh6::;i6=4>{I33bc=i9;;86>=8;|l2667d290:wE??fg9m57742:937p`>223g>5<6sA;;jk5a1330>65>3td:>>?j:182M77no1e=??<:21b?xh6::;m6=4>{I33bc=i9;;86>=m;|l26647290:wE??fg9m57742:9h7p`>2202>5<6sA;;jk5a1330>65c3td:>><=:182M77no1e=??<:21f?xh6::886=4>{I33bc=i9;;86>=i;|l26643290:wE??fg9m57742:>;7p`>2206>5<6sA;;jk5a1330>6263td:>><9:182M77no1e=??<:261?xh6::8<6=4>{I33bc=i9;;86>:<;|l2664?290:wE??fg9m57742:>?7p`>220:>5<6sA;;jk5a1330>6223td:>>{I33bc=i9;;86>:8;|l2664d290:wE??fg9m57742:>37p`>220g>5<6sA;;jk5a1330>62>3td:>>{I33bc=i9;;86>:m;|l26657290:wE??fg9m57742:>h7p`>2212>5<6sA;;jk5a1330>62c3td:>>==:182M77no1e=??<:26f?xh6::986=4>{I33bc=i9;;86>:i;|l26653290:wE??fg9m57742:?;7p`>2216>5<6sA;;jk5a1330>6363td:>>=9:182M77no1e=??<:271?xh6::9<6=4>{I33bc=i9;;86>;<;|l2665?290:wE??fg9m57742:??7p`>221:>5<6sA;;jk5a1330>6323td:>>=n:182M77no1e=??<:275?xh6::9i6=4>{I33bc=i9;;86>;8;|l2665d290:wE??fg9m57742:?37p`>221g>5<6sA;;jk5a1330>63>3td:>>=j:182M77no1e=??<:27b?xh6::9m6=4>{I33bc=i9;;86>;m;|l26627290:wE??fg9m57742:?h7p`>2262>5<6sA;;jk5a1330>63c3td:>>:=:182M77no1e=??<:27f?xh6::>86=4>{I33bc=i9;;86>;i;|l26623290:wE??fg9m57742:<;7p`>2266>5<6sA;;jk5a1330>6063td:>>:9:182M77no1e=??<:241?xh6::><6=4>{I33bc=i9;;86>8<;|l2662?290:wE??fg9m57742:226:>5<6sA;;jk5a1330>6023td:>>:n:182M77no1e=??<:245?xh6::>i6=4>{I33bc=i9;;86>88;|l2662d290:wE??fg9m57742:<37p`>226g>5<6sA;;jk5a1330>60>3td:>>:j:182M77no1e=??<:24b?xh6::>m6=4>{I33bc=i9;;86>8m;|l26637290:wE??fg9m57742:2272>5<6sA;;jk5a1330>60c3td:>>;=:182M77no1e=??<:24f?xh6::?86=4>{I33bc=i9;;86>8i;|l26633290:wE??fg9m57742:=;7p`>2276>5<6sA;;jk5a1330>6163td:>>;9:182M77no1e=??<:251?xh6::?<6=4>{I33bc=i9;;86>9<;|l2663?290:wE??fg9m57742:=?7p`>227:>5<6sA;;jk5a1330>6123td:>>;n:182M77no1e=??<:255?xh6::?i6=4>{I33bc=i9;;86>98;|l2663d290:wE??fg9m57742:=37p`>227g>5<6sA;;jk5a1330>61>3td:>>;j:182M77no1e=??<:25b?xh6::?m6=4>{I33bc=i9;;86>9m;|l26607290:wE??fg9m57742:=h7p`>2242>5<6sA;;jk5a1330>61c3td:>>8=:182M77no1e=??<:25f?xh6::<86=4>{I33bc=i9;;86>9i;|l26603290:wE??fg9m57742:2;7p`>2246>5<6sA;;jk5a1330>6>63td:>>89:182M77no1e=??<:2:1?xh6::<<6=4>{I33bc=i9;;86>6<;|l2660?290:wE??fg9m57742:2?7p`>224:>5<6sA;;jk5a1330>6>23td:>>8n:182M77no1e=??<:2:5?xh6::{I33bc=i9;;86>68;|l2660d290:wE??fg9m57742:237p`>224g>5<6sA;;jk5a1330>6>>3td:>>8j:182M77no1e=??<:2:b?xh6::{I33bc=i9;;86>6m;|l26617290:wE??fg9m57742:2h7p`>2252>5<6sA;;jk5a1330>6>c3td:>>9=:182M77no1e=??<:2:f?xh6::=86=4>{I33bc=i9;;86>6i;|l26613290:wE??fg9m57742:3;7p`>2256>5<6sA;;jk5a1330>6?63td:>>99:182M77no1e=??<:2;1?xh6::=<6=4>{I33bc=i9;;86>7<;|l2661?290:wE??fg9m57742:3?7p`>225:>5<6sA;;jk5a1330>6?23td:>>9n:182M77no1e=??<:2;5?xh6::=i6=4>{I33bc=i9;;86>78;|l2661d290:wE??fg9m57742:337p`>225g>5<6sA;;jk5a1330>6?>3td:>>9j:182M77no1e=??<:2;b?xh6::=m6=4>{I33bc=i9;;86>7m;|l266>7290:wE??fg9m57742:3h7p`>22:2>5<6sA;;jk5a1330>6?c3td:>>6=:182M77no1e=??<:2;f?xh6::286=4>{I33bc=i9;;86>7i;|l266>3290:wE??fg9m57742:k;7p`>22:6>5<6sA;;jk5a1330>6g63td:>>69:182M77no1e=??<:2c1?xh6::2<6=4>{I33bc=i9;;86>o<;|l266>?290:wE??fg9m57742:k?7p`>22::>5<6sA;;jk5a1330>6g23td:>>6n:182M77no1e=??<:2c5?xh6::2i6=4>{I33bc=i9;;86>o8;|l266>d290:wE??fg9m57742:k37p`>22:g>5<6sA;;jk5a1330>6g>3td:>>6j:182M77no1e=??<:2cb?xh6::2m6=4>{I33bc=i9;;86>om;|l266?7290:wE??fg9m57742:kh7p`>22;2>5<6sA;;jk5a1330>6gc3td:>>7=:182M77no1e=??<:2cf?xh6::386=4>{I33bc=i9;;86>oi;|l266?3290:wE??fg9m57742:h;7p`>22;6>5<6sA;;jk5a1330>6d63td:>>79:182M77no1e=??<:2`1?xh6::3<6=4>{I33bc=i9;;86>l<;|l266??290:wE??fg9m57742:h?7p`>22;:>5<6sA;;jk5a1330>6d23td:>>7n:182M77no1e=??<:2`5?xh6::3i6=4>{I33bc=i9;;86>l8;|l266?d290:wE??fg9m57742:h37p`>22;g>5<6sA;;jk5a1330>6d>3td:>>7j:182M77no1e=??<:2`b?xh6::3m6=4>{I33bc=i9;;86>lm;|l266g7290:wE??fg9m57742:hh7p`>22c2>5<6sA;;jk5a1330>6dc3td:>>o=:182M77no1e=??<:2`f?xh6::k86=4>{I33bc=i9;;86>li;|l266g3290:wE??fg9m57742:i;7p`>22c6>5<6sA;;jk5a1330>6e63td:>>o9:182M77no1e=??<:2a1?xh6::k<6=4>{I33bc=i9;;86>m<;|l266g?290:wE??fg9m57742:i?7p`>22c:>5<6sA;;jk5a1330>6e23td:>>on:182M77no1e=??<:2a5?xh6::ki6=4>{I33bc=i9;;86>m8;|l266gd290:wE??fg9m57742:i37p`>22cg>5<6sA;;jk5a1330>6e>3td:>>oj:182M77no1e=??<:2ab?xh6::km6=4>{I33bc=i9;;86>mm;|l266d7290:wE??fg9m57742:ih7p`>22`2>5<6sA;;jk5a1330>6ec3td:>>l=:182M77no1e=??<:2af?xh6::h86=4>{I33bc=i9;;86>mi;|l266d3290:wE??fg9m57742:n;7p`>22`6>5<6sA;;jk5a1330>6b63td:>>l9:182M77no1e=??<:2f1?xh6::h<6=4>{I33bc=i9;;86>j<;|l266d?290:wE??fg9m57742:n?7p`>22`:>5<6sA;;jk5a1330>6b23td:>>ln:182M77no1e=??<:2f5?xh6::hi6=4>{I33bc=i9;;86>j8;|l266dd290:wE??fg9m57742:n37p`>22`g>5<6sA;;jk5a1330>6b>3td:>>lj:182M77no1e=??<:2fb?xh6::hm6=4>{I33bc=i9;;86>jm;|l266e7290:wE??fg9m57742:nh7p`>22a2>5<6sA;;jk5a1330>6bc3td:>>m=:182M77no1e=??<:2ff?xh6::i86=4>{I33bc=i9;;86>ji;|l266e3290:wE??fg9m57742:o;7p`>22a6>5<6sA;;jk5a1330>6c63td:>>m9:182M77no1e=??<:2g1?xh6::i<6=4>{I33bc=i9;;86>k<;|l266e?290:wE??fg9m57742:o?7p`>22a:>5<6sA;;jk5a1330>6c23td:>>mn:182M77no1e=??<:2g5?xh6::ii6=4>{I33bc=i9;;86>k8;|l266ed290:wE??fg9m57742:o37p`>22ag>5<6sA;;jk5a1330>6c>3td:>>mj:182M77no1e=??<:2gb?xh6::im6=4>{I33bc=i9;;86>km;|l266b7290:wE??fg9m57742:oh7p`>22f2>5<6sA;;jk5a1330>6cc3td:>>j=:182M77no1e=??<:2gf?xh6::n86=4>{I33bc=i9;;86>ki;|l266b3290:wE??fg9m57742:l;7p`>22f6>5<6sA;;jk5a1330>6`63td:>>j9:182M77no1e=??<:2d1?xh6::n<6=4>{I33bc=i9;;86>h<;|l266b?290:wE??fg9m57742:l?7p`>22f:>5<6sA;;jk5a1330>6`23td:>>jn:182M77no1e=??<:2d5?xh6::ni6=4>{I33bc=i9;;86>h8;|l266bd290:wE??fg9m57742:l37p`>22fg>5<6sA;;jk5a1330>6`>3td:>>jj:182M77no1e=??<:2db?xh6::nm6=4>{I33bc=i9;;86>hm;|l266c7290:wE??fg9m57742:lh7p`>22g2>5<6sA;;jk5a1330>6`c3td:>>k=:182M77no1e=??<:2df?xh6::o86=4>{I33bc=i9;;86>hi;|l266c3290:wE??fg9m57742=:;7p`>22g6>5<6sA;;jk5a1330>1663td:>>k9:182M77no1e=??<:521?xh6::o<6=4>{I33bc=i9;;869><;|l266c?290:wE??fg9m57742=:?7p`>22g:>5<6sA;;jk5a1330>1623td:>>kn:182M77no1e=??<:525?xh6::oi6=4>{I33bc=i9;;869>8;|l266cd290:wE??fg9m57742=:37p`>22gg>5<6sA;;jk5a1330>16>3td:>>kj:182M77no1e=??<:52b?xh6::om6=4>{I33bc=i9;;869>m;|l266`7290:wE??fg9m57742=:h7p`>22d2>5<6sA;;jk5a1330>16c3td:>>h=:182M77no1e=??<:52f?xh6::l86=4>{I33bc=i9;;869>i;|l266`3290:wE??fg9m57742=;;7p`>22d6>5<6sA;;jk5a1330>1763td:>>h9:182M77no1e=??<:531?xh6::l<6=4>{I33bc=i9;;869?<;|l266`?290:wE??fg9m57742=;?7p`>22d:>5<6sA;;jk5a1330>1723td:>>hn:182M77no1e=??<:535?xh6::li6=4>{I33bc=i9;;869?8;|l266`d290:wE??fg9m57742=;37p`>22dg>5<6sA;;jk5a1330>17>3td:>>hj:182M77no1e=??<:53b?xh6::lm6=4>{I33bc=i9;;869?m;|l26167290:wE??fg9m57742=;h7p`>2522>5<6sA;;jk5a1330>17c3td:>9>=:182M77no1e=??<:53f?xh6:=:86=4>{I33bc=i9;;869?i;|l26163290:wE??fg9m57742=8;7p`>2526>5<6sA;;jk5a1330>1463td:>9>9:182M77no1e=??<:501?xh6:=:<6=4>{I33bc=i9;;869<<;|l2616?290:wE??fg9m57742=8?7p`>252:>5<6sA;;jk5a1330>1423td:>9>n:182M77no1e=??<:505?xh6:=:i6=4>{I33bc=i9;;869<8;|l2616d290:wE??fg9m57742=837p`>252g>5<6sA;;jk5a1330>14>3td:>9>j:182M77no1e=??<:50b?xh6:=:m6=4>{I33bc=i9;;8692532>5<6sA;;jk5a1330>14c3td:>9?=:182M77no1e=??<:50f?xh6:=;86=4>{I33bc=i9;;8692536>5<6sA;;jk5a1330>1563td:>9?9:182M77no1e=??<:511?xh6:=;<6=4>{I33bc=i9;;869=<;|l2617?290:wE??fg9m57742=9?7p`>253:>5<6sA;;jk5a1330>1523td:>9?n:182M77no1e=??<:515?xh6:=;i6=4>{I33bc=i9;;869=8;|l2617d290:wE??fg9m57742=937p`>253g>5<6sA;;jk5a1330>15>3td:>9?j:182M77no1e=??<:51b?xh6:=;m6=4>{I33bc=i9;;869=m;|l26147290:wE??fg9m57742=9h7p`>2502>5<6sA;;jk5a1330>15c3td:>9<=:182M77no1e=??<:51f?xh6:=886=4>{I33bc=i9;;869=i;|l26143290:wE??fg9m57742=>;7p`>2506>5<6sA;;jk5a1330>1263td:>9<9:182M77no1e=??<:561?xh6:=8<6=4>{I33bc=i9;;869:<;|l2614?290:wE??fg9m57742=>?7p`>250:>5<6sA;;jk5a1330>1223td:>9{I33bc=i9;;869:8;|l2614d290:wE??fg9m57742=>37p`>250g>5<6sA;;jk5a1330>12>3td:>9{I33bc=i9;;869:m;|l26157290:wE??fg9m57742=>h7p`>2512>5<6sA;;jk5a1330>12c3td:>9==:182M77no1e=??<:56f?xh6:=986=4>{I33bc=i9;;869:i;|l26153290:wE??fg9m57742=?;7p`>2516>5<6sA;;jk5a1330>1363td:>9=9:182M77no1e=??<:571?xh6:=9<6=4>{I33bc=i9;;869;<;|l2615?290:wE??fg9m57742=??7p`>251:>5<6sA;;jk5a1330>1323td:>9=n:182M77no1e=??<:575?xh6:=9i6=4>{I33bc=i9;;869;8;|l2615d290:wE??fg9m57742=?37p`>251g>5<6sA;;jk5a1330>13>3td:>9=j:182M77no1e=??<:57b?xh6:=9m6=4>{I33bc=i9;;869;m;|l26127290:wE??fg9m57742=?h7p`>2562>5<6sA;;jk5a1330>13c3td:>9:=:182M77no1e=??<:57f?xh6:=>86=4>{I33bc=i9;;869;i;|l26123290:wE??fg9m57742=<;7p`>2566>5<6sA;;jk5a1330>1063td:>9:9:182M77no1e=??<:541?xh6:=><6=4>{I33bc=i9;;8698<;|l2612?290:wE??fg9m57742=256:>5<6sA;;jk5a1330>1023td:>9:n:182M77no1e=??<:545?xh6:=>i6=4>{I33bc=i9;;86988;|l2612d290:wE??fg9m57742=<37p`>256g>5<6sA;;jk5a1330>10>3td:>9:j:182M77no1e=??<:54b?xh6:=>m6=4>{I33bc=i9;;8698m;|l26137290:wE??fg9m57742=2572>5<6sA;;jk5a1330>10c3td:>9;=:182M77no1e=??<:54f?xh6:=?86=4>{I33bc=i9;;8698i;|l26133290:wE??fg9m57742==;7p`>2576>5<6sA;;jk5a1330>1163td:>9;9:182M77no1e=??<:551?xh6:=?<6=4>{I33bc=i9;;8699<;|l2613?290:wE??fg9m57742==?7p`>257:>5<6sA;;jk5a1330>1123td:>9;n:182M77no1e=??<:555?xh6:=?i6=4>{I33bc=i9;;86998;|l2613d290:wE??fg9m57742==37p`>257g>5<6sA;;jk5a1330>11>3td:>9;j:182M77no1e=??<:55b?xh6:=?m6=4>{I33bc=i9;;8699m;|l26107290:wE??fg9m57742==h7p`>2542>5<6sA;;jk5a1330>11c3td:>98=:182M77no1e=??<:55f?xh6:=<86=4>{I33bc=i9;;8699i;|l26103290:wE??fg9m57742=2;7p`>2546>5<6sA;;jk5a1330>1>63td:>989:182M77no1e=??<:5:1?xh6:=<<6=4>{I33bc=i9;;8696<;|l2610?290:wE??fg9m57742=2?7p`>254:>5<6sA;;jk5a1330>1>23td:>98n:182M77no1e=??<:5:5?xh6:={I33bc=i9;;86968;|l2610d290:wE??fg9m57742=237p`>254g>5<6sA;;jk5a1330>1>>3td:>98j:182M77no1e=??<:5:b?xh6:={I33bc=i9;;8696m;|l26117290:wE??fg9m57742=2h7p`>2552>5<6sA;;jk5a1330>1>c3td:>99=:182M77no1e=??<:5:f?xh6:==86=4>{I33bc=i9;;8696i;|l26113290:wE??fg9m57742=3;7p`>2556>5<6sA;;jk5a1330>1?63td:>999:182M77no1e=??<:5;1?xh6:==<6=4>{I33bc=i9;;8697<;|l2611?290:wE??fg9m57742=3?7p`>255:>5<6sA;;jk5a1330>1?23td:>99n:182M77no1e=??<:5;5?xh6:==i6=4>{I33bc=i9;;86978;|l2611d290:wE??fg9m57742=337p`>255g>5<6sA;;jk5a1330>1?>3td:>99j:182M77no1e=??<:5;b?xh6:==m6=4>{I33bc=i9;;8697m;|l261>7290:wE??fg9m57742=3h7p`>25:2>5<6sA;;jk5a1330>1?c3td:>96=:182M77no1e=??<:5;f?xh6:=286=4>{I33bc=i9;;8697i;|l261>3290:wE??fg9m57742=k;7p`>25:6>5<6sA;;jk5a1330>1g63td:>969:182M77no1e=??<:5c1?xh6:=2<6=4>{I33bc=i9;;869o<;|l261>?290:wE??fg9m57742=k?7p`>25::>5<6sA;;jk5a1330>1g23td:>96n:182M77no1e=??<:5c5?xh6:=2i6=4>{I33bc=i9;;869o8;|l261>d290:wE??fg9m57742=k37p`>25:g>5<6sA;;jk5a1330>1g>3td:>96j:182M77no1e=??<:5cb?xh6:=2m6=4>{I33bc=i9;;869om;|l261?7290:wE??fg9m57742=kh7p`>25;2>5<6sA;;jk5a1330>1gc3td:>97=:182M77no1e=??<:5cf?xh6:=386=4>{I33bc=i9;;869oi;|l261?3290:wE??fg9m57742=h;7p`>25;6>5<6sA;;jk5a1330>1d63td:>979:182M77no1e=??<:5`1?xh6:=3<6=4>{I33bc=i9;;869l<;|l261??290:wE??fg9m57742=h?7p`>25;:>5<6sA;;jk5a1330>1d23td:>97n:182M77no1e=??<:5`5?xh6:=3i6=4>{I33bc=i9;;869l8;|l261?d290:wE??fg9m57742=h37p`>25;g>5<6sA;;jk5a1330>1d>3td:>97j:182M77no1e=??<:5`b?xh6:=3m6=4>{I33bc=i9;;869lm;|l261g7290:wE??fg9m57742=hh7p`>25c2>5<6sA;;jk5a1330>1dc3td:>9o=:182M77no1e=??<:5`f?xh6:=k86=4>{I33bc=i9;;869li;|l261g3290:wE??fg9m57742=i;7p`>25c6>5<6sA;;jk5a1330>1e63td:>9o9:182M77no1e=??<:5a1?xh6:=k<6=4>{I33bc=i9;;869m<;|l261g?290:wE??fg9m57742=i?7p`>25c:>5<6sA;;jk5a1330>1e23td:>9on:182M77no1e=??<:5a5?xh6:=ki6=4>{I33bc=i9;;869m8;|l261gd290:wE??fg9m57742=i37p`>25cg>5<6sA;;jk5a1330>1e>3td:>9oj:182M77no1e=??<:5ab?xh6:=km6=4>{I33bc=i9;;869mm;|l261d7290:wE??fg9m57742=ih7p`>25`2>5<6sA;;jk5a1330>1ec3td:>9l=:182M77no1e=??<:5af?xh6:=h86=4>{I33bc=i9;;869mi;|l261d3290:wE??fg9m57742=n;7p`>25`6>5<6sA;;jk5a1330>1b63td:>9l9:182M77no1e=??<:5f1?xh6:=h<6=4>{I33bc=i9;;869j<;|l261d?290:wE??fg9m57742=n?7p`>25`:>5<6sA;;jk5a1330>1b23td:>9ln:182M77no1e=??<:5f5?xh6:=hi6=4>{I33bc=i9;;869j8;|l261dd290:wE??fg9m57742=n37p`>25`g>5<6sA;;jk5a1330>1b>3td:>9lj:182M77no1e=??<:5fb?xh6:=hm6=4>{I33bc=i9;;869jm;|l261e7290:wE??fg9m57742=nh7p`>25a2>5<6sA;;jk5a1330>1bc3td:>9m=:182M77no1e=??<:5ff?xh6:=i86=4>{I33bc=i9;;869ji;|l261e3290:wE??fg9m57742=o;7p`>25a6>5<6sA;;jk5a1330>1c63td:>9m9:182M77no1e=??<:5g1?xh6:=i<6=4>{I33bc=i9;;869k<;|l261e?290:wE??fg9m57742=o?7p`>25a:>5<6sA;;jk5a1330>1c23td:>9mn:182M77no1e=??<:5g5?xh6:=ii6=4>{I33bc=i9;;869k8;|l261ed290:wE??fg9m57742=o37p`>25ag>5<6sA;;jk5a1330>1c>3td:>9mj:182M77no1e=??<:5gb?xh6:=im6=4>{I33bc=i9;;869km;|l261b7290:wE??fg9m57742=oh7p`>25f2>5<6sA;;jk5a1330>1cc3td:>9j=:182M77no1e=??<:5gf?xh6:=n86=4>{I33bc=i9;;869ki;|l261b3290:wE??fg9m57742=l;7p`>25f6>5<6sA;;jk5a1330>1`63td:>9j9:182M77no1e=??<:5d1?xh6:=n<6=4>{I33bc=i9;;869h<;|l261b?290:wE??fg9m57742=l?7p`>25f:>5<6sA;;jk5a1330>1`23td:>9jn:182M77no1e=??<:5d5?xh6:=ni6=4>{I33bc=i9;;869h8;|l261bd290:wE??fg9m57742=l37p`>25fg>5<6sA;;jk5a1330>1`>3td:>9jj:182M77no1e=??<:5db?xh6:=nm6=4>{I33bc=i9;;869hm;|l261c7280:wE??fg9m57742=lh7)?=0e80bgg<=54gf8yk75h6:8918kk4}o310`5=83;pD<>if:l2645=2019156<=55138yk75h6:8919=<4}o310`1=83;pD<>if:l2645==990qc?=4d:94?7|@8:mj6`>2019152<=55178yk75h6:8919=84}o310`d=83;pD<>if:l2645==9=0qc?=4da94?7|@8:mj6`>201915><=551;8yk75h6:8919=o4}o310``=83;pD<>if:l2645==9h0qc?=4g294?7|@8:mj6`>201915e<=551f8yk75h6:8919=k4}o310c5=83;pD<>if:l2645==9l0qc?=4g694?7|@8:mj6`>2019146<=55038yk75h6:8919<<4}o310c1=93;pD<>if:l2645==890qc?=4g:95?7|@8:mj6`>2019142<=55078yk75h6:8919<84}o310cd=93;pD<>if:l2645==8=0qc?=4ga95?7|@8:mj6`>201914><=550;8yk75h6:8919if:l2645==8h0qc?=51295?7|@8:mj6`>201914e<=550f8yk75=981=7?tH02eb>h6:8919if:l2645==8l0qc?=51695?7|@8:mj6`>2019176<=55338yk75=9<1=7?tH02eb>h6:8919?<4}o31151=93;pD<>if:l2645==;90qc?=51:95?7|@8:mj6`>2019172<=55378yk75=9k1=7?tH02eb>h6:8919?84}o3115d=93;pD<>if:l2645==;=0qc?=51a95?7|@8:mj6`>201917><=553;8yk75=9o1=7?tH02eb>h6:8919?o4}o3115`=93;pD<>if:l2645==;h0qc?=50295?7|@8:mj6`>201917e<=553f8yk75=881=7?tH02eb>h6:8919?k4}o31145=93;pD<>if:l2645==;l0qc?=50695?7|@8:mj6`>2019166<=55238yk75=8<1<7h6:8919><4}o31141=838pD<>if:l2645==:90qc?=50:94?4|@8:mj6`>2019162<=55278yk75=8k1<7h6:8919>84}o3114d=838pD<>if:l2645==:=0qc?=50a94?4|@8:mj6`>201916><=552;8yk75=8o1<7h6:8919>o4}o3114`=838pD<>if:l2645==:h0qc?=53294?4|@8:mj6`>201916e<=552f8yk75=;81<7h6:8919>k4}o31175=838pD<>if:l2645==:l0qc?=53694?4|@8:mj6`>2019116<=55538yk75=;<1<7?tH02eb>h6:89199<4}o31171=83;:wE??fg9m57742<>87p`>240;>5<6sA;;jk5a1330>0233td:>8<6:182M77no1e=??<:466?xh6:<8j6=4>{I33bc=i9;;868:9;|l2604e290:wE??fg9m57742<><7p`>240`>5<6sA;;jk5a1330>02?3td:>8{I33bc=i9;;868:n;|l2604a290:wE??fg9m57742<>i7p`>2413>5<6sA;;jk5a1330>02d3td:>8=>:182M77no1e=??<:46g?xh6:<996=4>{I33bc=i9;;868:j;|l26054290:wE??fg9m57742<>m7p`>2417>5<6sA;;jk5a1330>0373td:>8=::182M77no1e=??<:472?xh6:<9=6=4>{I33bc=i9;;868;=;|l26050290:wE??fg9m57742241;>5<6sA;;jk5a1330>0333td:>8=6:182M77no1e=??<:476?xh6:<9j6=4>{I33bc=i9;;868;9;|l2605e290:wE??fg9m57742241`>5<6sA;;jk5a1330>03?3td:>8=k:182M77no1e=??<:47:?xh6:<9n6=4>{I33bc=i9;;868;n;|l2605a290:wE??fg9m577422463>5<6sA;;jk5a1330>03d3td:>8:>:182M77no1e=??<:47g?xh6:<>96=4>{I33bc=i9;;868;j;|l26024290:wE??fg9m577422467>5<6sA;;jk5a1330>0073td:>8:::182M77no1e=??<:442?xh6:<>=6=4>{I33bc=i9;;8688=;|l26020290:wE??fg9m57742<<87p`>246;>5<6sA;;jk5a1330>0033td:>8:6:182M77no1e=??<:446?xh6:<>j6=4>{I33bc=i9;;86889;|l2602e290:wE??fg9m57742<<<7p`>246`>5<6sA;;jk5a1330>00?3td:>8:k:182M77no1e=??<:44:?xh6:<>n6=4>{I33bc=i9;;8688n;|l2602a290:wE??fg9m57742<2473>5<6sA;;jk5a1330>00d3td:>8;>:182M77no1e=??<:44g?xh6:{I33bc=i9;;8688j;|l26034290:wE??fg9m57742<2477>5<6sA;;jk5a1330>0173td:>8;::182M77no1e=??<:452?xh6:{I33bc=i9;;8689=;|l26030290:wE??fg9m57742<=87p`>247;>5<6sA;;jk5a1330>0133td:>8;6:182M77no1e=??<:456?xh6:{I33bc=i9;;86899;|l2603e290:wE??fg9m57742<=<7p`>247`>5<6sA;;jk5a1330>01?3td:>8;k:182M77no1e=??<:45:?xh6:{I33bc=i9;;8689n;|l2603a290:wE??fg9m57742<=i7p`>2443>5<6sA;;jk5a1330>01d3td:>88>:182M77no1e=??<:45g?xh6:<<96=4>{I33bc=i9;;8689j;|l26004290:wE??fg9m57742<=m7p`>2447>5<6sA;;jk5a1330>0>73td:>88::182M77no1e=??<:4:2?xh6:<<=6=4>{I33bc=i9;;8686=;|l26000290:wE??fg9m57742<287p`>244;>5<6sA;;jk5a1330>0>33td:>886:182M77no1e=??<:4:6?xh6:<{I33bc=i9;;86869;|l2600e290:wE??fg9m57742<2<7p`>244`>5<6sA;;jk5a1330>0>?3td:>88k:182M77no1e=??<:4::?xh6:<{I33bc=i9;;8686n;|l2600a290:wE??fg9m57742<2i7p`>2453>5<6sA;;jk5a1330>0>d3td:>89>:182M77no1e=??<:4:g?xh6:<=96=4>{I33bc=i9;;8686j;|l26014290:wE??fg9m57742<2m7p`>2457>5<6sA;;jk5a1330>0?73td:>89::182M77no1e=??<:4;2?xh6:<==6=4>{I33bc=i9;;8687=;|l26010290:wE??fg9m57742<387p`>245;>5<6sA;;jk5a1330>0?33td:>896:182M77no1e=??<:4;6?xh6:<=j6=4>{I33bc=i9;;86879;|l2601e290:wE??fg9m57742<3<7p`>245`>5<6sA;;jk5a1330>0??3td:>89k:182M77no1e=??<:4;:?xh6:<=n6=4>{I33bc=i9;;8687n;|l2601a290:wE??fg9m57742<3i7p`>24:3>5<6sA;;jk5a1330>0?d3td:>86>:182M77no1e=??<:4;g?xh6:<296=4>{I33bc=i9;;8687j;|l260>4290:wE??fg9m57742<3m7p`>24:7>5<6sA;;jk5a1330>0g73td:>86::182M77no1e=??<:4c2?xh6:<2=6=4>{I33bc=i9;;868o=;|l260>0290:wE??fg9m5774224:;>5<6sA;;jk5a1330>0g33td:>866:182M77no1e=??<:4c6?xh6:<2j6=4>{I33bc=i9;;868o9;|l260>e290:wE??fg9m5774224:`>5<6sA;;jk5a1330>0g?3td:>86k:182M77no1e=??<:4c:?xh6:<2n6=4>{I33bc=i9;;868on;|l260>a290:wE??fg9m5774224;3>5<6sA;;jk5a1330>0gd3td:>87>:182M77no1e=??<:4cg?xh6:<396=4>{I33bc=i9;;868oj;|l260?4290:wE??fg9m5774224;7>5<6sA;;jk5a1330>0d73td:>87::182M77no1e=??<:4`2?xh6:<3=6=4>{I33bc=i9;;868l=;|l260?0290:wE??fg9m5774224;;>5<6sA;;jk5a1330>0d33td:>876:182M77no1e=??<:4`6?xh6:<3j6=4>{I33bc=i9;;868l9;|l260?e290:wE??fg9m5774224;`>5<6sA;;jk5a1330>0d?3td:>87k:182M77no1e=??<:4`:?xh6:<3n6=4>{I33bc=i9;;868ln;|l260?a290:wE??fg9m5774224c3>5<6sA;;jk5a1330>0dd3td:>8o>:182M77no1e=??<:4`g?xh6:{I33bc=i9;;868lj;|l260g4290:wE??fg9m5774224c7>5<6sA;;jk5a1330>0e73td:>8o::182M77no1e=??<:4a2?xh6:{I33bc=i9;;868m=;|l260g0290:wE??fg9m5774224c;>5<6sA;;jk5a1330>0e33td:>8o6:182M77no1e=??<:4a6?xh6:{I33bc=i9;;868m9;|l260ge290:wE??fg9m5774224c`>5<6sA;;jk5a1330>0e?3td:>8ok:182M77no1e=??<:4a:?xh6:{I33bc=i9;;868mn;|l260ga290:wE??fg9m5774224`3>5<6sA;;jk5a1330>0ed3td:>8l>:182M77no1e=??<:4ag?xh6:{I33bc=i9;;868mj;|l260d4290:wE??fg9m5774224`7>5<6sA;;jk5a1330>0b73td:>8l::182M77no1e=??<:4f2?xh6:{I33bc=i9;;868j=;|l260d0290:wE??fg9m5774224`;>5<6sA;;jk5a1330>0b33td:>8l6:182M77no1e=??<:4f6?xh6:{I33bc=i9;;868j9;|l260de290:wE??fg9m5774224``>5<6sA;;jk5a1330>0b?3td:>8lk:182M77no1e=??<:4f:?xh6:{I33bc=i9;;868jn;|l260da290:wE??fg9m5774224a3>5<6sA;;jk5a1330>0bd3td:>8m>:182M77no1e=??<:4fg?xh6:{I33bc=i9;;868jj;|l260e4290:wE??fg9m5774224a7>5<6sA;;jk5a1330>0c73td:>8m::182M77no1e=??<:4g2?xh6:{I33bc=i9;;868k=;|l260e0290:wE??fg9m5774224a;>5<6sA;;jk5a1330>0c33td:>8m6:182M77no1e=??<:4g6?xh6:{I33bc=i9;;868k9;|l260ee290:wE??fg9m5774224a`>5<6sA;;jk5a1330>0c?3td:>8mk:182M77no1e=??<:4g:?xh6:{I33bc=i9;;868kn;|l260ea290:wE??fg9m5774224f3>5<6sA;;jk5a1330>0cd3td:>8j>:182M77no1e=??<:4gg?xh6:{I33bc=i9;;868kj;|l260b4290:wE??fg9m5774224f7>5<6sA;;jk5a1330>0`73td:>8j::182M77no1e=??<:4d2?xh6:{I33bc=i9;;868h=;|l260b0290:wE??fg9m5774224f;>5<6sA;;jk5a1330>0`33td:>8j6:182M77no1e=??<:4d6?xh6:{I33bc=i9;;868h9;|l260be290:wE??fg9m5774224f`>5<6sA;;jk5a1330>0`?3td:>8jk:182M77no1e=??<:4d:?xh6:{I33bc=i9;;868hn;|l260ba290:wE??fg9m5774224g3>5<6sA;;jk5a1330>0`d3td:>8k>:182M77no1e=??<:4dg?xh6:{I33bc=i9;;868hj;|l260c4290:wE??fg9m5774224g7>5<6sA;;jk5a1330>3673td:>8k::182M77no1e=??<:722?xh6:{I33bc=i9;;86;>=;|l260c0290:wE??fg9m57742?:87p`>24g;>5<6sA;;jk5a1330>3633td:>8k6:182M77no1e=??<:726?xh6:{I33bc=i9;;86;>9;|l260ce290:wE??fg9m57742?:<7p`>24g`>5<6sA;;jk5a1330>36?3td:>8kk:182M77no1e=??<:72:?xh6:{I33bc=i9;;86;>n;|l260ca290:wE??fg9m57742?:i7p`>24d3>5<6sA;;jk5a1330>36d3td:>8h>:182M77no1e=??<:72g?xh6:{I33bc=i9;;86;>j;|l260`4290:wE??fg9m57742?:m7p`>24d7>5<6sA;;jk5a1330>3773td:>8h::182M77no1e=??<:732?xh6:{I33bc=i9;;86;?=;|l260`0290:wE??fg9m57742?;87p`>24d;>5<6sA;;jk5a1330>3733td:>8h6:182M77no1e=??<:736?xh6:{I33bc=i9;;86;?9;|l260`e290:wE??fg9m57742?;<7p`>24d`>5<6sA;;jk5a1330>37?3td:>8hk:182M77no1e=??<:73:?xh6:{I33bc=i9;;86;?n;|l260`a290:wE??fg9m57742?;i7p`>2723>5<6sA;;jk5a1330>37d3td:>;>>:182M77no1e=??<:73g?xh6:?:96=4>{I33bc=i9;;86;?j;|l26364290:wE??fg9m57742?;m7p`>2727>5<6sA;;jk5a1330>3473td:>;>::182M77no1e=??<:702?xh6:?:=6=4>{I33bc=i9;;86;<=;|l26360290:wE??fg9m57742?887p`>272;>5<6sA;;jk5a1330>3433td:>;>6:182M77no1e=??<:706?xh6:?:j6=4>{I33bc=i9;;86;<9;|l2636e290:wE??fg9m57742?8<7p`>272`>5<6sA;;jk5a1330>34?3td:>;>k:182M77no1e=??<:70:?xh6:?:n6=4>{I33bc=i9;;86;2733>5<6sA;;jk5a1330>34d3td:>;?>:182M77no1e=??<:70g?xh6:?;96=4>{I33bc=i9;;86;2737>5<6sA;;jk5a1330>3573td:>;?::182M77no1e=??<:712?xh6:?;=6=4>{I33bc=i9;;86;==;|l26370290:wE??fg9m57742?987p`>273;>5<6sA;;jk5a1330>3533td:>;?6:182M77no1e=??<:716?xh6:?;j6=4>{I33bc=i9;;86;=9;|l2637e290:wE??fg9m57742?9<7p`>273`>5<6sA;;jk5a1330>35?3td:>;?k:182M77no1e=??<:71:?xh6:?;n6=4>{I33bc=i9;;86;=n;|l2637a290:wE??fg9m57742?9i7p`>2703>5<6sA;;jk5a1330>35d3td:>;<>:182M77no1e=??<:71g?xh6:?896=4>{I33bc=i9;;86;=j;|l26344290:wE??fg9m57742?9m7p`>2707>5<6sA;;jk5a1330>3273td:>;<::182M77no1e=??<:762?xh6:?8=6=4>{I33bc=i9;;86;:=;|l26340290:wE??fg9m57742?>87p`>270;>5<6sA;;jk5a1330>3233td:>;<6:182M77no1e=??<:766?xh6:?8j6=4>{I33bc=i9;;86;:9;|l2634e290:wE??fg9m57742?><7p`>270`>5<6sA;;jk5a1330>32?3td:>;{I33bc=i9;;86;:n;|l2634a290:wE??fg9m57742?>i7p`>2713>5<6sA;;jk5a1330>32d3td:>;=>:182M77no1e=??<:76g?xh6:?996=4>{I33bc=i9;;86;:j;|l26354290:wE??fg9m57742?>m7p`>2717>5<6sA;;jk5a1330>3373td:>;=::182M77no1e=??<:772?xh6:?9=6=4>{I33bc=i9;;86;;=;|l26350290:wE??fg9m57742??87p`>271;>5<6sA;;jk5a1330>3333td:>;=6:182M77no1e=??<:776?xh6:?9j6=4>{I33bc=i9;;86;;9;|l2635e290:wE??fg9m57742??<7p`>271`>5<6sA;;jk5a1330>33?3td:>;=k:182M77no1e=??<:77:?xh6:?9n6=4>{I33bc=i9;;86;;n;|l2635a290:wE??fg9m57742??i7p`>2763>5<6sA;;jk5a1330>33d3td:>;:>:182M77no1e=??<:77g?xh6:?>96=4>{I33bc=i9;;86;;j;|l26324290:wE??fg9m57742??m7p`>2767>5<6sA;;jk5a1330>3073td:>;:::182M77no1e=??<:742?xh6:?>=6=4>{I33bc=i9;;86;8=;|l26320290:wE??fg9m57742?<87p`>276;>5<6sA;;jk5a1330>3033td:>;:6:182M77no1e=??<:746?xh6:?>j6=4>{I33bc=i9;;86;89;|l2632e290:wE??fg9m57742?<<7p`>276`>5<6sA;;jk5a1330>30?3td:>;:k:182M77no1e=??<:74:?xh6:?>n6=4>{I33bc=i9;;86;8n;|l2632a290:wE??fg9m57742?2773>5<6sA;;jk5a1330>30d3td:>;;>:182M77no1e=??<:74g?xh6:??96=4>{I33bc=i9;;86;8j;|l26334290:wE??fg9m57742?2777>5<6sA;;jk5a1330>3173td:>;;::182M77no1e=??<:752?xh6:??=6=4>{I33bc=i9;;86;9=;|l26330290:wE??fg9m57742?=87p`>277;>5<6sA;;jk5a1330>3133td:>;;6:182M77no1e=??<:756?xh6:??j6=4>{I33bc=i9;;86;99;|l2633e290:wE??fg9m57742?=<7p`>277`>5<6sA;;jk5a1330>31?3td:>;;k:182M77no1e=??<:75:?xh6:??n6=4>{I33bc=i9;;86;9n;|l2633a290:wE??fg9m57742?=i7p`>2743>5<6sA;;jk5a1330>31d3td:>;8>:182M77no1e=??<:75g?xh6:?<96=4>{I33bc=i9;;86;9j;|l26304290:wE??fg9m57742?=m7p`>2747>5<6sA;;jk5a1330>3>73td:>;8::182M77no1e=??<:7:2?xh6:?<=6=4>{I33bc=i9;;86;6=;|l26300290:wE??fg9m57742?287p`>274;>5<6sA;;jk5a1330>3>33td:>;86:182M77no1e=??<:7:6?xh6:?{I33bc=i9;;86;69;|l2630e290:wE??fg9m57742?2<7p`>274`>5<6sA;;jk5a1330>3>?3td:>;8k:182M77no1e=??<:7::?xh6:?{I33bc=i9;;86;6n;|l2630a290:wE??fg9m57742?2i7p`>2753>5<6sA;;jk5a1330>3>d3td:>;9>:182M77no1e=??<:7:g?xh6:?=96=4>{I33bc=i9;;86;6j;|l26314290:wE??fg9m57742?2m7p`>2757>5<6sA;;jk5a1330>3?73td:>;9::182M77no1e=??<:7;2?xh6:?==6=4>{I33bc=i9;;86;7=;|l26310290:wE??fg9m57742?387p`>275;>5<6sA;;jk5a1330>3?33td:>;96:182M77no1e=??<:7;6?xh6:?=j6=4>{I33bc=i9;;86;79;|l2631e290:wE??fg9m57742?3<7p`>275`>5<6sA;;jk5a1330>3??3td:>;9k:182M77no1e=??<:7;:?xh6:?=n6=4>{I33bc=i9;;86;7n;|l2631a290:wE??fg9m57742?3i7p`>27:3>5<6sA;;jk5a1330>3?d3td:>;6>:182M77no1e=??<:7;g?xh6:?296=4>{I33bc=i9;;86;7j;|l263>4290:wE??fg9m57742?3m7p`>27:7>5<6sA;;jk5a1330>3g73td:>;6::182M77no1e=??<:7c2?xh6:?2=6=4>{I33bc=i9;;86;o=;|l263>0290:wE??fg9m57742?k87p`>27:;>5<6sA;;jk5a1330>3g33td:>;66:182M77no1e=??<:7c6?xh6:?2j6=4>{I33bc=i9;;86;o9;|l263>e290:wE??fg9m57742?k<7p`>27:`>5<6sA;;jk5a1330>3g?3td:>;6k:182M77no1e=??<:7c:?xh6:?2n6=4>{I33bc=i9;;86;on;|l263>a290:wE??fg9m57742?ki7p`>27;3>5<6sA;;jk5a1330>3gd3td:>;7>:182M77no1e=??<:7cg?xh6:?396=4>{I33bc=i9;;86;oj;|l263?4290:wE??fg9m57742?km7p`>27;7>5<6sA;;jk5a1330>3d73td:>;7::182M77no1e=??<:7`2?xh6:?3=6=4>{I33bc=i9;;86;l=;|l263?0290:wE??fg9m57742?h87p`>27;;>5<6sA;;jk5a1330>3d33td:>;76:182M77no1e=??<:7`6?xh6:?3j6=4>{I33bc=i9;;86;l9;|l263?e290:wE??fg9m57742?h<7p`>27;`>5<6sA;;jk5a1330>3d?3td:>;7k:182M77no1e=??<:7`:?xh6:?3n6=4>{I33bc=i9;;86;ln;|l263?a290:wE??fg9m57742?hi7p`>27c3>5<6sA;;jk5a1330>3dd3td:>;o>:182M77no1e=??<:7`g?xh6:?k96=4>{I33bc=i9;;86;lj;|l263g4290:wE??fg9m57742?hm7p`>27c7>5<6sA;;jk5a1330>3e73td:>;o::182M77no1e=??<:7a2?xh6:?k=6=4>{I33bc=i9;;86;m=;|l263g0290:wE??fg9m57742?i87p`>27c;>5<6sA;;jk5a1330>3e33td:>;o6:182M77no1e=??<:7a6?xh6:?kj6=4>{I33bc=i9;;86;m9;|l263ge290:wE??fg9m57742?i<7p`>27c`>5<6sA;;jk5a1330>3e?3td:>;ok:182M77no1e=??<:7a:?xh6:?kn6=4>{I33bc=i9;;86;mn;|l263ga290:wE??fg9m57742?ii7p`>27`3>5<6sA;;jk5a1330>3ed3td:>;l>:182M77no1e=??<:7ag?xh6:?h96=4>{I33bc=i9;;86;mj;|l263d4290:wE??fg9m57742?im7p`>27`7>5<6sA;;jk5a1330>3b73td:>;l::182M77no1e=??<:7f2?xh6:?h=6=4>{I33bc=i9;;86;j=;|l263d0290:wE??fg9m57742?n87p`>27`;>5<6sA;;jk5a1330>3b33td:>;l6:182M77no1e=??<:7f6?xh6:?hj6=4>{I33bc=i9;;86;j9;|l263de290:wE??fg9m57742?n<7p`>27``>5<6sA;;jk5a1330>3b?3td:>;lk:182M77no1e=??<:7f:?xh6:?hn6=4>{I33bc=i9;;86;jn;|l263da290:wE??fg9m57742?ni7p`>27a3>5<6sA;;jk5a1330>3bd3td:>;m>:182M77no1e=??<:7fg?xh6:?i96=4>{I33bc=i9;;86;jj;|l263e4290:wE??fg9m57742?nm7p`>27a7>5<6sA;;jk5a1330>3c73td:>;m::082M77no1e=??<:7g2?xh6:?i=6<4>{I33bc=i9;;86;k=;|l263e0280:wE??fg9m57742?o87p`>27a;>4<6sA;;jk5a1330>3c33td:>;m6:082M77no1e=??<:7g6?xh6:?ij6<4>{I33bc=i9;;86;k9;|l263ee290:wE??fg9m57742?o<7p`>27a`>5<6sA;;jk5a1330>3c?3td:>;mk:182M77no1e=??<:7g:?xh6:?in6=4>{I33bc=i9;;86;kn;|l263ea290:wE??fg9m57742?oi7p`>27f3>5<6sA;;jk5a1330>3cd3td:>;j>:182M77no1e=??<:7gg?xh6:?n96=4>{I33bc=i9;;86;kj;|l263b4290:wE??fg9m57742?om7p`>27f7>5<6sA;;jk5a1330>3`73td:>;j::182M77no1e=??<:7d2?xh6:?n=6=4>{I33bc=i9;;86;h=;|l263b0290:wE??fg9m57742?l87p`>27f;>5<6sA;;jk5a1330>3`33td:>;j6:182M77no1e=??<:7d6?xh6:?nj6=4>{I33bc=i9;;86;h9;|l263be290:wE??fg9m57742?l<7p`>27f`>5<6sA;;jk5a1330>3`?3td:>;jk:182M77no1e=??<:7d:?xh6:?nn6=4>{I33bc=i9;;86;hn;|l263ba290:wE??fg9m57742?li7p`>27g3>5<6sA;;jk5a1330>3`d3td:>;k>:182M77no1e=??<:7dg?xh6:?o96=4>{I33bc=i9;;86;hj;|l263c4290:wE??fg9m57742?lm7p`>27g7>5<6sA;;jk5a1330>2673td:>;k::182M77no1e=??<:622?xh6:?o=6=4>{I33bc=i9;;86:>=;|l263c0290:wE??fg9m57742>:87p`>27g;>5<6sA;;jk5a1330>2633td:>;k6:182M77no1e=??<:626?xh6:?oj6=4>{I33bc=i9;;86:>9;|l263ce290:wE??fg9m57742>:<7p`>27g`>5<6sA;;jk5a1330>26?3td:>;kk:182M77no1e=??<:62:?xh6:?on6=4>{I33bc=i9;;86:>n;|l263ca290:wE??fg9m57742>:i7p`>27d3>5<6sA;;jk5a1330>26d3td:>;h>:182M77no1e=??<:62g?xh6:?l96=4>{I33bc=i9;;86:>j;|l263`4290:wE??fg9m57742>:m7p`>27d7>5<6sA;;jk5a1330>2773td:>;h::182M77no1e=??<:632?xh6:?l=6=4>{I33bc=i9;;86:?=;|l263`0290:wE??fg9m57742>;87p`>27d;>5<6sA;;jk5a1330>2733td:>;h6:182M77no1e=??<:636?xh6:?lj6=4>{I33bc=i9;;86:?9;|l263`e290:wE??fg9m57742>;<7p`>27d`>5<6sA;;jk5a1330>27?3td:>;hk:182M77no1e=??<:63:?xh6:?ln6=4>{I33bc=i9;;86:?n;|l263`a290:wE??fg9m57742>;i7p`>2623>5<6sA;;jk5a1330>27d3td:>:>>:182M77no1e=??<:63g?xh6:>:96=4>{I33bc=i9;;86:?j;|l26264290:wE??fg9m57742>;m7p`>2627>5<6sA;;jk5a1330>2473td:>:>::182M77no1e=??<:602?xh6:>:=6=4>{I33bc=i9;;86:<=;|l26260290:wE??fg9m57742>887p`>262;>5<6sA;;jk5a1330>2433td:>:>6:182M77no1e=??<:606?xh6:>:j6=4>{I33bc=i9;;86:<9;|l2626e290:wE??fg9m57742>8<7p`>262`>5<6sA;;jk5a1330>24?3td:>:>k:182M77no1e=??<:60:?xh6:>:n6=4>{I33bc=i9;;86:8i7p`>2633>5<6sA;;jk5a1330>24d3td:>:?>:182M77no1e=??<:60g?xh6:>;96=4>{I33bc=i9;;86:8m7p`>2637>5<6sA;;jk5a1330>2573td:>:?::182M77no1e=??<:612?xh6:>;=6=4>{I33bc=i9;;86:==;|l26270290:wE??fg9m57742>987p`>263;>5<6sA;;jk5a1330>2533td:>:?6:182M77no1e=??<:616?xh6:>;j6=4>{I33bc=i9;;86:=9;|l2627e290:wE??fg9m57742>9<7p`>263`>5<6sA;;jk5a1330>25?3td:>:?k:182M77no1e=??<:61:?xh6:>;n6=4>{I33bc=i9;;86:=n;|l2627a290:wE??fg9m57742>9i7p`>2603>5<6sA;;jk5a1330>25d3td:>:<>:182M77no1e=??<:61g?xh6:>896=4>{I33bc=i9;;86:=j;|l26244290:wE??fg9m57742>9m7p`>2607>5<6sA;;jk5a1330>2273td:>:<::182M77no1e=??<:662?xh6:>8=6=4>{I33bc=i9;;86::=;|l26240290:wE??fg9m57742>>87p`>260;>5<6sA;;jk5a1330>2233td:>:<6:182M77no1e=??<:666?xh6:>8j6=4>{I33bc=i9;;86::9;|l2624e290:wE??fg9m57742>><7p`>260`>5<6sA;;jk5a1330>22?3td:>:8n6=4>{I33bc=i9;;86::n;|l2624a290:wE??fg9m57742>>i7p`>2613>5<6sA;;jk5a1330>22d3td:>:=>:182M77no1e=??<:66g?xh6:>996=4>{I33bc=i9;;86::j;|l26254290:wE??fg9m57742>>m7p`>2617>5<6sA;;jk5a1330>2373td:>:=::182M77no1e=??<:672?xh6:>9=6=4>{I33bc=i9;;86:;=;|l26250290:wE??fg9m57742>?87p`>261;>5<6sA;;jk5a1330>2333td:>:=6:182M77no1e=??<:676?xh6:>9j6=4>{I33bc=i9;;86:;9;|l2625e290:wE??fg9m57742>?<7p`>261`>5<6sA;;jk5a1330>23?3td:>:=k:182M77no1e=??<:67:?xh6:>9n6=4>{I33bc=i9;;86:;n;|l2625a290:wE??fg9m57742>?i7p`>2663>5<6sA;;jk5a1330>23d3td:>::>:182M77no1e=??<:67g?xh6:>>96=4>{I33bc=i9;;86:;j;|l26224290:wE??fg9m57742>?m7p`>2667>5<6sA;;jk5a1330>2073td:>::::182M77no1e=??<:642?xh6:>>=6=4>{I33bc=i9;;86:8=;|l26220290:wE??fg9m57742><87p`>266;>5<6sA;;jk5a1330>2033td:>::6:182M77no1e=??<:646?xh6:>>j6=4>{I33bc=i9;;86:89;|l2622e290:wE??fg9m57742><<7p`>266`>5<6sA;;jk5a1330>20?3td:>::k:182M77no1e=??<:64:?xh6:>>n6=4>{I33bc=i9;;86:8n;|l2622a290:wE??fg9m57742>2673>5<6sA;;jk5a1330>20d3td:>:;>:182M77no1e=??<:64g?xh6:>?96=4>{I33bc=i9;;86:8j;|l26234290:wE??fg9m57742>2677>5<6sA;;jk5a1330>2173td:>:;::182M77no1e=??<:652?xh6:>?=6=4>{I33bc=i9;;86:9=;|l26230290:wE??fg9m57742>=87p`>267;>5<6sA;;jk5a1330>2133td:>:;6:182M77no1e=??<:656?xh6:>?j6=4>{I33bc=i9;;86:99;|l2623e290:wE??fg9m57742>=<7p`>267`>5<6sA;;jk5a1330>21?3td:>:;k:182M77no1e=??<:65:?xh6:>?n6=4>{I33bc=i9;;86:9n;|l2623a290:wE??fg9m57742>=i7p`>2643>5<6sA;;jk5a1330>21d3td:>:8>:182M77no1e=??<:65g?xh6:><96=4>{I33bc=i9;;86:9j;|l26204290:wE??fg9m57742>=m7p`>2647>5<6sA;;jk5a1330>2>73td:>:8::182M77no1e=??<:6:2?xh6:><=6=4>{I33bc=i9;;86:6=;|l26200290:wE??fg9m57742>287p`>264;>5<6sA;;jk5a1330>2>33td:>:86:182M77no1e=??<:6:6?xh6:>{I33bc=i9;;86:69;|l2620e290:wE??fg9m57742>2<7p`>264`>5<6sA;;jk5a1330>2>?3td:>:8k:182M77no1e=??<:6::?xh6:>{I33bc=i9;;86:6n;|l2620a290:wE??fg9m57742>2i7p`>2653>5<6sA;;jk5a1330>2>d3td:>:9>:182M77no1e=??<:6:g?xh6:>=96=4>{I33bc=i9;;86:6j;|l26214290:wE??fg9m57742>2m7p`>2657>5<6sA;;jk5a1330>2?73td:>:9::182M77no1e=??<:6;2?xh6:>==6=4>{I33bc=i9;;86:7=;|l26210290:wE??fg9m57742>387p`>265;>5<6sA;;jk5a1330>2?33td:>:96:182M77no1e=??<:6;6?xh6:>=j6=4>{I33bc=i9;;86:79;|l2621e290:wE??fg9m57742>3<7p`>265`>5<6sA;;jk5a1330>2??3td:>:9k:182M77no1e=??<:6;:?xh6:>=n6=4>{I33bc=i9;;86:7n;|l2621a290:wE??fg9m57742>3i7p`>26:3>5<6sA;;jk5a1330>2?d3td:>:6>:182M77no1e=??<:6;g?xh6:>296=4>{I33bc=i9;;86:7j;|l262>4290:wE??fg9m57742>3m7p`>26:7>5<6sA;;jk5a1330>2g73td:>:6::182M77no1e=??<:6c2?xh6:>2=6=4>{I33bc=i9;;86:o=;|l262>0290:wE??fg9m57742>k87p`>26:;>5<6sA;;jk5a1330>2g33td:>:66:182M77no1e=??<:6c6?xh6:>2j6=4>{I33bc=i9;;86:o9;|l262>e290:wE??fg9m57742>k<7p`>26:`>5<6sA;;jk5a1330>2g?3td:>:6k:182M77no1e=??<:6c:?xh6:>2n6=4>{I33bc=i9;;86:on;|l262>a290:wE??fg9m57742>ki7p`>26;3>5<6sA;;jk5a1330>2gd3td:>:7>:182M77no1e=??<:6cg?xh6:>396=4>{I33bc=i9;;86:oj;|l262?4290:wE??fg9m57742>km7p`>26;7>5<6sA;;jk5a1330>2d73td:>:7::182M77no1e=??<:6`2?xh6:>3=6=4>{I33bc=i9;;86:l=;|l262?0290:wE??fg9m57742>h87p`>26;;>5<6sA;;jk5a1330>2d33td:>:76:182M77no1e=??<:6`6?xh6:>3j6=4>{I33bc=i9;;86:l9;|l262?e290:wE??fg9m57742>h<7p`>26;`>5<6sA;;jk5a1330>2d?3td:>:7k:182M77no1e=??<:6`:?xh6:>3n6=4>{I33bc=i9;;86:ln;|l262?a290:wE??fg9m57742>hi7p`>26c3>5<6sA;;jk5a1330>2dd3td:>:o>:182M77no1e=??<:6`g?xh6:>k96=4>{I33bc=i9;;86:lj;|l262g4290:wE??fg9m57742>hm7p`>26c7>5<6sA;;jk5a1330>2e73td:>:o::182M77no1e=??<:6a2?xh6:>k=6=4>{I33bc=i9;;86:m=;|l262g0290:wE??fg9m57742>i87p`>26c;>5<6sA;;jk5a1330>2e33td:>:o6:182M77no1e=??<:6a6?xh6:>kj6=4>{I33bc=i9;;86:m9;|l262ge290:wE??fg9m57742>i<7p`>26c`>5<6sA;;jk5a1330>2e?3td:>:ok:182M77no1e=??<:6a:?xh6:>kn6=4>{I33bc=i9;;86:mn;|l262ga290:wE??fg9m57742>ii7p`>26`3>5<6sA;;jk5a1330>2ed3td:>:l>:182M77no1e=??<:6ag?xh6:>h96=4>{I33bc=i9;;86:mj;|l262d4290:wE??fg9m57742>im7p`>26`7>5<6sA;;jk5a1330>2b73td:>:l::182M77no1e=??<:6f2?xh6:>h=6=4>{I33bc=i9;;86:j=;|l262d0290:wE??fg9m57742>n87p`>26`;>5<6sA;;jk5a1330>2b33td:>:l6:182M77no1e=??<:6f6?xh6:>hj6=4>{I33bc=i9;;86:j9;|l262de290:wE??fg9m57742>n<7p`>26``>5<6sA;;jk5a1330>2b?3td:>:lk:182M77no1e=??<:6f:?xh6:>hn6=4>{I33bc=i9;;86:jn;|l262da290:wE??fg9m57742>ni7p`>26a3>5<6sA;;jk5a1330>2bd3td:>:m>:182M77no1e=??<:6fg?xh6:>i96=4>{I33bc=i9;;86:jj;|l262e4290:wE??fg9m57742>nm7p`>26a7>5<6sA;;jk5a1330>2c73td:>:m::182M77no1e=??<:6g2?xh6:>i=6=4>{I33bc=i9;;86:k=;|l262e0290:wE??fg9m57742>o87p`>26a;>5<6sA;;jk5a1330>2c33td:>:m6:182M77no1e=??<:6g6?xh6:>ij6=4>{I33bc=i9;;86:k9;|l262ee290:wE??fg9m57742>o<7p`>26a`>5<6sA;;jk5a1330>2c?3td:>:mk:182M77no1e=??<:6g:?xh6:>in6=4>{I33bc=i9;;86:kn;|l262ea290:wE??fg9m57742>oi7p`>26f3>5<6sA;;jk5a1330>2cd3td:>:j>:182M77no1e=??<:6gg?xh6:>n96=4>{I33bc=i9;;86:kj;|l262b4290:wE??fg9m57742>om7p`>26f7>5<6sA;;jk5a1330>2`73td:>:j::182M77no1e=??<:6d2?xh6:>n=6=4>{I33bc=i9;;86:h=;|l262b0290:wE??fg9m57742>l87p`>26f;>5<6sA;;jk5a1330>2`33td:>:j6:182M77no1e=??<:6d6?xh6:>nj6=4>{I33bc=i9;;86:h9;|l262be290:wE??fg9m57742>l<7p`>26f`>5<6sA;;jk5a1330>2`?3td:>:jk:182M77no1e=??<:6d:?xh6:>nn6=4>{I33bc=i9;;86:hn;|l262ba290:wE??fg9m57742>li7p`>26g3>5<6sA;;jk5a1330>2`d3td:>:k>:182M77no1e=??<:6dg?xh6:>o96=4>{I33bc=i9;;86:hj;|l262c4290:wE??fg9m57742>lm7p`>26g7>5<6sA;;jk5a1330>=673td:>:k::182M77no1e=??<:922?xh6:>o=6=4>{I33bc=i9;;865>=;|l262c0290:wE??fg9m577421:87p`>26g;>5<6sA;;jk5a1330>=633td:>:k6:182M77no1e=??<:926?xh6:>oj6=4>{I33bc=i9;;865>9;|l262ce290:wE??fg9m577421:<7p`>26g`>5<6sA;;jk5a1330>=6?3td:>:kk:182M77no1e=??<:92:?xh6:>on6=4>{I33bc=i9;;865>n;|l262ca290:wE??fg9m577421:i7p`>26d3>5<6sA;;jk5a1330>=6d3td:>:h>:182M77no1e=??<:92g?xh6:>l96=4>{I33bc=i9;;865>j;|l262`4290:wE??fg9m577421:m7p`>26d7>5<6sA;;jk5a1330>=773td:>:h::182M77no1e=??<:932?xh6:>l=6=4>{I33bc=i9;;865?=;|l262`0290:wE??fg9m577421;87p`>26d;>5<6sA;;jk5a1330>=733td:>:h6:182M77no1e=??<:936?xh6:>lj6=4>{I33bc=i9;;865?9;|l262`e290:wE??fg9m577421;<7p`>26d`>5<6sA;;jk5a1330>=7?3td:>:hk:182M77no1e=??<:93:?xh6:>ln6=4>{I33bc=i9;;865?n;|l262`a290:wE??fg9m577421;i7p`>2923>5<6sA;;jk5a1330>=7d3td:>5>>:182M77no1e=??<:93g?xh6:1:96=4>{I33bc=i9;;865?j;|l26=64290:wE??fg9m577421;m7p`>2927>5<6sA;;jk5a1330>=473td:>5>::182M77no1e=??<:902?xh6:1:=6=4>{I33bc=i9;;865<=;|l26=60290:wE??fg9m577421887p`>292;>5<6sA;;jk5a1330>=433td:>5>6:182M77no1e=??<:906?xh6:1:j6=4>{I33bc=i9;;865<9;|l26=6e290:wE??fg9m5774218<7p`>292`>5<6sA;;jk5a1330>=4?3td:>5>k:182M77no1e=??<:90:?xh6:1:n6=4>{I33bc=i9;;8652933>5<6sA;;jk5a1330>=4d3td:>5?>:182M77no1e=??<:90g?xh6:1;96=4>{I33bc=i9;;8652937>5<6sA;;jk5a1330>=573td:>5?::182M77no1e=??<:912?xh6:1;=6=4>{I33bc=i9;;865==;|l26=70290:wE??fg9m577421987p`>293;>5<6sA;;jk5a1330>=533td:>5?6:182M77no1e=??<:916?xh6:1;j6=4>{I33bc=i9;;865=9;|l26=7e290:wE??fg9m5774219<7p`>293`>5<6sA;;jk5a1330>=5?3td:>5?k:182M77no1e=??<:91:?xh6:1;n6=4>{I33bc=i9;;865=n;|l26=7a290:wE??fg9m5774219i7p`>2903>5<6sA;;jk5a1330>=5d3td:>5<>:182M77no1e=??<:91g?xh6:1896=4>{I33bc=i9;;865=j;|l26=44290:wE??fg9m5774219m7p`>2907>5<6sA;;jk5a1330>=273td:>5<::182M77no1e=??<:962?xh6:18=6=4>{I33bc=i9;;865:=;|l26=40290:wE??fg9m577421>87p`>290;>5<6sA;;jk5a1330>=233td:>5<6:182M77no1e=??<:966?xh6:18j6=4>{I33bc=i9;;865:9;|l26=4e290:wE??fg9m577421><7p`>290`>5<6sA;;jk5a1330>=2?3td:>5{I33bc=i9;;865:n;|l26=4a290:wE??fg9m577421>i7p`>2913>5<6sA;;jk5a1330>=2d3td:>5=>:182M77no1e=??<:96g?xh6:1996=4>{I33bc=i9;;865:j;|l26=54290:wE??fg9m577421>m7p`>2917>5<6sA;;jk5a1330>=373td:>5=::182M77no1e=??<:972?xh6:19=6=4>{I33bc=i9;;865;=;|l26=50290:wE??fg9m577421?87p`>291;>5<6sA;;jk5a1330>=333td:>5=6:182M77no1e=??<:976?xh6:19j6=4>{I33bc=i9;;865;9;|l26=5e290:wE??fg9m577421?<7p`>291`>5<6sA;;jk5a1330>=3?3td:>5=k:182M77no1e=??<:97:?xh6:19n6=4>{I33bc=i9;;865;n;|l26=5a290:wE??fg9m577421?i7p`>2963>5<6sA;;jk5a1330>=3d3td:>5:>:182M77no1e=??<:97g?xh6:1>96=4>{I33bc=i9;;865;j;|l26=24290:wE??fg9m577421?m7p`>2967>5<6sA;;jk5a1330>=073td:>5:::182M77no1e=??<:942?xh6:1>=6=4>{I33bc=i9;;8658=;|l26=20290:wE??fg9m577421<87p`>296;>5<6sA;;jk5a1330>=033td:>5:6:182M77no1e=??<:946?xh6:1>j6=4>{I33bc=i9;;86589;|l26=2e290:wE??fg9m577421<<7p`>296`>5<6sA;;jk5a1330>=0?3td:>5:k:182M77no1e=??<:94:?xh6:1>n6=4>{I33bc=i9;;8658n;|l26=2a290:wE??fg9m5774212973>5<6sA;;jk5a1330>=0d3td:>5;>:182M77no1e=??<:94g?xh6:1?96=4>{I33bc=i9;;8658j;|l26=34290:wE??fg9m5774212977>5<6sA;;jk5a1330>=173td:>5;::182M77no1e=??<:952?xh6:1?=6=4>{I33bc=i9;;8659=;|l26=30290:wE??fg9m577421=87p`>297;>5<6sA;;jk5a1330>=133td:>5;6:182M77no1e=??<:956?xh6:1?j6=4>{I33bc=i9;;86599;|l26=3e290:wE??fg9m577421=<7p`>297`>5<6sA;;jk5a1330>=1?3td:>5;k:182M77no1e=??<:95:?xh6:1?n6=4>{I33bc=i9;;8659n;|l26=3a290:wE??fg9m577421=i7p`>2943>5<6sA;;jk5a1330>=1d3td:>58>:182M77no1e=??<:95g?xh6:1<96=4>{I33bc=i9;;8659j;|l26=04290:wE??fg9m577421=m7p`>2947>5<6sA;;jk5a1330>=>73td:>58::182M77no1e=??<:9:2?xh6:1<=6=4>{I33bc=i9;;8656=;|l26=00290:wE??fg9m577421287p`>294;>5<6sA;;jk5a1330>=>33td:>586:182M77no1e=??<:9:6?xh6:1{I33bc=i9;;86569;|l26=0e290:wE??fg9m5774212<7p`>294`>5<6sA;;jk5a1330>=>?3td:>58k:182M77no1e=??<:9::?xh6:1{I33bc=i9;;8656n;|l26=0a290:wE??fg9m5774212i7p`>2953>5<6sA;;jk5a1330>=>d3td:>59>:182M77no1e=??<:9:g?xh6:1=96=4>{I33bc=i9;;8656j;|l26=14290:wE??fg9m5774212m7p`>2957>5<6sA;;jk5a1330>=?73td:>59::182M77no1e=??<:9;2?xh6:1==6=4>{I33bc=i9;;8657=;|l26=10290:wE??fg9m577421387p`>295;>5<6sA;;jk5a1330>=?33td:>596:182M77no1e=??<:9;6?xh6:1=j6=4>{I33bc=i9;;86579;|l26=1e290:wE??fg9m5774213<7p`>295`>5<6sA;;jk5a1330>=??3td:>59k:182M77no1e=??<:9;:?xh6:1=n6=4>{I33bc=i9;;8657n;|l26=1a290:wE??fg9m5774213i7p`>29:3>5<6sA;;jk5a1330>=?d3td:>56>:182M77no1e=??<:9;g?xh6:1296=4>{I33bc=i9;;8657j;|l26=>4290:wE??fg9m5774213m7p`>29:7>5<6sA;;jk5a1330>=g73td:>56::182M77no1e=??<:9c2?xh6:12=6=4>{I33bc=i9;;865o=;|l26=>0290:wE??fg9m577421k87p`>29:;>5<6sA;;jk5a1330>=g33td:>566:182M77no1e=??<:9c6?xh6:12j6=4>{I33bc=i9;;865o9;|l26=>e290:wE??fg9m577421k<7p`>29:`>5<6sA;;jk5a1330>=g?3td:>56k:182M77no1e=??<:9c:?xh6:12n6=4>{I33bc=i9;;865on;|l26=>a290:wE??fg9m577421ki7p`>29;3>5<6sA;;jk5a1330>=gd3td:>57>:182M77no1e=??<:9cg?xh6:1396=4>{I33bc=i9;;865oj;|l26=?4290:wE??fg9m577421km7p`>29;7>5<6sA;;jk5a1330>=d73td:>57::182M77no1e=??<:9`2?xh6:13=6=4>{I33bc=i9;;865l=;|l26=?0290:wE??fg9m577421h87p`>29;;>5<6sA;;jk5a1330>=d33td:>576:182M77no1e=??<:9`6?xh6:13j6=4>{I33bc=i9;;865l9;|l26=?e290:wE??fg9m577421h<7p`>29;`>5<6sA;;jk5a1330>=d?3td:>57k:182M77no1e=??<:9`:?xh6:13n6=4>{I33bc=i9;;865ln;|l26=?a290:wE??fg9m577421hi7p`>29c3>5<6sA;;jk5a1330>=dd3td:>5o>:182M77no1e=??<:9`g?xh6:1k96=4>{I33bc=i9;;865lj;|l26=g4290:wE??fg9m577421hm7p`>29c7>5<6sA;;jk5a1330>=e73td:>5o::182M77no1e=??<:9a2?xh6:1k=6=4>{I33bc=i9;;865m=;|l26=g0290:wE??fg9m577421i87p`>29c;>5<6sA;;jk5a1330>=e33td:>5o6:182M77no1e=??<:9a6?xh6:1kj6=4>{I33bc=i9;;865m9;|l26=ge290:wE??fg9m577421i<7p`>29c`>5<6sA;;jk5a1330>=e?3td:>5ok:182M77no1e=??<:9a:?xh6:1kn6=4>{I33bc=i9;;865mn;|l26=ga290:wE??fg9m577421ii7p`>29`3>5<6sA;;jk5a1330>=ed3td:>5l>:182M77no1e=??<:9ag?xh6:1h96=4>{I33bc=i9;;865mj;|l26=d4290:wE??fg9m577421im7p`>29`7>5<6sA;;jk5a1330>=b73td:>5l::182M77no1e=??<:9f2?xh6:1h=6=4>{I33bc=i9;;865j=;|l26=d0290:wE??fg9m577421n87p`>29`;>5<6sA;;jk5a1330>=b33td:>5l6:182M77no1e=??<:9f6?xh6:1hj6=4>{I33bc=i9;;865j9;|l26=de290:wE??fg9m577421n<7p`>29``>5<6sA;;jk5a1330>=b?3td:>5lk:182M77no1e=??<:9f:?xh6:1hn6=4>{I33bc=i9;;865jn;|l26=da290:wE??fg9m577421ni7p`>29a3>5<6sA;;jk5a1330>=bd3td:>5m>:182M77no1e=??<:9fg?xh6:1i96=4>{I33bc=i9;;865jj;|l26=e4290:wE??fg9m577421nm7p`>29a7>5<6sA;;jk5a1330>=c73td:>5m::182M77no1e=??<:9g2?xh6:1i=6=4>{I33bc=i9;;865k=;|l26=e0290:wE??fg9m577421o87p`>29a;>5<6sA;;jk5a1330>=c33td:>5m6:182M77no1e=??<:9g6?xh6:1ij6=4>{I33bc=i9;;865k9;|l26=ee290:wE??fg9m577421o<7p`>29a`>5<6sA;;jk5a1330>=c?3td:>5mk:182M77no1e=??<:9g:?xh6:1in6=4>{I33bc=i9;;865kn;|l26=ea290:wE??fg9m577421oi7p`>29f3>5<6sA;;jk5a1330>=cd3td:>5j>:182M77no1e=??<:9gg?xh6:1n96=4>{I33bc=i9;;865kj;|l26=b4290:wE??fg9m577421om7p`>29f7>5<6sA;;jk5a1330>=`73td:>5j::182M77no1e=??<:9d2?xh6:1n=6=4>{I33bc=i9;;865h=;|l26=b0290:wE??fg9m577421l87p`>29f;>5<6sA;;jk5a1330>=`33td:>5j6:182M77no1e=??<:9d6?xh6:1nj6=4>{I33bc=i9;;865h9;|l26=be290:wE??fg9m577421l<7p`>29f`>5<6sA;;jk5a1330>=`?3td:>5jk:182M77no1e=??<:9d:?xh6:1nn6=4>{I33bc=i9;;865hn;|l26=ba290:wE??fg9m577421li7p`>29g3>5<6sA;;jk5a1330>=`d3td:>5k>:182M77no1e=??<:9dg?xh6:1o96=4>{I33bc=i9;;865hj;|l26=c4290:wE??fg9m577421lm7p`>29g7>5<6sA;;jk5a1330><673td:>5k::182M77no1e=??<:822?xh6:1o=6=4>{I33bc=i9;;864>=;|l26=c0290:wE??fg9m577420:87p`>29g;>5<6sA;;jk5a1330><633td:>5k6:182M77no1e=??<:826?xh6:1oj6=4>{I33bc=i9;;864>9;|l26=ce290:wE??fg9m577420:<7p`>29g`>5<6sA;;jk5a1330><6?3td:>5kk:182M77no1e=??<:82:?xh6:1on6=4>{I33bc=i9;;864>n;|l26=ca290:wE??fg9m577420:i7p`>29d3>5<6sA;;jk5a1330><6d3td:>5h>:182M77no1e=??<:82g?xh6:1l96=4>{I33bc=i9;;864>j;|l26=`4290:wE??fg9m577420:m7p`>29d7>5<6sA;;jk5a1330><773td:>5h::182M77no1e=??<:832?xh6:1l=6=4>{I33bc=i9;;864?=;|l26=`0290:wE??fg9m577420;87p`>29d;>5<6sA;;jk5a1330><733td:>5h6:182M77no1e=??<:836?xh6:1lj6=4>{I33bc=i9;;864?9;|l26=`e290:wE??fg9m577420;<7p`>29d`>5<6sA;;jk5a1330><7?3td:>5hk:182M77no1e=??<:83:?xh6:1ln6=4>{I33bc=i9;;864?n;|l26=`a290:wE??fg9m577420;i7p`>2823>5<6sA;;jk5a1330><7d3td:>4>>:182M77no1e=??<:83g?xh6:0:96=4>{I33bc=i9;;864?j;|l26<64290:wE??fg9m577420;m7p`>2827>5<6sA;;jk5a1330><473td:>4>::182M77no1e=??<:802?xh6:0:=6=4>{I33bc=i9;;864<=;|l26<60290:wE??fg9m577420887p`>282;>5<6sA;;jk5a1330><433td:>4>6:182M77no1e=??<:806?xh6:0:j6=4>{I33bc=i9;;864<9;|l26<6e290:wE??fg9m5774208<7p`>282`>5<6sA;;jk5a1330><4?3td:>4>k:182M77no1e=??<:80:?xh6:0:n6=4>{I33bc=i9;;8642833>5<6sA;;jk5a1330><4d3td:>4?>:182M77no1e=??<:80g?xh6:0;96=4>{I33bc=i9;;8642837>5<6sA;;jk5a1330><573td:>4?::182M77no1e=??<:812?xh6:0;=6=4>{I33bc=i9;;864==;|l26<70290:wE??fg9m577420987p`>283;>5<6sA;;jk5a1330><533td:>4?6:182M77no1e=??<:816?xh6:0;j6=4>{I33bc=i9;;864=9;|l26<7e290:wE??fg9m5774209<7p`>283`>5<6sA;;jk5a1330><5?3td:>4?k:182M77no1e=??<:81:?xh6:0;n6=4>{I33bc=i9;;864=n;|l26<7a290:wE??fg9m5774209i7p`>2803>5<6sA;;jk5a1330><5d3td:>4<>:182M77no1e=??<:81g?xh6:0896=4>{I33bc=i9;;864=j;|l26<44290:wE??fg9m5774209m7p`>2807>5<6sA;;jk5a1330><273td:>4<::182M77no1e=??<:862?xh6:08=6=4>{I33bc=i9;;864:=;|l26<40290:wE??fg9m577420>87p`>280;>5<6sA;;jk5a1330><233td:>4<6:182M77no1e=??<:866?xh6:08j6=4>{I33bc=i9;;864:9;|l26<4e290:wE??fg9m577420><7p`>280`>5<6sA;;jk5a1330><2?3td:>4{I33bc=i9;;864:n;|l26<4a290:wE??fg9m577420>i7p`>2813>5<6sA;;jk5a1330><2d3td:>4=>:182M77no1e=??<:86g?xh6:0996=4>{I33bc=i9;;864:j;|l26<54290:wE??fg9m577420>m7p`>2817>5<6sA;;jk5a1330><373td:>4=::182M77no1e=??<:872?xh6:09=6=4>{I33bc=i9;;864;=;|l26<50290:wE??fg9m577420?87p`>281;>5<6sA;;jk5a1330><333td:>4=6:182M77no1e=??<:876?xh6:09j6=4>{I33bc=i9;;864;9;|l26<5e290:wE??fg9m577420?<7p`>281`>5<6sA;;jk5a1330><3?3td:>4=k:182M77no1e=??<:87:?xh6:09n6=4>{I33bc=i9;;864;n;|l26<5a290:wE??fg9m577420?i7p`>2863>5<6sA;;jk5a1330><3d3td:>4:>:182M77no1e=??<:87g?xh6:0>96=4>{I33bc=i9;;864;j;|l26<24290:wE??fg9m577420?m7p`>2867>5<6sA;;jk5a1330><073td:>4:::182M77no1e=??<:842?xh6:0>=6=4>{I33bc=i9;;8648=;|l26<20290:wE??fg9m577420<87p`>286;>5<6sA;;jk5a1330><033td:>4:6:182M77no1e=??<:846?xh6:0>j6=4>{I33bc=i9;;86489;|l26<2e290:wE??fg9m577420<<7p`>286`>5<6sA;;jk5a1330><0?3td:>4:k:182M77no1e=??<:84:?xh6:0>n6=4>{I33bc=i9;;8648n;|l26<2a290:wE??fg9m5774202873>5<6sA;;jk5a1330><0d3td:>4;>:182M77no1e=??<:84g?xh6:0?96=4>{I33bc=i9;;8648j;|l26<34290:wE??fg9m5774202877>5<6sA;;jk5a1330><173td:>4;::182M77no1e=??<:852?xh6:0?=6=4>{I33bc=i9;;8649=;|l26<30290:wE??fg9m577420=87p`>287;>5<6sA;;jk5a1330><133td:>4;6:182M77no1e=??<:856?xh6:0?j6=4>{I33bc=i9;;86499;|l26<3e290:wE??fg9m577420=<7p`>287`>5<6sA;;jk5a1330><1?3td:>4;k:182M77no1e=??<:85:?xh6:0?n6=4>{I33bc=i9;;8649n;|l26<3a290:wE??fg9m577420=i7p`>2843>5<6sA;;jk5a1330><1d3td:>48>:182M77no1e=??<:85g?xh6:0<96=4>{I33bc=i9;;8649j;|l26<04290:wE??fg9m577420=m7p`>2847>5<6sA;;jk5a1330><>73td:>48::182M77no1e=??<:8:2?xh6:0<=6=4>{I33bc=i9;;8646=;|l26<00290:wE??fg9m577420287p`>284;>5<6sA;;jk5a1330><>33td:>486:182M77no1e=??<:8:6?xh6:0{I33bc=i9;;86469;|l26<0e290:wE??fg9m5774202<7p`>284`>5<6sA;;jk5a1330><>?3td:>48k:182M77no1e=??<:8::?xh6:0{I33bc=i9;;8646n;|l26<0a290:wE??fg9m5774202i7p`>2853>5<6sA;;jk5a1330><>d3td:>49>:182M77no1e=??<:8:g?xh6:0=96=4>{I33bc=i9;;8646j;|l26<14290:wE??fg9m5774202m7p`>2857>5<6sA;;jk5a1330>49::182M77no1e=??<:8;2?xh6:0==6=4>{I33bc=i9;;8647=;|l26<10290:wE??fg9m577420387p`>285;>5<6sA;;jk5a1330>496:182M77no1e=??<:8;6?xh6:0=j6=4>{I33bc=i9;;86479;|l26<1e290:wE??fg9m5774203<7p`>285`>5<6sA;;jk5a1330>49k:182M77no1e=??<:8;:?xh6:0=n6=4>{I33bc=i9;;8647n;|l26<1a290:wE??fg9m5774203i7p`>28:3>5<6sA;;jk5a1330>46>:182M77no1e=??<:8;g?xh6:0296=4>{I33bc=i9;;8647j;|l26<>4290:wE??fg9m5774203m7p`>28:7>5<6sA;;jk5a1330>46::182M77no1e=??<:8c2?xh6:02=6=4>{I33bc=i9;;864o=;|l26<>0280:wE??fg9m577420k87p`>28:;>4<6sA;;jk5a1330>466:082M77no1e=??<:8c6?xh6:02j6<4>{I33bc=i9;;864o9;|l26<>e280:wE??fg9m577420k<7p`>28:`>4<6sA;;jk5a1330>46k:182M77no1e=??<:8c:?xh6:02n6=4>{I33bc=i9;;864on;|l26<>a290:wE??fg9m577420ki7p`>28;3>5<6sA;;jk5a1330>47>:182M77no1e=??<:8cg?xh6:0396=4>{I33bc=i9;;864oj;|l2628;7>5<6sA;;jk5a1330>47::182M77no1e=??<:8`2?xh6:03=6=4>{I33bc=i9;;864l=;|l2628;;>5<6sA;;jk5a1330>476:182M77no1e=??<:8`6?xh6:03j6=4>{I33bc=i9;;864l9;|l2628;`>5<6sA;;jk5a1330>47k:182M77no1e=??<:8`:?xh6:03n6=4>{I33bc=i9;;864ln;|l2628c3>5<6sA;;jk5a1330>4o>:182M77no1e=??<:8`g?xh6:0k96=4>{I33bc=i9;;864lj;|l2628c7>5<6sA;;jk5a1330>4o::182M77no1e=??<:8a2?xh6:0k=6=4>{I33bc=i9;;864m=;|l2628c;>5<6sA;;jk5a1330>4o6:182M77no1e=??<:8a6?xh6:0kj6=4>{I33bc=i9;;864m9;|l2628c`>5<6sA;;jk5a1330>4ok:182M77no1e=??<:8a:?xh6:0kn6=4>{I33bc=i9;;864mn;|l2628`3>4<6sA;;jk5a1330>4l>:082M77no1e=??<:8ag?xh6:0h96<4>{I33bc=i9;;864mj;|l2628`7>4<6sA;;jk5a1330>4l::082M77no1e=??<:8f2?xh6:0h=6<4>{I33bc=i9;;864j=;|l2628`;>4<6sA;;jk5a1330>4l6:082M77no1e=??<:8f6?xh6:0hj6<4>{I33bc=i9;;864j9;|l2628``>4<6sA;;jk5a1330>4lk:082M77no1e=??<:8f:?xh6:0hn6<4>{I33bc=i9;;864jn;|l2628a3>4<6sA;;jk5a1330>4m>:082M77no1e=??<:8fg?xh6:0i96<4>{I33bc=i9;;864jj;|l2628a7>4<6sA;;jk5a1330>4m::082M77no1e=??<:8g2?xh6:0i=6=4>{I33bc=i9;;864k=;|l2628a;>5<6sA;;jk5a1330>4m6:182M77no1e=??<:8g6?xh6:0ij6=4>{I33bc=i9;;864k9;|l2628a`>5<6sA;;jk5a1330>4mk:182M77no1e=??<:8g:?xh6:0in6=4>{I33bc=i9;;864kn;|l2628f3>5<6sA;;jk5a1330>4j>:182M77no1e=??<:8gg?xh6:0n96=4>{I33bc=i9;;864kj;|l2628f7>5<6sA;;jk5a1330><`73td:>4j::182M77no1e=??<:8d2?xh6:0n=6=4>{I33bc=i9;;864h=;|l2628f;>5<6sA;;jk5a1330><`33td:>4j6:182M77no1e=??<:8d6?xh6:0nj6=4>{I33bc=i9;;864h9;|l2628f`>5<6sA;;jk5a1330><`?3td:>4jk:182M77no1e=??<:8d:?xh6:0nn6=4>{I33bc=i9;;864hn;|l2628g3>5<6sA;;jk5a1330><`d3td:>4k>:182M77no1e=??<:8dg?xh6:0o96=4>{I33bc=i9;;864hj;|l2628g7>5<6sA;;jk5a1330>d673td:>4k::182M77no1e=??<:`22?xh6:0o=6=4>{I33bc=i9;;86l>=;|l2628g;>5<6sA;;jk5a1330>d633td:>4k6:182M77no1e=??<:`26?xh6:0oj6=4>{I33bc=i9;;86l>9;|l2628g`>5<6sA;;jk5a1330>d6?3td:>4kk:182M77no1e=??<:`2:?xh6:0on6=4>{I33bc=i9;;86l>n;|l2628d3>5<6sA;;jk5a1330>d6d3td:>4h>:182M77no1e=??<:`2g?xh6:0l96=4>{I33bc=i9;;86l>j;|l26<`4290:wE??fg9m57742h:m7p`>28d7>5<6sA;;jk5a1330>d773td:>4h::182M77no1e=??<:`32?xh6:0l=6=4>{I33bc=i9;;86l?=;|l26<`0290:wE??fg9m57742h;87p`>28d;>5<6sA;;jk5a1330>d733td:>4h6:182M77no1e=??<:`36?xh6:0lj6=4>{I33bc=i9;;86l?9;|l26<`e290:wE??fg9m57742h;<7p`>28d`>5<6sA;;jk5a1330>d7?3td:>4hk:182M77no1e=??<:`3:?xh6:0ln6=4>{I33bc=i9;;86l?n;|l26<`a290:wE??fg9m57742h;i7p`>2`23>5<6sA;;jk5a1330>d7d3td:>l>>:182M77no1e=??<:`3g?xh6:h:96=4>{I33bc=i9;;86l?j;|l26d64290:wE??fg9m57742h;m7p`>2`27>5<6sA;;jk5a1330>d473td:>l>::182M77no1e=??<:`02?xh6:h:=6=4>{I33bc=i9;;86l<=;|l26d60290:wE??fg9m57742h887p`>2`2;>5<6sA;;jk5a1330>d433td:>l>6:182M77no1e=??<:`06?xh6:h:j6=4>{I33bc=i9;;86l<9;|l26d6e290:wE??fg9m57742h8<7p`>2`2`>5<6sA;;jk5a1330>d4?3td:>l>k:182M77no1e=??<:`0:?xh6:h:n6=4>{I33bc=i9;;86l2`33>5<6sA;;jk5a1330>d4d3td:>l?>:182M77no1e=??<:`0g?xh6:h;96=4>{I33bc=i9;;86l2`37>5<6sA;;jk5a1330>d573td:>l?::182M77no1e=??<:`12?xh6:h;=6=4>{I33bc=i9;;86l==;|l26d70290:wE??fg9m57742h987p`>2`3;>5<6sA;;jk5a1330>d533td:>l?6:182M77no1e=??<:`16?xh6:h;j6=4>{I33bc=i9;;86l=9;|l26d7e290:wE??fg9m57742h9<7p`>2`3`>5<6sA;;jk5a1330>d5?3td:>l?k:182M77no1e=??<:`1:?xh6:h;n6=4>{I33bc=i9;;86l=n;|l26d7a290:wE??fg9m57742h9i7p`>2`03>5<6sA;;jk5a1330>d5d3td:>l<>:182M77no1e=??<:`1g?xh6:h896=4>{I33bc=i9;;86l=j;|l26d44290:wE??fg9m57742h9m7p`>2`07>5<6sA;;jk5a1330>d273td:>l<::182M77no1e=??<:`62?xh6:h8=6=4>{I33bc=i9;;86l:=;|l26d40290:wE??fg9m57742h>87p`>2`0;>5<6sA;;jk5a1330>d233td:>l<6:182M77no1e=??<:`66?xh6:h8j6=4>{I33bc=i9;;86l:9;|l26d4e290:wE??fg9m57742h><7p`>2`0`>5<6sA;;jk5a1330>d2?3td:>l{I33bc=i9;;86l:n;|l26d4a290:wE??fg9m57742h>i7p`>2`13>5<6sA;;jk5a1330>d2d3td:>l=>:182M77no1e=??<:`6g?xh6:h996=4>{I33bc=i9;;86l:j;|l26d54290:wE??fg9m57742h>m7p`>2`17>5<6sA;;jk5a1330>d373td:>l=::182M77no1e=??<:`72?xh6:h9=6=4>{I33bc=i9;;86l;=;|l26d50290:wE??fg9m57742h?87p`>2`1;>5<6sA;;jk5a1330>d333td:>l=6:182M77no1e=??<:`76?xh6:h9j6=4>{I33bc=i9;;86l;9;|l26d5e290:wE??fg9m57742h?<7p`>2`1`>5<6sA;;jk5a1330>d3?3td:>l=k:182M77no1e=??<:`7:?xh6:h9n6=4>{I33bc=i9;;86l;n;|l26d5a290:wE??fg9m57742h?i7p`>2`63>5<6sA;;jk5a1330>d3d3td:>l:>:182M77no1e=??<:`7g?xh6:h>96=4>{I33bc=i9;;86l;j;|l26d24290:wE??fg9m57742h?m7p`>2`67>5<6sA;;jk5a1330>d073td:>l:::182M77no1e=??<:`42?xh6:h>=6=4>{I33bc=i9;;86l8=;|l26d20290:wE??fg9m57742h<87p`>2`6;>5<6sA;;jk5a1330>d033td:>l:6:182M77no1e=??<:`46?xh6:h>j6=4>{I33bc=i9;;86l89;|l26d2e290:wE??fg9m57742h<<7p`>2`6`>5<6sA;;jk5a1330>d0?3td:>l:k:182M77no1e=??<:`4:?xh6:h>n6=4>{I33bc=i9;;86l8n;|l26d2a290:wE??fg9m57742h2`73>5<6sA;;jk5a1330>d0d3td:>l;>:182M77no1e=??<:`4g?xh6:h?96=4>{I33bc=i9;;86l8j;|l26d34290:wE??fg9m57742h2`77>5<6sA;;jk5a1330>d173td:>l;::182M77no1e=??<:`52?xh6:h?=6=4>{I33bc=i9;;86l9=;|l26d30290:wE??fg9m57742h=87p`>2`7;>5<6sA;;jk5a1330>d133td:>l;6:182M77no1e=??<:`56?xh6:h?j6=4>{I33bc=i9;;86l99;|l26d3e290:wE??fg9m57742h=<7p`>2`7`>5<6sA;;jk5a1330>d1?3td:>l;k:182M77no1e=??<:`5:?xh6:h?n6=4>{I33bc=i9;;86l9n;|l26d3a290:wE??fg9m57742h=i7p`>2`43>5<6sA;;jk5a1330>d1d3td:>l8>:182M77no1e=??<:`5g?xh6:h<96=4>{I33bc=i9;;86l9j;|l26d04290:wE??fg9m57742h=m7p`>2`47>5<6sA;;jk5a1330>d>73td:>l8::182M77no1e=??<:`:2?xh6:h<=6=4>{I33bc=i9;;86l6=;|l26d00290:wE??fg9m57742h287p`>2`4;>5<6sA;;jk5a1330>d>33td:>l86:182M77no1e=??<:`:6?xh6:h{I33bc=i9;;86l69;|l26d0e290:wE??fg9m57742h2<7p`>2`4`>5<6sA;;jk5a1330>d>?3td:>l8k:182M77no1e=??<:`::?xh6:h{I33bc=i9;;86l6n;|l26d0a290:wE??fg9m57742h2i7p`>2`53>5<6sA;;jk5a1330>d>d3td:>l9>:182M77no1e=??<:`:g?xh6:h=96=4>{I33bc=i9;;86l6j;|l26d14290:wE??fg9m57742h2m7p`>2`57>5<6sA;;jk5a1330>d?73td:>l9::182M77no1e=??<:`;2?xh6:h==6=4>{I33bc=i9;;86l7=;|l26d10290:wE??fg9m57742h387p`>2`5;>5<6sA;;jk5a1330>d?33td:>l96:182M77no1e=??<:`;6?xh6:h=j6=4>{I33bc=i9;;86l79;|l26d1e290:wE??fg9m57742h3<7p`>2`5`>5<6sA;;jk5a1330>d??3td:>l9k:182M77no1e=??<:`;:?xh6:h=n6=4>{I33bc=i9;;86l7n;|l26d1a290:wE??fg9m57742h3i7p`>2`:3>5<6sA;;jk5a1330>d?d3td:>l6>:182M77no1e=??<:`;g?xh6:h296=4>{I33bc=i9;;86l7j;|l26d>4290:wE??fg9m57742h3m7p`>2`:7>5<6sA;;jk5a1330>dg73td:>l6::182M77no1e=??<:`c2?xh6:h2=6=4>{I33bc=i9;;86lo=;|l26d>0290:wE??fg9m57742hk87p`>2`:;>5<6sA;;jk5a1330>dg33td:>l66:182M77no1e=??<:`c6?xh6:h2j6=4>{I33bc=i9;;86lo9;|l26d>e290:wE??fg9m57742hk<7p`>2`:`>5<6sA;;jk5a1330>dg?3td:>l6k:182M77no1e=??<:`c:?xh6:h2n6=4>{I33bc=i9;;86lon;|l26d>a290:wE??fg9m57742hki7p`>2`;3>5<6sA;;jk5a1330>dgd3td:>l7>:182M77no1e=??<:`cg?xh6:h396=4>{I33bc=i9;;86loj;|l26d?4290:wE??fg9m57742hkm7p`>2`;7>5<6sA;;jk5a1330>dd73td:>l7::182M77no1e=??<:``2?xh6:h3=6=4>{I33bc=i9;;86ll=;|l26d?0290:wE??fg9m57742hh87p`>2`;;>5<6sA;;jk5a1330>dd33td:>l76:182M77no1e=??<:``6?xh6:h3j6=4>{I33bc=i9;;86ll9;|l26d?e290:wE??fg9m57742hh<7p`>2`;`>5<6sA;;jk5a1330>dd?3td:>l7k:182M77no1e=??<:``:?xh6:h3n6=4>{I33bc=i9;;86lln;|l26d?a290:wE??fg9m57742hhi7p`>2`c3>5<6sA;;jk5a1330>ddd3td:>lo>:182M77no1e=??<:``g?xh6:hk96=4>{I33bc=i9;;86llj;|l26dg4290:wE??fg9m57742hhm7p`>2`c7>5<6sA;;jk5a1330>de73td:>lo::182M77no1e=??<:`a2?xh6:hk=6=4>{I33bc=i9;;86lm=;|l26dg0290:wE??fg9m57742hi87p`>2`c;>5<6sA;;jk5a1330>de33td:>lo6:182M77no1e=??<:`a6?xh6:hkj6=4>{I33bc=i9;;86lm9;|l26dge290:wE??fg9m57742hi<7p`>2`c`>5<6sA;;jk5a1330>de?3td:>lok:182M77no1e=??<:`a:?xh6:hkn6=4>{I33bc=i9;;86lmn;|l26dga290:wE??fg9m57742hii7p`>2``3>5<6sA;;jk5a1330>ded3td:>ll>:182M77no1e=??<:`ag?xh6:hh96=4>{I33bc=i9;;86lmj;|l26dd4290:wE??fg9m57742him7p`>2``7>5<6sA;;jk5a1330>db73td:>ll::182M77no1e=??<:`f2?xh6:hh=6=4>{I33bc=i9;;86lj=;|l26dd0290:wE??fg9m57742hn87p`>2``;>5<6sA;;jk5a1330>db33td:>ll6:182M77no1e=??<:`f6?xh6:hhj6=4>{I33bc=i9;;86lj9;|l26dde290:wE??fg9m57742hn<7p`>2```>5<6sA;;jk5a1330>db?3td:>llk:182M77no1e=??<:`f:?xh6:hhn6=4>{I33bc=i9;;86ljn;|l26dda290:wE??fg9m57742hni7p`>2`a3>5<6sA;;jk5a1330>dbd3td:>lm>:182M77no1e=??<:`fg?xh6:hi96=4>{I33bc=i9;;86ljj;|l26de4290:wE??fg9m57742hnm7p`>2`a7>5<6sA;;jk5a1330>dc73td:>lm::182M77no1e=??<:`g2?xh6:hi=6=4>{I33bc=i9;;86lk=;|l26de0290:wE??fg9m57742ho87p`>2`a;>5<6sA;;jk5a1330>dc33td:>lm6:182M77no1e=??<:`g6?xh6:hij6=4>{I33bc=i9;;86lk9;|l26dee290:wE??fg9m57742ho<7p`>2`a`>5<6sA;;jk5a1330>dc?3td:>lmk:182M77no1e=??<:`g:?xh6:hin6=4>{I33bc=i9;;86lkn;|l26dea290:wE??fg9m57742hoi7p`>2`f3>5<6sA;;jk5a1330>dcd3td:>lj>:182M77no1e=??<:`gg?xh6:hn96=4>{I33bc=i9;;86lkj;|l26db4290:wE??fg9m57742hom7p`>2`f7>5<6sA;;jk5a1330>d`73td:>lj::182M77no1e=??<:`d2?xh6:hn=6=4>{I33bc=i9;;86lh=;|l26db0290:wE??fg9m57742hl87p`>2`f;>5<6sA;;jk5a1330>d`33td:>lj6:182M77no1e=??<:`d6?xh6:hnj6=4>{I33bc=i9;;86lh9;|l26dbe290:wE??fg9m57742hl<7p`>2`f`>5<6sA;;jk5a1330>d`?3td:>ljk:182M77no1e=??<:`d:?xh6:hnn6=4>{I33bc=i9;;86lhn;|l26dba290:wE??fg9m57742hli7p`>2`g3>5<6sA;;jk5a1330>d`d3td:>lk>:182M77no1e=??<:`dg?xh6:ho96=4>{I33bc=i9;;86lhj;|l26dc4290:wE??fg9m57742hlm7p`>2`g7>5<6sA;;jk5a1330>g673td:>lk::182M77no1e=??<:c22?xh6:ho=6=4>{I33bc=i9;;86o>=;|l26dc0290:wE??fg9m57742k:87p`>2`g;>5<6sA;;jk5a1330>g633td:>lk6:182M77no1e=??<:c26?xh6:hoj6=4>{I33bc=i9;;86o>9;|l26dce290:wE??fg9m57742k:<7p`>2`g`>5<6sA;;jk5a1330>g6?3td:>lkk:182M77no1e=??<:c2:?xh6:hon6=4>{I33bc=i9;;86o>n;|l26dca290:wE??fg9m57742k:i7p`>2`d3>5<6sA;;jk5a1330>g6d3td:>lh>:182M77no1e=??<:c2g?xh6:hl96=4>{I33bc=i9;;86o>j;|l26d`4290:wE??fg9m57742k:m7p`>2`d7>5<6sA;;jk5a1330>g773td:>lh::182M77no1e=??<:c32?xh6:hl=6=4>{I33bc=i9;;86o?=;|l26d`0290:wE??fg9m57742k;87p`>2`d;>5<6sA;;jk5a1330>g733td:>lh6:182M77no1e=??<:c36?xh6:hlj6=4>{I33bc=i9;;86o?9;|l26d`e290:wE??fg9m57742k;<7p`>2`d`>5<6sA;;jk5a1330>g7?3td:>lhk:182M77no1e=??<:c3:?xh6:hln6=4>{I33bc=i9;;86o?n;|l26d`a290:wE??fg9m57742k;i7p`>2c23>5<6sA;;jk5a1330>g7d3td:>o>>:182M77no1e=??<:c3g?xh6:k:96=4>{I33bc=i9;;86o?j;|l26g64290:wE??fg9m57742k;m7p`>2c27>5<6sA;;jk5a1330>g473td:>o>::182M77no1e=??<:c02?xh6:k:=6=4>{I33bc=i9;;86o<=;|l26g60290:wE??fg9m57742k887p`>2c2;>5<6sA;;jk5a1330>g433td:>o>6:182M77no1e=??<:c06?xh6:k:j6=4>{I33bc=i9;;86o<9;|l26g6e290:wE??fg9m57742k8<7p`>2c2`>5<6sA;;jk5a1330>g4?3td:>o>k:182M77no1e=??<:c0:?xh6:k:n6=4>{I33bc=i9;;86o2c33>5<6sA;;jk5a1330>g4d3td:>o?>:182M77no1e=??<:c0g?xh6:k;96=4>{I33bc=i9;;86o2c37>5<6sA;;jk5a1330>g573td:>o?::182M77no1e=??<:c12?xh6:k;=6=4>{I33bc=i9;;86o==;|l26g70290:wE??fg9m57742k987p`>2c3;>5<6sA;;jk5a1330>g533td:>o?6:182M77no1e=??<:c16?xh6:k;j6=4>{I33bc=i9;;86o=9;|l26g7e290:wE??fg9m57742k9<7p`>2c3`>5<6sA;;jk5a1330>g5?3td:>o?k:182M77no1e=??<:c1:?xh6:k;n6=4>{I33bc=i9;;86o=n;|l26g7a290:wE??fg9m57742k9i7p`>2c03>5<6sA;;jk5a1330>g5d3td:>o<>:182M77no1e=??<:c1g?xh6:k896=4>{I33bc=i9;;86o=j;|l26g44290:wE??fg9m57742k9m7p`>2c07>5<6sA;;jk5a1330>g273td:>o<::182M77no1e=??<:c62?xh6:k8=6=4>{I33bc=i9;;86o:=;|l26g40290:wE??fg9m57742k>87p`>2c0;>5<6sA;;jk5a1330>g233td:>o<6:182M77no1e=??<:c66?xh6:k8j6=4>{I33bc=i9;;86o:9;|l26g4e290:wE??fg9m57742k><7p`>2c0`>5<6sA;;jk5a1330>g2?3td:>o{I33bc=i9;;86o:n;|l26g4a290:wE??fg9m57742k>i7p`>2c13>5<6sA;;jk5a1330>g2d3td:>o=>:182M77no1e=??<:c6g?xh6:k996=4>{I33bc=i9;;86o:j;|l26g54290:wE??fg9m57742k>m7p`>2c17>5<6sA;;jk5a1330>g373td:>o=::182M77no1e=??<:c72?xh6:k9=6=4>{I33bc=i9;;86o;=;|l26g50290:wE??fg9m57742k?87p`>2c1;>5<6sA;;jk5a1330>g333td:>o=6:182M77no1e=??<:c76?xh6:k9j6=4>{I33bc=i9;;86o;9;|l26g5e290:wE??fg9m57742k?<7p`>2c1`>5<6sA;;jk5a1330>g3?3td:>o=k:182M77no1e=??<:c7:?xh6:k9n6=4>{I33bc=i9;;86o;n;|l26g5a290:wE??fg9m57742k?i7p`>2c63>5<6sA;;jk5a1330>g3d3td:>o:>:182M77no1e=??<:c7g?xh6:k>96=4>{I33bc=i9;;86o;j;|l26g24290:wE??fg9m57742k?m7p`>2c67>5<6sA;;jk5a1330>g073td:>o:::182M77no1e=??<:c42?xh6:k>=6=4>{I33bc=i9;;86o8=;|l26g20290:wE??fg9m57742k<87p`>2c6;>5<6sA;;jk5a1330>g033td:>o:6:182M77no1e=??<:c46?xh6:k>j6=4>{I33bc=i9;;86o89;|l26g2e290:wE??fg9m57742k<<7p`>2c6`>5<6sA;;jk5a1330>g0?3td:>o:k:182M77no1e=??<:c4:?xh6:k>n6=4>{I33bc=i9;;86o8n;|l26g2a290:wE??fg9m57742k2c73>5<6sA;;jk5a1330>g0d3td:>o;>:182M77no1e=??<:c4g?xh6:k?96=4>{I33bc=i9;;86o8j;|l26g34290:wE??fg9m57742k2c77>5<6sA;;jk5a1330>g173td:>o;::182M77no1e=??<:c52?xh6:k?=6=4>{I33bc=i9;;86o9=;|l26g30290:wE??fg9m57742k=87p`>2c7;>5<6sA;;jk5a1330>g133td:>o;6:182M77no1e=??<:c56?xh6:k?j6=4>{I33bc=i9;;86o99;|l26g3e290:wE??fg9m57742k=<7p`>2c7`>5<6sA;;jk5a1330>g1?3td:>o;k:182M77no1e=??<:c5:?xh6:k?n6=4>{I33bc=i9;;86o9n;|l26g3a290:wE??fg9m57742k=i7p`>2c43>5<6sA;;jk5a1330>g1d3td:>o8>:182M77no1e=??<:c5g?xh6:k<96=4>{I33bc=i9;;86o9j;|l26g04290:wE??fg9m57742k=m7p`>2c47>5<6sA;;jk5a1330>g>73td:>o8::182M77no1e=??<:c:2?xh6:k<=6=4>{I33bc=i9;;86o6=;|l26g00290:wE??fg9m57742k287p`>2c4;>5<6sA;;jk5a1330>g>33td:>o86:182M77no1e=??<:c:6?xh6:k{I33bc=i9;;86o69;|l26g0e290:wE??fg9m57742k2<7p`>2c4`>5<6sA;;jk5a1330>g>?3td:>o8k:182M77no1e=??<:c::?xh6:k{I33bc=i9;;86o6n;|l26g0a290:wE??fg9m57742k2i7p`>2c53>5<6sA;;jk5a1330>g>d3td:>o9>:182M77no1e=??<:c:g?xh6:k=96=4>{I33bc=i9;;86o6j;|l26g14290:wE??fg9m57742k2m7p`>2c57>5<6sA;;jk5a1330>g?73td:>o9::182M77no1e=??<:c;2?xh6:k==6=4>{I33bc=i9;;86o7=;|l26g10290:wE??fg9m57742k387p`>2c5;>5<6sA;;jk5a1330>g?33td:>o96:182M77no1e=??<:c;6?xh6:k=j6=4>{I33bc=i9;;86o79;|l26g1e290:wE??fg9m57742k3<7p`>2c5`>5<6sA;;jk5a1330>g??3td:>o9k:182M77no1e=??<:c;:?xh6:k=n6=4>{I33bc=i9;;86o7n;|l26g1a290:wE??fg9m57742k3i7p`>2c:3>5<6sA;;jk5a1330>g?d3td:>o6>:182M77no1e=??<:c;g?xh6:k296=4>{I33bc=i9;;86o7j;|l26g>4290:wE??fg9m57742k3m7p`>2c:7>5<6sA;;jk5a1330>gg73td:>o6::182M77no1e=??<:cc2?xh6:k2=6=4>{I33bc=i9;;86oo=;|l26g>0290:wE??fg9m57742kk87p`>2c:;>5<6sA;;jk5a1330>gg33td:>o66:182M77no1e=??<:cc6?xh6:k2j6=4>{I33bc=i9;;86oo9;|l26g>e290:wE??fg9m57742kk<7p`>2c:`>5<6sA;;jk5a1330>gg?3td:>o6k:182M77no1e=??<:cc:?xh6:k2n6=4>{I33bc=i9;;86oon;|l26g>a290:wE??fg9m57742kki7p`>2c;3>5<6sA;;jk5a1330>ggd3td:>o7>:182M77no1e=??<:ccg?xh6:k396=4>{I33bc=i9;;86ooj;|l26g?4290:wE??fg9m57742kkm7p`>2c;7>5<6sA;;jk5a1330>gd73td:>o7::182M77no1e=??<:c`2?xh6:k3=6=4>{I33bc=i9;;86ol=;|l26g?0290:wE??fg9m57742kh87p`>2c;;>5<6sA;;jk5a1330>gd33td:>o76:182M77no1e=??<:c`6?xh6:k3j6=4>{I33bc=i9;;86ol9;|l26g?e290:wE??fg9m57742kh<7p`>2c;`>5<6sA;;jk5a1330>gd?3td:>o7k:182M77no1e=??<:c`:?xh6:k3n6=4>{I33bc=i9;;86oln;|l26g?a290:wE??fg9m57742khi7p`>2cc3>5<6sA;;jk5a1330>gdd3td:>oo>:182M77no1e=??<:c`g?xh6:kk96=4>{I33bc=i9;;86olj;|l26gg4290:wE??fg9m57742khm7p`>2cc7>5<6sA;;jk5a1330>ge73td:>oo::182M77no1e=??<:ca2?xh6:kk=6=4>{I33bc=i9;;86om=;|l26gg0290:wE??fg9m57742ki87p`>2cc;>5<6sA;;jk5a1330>ge33td:>oo6:182M77no1e=??<:ca6?xh6:kkj6=4>{I33bc=i9;;86om9;|l26gge290:wE??fg9m57742ki<7p`>2cc`>5<6sA;;jk5a1330>ge?3td:>ook:182M77no1e=??<:ca:?xh6:kkn6=4>{I33bc=i9;;86omn;|l26gga290:wE??fg9m57742kii7p`>2c`3>5<6sA;;jk5a1330>ged3td:>ol>:182M77no1e=??<:cag?xh6:kh96=4>{I33bc=i9;;86omj;|l26gd4290:wE??fg9m57742kim7p`>2c`7>5<6sA;;jk5a1330>gb73td:>ol::182M77no1e=??<:cf2?xh6:kh=6=4>{I33bc=i9;;86oj=;|l26gd0290:wE??fg9m57742kn87p`>2c`;>5<6sA;;jk5a1330>gb33td:>ol6:182M77no1e=??<:cf6?xh6:khj6=4>{I33bc=i9;;86oj9;|l26gde290:wE??fg9m57742kn<7p`>2c``>5<6sA;;jk5a1330>gb?3td:>olk:182M77no1e=??<:cf:?xh6:khn6=4>{I33bc=i9;;86ojn;|l26gda290:wE??fg9m57742kni7p`>2ca3>5<6sA;;jk5a1330>gbd3td:>om>:182M77no1e=??<:cfg?xh6:ki96=4>{I33bc=i9;;86ojj;|l26ge4290:wE??fg9m57742knm7p`>2ca7>5<6sA;;jk5a1330>gc73td:>om::182M77no1e=??<:cg2?xh6:ki=6=4>{I33bc=i9;;86ok=;|l26ge0290:wE??fg9m57742ko87p`>2ca;>5<6sA;;jk5a1330>gc33td:>om6:182M77no1e=??<:cg6?xh6:kij6=4>{I33bc=i9;;86ok9;|l26gee290:wE??fg9m57742ko<7p`>2ca`>5<6sA;;jk5a1330>gc?3td:>omk:182M77no1e=??<:cg:?xh6:kin6=4>{I33bc=i9;;86okn;|l26gea290:wE??fg9m57742koi7p`>2cf3>5<6sA;;jk5a1330>gcd3td:>oj>:182M77no1e=??<:cgg?xh6:kn96=4>{I33bc=i9;;86okj;|l26gb4290:wE??fg9m57742kom7p`>2cf7>5<6sA;;jk5a1330>g`73td:>oj::182M77no1e=??<:cd2?xh6:kn=6=4>{I33bc=i9;;86oh=;|l26gb0290:wE??fg9m57742kl87p`>2cf;>5<6sA;;jk5a1330>g`33td:>oj6:182M77no1e=??<:cd6?xh6:knj6=4>{I33bc=i9;;86oh9;|l26gbe290:wE??fg9m57742kl<7p`>2cf`>5<6sA;;jk5a1330>g`?3td:>ojk:182M77no1e=??<:cd:?xh6:knn6=4>{I33bc=i9;;86ohn;|l26gba290:wE??fg9m57742kli7p`>2cg3>5<6sA;;jk5a1330>g`d3td:>ok>:182M77no1e=??<:cdg?xh6:ko96=4>{I33bc=i9;;86ohj;|l26gc4290:wE??fg9m57742klm7p`>2cg7>5<6sA;;jk5a1330>f673td:>ok::182M77no1e=??<:b22?xh6:ko=6=4>{I33bc=i9;;86n>=;|l26gc0290:wE??fg9m57742j:87p`>2cg;>5<6sA;;jk5a1330>f633td:>ok6:182M77no1e=??<:b26?xh6:koj6=4>{I33bc=i9;;86n>9;|l26gce290:wE??fg9m57742j:<7p`>2cg`>5<6sA;;jk5a1330>f6?3td:>okk:182M77no1e=??<:b2:?xh6:kon6=4>{I33bc=i9;;86n>n;|l26gca290:wE??fg9m57742j:i7p`>2cd3>5<6sA;;jk5a1330>f6d3td:>oh>:182M77no1e=??<:b2g?xh6:kl96=4>{I33bc=i9;;86n>j;|l26g`4290:wE??fg9m57742j:m7p`>2cd7>5<6sA;;jk5a1330>f773td:>oh::182M77no1e=??<:b32?xh6:kl=6=4>{I33bc=i9;;86n?=;|l26g`0290:wE??fg9m57742j;87p`>2cd;>5<6sA;;jk5a1330>f733td:>oh6:182M77no1e=??<:b36?xh6:klj6=4>{I33bc=i9;;86n?9;|l26g`e290:wE??fg9m57742j;<7p`>2cd`>5<6sA;;jk5a1330>f7?3td:>ohk:182M77no1e=??<:b3:?xh6:kln6=4>{I33bc=i9;;86n?n;|l26g`a290:wE??fg9m57742j;i7p`>2b23>5<6sA;;jk5a1330>f7d3td:>n>>:182M77no1e=??<:b3g?xh6:j:96=4>{I33bc=i9;;86n?j;|l26f64290:wE??fg9m57742j;m7p`>2b27>5<6sA;;jk5a1330>f473td:>n>::182M77no1e=??<:b02?xh6:j:=6=4>{I33bc=i9;;86n<=;|l26f60290:wE??fg9m57742j887p`>2b2;>5<6sA;;jk5a1330>f433td:>n>6:182M77no1e=??<:b06?xh6:j:j6=4>{I33bc=i9;;86n<9;|l26f6e290:wE??fg9m57742j8<7p`>2b2`>5<6sA;;jk5a1330>f4?3td:>n>k:182M77no1e=??<:b0:?xh6:j:n6=4>{I33bc=i9;;86n2b33>5<6sA;;jk5a1330>f4d3td:>n?>:182M77no1e=??<:b0g?xh6:j;96=4>{I33bc=i9;;86n2b37>5<6sA;;jk5a1330>f573td:>n?::182M77no1e=??<:b12?xh6:j;=6=4>{I33bc=i9;;86n==;|l26f70290:wE??fg9m57742j987p`>2b3;>5<6sA;;jk5a1330>f533td:>n?6:182M77no1e=??<:b16?xh6:j;j6=4>{I33bc=i9;;86n=9;|l26f7e290:wE??fg9m57742j9<7p`>2b3`>5<6sA;;jk5a1330>f5?3td:>n?k:182M77no1e=??<:b1:?xh6:j;n6=4>{I33bc=i9;;86n=n;|l26f7a290:wE??fg9m57742j9i7p`>2b03>5<6sA;;jk5a1330>f5d3td:>n<>:182M77no1e=??<:b1g?xh6:j896=4>{I33bc=i9;;86n=j;|l26f44290:wE??fg9m57742j9m7p`>2b07>5<6sA;;jk5a1330>f273td:>n<::182M77no1e=??<:b62?xh6:j8=6=4>{I33bc=i9;;86n:=;|l26f40290:wE??fg9m57742j>87p`>2b0;>5<6sA;;jk5a1330>f233td:>n<6:182M77no1e=??<:b66?xh6:j8j6=4>{I33bc=i9;;86n:9;|l26f4e290:wE??fg9m57742j><7p`>2b0`>5<6sA;;jk5a1330>f2?3td:>n{I33bc=i9;;86n:n;|l26f4a290:wE??fg9m57742j>i7p`>2b13>5<6sA;;jk5a1330>f2d3td:>n=>:182M77no1e=??<:b6g?xh6:j996=4>{I33bc=i9;;86n:j;|l26f54290:wE??fg9m57742j>m7p`>2b17>5<6sA;;jk5a1330>f373td:>n=::182M77no1e=??<:b72?xh6:j9=6=4>{I33bc=i9;;86n;=;|l26f50290:wE??fg9m57742j?87p`>2b1;>5<6sA;;jk5a1330>f333td:>n=6:182M77no1e=??<:b76?xh6:j9j6=4>{I33bc=i9;;86n;9;|l26f5e290:wE??fg9m57742j?<7p`>2b1`>5<6sA;;jk5a1330>f3?3td:>n=k:182M77no1e=??<:b7:?xh6:j9n6=4>{I33bc=i9;;86n;n;|l26f5a290:wE??fg9m57742j?i7p`>2b63>5<6sA;;jk5a1330>f3d3td:>n:>:182M77no1e=??<:b7g?xh6:j>96=4>{I33bc=i9;;86n;j;|l26f24290:wE??fg9m57742j?m7p`>2b67>5<6sA;;jk5a1330>f073td:>n:::182M77no1e=??<:b42?xh6:j>=6=4>{I33bc=i9;;86n8=;|l26f20290:wE??fg9m57742j<87p`>2b6;>5<6sA;;jk5a1330>f033td:>n:6:182M77no1e=??<:b46?xh6:j>j6=4>{I33bc=i9;;86n89;|l26f2e290:wE??fg9m57742j<<7p`>2b6`>5<6sA;;jk5a1330>f0?3td:>n:k:182M77no1e=??<:b4:?xh6:j>n6=4>{I33bc=i9;;86n8n;|l26f2a290:wE??fg9m57742j2b73>5<6sA;;jk5a1330>f0d3td:>n;>:182M77no1e=??<:b4g?xh6:j?96=4>{I33bc=i9;;86n8j;|l26f34290:wE??fg9m57742j2b77>5<6sA;;jk5a1330>f173td:>n;::182M77no1e=??<:b52?xh6:j?=6=4>{I33bc=i9;;86n9=;|l26f30290:wE??fg9m57742j=87p`>2b7;>5<6sA;;jk5a1330>f133td:>n;6:182M77no1e=??<:b56?xh6:j?j6=4>{I33bc=i9;;86n99;|l26f3e290:wE??fg9m57742j=<7p`>2b7`>5<6sA;;jk5a1330>f1?3td:>n;k:182M77no1e=??<:b5:?xh6:j?n6=4>{I33bc=i9;;86n9n;|l26f3a290:wE??fg9m57742j=i7p`>2b43>5<6sA;;jk5a1330>f1d3td:>n8>:182M77no1e=??<:b5g?xh6:j<96=4>{I33bc=i9;;86n9j;|l26f04290:wE??fg9m57742j=m7p`>2b47>5<6sA;;jk5a1330>f>73td:>n8::182M77no1e=??<:b:2?xh6:j<=6=4>{I33bc=i9;;86n6=;|l26f00290:wE??fg9m57742j287p`>2b4;>5<6sA;;jk5a1330>f>33td:>n86:182M77no1e=??<:b:6?xh6:j{I33bc=i9;;86n69;|l26f0e290:wE??fg9m57742j2<7p`>2b4`>5<6sA;;jk5a1330>f>?3td:>n8k:182M77no1e=??<:b::?xh6:j{I33bc=i9;;86n6n;|l26f0a290:wE??fg9m57742j2i7p`>2b53>5<6sA;;jk5a1330>f>d3td:>n9>:182M77no1e=??<:b:g?xh6:j=96=4>{I33bc=i9;;86n6j;|l26f14290:wE??fg9m57742j2m7p`>2b57>5<6sA;;jk5a1330>f?73td:>n9::182M77no1e=??<:b;2?xh6:j==6=4>{I33bc=i9;;86n7=;|l26f10290:wE??fg9m57742j387p`>2b5;>5<6sA;;jk5a1330>f?33td:>n96:182M77no1e=??<:b;6?xh6:j=j6=4>{I33bc=i9;;86n79;|l26f1e290:wE??fg9m57742j3<7p`>2b5`>5<6sA;;jk5a1330>f??3td:>n9k:082M77no1e=??<:b;:?xh6:j=n6<4>{I33bc=i9;;86n7n;|l26f1a280:wE??fg9m57742j3i7p`>2b:3>4<6sA;;jk5a1330>f?d3td:>n6>:082M77no1e=??<:b;g?xh6:j296<4>{I33bc=i9;;86n7j;|l26f>4280:wE??fg9m57742j3m7p`>2b:7>4<6sA;;jk5a1330>fg73td:>n6::082M77no1e=??<:bc2?xh6:j2=6=4>{I33bc=i9;;86no=;|l26f>0290:wE??fg9m57742jk87p`>2b:;>5<6sA;;jk5a1330>fg33td:>n66:182M77no1e=??<:bc6?xh6:j2j6=4>{I33bc=i9;;86no9;|l26f>e290:wE??fg9m57742jk<7p`>2b:`>5<6sA;;jk5a1330>fg?3td:>n6k:182M77no1e=??<:bc:?xh6:j2n6=4>{I33bc=i9;;86non;|l26f>a290:wE??fg9m57742jki7p`>2b;3>5<6sA;;jk5a1330>fgd3td:>n7>:182M77no1e=??<:bcg?xh6:j396=4>{I33bc=i9;;86noj;|l26f?4290:wE??fg9m57742jkm7p`>2b;7>5<6sA;;jk5a1330>fd73td:>n7::182M77no1e=??<:b`2?xh6:j3=6=4>{I33bc=i9;;86nl=;|l26f?0290:wE??fg9m57742jh87p`>2b;;>5<6sA;;jk5a1330>fd33td:>n76:182M77no1e=??<:b`6?xh6:j3j6=4>{I33bc=i9;;86nl9;|l26f?e290:wE??fg9m57742jh<7p`>2b;`>5<6sA;;jk5a1330>fd?3td:>n7k:182M77no1e=??<:b`:?xh6:j3n6<4>{I33bc=i9;;86nln;|l26f?a280:wE??fg9m57742jhi7p`>2bc3>4<6sA;;jk5a1330>fdd3td:>no>:082M77no1e=??<:b`g?xh6:jk96<4>{I33bc=i9;;86nlj;|l26fg4280:wE??fg9m57742jhm7p`>2bc7>4<6sA;;jk5a1330>fe73td:>no::082M77no1e=??<:ba2?xh6:jk=6<4>{I33bc=i9;;86nm=;|l26fg0290:wE??fg9m57742ji87p`>2bc;>5<6sA;;jk5a1330>fe33td:>no6:182M77no1e=??<:ba6?xh6:jkj6=4>{I33bc=i9;;86nm9;|l26fge290:wE??fg9m57742ji<7p`>2bc`>5<6sA;;jk5a1330>fe?3td:>nok:182M77no1e=??<:ba:?xh6:jkn6=4>{I33bc=i9;;86nmn;|l26fga290:wE??fg9m57742jii7p`>2b`3>5<6sA;;jk5a1330>fed3td:>nl>:182M77no1e=??<:bag?xh6:jh96=4>{I33bc=i9;;86nmj;|l26fd4290:wE??fg9m57742jim7p`>2b`7>5<6sA;;jk5a1330>fb73td:>nl::182M77no1e=??<:bf2?xh6:jh=6=4>{I33bc=i9;;86nj=;|l26fd0290:wE??fg9m57742jn87p`>2b`;>5<6sA;;jk5a1330>fb33td:>nl6:182M77no1e=??<:bf6?xh6:jhj6=4>{I33bc=i9;;86nj9;|l26fde290:wE??fg9m57742jn<7p`>2b``>5<6sA;;jk5a1330>fb?3td:>nlk:182M77no1e=??<:bf:?xh6:jhn6=4>{I33bc=i9;;86njn;|l26fda290:wE??fg9m57742jni7p`>2ba3>5<6sA;;jk5a1330>fbd3td:>nm>:182M77no1e=??<:bfg?xh6:ji96=4>{I33bc=i9;;86njj;|l26fe4290:wE??fg9m57742jnm7p`>2ba7>5<6sA;;jk5a1330>fc73td:>nm::182M77no1e=??<:bg2?xh6:ji=6=4>{I33bc=i9;;86nk=;|l26fe0290:wE??fg9m57742jo87p`>2ba;>5<6sA;;jk5a1330>fc33td:>nm6:182M77no1e=??<:bg6?xh6:jij6=4>{I33bc=i9;;86nk9;|l26fee290:wE??fg9m57742jo<7p`>2ba`>5<6sA;;jk5a1330>fc?3td:>nmk:182M77no1e=??<:bg:?xh6:jin6=4>{I33bc=i9;;86nkn;|l26fea290:wE??fg9m57742joi7p`>2bf3>5<6sA;;jk5a1330>fcd3td:>nj>:182M77no1e=??<:bgg?xh6:jn96=4>{I33bc=i9;;86nkj;|l26fb4290:wE??fg9m57742jom7p`>2bf7>5<6sA;;jk5a1330>f`73td:>nj::182M77no1e=??<:bd2?xh6:jn=6=4>{I33bc=i9;;86nh=;|l26fb0290:wE??fg9m57742jl87p`>2bf;>5<6sA;;jk5a1330>f`33td:>nj6:182M77no1e=??<:bd6?xh6:jnj6=4>{I33bc=i9;;86nh9;|l26fbe290:wE??fg9m57742jl<7p`>2bf`>5<6sA;;jk5a1330>f`?3td:>njk:182M77no1e=??<:bd:?xh6:jnn6=4>{I33bc=i9;;86nhn;|l26fba290:wE??fg9m57742jli7p`>2bg3>5<6sA;;jk5a1330>f`d3td:>nk>:182M77no1e=??<:bdg?xh6:jo96=4>{I33bc=i9;;86nhj;|l26fc4290:wE??fg9m57742jlm7p`>2bg7>5<6sA;;jk5a1330>a673td:>nk::182M77no1e=??<:e22?xh6:jo=6=4>{I33bc=i9;;86i>=;|l26fc0290:wE??fg9m57742m:87p`>2bg;>5<6sA;;jk5a1330>a633td:>nk6:182M77no1e=??<:e26?xh6:joj6=4>{I33bc=i9;;86i>9;|l26fce290:wE??fg9m57742m:<7p`>2bg`>5<6sA;;jk5a1330>a6?3td:>nkk:182M77no1e=??<:e2:?xh6:jon6=4>{I33bc=i9;;86i>n;|l26fca290:wE??fg9m57742m:i7p`>2bd3>5<6sA;;jk5a1330>a6d3td:>nh>:182M77no1e=??<:e2g?xh6:jl96=4>{I33bc=i9;;86i>j;|l26f`4290:wE??fg9m57742m:m7p`>2bd7>5<6sA;;jk5a1330>a773td:>nh::182M77no1e=??<:e32?xh6:jl=6=4>{I33bc=i9;;86i?=;|l26f`0290:wE??fg9m57742m;87p`>2bd;>5<6sA;;jk5a1330>a733td:>nh6:182M77no1e=??<:e36?xh6:jlj6=4>{I33bc=i9;;86i?9;|l26f`e290:wE??fg9m57742m;<7p`>2bd`>5<6sA;;jk5a1330>a7?3td:>nhk:182M77no1e=??<:e3:?xh6:jln6=4>{I33bc=i9;;86i?n;|l26f`a290:wE??fg9m57742m;i7p`>2e23>5<6sA;;jk5a1330>a7d3td:>i>>:182M77no1e=??<:e3g?xh6:m:96=4>{I33bc=i9;;86i?j;|l26a64290:wE??fg9m57742m;m7p`>2e27>5<6sA;;jk5a1330>a473td:>i>::182M77no1e=??<:e02?xh6:m:=6=4>{I33bc=i9;;86i<=;|l26a60290:wE??fg9m57742m887p`>2e2;>5<6sA;;jk5a1330>a433td:>i>6:182M77no1e=??<:e06?xh6:m:j6=4>{I33bc=i9;;86i<9;|l26a6e290:wE??fg9m57742m8<7p`>2e2`>5<6sA;;jk5a1330>a4?3td:>i>k:182M77no1e=??<:e0:?xh6:m:n6=4>{I33bc=i9;;86i2e33>5<6sA;;jk5a1330>a4d3td:>i?>:182M77no1e=??<:e0g?xh6:m;96=4>{I33bc=i9;;86i2e37>5<6sA;;jk5a1330>a573td:>i?::182M77no1e=??<:e12?xh6:m;=6=4>{I33bc=i9;;86i==;|l26a70290:wE??fg9m57742m987p`>2e3;>5<6sA;;jk5a1330>a533td:>i?6:182M77no1e=??<:e16?xh6:m;j6=4>{I33bc=i9;;86i=9;|l26a7e290:wE??fg9m57742m9<7p`>2e3`>5<6sA;;jk5a1330>a5?3td:>i?k:182M77no1e=??<:e1:?xh6:m;n6=4>{I33bc=i9;;86i=n;|l26a7a290:wE??fg9m57742m9i7p`>2e03>5<6sA;;jk5a1330>a5d3td:>i<>:182M77no1e=??<:e1g?xh6:m896=4>{I33bc=i9;;86i=j;|l26a44290:wE??fg9m57742m9m7p`>2e07>5<6sA;;jk5a1330>a273td:>i<::182M77no1e=??<:e62?xh6:m8=6=4>{I33bc=i9;;86i:=;|l26a40290:wE??fg9m57742m>87p`>2e0;>5<6sA;;jk5a1330>a233td:>i<6:182M77no1e=??<:e66?xh6:m8j6=4>{I33bc=i9;;86i:9;|l26a4e290:wE??fg9m57742m><7p`>2e0`>5<6sA;;jk5a1330>a2?3td:>i{I33bc=i9;;86i:n;|l26a4a280:wE??fg9m57742m>i7p`>2e13>5<6sA;;jk5a1330>a2d3td:>i=>:182M77no1e=??<:e6g?xh6:m996=4>{I33bc=i9;;86i:j;|l26a54290:wE??fg9m57742m>m7p`>2e17>5<6sA;;jk5a1330>a373td:>i=::182M77no1e=??<:e72?xh6:m9=6=4>{I33bc=i9;;86i;=;|l26a50290:wE??fg9m57742m?87p`>2e1;>5<6sA;;jk5a1330>a333td:>i=6:182M77no1e=??<:e76?xh6:m9j6=4>{I33bc=i9;;86i;9;|l26a5e290:wE??fg9m57742m?<7p`>2e1`>5<6sA;;jk5a1330>a3?3td:>i=k:182M77no1e=??<:e7:?xh6:m9n6=4>{I33bc=i9;;86i;n;|l26a5a290:wE??fg9m57742m?i7p`>2e63>5<6sA;;jk5a1330>a3d3td:>i:>:182M77no1e=??<:e7g?xh6:m>96=4>{I33bc=i9;;86i;j;|l26a24290:wE??fg9m57742m?m7p`>2e67>4<6sA;;jk5a1330>a073td:>i:::182M77no1e=??<:e42?xh6:m>=6=4>{I33bc=i9;;86i8=;|l26a20290:wE??fg9m57742m<87p`>2e6;>5<6sA;;jk5a1330>a033td:>i:6:182M77no1e=??<:e46?xh6:m>j6=4>{I33bc=i9;;86i89;|l26a2e290:wE??fg9m57742m<<7p`>2e6`>5<6sA;;jk5a1330>a0?3td:>i:k:182M77no1e=??<:e4:?xh6:m>n6=4>{I33bc=i9;;86i8n;|l26a2a290:wE??fg9m57742m2e73>5<6sA;;jk5a1330>a0d3td:>i;>:182M77no1e=??<:e4g?xh6:m?96=4>{I33bc=i9;;86i8j;|l26a34290:wE??fg9m57742m2e77>5<6sA;;jk5a1330>a173td:>i;::182M77no1e=??<:e52?xh6:m?=6=4>{I33bc=i9;;86i9=;|l26a30290:wE??fg9m57742m=87p`>2e7;>5<6sA;;jk5a1330>a133td:>i;6:182M77no1e=??<:e56?xh6:m?j6=4>{I33bc=i9;;86i99;|l26a3e290:wE??fg9m57742m=<7p`>2e7`>5<6sA;;jk5a1330>a1?3td:>i;k:182M77no1e=??<:e5:?xh6:m?n6=4>{I33bc=i9;;86i9n;|l26a3a290:wE??fg9m57742m=i7p`>2e43>5<6sA;;jk5a1330>a1d3td:>i8>:182M77no1e=??<:e5g?xh6:m<96=4>{I33bc=i9;;86i9j;|l26a04290:wE??fg9m57742m=m7p`>2e47>5<6sA;;jk5a1330>a>73td:>i8::182M77no1e=??<:e:2?xh6:m<=6=4>{I33bc=i9;;86i6=;|l26a00290:wE??fg9m57742m287p`>2e4;>5<6sA;;jk5a1330>a>33td:>i86:182M77no1e=??<:e:6?xh6:m{I33bc=i9;;86i69;|l26a0e290:wE??fg9m57742m2<7p`>2e4`>5<6sA;;jk5a1330>a>?3td:>i8k:182M77no1e=??<:e::?xh6:m{I33bc=i9;;86i6n;|l26a0a290:wE??fg9m57742m2i7p`>2e53>5<6sA;;jk5a1330>a>d3td:>i9>:182M77no1e=??<:e:g?xh6:m=96=4>{I33bc=i9;;86i6j;|l26a14290:wE??fg9m57742m2m7p`>2e57>5<6sA;;jk5a1330>a?73td:>i9::182M77no1e=??<:e;2?xh6:m==6=4>{I33bc=i9;;86i7=;|l26a10290:wE??fg9m57742m387p`>2e5;>5<6sA;;jk5a1330>a?33td:>i96:182M77no1e=??<:e;6?xh6:m=j6=4>{I33bc=i9;;86i79;|l26a1e280:wE??fg9m57742m3<7p`>2e5`>5<6sA;;jk5a1330>a??3td:>i9k:182M77no1e=??<:e;:?xh6:m=n6=4>{I33bc=i9;;86i7n;|l26a1a290:wE??fg9m57742m3i7p`>2e:3>5<6sA;;jk5a1330>a?d3td:>i6>:182M77no1e=??<:e;g?xh6:m296=4>{I33bc=i9;;86i7j;|l26a>4290:wE??fg9m57742m3m7p`>2e:7>5<6sA;;jk5a1330>ag73td:>i6::182M77no1e=??<:ec2?xh6:m2=6=4>{I33bc=i9;;86io=;|l26a>0290:wE??fg9m57742mk87p`>2e:;>5<6sA;;jk5a1330>ag33td:>i66:182M77no1e=??<:ec6?xh6:m2j6=4>{I33bc=i9;;86io9;|l26a>e290:wE??fg9m57742mk<7p`>2e:`>5<6sA;;jk5a1330>ag?3td:>i6k:182M77no1e=??<:ec:?xh6:m2n6=4>{I33bc=i9;;86ion;|l26a>a290:wE??fg9m57742mki7p`>2e;3>5<6sA;;jk5a1330>agd3td:>i7>:182M77no1e=??<:ecg?xh6:m396=4>{I33bc=i9;;86ioj;|l26a?4290:wE??fg9m57742mkm7p`>2e;7>5<6sA;;jk5a1330>ad73td:>i7::182M77no1e=??<:e`2?xh6:m3=6=4>{I33bc=i9;;86il=;|l26a?0290:wE??fg9m57742mh87p`>2e;;>5<6sA;;jk5a1330>ad33td:>i76:182M77no1e=??<:e`6?xh6:m3j6=4>{I33bc=i9;;86il9;|l26a?e290:wE??fg9m57742mh<7p`>2e;`>5<6sA;;jk5a1330>ad?3td:>i7k:182M77no1e=??<:e`:?xh6:m3n6=4>{I33bc=i9;;86iln;|l26a?a290:wE??fg9m57742mhi7p`>2ec3>5<6sA;;jk5a1330>add3td:>io>:182M77no1e=??<:e`g?xh6:mk96=4>{I33bc=i9;;86ilj;|l26ag4290:wE??fg9m57742mhm7p`>2ec7>5<6sA;;jk5a1330>ae73td:>io::182M77no1e=??<:ea2?xh6:mk=6=4>{I33bc=i9;;86im=;|l26ag0290:wE??fg9m57742mi87p`>2ec;>5<6sA;;jk5a1330>ae33td:>io6:182M77no1e=??<:ea6?xh6:mkj6=4>{I33bc=i9;;86im9;|l26age290:wE??fg9m57742mi<7p`>2ec`>5<6sA;;jk5a1330>ae?3td:>iok:182M77no1e=??<:ea:?xh6:mkn6=4>{I33bc=i9;;86imn;|l26aga290:wE??fg9m57742mii7p`>2e`3>5<6sA;;jk5a1330>aed3td:>il>:182M77no1e=??<:eag?xh6:mh96=4>{I33bc=i9;;86imj;|l26ad4290:wE??fg9m57742mim7p`>2e`7>5<6sA;;jk5a1330>ab73td:>il::182M77no1e=??<:ef2?xh6:mh=6=4>{I33bc=i9;;86ij=;|l26ad0290:wE??fg9m57742mn87p`>2e`;>5<6sA;;jk5a1330>ab33td:>il6:182M77no1e=??<:ef6?xh6:mhj6=4>{I33bc=i9;;86ij9;|l26ade290:wE??fg9m57742mn<7p`>2e``>5<6sA;;jk5a1330>ab?3td:>ilk:182M77no1e=??<:ef:?xh6:mhn6=4>{I33bc=i9;;86ijn;|l26ada290:wE??fg9m57742mni7p`>2ea3>5<6sA;;jk5a1330>abd3td:>im>:182M77no1e=??<:efg?xh6:mi96<4>{I33bc=i9;;86ijj;|l26ae4280:wE??fg9m57742mnm7p`>2ea7>4<6sA;;jk5a1330>ac73td:>im::082M77no1e=??<:eg2?xh6:mi=6<4>{I33bc=i9;;86ik=;|l26ae0280:wE??fg9m57742mo87p`>2ea;>4<6sA;;jk5a1330>ac33td:>im6:082M77no1e=??<:eg6?xh6:mij6<4>{I33bc=i9;;86ik9;|l26aee280:wE??fg9m57742mo<7p`>2ea`>5<6sA;;jk5a1330>ac?3td:>imk:182M77no1e=??<:eg:?xh6:min6=4>{I33bc=i9;;86ikn;|l26aea290:wE??fg9m57742moi7p`>2ef3>5<6sA;;jk5a1330>acd3td:>ij>:082M77no1e=??<:egg?xh6:mn96<4>{I33bc=i9;;86ikj;|l26ab4280:wE??fg9m57742mom7p`>2ef7>4<6sA;;jk5a1330>a`73td:>ij::082M77no1e=??<:ed2?xh6:mn=6<4>{I33bc=i9;;86ih=;|l26ab0280:wE??fg9m57742ml87p`>2ef;>4<6sA;;jk5a1330>a`33td:>ij6:082M77no1e=??<:ed6?xh6:mnj6<4>{I33bc=i9;;86ih9;|l26abe290:wE??fg9m57742ml<7p`>2ef`>5<6sA;;jk5a1330>a`?3td:>ijk:182M77no1e=??<:ed:?xh6:mnn6=4>{I33bc=i9;;86ihn;|l26aba290:wE??fg9m57742mli7p`>2eg3>5<6sA;;jk5a1330>a`d3td:>ik>:182M77no1e=??<:edg?xh6:mo96=4>{I33bc=i9;;86ihj;|l26ac4290:wE??fg9m57742mlm7p`>2eg7>5<6sA;;jk5a1330>`673td:>ik::182M77no1e=??<:d22?xh6:mo=6=4>{I33bc=i9;;86h>=;|l26ac0290:wE??fg9m57742l:87p`>2eg;>5<6sA;;jk5a1330>`633td:>ik6:182M77no1e=??<:d26?xh6:moj6=4>{I33bc=i9;;86h>9;|l26ace290:wE??fg9m57742l:<7p`>2eg`>5<6sA;;jk5a1330>`6?3td:>ikk:182M77no1e=??<:d2:?xh6:mon6=4>{I33bc=i9;;86h>n;|l26aca290:wE??fg9m57742l:i7p`>2ed3>5<6sA;;jk5a1330>`6d3td:>ih>:182M77no1e=??<:d2g?xh6:ml96=4>{I33bc=i9;;86h>j;|l26a`4290:wE??fg9m57742l:m7p`>2ed7>5<6sA;;jk5a1330>`773td:>ih::182M77no1e=??<:d32?xh6:ml=6=4>{I33bc=i9;;86h?=;|l26a`0290:wE??fg9m57742l;87p`>2ed;>5<6sA;;jk5a1330>`733td:>ih6:182M77no1e=??<:d36?xh6:mlj6=4>{I33bc=i9;;86h?9;|l26a`e290:wE??fg9m57742l;<7p`>2ed`>5<6sA;;jk5a1330>`7?3td:>ihk:182M77no1e=??<:d3:?xh6:mln6=4>{I33bc=i9;;86h?n;|l26a`a290:wE??fg9m57742l;i7p`>2d23>5<6sA;;jk5a1330>`7d3td:>h>>:182M77no1e=??<:d3g?xh6:l:96=4>{I33bc=i9;;86h?j;|l26`64290:wE??fg9m57742l;m7p`>2d27>5<6sA;;jk5a1330>`473td:>h>::182M77no1e=??<:d02?xh6:l:=6=4>{I33bc=i9;;86h<=;|l26`60290:wE??fg9m57742l887p`>2d2;>5<6sA;;jk5a1330>`433td:>h>6:182M77no1e=??<:d06?xh6:l:j6=4>{I33bc=i9;;86h<9;|l26`6e290:wE??fg9m57742l8<7p`>2d2`>5<6sA;;jk5a1330>`4?3td:>h>k:182M77no1e=??<:d0:?xh6:l:n6=4>{I33bc=i9;;86h2d33>5<6sA;;jk5a1330>`4d3td:>h?>:182M77no1e=??<:d0g?xh6:l;96=4>{I33bc=i9;;86h2d37>5<6sA;;jk5a1330>`573td:>h?::182M77no1e=??<:d12?xh6:l;=6=4>{I33bc=i9;;86h==;|l26`70290:wE??fg9m57742l987p`>2d3;>5<6sA;;jk5a1330>`533td:>h?6:182M77no1e=??<:d16?xh6:l;j6=4>{I33bc=i9;;86h=9;|l26`7e290:wE??fg9m57742l9<7p`>2d3`>5<6sA;;jk5a1330>`5?3td:>h?k:182M77no1e=??<:d1:?xh6:l;n6=4>{I33bc=i9;;86h=n;|l26`7a290:wE??fg9m57742l9i7p`>2d03>5<6sA;;jk5a1330>`5d3td:>h<>:182M77no1e=??<:d1g?xh6:l896=4>{I33bc=i9;;86h=j;|l26`44290:wE??fg9m57742l9m7p`>2d07>5<6sA;;jk5a1330>`273td:>h<::182M77no1e=??<:d62?xh6:l8=6=4>{I33bc=i9;;86h:=;|l26`40290:wE??fg9m57742l>87p`>2d0;>5<6sA;;jk5a1330>`233td:>h<6:182M77no1e=??<:d66?xh6:l8j6=4>{I33bc=i9;;86h:9;|l26`4e290:wE??fg9m57742l><7p`>2d0`>5<6sA;;jk5a1330>`2?3td:>h{I33bc=i9;;86h:n;|l26`4a290:wE??fg9m57742l>i7p`>2d13>5<6sA;;jk5a1330>`2d3td:>h=>:182M77no1e=??<:d6g?xh6:l996=4>{I33bc=i9;;86h:j;|l26`54290:wE??fg9m57742l>m7p`>2d17>5<6sA;;jk5a1330>`373td:>h=::182M77no1e=??<:d72?xh6:l9=6=4>{I33bc=i9;;86h;=;|l26`50290:wE??fg9m57742l?87p`>2d1;>5<6sA;;jk5a1330>`333td:>h=6:182M77no1e=??<:d76?xh6:l9j6=4>{I33bc=i9;;86h;9;|l26`5e290:wE??fg9m57742l?<7p`>2d1`>5<6sA;;jk5a1330>`3?3td:>h=k:182M77no1e=??<:d7:?xh6:l9n6=4>{I33bc=i9;;86h;n;|l26`5a290:wE??fg9m57742l?i7p`>2d63>5<6sA;;jk5a1330>`3d3td:>h:>:182M77no1e=??<:d7g?xh6:l>96=4>{I33bc=i9;;86h;j;|l26`24290:wE??fg9m57742l?m7p`>2d67>5<6sA;;jk5a1330>`073td:>h:::182M77no1e=??<:d42?xh6:l>=6=4>{I33bc=i9;;86h8=;|l26`20290:wE??fg9m57742l<87p`>2d6;>5<6sA;;jk5a1330>`033td:>h:6:182M77no1e=??<:d46?xh6:l>j6=4>{I33bc=i9;;86h89;|l26`2e290:wE??fg9m57742l<<7p`>2d6`>5<6sA;;jk5a1330>`0?3td:>h:k:182M77no1e=??<:d4:?xh6:l>n6=4>{I33bc=i9;;86h8n;|l26`2a290:wE??fg9m57742l2d73>5<6sA;;jk5a1330>`0d3td:>h;>:182M77no1e=??<:d4g?xh6:l?96=4>{I33bc=i9;;86h8j;|l26`34290:wE??fg9m57742l2d77>5<6sA;;jk5a1330>`173td:>h;::182M77no1e=??<:d52?xh6:l?=6=4>{I33bc=i9;;86h9=;|l26`30290:wE??fg9m57742l=87p`>2d7;>5<6sA;;jk5a1330>`133td:>h;6:182M77no1e=??<:d56?xh6:l?j6=4>{I33bc=i9;;86h99;|l26`3e290:wE??fg9m57742l=<7p`>2d7`>5<6sA;;jk5a1330>`1?3td:>h;k:182M77no1e=??<:d5:?xh6:l?n6=4>{I33bc=i9;;86h9n;|l26`3a290:wE??fg9m57742l=i7p`>2d43>5<6sA;;jk5a1330>`1d3td:>h8>:182M77no1e=??<:d5g?xh6:l<96=4>{I33bc=i9;;86h9j;|l26`04290:wE??fg9m57742l=m7p`>2d47>5<6sA;;jk5a1330>`>73td:>h8::182M77no1e=??<:d:2?xh6:l<=6=4>{I33bc=i9;;86h6=;|l26`00290:wE??fg9m57742l287p`>2d4;>5<6sA;;jk5a1330>`>33td:>h86:182M77no1e=??<:d:6?xh6:l{I33bc=i9;;86h69;|l26`0e290:wE??fg9m57742l2<7p`>2d4`>5<6sA;;jk5a1330>`>?3td:>h8k:182M77no1e=??<:d::?xh6:l{I33bc=i9;;86h6n;|l26`0a290:wE??fg9m57742l2i7p`>2d53>5<6sA;;jk5a1330>`>d3td:>h9>:182M77no1e=??<:d:g?xh6:l=96=4>{I33bc=i9;;86h6j;|l26`14290:wE??fg9m57742l2m7p`>2d57>5<6sA;;jk5a1330>`?73td:>h9::182M77no1e=??<:d;2?xh6:l==6=4>{I33bc=i9;;86h7=;|l26`10290:wE??fg9m57742l387p`>2d5;>5<6sA;;jk5a1330>`?33td:>h96:182M77no1e=??<:d;6?xh6:l=j6=4>{I33bc=i9;;86h79;|l26`1e290:wE??fg9m57742l3<7p`>2d5`>5<6sA;;jk5a1330>`??3td:>h9k:182M77no1e=??<:d;:?xh6:l=n6=4>{I33bc=i9;;86h7n;|l26`1a290:wE??fg9m57742l3i7p`>2d:3>5<6sA;;jk5a1330>`?d3td:>h6>:182M77no1e=??<:d;g?xh6:l296=4>{I33bc=i9;;86h7j;|l26`>4290:wE??fg9m57742l3m7p`>2d:7>5<6sA;;jk5a1330>`g73td:>h6::182M77no1e=??<:dc2?xh6:l2=6=4>{I33bc=i9;;86ho=;|l26`>0290:wE??fg9m57742lk87p`>2d:;>5<6sA;;jk5a1330>`g33td:>h66:182M77no1e=??<:dc6?xh6:l2j6=4>{I33bc=i9;;86ho9;|l26`>e290:wE??fg9m57742lk<7p`>2d:`>5<6sA;;jk5a1330>`g?3td:>h6k:182M77no1e=??<:dc:?xh6:l2n6=4>{I33bc=i9;;86hon;|l26`>a290:wE??fg9m57742lki7p`>2d;3>5<6sA;;jk5a1330>`gd3td:>h7>:182M77no1e=??<:dcg?xh6:l396=4>{I33bc=i9;;86hoj;|l26`?4290:wE??fg9m57742lkm7p`>2d;7>5<6sA;;jk5a1330>`d73td:>h7::182M77no1e=??<:d`2?xh6:l3=6=4>{I33bc=i9;;86hl=;|l26`?0290:wE??fg9m57742lh87p`>2d;;>5<6sA;;jk5a1330>`d33td:>h76:182M77no1e=??<:d`6?xh6:l3j6=4>{I33bc=i9;;86hl9;|l26`?e290:wE??fg9m57742lh<7p`>2d;`>5<6sA;;jk5a1330>`d?3td:>h7k:182M77no1e=??<:d`:?xh6:l3n6=4>{I33bc=i9;;86hln;|l26`?a290:wE??fg9m57742lhi7p`>2dc3>5<6sA;;jk5a1330>`dd3td:>ho>:182M77no1e=??<:d`g?xh6:lk96=4>{I33bc=i9;;86hlj;|l26`g4290:wE??fg9m57742lhm7p`>2dc7>5<6sA;;jk5a1330>`e73td:>ho::182M77no1e=??<:da2?xh6:lk=6=4>{I33bc=i9;;86hm=;|l26`g0290:wE??fg9m57742li87p`>2dc;>5<6sA;;jk5a1330>`e33td:>ho6:182M77no1e=??<:da6?xh6:lkj6=4>{I33bc=i9;;86hm9;|l26`ge290:wE??fg9m57742li<7p`>2dc`>5<6sA;;jk5a1330>`e?3td:>hok:182M77no1e=??<:da:?xh6:lkn6=4>{I33bc=i9;;86hmn;|l26`ga290:wE??fg9m57742lii7p`>2d`3>5<6sA;;jk5a1330>`ed3td:>hl>:182M77no1e=??<:dag?xh6:lh96=4>{I33bc=i9;;86hmj;|l26`d4290:wE??fg9m57742lim7p`>2d`7>5<6sA;;jk5a1330>`b73td:>hl::182M77no1e=??<:df2?xh6:lh=6=4>{I33bc=i9;;86hj=;|l26`d0290:wE??fg9m57742ln87p`>2d`;>5<6sA;;jk5a1330>`b33td:>hl6:182M77no1e=??<:df6?xh6:lhj6=4>{I33bc=i9;;86hj9;|l26`de290:wE??fg9m57742ln<7p`>2d``>5<6sA;;jk5a1330>`b?3td:>hlk:182M77no1e=??<:df:?xh6:lhn6=4>{I33bc=i9;;86hjn;|l26`da290:wE??fg9m57742lni7p`>2da3>5<6sA;;jk5a1330>`bd3td:>hm>:182M77no1e=??<:dfg?xh6:li96=4>{I33bc=i9;;86hjj;|l26`e4290:wE??fg9m57742lnm7p`>2da7>5<6sA;;jk5a1330>`c73td:>hm::182M77no1e=??<:dg2?xh6:li=6=4>{I33bc=i9;;86hk=;|l26`e0290:wE??fg9m57742lo87p`>2da;>5<6sA;;jk5a1330>`c33td:>hm6:182M77no1e=??<:dg6?xh6:lij6=4>{I33bc=i9;;86hk9;|l26`ee290:wE??fg9m57742lo<7p`>2da`>5<6sA;;jk5a1330>`c?3td:>hmk:182M77no1e=??<:dg:?xh6:lin6=4>{I33bc=i9;;86hkn;|l26`ea290:wE??fg9m57742loi7p`>2df3>5<6sA;;jk5a1330>`cd3td:>hj>:182M77no1e=??<:dgg?xh6:ln96=4>{I33bc=i9;;86hkj;|l26`b4290:wE??fg9m57742lom7p`>2df7>5<6sA;;jk5a1330>``73td:>hj::182M77no1e=??<:dd2?xh6:ln=6=4>{I33bc=i9;;86hh=;|l26`b0290:wE??fg9m57742ll87p`>2df;>5<6sA;;jk5a1330>``33td:>hj6:182M77no1e=??<:dd6?xh6:lnj6=4>{I33bc=i9;;86hh9;|l26`be290:wE??fg9m57742ll<7p`>2df`>5<6sA;;jk5a1330>``?3td:>hjk:182M77no1e=??<:dd:?xh6:lnn6=4>{I33bc=i9;;86hhn;|l26`ba290:wE??fg9m57742lli7p`>2dg3>5<6sA;;jk5a1330>``d3td:>hk>:182M77no1e=??<:ddg?xh6:lo96=4>{I33bc=i9;;86hhj;|l26`c4290:wE??fg9m57742llm7p`>2dg7>5<6sA;;jk5a1330>c673td:>hk::182M77no1e=??<:g22?xh6:lo=6=4>{I33bc=i9;;86k>=;|l26`c0290:wE??fg9m57742o:87p`>2dg;>5<6sA;;jk5a1330>c633td:>hk6:182M77no1e=??<:g26?xh6:loj6=4>{I33bc=i9;;86k>9;|l26`ce290:wE??fg9m57742o:<7p`>2dg`>5<6sA;;jk5a1330>c6?3td:>hkk:182M77no1e=??<:g2:?xh6:lon6=4>{I33bc=i9;;86k>n;|l26`ca290:wE??fg9m57742o:i7p`>2dd3>5<6sA;;jk5a1330>c6d3td:>hh>:182M77no1e=??<:g2g?xh6:ll96=4>{I33bc=i9;;86k>j;|l26``4290:wE??fg9m57742o:m7p`>2dd7>5<6sA;;jk5a1330>c773td:>hh::182M77no1e=??<:g32?xh6:ll=6=4>{I33bc=i9;;86k?=;|l26``0290:wE??fg9m57742o;87p`>2dd;>5<6sA;;jk5a1330>c733td:>hh6:182M77no1e=??<:g36?xh6:llj6=4>{I33bc=i9;;86k?9;|l26``e290:wE??fg9m57742o;<7p`>2dd`>5<6sA;;jk5a1330>c7?3td:>hhk:182M77no1e=??<:g3:?xh6:lln6=4>{I33bc=i9;;86k?n;|l26``a290:wE??fg9m57742o;i7p`>2g23>5<6sA;;jk5a1330>c7d3td:>k>>:182M77no1e=??<:g3g?xh6:o:96=4>{I33bc=i9;;86k?j;|l26c64290:wE??fg9m57742o;m7p`>2g27>5<6sA;;jk5a1330>c473td:>k>::182M77no1e=??<:g02?xh6:o:=6=4>{I33bc=i9;;86k<=;|l26c60290:wE??fg9m57742o887p`>2g2;>5<6sA;;jk5a1330>c433td:>k>6:182M77no1e=??<:g06?xh6:o:j6=4>{I33bc=i9;;86k<9;|l26c6e290:wE??fg9m57742o8<7p`>2g2`>5<6sA;;jk5a1330>c4?3td:>k>k:182M77no1e=??<:g0:?xh6:o:n6=4>{I33bc=i9;;86k2g33>5<6sA;;jk5a1330>c4d3td:>k?>:182M77no1e=??<:g0g?xh6:o;96=4>{I33bc=i9;;86k2g37>5<6sA;;jk5a1330>c573td:>k?::182M77no1e=??<:g12?xh6:o;=6=4>{I33bc=i9;;86k==;|l26c70290:wE??fg9m57742o987p`>2g3;>5<6sA;;jk5a1330>c533td:>k?6:182M77no1e=??<:g16?xh6:o;j6=4>{I33bc=i9;;86k=9;|l26c7e290:wE??fg9m57742o9<7p`>2g3`>5<6sA;;jk5a1330>c5?3td:>k?k:182M77no1e=??<:g1:?xh6:o;n6=4>{I33bc=i9;;86k=n;|l26c7a290:wE??fg9m57742o9i7p`>2g03>5<6sA;;jk5a1330>c5d3td:>k<>:182M77no1e=??<:g1g?xh6:o896=4>{I33bc=i9;;86k=j;|l26c44290:wE??fg9m57742o9m7p`>2g07>5<6sA;;jk5a1330>c273td:>k<::182M77no1e=??<:g62?xh6:o8=6=4>{I33bc=i9;;86k:=;|l26c40290:wE??fg9m57742o>87p`>2g0;>5<6sA;;jk5a1330>c233td:>k<6:182M77no1e=??<:g66?xh6:o8j6=4>{I33bc=i9;;86k:9;|l26c4e290:wE??fg9m57742o><7p`>2g0`>5<6sA;;jk5a1330>c2?3td:>k{I33bc=i9;;86k:n;|l26c4a290:wE??fg9m57742o>i7p`>2g13>5<6sA;;jk5a1330>c2d3td:>k=>:182M77no1e=??<:g6g?xh6:o996=4>{I33bc=i9;;86k:j;|l26c54290:wE??fg9m57742o>m7p`>2g17>5<6sA;;jk5a1330>c373td:>k=::182M77no1e=??<:g72?xh6:o9=6=4>{I33bc=i9;;86k;=;|l26c50290:wE??fg9m57742o?87p`>2g1;>5<6sA;;jk5a1330>c333td:>k=6:182M77no1e=??<:g76?xh6:o9j6=4>{I33bc=i9;;86k;9;|l26c5e290:wE??fg9m57742o?<7p`>2g1`>5<6sA;;jk5a1330>c3?3td:>k=k:182M77no1e=??<:g7:?xh6:o9n6=4>{I33bc=i9;;86k;n;|l26c5a290:wE??fg9m57742o?i7p`>2g63>5<6sA;;jk5a1330>c3d3td:>k:>:182M77no1e=??<:g7g?xh6:o>96=4>{I33bc=i9;;86k;j;|l26c24290:wE??fg9m57742o?m7p`>2g67>5<6sA;;jk5a1330>c073td:>k:::182M77no1e=??<:g42?xh6:o>=6=4>{I33bc=i9;;86k8=;|l26c20290:wE??fg9m57742o<87p`>2g6;>5<6sA;;jk5a1330>c033td:>k:6:182M77no1e=??<:g46?xh6:o>j6=4>{I33bc=i9;;86k89;|l26c2e290:wE??fg9m57742o<<7p`>2g6`>5<6sA;;jk5a1330>c0?3td:>k:k:182M77no1e=??<:g4:?xh6:o>n6=4>{I33bc=i9;;86k8n;|l26c2a290:wE??fg9m57742o2g73>5<6sA;;jk5a1330>c0d3td:>k;>:182M77no1e=??<:g4g?xh6:o?96=4>{I33bc=i9;;86k8j;|l26c34290:wE??fg9m57742o2g77>5<6sA;;jk5a1330>c173td:>k;::182M77no1e=??<:g52?xh6:o?=6=4>{I33bc=i9;;86k9=;|l26c30290:wE??fg9m57742o=87p`>2g7;>5<6sA;;jk5a1330>c133td:>k;6:182M77no1e=??<:g56?xh6:o?j6=4>{I33bc=i9;;86k99;|l26c3e290:wE??fg9m57742o=<7p`>2g7`>5<6sA;;jk5a1330>c1?3td:>k;k:182M77no1e=??<:g5:?xh6:o?n6=4>{I33bc=i9;;86k9n;|l26c3a290:wE??fg9m57742o=i7p`>2g43>5<6sA;;jk5a1330>c1d3td:>k8>:182M77no1e=??<:g5g?xh6:o<96=4>{I33bc=i9;;86k9j;|l26c04290:wE??fg9m57742o=m7p`>2g47>5<6sA;;jk5a1330>c>73td:>k8::182M77no1e=??<:g:2?xh6:o<=6=4>{I33bc=i9;;86k6=;|l26c00290:wE??fg9m57742o287p`>2g4;>5<6sA;;jk5a1330>c>33td:>k86:182M77no1e=??<:g:6?xh6:o{I33bc=i9;;86k69;|l26c0e290:wE??fg9m57742o2<7p`>2g4`>5<6sA;;jk5a1330>c>?3td:>k8k:182M77no1e=??<:g::?xh6:o{I33bc=i9;;86k6n;|l26c0a290:wE??fg9m57742o2i7p`>2g53>5<6sA;;jk5a1330>c>d3td:>k9>:182M77no1e=??<:g:g?xh6:o=96=4>{I33bc=i9;;86k6j;|l26c14290:wE??fg9m57742o2m7p`>2g57>5<6sA;;jk5a1330>c?73td:>k9::182M77no1e=??<:g;2?xh6:o==6=4>{I33bc=i9;;86k7=;|l26c10290:wE??fg9m57742o387p`>2g5;>5<6sA;;jk5a1330>c?33td:>k96:182M77no1e=??<:g;6?xh6:o=j6=4>{I33bc=i9;;86k79;|l26c1e290:wE??fg9m57742o3<7p`>2g5`>5<6sA;;jk5a1330>c??3td:>k9k:182M77no1e=??<:g;:?xh6:o=n6=4>{I33bc=i9;;86k7n;|l26c1a290:wE??fg9m57742o3i7p`>2g:3>5<6sA;;jk5a1330>c?d3td:>k6>:182M77no1e=??<:g;g?xh6:o296=4>{I33bc=i9;;86k7j;|l26c>4290:wE??fg9m57742o3m7p`>2g:7>5<6sA;;jk5a1330>cg73td:>k6::182M77no1e=??<:gc2?xh6:o2=6=4>{I33bc=i9;;86ko=;|l26c>0290:wE??fg9m57742ok87p`>2g:;>5<6sA;;jk5a1330>cg33td:>k66:182M77no1e=??<:gc6?xh6:o2j6=4>{I33bc=i9;;86ko9;|l26c>e290:wE??fg9m57742ok<7p`>2g:`>5<6sA;;jk5a1330>cg?3td:>k6k:182M77no1e=??<:gc:?xh6:o2n6=4>{I33bc=i9;;86kon;|l26c>a290:wE??fg9m57742oki7p`>2g;3>5<6sA;;jk5a1330>cgd3td:>k7>:182M77no1e=??<:gcg?xh6:o396=4>{I33bc=i9;;86koj;|l26c?4290:wE??fg9m57742okm7p`>2g;7>5<6sA;;jk5a1330>cd73td:>k7::182M77no1e=??<:g`2?xh6:o3=6=4>{I33bc=i9;;86kl=;|l26c?0290:wE??fg9m57742oh87p`>2g;;>5<6sA;;jk5a1330>cd33td:>k76:182M77no1e=??<:g`6?xh6:o3j6=4>{I33bc=i9;;86kl9;|l26c?e290:wE??fg9m57742oh<7p`>2g;`>5<6sA;;jk5a1330>cd?3td:>k7k:182M77no1e=??<:g`:?xh6:o3n6=4>{I33bc=i9;;86kln;|l26c?a290:wE??fg9m57742ohi7p`>2gc3>5<6sA;;jk5a1330>cdd3td:>ko>:182M77no1e=??<:g`g?xh6:ok96=4>{I33bc=i9;;86klj;|l26cg4290:wE??fg9m57742ohm7p`>2gc7>5<6sA;;jk5a1330>ce73td:>ko::182M77no1e=??<:ga2?xh6:ok=6=4>{I33bc=i9;;86km=;|l26cg0290:wE??fg9m57742oi87p`>2gc;>5<6sA;;jk5a1330>ce33td:>ko6:182M77no1e=??<:ga6?xh6:okj6=4>{I33bc=i9;;86km9;|l26cge290:wE??fg9m57742oi<7p`>2gc`>5<6sA;;jk5a1330>ce?3td:>kok:182M77no1e=??<:ga:?xh6:okn6=4>{I33bc=i9;;86kmn;|l26cga290:wE??fg9m57742oii7p`>2g`3>5<6sA;;jk5a1330>ced3td:>kl>:182M77no1e=??<:gag?xh6:oh96=4>{I33bc=i9;;86kmj;|l26cd4290:wE??fg9m57742oim7p`>2g`7>5<6sA;;jk5a1330>cb73td:>kl::182M77no1e=??<:gf2?xh6:oh=6=4>{I33bc=i9;;86kj=;|l26cd0290:wE??fg9m57742on87p`>2g`;>5<6sA;;jk5a1330>cb33td:>kl6:182M77no1e=??<:gf6?xh6:ohj6=4>{I33bc=i9;;86kj9;|l26cde290:wE??fg9m57742on<7p`>2g``>5<6sA;;jk5a1330>cb?3td:>klk:182M77no1e=??<:gf:?xh6:ohn6=4>{I33bc=i9;;86kjn;|l26cda290:wE??fg9m57742oni7p`>2ga3>5<6sA;;jk5a1330>cbd3td:>km>:182M77no1e=??<:gfg?xh6:oi96=4>{I33bc=i9;;86kjj;|l26ce4290:wE??fg9m57742onm7p`>2ga7>5<6sA;;jk5a1330>cc73td:>km::182M77no1e=??<:gg2?xh6:oi=6=4>{I33bc=i9;;86kk=;|l26ce0290:wE??fg9m57742oo87p`>2ga;>5<6sA;;jk5a1330>cc33td:>km6:182M77no1e=??<:gg6?xh6:oij6=4>{I33bc=i9;;86kk9;|l26cee290:wE??fg9m57742oo<7p`>2ga`>5<6sA;;jk5a1330>cc?3td:>kmk:182M77no1e=??<:gg:?xh6:oin6=4>{I33bc=i9;;86kkn;|l26cea290:wE??fg9m57742ooi7p`>2gf3>5<6sA;;jk5a1330>ccd3td:>kj>:182M77no1e=??<:ggg?xh6:on96=4>{I33bc=i9;;86kkj;|l26cb4290:wE??fg9m57742oom7p`>2gf7>5<6sA;;jk5a1330>c`73td:>kj::182M77no1e=??<:gd2?xh6:on=6=4>{I33bc=i9;;86kh=;|l26cb0290:wE??fg9m57742ol87p`>2gf;>5<6sA;;jk5a1330>c`33td:>kj6:182M77no1e=??<:gd6?xh6:onj6=4>{I33bc=i9;;86kh9;|l26cbe290:wE??fg9m57742ol<7p`>2gf`>5<6sA;;jk5a1330>c`?3td:>kjk:182M77no1e=??<:gd:?xh6:onn6=4>{I33bc=i9;;86khn;|l26cba290:wE??fg9m57742oli7p`>2gg3>5<6sA;;jk5a1330>c`d3td:>kk>:182M77no1e=??<:gdg?xh6:oo96=4>{I33bc=i9;;86khj;|l26cc4290:wE??fg9m57742olm7p`>2gg7>5<6sA;;jk5a1330>46782we=?hj5;295~N68ol0b<<>3;3344=zf88mi;4?:0yK55`a3g;9=>4>0108yk75nl=1<7?tH02eb>h6:891==><;|l26cc?290:wE??fg9m577428:;86sa13df=?6=9rB:4}O99lm7c?=1282450<=51124?xh6:ooh6=4>{I33bc=i9;;86<>?8:m57`bl3:1=vF>0gd8j446;3;;<45rn00ea`<728qC==hi;o3156<689k0qc?=fdd94?7|@8:mj6`>2019556e3td:>kh?:182M77no1e=??<:023g>{i9;lm=7>51zJ24c`if:l2645=99:m7p`>2gd7>5<6sA;;jk5a1330>46682we=?hi5;295~N68ol0b<<>3;3354=zf88mj;4?:0yK55`a3g;9=>4>0008yk75no=1<7?tH02eb>h6:891==?<;|l26c`?290:wE??fg9m577428::86sa13de=?6=9rB:4}O99lm7c?=1282440<=51134?xh6:olh6=4>{I33bc=i9;;86<>>8:m57`al3:1=vF>0gd8j446;3;;=45rn00eb`<728qC==hi;o3156<688k0qc?=fgd94?7|@8:mj6`>2019557e3td:?=>?:182M77no1e=??<:022g>{i9::;=7>51zJ24c`if:l2645=99;m7p`>3127>5<6sA;;jk5a1330>46582we=>>?5;295~N68ol0b<<>3;3364=zf89;<;4?:0yK55`a3g;9=>4>0308yk7489=1<7?tH02eb>h6:891==<<;|l2756?290:wE??fg9m577428:986sa1223=?6=9rB:4}O99lm7c?=1282470<=51104?xh6;9:h6=4>{I33bc=i9;;86<>=8:m5667l3:1=vF>0gd8j446;3;;>45rn0134`<728qC==hi;o3156<68;k0qc?<01d94?7|@8:mj6`>2019554e3td:?=??:182M77no1e=??<:021g>{i9:::=7>51zJ24c`if:l2645=998m7p`>3137>5<6sA;;jk5a1330>46482we=>>>5;295~N68ol0b<<>3;3374=zf89;=;4?:0yK55`a3g;9=>4>0208yk7488=1<7?tH02eb>h6:891===<;|l2757?290:wE??fg9m577428:886sa1222=?6=9rB:4}O99lm7c?=1282460<=51114?xh6;9;h6=4>{I33bc=i9;;86<><8:m5666l3:1=vF>0gd8j446;3;;?45rn0135`<728qC==hi;o3156<68:k0qc?<00d94?7|@8:mj6`>2019555e3td:?={i9::9=7>51zJ24c`k4}o30475=83;pD<>if:l2645=999m7p`>3107>5<6sA;;jk5a1330>46382we=>>=5;295~N68ol0b<<>3;3304=zf89;>;4?:0yK55`a3g;9=>4>0508yk748;=1<7?tH02eb>h6:891==:<;|l2754?290:wE??fg9m577428:?86sa1221=?6=9rB:4}O99lm7c?=1282410<=51164?xh6;98h6=4>{I33bc=i9;;86<>;8:m5665l3:1=vF>0gd8j446;3;;845rn0136`<728qC==hi;o3156<68=k0qc?<03d94?7|@8:mj6`>2019552e3td:?==?:182M77no1e=??<:027g>{i9::8=7>51zJ24c`if:l2645=99>m7p`>3117>5<6sA;;jk5a1330>46282we=>><5;295~N68ol0b<<>3;3314=zf89;?;4?:0yK55`a3g;9=>4>0408yk748:=1<7?tH02eb>h6:891==;<;|l2755?290:wE??fg9m577428:>86sa1220=?6=9rB:4}O99lm7c?=1282400l50;3xL46an2d:><=51174?xh6;99h6=4>{I33bc=i9;;86<>:8:m5664l3:1=vF>0gd8j446;3;;945rn0137`<728qC==hi;o3156<682019553e3td:?=:?:182M77no1e=??<:026g>{i9::?=7>51zJ24c`if:l2645=99?m7p`>3167>5<6sA;;jk5a1330>46182we=>>;5;295~N68ol0b<<>3;3324=zf89;8;4?:0yK55`a3g;9=>4>0708yk748==1<7?tH02eb>h6:891==8<;|l2752?290:wE??fg9m577428:=86sa1227=?6=9rB:<1vb<=?4`83>4}O99lm7c?=1282430<=51144?xh6;9>h6=4>{I33bc=i9;;86<>98:m5663l3:1=vF>0gd8j446;3;;:45rn0130`<728qC==hi;o3156<68?k0qc?<05d94?7|@8:mj6`>2019550e3td:?=;?:182M77no1e=??<:025g>{i9::>=7>51zJ24c`if:l2645=993177>5<6sA;;jk5a1330>46082we=>>:5;295~N68ol0b<<>3;3334=zf89;9;4?:0yK55`a3g;9=>4>0608yk748<=1<7?tH02eb>h6:891==9<;|l2753?290:wE??fg9m577428:<86sa1226=?6=9rB:4}O99lm7c?=1282420<=51154?xh6;9?h6=4>{I33bc=i9;;86<>88:m5662l3:1=vF>0gd8j446;3;;;45rn0131`<728qC==hi;o3156<68>k0qc?<04d94?7|@8:mj6`>2019551e3td:?=8?:182M77no1e=??<:024g>{i9::==7>51zJ24c`;0;6if:l2645=99=m7p`>3147>5<6sA;;jk5a1330>46?82we=>>95;295~N68ol0b<<>3;33<4=zf89;:;4?:0yK55`a3g;9=>4>0908yk748?=1<7?tH02eb>h6:891==6<;|l2750?290:wE??fg9m577428:386sa1225=?6=9rB:4}O99lm7c?=12824=0<=511:4?xh6;9{I33bc=i9;;86<>78:m5661l3:1=vF>0gd8j446;3;;445rn0132`<628qC==hi;o3156<681k0qc?<07d95?7|@8:mj6`>201955>e3td:?=9?:082M77no1e=??<:02;g>{i9::<=7?51zJ24c`if:l2645=992m7p`>3157>4<6sA;;jk5a1330>46>82we=>>85;395~N68ol0b<<>3;33=4=zf89;;;4>:0yK55`a3g;9=>4>0808yk748>=1<7?tH02eb>h6:891==7<;|l2751?290:wE??fg9m577428:286sa1224=?6=9rB:4}O99lm7c?=12824<0<=511;4?xh6;9=h6=4>{I33bc=i9;;86<>68:m5660l3:1=vF>0gd8j446;3;;545rn0133`<728qC==hi;o3156<680k0qc?<06d94?7|@8:mj6`>201955?e3td:?=6?:182M77no1e=??<:02:g>{i9::3=7>51zJ24c`if:l2645=993m7p`>31:7>5<6sA;;jk5a1330>46f82we=>>75;295~N68ol0b<<>3;33e4=zf89;4;4?:0yK55`a3g;9=>4>0`08yk7481=1<7?tH02eb>h6:891==o<;|l275>?290:wE??fg9m577428:j86sa122;=?6=9rB:4}O99lm7c?=12824d0<=511c4?xh6;92h6=4>{I33bc=i9;;86<>n8:m566?l3:1=vF>0gd8j446;3;;m45rn013<`<728qC==hi;o3156<68hk0qc?<09d94?7|@8:mj6`>201955ge3td:?=7?:182M77no1e=??<:02bg>{i9::2=7>51zJ24c`if:l2645=99km7p`>31;7>5<6sA;;jk5a1330>46e82we=>>65;295~N68ol0b<<>3;33f4=zf89;5;4?:0yK55`a3g;9=>4>0c08yk7480=1<7?tH02eb>h6:891==l<;|l275??290:wE??fg9m577428:i86sa122:=?6=9rB:4}O99lm7c?=12824g0<=511`4?xh6;93h6=4>{I33bc=i9;;86<>m8:m566>l3:1=vF>0gd8j446;3;;n45rn013=`<728qC==hi;o3156<68kk0qc?<08d94?7|@8:mj6`>201955de3td:?=o?:182M77no1e=??<:02ag>{i9::j=7>51zJ24c`if:l2645=99hm7p`>31c7>5<6sA;;jk5a1330>46d82we=>>n5;295~N68ol0b<<>3;33g4=zf89;m;4?:0yK55`a3g;9=>4>0b08yk748h=1<7?tH02eb>h6:891==m<;|l275g?290:wE??fg9m577428:h86sa122b=?6=9rB:4}O99lm7c?=12824f0<=511a4?xh6;9kh6=4>{I33bc=i9;;86<>l8:m566fl3:1=vF>0gd8j446;3;;o45rn013e`<728qC==hi;o3156<68jk0qc?<0`d94?7|@8:mj6`>201955ee3td:?=l?:182M77no1e=??<:02`g>{i9::i=7>51zJ24c`if:l2645=99im7p`>31`7>5<6sA;;jk5a1330>46c82we=>>m5;295~N68ol0b<<>3;33`4=zf89;n;4?:0yK55`a3g;9=>4>0e08yk748k=1<7?tH02eb>h6:891==j<;|l275d?290:wE??fg9m577428:o86sa122a=?6=9rB:4}O99lm7c?=12824a0<=511f4?xh6;9hh6=4>{I33bc=i9;;86<>k8:m566el3:1=vF>0gd8j446;3;;h45rn013f`<728qC==hi;o3156<68mk0qc?<0cd94?7|@8:mj6`>201955be3td:?=m?:182M77no1e=??<:02gg>{i9::h=7>51zJ24c`if:l2645=99nm7p`>31a7>5<6sA;;jk5a1330>46b82we=>>l5;295~N68ol0b<<>3;33a4=zf89;o;4?:0yK55`a3g;9=>4>0d08yk748j=1<7?tH02eb>h6:891==k<;|l275e?290:wE??fg9m577428:n86sa122`=?6=9rB:4}O99lm7c?=12824`0<=511g4?xh6;9ih6=4>{I33bc=i9;;86<>j8:m566dl3:1=vF>0gd8j446;3;;i45rn013g`<728qC==hi;o3156<68lk0qc?<0bd94?7|@8:mj6`>201955ce3td:?=j?:182M77no1e=??<:02fg>{i9::o=7>51zJ24c`if:l2645=99om7p`>31f7>5<6sA;;jk5a1330>46a82we=>>k5;395~N68ol0b<<>3;33b4=zf89;h;4>:0yK55`a3g;9=>4>0g08yk748m=1=7?tH02eb>h6:891==h<;|l275b?280:wE??fg9m577428:m86sa122g=?7=9rB:4}O99lm7c?=12824c0<=511d4?xh6;9nh6<4>{I33bc=i9;;86<>i8:m566cl3;1=vF>0gd8j446;3;;j45rn013``<628qC==hi;o3156<68ok0qc?<0ed95?7|@8:mj6`>201955`e3td:?=k?:082M77no1e=??<:02eg>{i9::n=7?51zJ24c`if:l2645=99lm7p`>31g7>4<6sA;;jk5a1330>47782we=>>j5;395~N68ol0b<<>3;3244=zf89;i;4>:0yK55`a3g;9=>4>1108yk748l=1=7?tH02eb>h6:891=<><;|l275c?280:wE??fg9m577428;;86sa122f=?7=9rB:4}O99lm7c?=1282550<=51024?xh6;9oh6<4>{I33bc=i9;;860gd8j446;3;:<45rn013a`<628qC==hi;o3156<699k0qc?<0dd95?7|@8:mj6`>2019546e3td:?=h?:082M77no1e=??<:033g>{i9::m=7?51zJ24c`0e9~j457n;0:6if:l2645=98:m7p`>31d7>5<6sA;;jk5a1330>47682we=>>i5;295~N68ol0b<<>3;3254=zf89;j;4?:0yK55`a3g;9=>4>1008yk748o=1<7?tH02eb>h6:891=4}O99lm7c?=1282540<=51034?xh6;9lh6=4>{I33bc=i9;;868:m566al3:1=vF>0gd8j446;3;:=45rn013b`<728qC==hi;o3156<698k0qc?<0gd94?7|@8:mj6`>2019547e3td:?<>?:182M77no1e=??<:032g>{i9:;;=7>51zJ24c`1e9~j4568;0;6if:l2645=98;m7p`>3027>5<6sA;;jk5a1330>47582we=>??5;295~N68ol0b<<>3;3264=zf89:<;4?:0yK55`a3g;9=>4>1308yk7499=1<7?tH02eb>h6:891=<<<;|l2746?290:wE??fg9m577428;986sa1233=?6=9rB:0`83>4}O99lm7c?=1282570<=51004?xh6;8:h6=4>{I33bc=i9;;860gd8j446;3;:>45rn0124`<728qC==hi;o3156<69;k0qc?<11d94?7|@8:mj6`>2019544e3td:?{i9:;:=7>51zJ24c`2e9~j4569;0;6if:l2645=988m7p`>3037>5<6sA;;jk5a1330>47482we=>?>5;295~N68ol0b<<>3;3274=zf89:=;4?:0yK55`a3g;9=>4>1208yk7498=1<7?tH02eb>h6:891=<=<;|l2747?290:wE??fg9m577428;886sa1232=?6=9rB:1`83>4}O99lm7c?=1282560<=51014?xh6;8;h6=4>{I33bc=i9;;860gd8j446;3;:?45rn0125`<728qC==hi;o3156<69:k0qc?<10d94?7|@8:mj6`>2019545e3td:?<{i9:;9=7>51zJ24c`3e9~j456:;0;6k4}o30575=83;pD<>if:l2645=989m7p`>3007>5<6sA;;jk5a1330>47382we=>?=5;295~N68ol0b<<>3;3204=zf89:>;4?:0yK55`a3g;9=>4>1508yk749;=1<7?tH02eb>h6:891=<:<;|l2744?290:wE??fg9m577428;?86sa1231=?6=9rB:2`83>4}O99lm7c?=1282510<=51064?xh6;88h6=4>{I33bc=i9;;860gd8j446;3;:845rn0126`<728qC==hi;o3156<69=k0qc?<13d94?7|@8:mj6`>2019542e3td:?<=?:182M77no1e=??<:037g>{i9:;8=7>51zJ24c`4e9~j456;;0;6if:l2645=98>m7p`>3017>5<6sA;;jk5a1330>47282we=>?<5;295~N68ol0b<<>3;3214=zf89:?;4?:0yK55`a3g;9=>4>1408yk749:=1<7?tH02eb>h6:891=<;<;|l2745?290:wE??fg9m577428;>86sa1230=?6=9rB:3`83>4}O99lm7c?=1282500l50;3xL46an2d:><=51074?xh6;89h6=4>{I33bc=i9;;860gd8j446;3;:945rn0127`<728qC==hi;o3156<692019543e3td:?<:?:182M77no1e=??<:036g>{i9:;?=7>51zJ24c`5e9~j456<;0;6if:l2645=98?m7p`>3067>5<6sA;;jk5a1330>47182we=>?;5;295~N68ol0b<<>3;3224=zf89:8;4?:0yK55`a3g;9=>4>1708yk749==1<7?tH02eb>h6:891=<8<;|l2742?290:wE??fg9m577428;=86sa1237=?6=9rB:<1vb<=>4`83>4}O99lm7c?=1282530<=51044?xh6;8>h6=4>{I33bc=i9;;860gd8j446;3;::45rn0120`<728qC==hi;o3156<69?k0qc?<15d94?7|@8:mj6`>2019540e3td:?<;?:182M77no1e=??<:035g>{i9:;>=7>51zJ24c`6e9~j456=;0;6if:l2645=983077>5<6sA;;jk5a1330>47082we=>?:5;295~N68ol0b<<>3;3234=zf89:9;4?:0yK55`a3g;9=>4>1608yk749<=1<7?tH02eb>h6:891=<9<;|l2743?290:wE??fg9m577428;<86sa1236=?6=9rB:5`83>4}O99lm7c?=1282520<=51054?xh6;8?h6=4>{I33bc=i9;;860gd8j446;3;:;45rn0121`<728qC==hi;o3156<69>k0qc?<14d94?7|@8:mj6`>2019541e3td:?<8?:182M77no1e=??<:034g>{i9:;==7>51zJ24c`7e9~j456>;0;6if:l2645=98=m7p`>3047>5<6sA;;jk5a1330>47?82we=>?95;295~N68ol0b<<>3;32<4=zf89::;4?:0yK55`a3g;9=>4>1908yk749?=1<7?tH02eb>h6:891=<6<;|l2740?290:wE??fg9m577428;386sa1235=?6=9rB:6`83>4}O99lm7c?=12825=0<=510:4?xh6;8{I33bc=i9;;860gd8j446;3;:445rn0122`<728qC==hi;o3156<691k0qc?<17d94?7|@8:mj6`>201954>e3td:?<9?:182M77no1e=??<:03;g>{i9:;<=7>51zJ24c`8e9~j456?;0;6if:l2645=982m7p`>3057>5<6sA;;jk5a1330>47>82we=>?85;295~N68ol0b<<>3;32=4=zf89:;;4?:0yK55`a3g;9=>4>1808yk749>=1<7?tH02eb>h6:891=<7<;|l2741?290:wE??fg9m577428;286sa1234=?6=9rB:7`83>4}O99lm7c?=12825<0<=510;4?xh6;8=h6=4>{I33bc=i9;;860gd8j446;3;:545rn0123`<728qC==hi;o3156<690k0qc?<16d94?7|@8:mj6`>201954?e3td:?<6?:182M77no1e=??<:03:g>{i9:;3=7>51zJ24c`9e9~j4560;0;6if:l2645=983m7p`>30:7>5<6sA;;jk5a1330>47f82we=>?75;295~N68ol0b<<>3;32e4=zf89:4;4?:0yK55`a3g;9=>4>1`08yk7491=1<7?tH02eb>h6:891=?290:wE??fg9m577428;j86sa123;=?6=9rB:8`83>4}O99lm7c?=12825d0<=510c4?xh6;82h6=4>{I33bc=i9;;860gd8j446;3;:m45rn012<`<728qC==hi;o3156<69hk0qc?<19d94?7|@8:mj6`>201954ge3td:?<7?:182M77no1e=??<:03bg>{i9:;2=7>51zJ24c`ae9~j4561;0;6if:l2645=98km7p`>30;7>5<6sA;;jk5a1330>47e82we=>?65;295~N68ol0b<<>3;32f4=zf89:5;4?:0yK55`a3g;9=>4>1c08yk7490=1<7?tH02eb>h6:891=9`83>4}O99lm7c?=12825g0<=510`4?xh6;83h6=4>{I33bc=i9;;86l3:1=vF>0gd8j446;3;:n45rn012=`<728qC==hi;o3156<69kk0qc?<18d94?7|@8:mj6`>201954de3td:?{i9:;j=7>51zJ24c`be9~j456i;0;6if:l2645=98hm7p`>30c7>5<6sA;;jk5a1330>47d82we=>?n5;295~N68ol0b<<>3;32g4=zf89:m;4?:0yK55`a3g;9=>4>1b08yk749h=1<7?tH02eb>h6:891=a`83>4}O99lm7c?=12825f0<=510a4?xh6;8kh6=4>{I33bc=i9;;860gd8j446;3;:o45rn012e`<728qC==hi;o3156<69jk0qc?<1`d94?7|@8:mj6`>201954ee3td:?{i9:;i=7>51zJ24c`ce9~j456j;0;6if:l2645=98im7p`>30`7>5<6sA;;jk5a1330>47c82we=>?m5;295~N68ol0b<<>3;32`4=zf89:n;4?:0yK55`a3g;9=>4>1e08yk749k=1<7?tH02eb>h6:891=b`83>4}O99lm7c?=12825a0<=510f4?xh6;8hh6=4>{I33bc=i9;;860gd8j446;3;:h45rn012f`<728qC==hi;o3156<69mk0qc?<1cd94?7|@8:mj6`>201954be3td:?{i9:;h=7>51zJ24c`de9~j456k;0;6if:l2645=98nm7p`>30a7>5<6sA;;jk5a1330>47b82we=>?l5;295~N68ol0b<<>3;32a4=zf89:o;4?:0yK55`a3g;9=>4>1d08yk749j=1<7?tH02eb>h6:891=c`83>4}O99lm7c?=12825`0<=510g4?xh6;8ih6=4>{I33bc=i9;;860gd8j446;3;:i45rn012g`<728qC==hi;o3156<69lk0qc?<1bd94?7|@8:mj6`>201954ce3td:?{i9:;o=7>51zJ24c`ee9~j456l;0;6if:l2645=98om7p`>30f7>5<6sA;;jk5a1330>47a82we=>?k5;295~N68ol0b<<>3;32b4=zf89:h;4?:0yK55`a3g;9=>4>1g08yk749m=1<7?tH02eb>h6:891=d`83>4}O99lm7c?=12825c0<=510d4?xh6;8nh6=4>{I33bc=i9;;860gd8j446;3;:j45rn012``<728qC==hi;o3156<69ok0qc?<1ed94?7|@8:mj6`>201954`e3td:?{i9:;n=7>51zJ24c`fe9~j456m;0;6if:l2645=98lm7p`>30g7>5<6sA;;jk5a1330>44782we=>?j5;295~N68ol0b<<>3;3144=zf89:i;4?:0yK55`a3g;9=>4>2108yk749l=1<7?tH02eb>h6:891=?><;|l274c?290:wE??fg9m5774288;86sa123f=?6=9rB:e`83>4}O99lm7c?=1282650<=51324?xh6;8oh6=4>{I33bc=i9;;86<0gd8j446;3;9<45rn012a`<728qC==hi;o3156<6:9k0qc?<1dd94?7|@8:mj6`>2019576e3td:?{i9:;m=7>51zJ24c`=k4}o305c5=83;pD<>if:l2645=9;:m7p`>30d7>5<6sA;;jk5a1330>44682we=>?i5;295~N68ol0b<<>3;3154=zf89:j;4?:0yK55`a3g;9=>4>2008yk749o=1<7?tH02eb>h6:891=??<;|l274`?290:wE??fg9m5774288:86sa123e=?6=9rB:f`83>4}O99lm7c?=1282640<=51334?xh6;8lh6=4>{I33bc=i9;;86<<>8:m567al3:1=vF>0gd8j446;3;9=45rn012b`<728qC==hi;o3156<6:8k0qc?<1gd94?7|@8:mj6`>2019577e3td:??>?:182M77no1e=??<:002g>{i9:8;=7>51zJ24c`if:l2645=9;;m7p`>3327>5<6sA;;jk5a1330>44582we=>3;3164=zf899<;4?:0yK55`a3g;9=>4>2308yk74:9=1<7?tH02eb>h6:891=?<<;|l2776?290:wE??fg9m5774288986sa1203=?6=9rB:4}O99lm7c?=1282670=l50;3xL46an2d:><=51304?xh6;;:h6=4>{I33bc=i9;;86<<=8:m5647l3:1=vF>0gd8j446;3;9>45rn0114`<728qC==hi;o3156<6:;k0qc?<21d94?7|@8:mj6`>2019574e3td:????:182M77no1e=??<:001g>{i9:8:=7>51zJ24c`?k4}o30645=83;pD<>if:l2645=9;8m7p`>3337>5<6sA;;jk5a1330>44482we=><>5;295~N68ol0b<<>3;3174=zf899=;4?:0yK55`a3g;9=>4>2208yk74:8=1<7?tH02eb>h6:891=?=<;|l2777?290:wE??fg9m5774288886sa1202=?6=9rB:4}O99lm7c?=1282660<=51314?xh6;;;h6=4>{I33bc=i9;;86<<<8:m5646l3:1=vF>0gd8j446;3;9?45rn0115`<728qC==hi;o3156<6::k0qc?<20d94?7|@8:mj6`>2019575e3td:??{i9:89=7>51zJ24c`>k4}o30675=83;pD<>if:l2645=9;9m7p`>3307>5<6sA;;jk5a1330>44382we=><=5;295~N68ol0b<<>3;3104=zf899>;4?:0yK55`a3g;9=>4>2508yk74:;=1<7?tH02eb>h6:891=?:<;|l2774?290:wE??fg9m5774288?86sa1201=?6=9rB:4}O99lm7c?=1282610?l50;3xL46an2d:><=51364?xh6;;8h6=4>{I33bc=i9;;86<<;8:m5645l3:1=vF>0gd8j446;3;9845rn0116`<728qC==hi;o3156<6:=k0qc?<23d94?7|@8:mj6`>2019572e3td:??=?:182M77no1e=??<:007g>{i9:88=7>51zJ24c`9k4}o30665=83;pD<>if:l2645=9;>m7p`>3317>5<6sA;;jk5a1330>44282we=><<5;295~N68ol0b<<>3;3114=zf899?;4?:0yK55`a3g;9=>4>2408yk74::=1<7?tH02eb>h6:891=?;<;|l2775?290:wE??fg9m5774288>86sa1200=?6=9rB:4}O99lm7c?=1282600>l50;3xL46an2d:><=51374?xh6;;9h6=4>{I33bc=i9;;86<<:8:m5644l3:1=vF>0gd8j446;3;9945rn0117`<728qC==hi;o3156<6:2019573e3td:??:?:182M77no1e=??<:006g>{i9:8?=7>51zJ24c`8k4}o30615=83;pD<>if:l2645=9;?m7p`>3367>5<6sA;;jk5a1330>44182we=><;5;295~N68ol0b<<>3;3124=zf8998;4?:0yK55`a3g;9=>4>2708yk74:==1<7?tH02eb>h6:891=?8<;|l2772?290:wE??fg9m5774288=86sa1207=?6=9rB:<1vb<==4`83>4}O99lm7c?=12826309l50;3xL46an2d:><=51344?xh6;;>h6=4>{I33bc=i9;;86<<98:m5643l3:1=vF>0gd8j446;3;9:45rn0110`<728qC==hi;o3156<6:?k0qc?<25d94?7|@8:mj6`>2019570e3td:??;?:182M77no1e=??<:005g>{i9:8>=7>51zJ24c`;k4}o30605=83;pD<>if:l2645=9;3377>5<6sA;;jk5a1330>44082we=><:5;295~N68ol0b<<>3;3134=zf8999;4?:0yK55`a3g;9=>4>2608yk74:<=1<7?tH02eb>h6:891=?9<;|l2773?290:wE??fg9m5774288<86sa1206=?6=9rB:4}O99lm7c?=12826208l50;3xL46an2d:><=51354?xh6;;?h6=4>{I33bc=i9;;86<<88:m5642l3:1=vF>0gd8j446;3;9;45rn0111`<728qC==hi;o3156<6:>k0qc?<24d94?7|@8:mj6`>2019571e3td:??8?:182M77no1e=??<:004g>{i9:8==7>51zJ24c`;0;6:k4}o30635=83;pD<>if:l2645=9;=m7p`>3347>5<6sA;;jk5a1330>44?82we=><95;295~N68ol0b<<>3;31<4=zf899:;4?:0yK55`a3g;9=>4>2908yk74:?=1<7?tH02eb>h6:891=?6<;|l2770?290:wE??fg9m5774288386sa1205=?6=9rB:4}O99lm7c?=12826=0;l50;3xL46an2d:><=513:4?xh6;;{I33bc=i9;;86<<78:m5641l3:1=vF>0gd8j446;3;9445rn0112`<728qC==hi;o3156<6:1k0qc?<27d94?7|@8:mj6`>201957>e3td:??9?:182M77no1e=??<:00;g>{i9:8<=7>51zJ24c`5k4}o30625=83;pD<>if:l2645=9;2m7p`>3357>5<6sA;;jk5a1330>44>82we=><85;295~N68ol0b<<>3;31=4=zf899;;4?:0yK55`a3g;9=>4>2808yk74:>=1<7?tH02eb>h6:891=?7<;|l2771?290:wE??fg9m5774288286sa1204=?6=9rB:4}O99lm7c?=12826<0:l50;3xL46an2d:><=513;4?xh6;;=h6=4>{I33bc=i9;;86<<68:m5640l3:1=vF>0gd8j446;3;9545rn0113`<728qC==hi;o3156<6:0k0qc?<26d94?7|@8:mj6`>201957?e3td:??6?:182M77no1e=??<:00:g>{i9:83=7>51zJ24c`4k4}o306=5=83;pD<>if:l2645=9;3m7p`>33:7>5<6sA;;jk5a1330>44f82we=><75;295~N68ol0b<<>3;31e4=zf8994;4?:0yK55`a3g;9=>4>2`08yk74:1=1<7?tH02eb>h6:891=?o<;|l277>?290:wE??fg9m5774288j86sa120;=?6=9rB:4}O99lm7c?=12826d05l50;3xL46an2d:><=513c4?xh6;;2h6=4>{I33bc=i9;;86<0gd8j446;3;9m45rn011<`<728qC==hi;o3156<6:hk0qc?<29d94?7|@8:mj6`>201957ge3td:??7?:182M77no1e=??<:00bg>{i9:82=7>51zJ24c`lk4}o306<5=83;pD<>if:l2645=9;km7p`>33;7>5<6sA;;jk5a1330>44e82we=><65;295~N68ol0b<<>3;31f4=zf8995;4?:0yK55`a3g;9=>4>2c08yk74:0=1<7?tH02eb>h6:891=?l<;|l277??290:wE??fg9m5774288i86sa120:=?6=9rB:4}O99lm7c?=12826g04l50;3xL46an2d:><=513`4?xh6;;3h6=4>{I33bc=i9;;86<l3:1=vF>0gd8j446;3;9n45rn011=`<728qC==hi;o3156<6:kk0qc?<28d94?7|@8:mj6`>201957de3td:??o?:182M77no1e=??<:00ag>{i9:8j=7>51zJ24c`ok4}o306d5=83;pD<>if:l2645=9;hm7p`>33c7>5<6sA;;jk5a1330>44d82we=>3;31g4=zf899m;4?:0yK55`a3g;9=>4>2b08yk74:h=1<7?tH02eb>h6:891=?m<;|l277g?290:wE??fg9m5774288h86sa120b=?6=9rB:4}O99lm7c?=12826f0ll50;3xL46an2d:><=513a4?xh6;;kh6=4>{I33bc=i9;;86<0gd8j446;3;9o45rn011e`<728qC==hi;o3156<6:jk0qc?<2`d94?7|@8:mj6`>201957ee3td:??l?:182M77no1e=??<:00`g>{i9:8i=7>51zJ24c`nk4}o306g5=83;pD<>if:l2645=9;im7p`>33`7>5<6sA;;jk5a1330>44c82we=>3;31`4=zf899n;4?:0yK55`a3g;9=>4>2e08yk74:k=1<7?tH02eb>h6:891=?j<;|l277d?290:wE??fg9m5774288o86sa120a=?6=9rB:4}O99lm7c?=12826a0ol50;3xL46an2d:><=513f4?xh6;;hh6=4>{I33bc=i9;;86<0gd8j446;3;9h45rn011f`<728qC==hi;o3156<6:mk0qc?<2cd94?7|@8:mj6`>201957be3td:??m?:182M77no1e=??<:00gg>{i9:8h=7>51zJ24c`ik4}o306f5=83;pD<>if:l2645=9;nm7p`>33a7>5<6sA;;jk5a1330>44b82we=>3;31a4=zf899o;4?:0yK55`a3g;9=>4>2d08yk74:j=1<7?tH02eb>h6:891=?k<;|l277e?290:wE??fg9m5774288n86sa120`=?6=9rB:4}O99lm7c?=12826`0nl50;3xL46an2d:><=513g4?xh6;;ih6=4>{I33bc=i9;;86<0gd8j446;3;9i45rn011g`<728qC==hi;o3156<6:lk0qc?<2bd94?7|@8:mj6`>201957ce3td:??j?:182M77no1e=??<:00fg>{i9:8o=7>51zJ24c`hk4}o306a5=83;pD<>if:l2645=9;om7p`>33f7>5<6sA;;jk5a1330>44a82we=>3;31b4=zf899h;4?:0yK55`a3g;9=>4>2g08yk74:m=1<7?tH02eb>h6:891=?h<;|l277b?290:wE??fg9m5774288m86sa120g=?6=9rB:4}O99lm7c?=12826c0il50;3xL46an2d:><=513d4?xh6;;nh6=4>{I33bc=i9;;86<0gd8j446;3;9j45rn011``<728qC==hi;o3156<6:ok0qc?<2ed94?7|@8:mj6`>201957`e3td:??k?:182M77no1e=??<:00eg>{i9:8n=7>51zJ24c`kk4}o306`5=83;pD<>if:l2645=9;lm7p`>33g7>5<6sA;;jk5a1330>45782we=>3;3044=zf899i;4?:0yK55`a3g;9=>4>3108yk74:l=1<7?tH02eb>h6:891=>><;|l277c?290:wE??fg9m5774289;86sa120f=?6=9rB:4}O99lm7c?=1282750hl50;3xL46an2d:><=51224?xh6;;oh6=4>{I33bc=i9;;86<=?8:m564bl3:1=vF>0gd8j446;3;8<45rn011a`<728qC==hi;o3156<6;9k0qc?<2dd94?7|@8:mj6`>2019566e3td:??h?:182M77no1e=??<:013g>{i9:8m=7>51zJ24c`if:l2645=9::m7p`>33d7>5<6sA;;jk5a1330>45682we=>3;3054=zf899j;4?:0yK55`a3g;9=>4>3008yk74:o=1<7?tH02eb>h6:891=>?<;|l277`?290:wE??fg9m5774289:86sa120e=?6=9rB:4}O99lm7c?=1282740kl50;3xL46an2d:><=51234?xh6;;lh6=4>{I33bc=i9;;86<=>8:m564al3:1=vF>0gd8j446;3;8=45rn011b`<728qC==hi;o3156<6;8k0qc?<2gd94?7|@8:mj6`>2019567e3td:?>>?:182M77no1e=??<:012g>{i9:9;=7>51zJ24c`if:l2645=9:;m7p`>3227>5<6sA;;jk5a1330>45582we=>=?5;295~N68ol0b<<>3;3064=zf898<;4?:0yK55`a3g;9=>4>3308yk74;9=1<7?tH02eb>h6:891=><<;|l2766?290:wE??fg9m5774289986sa1213=?6=9rB:4}O99lm7c?=1282770<=51204?xh6;::h6=4>{I33bc=i9;;86<==8:m5657l3:1=vF>0gd8j446;3;8>45rn0104`<728qC==hi;o3156<6;;k0qc?<31d94?7|@8:mj6`>2019564e3td:?>??:182M77no1e=??<:011g>{i9:9:=7>51zJ24c`if:l2645=9:8m7p`>3237>5<6sA;;jk5a1330>45482we=>=>5;295~N68ol0b<<>3;3074=zf898=;4?:0yK55`a3g;9=>4>3208yk74;8=1<7?tH02eb>h6:891=>=<;|l2767?290:wE??fg9m5774289886sa1212=?6=9rB:4}O99lm7c?=1282760<=51214?xh6;:;h6=4>{I33bc=i9;;86<=<8:m5656l3:1=vF>0gd8j446;3;8?45rn0105`<728qC==hi;o3156<6;:k0qc?<30d94?7|@8:mj6`>2019565e3td:?>{i9:99=7>51zJ24c`k4}o30775=83;pD<>if:l2645=9:9m7p`>3207>5<6sA;;jk5a1330>45382we=>==5;295~N68ol0b<<>3;3004=zf898>;4?:0yK55`a3g;9=>4>3508yk74;;=1<7?tH02eb>h6:891=>:<;|l2764?290:wE??fg9m5774289?86sa1211=?6=9rB:4}O99lm7c?=1282710<=51264?xh6;:8h6=4>{I33bc=i9;;86<=;8:m5655l3:1=vF>0gd8j446;3;8845rn0106`<728qC==hi;o3156<6;=k0qc?<33d94?7|@8:mj6`>2019562e3td:?>=?:182M77no1e=??<:017g>{i9:98=7>51zJ24c`if:l2645=9:>m7p`>3217>5<6sA;;jk5a1330>45282we=>=<5;295~N68ol0b<<>3;3014=zf898?;4?:0yK55`a3g;9=>4>3408yk74;:=1<7?tH02eb>h6:891=>;<;|l2765?290:wE??fg9m5774289>86sa1210=?6=9rB:4}O99lm7c?=1282700l50;3xL46an2d:><=51274?xh6;:9h6=4>{I33bc=i9;;86<=:8:m5654l3:1=vF>0gd8j446;3;8945rn0107`<728qC==hi;o3156<6;2019563e3td:?>:?:182M77no1e=??<:016g>{i9:9?=7>51zJ24c`if:l2645=9:?m7p`>3267>5<6sA;;jk5a1330>45182we=>=;5;295~N68ol0b<<>3;3024=zf8988;4?:0yK55`a3g;9=>4>3708yk74;==1<7?tH02eb>h6:891=>8<;|l2762?290:wE??fg9m5774289=86sa1217=?6=9rB:<1vb<=<4`83>4}O99lm7c?=1282730<=51244?xh6;:>h6=4>{I33bc=i9;;86<=98:m5653l3:1=vF>0gd8j446;3;8:45rn0100`<728qC==hi;o3156<6;?k0qc?<35d94?7|@8:mj6`>2019560e3td:?>;?:182M77no1e=??<:015g>{i9:9>=7>51zJ24c`if:l2645=9:3277>5<6sA;;jk5a1330>45082we=>=:5;295~N68ol0b<<>3;3034=zf8989;4?:0yK55`a3g;9=>4>3608yk74;<=1<7?tH02eb>h6:891=>9<;|l2763?290:wE??fg9m5774289<86sa1216=?6=9rB:4}O99lm7c?=1282720<=51254?xh6;:?h6=4>{I33bc=i9;;86<=88:m5652l3:1=vF>0gd8j446;3;8;45rn0101`<728qC==hi;o3156<6;>k0qc?<34d94?7|@8:mj6`>2019561e3td:?>8?:182M77no1e=??<:014g>{i9:9==7>51zJ24c`;0;6if:l2645=9:=m7p`>3247>5<6sA;;jk5a1330>45?82we=>=95;295~N68ol0b<<>3;30<4=zf898:;4?:0yK55`a3g;9=>4>3908yk74;?=1<7?tH02eb>h6:891=>6<;|l2760?290:wE??fg9m5774289386sa1215=?6=9rB:4}O99lm7c?=12827=0<=512:4?xh6;:{I33bc=i9;;86<=78:m5651l3:1=vF>0gd8j446;3;8445rn0102`<728qC==hi;o3156<6;1k0qc?<37d94?7|@8:mj6`>201956>e3td:?>9?:182M77no1e=??<:01;g>{i9:9<=7>51zJ24c`if:l2645=9:2m7p`>3257>5<6sA;;jk5a1330>45>82we=>=85;295~N68ol0b<<>3;30=4=zf898;;4?:0yK55`a3g;9=>4>3808yk74;>=1<7?tH02eb>h6:891=>7<;|l2761?290:wE??fg9m5774289286sa1214=?6=9rB:4}O99lm7c?=12827<0<=512;4?xh6;:=h6=4>{I33bc=i9;;86<=68:m5650l3:1=vF>0gd8j446;3;8545rn0103`<728qC==hi;o3156<6;0k0qc?<36d94?7|@8:mj6`>201956?e3td:?>6?:182M77no1e=??<:01:g>{i9:93=7>51zJ24c`if:l2645=9:3m7p`>32:7>5<6sA;;jk5a1330>45f82we=>=75;295~N68ol0b<<>3;30e4=zf8984;4?:0yK55`a3g;9=>4>3`08yk74;1=1<7?tH02eb>h6:891=>o<;|l276>?290:wE??fg9m5774289j86sa121;=?6=9rB:4}O99lm7c?=12827d0<=512c4?xh6;:2h6=4>{I33bc=i9;;86<=n8:m565?l3:1=vF>0gd8j446;3;8m45rn010<`<728qC==hi;o3156<6;hk0qc?<39d94?7|@8:mj6`>201956ge3td:?>7?:182M77no1e=??<:01bg>{i9:92=7>51zJ24c`if:l2645=9:km7p`>32;7>5<6sA;;jk5a1330>45e82we=>=65;295~N68ol0b<<>3;30f4=zf8985;4?:0yK55`a3g;9=>4>3c08yk74;0=1<7?tH02eb>h6:891=>l<;|l276??290:wE??fg9m5774289i86sa121:=?6=9rB:4}O99lm7c?=12827g0<=512`4?xh6;:3h6=4>{I33bc=i9;;86<=m8:m565>l3:1=vF>0gd8j446;3;8n45rn010=`<728qC==hi;o3156<6;kk0qc?<38d94?7|@8:mj6`>201956de3td:?>o?:182M77no1e=??<:01ag>{i9:9j=7>51zJ24c`if:l2645=9:hm7p`>32c7>5<6sA;;jk5a1330>45d82we=>=n5;295~N68ol0b<<>3;30g4=zf898m;4?:0yK55`a3g;9=>4>3b08yk74;h=1<7?tH02eb>h6:891=>m<;|l276g?290:wE??fg9m5774289h86sa121b=?6=9rB:4}O99lm7c?=12827f0<=512a4?xh6;:kh6=4>{I33bc=i9;;86<=l8:m565fl3:1=vF>0gd8j446;3;8o45rn010e`<728qC==hi;o3156<6;jk0qc?<3`d94?7|@8:mj6`>201956ee3td:?>l?:182M77no1e=??<:01`g>{i9:9i=7>51zJ24c`if:l2645=9:im7p`>32`7>5<6sA;;jk5a1330>45c82we=>=m5;295~N68ol0b<<>3;30`4=zf898n;4?:0yK55`a3g;9=>4>3e08yk74;k=1<7?tH02eb>h6:891=>j<;|l276d?290:wE??fg9m5774289o86sa121a=?6=9rB:4}O99lm7c?=12827a0<=512f4?xh6;:hh6=4>{I33bc=i9;;86<=k8:m565el3:1=vF>0gd8j446;3;8h45rn010f`<728qC==hi;o3156<6;mk0qc?<3cd94?7|@8:mj6`>201956be3td:?>m?:182M77no1e=??<:01gg>{i9:9h=7>51zJ24c`if:l2645=9:nm7p`>32a7>5<6sA;;jk5a1330>45b82we=>=l5;295~N68ol0b<<>3;30a4=zf898o;4?:0yK55`a3g;9=>4>3d08yk74;j=1<7?tH02eb>h6:891=>k<;|l276e?290:wE??fg9m5774289n86sa121`=?6=9rB:4}O99lm7c?=12827`0<=512g4?xh6;:ih6=4>{I33bc=i9;;86<=j8:m565dl3:1=vF>0gd8j446;3;8i45rn010g`<728qC==hi;o3156<6;lk0qc?<3bd94?7|@8:mj6`>201956ce3td:?>j?:182M77no1e=??<:01fg>{i9:9o=7>51zJ24c`if:l2645=9:om7p`>32f7>5<6sA;;jk5a1330>45a82we=>=k5;295~N68ol0b<<>3;30b4=zf898h;4?:0yK55`a3g;9=>4>3g08yk74;m=1<7?tH02eb>h6:891=>h<;|l276b?290:wE??fg9m5774289m86sa121g=?6=9rB:4}O99lm7c?=12827c0<=512d4?xh6;:nh6=4>{I33bc=i9;;86<=i8:m565cl3:1=vF>0gd8j446;3;8j45rn010``<728qC==hi;o3156<6;ok0qc?<3ed94?7|@8:mj6`>201956`e3td:?>k?:182M77no1e=??<:01eg>{i9:9n=7>51zJ24c`if:l2645=9:lm7p`>32g7>5<6sA;;jk5a1330>42782we=>=j5;295~N68ol0b<<>3;3744=zf898i;4?:0yK55`a3g;9=>4>4108yk74;l=1<7?tH02eb>h6:891=9><;|l276c?290:wE??fg9m577428>;86sa121f=?6=9rB:4}O99lm7c?=1282050<=51524?xh6;:oh6=4>{I33bc=i9;;86<:?8:m565bl3:1=vF>0gd8j446;3;?<45rn010a`<728qC==hi;o3156<6<9k0qc?<3dd94?7|@8:mj6`>2019516e3td:?>h?:182M77no1e=??<:063g>{i9:9m=7>51zJ24c`if:l2645=9=:m7p`>32d7>5<6sA;;jk5a1330>42682we=>=i5;295~N68ol0b<<>3;3754=zf898j;4?:0yK55`a3g;9=>4>4008yk74;o=1<7?tH02eb>h6:891=9?<;|l276`?290:wE??fg9m577428>:86sa121e=?6=9rB:4}O99lm7c?=1282040<=51534?xh6;:lh6=4>{I33bc=i9;;86<:>8:m565al3:1=vF>0gd8j446;3;?=45rn010b`<728qC==hi;o3156<6<8k0qc?<3gd94?7|@8:mj6`>2019517e3td:?9>?:182M77no1e=??<:062g>{i9:>;=7>51zJ24c`if:l2645=9=;m7p`>3527>5<6sA;;jk5a1330>42582we=>:?5;295~N68ol0b<<>3;3764=zf89?<;4?:0yK55`a3g;9=>4>4308yk74<9=1<7?tH02eb>h6:891=9<<;|l2716?290:wE??fg9m577428>986sa1263=?6=9rB:4}O99lm7c?=1282070<=51504?xh6;=:h6=4>{I33bc=i9;;86<:=8:m5627l3:1=vF>0gd8j446;3;?>45rn0174`<728qC==hi;o3156<6<;k0qc?<41d94?7|@8:mj6`>2019514e3td:?9??:182M77no1e=??<:061g>{i9:>:=7>51zJ24c`if:l2645=9=8m7p`>3537>5<6sA;;jk5a1330>42482we=>:>5;295~N68ol0b<<>3;3774=zf89?=;4?:0yK55`a3g;9=>4>4208yk74<8=1<7?tH02eb>h6:891=9=<;|l2717?290:wE??fg9m577428>886sa1262=?6=9rB:4}O99lm7c?=1282060<=51514?xh6;=;h6=4>{I33bc=i9;;86<:<8:m5626l3:1=vF>0gd8j446;3;??45rn0175`<728qC==hi;o3156<6<:k0qc?<40d94?7|@8:mj6`>2019515e3td:?9{i9:>9=7>51zJ24c`k4}o30075=83;pD<>if:l2645=9=9m7p`>3507>5<6sA;;jk5a1330>42382we=>:=5;295~N68ol0b<<>3;3704=zf89?>;4?:0yK55`a3g;9=>4>4508yk74<;=1<7?tH02eb>h6:891=9:<;|l2714?290:wE??fg9m577428>?86sa1261=?6=9rB:4}O99lm7c?=1282010<=51564?xh6;=8h6=4>{I33bc=i9;;86<:;8:m5625l3:1=vF>0gd8j446;3;?845rn0176`<728qC==hi;o3156<6<=k0qc?<43d94?7|@8:mj6`>2019512e3td:?9=?:182M77no1e=??<:067g>{i9:>8=7>51zJ24c`if:l2645=9=>m7p`>3517>5<6sA;;jk5a1330>42282we=>:<5;295~N68ol0b<<>3;3714=zf89??;4?:0yK55`a3g;9=>4>4408yk74<:=1<7?tH02eb>h6:891=9;<;|l2715?290:wE??fg9m577428>>86sa1260=?6=9rB:4}O99lm7c?=1282000l50;3xL46an2d:><=51574?xh6;=9h6=4>{I33bc=i9;;86<::8:m5624l3:1=vF>0gd8j446;3;?945rn0177`<728qC==hi;o3156<6<2019513e3td:?9:?:182M77no1e=??<:066g>{i9:>?=7>51zJ24c`if:l2645=9=?m7p`>3567>5<6sA;;jk5a1330>42182we=>:;5;295~N68ol0b<<>3;3724=zf89?8;4?:0yK55`a3g;9=>4>4708yk74<==1<7?tH02eb>h6:891=98<;|l2712?290:wE??fg9m577428>=86sa1267=?6=9rB:<1vb<=;4`83>4}O99lm7c?=1282030<=51544?xh6;=>h6=4>{I33bc=i9;;86<:98:m5623l3:1=vF>0gd8j446;3;?:45rn0170`<728qC==hi;o3156<62019510e3td:?9;?:182M77no1e=??<:065g>{i9:>>=7>51zJ24c`if:l2645=9=3577>5<6sA;;jk5a1330>42082we=>::5;295~N68ol0b<<>3;3734=zf89?9;4?:0yK55`a3g;9=>4>4608yk74<<=1<7?tH02eb>h6:891=99<;|l2713?290:wE??fg9m577428><86sa1266=?6=9rB:4}O99lm7c?=1282020<=51554?xh6;=?h6=4>{I33bc=i9;;86<:88:m5622l3:1=vF>0gd8j446;3;?;45rn0171`<728qC==hi;o3156<6<>k0qc?<44d94?7|@8:mj6`>2019511e3td:?98?:182M77no1e=??<:064g>{i9:>==7>51zJ24c`;0;6if:l2645=9==m7p`>3547>5<6sA;;jk5a1330>42?82we=>:95;295~N68ol0b<<>3;37<4=zf89?:;4?:0yK55`a3g;9=>4>4908yk74h6:891=96<;|l2710?290:wE??fg9m577428>386sa1265=?6=9rB:4}O99lm7c?=12820=0<=515:4?xh6;={I33bc=i9;;86<:78:m5621l3:1=vF>0gd8j446;3;?445rn0172`<728qC==hi;o3156<6<1k0qc?<47d94?7|@8:mj6`>201951>e3td:?99?:182M77no1e=??<:06;g>{i9:><=7>51zJ24c`if:l2645=9=2m7p`>3557>5<6sA;;jk5a1330>42>82we=>:85;295~N68ol0b<<>3;37=4=zf89?;;4?:0yK55`a3g;9=>4>4808yk74<>=1<7?tH02eb>h6:891=97<;|l2711?290:wE??fg9m577428>286sa1264=?6=9rB:4}O99lm7c?=12820<0<=515;4?xh6;==h6=4>{I33bc=i9;;86<:68:m5620l3:1=vF>0gd8j446;3;?545rn0173`<728qC==hi;o3156<6<0k0qc?<46d94?7|@8:mj6`>201951?e3td:?96?:182M77no1e=??<:06:g>{i9:>3=7>51zJ24c`if:l2645=9=3m7p`>35:7>5<6sA;;jk5a1330>42f82we=>:75;295~N68ol0b<<>3;37e4=zf89?4;4?:0yK55`a3g;9=>4>4`08yk74<1=1<7?tH02eb>h6:891=9o<;|l271>?290:wE??fg9m577428>j86sa126;=?6=9rB:4}O99lm7c?=12820d0<=515c4?xh6;=2h6=4>{I33bc=i9;;86<:n8:m562?l3:1=vF>0gd8j446;3;?m45rn017<`<728qC==hi;o3156<6201951ge3td:?97?:182M77no1e=??<:06bg>{i9:>2=7>51zJ24c`if:l2645=9=km7p`>35;7>5<6sA;;jk5a1330>42e82we=>:65;295~N68ol0b<<>3;37f4=zf89?5;4?:0yK55`a3g;9=>4>4c08yk74<0=1<7?tH02eb>h6:891=9l<;|l271??290:wE??fg9m577428>i86sa126:=?6=9rB:4}O99lm7c?=12820g0<=515`4?xh6;=3h6=4>{I33bc=i9;;86<:m8:m562>l3:1=vF>0gd8j446;3;?n45rn017=`<728qC==hi;o3156<6201951de3td:?9o?:182M77no1e=??<:06ag>{i9:>j=7>51zJ24c`if:l2645=9=hm7p`>35c7>5<6sA;;jk5a1330>42d82we=>:n5;295~N68ol0b<<>3;37g4=zf89?m;4?:0yK55`a3g;9=>4>4b08yk74h6:891=9m<;|l271g?290:wE??fg9m577428>h86sa126b=?6=9rB:4}O99lm7c?=12820f0<=515a4?xh6;=kh6=4>{I33bc=i9;;86<:l8:m562fl3:1=vF>0gd8j446;3;?o45rn017e`<728qC==hi;o3156<6201951ee3td:?9l?:182M77no1e=??<:06`g>{i9:>i=7>51zJ24c`if:l2645=9=im7p`>35`7>5<6sA;;jk5a1330>42c82we=>:m5;295~N68ol0b<<>3;37`4=zf89?n;4?:0yK55`a3g;9=>4>4e08yk74h6:891=9j<;|l271d?290:wE??fg9m577428>o86sa126a=?6=9rB:4}O99lm7c?=12820a0<=515f4?xh6;=hh6=4>{I33bc=i9;;86<:k8:m562el3:1=vF>0gd8j446;3;?h45rn017f`<728qC==hi;o3156<6201951be3td:?9m?:182M77no1e=??<:06gg>{i9:>h=7>51zJ24c`if:l2645=9=nm7p`>35a7>5<6sA;;jk5a1330>42b82we=>:l5;295~N68ol0b<<>3;37a4=zf89?o;4?:0yK55`a3g;9=>4>4d08yk74h6:891=9k<;|l271e?290:wE??fg9m577428>n86sa126`=?6=9rB:4}O99lm7c?=12820`0<=515g4?xh6;=ih6=4>{I33bc=i9;;86<:j8:m562dl3:1=vF>0gd8j446;3;?i45rn017g`<728qC==hi;o3156<6201951ce3td:?9j?:182M77no1e=??<:06fg>{i9:>o=7>51zJ24c`if:l2645=9=om7p`>35f7>5<6sA;;jk5a1330>42a82we=>:k5;295~N68ol0b<<>3;37b4=zf89?h;4?:0yK55`a3g;9=>4>4g08yk74h6:891=9h<;|l271b?290:wE??fg9m577428>m86sa126g=?6=9rB:4}O99lm7c?=12820c0<=515d4?xh6;=nh6=4>{I33bc=i9;;86<:i8:m562cl3:1=vF>0gd8j446;3;?j45rn017``<728qC==hi;o3156<6201951`e3td:?9k?:182M77no1e=??<:06eg>{i9:>n=7>51zJ24c`if:l2645=9=lm7p`>35g7>5<6sA;;jk5a1330>43782we=>:j5;295~N68ol0b<<>3;3644=zf89?i;4?:0yK55`a3g;9=>4>5108yk74h6:891=8><;|l271c?290:wE??fg9m577428?;86sa126f=?6=9rB:4}O99lm7c?=1282150<=51424?xh6;=oh6=4>{I33bc=i9;;86<;?8:m562bl3:1=vF>0gd8j446;3;><45rn017a`<728qC==hi;o3156<6=9k0qc?<4dd94?7|@8:mj6`>2019506e3td:?9h?:182M77no1e=??<:073g>{i9:>m=7>51zJ24c`if:l2645=9<:m7p`>35d7>5<6sA;;jk5a1330>43682we=>:i5;295~N68ol0b<<>3;3654=zf89?j;4?:0yK55`a3g;9=>4>5008yk74h6:891=8?<;|l271`?290:wE??fg9m577428?:86sa126e=?6=9rB:4}O99lm7c?=1282140<=51434?xh6;=lh6=4>{I33bc=i9;;86<;>8:m562al3:1=vF>0gd8j446;3;>=45rn017b`<728qC==hi;o3156<6=8k0qc?<4gd94?7|@8:mj6`>2019507e3td:?8>?:182M77no1e=??<:072g>{i9:?;=7>51zJ24c`if:l2645=9<;m7p`>3427>5<6sA;;jk5a1330>43582we=>;?5;295~N68ol0b<<>3;3664=zf89><;4?:0yK55`a3g;9=>4>5308yk74=9=1<7?tH02eb>h6:891=8<<;|l2706?290:wE??fg9m577428?986sa1273=?6=9rB:4}O99lm7c?=1282170<=51404?xh6;<:h6=4>{I33bc=i9;;86<;=8:m5637l3:1=vF>0gd8j446;3;>>45rn0164`<728qC==hi;o3156<6=;k0qc?<51d94?7|@8:mj6`>2019504e3td:?8??:182M77no1e=??<:071g>{i9:?:=7>51zJ24c`if:l2645=9<8m7p`>3437>5<6sA;;jk5a1330>43482we=>;>5;295~N68ol0b<<>3;3674=zf89>=;4?:0yK55`a3g;9=>4>5208yk74=8=1<7?tH02eb>h6:891=8=<;|l2707?290:wE??fg9m577428?886sa1272=?6=9rB:4}O99lm7c?=1282160<=51414?xh6;<;h6=4>{I33bc=i9;;86<;<8:m5636l3:1=vF>0gd8j446;3;>?45rn0165`<728qC==hi;o3156<6=:k0qc?<50d94?7|@8:mj6`>2019505e3td:?8{i9:?9=7>51zJ24c`k4}o30175=83;pD<>if:l2645=9<9m7p`>3407>5<6sA;;jk5a1330>43382we=>;=5;295~N68ol0b<<>3;3604=zf89>>;4?:0yK55`a3g;9=>4>5508yk74=;=1<7?tH02eb>h6:891=8:<;|l2704?290:wE??fg9m577428??86sa1271=?6=9rB:4}O99lm7c?=1282110<=51464?xh6;<8h6=4>{I33bc=i9;;86<;;8:m5635l3:1=vF>0gd8j446;3;>845rn0166`<728qC==hi;o3156<6==k0qc?<53d94?7|@8:mj6`>2019502e3td:?8=?:182M77no1e=??<:077g>{i9:?8=7>51zJ24c`if:l2645=9<>m7p`>3417>5<6sA;;jk5a1330>43282we=>;<5;295~N68ol0b<<>3;3614=zf89>?;4?:0yK55`a3g;9=>4>5408yk74=:=1<7?tH02eb>h6:891=8;<;|l2705?290:wE??fg9m577428?>86sa1270=?6=9rB:4}O99lm7c?=1282100l50;3xL46an2d:><=51474?xh6;<9h6=4>{I33bc=i9;;86<;:8:m5634l3:1=vF>0gd8j446;3;>945rn0167`<728qC==hi;o3156<6=2019503e3td:?8:?:182M77no1e=??<:076g>{i9:??=7>51zJ24c`if:l2645=93467>5<6sA;;jk5a1330>43182we=>;;5;295~N68ol0b<<>3;3624=zf89>8;4?:0yK55`a3g;9=>4>5708yk74===1<7?tH02eb>h6:891=88<;|l2702?290:wE??fg9m577428?=86sa1277=?6=9rB:<1vb<=:4`83>4}O99lm7c?=1282130<=51444?xh6;<>h6=4>{I33bc=i9;;86<;98:m5633l3:1=vF>0gd8j446;3;>:45rn0160`<728qC==hi;o3156<6=?k0qc?<55d94?7|@8:mj6`>2019500e3td:?8;?:182M77no1e=??<:075g>{i9:?>=7>51zJ24c`if:l2645=9<3477>5<6sA;;jk5a1330>43082we=>;:5;295~N68ol0b<<>3;3634=zf89>9;4?:0yK55`a3g;9=>4>5608yk74=<=1<7?tH02eb>h6:891=89<;|l2703?290:wE??fg9m577428?<86sa1276=?6=9rB:4}O99lm7c?=1282120<=51454?xh6;{I33bc=i9;;86<;88:m5632l3:1=vF>0gd8j446;3;>;45rn0161`<728qC==hi;o3156<6=>k0qc?<54d94?7|@8:mj6`>2019501e3td:?88?:182M77no1e=??<:074g>{i9:?==7>51zJ24c`;0;6if:l2645=9<=m7p`>3447>5<6sA;;jk5a1330>43?82we=>;95;295~N68ol0b<<>3;36<4=zf89>:;4?:0yK55`a3g;9=>4>5908yk74=?=1<7?tH02eb>h6:891=86<;|l2700?290:wE??fg9m577428?386sa1275=?6=9rB:4}O99lm7c?=12821=0<=514:4?xh6;<{I33bc=i9;;86<;78:m5631l3:1=vF>0gd8j446;3;>445rn0162`<728qC==hi;o3156<6=1k0qc?<57d94?7|@8:mj6`>201950>e3td:?89?:182M77no1e=??<:07;g>{i9:?<=7>51zJ24c`if:l2645=9<2m7p`>3457>5<6sA;;jk5a1330>43>82we=>;85;295~N68ol0b<<>3;36=4=zf89>;;4?:0yK55`a3g;9=>4>5808yk74=>=1<7?tH02eb>h6:891=87<;|l2701?290:wE??fg9m577428?286sa1274=?6=9rB:4}O99lm7c?=12821<0<=514;4?xh6;<=h6=4>{I33bc=i9;;86<;68:m5630l3:1=vF>0gd8j446;3;>545rn0163`<728qC==hi;o3156<6=0k0qc?<56d94?7|@8:mj6`>201950?e3td:?86?:182M77no1e=??<:07:g>{i9:?3=7>51zJ24c`if:l2645=9<3m7p`>34:7>5<6sA;;jk5a1330>43f82we=>;75;295~N68ol0b<<>3;36e4=zf89>4;4?:0yK55`a3g;9=>4>5`08yk74=1=1<7?tH02eb>h6:891=8o<;|l270>?290:wE??fg9m577428?j86sa127;=?6=9rB:4}O99lm7c?=12821d0<=514c4?xh6;<2h6=4>{I33bc=i9;;86<;n8:m563?l3:1=vF>0gd8j446;3;>m45rn016<`<728qC==hi;o3156<6=hk0qc?<59d94?7|@8:mj6`>201950ge3td:?87?:182M77no1e=??<:07bg>{i9:?2=7>51zJ24c`if:l2645=934;7>5<6sA;;jk5a1330>43e82we=>;65;295~N68ol0b<<>3;36f4=zf89>5;4?:0yK55`a3g;9=>4>5c08yk74=0=1<7?tH02eb>h6:891=8l<;|l270??290:wE??fg9m577428?i86sa127:=?6=9rB:4}O99lm7c?=12821g0<=514`4?xh6;<3h6=4>{I33bc=i9;;86<;m8:m563>l3:1=vF>0gd8j446;3;>n45rn016=`<728qC==hi;o3156<6=kk0qc?<58d94?7|@8:mj6`>201950de3td:?8o?:182M77no1e=??<:07ag>{i9:?j=7>51zJ24c`if:l2645=934c7>5<6sA;;jk5a1330>43d82we=>;n5;295~N68ol0b<<>3;36g4=zf89>m;4?:0yK55`a3g;9=>4>5b08yk74=h=1<7?tH02eb>h6:891=8m<;|l270g?290:wE??fg9m577428?h86sa127b=?6=9rB:4}O99lm7c?=12821f0<=514a4?xh6;{I33bc=i9;;86<;l8:m563fl3:1=vF>0gd8j446;3;>o45rn016e`<728qC==hi;o3156<6=jk0qc?<5`d94?7|@8:mj6`>201950ee3td:?8l?:182M77no1e=??<:07`g>{i9:?i=7>51zJ24c`if:l2645=934`7>5<6sA;;jk5a1330>43c82we=>;m5;295~N68ol0b<<>3;36`4=zf89>n;4?:0yK55`a3g;9=>4>5e08yk74=k=1<7?tH02eb>h6:891=8j<;|l270d?290:wE??fg9m577428?o86sa127a=?6=9rB:4}O99lm7c?=12821a0<=514f4?xh6;{I33bc=i9;;86<;k8:m563el3:1=vF>0gd8j446;3;>h45rn016f`<728qC==hi;o3156<6=mk0qc?<5cd94?7|@8:mj6`>201950be3td:?8m?:182M77no1e=??<:07gg>{i9:?h=7>51zJ24c`if:l2645=934a7>5<6sA;;jk5a1330>43b82we=>;l5;295~N68ol0b<<>3;36a4=zf89>o;4?:0yK55`a3g;9=>4>5d08yk74=j=1<7?tH02eb>h6:891=8k<;|l270e?290:wE??fg9m577428?n86sa127`=?6=9rB:4}O99lm7c?=12821`0<=514g4?xh6;{I33bc=i9;;86<;j8:m563dl3:1=vF>0gd8j446;3;>i45rn016g`<728qC==hi;o3156<6=lk0qc?<5bd94?7|@8:mj6`>201950ce3td:?8j?:182M77no1e=??<:07fg>{i9:?o=7>51zJ24c`if:l2645=934f7>5<6sA;;jk5a1330>43a82we=>;k5;295~N68ol0b<<>3;36b4=zf89>h;4?:0yK55`a3g;9=>4>5g08yk74=m=1<7?tH02eb>h6:891=8h<;|l270b?290:wE??fg9m577428?m86sa127g=?6=9rB:4}O99lm7c?=12821c0<=514d4?xh6;{I33bc=i9;;86<;i8:m563cl3:1=vF>0gd8j446;3;>j45rn016``<728qC==hi;o3156<6=ok0qc?<5ed94?7|@8:mj6`>201950`e3td:?8k?:182M77no1e=??<:07eg>{i9:?n=7>51zJ24c`if:l2645=934g7>5<6sA;;jk5a1330>40782we=>;j5;295~N68ol0b<<>3;3544=zf89>i;4?:0yK55`a3g;9=>4>6108yk74=l=1<7?tH02eb>h6:891=;><;|l270c?290:wE??fg9m577428<;86sa127f=?6=9rB:4}O99lm7c?=1282250<=51724?xh6;{I33bc=i9;;86<8?8:m563bl3:1=vF>0gd8j446;3;=<45rn016a`<728qC==hi;o3156<6>9k0qc?<5dd94?7|@8:mj6`>2019536e3td:?8h?:182M77no1e=??<:043g>{i9:?m=7>51zJ24c`if:l2645=9?:m7p`>34d7>5<6sA;;jk5a1330>40682we=>;i5;295~N68ol0b<<>3;3554=zf89>j;4?:0yK55`a3g;9=>4>6008yk74=o=1<7?tH02eb>h6:891=;?<;|l270`?290:wE??fg9m577428<:86sa127e=?6=9rB:4}O99lm7c?=1282240<=51734?xh6;{I33bc=i9;;86<8>8:m563al3:1=vF>0gd8j446;3;==45rn016b`<728qC==hi;o3156<6>8k0qc?<5gd94?7|@8:mj6`>2019537e3td:?;>?:182M77no1e=??<:042g>{i9:<;=7>51zJ24c`if:l2645=9?;m7p`>3727>5<6sA;;jk5a1330>40582we=>8?5;295~N68ol0b<<>3;3564=zf89=<;4?:0yK55`a3g;9=>4>6308yk74>9=1<7?tH02eb>h6:891=;<<;|l2736?290:wE??fg9m577428<986sa1243=?6=9rB:4}O99lm7c?=1282270<=51704?xh6;?:h6=4>{I33bc=i9;;86<8=8:m5607l3:1=vF>0gd8j446;3;=>45rn0154`<728qC==hi;o3156<6>;k0qc?<61d94?7|@8:mj6`>2019534e3td:?;??:182M77no1e=??<:041g>{i9:<:=7>51zJ24c`if:l2645=9?8m7p`>3737>5<6sA;;jk5a1330>40482we=>8>5;295~N68ol0b<<>3;3574=zf89==;4?:0yK55`a3g;9=>4>6208yk74>8=1<7?tH02eb>h6:891=;=<;|l2737?290:wE??fg9m577428<886sa1242=?6=9rB:4}O99lm7c?=1282260<=51714?xh6;?;h6=4>{I33bc=i9;;86<8<8:m5606l3:1=vF>0gd8j446;3;=?45rn0155`<728qC==hi;o3156<6>:k0qc?<60d94?7|@8:mj6`>2019535e3td:?;{i9:<9=7>51zJ24c`k4}o30275=83;pD<>if:l2645=9?9m7p`>3707>5<6sA;;jk5a1330>40382we=>8=5;295~N68ol0b<<>3;3504=zf89=>;4?:0yK55`a3g;9=>4>6508yk74>;=1<7?tH02eb>h6:891=;:<;|l2734?290:wE??fg9m5774284}O99lm7c?=1282210<=51764?xh6;?8h6=4>{I33bc=i9;;86<8;8:m5605l3:1=vF>0gd8j446;3;=845rn0156`<728qC==hi;o3156<6>=k0qc?<63d94?7|@8:mj6`>2019532e3td:?;=?:182M77no1e=??<:047g>{i9:<8=7>51zJ24c`if:l2645=9?>m7p`>3717>5<6sA;;jk5a1330>40282we=>8<5;295~N68ol0b<<>3;3514=zf89=?;4?:0yK55`a3g;9=>4>6408yk74>:=1<7?tH02eb>h6:891=;;<;|l2735?290:wE??fg9m577428<>86sa1240=?6=9rB:4}O99lm7c?=1282200l50;3xL46an2d:><=51774?xh6;?9h6=4>{I33bc=i9;;86<8:8:m5604l3:1=vF>0gd8j446;3;=945rn0157`<728qC==hi;o3156<6>2019533e3td:?;:?:182M77no1e=??<:046g>{i9:51zJ24c`if:l2645=9??m7p`>3767>5<6sA;;jk5a1330>40182we=>8;5;295~N68ol0b<<>3;3524=zf89=8;4?:0yK55`a3g;9=>4>6708yk74>==1<7?tH02eb>h6:891=;8<;|l2732?290:wE??fg9m577428<=86sa1247=?6=9rB:<1vb<=94`83>4}O99lm7c?=1282230<=51744?xh6;?>h6=4>{I33bc=i9;;86<898:m5603l3:1=vF>0gd8j446;3;=:45rn0150`<728qC==hi;o3156<6>?k0qc?<65d94?7|@8:mj6`>2019530e3td:?;;?:182M77no1e=??<:045g>{i9:<>=7>51zJ24c`if:l2645=9?3777>5<6sA;;jk5a1330>40082we=>8:5;295~N68ol0b<<>3;3534=zf89=9;4?:0yK55`a3g;9=>4>6608yk74><=1<7?tH02eb>h6:891=;9<;|l2733?290:wE??fg9m577428<<86sa1246=?6=9rB:4}O99lm7c?=1282220<=51754?xh6;??h6=4>{I33bc=i9;;86<888:m5602l3:1=vF>0gd8j446;3;=;45rn0151`<728qC==hi;o3156<6>>k0qc?<64d94?7|@8:mj6`>2019531e3td:?;8?:182M77no1e=??<:044g>{i9:<==7>51zJ24c`;0;6if:l2645=9?=m7p`>3747>5<6sA;;jk5a1330>40?82we=>895;295~N68ol0b<<>3;35<4=zf89=:;4?:0yK55`a3g;9=>4>6908yk74>?=1<7?tH02eb>h6:891=;6<;|l2730?290:wE??fg9m577428<386sa1245=?6=9rB:4}O99lm7c?=12822=0<=517:4?xh6;?{I33bc=i9;;86<878:m5601l3:1=vF>0gd8j446;3;=445rn0152`<728qC==hi;o3156<6>1k0qc?<67d94?7|@8:mj6`>201953>e3td:?;9?:182M77no1e=??<:04;g>{i9:<<=7>51zJ24c`if:l2645=9?2m7p`>3757>5<6sA;;jk5a1330>40>82we=>885;295~N68ol0b<<>3;35=4=zf89=;;4?:0yK55`a3g;9=>4>6808yk74>>=1<7?tH02eb>h6:891=;7<;|l2731?290:wE??fg9m577428<286sa1244=?6=9rB:4}O99lm7c?=12822<0<=517;4?xh6;?=h6=4>{I33bc=i9;;86<868:m5600l3:1=vF>0gd8j446;3;=545rn0153`<728qC==hi;o3156<6>0k0qc?<66d94?7|@8:mj6`>201953?e3td:?;6?:182M77no1e=??<:04:g>{i9:<3=7>51zJ24c`if:l2645=9?3m7p`>37:7>5<6sA;;jk5a1330>40f82we=>875;295~N68ol0b<<>3;35e4=zf89=4;4?:0yK55`a3g;9=>4>6`08yk74>1=1<7?tH02eb>h6:891=;o<;|l273>?290:wE??fg9m5774284}O99lm7c?=12822d0<=517c4?xh6;?2h6=4>{I33bc=i9;;86<8n8:m560?l3:1=vF>0gd8j446;3;=m45rn015<`<728qC==hi;o3156<6>hk0qc?<69d94?7|@8:mj6`>201953ge3td:?;7?:182M77no1e=??<:04bg>{i9:<2=7>51zJ24c`if:l2645=9?km7p`>37;7>5<6sA;;jk5a1330>40e82we=>865;295~N68ol0b<<>3;35f4=zf89=5;4?:0yK55`a3g;9=>4>6c08yk74>0=1<7?tH02eb>h6:891=;l<;|l273??290:wE??fg9m5774284}O99lm7c?=12822g0<=517`4?xh6;?3h6=4>{I33bc=i9;;86<8m8:m560>l3:1=vF>0gd8j446;3;=n45rn015=`<728qC==hi;o3156<6>kk0qc?<68d94?7|@8:mj6`>201953de3td:?;o?:182M77no1e=??<:04ag>{i9:51zJ24c`if:l2645=9?hm7p`>37c7>5<6sA;;jk5a1330>40d82we=>8n5;295~N68ol0b<<>3;35g4=zf89=m;4?:0yK55`a3g;9=>4>6b08yk74>h=1<7?tH02eb>h6:891=;m<;|l273g?290:wE??fg9m5774284}O99lm7c?=12822f0<=517a4?xh6;?kh6=4>{I33bc=i9;;86<8l8:m560fl3:1=vF>0gd8j446;3;=o45rn015e`<728qC==hi;o3156<6>jk0qc?<6`d94?7|@8:mj6`>201953ee3td:?;l?:182M77no1e=??<:04`g>{i9:51zJ24c`if:l2645=9?im7p`>37`7>5<6sA;;jk5a1330>40c82we=>8m5;295~N68ol0b<<>3;35`4=zf89=n;4?:0yK55`a3g;9=>4>6e08yk74>k=1<7?tH02eb>h6:891=;j<;|l273d?290:wE??fg9m5774284}O99lm7c?=12822a0<=517f4?xh6;?hh6=4>{I33bc=i9;;86<8k8:m560el3:1=vF>0gd8j446;3;=h45rn015f`<728qC==hi;o3156<6>mk0qc?<6cd94?7|@8:mj6`>201953be3td:?;m?:182M77no1e=??<:04gg>{i9:51zJ24c`if:l2645=9?nm7p`>37a7>5<6sA;;jk5a1330>40b82we=>8l5;295~N68ol0b<<>3;35a4=zf89=o;4?:0yK55`a3g;9=>4>6d08yk74>j=1<7?tH02eb>h6:891=;k<;|l273e?290:wE??fg9m5774284}O99lm7c?=12822`0<=517g4?xh6;?ih6=4>{I33bc=i9;;86<8j8:m560dl3:1=vF>0gd8j446;3;=i45rn015g`<728qC==hi;o3156<6>lk0qc?<6bd94?7|@8:mj6`>201953ce3td:?;j?:182M77no1e=??<:04fg>{i9:51zJ24c`if:l2645=9?om7p`>37f7>5<6sA;;jk5a1330>40a82we=>8k5;295~N68ol0b<<>3;35b4=zf89=h;4?:0yK55`a3g;9=>4>6g08yk74>m=1<7?tH02eb>h6:891=;h<;|l273b?290:wE??fg9m5774284}O99lm7c?=12822c0<=517d4?xh6;?nh6=4>{I33bc=i9;;86<8i8:m560cl3:1=vF>0gd8j446;3;=j45rn015``<728qC==hi;o3156<6>ok0qc?<6ed94?7|@8:mj6`>201953`e3td:?;k?:182M77no1e=??<:04eg>{i9:51zJ24c`if:l2645=9?lm7p`>37g7>5<6sA;;jk5a1330>41782we=>8j5;295~N68ol0b<<>3;3444=zf89=i;4?:0yK55`a3g;9=>4>7108yk74>l=1<7?tH02eb>h6:891=:><;|l273c?290:wE??fg9m577428=;86sa124f=?6=9rB:4}O99lm7c?=1282350<=51624?xh6;?oh6=4>{I33bc=i9;;86<9?8:m560bl3:1=vF>0gd8j446;3;<<45rn015a`<728qC==hi;o3156<6?9k0qc?<6dd94?7|@8:mj6`>2019526e3td:?;h?:182M77no1e=??<:053g>{i9:51zJ24c`if:l2645=9>:m7p`>37d7>5<6sA;;jk5a1330>41682we=>8i5;295~N68ol0b<<>3;3454=zf89=j;4?:0yK55`a3g;9=>4>7008yk74>o=1<7?tH02eb>h6:891=:?<;|l273`?290:wE??fg9m577428=:86sa124e=?6=9rB:4}O99lm7c?=1282340<=51634?xh6;?lh6=4>{I33bc=i9;;86<9>8:m560al3:1=vF>0gd8j446;3;<=45rn015b`<728qC==hi;o3156<6?8k0qc?<6gd94?7|@8:mj6`>2019527e3td:?:>?:182M77no1e=??<:052g>{i9:=;=7>51zJ24c`if:l2645=9>;m7p`>3627>5<6sA;;jk5a1330>41582we=>9?5;295~N68ol0b<<>3;3464=zf89<<;4?:0yK55`a3g;9=>4>7308yk74?9=1<7?tH02eb>h6:891=:<<;|l2726?290:wE??fg9m577428=986sa1253=?6=9rB:4}O99lm7c?=1282370<=51604?xh6;>:h6=4>{I33bc=i9;;86<9=8:m5617l3:1=vF>0gd8j446;3;<>45rn0144`<728qC==hi;o3156<6?;k0qc?<71d94?7|@8:mj6`>2019524e3td:?:??:182M77no1e=??<:051g>{i9:=:=7>51zJ24c`if:l2645=9>8m7p`>3637>5<6sA;;jk5a1330>41482we=>9>5;295~N68ol0b<<>3;3474=zf89<=;4?:0yK55`a3g;9=>4>7208yk74?8=1<7?tH02eb>h6:891=:=<;|l2727?290:wE??fg9m577428=886sa1252=?6=9rB:4}O99lm7c?=1282360<=51614?xh6;>;h6=4>{I33bc=i9;;86<9<8:m5616l3:1=vF>0gd8j446;3;2019525e3td:?:{i9:=9=7>51zJ24c`k4}o30375=83;pD<>if:l2645=9>9m7p`>3607>5<6sA;;jk5a1330>41382we=>9=5;295~N68ol0b<<>3;3404=zf89<>;4?:0yK55`a3g;9=>4>7508yk74?;=1<7?tH02eb>h6:891=::<;|l2724?290:wE??fg9m577428=?86sa1251=?6=9rB:4}O99lm7c?=1282310<=51664?xh6;>8h6=4>{I33bc=i9;;86<9;8:m5615l3:1=vF>0gd8j446;3;<845rn0146`<728qC==hi;o3156<6?=k0qc?<73d94?7|@8:mj6`>2019522e3td:?:=?:182M77no1e=??<:057g>{i9:=8=7>51zJ24c`if:l2645=9>>m7p`>3617>5<6sA;;jk5a1330>41282we=>9<5;295~N68ol0b<<>3;3414=zf894>7408yk74?:=1<7?tH02eb>h6:891=:;<;|l2725?290:wE??fg9m577428=>86sa1250=?6=9rB:4}O99lm7c?=1282300l50;3xL46an2d:><=51674?xh6;>9h6=4>{I33bc=i9;;86<9:8:m5614l3:1=vF>0gd8j446;3;<945rn0147`<728qC==hi;o3156<6?2019523e3td:?::?:182M77no1e=??<:056g>{i9:=?=7>51zJ24c`if:l2645=9>?m7p`>3667>5<6sA;;jk5a1330>41182we=>9;5;295~N68ol0b<<>3;3424=zf89<8;4?:0yK55`a3g;9=>4>7708yk74?==1<7?tH02eb>h6:891=:8<;|l2722?290:wE??fg9m577428==86sa1257=?6=9rB:<1vb<=84`83>4}O99lm7c?=1282330<=51644?xh6;>>h6=4>{I33bc=i9;;86<998:m5613l3:1=vF>0gd8j446;3;<:45rn0140`<728qC==hi;o3156<6??k0qc?<75d94?7|@8:mj6`>2019520e3td:?:;?:182M77no1e=??<:055g>{i9:=>=7>51zJ24c`if:l2645=9>3677>5<6sA;;jk5a1330>41082we=>9:5;295~N68ol0b<<>3;3434=zf89<9;4?:0yK55`a3g;9=>4>7608yk74?<=1<7?tH02eb>h6:891=:9<;|l2723?290:wE??fg9m577428=<86sa1256=?6=9rB:4}O99lm7c?=1282320<=51654?xh6;>?h6=4>{I33bc=i9;;86<988:m5612l3:1=vF>0gd8j446;3;<;45rn0141`<728qC==hi;o3156<6?>k0qc?<74d94?7|@8:mj6`>2019521e3td:?:8?:182M77no1e=??<:054g>{i9:===7>51zJ24c`;0;6if:l2645=9>=m7p`>3647>5<6sA;;jk5a1330>41?82we=>995;295~N68ol0b<<>3;34<4=zf89<:;4?:0yK55`a3g;9=>4>7908yk74??=1<7?tH02eb>h6:891=:6<;|l2720?290:wE??fg9m577428=386sa1255=?6=9rB:4}O99lm7c?=12823=0<=516:4?xh6;>{I33bc=i9;;86<978:m5611l3:1=vF>0gd8j446;3;<445rn0142`<728qC==hi;o3156<6?1k0qc?<77d94?7|@8:mj6`>201952>e3td:?:9?:182M77no1e=??<:05;g>{i9:=<=7>51zJ24c`if:l2645=9>2m7p`>3657>5<6sA;;jk5a1330>41>82we=>985;295~N68ol0b<<>3;34=4=zf89<;;4?:0yK55`a3g;9=>4>7808yk74?>=1<7?tH02eb>h6:891=:7<;|l2721?290:wE??fg9m577428=286sa1254=?6=9rB:4}O99lm7c?=12823<0<=516;4?xh6;>=h6=4>{I33bc=i9;;86<968:m5610l3:1=vF>0gd8j446;3;<545rn0143`<728qC==hi;o3156<6?0k0qc?<76d94?7|@8:mj6`>201952?e3td:?:6?:182M77no1e=??<:05:g>{i9:=3=7>51zJ24c`if:l2645=9>3m7p`>36:7>5<6sA;;jk5a1330>41f82we=>975;295~N68ol0b<<>3;34e4=zf89<4;4?:0yK55`a3g;9=>4>7`08yk74?1=1<7?tH02eb>h6:891=:o<;|l272>?290:wE??fg9m577428=j86sa125;=?6=9rB:4}O99lm7c?=12823d0<=516c4?xh6;>2h6=4>{I33bc=i9;;86<9n8:m561?l3:1=vF>0gd8j446;3;201952ge3td:?:7?:182M77no1e=??<:05bg>{i9:=2=7>51zJ24c`if:l2645=9>km7p`>36;7>5<6sA;;jk5a1330>41e82we=>965;295~N68ol0b<<>3;34f4=zf89<5;4?:0yK55`a3g;9=>4>7c08yk74?0=1<7?tH02eb>h6:891=:l<;|l272??290:wE??fg9m577428=i86sa125:=?6=9rB:4}O99lm7c?=12823g0<=516`4?xh6;>3h6=4>{I33bc=i9;;86<9m8:m561>l3:1=vF>0gd8j446;3;201952de3td:?:o?:182M77no1e=??<:05ag>{i9:=j=7>51zJ24c`if:l2645=9>hm7p`>36c7>5<6sA;;jk5a1330>41d82we=>9n5;295~N68ol0b<<>3;34g4=zf894>7b08yk74?h=1<7?tH02eb>h6:891=:m<;|l272g?290:wE??fg9m577428=h86sa125b=?6=9rB:4}O99lm7c?=12823f0<=516a4?xh6;>kh6=4>{I33bc=i9;;86<9l8:m561fl3:1=vF>0gd8j446;3;201952ee3td:?:l?:182M77no1e=??<:05`g>{i9:=i=7>51zJ24c`if:l2645=9>im7p`>36`7>5<6sA;;jk5a1330>41c82we=>9m5;295~N68ol0b<<>3;34`4=zf894>7e08yk74?k=1<7?tH02eb>h6:891=:j<;|l272d?290:wE??fg9m577428=o86sa125a=?6=9rB:4}O99lm7c?=12823a0<=516f4?xh6;>hh6=4>{I33bc=i9;;86<9k8:m561el3:1=vF>0gd8j446;3;201952be3td:?:m?:182M77no1e=??<:05gg>{i9:=h=7>51zJ24c`if:l2645=9>nm7p`>36a7>5<6sA;;jk5a1330>41b82we=>9l5;295~N68ol0b<<>3;34a4=zf894>7d08yk74?j=1<7?tH02eb>h6:891=:k<;|l272e?290:wE??fg9m577428=n86sa125`=?6=9rB:4}O99lm7c?=12823`0<=516g4?xh6;>ih6=4>{I33bc=i9;;86<9j8:m561dl3:1=vF>0gd8j446;3;201952ce3td:?:j?:182M77no1e=??<:05fg>{i9:=o=7>51zJ24c`if:l2645=9>om7p`>36f7>5<6sA;;jk5a1330>41a82we=>9k5;295~N68ol0b<<>3;34b4=zf894>7g08yk74?m=1<7?tH02eb>h6:891=:h<;|l272b?290:wE??fg9m577428=m86sa125g=?6=9rB:4}O99lm7c?=12823c0<=516d4?xh6;>nh6=4>{I33bc=i9;;86<9i8:m561cl3:1=vF>0gd8j446;3;201952`e3td:?:k?:182M77no1e=??<:05eg>{i9:=n=7>51zJ24c`if:l2645=9>lm7p`>36g7>5<6sA;;jk5a1330>4>782we=>9j5;395~N68ol0b<<>3;3;44=zf894>8108yk74?l=1<7?tH02eb>h6:891=5><;|l272c?290:wE??fg9m5774282;86sa125f=?6=9rB:4}O99lm7c?=1282<50<=51924?xh6;>oh6=4>{I33bc=i9;;86<6?8:m561bl3:1=vF>0gd8j446;3;3<45rn014a`<728qC==hi;o3156<609k0qc?<7dd94?7|@8:mj6`>20195=6e3td:?:h?:182M77no1e=??<:0:3g>{i9:=m=7>51zJ24c`if:l2645=91:m7p`>36d7>5<6sA;;jk5a1330>4>682we=>9i5;295~N68ol0b<<>3;3;54=zf894>8008yk74?o=1<7?tH02eb>h6:891=5?<;|l272`?290:wE??fg9m5774282:86sa125e=?6=9rB:4}O99lm7c?=1282<40<=51934?xh6;>lh6=4>{I33bc=i9;;86<6>8:m561al3:1=vF>0gd8j446;3;3=45rn014b`<728qC==hi;o3156<608k0qc?<7gd94?7|@8:mj6`>20195=7e3td:?5>?:182M77no1e=??<:0:2g>{i9:2;=7>51zJ24c`if:l2645=91;m7p`>3927>5<6sA;;jk5a1330>4>582we=>6?5;295~N68ol0b<<>3;3;64=zf893<;4?:0yK55`a3g;9=>4>8308yk7409=1<7?tH02eb>h6:891=5<<;|l27=6?290:wE??fg9m5774282986sa12:3=?6=9rB:4}O99lm7c?=1282<70<=51904?xh6;1:h6=4>{I33bc=i9;;86<6=8:m56>7l3:1=vF>0gd8j446;3;3>45rn01;4`<728qC==hi;o3156<60;k0qc?<81d94?7|@8:mj6`>20195=4e3td:?5??:182M77no1e=??<:0:1g>{i9:2:=7>51zJ24c`if:l2645=918m7p`>3937>5<6sA;;jk5a1330>4>482we=>6>5;295~N68ol0b<<>3;3;74=zf893=;4?:0yK55`a3g;9=>4>8208yk7408=1<7?tH02eb>h6:891=5=<;|l27=7?290:wE??fg9m5774282886sa12:2=?6=9rB:4}O99lm7c?=1282<60<=51914?xh6;1;h6<4>{I33bc=i9;;86<6<8:m56>6l3:1=vF>0gd8j446;3;3?45rn01;5`<728qC==hi;o3156<60:k0qc?<80d94?7|@8:mj6`>20195=5e3td:?5{i9:29=7>51zJ24c`k4}o30<75=83;pD<>if:l2645=919m7p`>3907>5<6sA;;jk5a1330>4>382we=>6=5;295~N68ol0b<<>3;3;04=zf893>;4?:0yK55`a3g;9=>4>8508yk740;=1<7?tH02eb>h6:891=5:<;|l27=4?290:wE??fg9m5774282?86sa12:1=?6=9rB:4}O99lm7c?=1282<10<=51964?xh6;18h6=4>{I33bc=i9;;86<6;8:m56>5l3:1=vF>0gd8j446;3;3845rn01;6`<728qC==hi;o3156<60=k0qc?<83d94?7|@8:mj6`>20195=2e3td:?5=?:182M77no1e=??<:0:7g>{i9:28=7?51zJ24c`if:l2645=91>m7p`>3917>5<6sA;;jk5a1330>4>282we=>6<5;295~N68ol0b<<>3;3;14=zf893?;4?:0yK55`a3g;9=>4>8408yk740:=1<7?tH02eb>h6:891=5;<;|l27=5?290:wE??fg9m5774282>86sa12:0=?6=9rB:4}O99lm7c?=1282<00l50;3xL46an2d:><=51974?xh6;19h6=4>{I33bc=i9;;86<6:8:m56>4l3:1=vF>0gd8j446;3;3945rn01;7`<728qC==hi;o3156<6020195=3e3td:?5:?:182M77no1e=??<:0:6g>{i9:2?=7>51zJ24c`if:l2645=91?m7p`>3967>5<6sA;;jk5a1330>4>182we=>6;5;295~N68ol0b<<>3;3;24=zf8938;4?:0yK55`a3g;9=>4>8708yk740==1<7?tH02eb>h6:891=58<;|l27=2?290:wE??fg9m5774282=86sa12:7=?6=9rB:<1vb<=74`83>4}O99lm7c?=1282<30<=51944?xh6;1>h6=4>{I33bc=i9;;86<698:m56>3l3:1=vF>0gd8j446;3;3:45rn01;0`<728qC==hi;o3156<60?k0qc?<85d94?7|@8:mj6`>20195=0e3td:?5;?:182M77no1e=??<:0:5g>{i9:2>=7>51zJ24c`if:l2645=913977>5<6sA;;jk5a1330>4>082we=>6:5;295~N68ol0b<<>3;3;34=zf8939;4?:0yK55`a3g;9=>4>8608yk740<=1<7?tH02eb>h6:891=59<;|l27=3?290:wE??fg9m5774282<86sa12:6=?6=9rB:4}O99lm7c?=1282<20<=51954?xh6;1?h6=4>{I33bc=i9;;86<688:m56>2l3:1=vF>0gd8j446;3;3;45rn01;1`<728qC==hi;o3156<60>k0qc?<84d94?7|@8:mj6`>20195=1e3td:?58?:182M77no1e=??<:0:4g>{i9:2==7>51zJ24c`;0;6if:l2645=91=m7p`>3947>5<6sA;;jk5a1330>4>?82we=>695;295~N68ol0b<<>3;3;<4=zf893:;4?:0yK55`a3g;9=>4>8908yk740?=1<7?tH02eb>h6:891=56<;|l27=0?290:wE??fg9m5774282386sa12:5=?6=9rB:4}O99lm7c?=1282<=0<=519:4?xh6;1{I33bc=i9;;86<678:m56>1l3:1=vF>0gd8j446;3;3445rn01;2`<728qC==hi;o3156<601k0qc?<87d94?7|@8:mj6`>20195=>e3td:?59?:182M77no1e=??<:0:;g>{i9:2<=7>51zJ24c`if:l2645=912m7p`>3957>5<6sA;;jk5a1330>4>>82we=>685;295~N68ol0b<<>3;3;=4=zf893;;4?:0yK55`a3g;9=>4>8808yk740>=1<7?tH02eb>h6:891=57<;|l27=1?290:wE??fg9m5774282286sa12:4=?6=9rB:4}O99lm7c?=1282<<0<=519;4?xh6;1=h6=4>{I33bc=i9;;86<668:m56>0l3:1=vF>0gd8j446;3;3545rn01;3`<728qC==hi;o3156<600k0qc?<86d94?7|@8:mj6`>20195=?e3td:?56?:182M77no1e=??<:0::g>{i9:23=7>51zJ24c`if:l2645=913m7p`>39:7>5<6sA;;jk5a1330>4>f82we=>675;295~N68ol0b<<>3;3;e4=zf8934;4?:0yK55`a3g;9=>4>8`08yk7401=1<7?tH02eb>h6:891=5o<;|l27=>?290:wE??fg9m5774282j86sa12:;=?6=9rB:4}O99lm7c?=1282<=519c4?xh6;12h6=4>{I33bc=i9;;86<6n8:m56>?l3:1=vF>0gd8j446;3;3m45rn01;<`<728qC==hi;o3156<60hk0qc?<89d94?7|@8:mj6`>20195=ge3td:?57?:182M77no1e=??<:0:bg>{i9:22=7>51zJ24c`if:l2645=91km7p`>39;7>5<6sA;;jk5a1330>4>e82we=>665;295~N68ol0b<<>3;3;f4=zf8935;4?:0yK55`a3g;9=>4>8c08yk7400=1<7?tH02eb>h6:891=5l<;|l27=??290:wE??fg9m5774282i86sa12::=?6=9rB:4}O99lm7c?=1282<=519`4?xh6;13h6=4>{I33bc=i9;;86<6m8:m56>>l3:1=vF>0gd8j446;3;3n45rn01;=`<728qC==hi;o3156<60kk0qc?<88d94?7|@8:mj6`>20195=de3td:?5o?:182M77no1e=??<:0:ag>{i9:2j=7>51zJ24c`if:l2645=91hm7p`>39c7>5<5sA;;jk5a1330>4>d82we=>6n5;296~N68ol0b<<>3;3;g4=zf893m;4?:3yK55`a3g;9=>4>8b08yk740h=1<7h6:891=5m<;|l27=g?2909wE??fg9m5774282h86sa12:b=?6=:rB:7}O99lm7c?=1282<=519a4?xh6;1kh6=46{I33bc=i9;;86<6l8:m56>fl3:15vF>0gd8j446;3;3o45rn01;e`<720qC==hi;o3156<60jk0qc?<8`d94??|@8:mj6`>20195=ee3td:?5l?:18:M77no1e=??<:0:`g>{i9:2i=7>59zJ24c`if:l2645=91im7p`>39`7>5<6sA;;jk5a1330>4>c82we=>6m5;295~N68ol0b<<>3;3;`4=zf893n;4?:0yK55`a3g;9=>4>8e08yk740k=1<7?tH02eb>h6:891=5j<;|l27=d?290:wE??fg9m5774282o86sa12:a=?6=9rB:4}O99lm7c?=1282<=519f4?xh6;1hh6=4>{I33bc=i9;;86<6k8:m56>el3:1=vF>0gd8j446;3;3h45rn01;f`<728qC==hi;o3156<60mk0qc?<8cd94?7|@8:mj6`>20195=be3td:?5m?:18:M77no1e=??<:0:gg>{i9:2h=7>52zJ24c`if:l2645=91nm7p`>39a7>5<5sA;;jk5a1330>4>b82we=>6l5;296~N68ol0b<<>3;3;a4=zf893o;4?:3yK55`a3g;9=>4>8d08yk740j=1<7h6:891=5k<;|l27=e?2909wE??fg9m5774282n86sa12:`=?6=9rB:4}O99lm7c?=1282<`0<=519g4?xh6;1ih6=4>{I33bc=i9;;86<6j8:m56>dl3:1=vF>0gd8j446;3;3i45rn01;g`<728qC==hi;o3156<60lk0qc?<8bd94?7|@8:mj6`>20195=ce3td:?5j?:182M77no1e=??<:0:fg>{i9:2o=7>51zJ24c`if:l2645=91om7p`>39f7>5<6sA;;jk5a1330>4>a82we=>6k5;295~N68ol0b<<>3;3;b4=zf893h;4?:0yK55`a3g;9=>4>8g08yk740m=1<7?tH02eb>h6:891=5h<;|l27=b?290:wE??fg9m5774282m86sa12:g=?6=9rB:4}O99lm7c?=1282<=519d4?xh6;1nh6=4>{I33bc=i9;;86<6i8:m56>cl3:1=vF>0gd8j446;3;3j45rn01;``<728qC==hi;o3156<60ok0qc?<8ed94?7|@8:mj6`>20195=`e3td:?5k?:182M77no1e=??<:0:eg>{i9:2n=7>51zJ24c`if:l2645=91lm7p`>39g7>5<6sA;;jk5a1330>4?782we=>6j5;295~N68ol0b<<>3;3:44=zf893i;4?:0yK55`a3g;9=>4>9108yk740l=1<7?tH02eb>h6:891=4><;|l27=c?290:wE??fg9m5774283;86sa12:f=?6=9rB:8<1vb<=7e`83>4}O99lm7c?=1282=50<=51824?xh6;1oh6=4>{I33bc=i9;;86<7?8:m56>bl3:1=vF>0gd8j446;3;2<45rn01;a`<728qC==hi;o3156<619k0qc?<8dd94?7|@8:mj6`>20195<6e3td:?5h?:182M77no1e=??<:0;3g>{i9:2m=7>51zJ24c`if:l2645=90:m7p`>39d7>5<6sA;;jk5a1330>4?682we=>6i5;295~N68ol0b<<>3;3:54=zf893j;4?:0yK55`a3g;9=>4>9008yk740o=1<7?tH02eb>h6:891=4?<;|l27=`?290:wE??fg9m5774283:86sa12:e=?6=9rB:9<1vb<=7f`83>4}O99lm7c?=1282=40<=51834?xh6;1lh6=4>{I33bc=i9;;86<7>8:m56>al3:1=vF>0gd8j446;3;2=45rn01;b`<728qC==hi;o3156<618k0qc?<8gd94?7|@8:mj6`>20195<7e3td:?4>?:182M77no1e=??<:0;2g>{i9:3;=7>51zJ24c`8;0;6if:l2645=90;m7p`>3827>5<6sA;;jk5a1330>4?582we=>7?5;295~N68ol0b<<>3;3:64=zf892<;4?:0yK55`a3g;9=>4>9308yk7419=1<7?tH02eb>h6:891=4<<;|l27<6?290:wE??fg9m5774283986sa12;3=?6=9rB::<1vb<=60`83>4}O99lm7c?=1282=70<=51804?xh6;0:h6=4>{I33bc=i9;;86<7=8:m56?7l3:1=vF>0gd8j446;3;2>45rn01:4`<728qC==hi;o3156<61;k0qc?<91d94?7|@8:mj6`>20195<4e3td:?4??:182M77no1e=??<:0;1g>{i9:3:=7>51zJ24c`9;0;6if:l2645=908m7p`>3837>5<6sA;;jk5a1330>4?482we=>7>5;295~N68ol0b<<>3;3:74=zf892=;4?:0yK55`a3g;9=>4>9208yk7418=1<7?tH02eb>h6:891=4=<;|l27<7?290:wE??fg9m5774283886sa12;2=?6=9rB:;<1vb<=61`83>4}O99lm7c?=1282=60<=51814?xh6;0;h6=4>{I33bc=i9;;86<7<8:m56?6l3:1=vF>0gd8j446;3;2?45rn01:5`<728qC==hi;o3156<61:k0qc?<90d94?7|@8:mj6`>20195<5e3td:?4{i9:39=7>51zJ24c`:;0;6k4}o30=75=83;pD<>if:l2645=909m7p`>3807>5<6sA;;jk5a1330>4?382we=>7=5;295~N68ol0b<<>3;3:04=zf892>;4?:0yK55`a3g;9=>4>9508yk741;=1<7?tH02eb>h6:891=4:<;|l27<4?290:wE??fg9m5774283?86sa12;1=?6=9rB:<<1vb<=62`83>4}O99lm7c?=1282=10<=51864?xh6;08h6=4>{I33bc=i9;;86<7;8:m56?5l3:1=vF>0gd8j446;3;2845rn01:6`<728qC==hi;o3156<61=k0qc?<93d94?7|@8:mj6`>20195<2e3td:?4=?:182M77no1e=??<:0;7g>{i9:38=7>51zJ24c`;;0;6if:l2645=90>m7p`>3817>5<6sA;;jk5a1330>4?282we=>7<5;295~N68ol0b<<>3;3:14=zf892?;4?:0yK55`a3g;9=>4>9408yk741:=1<7?tH02eb>h6:891=4;<;|l27<5?290:wE??fg9m5774283>86sa12;0=?6=9rB:=<1vb<=63`83>4}O99lm7c?=1282=00l50;3xL46an2d:><=51874?xh6;09h6=4>{I33bc=i9;;86<7:8:m56?4l3:1=vF>0gd8j446;3;2945rn01:7`<728qC==hi;o3156<6120195<3e3td:?4:?:182M77no1e=??<:0;6g>{i9:3?=7>51zJ24c`<;0;6if:l2645=90?m7p`>3867>5<6sA;;jk5a1330>4?182we=>7;5;295~N68ol0b<<>3;3:24=zf8928;4?:0yK55`a3g;9=>4>9708yk741==1<7?tH02eb>h6:891=48<;|l27<2?290:wE??fg9m5774283=86sa12;7=?6=9rB:><1vb<=64`83>4}O99lm7c?=1282=30<=51844?xh6;0>h6=4>{I33bc=i9;;86<798:m56?3l3:1=vF>0gd8j446;3;2:45rn01:0`<728qC==hi;o3156<61?k0qc?<95d94?7|@8:mj6`>20195<0e3td:?4;?:182M77no1e=??<:0;5g>{i9:3>=7>51zJ24c`=;0;6if:l2645=903877>5<6sA;;jk5a1330>4?082we=>7:5;295~N68ol0b<<>3;3:34=zf8929;4?:0yK55`a3g;9=>4>9608yk741<=1<7?tH02eb>h6:891=49<;|l27<3?290:wE??fg9m5774283<86sa12;6=?6=9rB:?<1vb<=65`83>4}O99lm7c?=1282=20<=51854?xh6;0?h6=4>{I33bc=i9;;86<788:m56?2l3:1=vF>0gd8j446;3;2;45rn01:1`<728qC==hi;o3156<61>k0qc?<94d94?7|@8:mj6`>20195<1e3td:?48?:182M77no1e=??<:0;4g>{i9:3==7>51zJ24c`>;0;6if:l2645=90=m7p`>3847>5<6sA;;jk5a1330>4??82we=>795;295~N68ol0b<<>3;3:<4=zf892:;4?:0yK55`a3g;9=>4>9908yk741?=1<7?tH02eb>h6:891=46<;|l27<0?290:wE??fg9m5774283386sa12;5=?6=9rB:0<1vb<=66`83>4}O99lm7c?=1282==0<=518:4?xh6;0{I33bc=i9;;86<778:m56?1l3:1=vF>0gd8j446;3;2445rn01:2`<728qC==hi;o3156<611k0qc?<97d94?7|@8:mj6`>20195<>e3td:?49?:182M77no1e=??<:0;;g>{i9:3<=7>51zJ24c`?;0;6if:l2645=902m7p`>3857>5<6sA;;jk5a1330>4?>82we=>785;295~N68ol0b<<>3;3:=4=zf892;;4?:0yK55`a3g;9=>4>9808yk741>=1<7?tH02eb>h6:891=47<;|l27<1?290:wE??fg9m5774283286sa12;4=?6=9rB:1<1vb<=67`83>4}O99lm7c?=1282=<0<=518;4?xh6;0=h6=4>{I33bc=i9;;86<768:m56?0l3:1=vF>0gd8j446;3;2545rn01:3`<728qC==hi;o3156<610k0qc?<96d94?7|@8:mj6`>20195{i9:33=7>51zJ24c`0;0;6if:l2645=903m7p`>38:7>5<6sA;;jk5a1330>4?f82we=>775;295~N68ol0b<<>3;3:e4=zf8924;4?:0yK55`a3g;9=>4>9`08yk7411=1<7?tH02eb>h6:891=4o<;|l27<>?290:wE??fg9m5774283j86sa12;;=?6=9rB:i<1vb<=68`83>4}O99lm7c?=1282=d0<=518c4?xh6;02h6=4>{I33bc=i9;;86<7n8:m56??l3:1=vF>0gd8j446;3;2m45rn01:<`<728qC==hi;o3156<61hk0qc?<99d94?7|@8:mj6`>20195{i9:32=7>51zJ24c`1;0;6if:l2645=90km7p`>38;7>5<6sA;;jk5a1330>4?e82we=>765;295~N68ol0b<<>3;3:f4=zf8925;4?:0yK55`a3g;9=>4>9c08yk7410=1<7?tH02eb>h6:891=4l<;|l27j<1vb<=69`83>4}O99lm7c?=1282=g0<=518`4?xh6;03h6=4>{I33bc=i9;;86<7m8:m56?>l3:1=vF>0gd8j446;3;2n45rn01:=`<728qC==hi;o3156<61kk0qc?<98d94?7|@8:mj6`>20195{i9:3j=7>51zJ24c`i;0;6if:l2645=90hm7p`>38c7>5<6sA;;jk5a1330>4?d82we=>7n5;295~N68ol0b<<>3;3:g4=zf892m;4?:0yK55`a3g;9=>4>9b08yk741h=1<7?tH02eb>h6:891=4m<;|l27k<1vb<=6a`83>4}O99lm7c?=1282=f0<=518a4?xh6;0kh6=4>{I33bc=i9;;86<7l8:m56?fl3:1=vF>0gd8j446;3;2o45rn01:e`<728qC==hi;o3156<61jk0qc?<9`d94?7|@8:mj6`>20195{i9:3i=7>51zJ24c`j;0;6if:l2645=90im7p`>38`7>5<6sA;;jk5a1330>4?c82we=>7m5;295~N68ol0b<<>3;3:`4=zf892n;4?:0yK55`a3g;9=>4>9e08yk741k=1<7?tH02eb>h6:891=4j<;|l27l<1vb<=6b`83>4}O99lm7c?=1282=a0<=518f4?xh6;0hh6=4>{I33bc=i9;;86<7k8:m56?el3:1=vF>0gd8j446;3;2h45rn01:f`<728qC==hi;o3156<61mk0qc?<9cd94?7|@8:mj6`>20195{i9:3h=7>51zJ24c`k;0;6if:l2645=90nm7p`>38a7>5<6sA;;jk5a1330>4?b82we=>7l5;295~N68ol0b<<>3;3:a4=zf892o;4?:0yK55`a3g;9=>4>9d08yk741j=1<7?tH02eb>h6:891=4k<;|l27m<1vb<=6c`83>4}O99lm7c?=1282=`0<=518g4?xh6;0ih6=4>{I33bc=i9;;86<7j8:m56?dl3:1=vF>0gd8j446;3;2i45rn01:g`<728qC==hi;o3156<61lk0qc?<9bd94?7|@8:mj6`>20195{i9:3o=7>51zJ24c`l;0;6if:l2645=90om7p`>38f7>5<6sA;;jk5a1330>4?a82we=>7k5;295~N68ol0b<<>3;3:b4=zf892h;4?:0yK55`a3g;9=>4>9g08yk741m=1<7?tH02eb>h6:891=4h<;|l27n<1vb<=6d`82>4}O99lm7c?=1282=c0<=518d4?xh6;0nh6=4>{I33bc=i9;;86<7i8:m56?cl3:1=vF>0gd8j446;3;2j45rn01:``<728qC==hi;o3156<61ok0qc?<9ed94?7|@8:mj6`>20195<`e3td:?4k?:182M77no1e=??<:0;eg>{i9:3n=7>51zJ24c`m;0;6if:l2645=90lm7p`>38g7>5<6sA;;jk5a1330>4g782we=>7j5;295~N68ol0b<<>3;3b44=zf892i;4?:0yK55`a3g;9=>4>a108yk741l=1<7?tH02eb>h6:891=l><;|l274}O99lm7c?=1282e50<=51`24?xh6;0oh6=4>{I33bc=i9;;860gd8j446;3;j<45rn01:a`<728qC==hi;o3156<6i9k0qc?<9dd94?7|@8:mj6`>20195d6e3td:?4h?:182M77no1e=??<:0c3g>{i9:3m=7>51zJ24c`n;0;6if:l2645=9h:m7p`>38d7>4<6sA;;jk5a1330>4g682we=>7i5;295~N68ol0b<<>3;3b54=zf892j;4?:0yK55`a3g;9=>4>a008yk741o=1<7?tH02eb>h6:891=l?<;|l27<`?290:wE??fg9m577428k:86sa12;e=?6=9rB:4}O99lm7c?=1282e40<=51`34?xh6;0lh6=4>{I33bc=i9;;868:m56?al3:1=vF>0gd8j446;3;j=45rn01:b`<728qC==hi;o3156<6i8k0qc?<9gd94?7|@8:mj6`>20195d7e3td:?l>?:182M77no1e=??<:0c2g>{i9:k;=7>51zJ24c`if:l2645=9h;m7p`>3`27>5<6sA;;jk5a1330>4g582we=>o?5;295~N68ol0b<<>3;3b64=zf89j<;4?:0yK55`a3g;9=>4>a308yk74i9=1<7?tH02eb>h6:891=l<<;|l27d6?290:wE??fg9m577428k986sa12c3=?6=9rB:4}O99lm7c?=1282e70<=51`04?xh6;h:h6=4>{I33bc=i9;;860gd8j446;3;j>45rn01b4`<728qC==hi;o3156<6i;k0qc?20195d4e3td:?l??:182M77no1e=??<:0c1g>{i9:k:=7>51zJ24c`if:l2645=9h8m7p`>3`37>5<6sA;;jk5a1330>4g482we=>o>5;295~N68ol0b<<>3;3b74=zf89j=;4?:0yK55`a3g;9=>4>a208yk74i8=1<7?tH02eb>h6:891=l=<;|l27d7?290:wE??fg9m577428k886sa12c2=?6=9rB:4}O99lm7c?=1282e60<=51`14?xh6;h;h6=4>{I33bc=i9;;860gd8j446;3;j?45rn01b5`<728qC==hi;o3156<6i:k0qc?20195d5e3td:?l{i9:k9=7>51zJ24c`k4}o30e75=83;pD<>if:l2645=9h9m7p`>3`07>5<6sA;;jk5a1330>4g382we=>o=5;295~N68ol0b<<>3;3b04=zf89j>;4?:0yK55`a3g;9=>4>a508yk74i;=1<7?tH02eb>h6:891=l:<;|l27d4?290:wE??fg9m577428k?86sa12c1=?6=9rB:4}O99lm7c?=1282e10<=51`64?xh6;h8h6=4>{I33bc=i9;;860gd8j446;3;j845rn01b6`<728qC==hi;o3156<6i=k0qc?20195d2e3td:?l=?:182M77no1e=??<:0c7g>{i9:k8=7>51zJ24c`if:l2645=9h>m7p`>3`17>5<6sA;;jk5a1330>4g282we=>o<5;295~N68ol0b<<>3;3b14=zf89j?;4?:0yK55`a3g;9=>4>a408yk74i:=1<7?tH02eb>h6:891=l;<;|l27d5?290:wE??fg9m577428k>86sa12c0=?6=9rB:4}O99lm7c?=1282e00l50;3xL46an2d:><=51`74?xh6;h9h6=4>{I33bc=i9;;860gd8j446;3;j945rn01b7`<728qC==hi;o3156<6i20195d3e3td:?l:?:182M77no1e=??<:0c6g>{i9:k?=7>51zJ24c`if:l2645=9h?m7p`>3`67>5<6sA;;jk5a1330>4g182we=>o;5;295~N68ol0b<<>3;3b24=zf89j8;4?:0yK55`a3g;9=>4>a708yk74i==1<7?tH02eb>h6:891=l8<;|l27d2?290:wE??fg9m577428k=86sa12c7=?6=9rB:<1vb<=n4`83>4}O99lm7c?=1282e30<=51`44?xh6;h>h6=4>{I33bc=i9;;860gd8j446;3;j:45rn01b0`<728qC==hi;o3156<6i?k0qc?20195d0e3td:?l;?:182M77no1e=??<:0c5g>{i9:k>=7>51zJ24c`if:l2645=9h3`77>5<6sA;;jk5a1330>4g082we=>o:5;295~N68ol0b<<>3;3b34=zf89j9;4?:0yK55`a3g;9=>4>a608yk74i<=1<7?tH02eb>h6:891=l9<;|l27d3?290:wE??fg9m577428k<86sa12c6=?6=9rB:4}O99lm7c?=1282e20<=51`54?xh6;h?h6=4>{I33bc=i9;;860gd8j446;3;j;45rn01b1`<728qC==hi;o3156<6i>k0qc?20195d1e3td:?l8?:182M77no1e=??<:0c4g>{i9:k==7>51zJ24c`;0;6if:l2645=9h=m7p`>3`47>5<6sA;;jk5a1330>4g?82we=>o95;295~N68ol0b<<>3;3b<4=zf89j:;4?:0yK55`a3g;9=>4>a908yk74i?=1<7?tH02eb>h6:891=l6<;|l27d0?290:wE??fg9m577428k386sa12c5=?6=9rB:4}O99lm7c?=1282e=0<=51`:4?xh6;h{I33bc=i9;;860gd8j446;3;j445rn01b2`<728qC==hi;o3156<6i1k0qc?20195d>e3td:?l9?:182M77no1e=??<:0c;g>{i9:k<=7>51zJ24c`if:l2645=9h2m7p`>3`57>5<6sA;;jk5a1330>4g>82we=>o85;295~N68ol0b<<>3;3b=4=zf89j;;4?:0yK55`a3g;9=>4>a808yk74i>=1<7?tH02eb>h6:891=l7<;|l27d1?290:wE??fg9m577428k286sa12c4=?6=9rB:4}O99lm7c?=1282e<0<=51`;4?xh6;h=h6=4>{I33bc=i9;;860gd8j446;3;j545rn01b3`<728qC==hi;o3156<6i0k0qc?20195d?e3td:?l6?:182M77no1e=??<:0c:g>{i9:k3=7>51zJ24c`if:l2645=9h3m7p`>3`:7>5<6sA;;jk5a1330>4gf82we=>o75;295~N68ol0b<<>3;3be4=zf89j4;4?:0yK55`a3g;9=>4>a`08yk74i1=1<7?tH02eb>h6:891=lo<;|l27d>?290:wE??fg9m577428kj86sa12c;=?6=9rB:4}O99lm7c?=1282ed0<=51`c4?xh6;h2h6=4>{I33bc=i9;;860gd8j446;3;jm45rn01b<`<728qC==hi;o3156<6ihk0qc?20195dge3td:?l7?:182M77no1e=??<:0cbg>{i9:k2=7>51zJ24c`if:l2645=9hkm7p`>3`;7>5<6sA;;jk5a1330>4ge82we=>o65;295~N68ol0b<<>3;3bf4=zf89j5;4?:0yK55`a3g;9=>4>ac08yk74i0=1<7?tH02eb>h6:891=ll<;|l27d??290:wE??fg9m577428ki86sa12c:=?6=9rB:4}O99lm7c?=1282eg0<=51``4?xh6;h3h6=4>{I33bc=i9;;86l3:1=vF>0gd8j446;3;jn45rn01b=`<728qC==hi;o3156<6ikk0qc?20195dde3td:?lo?:182M77no1e=??<:0cag>{i9:kj=7>51zJ24c`if:l2645=9hhm7p`>3`c7>5<6sA;;jk5a1330>4gd82we=>on5;295~N68ol0b<<>3;3bg4=zf89jm;4?:0yK55`a3g;9=>4>ab08yk74ih=1<7?tH02eb>h6:891=lm<;|l27dg?290:wE??fg9m577428kh86sa12cb=?6=9rB:4}O99lm7c?=1282ef0<=51`a4?xh6;hkh6=4>{I33bc=i9;;860gd8j446;3;jo45rn01be`<728qC==hi;o3156<6ijk0qc?20195dee3td:?ll?:182M77no1e=??<:0c`g>{i9:ki=7>51zJ24c`if:l2645=9him7p`>3``7>5<6sA;;jk5a1330>4gc82we=>om5;295~N68ol0b<<>3;3b`4=zf89jn;4?:0yK55`a3g;9=>4>ae08yk74ik=1<7?tH02eb>h6:891=lj<;|l27dd?290:wE??fg9m577428ko86sa12ca=?6=9rB:4}O99lm7c?=1282ea0<=51`f4?xh6;hhh6=4>{I33bc=i9;;860gd8j446;3;jh45rn01bf`<728qC==hi;o3156<6imk0qc?20195dbe3td:?lm?:182M77no1e=??<:0cgg>{i9:kh=7>51zJ24c`if:l2645=9hnm7p`>3`a7>5<6sA;;jk5a1330>4gb82we=>ol5;295~N68ol0b<<>3;3ba4=zf89jo;4?:0yK55`a3g;9=>4>ad08yk74ij=1<7?tH02eb>h6:891=lk<;|l27de?290:wE??fg9m577428kn86sa12c`=?6=9rB:4}O99lm7c?=1282e`0<=51`g4?xh6;hih6=4>{I33bc=i9;;860gd8j446;3;ji45rn01bg`<728qC==hi;o3156<6ilk0qc?20195dce3td:?lj?:182M77no1e=??<:0cfg>{i9:ko=7>51zJ24c`if:l2645=9hom7p`>3`f7>5<6sA;;jk5a1330>4ga82we=>ok5;295~N68ol0b<<>3;3bb4=zf89jh;4?:0yK55`a3g;9=>4>ag08yk74im=1<7?tH02eb>h6:891=lh<;|l27db?290:wE??fg9m577428km86sa12cg=?6=9rB:4}O99lm7c?=1282ec0<=51`d4?xh6;hnh6=4>{I33bc=i9;;860gd8j446;3;jj45rn01b``<728qC==hi;o3156<6iok0qc?20195d`e3td:?lk?:182M77no1e=??<:0ceg>{i9:kn=7>51zJ24c`if:l2645=9hlm7p`>3`g7>5<6sA;;jk5a1330>4d782we=>oj5;295~N68ol0b<<>3;3a44=zf89ji;4?:0yK55`a3g;9=>4>b108yk74il=1<7?tH02eb>h6:891=o><;|l27dc?290:wE??fg9m577428h;86sa12cf=?6=9rB:4}O99lm7c?=1282f50<=51c24?xh6;hoh6=4>{I33bc=i9;;860gd8j446;3;i<45rn01ba`<728qC==hi;o3156<6j9k0qc?20195g6e3td:?lh?:182M77no1e=??<:0`3g>{i9:km=7>51zJ24c`if:l2645=9k:m7p`>3`d7>5<6sA;;jk5a1330>4d682we=>oi5;295~N68ol0b<<>3;3a54=zf89jj;4?:0yK55`a3g;9=>4>b008yk74io=1<7?tH02eb>h6:891=o?<;|l27d`?290:wE??fg9m577428h:86sa12ce=?6=9rB:4}O99lm7c?=1282f40<=51c34?xh6;hlh6=4>{I33bc=i9;;868:m56gal3:1=vF>0gd8j446;3;i=45rn01bb`<728qC==hi;o3156<6j8k0qc?20195g7e3td:?o>?:182M77no1e=??<:0`2g>{i9:h;=7>51zJ24c`if:l2645=9k;m7p`>3c27>5<6sA;;jk5a1330>4d582we=>l?5;295~N68ol0b<<>3;3a64=zf89i<;4?:0yK55`a3g;9=>4>b308yk74j9=1<7?tH02eb>h6:891=o<<;|l27g6?290:wE??fg9m577428h986sa12`3=?6=9rB:4}O99lm7c?=1282f70<=51c04?xh6;k:h6=4>{I33bc=i9;;860gd8j446;3;i>45rn01a4`<728qC==hi;o3156<6j;k0qc?20195g4e3td:?o??:182M77no1e=??<:0`1g>{i9:h:=7>51zJ24c`if:l2645=9k8m7p`>3c37>5<6sA;;jk5a1330>4d482we=>l>5;295~N68ol0b<<>3;3a74=zf89i=;4?:0yK55`a3g;9=>4>b208yk74j8=1<7?tH02eb>h6:891=o=<;|l27g7?290:wE??fg9m577428h886sa12`2=?6=9rB:4}O99lm7c?=1282f60<=51c14?xh6;k;h6=4>{I33bc=i9;;860gd8j446;3;i?45rn01a5`<728qC==hi;o3156<6j:k0qc?20195g5e3td:?o{i9:h9=7>51zJ24c`k4}o30f75=83;pD<>if:l2645=9k9m7p`>3c07>5<6sA;;jk5a1330>4d382we=>l=5;295~N68ol0b<<>3;3a04=zf89i>;4?:0yK55`a3g;9=>4>b508yk74j;=1<7?tH02eb>h6:891=o:<;|l27g4?290:wE??fg9m577428h?86sa12`1=?6=9rB:4}O99lm7c?=1282f10<=51c64?xh6;k8h6=4>{I33bc=i9;;860gd8j446;3;i845rn01a6`<728qC==hi;o3156<6j=k0qc?20195g2e3td:?o=?:182M77no1e=??<:0`7g>{i9:h8=7>51zJ24c`if:l2645=9k>m7p`>3c17>5<6sA;;jk5a1330>4d282we=>l<5;295~N68ol0b<<>3;3a14=zf89i?;4?:0yK55`a3g;9=>4>b408yk74j:=1<7?tH02eb>h6:891=o;<;|l27g5?290:wE??fg9m577428h>86sa12`0=?6=9rB:4}O99lm7c?=1282f00l50;3xL46an2d:><=51c74?xh6;k9h6=4>{I33bc=i9;;860gd8j446;3;i945rn01a7`<728qC==hi;o3156<6j20195g3e3td:?o:?:182M77no1e=??<:0`6g>{i9:h?=7>51zJ24c`if:l2645=9k?m7p`>3c67>5<6sA;;jk5a1330>4d182we=>l;5;295~N68ol0b<<>3;3a24=zf89i8;4?:0yK55`a3g;9=>4>b708yk74j==1<7?tH02eb>h6:891=o8<;|l27g2?290:wE??fg9m577428h=86sa12`7=?6=9rB:<1vb<=m4`83>4}O99lm7c?=1282f30<=51c44?xh6;k>h6=4>{I33bc=i9;;860gd8j446;3;i:45rn01a0`<728qC==hi;o3156<6j?k0qc?20195g0e3td:?o;?:182M77no1e=??<:0`5g>{i9:h>=7>51zJ24c`if:l2645=9k3c77>5<6sA;;jk5a1330>4d082we=>l:5;295~N68ol0b<<>3;3a34=zf89i9;4?:0yK55`a3g;9=>4>b608yk74j<=1<7?tH02eb>h6:891=o9<;|l27g3?290:wE??fg9m577428h<86sa12`6=?6=9rB:4}O99lm7c?=1282f20<=51c54?xh6;k?h6=4>{I33bc=i9;;860gd8j446;3;i;45rn01a1`<728qC==hi;o3156<6j>k0qc?20195g1e3td:?o8?:182M77no1e=??<:0`4g>{i9:h==7>51zJ24c`;0;6if:l2645=9k=m7p`>3c47>5<6sA;;jk5a1330>4d?82we=>l95;295~N68ol0b<<>3;3a<4=zf89i:;4?:0yK55`a3g;9=>4>b908yk74j?=1<7?tH02eb>h6:891=o6<;|l27g0?290:wE??fg9m577428h386sa12`5=?6=9rB:4}O99lm7c?=1282f=0<=51c:4?xh6;k{I33bc=i9;;860gd8j446;3;i445rn01a2`<728qC==hi;o3156<6j1k0qc?20195g>e3td:?o9?:182M77no1e=??<:0`;g>{i9:h<=7>51zJ24c`if:l2645=9k2m7p`>3c57>5<6sA;;jk5a1330>4d>82we=>l85;295~N68ol0b<<>3;3a=4=zf89i;;4?:0yK55`a3g;9=>4>b808yk74j>=1<7?tH02eb>h6:891=o7<;|l27g1?290:wE??fg9m577428h286sa12`4=?6=9rB:4}O99lm7c?=1282f<0<=51c;4?xh6;k=h6=4>{I33bc=i9;;860gd8j446;3;i545rn01a3`<728qC==hi;o3156<6j0k0qc?20195g?e3td:?o6?:182M77no1e=??<:0`:g>{i9:h3=7>51zJ24c`if:l2645=9k3m7p`>3c:7>5<6sA;;jk5a1330>4df82we=>l75;295~N68ol0b<<>3;3ae4=zf89i4;4?:0yK55`a3g;9=>4>b`08yk74j1=1<7?tH02eb>h6:891=oo<;|l27g>?290:wE??fg9m577428hj86sa12`;=?6=9rB:4}O99lm7c?=1282fd0<=51cc4?xh6;k2h6=4>{I33bc=i9;;860gd8j446;3;im45rn01a<`<728qC==hi;o3156<6jhk0qc?20195gge3td:?o7?:182M77no1e=??<:0`bg>{i9:h2=7>51zJ24c`if:l2645=9kkm7p`>3c;7>5<6sA;;jk5a1330>4de82we=>l65;295~N68ol0b<<>3;3af4=zf89i5;4?:0yK55`a3g;9=>4>bc08yk74j0=1<7?tH02eb>h6:891=ol<;|l27g??290:wE??fg9m577428hi86sa12`:=?6=9rB:4}O99lm7c?=1282fg0<=51c`4?xh6;k3h6=4>{I33bc=i9;;86l3:1=vF>0gd8j446;3;in45rn01a=`<728qC==hi;o3156<6jkk0qc?20195gde3td:?oo?:182M77no1e=??<:0`ag>{i9:hj=7>51zJ24c`if:l2645=9khm7p`>3cc7>5<6sA;;jk5a1330>4dd82we=>ln5;295~N68ol0b<<>3;3ag4=zf89im;4?:0yK55`a3g;9=>4>bb08yk74jh=1<7?tH02eb>h6:891=om<;|l27gg?290:wE??fg9m577428hh86sa12`b=?6=9rB:4}O99lm7c?=1282ff0<=51ca4?xh6;kkh6=4>{I33bc=i9;;860gd8j446;3;io45rn01ae`<728qC==hi;o3156<6jjk0qc?20195gee3td:?ol?:182M77no1e=??<:0``g>{i9:hi=7>51zJ24c`if:l2645=9kim7p`>3c`7>5<6sA;;jk5a1330>4dc82we=>lm5;295~N68ol0b<<>3;3a`4=zf89in;4?:0yK55`a3g;9=>4>be08yk74jk=1<7?tH02eb>h6:891=oj<;|l27gd?290:wE??fg9m577428ho86sa12`a=?6=9rB:4}O99lm7c?=1282fa0<=51cf4?xh6;khh6=4>{I33bc=i9;;860gd8j446;3;ih45rn01af`<728qC==hi;o3156<6jmk0qc?20195gbe3td:?om?:182M77no1e=??<:0`gg>{i9:hh=7>51zJ24c`if:l2645=9knm7p`>3ca7>5<6sA;;jk5a1330>4db82we=>ll5;295~N68ol0b<<>3;3aa4=zf89io;4?:0yK55`a3g;9=>4>bd08yk74jj=1<7?tH02eb>h6:891=ok<;|l27ge?290:wE??fg9m577428hn86sa12``=?6=9rB:4}O99lm7c?=1282f`0<=51cg4?xh6;kih6=4>{I33bc=i9;;860gd8j446;3;ii45rn01ag`<728qC==hi;o3156<6jlk0qc?20195gce3td:?oj?:182M77no1e=??<:0`fg>{i9:ho=7>51zJ24c`if:l2645=9kom7p`>3cf7>5<6sA;;jk5a1330>4da82we=>lk5;295~N68ol0b<<>3;3ab4=zf89ih;4?:0yK55`a3g;9=>4>bg08yk74jm=1<7?tH02eb>h6:891=oh<;|l27gb?290:wE??fg9m577428hm86sa12`g=?6=9rB:4}O99lm7c?=1282fc0<=51cd4?xh6;knh6=4>{I33bc=i9;;860gd8j446;3;ij45rn01a``<728qC==hi;o3156<6jok0qc?20195g`e3td:?ok?:182M77no1e=??<:0`eg>{i9:hn=7>51zJ24c`if:l2645=9klm7p`>3cg7>5<6sA;;jk5a1330>4e782we=>lj5;295~N68ol0b<<>3;3`44=zf89ii;4?:0yK55`a3g;9=>4>c108yk74jl=1<7?tH02eb>h6:891=n><;|l27gc?290:wE??fg9m577428i;86sa12`f=?6=9rB:4}O99lm7c?=1282g50<=51b24?xh6;koh6=4>{I33bc=i9;;860gd8j446;3;h<45rn01aa`<728qC==hi;o3156<6k9k0qc?20195f6e3td:?oh?:182M77no1e=??<:0a3g>{i9:hm=7>51zJ24c`if:l2645=9j:m7p`>3cd7>5<6sA;;jk5a1330>4e682we=>li5;295~N68ol0b<<>3;3`54=zf89ij;4?:0yK55`a3g;9=>4>c008yk74jo=1<7?tH02eb>h6:891=n?<;|l27g`?290:wE??fg9m577428i:86sa12`e=?6=9rB:4}O99lm7c?=1282g40<=51b34?xh6;klh6=4>{I33bc=i9;;868:m56dal3:1=vF>0gd8j446;3;h=45rn01ab`<728qC==hi;o3156<6k8k0qc?20195f7e3td:?n>?:182M77no1e=??<:0a2g>{i9:i;=7>51zJ24c`if:l2645=9j;m7p`>3b27>5<6sA;;jk5a1330>4e582we=>m?5;295~N68ol0b<<>3;3`64=zf89h<;4?:0yK55`a3g;9=>4>c308yk74k9=1<7?tH02eb>h6:891=n<<;|l27f6?290:wE??fg9m577428i986sa12a3=?6=9rB:4}O99lm7c?=1282g70<=51b04?xh6;j:h6=4>{I33bc=i9;;860gd8j446;3;h>45rn01`4`<728qC==hi;o3156<6k;k0qc?20195f4e3td:?n??:182M77no1e=??<:0a1g>{i9:i:=7>51zJ24c`if:l2645=9j8m7p`>3b37>5<6sA;;jk5a1330>4e482we=>m>5;295~N68ol0b<<>3;3`74=zf89h=;4?:0yK55`a3g;9=>4>c208yk74k8=1<7?tH02eb>h6:891=n=<;|l27f7?290:wE??fg9m577428i886sa12a2=?6=9rB:4}O99lm7c?=1282g60<=51b14?xh6;j;h6=4>{I33bc=i9;;860gd8j446;3;h?45rn01`5`<728qC==hi;o3156<6k:k0qc?20195f5e3td:?n{i9:i9=7>51zJ24c`k4}o30g75=83;pD<>if:l2645=9j9m7p`>3b07>5<6sA;;jk5a1330>4e382we=>m=5;295~N68ol0b<<>3;3`04=zf89h>;4?:0yK55`a3g;9=>4>c508yk74k;=1<7?tH02eb>h6:891=n:<;|l27f4?290:wE??fg9m577428i?86sa12a1=?6=9rB:4}O99lm7c?=1282g10<=51b64?xh6;j8h6=4>{I33bc=i9;;860gd8j446;3;h845rn01`6`<728qC==hi;o3156<6k=k0qc?20195f2e3td:?n=?:182M77no1e=??<:0a7g>{i9:i8=7>51zJ24c`if:l2645=9j>m7p`>3b17>5<6sA;;jk5a1330>4e282we=>m<5;295~N68ol0b<<>3;3`14=zf89h?;4?:0yK55`a3g;9=>4>c408yk74k:=1<7?tH02eb>h6:891=n;<;|l27f5?290:wE??fg9m577428i>86sa12a0=?6=9rB:4}O99lm7c?=1282g00l50;3xL46an2d:><=51b74?xh6;j9h6=4>{I33bc=i9;;860gd8j446;3;h945rn01`7`<728qC==hi;o3156<6k20195f3e3td:?n:?:182M77no1e=??<:0a6g>{i9:i?=7>51zJ24c`if:l2645=9j?m7p`>3b67>5<6sA;;jk5a1330>4e182we=>m;5;295~N68ol0b<<>3;3`24=zf89h8;4?:0yK55`a3g;9=>4>c708yk74k==1<7?tH02eb>h6:891=n8<;|l27f2?290:wE??fg9m577428i=86sa12a7=?6=9rB:<1vb<=l4`83>4}O99lm7c?=1282g30<=51b44?xh6;j>h6=4>{I33bc=i9;;860gd8j446;3;h:45rn01`0`<728qC==hi;o3156<6k?k0qc?20195f0e3td:?n;?:182M77no1e=??<:0a5g>{i9:i>=7>51zJ24c`if:l2645=9j3b77>5<6sA;;jk5a1330>4e082we=>m:5;295~N68ol0b<<>3;3`34=zf89h9;4?:0yK55`a3g;9=>4>c608yk74k<=1=7?tH02eb>h6:891=n9<;|l27f3?280:wE??fg9m577428i<86sa12a6=?7=9rB:4}O99lm7c?=1282g20<=51b54?xh6;j?h6<4>{I33bc=i9;;860gd8j446;3;h;45rn01`1`<728qC==hi;o3156<6k>k0qc?20195f1e3td:?n8?:182M77no1e=??<:0a4g>{i9:i==7>51zJ24c`;0;6if:l2645=9j=m7p`>3b47>5<6sA;;jk5a1330>4e?82we=>m95;295~N68ol0b<<>3;3`<4=zf89h:;4?:0yK55`a3g;9=>4>c908yk74k?=1<7?tH02eb>h6:891=n6<;|l27f0?290:wE??fg9m577428i386sa12a5=?6=9rB:4}O99lm7c?=1282g=0<=51b:4?xh6;j{I33bc=i9;;860gd8j446;3;h445rn01`2`<728qC==hi;o3156<6k1k0qc?20195f>e3td:?n9?:182M77no1e=??<:0a;g>{i9:i<=7>51zJ24c`if:l2645=9j2m7p`>3b57>5<6sA;;jk5a1330>4e>82we=>m85;295~N68ol0b<<>3;3`=4=zf89h;;4?:0yK55`a3g;9=>4>c808yk74k>=1<7?tH02eb>h6:891=n7<;|l27f1?290:wE??fg9m577428i286sa12a4=?6=9rB:4}O99lm7c?=1282g<0<=51b;4?xh6;j=h6=4>{I33bc=i9;;860gd8j446;3;h545rn01`3`<728qC==hi;o3156<6k0k0qc?20195f?e3td:?n6?:182M77no1e=??<:0a:g>{i9:i3=7>51zJ24c`if:l2645=9j3m7p`>3b:7>5<6sA;;jk5a1330>4ef82we=>m75;295~N68ol0b<<>3;3`e4=zf89h4;4?:0yK55`a3g;9=>4>c`08yk74k1=1<7?tH02eb>h6:891=no<;|l27f>?290:wE??fg9m577428ij86sa12a;=?6=9rB:4}O99lm7c?=1282gd0<=51bc4?xh6;j2h6=4>{I33bc=i9;;860gd8j446;3;hm45rn01`<`<728qC==hi;o3156<6khk0qc?20195fge3td:?n7?:182M77no1e=??<:0abg>{i9:i2=7>51zJ24c`if:l2645=9jkm7p`>3b;7>5<6sA;;jk5a1330>4ee82we=>m65;295~N68ol0b<<>3;3`f4=zf89h5;4?:0yK55`a3g;9=>4>cc08yk74k0=1<7?tH02eb>h6:891=nl<;|l27f??290:wE??fg9m577428ii86sa12a:=?6=9rB:4}O99lm7c?=1282gg0<=51b`4?xh6;j3h6=4>{I33bc=i9;;86l3:1=vF>0gd8j446;3;hn45rn01`=`<728qC==hi;o3156<6kkk0qc?20195fde3td:?no?:182M77no1e=??<:0aag>{i9:ij=7>51zJ24c`if:l2645=9jhm7p`>3bc7>5<6sA;;jk5a1330>4ed82we=>mn5;295~N68ol0b<<>3;3`g4=zf89hm;4?:0yK55`a3g;9=>4>cb08yk74kh=1<7?tH02eb>h6:891=nm<;|l27fg?290:wE??fg9m577428ih86sa12ab=?6=9rB:4}O99lm7c?=1282gf0<=51ba4?xh6;jkh6=4>{I33bc=i9;;860gd8j446;3;ho45rn01`e`<728qC==hi;o3156<6kjk0qc?20195fee3td:?nl?:182M77no1e=??<:0a`g>{i9:ii=7>51zJ24c`if:l2645=9jim7p`>3b`7>5<6sA;;jk5a1330>4ec82we=>mm5;295~N68ol0b<<>3;3``4=zf89hn;4?:0yK55`a3g;9=>4>ce08yk74kk=1<7?tH02eb>h6:891=nj<;|l27fd?290:wE??fg9m577428io86sa12aa=?6=9rB:4}O99lm7c?=1282ga0<=51bf4?xh6;jhh6=4>{I33bc=i9;;860gd8j446;3;hh45rn01`f`<728qC==hi;o3156<6kmk0qc?20195fbe3td:?nm?:182M77no1e=??<:0agg>{i9:ih=7>51zJ24c`if:l2645=9jnm7p`>3ba7>5<6sA;;jk5a1330>4eb82we=>ml5;295~N68ol0b<<>3;3`a4=zf89ho;4?:0yK55`a3g;9=>4>cd08yk74kj=1<7?tH02eb>h6:891=nk<;|l27fe?290:wE??fg9m577428in86sa12a`=?6=9rB:4}O99lm7c?=1282g`0<=51bg4?xh6;jih6=4>{I33bc=i9;;860gd8j446;3;hi45rn01`g`<728qC==hi;o3156<6klk0qc?20195fce3td:?nj?:182M77no1e=??<:0afg>{i9:io=7>51zJ24c`if:l2645=9jom7p`>3bf7>5<6sA;;jk5a1330>4ea82we=>mk5;295~N68ol0b<<>3;3`b4=zf89hh;4?:0yK55`a3g;9=>4>cg08yk74km=1<7?tH02eb>h6:891=nh<;|l27fb?290:wE??fg9m577428im86sa12ag=?6=9rB:4}O99lm7c?=1282gc0<=51bd4?xh6;jnh6=4>{I33bc=i9;;860gd8j446;3;hj45rn01```<728qC==hi;o3156<6kok0qc?20195f`e3td:?nk?:182M77no1e=??<:0aeg>{i9:in=7>51zJ24c`if:l2645=9jlm7p`>3bg7>5<6sA;;jk5a1330>4b782we=>mj5;295~N68ol0b<<>3;3g44=zf89hi;4?:0yK55`a3g;9=>4>d108yk74kl=1<7?tH02eb>h6:891=i><;|l27fc?290:wE??fg9m577428n;86sa12af=?6=9rB:4}O99lm7c?=1282`50<=51e24?xh6;joh6=4>{I33bc=i9;;860gd8j446;3;o<45rn01`a`<728qC==hi;o3156<6l9k0qc?20195a6e3td:?nh?:182M77no1e=??<:0f3g>{i9:im=7>51zJ24c`if:l2645=9m:m7p`>3bd7>5<6sA;;jk5a1330>4b682we=>mi5;295~N68ol0b<<>3;3g54=zf89hj;4?:0yK55`a3g;9=>4>d008yk74ko=1<7?tH02eb>h6:891=i?<;|l27f`?290:wE??fg9m577428n:86sa12ae=?6=9rB:4}O99lm7c?=1282`40<=51e34?xh6;jlh6=4>{I33bc=i9;;868:m56eal3:1=vF>0gd8j446;3;o=45rn01`b`<728qC==hi;o3156<6l8k0qc?20195a7e3td:?i>?:182M77no1e=??<:0f2g>{i9:n;=7>51zJ24c`if:l2645=9m;m7p`>3e27>5<6sA;;jk5a1330>4b582we=>j?5;295~N68ol0b<<>3;3g64=zf89o<;4?:0yK55`a3g;9=>4>d308yk74l9=1<7?tH02eb>h6:891=i<<;|l27a6?290:wE??fg9m577428n986sa12f3=?6=9rB:4}O99lm7c?=1282`70<=51e04?xh6;m:h6=4>{I33bc=i9;;860gd8j446;3;o>45rn01g4`<728qC==hi;o3156<6l;k0qc?20195a4e3td:?i??:182M77no1e=??<:0f1g>{i9:n:=7>51zJ24c`if:l2645=9m8m7p`>3e37>5<6sA;;jk5a1330>4b482we=>j>5;295~N68ol0b<<>3;3g74=zf89o=;4?:0yK55`a3g;9=>4>d208yk74l8=1<7?tH02eb>h6:891=i=<;|l27a7?290:wE??fg9m577428n886sa12f2=?6=9rB:4}O99lm7c?=1282`60<=51e14?xh6;m;h6=4>{I33bc=i9;;860gd8j446;3;o?45rn01g5`<728qC==hi;o3156<6l:k0qc?20195a5e3td:?i{i9:n9=7>51zJ24c`k4}o30`75=83;pD<>if:l2645=9m9m7p`>3e07>5<6sA;;jk5a1330>4b382we=>j=5;295~N68ol0b<<>3;3g04=zf89o>;4?:0yK55`a3g;9=>4>d508yk74l;=1<7?tH02eb>h6:891=i:<;|l27a4?290:wE??fg9m577428n?86sa12f1=?6=9rB:4}O99lm7c?=1282`10<=51e64?xh6;m8h6=4>{I33bc=i9;;860gd8j446;3;o845rn01g6`<728qC==hi;o3156<6l=k0qc?20195a2e3td:?i=?:182M77no1e=??<:0f7g>{i9:n8=7>51zJ24c`if:l2645=9m>m7p`>3e17>5<6sA;;jk5a1330>4b282we=>j<5;295~N68ol0b<<>3;3g14=zf89o?;4?:0yK55`a3g;9=>4>d408yk74l:=1<7?tH02eb>h6:891=i;<;|l27a5?290:wE??fg9m577428n>86sa12f0=?6=9rB:4}O99lm7c?=1282`00l50;3xL46an2d:><=51e74?xh6;m9h6=4>{I33bc=i9;;860gd8j446;3;o945rn01g7`<728qC==hi;o3156<6l20195a3e3td:?i:?:182M77no1e=??<:0f6g>{i9:n?=7>51zJ24c`if:l2645=9m?m7p`>3e67>5<6sA;;jk5a1330>4b182we=>j;5;295~N68ol0b<<>3;3g24=zf89o8;4?:0yK55`a3g;9=>4>d708yk74l==1<7?tH02eb>h6:891=i8<;|l27a2?290:wE??fg9m577428n=86sa12f7=?6=9rB:<1vb<=k4`83>4}O99lm7c?=1282`30<=51e44?xh6;m>h6=4>{I33bc=i9;;860gd8j446;3;o:45rn01g0`<728qC==hi;o3156<6l?k0qc?20195a0e3td:?i;?:182M77no1e=??<:0f5g>{i9:n>=7>51zJ24c`if:l2645=9m3e77>5<6sA;;jk5a1330>4b082we=>j:5;295~N68ol0b<<>3;3g34=zf89o9;4?:0yK55`a3g;9=>4>d608yk74l<=1<7?tH02eb>h6:891=i9<;|l27a3?290:wE??fg9m577428n<86sa12f6=?6=9rB:4}O99lm7c?=1282`20<=51e54?xh6;m?h6=4>{I33bc=i9;;860gd8j446;3;o;45rn01g1`<728qC==hi;o3156<6l>k0qc?20195a1e3td:?i8?:182M77no1e=??<:0f4g>{i9:n==7>51zJ24c`;0;6if:l2645=9m=m7p`>3e47>5<6sA;;jk5a1330>4b?82we=>j95;295~N68ol0b<<>3;3g<4=zf89o:;4?:0yK55`a3g;9=>4>d908yk74l?=1<7?tH02eb>h6:891=i6<;|l27a0?290:wE??fg9m577428n386sa12f5=?6=9rB:4}O99lm7c?=1282`=0<=51e:4?xh6;m{I33bc=i9;;860gd8j446;3;o445rn01g2`<728qC==hi;o3156<6l1k0qc?20195a>e3td:?i9?:182M77no1e=??<:0f;g>{i9:n<=7>51zJ24c`if:l2645=9m2m7p`>3e57>5<6sA;;jk5a1330>4b>82we=>j85;295~N68ol0b<<>3;3g=4=zf89o;;4?:0yK55`a3g;9=>4>d808yk74l>=1<7?tH02eb>h6:891=i7<;|l27a1?290:wE??fg9m577428n286sa12f4=?6=9rB:4}O99lm7c?=1282`<0<=51e;4?xh6;m=h6=4>{I33bc=i9;;860gd8j446;3;o545rn01g3`<728qC==hi;o3156<6l0k0qc?20195a?e3td:?i6?:182M77no1e=??<:0f:g>{i9:n3=7>51zJ24c`if:l2645=9m3m7p`>3e:7>5<6sA;;jk5a1330>4bf82we=>j75;295~N68ol0b<<>3;3ge4=zf89o4;4?:0yK55`a3g;9=>4>d`08yk74l1=1<7?tH02eb>h6:891=io<;|l27a>?290:wE??fg9m577428nj86sa12f;=?6=9rB:4}O99lm7c?=1282`d0<=51ec4?xh6;m2h6=4>{I33bc=i9;;860gd8j446;3;om45rn01g<`<728qC==hi;o3156<6lhk0qc?20195age3td:?i7?:182M77no1e=??<:0fbg>{i9:n2=7>51zJ24c`if:l2645=9mkm7p`>3e;7>5<6sA;;jk5a1330>4be82we=>j65;295~N68ol0b<<>3;3gf4=zf89o5;4?:0yK55`a3g;9=>4>dc08yk74l0=1<7?tH02eb>h6:891=il<;|l27a??290:wE??fg9m577428ni86sa12f:=?6=9rB:4}O99lm7c?=1282`g0<=51e`4?xh6;m3h6=4>{I33bc=i9;;86l3:1=vF>0gd8j446;3;on45rn01g=`<728qC==hi;o3156<6lkk0qc?20195ade3td:?io?:182M77no1e=??<:0fag>{i9:nj=7>51zJ24c`if:l2645=9mhm7p`>3ec7>5<6sA;;jk5a1330>4bd82we=>jn5;295~N68ol0b<<>3;3gg4=zf89om;4?:0yK55`a3g;9=>4>db08yk74lh=1<7?tH02eb>h6:891=im<;|l27ag?290:wE??fg9m577428nh86sa12fb=?6=9rB:4}O99lm7c?=1282`f0<=51ea4?xh6;mkh6=4>{I33bc=i9;;860gd8j446;3;oo45rn01ge`<728qC==hi;o3156<6ljk0qc?20195aee3td:?il?:182M77no1e=??<:0f`g>{i9:ni=7>51zJ24c`if:l2645=9mim7p`>3e`7>5<6sA;;jk5a1330>4bc82we=>jm5;295~N68ol0b<<>3;3g`4=zf89on;4?:0yK55`a3g;9=>4>de08yk74lk=1<7?tH02eb>h6:891=ij<;|l27ad?290:wE??fg9m577428no86sa12fa=?6=9rB:4}O99lm7c?=1282`a0<=51ef4?xh6;mhh6=4>{I33bc=i9;;860gd8j446;3;oh45rn01gf`<728qC==hi;o3156<6lmk0qc?20195abe3td:?im?:182M77no1e=??<:0fgg>{i9:nh=7>51zJ24c`if:l2645=9mnm7p`>3ea7>5<6sA;;jk5a1330>4bb82we=>jl5;295~N68ol0b<<>3;3ga4=zf89oo;4?:0yK55`a3g;9=>4>dd08yk74lj=1<7?tH02eb>h6:891=ik<;|l27ae?290:wE??fg9m577428nn86sa12f`=?6=9rB:4}O99lm7c?=1282``0<=51eg4?xh6;mih6=4>{I33bc=i9;;860gd8j446;3;oi45rn01gg`<728qC==hi;o3156<6llk0qc?20195ace3td:?ij?:182M77no1e=??<:0ffg>{i9:no=7>51zJ24c`if:l2645=9mom7p`>3ef7>5<6sA;;jk5a1330>4ba82we=>jk5;295~N68ol0b<<>3;3gb4=zf89oh;4?:0yK55`a3g;9=>4>dg08yk74lm=1<7?tH02eb>h6:891=ih<;|l27ab?290:wE??fg9m577428nm86sa12fg=?6=9rB:4}O99lm7c?=1282`c0<=51ed4?xh6;mnh6=4>{I33bc=i9;;860gd8j446;3;oj45rn01g``<728qC==hi;o3156<6lok0qc?20195a`e3td:?ik?:182M77no1e=??<:0feg>{i9:nn=7>51zJ24c`if:l2645=9mlm7p`>3eg7>5<6sA;;jk5a1330>4c782we=>jj5;295~N68ol0b<<>3;3f44=zf89oi;4?:0yK55`a3g;9=>4>e108yk74ll=1<7?tH02eb>h6:891=h><;|l27ac?290:wE??fg9m577428o;86sa12ff=?6=9rB:4}O99lm7c?=1282a50<=51d24?xh6;moh6=4>{I33bc=i9;;860gd8j446;3;n<45rn01ga`<728qC==hi;o3156<6m9k0qc?20195`6e3td:?ih?:182M77no1e=??<:0g3g>{i9:nm=7>51zJ24c`if:l2645=9l:m7p`>3ed7>5<6sA;;jk5a1330>4c682we=>ji5;295~N68ol0b<<>3;3f54=zf89oj;4?:0yK55`a3g;9=>4>e008yk74lo=1<7?tH02eb>h6:891=h?<;|l27a`?290:wE??fg9m577428o:86sa12fe=?6=9rB:4}O99lm7c?=1282a40<=51d34?xh6;mlh6=4>{I33bc=i9;;868:m56bal3:1=vF>0gd8j446;3;n=45rn01gb`<728qC==hi;o3156<6m8k0qc?20195`7e3td:?h>?:182M77no1e=??<:0g2g>{i9:o;=7>51zJ24c`if:l2645=9l;m7p`>3d27>5<6sA;;jk5a1330>4c582we=>k?5;295~N68ol0b<<>3;3f64=zf89n<;4?:0yK55`a3g;9=>4>e308yk74m9=1<7?tH02eb>h6:891=h<<;|l27`6?290:wE??fg9m577428o986sa12g3=?6=9rB:4}O99lm7c?=1282a70<=51d04?xh6;l:h6=4>{I33bc=i9;;860gd8j446;3;n>45rn01f4`<728qC==hi;o3156<6m;k0qc?20195`4e3td:?h??:182M77no1e=??<:0g1g>{i9:o:=7>51zJ24c`if:l2645=9l8m7p`>3d37>5<6sA;;jk5a1330>4c482we=>k>5;295~N68ol0b<<>3;3f74=zf89n=;4?:0yK55`a3g;9=>4>e208yk74m8=1<7?tH02eb>h6:891=h=<;|l27`7?290:wE??fg9m577428o886sa12g2=?6=9rB:4}O99lm7c?=1282a60<=51d14?xh6;l;h6=4>{I33bc=i9;;860gd8j446;3;n?45rn01f5`<728qC==hi;o3156<6m:k0qc?20195`5e3td:?h{i9:o9=7>51zJ24c`k4}o30a75=83;pD<>if:l2645=9l9m7p`>3d07>5<6sA;;jk5a1330>4c382we=>k=5;295~N68ol0b<<>3;3f04=zf89n>;4?:0yK55`a3g;9=>4>e508yk74m;=1<7?tH02eb>h6:891=h:<;|l27`4?290:wE??fg9m577428o?86sa12g1=?6=9rB:4}O99lm7c?=1282a10<=51d64?xh6;l8h6=4>{I33bc=i9;;860gd8j446;3;n845rn01f6`<728qC==hi;o3156<6m=k0qc?20195`2e3td:?h=?:182M77no1e=??<:0g7g>{i9:o8=7>51zJ24c`if:l2645=9l>m7p`>3d17>5<6sA;;jk5a1330>4c282we=>k<5;295~N68ol0b<<>3;3f14=zf89n?;4?:0yK55`a3g;9=>4>e408yk74m:=1<7?tH02eb>h6:891=h;<;|l27`5?280:wE??fg9m577428o>86sa12g0=?6=9rB:4}O99lm7c?=1282a00l50;3xL46an2d:><=51d74?xh6;l9h6=4>{I33bc=i9;;860gd8j446;3;n945rn01f7`<728qC==hi;o3156<6m20195`3e3td:?h:?:182M77no1e=??<:0g6g>{i9:o?=7>51zJ24c`if:l2645=9l?m7p`>3d67>5<6sA;;jk5a1330>4c182we=>k;5;295~N68ol0b<<>3;3f24=zf89n8;4?:0yK55`a3g;9=>4>e708yk74m==1<7?tH02eb>h6:891=h8<;|l27`2?290:wE??fg9m577428o=86sa12g7=?6=9rB:<1vb<=j4`83>4}O99lm7c?=1282a30<=51d44?xh6;l>h6=4>{I33bc=i9;;860gd8j446;3;n:45rn01f0`<728qC==hi;o3156<6m?k0qc?20195`0e3td:?h;?:082M77no1e=??<:0g5g>{i9:o>=7?51zJ24c`if:l2645=9l3d77>4<6sA;;jk5a1330>4c082we=>k:5;395~N68ol0b<<>3;3f34=zf89n9;4>:0yK55`a3g;9=>4>e608yk74m<=1<7?tH02eb>h6:891=h9<;|l27`3?290:wE??fg9m577428o<86sa12g6=?6=9rB:4}O99lm7c?=1282a20<=51d54?xh6;l?h6=4>{I33bc=i9;;860gd8j446;3;n;45rn01f1`<728qC==hi;o3156<6m>k0qc?20195`1e3td:?h8?:182M77no1e=??<:0g4g>{i9:o==7>51zJ24c`;0;6if:l2645=9l=m7p`>3d47>5<6sA;;jk5a1330>4c?82we=>k95;295~N68ol0b<<>3;3f<4=zf89n:;4?:0yK55`a3g;9=>4>e908yk74m?=1<7?tH02eb>h6:891=h6<;|l27`0?290:wE??fg9m577428o386sa12g5=?6=9rB:4}O99lm7c?=1282a=0<=51d:4?xh6;l{I33bc=i9;;860gd8j446;3;n445rn01f2`<728qC==hi;o3156<6m1k0qc?20195`>e3td:?h9?:182M77no1e=??<:0g;g>{i9:o<=7>51zJ24c`if:l2645=9l2m7p`>3d57>5<6sA;;jk5a1330>4c>82we=>k85;295~N68ol0b<<>3;3f=4=zf89n;;4?:0yK55`a3g;9=>4>e808yk74m>=1<7?tH02eb>h6:891=h7<;|l27`1?290:wE??fg9m577428o286sa12g4=?6=9rB:4}O99lm7c?=1282a<0<=51d;4?xh6;l=h6=4>{I33bc=i9;;860gd8j446;3;n545rn01f3`<728qC==hi;o3156<6m0k0qc?20195`?e3td:?h6?:182M77no1e=??<:0g:g>{i9:o3=7>51zJ24c`if:l2645=9l3m7p`>3d:7>5<6sA;;jk5a1330>4cf82we=>k75;295~N68ol0b<<>3;3fe4=zf89n4;4?:0yK55`a3g;9=>4>e`08yk74m1=1<7?tH02eb>h6:891=ho<;|l27`>?290:wE??fg9m577428oj86sa12g;=?6=9rB:4}O99lm7c?=1282ad0<=51dc4?xh6;l2h6=4>{I33bc=i9;;860gd8j446;3;nm45rn01f<`<728qC==hi;o3156<6mhk0qc?20195`ge3td:?h7?:182M77no1e=??<:0gbg>{i9:o2=7>51zJ24c`if:l2645=9lkm7p`>3d;7>5<6sA;;jk5a1330>4ce82we=>k65;295~N68ol0b<<>3;3ff4=zf89n5;4?:0yK55`a3g;9=>4>ec08yk74m0=1<7?tH02eb>h6:891=hl<;|l27`??290:wE??fg9m577428oi86sa12g:=?6=9rB:4}O99lm7c?=1282ag0<=51d`4?xh6;l3h6=4>{I33bc=i9;;86l3:1=vF>0gd8j446;3;nn45rn01f=`<728qC==hi;o3156<6mkk0qc?20195`de3td:?ho?:182M77no1e=??<:0gag>{i9:oj=7>51zJ24c`if:l2645=9lhm7p`>3dc7>5<6sA;;jk5a1330>4cd82we=>kn5;295~N68ol0b<<>3;3fg4=zf89nm;4?:0yK55`a3g;9=>4>eb08yk74mh=1<7?tH02eb>h6:891=hm<;|l27`g?290:wE??fg9m577428oh86sa12gb=?6=9rB:4}O99lm7c?=1282af0<=51da4?xh6;lkh6=4>{I33bc=i9;;860gd8j446;3;no45rn01fe`<728qC==hi;o3156<6mjk0qc?20195`ee3td:?hl?:182M77no1e=??<:0g`g>{i9:oi=7>51zJ24c`if:l2645=9lim7p`>3d`7>5<6sA;;jk5a1330>4cc82we=>km5;295~N68ol0b<<>3;3f`4=zf89nn;4?:0yK55`a3g;9=>4>ee08yk74mk=1<7?tH02eb>h6:891=hj<;|l27`d?290:wE??fg9m577428oo86sa12ga=?6=9rB:4}O99lm7c?=1282aa0<=51df4?xh6;lhh6=4>{I33bc=i9;;860gd8j446;3;nh45rn01ff`<728qC==hi;o3156<6mmk0qc?20195`be3td:?hm?:182M77no1e=??<:0ggg>{i9:oh=7>51zJ24c`if:l2645=9lnm7p`>3da7>5<6sA;;jk5a1330>4cb82we=>kl5;295~N68ol0b<<>3;3fa4=zf89no;4?:0yK55`a3g;9=>4>ed08yk74mj=1<7?tH02eb>h6:891=hk<;|l27`e?290:wE??fg9m577428on86sa12g`=?6=9rB:4}O99lm7c?=1282a`0<=51dg4?xh6;lih6=4>{I33bc=i9;;860gd8j446;3;ni45rn01fg`<728qC==hi;o3156<6mlk0qc?20195`ce3td:?hj?:182M77no1e=??<:0gfg>{i9:oo=7>51zJ24c`if:l2645=9lom7p`>3df7>5<6sA;;jk5a1330>4ca82we=>kk5;295~N68ol0b<<>3;3fb4=zf89nh;4?:0yK55`a3g;9=>4>eg08yk74mm=1<7?tH02eb>h6:891=hh<;|l27`b?290:wE??fg9m577428om86sa12gg=?6=9rB:4}O99lm7c?=1282ac0<=51dd4?xh6;lnh6=4>{I33bc=i9;;860gd8j446;3;nj45rn01f``<728qC==hi;o3156<6mok0qc?20195``e3td:?hk?:182M77no1e=??<:0geg>{i9:on=7>51zJ24c`if:l2645=9llm7p`>3dg7>5<6sA;;jk5a1330>4`782we=>kj5;295~N68ol0b<<>3;3e44=zf89ni;4?:0yK55`a3g;9=>4>f108yk74ml=1<7?tH02eb>h6:891=k><;|l27`c?290:wE??fg9m577428l;86sa12gf=?6=9rB:4}O99lm7c?=1282b50<=51g24?xh6;loh6=4>{I33bc=i9;;860gd8j446;3;m<45rn01fa`<728qC==hi;o3156<6n9k0qc?20195c6e3td:?hh?:182M77no1e=??<:0d3g>{i9:om=7>51zJ24c`if:l2645=9o:m7p`>3dd7>5<6sA;;jk5a1330>4`682we=>ki5;295~N68ol0b<<>3;3e54=zf89nj;4?:0yK55`a3g;9=>4>f008yk74mo=1<7?tH02eb>h6:891=k?<;|l27``?290:wE??fg9m577428l:86sa12ge=?6=9rB:4}O99lm7c?=1282b40<=51g34?xh6;llh6=4>{I33bc=i9;;868:m56cal3:1=vF>0gd8j446;3;m=45rn01fb`<728qC==hi;o3156<6n8k0qc?20195c7e3td:?k>?:182M77no1e=??<:0d2g>{i9:l;=7>51zJ24c`if:l2645=9o;m7p`>3g27>5<6sA;;jk5a1330>4`582we=>h?5;295~N68ol0b<<>3;3e64=zf89m<;4?:0yK55`a3g;9=>4>f308yk74n9=1<7?tH02eb>h6:891=k<<;|l27c6?290:wE??fg9m577428l986sa12d3=?6=9rB:4}O99lm7c?=1282b70<=51g04?xh6;o:h6=4>{I33bc=i9;;860gd8j446;3;m>45rn01e4`<728qC==hi;o3156<6n;k0qc?20195c4e3td:?k??:182M77no1e=??<:0d1g>{i9:l:=7>51zJ24c`if:l2645=9o8m7p`>3g37>5<6sA;;jk5a1330>4`482we=>h>5;295~N68ol0b<<>3;3e74=zf89m=;4?:0yK55`a3g;9=>4>f208yk74n8=1<7?tH02eb>h6:891=k=<;|l27c7?290:wE??fg9m577428l886sa12d2=?6=9rB:4}O99lm7c?=1282b60<=51g14?xh6;o;h6=4>{I33bc=i9;;860gd8j446;3;m?45rn01e5`<728qC==hi;o3156<6n:k0qc?20195c5e3td:?k{i9:l9=7>51zJ24c`k4}o30b75=83;pD<>if:l2645=9o9m7p`>3g07>5<6sA;;jk5a1330>4`382we=>h=5;295~N68ol0b<<>3;3e04=zf89m>;4?:0yK55`a3g;9=>4>f508yk74n;=1<7?tH02eb>h6:891=k:<;|l27c4?290:wE??fg9m577428l?86sa12d1=?6=9rB:4}O99lm7c?=1282b10<=51g64?xh6;o8h6=4>{I33bc=i9;;860gd8j446;3;m845rn01e6`<728qC==hi;o3156<6n=k0qc?20195c2e3td:?k=?:182M77no1e=??<:0d7g>{i9:l8=7>51zJ24c`if:l2645=9o>m7p`>3g17>5<6sA;;jk5a1330>4`282we=>h<5;295~N68ol0b<<>3;3e14=zf89m?;4?:0yK55`a3g;9=>4>f408yk74n:=1<7?tH02eb>h6:891=k;<;|l27c5?290:wE??fg9m577428l>86sa12d0=?6=9rB:4}O99lm7c?=1282b00l50;3xL46an2d:><=51g74?xh6;o9h6=4>{I33bc=i9;;860gd8j446;3;m945rn01e7`<728qC==hi;o3156<6n20195c3e3td:?k:?:182M77no1e=??<:0d6g>{i9:l?=7>51zJ24c`if:l2645=9o?m7p`>3g67>5<6sA;;jk5a1330>4`182we=>h;5;295~N68ol0b<<>3;3e24=zf89m8;4?:0yK55`a3g;9=>4>f708yk74n==1<7?tH02eb>h6:891=k8<;|l27c2?290:wE??fg9m577428l=86sa12d7=?6=9rB:<1vb<=i4`83>4}O99lm7c?=1282b30<=51g44?xh6;o>h6=4>{I33bc=i9;;860gd8j446;3;m:45rn01e0`<728qC==hi;o3156<6n?k0qc?20195c0e3td:?k;?:182M77no1e=??<:0d5g>{i9:l>=7>51zJ24c`if:l2645=9o3g77>5<6sA;;jk5a1330>4`082we=>h:5;295~N68ol0b<<>3;3e34=zf89m9;4?:0yK55`a3g;9=>4>f608yk74n<=1<7?tH02eb>h6:891=k9<;|l27c3?290:wE??fg9m577428l<86sa12d6=?6=9rB:4}O99lm7c?=1282b20<=51g54?xh6;o?h6=4>{I33bc=i9;;860gd8j446;3;m;45rn01e1`<728qC==hi;o3156<6n>k0qc?20195c1e3td:?k8?:182M77no1e=??<:0d4g>{i9:l==7>51zJ24c`;0;6if:l2645=9o=m7p`>3g47>5<6sA;;jk5a1330>4`?82we=>h95;295~N68ol0b<<>3;3e<4=zf89m:;4?:0yK55`a3g;9=>4>f908yk74n?=1<7?tH02eb>h6:891=k6<;|l27c0?290:wE??fg9m577428l386sa12d5=?6=9rB:4}O99lm7c?=1282b=0<=51g:4?xh6;o{I33bc=i9;;860gd8j446;3;m445rn01e2`<728qC==hi;o3156<6n1k0qc?20195c>e3td:?k9?:182M77no1e=??<:0d;g>{i9:l<=7>51zJ24c`if:l2645=9o2m7p`>3g57>5<6sA;;jk5a1330>4`>82we=>h85;295~N68ol0b<<>3;3e=4=zf89m;;4?:0yK55`a3g;9=>4>f808yk74n>=1<7?tH02eb>h6:891=k7<;|l27c1?290:wE??fg9m577428l286sa12d4=?6=9rB:4}O99lm7c?=1282b<0<=51g;4?xh6;o=h6=4>{I33bc=i9;;860gd8j446;3;m545rn01e3`<728qC==hi;o3156<6n0k0qc?20195c?e3td:?k6?:182M77no1e=??<:0d:g>{i9:l3=7>51zJ24c`if:l2645=9o3m7p`>3g:7>5<6sA;;jk5a1330>4`f82we=>h75;295~N68ol0b<<>3;3ee4=zf89m4;4?:0yK55`a3g;9=>4>f`08yk74n1=1<7?tH02eb>h6:891=ko<;|l27c>?290:wE??fg9m577428lj86sa12d;=?6=9rB:4}O99lm7c?=1282bd0<=51gc4?xh6;o2h6=4>{I33bc=i9;;860gd8j446;3;mm45rn01e<`<728qC==hi;o3156<6nhk0qc?20195cge3td:?k7?:182M77no1e=??<:0dbg>{i9:l2=7>51zJ24c`if:l2645=9okm7p`>3g;7>5<6sA;;jk5a1330>4`e82we=>h65;295~N68ol0b<<>3;3ef4=zf89m5;4?:0yK55`a3g;9=>4>fc08yk74n0=1<7?tH02eb>h6:891=kl<;|l27c??290:wE??fg9m577428li86sa12d:=?6=9rB:4}O99lm7c?=1282bg0<=51g`4?xh6;o3h6=4>{I33bc=i9;;86l3:1=vF>0gd8j446;3;mn45rn01e=`<728qC==hi;o3156<6nkk0qc?20195cde3td:?ko?:182M77no1e=??<:0dag>{i9:lj=7>51zJ24c`if:l2645=9ohm7p`>3gc7>5<6sA;;jk5a1330>4`d82we=>hn5;295~N68ol0b<<>3;3eg4=zf89mm;4?:0yK55`a3g;9=>4>fb08yk74nh=1<7?tH02eb>h6:891=km<;|l27cg?290:wE??fg9m577428lh86sa12db=?6=9rB:4}O99lm7c?=1282bf0<=51ga4?xh6;okh6=4>{I33bc=i9;;860gd8j446;3;mo45rn01ee`<728qC==hi;o3156<6njk0qc?20195cee3td:?kl?:182M77no1e=??<:0d`g>{i9:li=7>51zJ24c`if:l2645=9oim7p`>3g`7>5<6sA;;jk5a1330>4`c82we=>hm5;295~N68ol0b<<>3;3e`4=zf89mn;4?:0yK55`a3g;9=>4>fe08yk74nk=1<7?tH02eb>h6:891=kj<;|l27cd?290:wE??fg9m577428lo86sa12da=?6=9rB:4}O99lm7c?=1282ba0<=51gf4?xh6;ohh6=4>{I33bc=i9;;860gd8j446;3;mh45rn01ef`<728qC==hi;o3156<6nmk0qc?20195cbe3td:?km?:182M77no1e=??<:0dgg>{i9:lh=7>51zJ24c`if:l2645=9onm7p`>3ga7>5<6sA;;jk5a1330>4`b82we=>hl5;295~N68ol0b<<>3;3ea4=zf89mo;4?:0yK55`a3g;9=>4>fd08yk74nj=1<7?tH02eb>h6:891=kk<;|l27ce?290:wE??fg9m577428ln86sa12d`=?6=9rB:4}O99lm7c?=1282b`0<=51gg4?xh6;oih6=4>{I33bc=i9;;860gd8j446;3;mi45rn01eg`<728qC==hi;o3156<6nlk0qc?20195cce3td:?kj?:182M77no1e=??<:0dfg>{i9:lo=7>51zJ24c`if:l2645=9oom7p`>3gf7>5<6sA;;jk5a1330>4`a82we=>hk5;295~N68ol0b<<>3;3eb4=zf89mh;4?:0yK55`a3g;9=>4>fg08yk74nm=1<7?tH02eb>h6:891=kh<;|l27cb?290:wE??fg9m577428lm86sa12dg=?6=9rB:4}O99lm7c?=1282bc0<=51gd4?xh6;onh6=4>{I33bc=i9;;860gd8j446;3;mj45rn01e``<728qC==hi;o3156<6nok0qc?20195c`e3td:?kk?:182M77no1e=??<:0deg>{i9:ln=7>51zJ24c`if:l2645=9olm7p`>3gg7>5<6sA;;jk5a1330>76782we=>hj5;295~N68ol0b<<>3;0344=zf89mi;4?:0yK55`a3g;9=>4=0108yk74nl=1<7?tH02eb>h6:891>=><;|l27cc?290:wE??fg9m57742;:;86sa12df=?6=9rB:4}O99lm7c?=1281450<=52124?xh6;ooh6=4>{I33bc=i9;;86?>?8:m56`bl3:1=vF>0gd8j446;38;<45rn01ea`<728qC==hi;o3156<589k0qc?2019656e3td:?kh?:182M77no1e=??<:323g>{i9:lm=7>51zJ24c`if:l2645=:9:m7p`>3gd7>5<6sA;;jk5a1330>76682we=>hi5;295~N68ol0b<<>3;0354=zf89mj;4?:0yK55`a3g;9=>4=0008yk74no=1<7?tH02eb>h6:891>=?<;|l27c`?290:wE??fg9m57742;::86sa12de=?6=9rB:4}O99lm7c?=1281440<=52134?xh6;olh6=4>{I33bc=i9;;86?>>8:m56`al3:1=vF>0gd8j446;38;=45rn01eb`<728qC==hi;o3156<588k0qc?2019657e3td:8=>?:182M77no1e=??<:322g>{i9=:;=7>51zJ24c`if:l2645=:9;m7p`>4127>5<6sA;;jk5a1330>76582we=9>?5;295~N68ol0b<<>3;0364=zf8>;<;4?:0yK55`a3g;9=>4=0308yk7389=1<7?tH02eb>h6:891>=<<;|l2056?290:wE??fg9m57742;:986sa1523=?6=9rB:4}O99lm7c?=1281470<=52104?xh6<9:h6=4>{I33bc=i9;;86?>=8:m5167l3:1=vF>0gd8j446;38;>45rn0634`<728qC==hi;o3156<58;k0qc?;01d94?7|@8:mj6`>2019654e3td:8=??:182M77no1e=??<:321g>{i9=::=7>51zJ24c`if:l2645=:98m7p`>4137>5<6sA;;jk5a1330>76482we=9>>5;295~N68ol0b<<>3;0374=zf8>;=;4?:0yK55`a3g;9=>4=0208yk7388=1<7?tH02eb>h6:891>==<;|l2057?290:wE??fg9m57742;:886sa1522=?6=9rB:4}O99lm7c?=1281460<=52114?xh6<9;h6=4>{I33bc=i9;;86?><8:m5166l3:1=vF>0gd8j446;38;?45rn0635`<728qC==hi;o3156<58:k0qc?;00d94?7|@8:mj6`>2019655e3td:8={i9=:9=7>51zJ24c`k4}o37475=83;pD<>if:l2645=:99m7p`>4107>5<6sA;;jk5a1330>76382we=9>=5;295~N68ol0b<<>3;0304=zf8>;>;4?:0yK55`a3g;9=>4=0508yk738;=1<7?tH02eb>h6:891>=:<;|l2054?290:wE??fg9m57742;:?86sa1521=?6=9rB:4}O99lm7c?=1281410<=52164?xh6<98h6=4>{I33bc=i9;;86?>;8:m5165l3:1=vF>0gd8j446;38;845rn0636`<728qC==hi;o3156<58=k0qc?;03d94?7|@8:mj6`>2019652e3td:8==?:182M77no1e=??<:327g>{i9=:8=7?51zJ24c`if:l2645=:9>m7p`>4117>5<6sA;;jk5a1330>76282we=9><5;295~N68ol0b<<>3;0314=zf8>;?;4?:0yK55`a3g;9=>4=0408yk738:=1<7?tH02eb>h6:891>=;<;|l2055?290:wE??fg9m57742;:>86sa1520=?6=9rB:4}O99lm7c?=1281400l50;3xL46an2d:><=52174?xh6<99h6=4>{I33bc=i9;;86?>:8:m5164l3:1=vF>0gd8j446;38;945rn0637`<728qC==hi;o3156<582019653e3td:8=:?:182M77no1e=??<:326g>{i9=:?=7>51zJ24c`if:l2645=:9?m7p`>4167>5<6sA;;jk5a1330>76182we=9>;5;295~N68ol0b<<>3;0324=zf8>;8;4?:0yK55`a3g;9=>4=0708yk738==1<7?tH02eb>h6:891>=8<;|l2052?290:wE??fg9m57742;:=86sa1527=?7=9rB:<1vb<:?4`82>4}O99lm7c?=1281430<=52144?xh6<9>h6<4>{I33bc=i9;;86?>98:m5163l3;1=vF>0gd8j446;38;:45rn0630`<628qC==hi;o3156<58?k0qc?;05d95?7|@8:mj6`>2019650e3td:8=;?:182M77no1e=??<:325g>{i9=:>=7>51zJ24c`if:l2645=:94177>5<6sA;;jk5a1330>76082we=9>:5;295~N68ol0b<<>3;0334=zf8>;9;4?:0yK55`a3g;9=>4=0608yk738<=1<7?tH02eb>h6:891>=9<;|l2053?290:wE??fg9m57742;:<86sa1526=?6=9rB:4}O99lm7c?=1281420<=52154?xh6<9?h6=4>{I33bc=i9;;86?>88:m5162l3:1=vF>0gd8j446;38;;45rn0631`<728qC==hi;o3156<58>k0qc?;04d94?7|@8:mj6`>2019651e3td:8=8?:182M77no1e=??<:324g>{i9=:==7>51zJ24c`;0;6if:l2645=:9=m7p`>4147>5<6sA;;jk5a1330>76?82we=9>95;295~N68ol0b<<>3;03<4=zf8>;:;4?:0yK55`a3g;9=>4=0908yk738?=1<7?tH02eb>h6:891>=6<;|l2050?290:wE??fg9m57742;:386sa1525=?6=9rB:4}O99lm7c?=12814=0<=521:4?xh6<9{I33bc=i9;;86?>78:m5161l3:1=vF>0gd8j446;38;445rn0632`<728qC==hi;o3156<581k0qc?;07d94?7|@8:mj6`>201965>e3td:8=9?:182M77no1e=??<:32;g>{i9=:<=7>51zJ24c`if:l2645=:92m7p`>4157>5<6sA;;jk5a1330>76>82we=9>85;295~N68ol0b<<>3;03=4=zf8>;;;4?:0yK55`a3g;9=>4=0808yk738>=1<7?tH02eb>h6:891>=7<;|l2051?290:wE??fg9m57742;:286sa1524=?6=9rB:4}O99lm7c?=12814<0<=521;4?xh6<9=h6=4>{I33bc=i9;;86?>68:m5160l3:1=vF>0gd8j446;38;545rn0633`<728qC==hi;o3156<580k0qc?;06d94?7|@8:mj6`>201965?e3td:8=6?:182M77no1e=??<:32:g>{i9=:3=7>51zJ24c`if:l2645=:93m7p`>41:7>5<6sA;;jk5a1330>76f82we=9>75;295~N68ol0b<<>3;03e4=zf8>;4;4?:0yK55`a3g;9=>4=0`08yk7381=1<7?tH02eb>h6:891>=o<;|l205>?290:wE??fg9m57742;:j86sa152;=?6=9rB:4}O99lm7c?=12814d0<=521c4?xh6<92h6=4>{I33bc=i9;;86?>n8:m516?l3:1=vF>0gd8j446;38;m45rn063<`<728qC==hi;o3156<58hk0qc?;09d94?7|@8:mj6`>201965ge3td:8=7?:182M77no1e=??<:32bg>{i9=:2=7>51zJ24c`if:l2645=:9km7p`>41;7>5<6sA;;jk5a1330>76e82we=9>65;295~N68ol0b<<>3;03f4=zf8>;5;4?:0yK55`a3g;9=>4=0c08yk7380=1<7?tH02eb>h6:891>=l<;|l205??290:wE??fg9m57742;:i86sa152:=?6=9rB:4}O99lm7c?=12814g0<=521`4?xh6<93h6=4>{I33bc=i9;;86?>m8:m516>l3:1=vF>0gd8j446;38;n45rn063=`<728qC==hi;o3156<58kk0qc?;08d94?7|@8:mj6`>201965de3td:8=o?:182M77no1e=??<:32ag>{i9=:j=7>51zJ24c`if:l2645=:9hm7p`>41c7>5<6sA;;jk5a1330>76d82we=9>n5;295~N68ol0b<<>3;03g4=zf8>;m;4?:0yK55`a3g;9=>4=0b08yk738h=1<7?tH02eb>h6:891>=m<;|l205g?290:wE??fg9m57742;:h86sa152b=?6=9rB:4}O99lm7c?=12814f0<=521a4?xh6<9kh6=4>{I33bc=i9;;86?>l8:m516fl3:1=vF>0gd8j446;38;o45rn063e`<728qC==hi;o3156<58jk0qc?;0`d94?7|@8:mj6`>201965ee3td:8=l?:182M77no1e=??<:32`g>{i9=:i=7>51zJ24c`if:l2645=:9im7p`>41`7>5<6sA;;jk5a1330>76c82we=9>m5;295~N68ol0b<<>3;03`4=zf8>;n;4?:0yK55`a3g;9=>4=0e08yk738k=1<7?tH02eb>h6:891>=j<;|l205d?290:wE??fg9m57742;:o86sa152a=?6=9rB:4}O99lm7c?=12814a0<=521f4?xh6<9hh6=4>{I33bc=i9;;86?>k8:m516el3:1=vF>0gd8j446;38;h45rn063f`<728qC==hi;o3156<58mk0qc?;0cd94?7|@8:mj6`>201965be3td:8=m?:182M77no1e=??<:32gg>{i9=:h=7>51zJ24c`if:l2645=:9nm7p`>41a7>5<6sA;;jk5a1330>76b82we=9>l5;295~N68ol0b<<>3;03a4=zf8>;o;4?:0yK55`a3g;9=>4=0d08yk738j=1<7?tH02eb>h6:891>=k<;|l205e?290:wE??fg9m57742;:n86sa152`=?6=9rB:4}O99lm7c?=12814`0<=521g4?xh6<9ih6=4>{I33bc=i9;;86?>j8:m516dl3:1=vF>0gd8j446;38;i45rn063g`<728qC==hi;o3156<58lk0qc?;0bd94?7|@8:mj6`>201965ce3td:8=j?:182M77no1e=??<:32fg>{i9=:o=7>51zJ24c`if:l2645=:9om7p`>41f7>5<6sA;;jk5a1330>76a82we=9>k5;295~N68ol0b<<>3;03b4=zf8>;h;4?:0yK55`a3g;9=>4=0g08yk738m=1<7?tH02eb>h6:891>=h<;|l205b?290:wE??fg9m57742;:m86sa152g=?6=9rB:4}O99lm7c?=12814c0<=521d4?xh6<9nh6=4>{I33bc=i9;;86?>i8:m516cl3:1=vF>0gd8j446;38;j45rn063``<728qC==hi;o3156<58ok0qc?;0ed94?7|@8:mj6`>201965`e3td:8=k?:182M77no1e=??<:32eg>{i9=:n=7>51zJ24c`if:l2645=:9lm7p`>41g7>5<6sA;;jk5a1330>77782we=9>j5;295~N68ol0b<<>3;0244=zf8>;i;4?:0yK55`a3g;9=>4=1108yk738l=1<7?tH02eb>h6:891><><;|l205c?290:wE??fg9m57742;;;86sa152f=?6=9rB:4}O99lm7c?=1281550<=52024?xh6<9oh6=4>{I33bc=i9;;86???8:m516bl3:1=vF>0gd8j446;38:<45rn063a`<728qC==hi;o3156<599k0qc?;0dd94?7|@8:mj6`>2019646e3td:8=h?:182M77no1e=??<:333g>{i9=:m=7>51zJ24c`0e9~j427n;0;6if:l2645=:8:m7p`>41d7>5<6sA;;jk5a1330>77682we=9>i5;295~N68ol0b<<>3;0254=zf8>;j;4?:0yK55`a3g;9=>4=1008yk738o=1<7?tH02eb>h6:891>4}O99lm7c?=1281540<=52034?xh6<9lh6=4>{I33bc=i9;;86??>8:m516al3:1=vF>0gd8j446;38:=45rn063b`<728qC==hi;o3156<598k0qc?;0gd94?7|@8:mj6`>2019647e3td:8<>?:182M77no1e=??<:332g>{i9=;;=7>51zJ24c`1e9~j4268;0;6if:l2645=:8;m7p`>4027>5<6sA;;jk5a1330>77582we=9??5;295~N68ol0b<<>3;0264=zf8>:<;4?:0yK55`a3g;9=>4=1308yk7399=1<7?tH02eb>h6:891><<<;|l2046?290:wE??fg9m57742;;986sa1533=?6=9rB:0`83>4}O99lm7c?=1281570<=52004?xh6<8:h6=4>{I33bc=i9;;86??=8:m5177l3:1=vF>0gd8j446;38:>45rn0624`<728qC==hi;o3156<59;k0qc?;11d94?7|@8:mj6`>2019644e3td:8{i9=;:=7>51zJ24c`2e9~j4269;0;6if:l2645=:88m7p`>4037>5<6sA;;jk5a1330>77482we=9?>5;295~N68ol0b<<>3;0274=zf8>:=;4?:0yK55`a3g;9=>4=1208yk7398=1<7?tH02eb>h6:891><=<;|l2047?290:wE??fg9m57742;;886sa1532=?6=9rB:1`83>4}O99lm7c?=1281560<=52014?xh6<8;h6=4>{I33bc=i9;;86??<8:m5176l3:1=vF>0gd8j446;38:?45rn0625`<728qC==hi;o3156<59:k0qc?;10d94?7|@8:mj6`>2019645e3td:8<{i9=;9=7>51zJ24c`3e9~j426:;0;6k4}o37575=83;pD<>if:l2645=:89m7p`>4007>5<6sA;;jk5a1330>77382we=9?=5;295~N68ol0b<<>3;0204=zf8>:>;4?:0yK55`a3g;9=>4=1508yk739;=1<7?tH02eb>h6:891><:<;|l2044?290:wE??fg9m57742;;?86sa1531=?6=9rB:2`83>4}O99lm7c?=1281510<=52064?xh6<88h6=4>{I33bc=i9;;86??;8:m5175l3:1=vF>0gd8j446;38:845rn0626`<728qC==hi;o3156<59=k0qc?;13d94?7|@8:mj6`>2019642e3td:8<=?:182M77no1e=??<:337g>{i9=;8=7>51zJ24c`4e9~j426;;0;6if:l2645=:8>m7p`>4017>5<6sA;;jk5a1330>77282we=9?<5;295~N68ol0b<<>3;0214=zf8>:?;4?:0yK55`a3g;9=>4=1408yk739:=1<7?tH02eb>h6:891><;<;|l2045?290:wE??fg9m57742;;>86sa1530=?6=9rB:3`83>4}O99lm7c?=1281500l50;3xL46an2d:><=52074?xh6<89h6=4>{I33bc=i9;;86??:8:m5174l3:1=vF>0gd8j446;38:945rn0627`<728qC==hi;o3156<592019643e3td:8<:?:182M77no1e=??<:336g>{i9=;?=7>51zJ24c`5e9~j426<;0;6if:l2645=:8?m7p`>4067>5<6sA;;jk5a1330>77182we=9?;5;295~N68ol0b<<>3;0224=zf8>:8;4?:0yK55`a3g;9=>4=1708yk739==1<7?tH02eb>h6:891><8<;|l2042?290:wE??fg9m57742;;=86sa1537=?6=9rB:<1vb<:>4`83>4}O99lm7c?=1281530<=52044?xh6<8>h6=4>{I33bc=i9;;86??98:m5173l3:1=vF>0gd8j446;38::45rn0620`<728qC==hi;o3156<59?k0qc?;15d94?7|@8:mj6`>2019640e3td:8<;?:182M77no1e=??<:335g>{i9=;>=7>51zJ24c`6e9~j426=;0;6if:l2645=:84077>5<6sA;;jk5a1330>77082we=9?:5;295~N68ol0b<<>3;0234=zf8>:9;4?:0yK55`a3g;9=>4=1608yk739<=1<7?tH02eb>h6:891><9<;|l2043?290:wE??fg9m57742;;<86sa1536=?6=9rB:5`83>4}O99lm7c?=1281520<=52054?xh6<8?h6=4>{I33bc=i9;;86??88:m5172l3:1=vF>0gd8j446;38:;45rn0621`<728qC==hi;o3156<59>k0qc?;14d94?7|@8:mj6`>2019641e3td:8<8?:182M77no1e=??<:334g>{i9=;==7>51zJ24c`7e9~j426>;0;6if:l2645=:8=m7p`>4047>5<6sA;;jk5a1330>77?82we=9?95;295~N68ol0b<<>3;02<4=zf8>::;4?:0yK55`a3g;9=>4=1908yk739?=1<7?tH02eb>h6:891><6<;|l2040?290:wE??fg9m57742;;386sa1535=?6=9rB:6`83>4}O99lm7c?=12815=0<=520:4?xh6<8{I33bc=i9;;86??78:m5171l3:1=vF>0gd8j446;38:445rn0622`<728qC==hi;o3156<591k0qc?;17d94?7|@8:mj6`>201964>e3td:8<9?:182M77no1e=??<:33;g>{i9=;<=7>51zJ24c`8e9~j426?;0;6if:l2645=:82m7p`>4057>5<6sA;;jk5a1330>77>82we=9?85;295~N68ol0b<<>3;02=4=zf8>:;;4?:0yK55`a3g;9=>4=1808yk739>=1<7?tH02eb>h6:891><7<;|l2041?290:wE??fg9m57742;;286sa1534=?6=9rB:7`83>4}O99lm7c?=12815<0<=520;4?xh6<8=h6=4>{I33bc=i9;;86??68:m5170l3:1=vF>0gd8j446;38:545rn0623`<728qC==hi;o3156<590k0qc?;16d94?7|@8:mj6`>201964?e3td:8<6?:182M77no1e=??<:33:g>{i9=;3=7>51zJ24c`9e9~j4260;0;6if:l2645=:83m7p`>40:7>5<6sA;;jk5a1330>77f82we=9?75;295~N68ol0b<<>3;02e4=zf8>:4;4?:0yK55`a3g;9=>4=1`08yk7391=1<7?tH02eb>h6:891>?290:wE??fg9m57742;;j86sa153;=?6=9rB:8`83>4}O99lm7c?=12815d0<=520c4?xh6<82h6=4>{I33bc=i9;;86??n8:m517?l3:1=vF>0gd8j446;38:m45rn062<`<728qC==hi;o3156<59hk0qc?;19d94?7|@8:mj6`>201964ge3td:8<7?:182M77no1e=??<:33bg>{i9=;2=7>51zJ24c`ae9~j4261;0;6if:l2645=:8km7p`>40;7>5<6sA;;jk5a1330>77e82we=9?65;295~N68ol0b<<>3;02f4=zf8>:5;4?:0yK55`a3g;9=>4=1c08yk7390=1<7?tH02eb>h6:891>9`83>4}O99lm7c?=12815g0<=520`4?xh6<83h6=4>{I33bc=i9;;86??m8:m517>l3:1=vF>0gd8j446;38:n45rn062=`<728qC==hi;o3156<59kk0qc?;18d94?7|@8:mj6`>201964de3td:8{i9=;j=7>51zJ24c`be9~j426i;0;6if:l2645=:8hm7p`>40c7>5<6sA;;jk5a1330>77d82we=9?n5;295~N68ol0b<<>3;02g4=zf8>:m;4?:0yK55`a3g;9=>4=1b08yk739h=1<7?tH02eb>h6:891>a`83>4}O99lm7c?=12815f0<=520a4?xh6<8kh6=4>{I33bc=i9;;86??l8:m517fl3:1=vF>0gd8j446;38:o45rn062e`<728qC==hi;o3156<59jk0qc?;1`d94?7|@8:mj6`>201964ee3td:8{i9=;i=7>51zJ24c`ce9~j426j;0;6if:l2645=:8im7p`>40`7>5<6sA;;jk5a1330>77c82we=9?m5;295~N68ol0b<<>3;02`4=zf8>:n;4?:0yK55`a3g;9=>4=1e08yk739k=1<7?tH02eb>h6:891>b`83>4}O99lm7c?=12815a0<=520f4?xh6<8hh6=4>{I33bc=i9;;86??k8:m517el3:1=vF>0gd8j446;38:h45rn062f`<728qC==hi;o3156<59mk0qc?;1cd94?7|@8:mj6`>201964be3td:8{i9=;h=7>51zJ24c`de9~j426k;0;6if:l2645=:8nm7p`>40a7>5<6sA;;jk5a1330>77b82we=9?l5;295~N68ol0b<<>3;02a4=zf8>:o;4?:0yK55`a3g;9=>4=1d08yk739j=1<7?tH02eb>h6:891>c`83>4}O99lm7c?=12815`0<=520g4?xh6<8ih6=4>{I33bc=i9;;86??j8:m517dl3:1=vF>0gd8j446;38:i45rn062g`<728qC==hi;o3156<59lk0qc?;1bd94?7|@8:mj6`>201964ce3td:8{i9=;o=7>51zJ24c`ee9~j426l;0;6if:l2645=:8om7p`>40f7>5<6sA;;jk5a1330>77a82we=9?k5;295~N68ol0b<<>3;02b4=zf8>:h;4?:0yK55`a3g;9=>4=1g08yk739m=1<7?tH02eb>h6:891>d`83>4}O99lm7c?=12815c0<=520d4?xh6<8nh6=4>{I33bc=i9;;86??i8:m517cl3:1=vF>0gd8j446;38:j45rn062``<728qC==hi;o3156<59ok0qc?;1ed94?7|@8:mj6`>201964`e3td:8{i9=;n=7>51zJ24c`fe9~j426m;0;6if:l2645=:8lm7p`>40g7>5<6sA;;jk5a1330>74782we=9?j5;295~N68ol0b<<>3;0144=zf8>:i;4?:0yK55`a3g;9=>4=2108yk739l=1<7?tH02eb>h6:891>?><;|l204c?290:wE??fg9m57742;8;86sa153f=?6=9rB:e`83>4}O99lm7c?=1281650<=52324?xh6<8oh6=4>{I33bc=i9;;86?0gd8j446;389<45rn062a`<728qC==hi;o3156<5:9k0qc?;1dd94?7|@8:mj6`>2019676e3td:8{i9=;m=7>51zJ24c`=k4}o375c5=83;pD<>if:l2645=:;:m7p`>40d7>5<6sA;;jk5a1330>74682we=9?i5;295~N68ol0b<<>3;0154=zf8>:j;4?:0yK55`a3g;9=>4=2008yk739o=1<7?tH02eb>h6:891>??<;|l204`?290:wE??fg9m57742;8:86sa153e=?6=9rB:f`83>4}O99lm7c?=1281640<=52334?xh6<8lh6=4>{I33bc=i9;;86?<>8:m517al3:1=vF>0gd8j446;389=45rn062b`<728qC==hi;o3156<5:8k0qc?;1gd94?7|@8:mj6`>2019677e3td:8?>?:182M77no1e=??<:302g>{i9=8;=7>51zJ24c`if:l2645=:;;m7p`>4327>5<6sA;;jk5a1330>74582we=93;0164=zf8>9<;4?:0yK55`a3g;9=>4=2308yk73:9=1<7?tH02eb>h6:891>?<<;|l2076?290:wE??fg9m57742;8986sa1503=?6=9rB:4}O99lm7c?=1281670=l50;3xL46an2d:><=52304?xh6<;:h6=4>{I33bc=i9;;86?<=8:m5147l3:1=vF>0gd8j446;389>45rn0614`<728qC==hi;o3156<5:;k0qc?;21d94?7|@8:mj6`>2019674e3td:8???:182M77no1e=??<:301g>{i9=8:=7>51zJ24c`?k4}o37645=83;pD<>if:l2645=:;8m7p`>4337>5<6sA;;jk5a1330>74482we=9<>5;295~N68ol0b<<>3;0174=zf8>9=;4?:0yK55`a3g;9=>4=2208yk73:8=1<7?tH02eb>h6:891>?=<;|l2077?290:wE??fg9m57742;8886sa1502=?6=9rB:4}O99lm7c?=1281660<=52314?xh6<;;h6=4>{I33bc=i9;;86?<<8:m5146l3:1=vF>0gd8j446;389?45rn0615`<728qC==hi;o3156<5::k0qc?;20d94?7|@8:mj6`>2019675e3td:8?{i9=89=7>51zJ24c`>k4}o37675=83;pD<>if:l2645=:;9m7p`>4307>5<6sA;;jk5a1330>74382we=9<=5;295~N68ol0b<<>3;0104=zf8>9>;4?:0yK55`a3g;9=>4=2508yk73:;=1<7?tH02eb>h6:891>?:<;|l2074?290:wE??fg9m57742;8?86sa1501=?6=9rB:4}O99lm7c?=1281610?l50;3xL46an2d:><=52364?xh6<;8h6=4>{I33bc=i9;;86?<;8:m5145l3:1=vF>0gd8j446;389845rn0616`<728qC==hi;o3156<5:=k0qc?;23d94?7|@8:mj6`>2019672e3td:8?=?:182M77no1e=??<:307g>{i9=88=7>51zJ24c`9k4}o37665=83;pD<>if:l2645=:;>m7p`>4317>5<6sA;;jk5a1330>74282we=9<<5;295~N68ol0b<<>3;0114=zf8>9?;4?:0yK55`a3g;9=>4=2408yk73::=1<7?tH02eb>h6:891>?;<;|l2075?290:wE??fg9m57742;8>86sa1500=?6=9rB:4}O99lm7c?=1281600>l50;3xL46an2d:><=52374?xh6<;9h6=4>{I33bc=i9;;86?<:8:m5144l3:1=vF>0gd8j446;389945rn0617`<728qC==hi;o3156<5:2019673e3td:8?:?:182M77no1e=??<:306g>{i9=8?=7>51zJ24c`8k4}o37615=83;pD<>if:l2645=:;?m7p`>4367>5<6sA;;jk5a1330>74182we=9<;5;295~N68ol0b<<>3;0124=zf8>98;4?:0yK55`a3g;9=>4=2708yk73:==1<7?tH02eb>h6:891>?8<;|l2072?290:wE??fg9m57742;8=86sa1507=?6=9rB:<1vb<:=4`83>4}O99lm7c?=12816309l50;3xL46an2d:><=52344?xh6<;>h6=4>{I33bc=i9;;86?<98:m5143l3:1=vF>0gd8j446;389:45rn0610`<728qC==hi;o3156<5:?k0qc?;25d94?7|@8:mj6`>2019670e3td:8?;?:182M77no1e=??<:305g>{i9=8>=7>51zJ24c`;k4}o37605=83;pD<>if:l2645=:;4377>5<6sA;;jk5a1330>74082we=9<:5;295~N68ol0b<<>3;0134=zf8>99;4?:0yK55`a3g;9=>4=2608yk73:<=1<7?tH02eb>h6:891>?9<;|l2073?290:wE??fg9m57742;8<86sa1506=?6=9rB:4}O99lm7c?=12816208l50;3xL46an2d:><=52354?xh6<;?h6=4>{I33bc=i9;;86?<88:m5142l3:1=vF>0gd8j446;389;45rn0611`<728qC==hi;o3156<5:>k0qc?;24d94?7|@8:mj6`>2019671e3td:8?8?:182M77no1e=??<:304g>{i9=8==7>51zJ24c`;0;6:k4}o37635=83;pD<>if:l2645=:;=m7p`>4347>5<6sA;;jk5a1330>74?82we=9<95;295~N68ol0b<<>3;01<4=zf8>9:;4?:0yK55`a3g;9=>4=2908yk73:?=1<7?tH02eb>h6:891>?6<;|l2070?290:wE??fg9m57742;8386sa1505=?6=9rB:4}O99lm7c?=12816=0;l50;3xL46an2d:><=523:4?xh6<;{I33bc=i9;;86?<78:m5141l3:1=vF>0gd8j446;389445rn0612`<728qC==hi;o3156<5:1k0qc?;27d94?7|@8:mj6`>201967>e3td:8?9?:182M77no1e=??<:30;g>{i9=8<=7>51zJ24c`5k4}o37625=83;pD<>if:l2645=:;2m7p`>4357>5<6sA;;jk5a1330>74>82we=9<85;295~N68ol0b<<>3;01=4=zf8>9;;4?:0yK55`a3g;9=>4=2808yk73:>=1<7?tH02eb>h6:891>?7<;|l2071?290:wE??fg9m57742;8286sa1504=?6=9rB:4}O99lm7c?=12816<0:l50;3xL46an2d:><=523;4?xh6<;=h6=4>{I33bc=i9;;86?<68:m5140l3:1=vF>0gd8j446;389545rn0613`<728qC==hi;o3156<5:0k0qc?;26d94?7|@8:mj6`>201967?e3td:8?6?:182M77no1e=??<:30:g>{i9=83=7>51zJ24c`4k4}o376=5=83;pD<>if:l2645=:;3m7p`>43:7>5<6sA;;jk5a1330>74f82we=9<75;295~N68ol0b<<>3;01e4=zf8>94;4?:0yK55`a3g;9=>4=2`08yk73:1=1<7?tH02eb>h6:891>?o<;|l207>?290:wE??fg9m57742;8j86sa150;=?6=9rB:4}O99lm7c?=12816d05l50;3xL46an2d:><=523c4?xh6<;2h6=4>{I33bc=i9;;86?0gd8j446;389m45rn061<`<728qC==hi;o3156<5:hk0qc?;29d94?7|@8:mj6`>201967ge3td:8?7?:182M77no1e=??<:30bg>{i9=82=7>51zJ24c`lk4}o376<5=83;pD<>if:l2645=:;km7p`>43;7>5<6sA;;jk5a1330>74e82we=9<65;295~N68ol0b<<>3;01f4=zf8>95;4?:0yK55`a3g;9=>4=2c08yk73:0=1<7?tH02eb>h6:891>?l<;|l207??290:wE??fg9m57742;8i86sa150:=?6=9rB:4}O99lm7c?=12816g04l50;3xL46an2d:><=523`4?xh6<;3h6=4>{I33bc=i9;;86?l3:1=vF>0gd8j446;389n45rn061=`<728qC==hi;o3156<5:kk0qc?;28d94?7|@8:mj6`>201967de3td:8?o?:182M77no1e=??<:30ag>{i9=8j=7>51zJ24c`ok4}o376d5=83;pD<>if:l2645=:;hm7p`>43c7>5<6sA;;jk5a1330>74d82we=93;01g4=zf8>9m;4?:0yK55`a3g;9=>4=2b08yk73:h=1<7?tH02eb>h6:891>?m<;|l207g?290:wE??fg9m57742;8h86sa150b=?6=9rB:4}O99lm7c?=12816f0ll50;3xL46an2d:><=523a4?xh6<;kh6=4>{I33bc=i9;;86?0gd8j446;389o45rn061e`<728qC==hi;o3156<5:jk0qc?;2`d94?7|@8:mj6`>201967ee3td:8?l?:182M77no1e=??<:30`g>{i9=8i=7>51zJ24c`nk4}o376g5=83;pD<>if:l2645=:;im7p`>43`7>5<6sA;;jk5a1330>74c82we=93;01`4=zf8>9n;4?:0yK55`a3g;9=>4=2e08yk73:k=1<7?tH02eb>h6:891>?j<;|l207d?290:wE??fg9m57742;8o86sa150a=?6=9rB:4}O99lm7c?=12816a0ol50;3xL46an2d:><=523f4?xh6<;hh6=4>{I33bc=i9;;86?0gd8j446;389h45rn061f`<728qC==hi;o3156<5:mk0qc?;2cd94?7|@8:mj6`>201967be3td:8?m?:182M77no1e=??<:30gg>{i9=8h=7>51zJ24c`ik4}o376f5=83;pD<>if:l2645=:;nm7p`>43a7>5<6sA;;jk5a1330>74b82we=93;01a4=zf8>9o;4?:0yK55`a3g;9=>4=2d08yk73:j=1<7?tH02eb>h6:891>?k<;|l207e?290:wE??fg9m57742;8n86sa150`=?6=9rB:4}O99lm7c?=12816`0nl50;3xL46an2d:><=523g4?xh6<;ih6=4>{I33bc=i9;;86?0gd8j446;389i45rn061g`<728qC==hi;o3156<5:lk0qc?;2bd94?7|@8:mj6`>201967ce3td:8?j?:182M77no1e=??<:30fg>{i9=8o=7>51zJ24c`hk4}o376a5=83;pD<>if:l2645=:;om7p`>43f7>5<6sA;;jk5a1330>74a82we=93;01b4=zf8>9h;4?:0yK55`a3g;9=>4=2g08yk73:m=1<7?tH02eb>h6:891>?h<;|l207b?290:wE??fg9m57742;8m86sa150g=?6=9rB:4}O99lm7c?=12816c0il50;3xL46an2d:><=523d4?xh6<;nh6=4>{I33bc=i9;;86?0gd8j446;389j45rn061``<728qC==hi;o3156<5:ok0qc?;2ed94?7|@8:mj6`>201967`e3td:8?k?:182M77no1e=??<:30eg>{i9=8n=7>51zJ24c`kk4}o376`5=83;pD<>if:l2645=:;lm7p`>43g7>5<6sA;;jk5a1330>75782we=93;0044=zf8>9i;4?:0yK55`a3g;9=>4=3108yk73:l=1<7?tH02eb>h6:891>>><;|l207c?290:wE??fg9m57742;9;86sa150f=?6=9rB:4}O99lm7c?=1281750hl50;3xL46an2d:><=52224?xh6<;oh6=4>{I33bc=i9;;86?=?8:m514bl3:1=vF>0gd8j446;388<45rn061a`<728qC==hi;o3156<5;9k0qc?;2dd94?7|@8:mj6`>2019666e3td:8?h?:182M77no1e=??<:313g>{i9=8m=7>51zJ24c`if:l2645=:::m7p`>43d7>5<6sA;;jk5a1330>75682we=93;0054=zf8>9j;4?:0yK55`a3g;9=>4=3008yk73:o=1<7?tH02eb>h6:891>>?<;|l207`?290:wE??fg9m57742;9:86sa150e=?6=9rB:4}O99lm7c?=1281740kl50;3xL46an2d:><=52234?xh6<;lh6=4>{I33bc=i9;;86?=>8:m514al3:1=vF>0gd8j446;388=45rn061b`<728qC==hi;o3156<5;8k0qc?;2gd94?7|@8:mj6`>2019667e3td:8>>?:182M77no1e=??<:312g>{i9=9;=7>51zJ24c`if:l2645=::;m7p`>4227>5<6sA;;jk5a1330>75582we=9=?5;295~N68ol0b<<>3;0064=zf8>8<;4?:0yK55`a3g;9=>4=3308yk73;9=1<7?tH02eb>h6:891>><<;|l2066?290:wE??fg9m57742;9986sa1513=?6=9rB:4}O99lm7c?=1281770<=52204?xh6<::h6=4>{I33bc=i9;;86?==8:m5157l3:1=vF>0gd8j446;388>45rn0604`<728qC==hi;o3156<5;;k0qc?;31d94?7|@8:mj6`>2019664e3td:8>??:182M77no1e=??<:311g>{i9=9:=7>51zJ24c`if:l2645=::8m7p`>4237>5<6sA;;jk5a1330>75482we=9=>5;295~N68ol0b<<>3;0074=zf8>8=;4?:0yK55`a3g;9=>4=3208yk73;8=1<7?tH02eb>h6:891>>=<;|l2067?290:wE??fg9m57742;9886sa1512=?6=9rB:4}O99lm7c?=1281760<=52214?xh6<:;h6=4>{I33bc=i9;;86?=<8:m5156l3:1=vF>0gd8j446;388?45rn0605`<728qC==hi;o3156<5;:k0qc?;30d94?7|@8:mj6`>2019665e3td:8>{i9=99=7>51zJ24c`k4}o37775=83;pD<>if:l2645=::9m7p`>4207>5<6sA;;jk5a1330>75382we=9==5;295~N68ol0b<<>3;0004=zf8>8>;4?:0yK55`a3g;9=>4=3508yk73;;=1<7?tH02eb>h6:891>>:<;|l2064?290:wE??fg9m57742;9?86sa1511=?6=9rB:4}O99lm7c?=1281710<=52264?xh6<:8h6=4>{I33bc=i9;;86?=;8:m5155l3:1=vF>0gd8j446;388845rn0606`<728qC==hi;o3156<5;=k0qc?;33d94?7|@8:mj6`>2019662e3td:8>=?:182M77no1e=??<:317g>{i9=98=7>51zJ24c`if:l2645=::>m7p`>4217>5<6sA;;jk5a1330>75282we=9=<5;295~N68ol0b<<>3;0014=zf8>8?;4?:0yK55`a3g;9=>4=3408yk73;:=1<7?tH02eb>h6:891>>;<;|l2065?290:wE??fg9m57742;9>86sa1510=?6=9rB:4}O99lm7c?=1281700l50;3xL46an2d:><=52274?xh6<:9h6=4>{I33bc=i9;;86?=:8:m5154l3:1=vF>0gd8j446;388945rn0607`<728qC==hi;o3156<5;2019663e3td:8>:?:182M77no1e=??<:316g>{i9=9?=7>51zJ24c`if:l2645=::?m7p`>4267>5<6sA;;jk5a1330>75182we=9=;5;295~N68ol0b<<>3;0024=zf8>88;4?:0yK55`a3g;9=>4=3708yk73;==1<7?tH02eb>h6:891>>8<;|l2062?290:wE??fg9m57742;9=86sa1517=?6=9rB:<1vb<:<4`83>4}O99lm7c?=1281730<=52244?xh6<:>h6=4>{I33bc=i9;;86?=98:m5153l3:1=vF>0gd8j446;388:45rn0600`<728qC==hi;o3156<5;?k0qc?;35d94?7|@8:mj6`>2019660e3td:8>;?:182M77no1e=??<:315g>{i9=9>=7>51zJ24c`if:l2645=::4277>5<6sA;;jk5a1330>75082we=9=:5;295~N68ol0b<<>3;0034=zf8>89;4?:0yK55`a3g;9=>4=3608yk73;<=1<7?tH02eb>h6:891>>9<;|l2063?290:wE??fg9m57742;9<86sa1516=?6=9rB:4}O99lm7c?=1281720<=52254?xh6<:?h6=4>{I33bc=i9;;86?=88:m5152l3:1=vF>0gd8j446;388;45rn0601`<728qC==hi;o3156<5;>k0qc?;34d94?7|@8:mj6`>2019661e3td:8>8?:182M77no1e=??<:314g>{i9=9==7>51zJ24c`;0;6if:l2645=::=m7p`>4247>5<6sA;;jk5a1330>75?82we=9=95;295~N68ol0b<<>3;00<4=zf8>8:;4?:0yK55`a3g;9=>4=3908yk73;?=1<7?tH02eb>h6:891>>6<;|l2060?290:wE??fg9m57742;9386sa1515=?6=9rB:4}O99lm7c?=12817=0<=522:4?xh6<:{I33bc=i9;;86?=78:m5151l3:1=vF>0gd8j446;388445rn0602`<728qC==hi;o3156<5;1k0qc?;37d94?7|@8:mj6`>201966>e3td:8>9?:182M77no1e=??<:31;g>{i9=9<=7>51zJ24c`if:l2645=::2m7p`>4257>5<6sA;;jk5a1330>75>82we=9=85;295~N68ol0b<<>3;00=4=zf8>8;;4?:0yK55`a3g;9=>4=3808yk73;>=1<7?tH02eb>h6:891>>7<;|l2061?290:wE??fg9m57742;9286sa1514=?6=9rB:4}O99lm7c?=12817<0<=522;4?xh6<:=h6=4>{I33bc=i9;;86?=68:m5150l3:1=vF>0gd8j446;388545rn0603`<728qC==hi;o3156<5;0k0qc?;36d94?7|@8:mj6`>201966?e3td:8>6?:182M77no1e=??<:31:g>{i9=93=7>51zJ24c`if:l2645=::3m7p`>42:7>5<6sA;;jk5a1330>75f82we=9=75;295~N68ol0b<<>3;00e4=zf8>84;4?:0yK55`a3g;9=>4=3`08yk73;1=1<7?tH02eb>h6:891>>o<;|l206>?290:wE??fg9m57742;9j86sa151;=?6=9rB:4}O99lm7c?=12817d0<=522c4?xh6<:2h6=4>{I33bc=i9;;86?=n8:m515?l3:1=vF>0gd8j446;388m45rn060<`<728qC==hi;o3156<5;hk0qc?;39d94?7|@8:mj6`>201966ge3td:8>7?:182M77no1e=??<:31bg>{i9=92=7>51zJ24c`if:l2645=::km7p`>42;7>5<6sA;;jk5a1330>75e82we=9=65;295~N68ol0b<<>3;00f4=zf8>85;4?:0yK55`a3g;9=>4=3c08yk73;0=1<7?tH02eb>h6:891>>l<;|l206??290:wE??fg9m57742;9i86sa151:=?6=9rB:4}O99lm7c?=12817g0<=522`4?xh6<:3h6=4>{I33bc=i9;;86?=m8:m515>l3:1=vF>0gd8j446;388n45rn060=`<728qC==hi;o3156<5;kk0qc?;38d94?7|@8:mj6`>201966de3td:8>o?:182M77no1e=??<:31ag>{i9=9j=7>51zJ24c`if:l2645=::hm7p`>42c7>5<6sA;;jk5a1330>75d82we=9=n5;295~N68ol0b<<>3;00g4=zf8>8m;4?:0yK55`a3g;9=>4=3b08yk73;h=1<7?tH02eb>h6:891>>m<;|l206g?290:wE??fg9m57742;9h86sa151b=?6=9rB:4}O99lm7c?=12817f0<=522a4?xh6<:kh6=4>{I33bc=i9;;86?=l8:m515fl3:1=vF>0gd8j446;388o45rn060e`<728qC==hi;o3156<5;jk0qc?;3`d94?7|@8:mj6`>201966ee3td:8>l?:182M77no1e=??<:31`g>{i9=9i=7>51zJ24c`if:l2645=::im7p`>42`7>5<6sA;;jk5a1330>75c82we=9=m5;295~N68ol0b<<>3;00`4=zf8>8n;4?:0yK55`a3g;9=>4=3e08yk73;k=1<7?tH02eb>h6:891>>j<;|l206d?290:wE??fg9m57742;9o86sa151a=?6=9rB:4}O99lm7c?=12817a0<=522f4?xh6<:hh6=4>{I33bc=i9;;86?=k8:m515el3:1=vF>0gd8j446;388h45rn060f`<728qC==hi;o3156<5;mk0qc?;3cd94?7|@8:mj6`>201966be3td:8>m?:182M77no1e=??<:31gg>{i9=9h=7>51zJ24c`if:l2645=::nm7p`>42a7>5<6sA;;jk5a1330>75b82we=9=l5;295~N68ol0b<<>3;00a4=zf8>8o;4?:0yK55`a3g;9=>4=3d08yk73;j=1<7?tH02eb>h6:891>>k<;|l206e?290:wE??fg9m57742;9n86sa151`=?6=9rB:4}O99lm7c?=12817`0<=522g4?xh6<:ih6=4>{I33bc=i9;;86?=j8:m515dl3:1=vF>0gd8j446;388i45rn060g`<728qC==hi;o3156<5;lk0qc?;3bd94?7|@8:mj6`>201966ce3td:8>j?:182M77no1e=??<:31fg>{i9=9o=7>51zJ24c`if:l2645=::om7p`>42f7>5<6sA;;jk5a1330>75a82we=9=k5;295~N68ol0b<<>3;00b4=zf8>8h;4?:0yK55`a3g;9=>4=3g08yk73;m=1<7?tH02eb>h6:891>>h<;|l206b?290:wE??fg9m57742;9m86sa151g=?6=9rB:4}O99lm7c?=12817c0<=522d4?xh6<:nh6=4>{I33bc=i9;;86?=i8:m515cl3:1=vF>0gd8j446;388j45rn060``<728qC==hi;o3156<5;ok0qc?;3ed94?7|@8:mj6`>201966`e3td:8>k?:182M77no1e=??<:31eg>{i9=9n=7>51zJ24c`if:l2645=::lm7p`>42g7>5<6sA;;jk5a1330>72782we=9=j5;295~N68ol0b<<>3;0744=zf8>8i;4?:0yK55`a3g;9=>4=4108yk73;l=1<7?tH02eb>h6:891>9><;|l206c?290:wE??fg9m57742;>;86sa151f=?6=9rB:4}O99lm7c?=1281050<=52524?xh6<:oh6=4>{I33bc=i9;;86?:?8:m515bl3:1=vF>0gd8j446;38?<45rn060a`<728qC==hi;o3156<5<9k0qc?;3dd94?7|@8:mj6`>2019616e3td:8>h?:182M77no1e=??<:363g>{i9=9m=7>51zJ24c`if:l2645=:=:m7p`>42d7>5<6sA;;jk5a1330>72682we=9=i5;295~N68ol0b<<>3;0754=zf8>8j;4?:0yK55`a3g;9=>4=4008yk73;o=1<7?tH02eb>h6:891>9?<;|l206`?290:wE??fg9m57742;>:86sa151e=?6=9rB:4}O99lm7c?=1281040<=52534?xh6<:lh6=4>{I33bc=Nmmo1=v?5}[:42?3|0o914h7577`9551e28:8>7s+1111>46a02d:><=5253;?xh6<:lo6=4>{I33bc=Nmmo1=v?5}[:42?2|0o914h7577`9551e2td:><=5253:?xh6<:ln6=4={I33bc=i9;;86?:>a:m515an3:1>vF>0gd8j446;38?=o5rn06745<72;qC==hi;o3156<5<8i0qc?;41394?4|@8:mj6`>2019617c3td:89>=:181M77no1e=??<:362a>{i9=>;?7>52zJ24c`4}o37053=838pD<>if:l2645=:=8:7p`>4525>5<5sA;;jk5a1330>725:2we=9:?7;296~N68ol0b<<>3;0766=zf8>?<54?:3yK55`a3g;9=>4=4368yk73<931<7h6:891>9<:;|l2016f2909wE??fg9m57742;>9:6sa1563f?6=:rB:1vb<:;0b83>7}O99lm7c?=128107><=5250:?xh6<=:n6=4={I33bc=i9;;86?:=a:m5127n3:1>vF>0gd8j446;38?>o5rn06755<72;qC==hi;o3156<5<;i0qc?;40394?4|@8:mj6`>2019614c3td:89?=:181M77no1e=??<:361a>{i9=>:?7>52zJ24c`>4}o37043=838pD<>if:l2645=:=9:7p`>4535>5<5sA;;jk5a1330>724:2we=9:>7;296~N68ol0b<<>3;0776=zf8>?=54?:3yK55`a3g;9=>4=4268yk73<831<7h6:891>9=:;|l2017f2909wE??fg9m57742;>8:6sa1562f?6=:rB:1vb<:;1b83>7}O99lm7c?=128106><=5251:?xh6<=;n6=4={I33bc=i9;;86?:vF>0gd8j446;38??o5rn06765<72;qC==hi;o3156<5<:i0qc?;43394?4|@8:mj6`>2019615c3td:89<=:181M77no1e=??<:360a>{i9=>9?7>52zJ24c`4}o37073=838pD<>if:l2645=:=>:7p`>4505>5<5sA;;jk5a1330>723:2we=9:=7;296~N68ol0b<<>3;0706=zf8>?>54?:3yK55`a3g;9=>4=4568yk73<;31<7h6:891>9::;|l2014f2909wE??fg9m57742;>?:6sa1561f?6=:rB:1vb<:;2b83>7}O99lm7c?=128101><=5256:?xh6<=8n6=4={I33bc=i9;;86?:;a:m5125n3:1>vF>0gd8j446;38?8o5rn06775<72;qC==hi;o3156<5<=i0qc?;42394?4|@8:mj6`>2019612c3td:89==:181M77no1e=??<:367a>{i9=>8?7>52zJ24c`4}o37063=838pD<>if:l2645=:=?:7p`>4515>5<5sA;;jk5a1330>722:2we=9:<7;296~N68ol0b<<>3;0716=zf8>??54?:3yK55`a3g;9=>4=4468yk73<:31<7h6:891>9;:;|l2015f2909wE??fg9m57742;>>:6sa1560f?6=:rB:1vb<:;3b83>7}O99lm7c?=128100>j50;0xL46an2d:><=5257:?xh6<=9n6=4>{I33bc=Nmmo1=v<5}[:42?2|0o914h75115a>g012td:><=5257b?k77il0;7p`>451e>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=?i7p`>4563>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=?h7p`>4562>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=?o7p`>4561>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=?n7p`>4560>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=?m7p`>4567>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=<;7p`>4566>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=<:7p`>4565>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=<97p`>4564>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=<87p`>456;>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=456:>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=<>7p`>456b>5<6sA;;jk5Feeg95~4=uS2<:7:t8g19<`?=99=i6o89:|l2645=:=<=7p`>456a>5<6sA;;jk5Feeg95~4=uS2<:7=t8g19<`?=99=i6p`>201961003td:89:l:182M77no1Biik51z09y_>0>39p4k=58d;9551e2td:><=5254;?xh6<=>o6=4>{I33bc=Nmmo1=v<5}[:42?5|0o914h75115a>xh6:891>986;|l2012b290:wE??fg9Jaac=9r81qW686;1x456e>5<6sA;;jk5Feeg95~4=uS2<:7=t8g19<`?=99=i6p`>2019610e3td:89;?:182M77no1Biik51z09y_>0>39p4k=58d;9551e2td:><=5254`?k77il0:7p`>4572>5<6sA;;jk5Feeg95~4=uS2<:7=t8g19<`?=99=i6p`>2019610c3td:89;=:182M77no1Biik51z09y_>0>39p4k=58d;9551e2td:><=5254f?k77il0;7p`>4570>5<6sA;;jk5Feeg95~4=uS2<:7=t8g19<`?=99=i6p`>2019610a3td:89;;:182M77no1Biik51z09y_>0>39p4k=58d;9551e2td:><=52553?xh6<=?>6=4>{I33bc=i9;;86?:81:m5122>3:1=vF>0gd8j446;38?;?5rn06712<728qC==hi;o3156<5<>90qc?;44:94?7|@8:mj6`>201961133td:89;6:182M77no1e=??<:3641>{i9=>>m7>51zJ24c`if:l2645=:==37p`>457g>5<6sA;;jk5a1330>72012we=9::e;295~N68ol0b<<>3;073d=zf8>?9k4?:0yK55`a3g;9=>4=46`8yk73h6:891>99l;|l20106290:wE??fg9m57742;>4}O99lm7c?=128102`<=525:3?xh6<=<>6=4>{I33bc=i9;;86?:71:m5121>3:1=vF>0gd8j446;38?4?5rn06722<728qC==hi;o3156<5<190qc?;47:94?7|@8:mj6`>201961>33td:8986:182M77no1e=??<:36;1>{i9=>=m7>51zJ24c`k0;6if:l2645=:=237p`>454g>5<6sA;;jk5a1330>72?12we=9:9e;295~N68ol0b<<>3;07?:k4>:0yK55`a3g;9=>4=49`8 447l39n585rn06735<628qC==hi;o3156<5<1i0(<?;<4>:0yK55`a3g;9=>4=49f8 447l39n5?5rn06737<628qC==hi;o3156<5<1o0(<?;>4>:0yK55`a3g;9=>4=49d8 447l39n5=5rn06731<628qC==hi;o3156<5<0:0(<?;84>:0yK55`a3g;9=>4=4838 447l39n4h5rn06733<628qC==hi;o3156<5<080(<?;:4>:0yK55`a3g;9=>4=4818 447l39n4n5rn0673=<628qC==hi;o3156<5<0>0(<?;44>:0yK55`a3g;9=>4=4878 447l39n4l5rn0673d<628qC==hi;o3156<5<0<0(<?;o4>:0yK55`a3g;9=>4=4858 447l39n4:5rn0673f<628qC==hi;o3156<5<020(<?;i4>:0yK55`a3g;9=>4=48;8 447l39n485rn0673`<628qC==hi;o3156<5<0k0(<?;k4>:0yK55`a3g;9=>4=48`8 447l39n4>5rn067<5<628qC==hi;o3156<5<0i0(<?4<4>:0yK55`a3g;9=>4=48f8 447l39n4<5rn067<7<628qC==hi;o3156<5<0o0(<?4>4>:0yK55`a3g;9=>4=48d8 447l39n;k5rn067<1<628qC==hi;o3156<5?484>:0yK55`a3g;9=>4=4`38 447l39n5n5rn067<3<628qC==hi;o3156<5?4:4>:0yK55`a3g;9=>4=4`18 447l39n5l5rn067<=<628qC==hi;o3156<50(<?444>:0yK55`a3g;9=>4=4`78 447l39n555rn067?4o4>:0yK55`a3g;9=>4=4`58 447l39n595rn067?4i4>:0yK55`a3g;9=>4=4`;8 447l3?;oi5rn067<`<628qC==hi;o3156<5?4k4>:0yK55`a3g;9=>4=4``8 447l3?;h;5rn067=5<628qC==hi;o3156<5?5<4>:0yK55`a3g;9=>4=4`f8 447l3?;h95rn067=7<628qC==hi;o3156<5?5>4>:0yK55`a3g;9=>4=4`d8 447l3?;m=5rn067=1<628qC==hi;o3156<5?584>:0yK55`a3g;9=>4=4c38 447l3?;m45rn067=3<628qC==hi;o3156<5?5:4>:0yK55`a3g;9=>4=4c18 447l3>hn;5rn067==<628qC==hi;o3156<50(<?544>:0yK55`a3g;9=>4=4c78 447l3>hn>5rn067=d<628qC==hi;o3156<5?5o4>:0yK55`a3g;9=>4=4c58 447l3>hn<5rn067=f<628qC==hi;o3156<5?5i4>:0yK55`a3g;9=>4=4c;8 447l3>hmk5rn067=`<628qC==hi;o3156<5?5k4>:0yK55`a3g;9=>4=4c`8 447l3>hmi5rn067e5<628qC==hi;o3156<5?m<4>:0yK55`a3g;9=>4=4cf8 447l3>hmo5rn067e7<628qC==hi;o3156<5?m>4>:0yK55`a3g;9=>4=4cd8 447l3>hnk5rn067e1<628qC==hi;o3156<5?m84>:0yK55`a3g;9=>4=4b38 447l3>hni5rn067e3<628qC==hi;o3156<5?m:4>:0yK55`a3g;9=>4=4b18 447l3>hno5rn067e=<628qC==hi;o3156<50(<?m44>:0yK55`a3g;9=>4=4b78 447l3>hn45rn067ed<628qC==hi;o3156<5?mo4>:0yK55`a3g;9=>4=4b58 447l3>hn95rn067ef<628qC==hi;o3156<5?mi4>:0yK55`a3g;9=>4=4b;8 447l38:j45rn067e`<628qC==hi;o3156<5?mk4>:0yK55`a3g;9=>4=4b`8 447l38:j:5rn067f5<628qC==hi;o3156<5?n<4>:0yK55`a3g;9=>4=4bf8 447l38:j85rn067f7<628qC==hi;o3156<5?n>4>:0yK55`a3g;9=>4=4bd8 447l38:j>5rn067f1<628qC==hi;o3156<5?n84>:0yK55`a3g;9=>4=4e38 447l38;4l5rn067f3<628qC==hi;o3156<5?n:4>:0yK55`a3g;9=>4=4e18 447l38;455rn067f=<628qC==hi;o3156<50(<?n44>:0yK55`a3g;9=>4=4e78 447l38;4;5rn067fd<628qC==hi;o3156<5?no4>:0yK55`a3g;9=>4=4e58 447l38;495rn067ff<628qC==hi;o3156<5?ni4>:0yK55`a3g;9=>4=4e;8 447l3>>m95rn067f`<628qC==hi;o3156<5?nk4>:0yK55`a3g;9=>4=4e`8 447l3>?<;5rn067g5<628qC==hi;o3156<5?o<4>:0yK55`a3g;9=>4=4ef8 447l3>?<95rn067g7<628qC==hi;o3156<5?o>4>:0yK55`a3g;9=>4=4ed8 447l3>??o84>:0yK55`a3g;9=>4=4d38 447l3>?<=5rn067g3<628qC==hi;o3156<5?o:4>:0yK55`a3g;9=>4=4d18 447l3>8jh5rn067g=<628qC==hi;o3156<50(<?o44>:0yK55`a3g;9=>4=4d78 447l3>8hl5rn067gd<628qC==hi;o3156<5?oo4>:0yK55`a3g;9=>4=4d58 447l3>8h;5rn067gf<628qC==hi;o3156<5?oi4>:0yK55`a3g;9=>4=4d;8 447l3>8h?5rn067g`<628qC==hi;o3156<5?ok4>:0yK55`a3g;9=>4=4d`8 447l3>8oh5rn067`5<628qC==hi;o3156<5?h<4>:0yK55`a3g;9=>4=4df8 447l3>:m>5rn067`7<628qC==hi;o3156<5?h>4>:0yK55`a3g;9=>4=4dd8 447l3>:m85rn067`1<628qC==hi;o3156<5?h84>:0yK55`a3g;9=>4=4g38 447l3>:m:5rn067`3<628qC==hi;o3156<5?h:4>:0yK55`a3g;9=>4=4g18 447l3>:m45rn067`=<628qC==hi;o3156<50(<?h44>:0yK55`a3g;9=>4=4g78 447l3>:<55rn067`d<628qC==hi;o3156<5?ho4>:0yK55`a3g;9=>4=4g58 447l3>:<85rn067`f<628qC==hi;o3156<5?hi4>:0yK55`a3g;9=>4=4g;8 447l3>:<>5rn067``<628qC==hi;o3156<5?hk4>:0yK55`a3g;9=>4=4g`8 447l3>:<<5rn067a5<628qC==hi;o3156<5?i<4>:0yK55`a3g;9=>4=4gf8 447l3>;jk5rn067a7<628qC==hi;o3156<5?i>4>:0yK55`a3g;9=>4=4gd8 447l3>;ji5rn067a1<628qC==hi;o3156<5=9:0(<?i84>:0yK55`a3g;9=>4=5138 447l3>;jl5rn067a3<628qC==hi;o3156<5=980(<?i:4>:0yK55`a3g;9=>4=5118 447l3>;j55rn067a=<628qC==hi;o3156<5=9>0(<?i44>:0yK55`a3g;9=>4=5178 447l3>;j;5rn067ad<628qC==hi;o3156<5=9<0(<?io4>:0yK55`a3g;9=>4=5158 447l3>;j95rn067af<628qC==hi;o3156<5=920(<?ii4>:0yK55`a3g;9=>4=51;8 447l3>;j?5rn067a`<628qC==hi;o3156<5=9k0(<?ik4>:0yK55`a3g;9=>4=51`8 447l3>:?j<4>:0yK55`a3g;9=>4=51f8 447l3>:?j>4>:0yK55`a3g;9=>4=51d8 447l3>:?j84>:0yK55`a3g;9=>4=5038 447l3>:<:5rn067b3<628qC==hi;o3156<5=880(<?j:4>:0yK55`a3g;9=>4=5018 447l388;85rn067b=<628qC==hi;o3156<5=8>0(<?j44>:0yK55`a3g;9=>4=5078 447l389nk5rn067bd<628qC==hi;o3156<5=8<0(<?jo4>:0yK55`a3g;9=>4=5058 447l39;ni5rn067bf<628qC==hi;o3156<5=820(<?ji4>:0yK55`a3g;9=>4=50;8 447l39;no5rn067b`<628qC==hi;o3156<5=8k0(<?jk4>:0yK55`a3g;9=>4=50`8 447l39;n55rn06645<628qC==hi;o3156<5=8i0(<><<4>:0yK55`a3g;9=>4=50f8 447l39;n;5rn06647<628qC==hi;o3156<5=8o0(<><>4>:0yK55`a3g;9=>4=50d8 447l38o=:5rn06641<628qC==hi;o3156<5=;:0(<><84>:0yK55`a3g;9=>4=5338 447l38o=85rn06643<628qC==hi;o3156<5=;80(<><:4>:0yK55`a3g;9=>4=5318 447l38o=?5rn0664=<628qC==hi;o3156<5=;>0(<><44>:0yK55`a3g;9=>4=5378 447l38o==5rn0664d<628qC==hi;o3156<5=;<0(<>:0yK55`a3g;9=>4=5358 447l382;45rn0664f<628qC==hi;o3156<5=;20(<>:0yK55`a3g;9=>4=53;8 447l382;:5rn0664`<628qC==hi;o3156<5=;k0(<>:0yK55`a3g;9=>4=53`8 447l382;95rn06655<628qC==hi;o3156<5=;i0(<>=<4>:0yK55`a3g;9=>4=53f8 447l382;?5rn06657<628qC==hi;o3156<5=;o0(<>=>4>:0yK55`a3g;9=>4=53d8 447l3?;oh5rn06651<628qC==hi;o3156<5=::0(<>=84>:0yK55`a3g;9=>4=5238 447l3>hn:5rn06653<628qC==hi;o3156<5=:80(<>=:4>:0yK55`a3g;9=>4=5218 447l38;4n5rn0665=<628qC==hi;o3156<5=:>0(<>=44>:0yK55`a3g;9=>4=5278 447l3>8ol5rn0665d<628qC==hi;o3156<5=:<0(<>=o4>:0yK55`a3g;9=>4=5258 447l3>:<45rn0665f<628qC==hi;o3156<5=:20(<>=i4>:0yK55`a3g;9=>4=52;8 447l38o=55rn0665`<628qC==hi;o3156<5=:k0(<>=k4?:0yK55`a3g;9=>4=52`8yk73=;:1<7?tH02eb>h6:891>8=l;|l20046290:wE??fg9m57742;?8h6sa15716?6=9rB:4}O99lm7c?=128116`<=52463?xh6<<8>6=4>{I33bc=i9;;86?;;1:m5135>3:1=vF>0gd8j446;38>8?5rn06662<728qC==hi;o3156<5==90qc?;53:94?7|@8:mj6`>201960233td:88<6:182M77no1e=??<:3771>{i9=?9m7>51zJ24c`if:l2645=:<>37p`>440g>5<6sA;;jk5a1330>73312we=9;=e;295~N68ol0b<<>3;060d=zf8>>>k4?:0yK55`a3g;9=>4=55`8yk73=::1<7?tH02eb>h6:891>8:l;|l20056290:wE??fg9m57742;??h6sa15706?6=9rB:4}O99lm7c?=128111`:50;3xL46an2d:><=52473?xh6<<9>6=4>{I33bc=i9;;86?;:1:m5134>3:1=vF>0gd8j446;38>9?5rn06672<728qC==hi;o3156<5=<90qc?;52:94?7|@8:mj6`>201960333td:88=6:182M77no1e=??<:3761>{i9=?8m7>51zJ24c`if:l2645=:441g>5<6sA;;jk5a1330>73212we=9;3;061d=zf8>>?k4?:0yK55`a3g;9=>4=54`8yk73==:1<7?tH02eb>h6:891>8;l;|l20026290:wE??fg9m57742;?>h6sa15776?6=9rB:4}O99lm7c?=128110`<=52443?xh6<<>>6=4>{I33bc=i9;;86?;91:m5133>3:1=vF>0gd8j446;38>:?5rn06602<728qC==hi;o3156<5=?90qc?;55:94?7|@8:mj6`>201960033td:88:6:182M77no1e=??<:3751>{i9=??m7>51zJ24c`if:l2645=:<<37p`>446g>5<6sA;;jk5a1330>73112we=9;;e;295~N68ol0b<<>3;062d=zf8>>8k4?:0yK55`a3g;9=>4=57`8yk73=<:1<7?tH02eb>h6:891>88l;|l20036290:wE??fg9m57742;?=h6sa15766?6=9rB:l1vb<::5283>4}O99lm7c?=128113`<=52453?xh6<6=4>{I33bc=i9;;86?;81:m5132>3:1=vF>0gd8j446;38>;?5rn06612<728qC==hi;o3156<5=>90qc?;54:94?7|@8:mj6`>201960133td:88;6:182M77no1e=??<:3741>{i9=?>m7>51zJ24c`if:l2645=:<=37p`>447g>5<6sA;;jk5a1330>73012we=9;:e;295~N68ol0b<<>3;063d=zf8>>9k4?:0yK55`a3g;9=>4=56`8yk73=?:1<7?tH02eb>h6:891>89l;|l20006290:wE??fg9m57742;?4}O99lm7c?=128112`<=524:3?xh6<<<>6=4>{I33bc=i9;;86?;71:m5131>3:1=vF>0gd8j446;38>4?5rn06622<728qC==hi;o3156<5=190qc?;57:94?7|@8:mj6`>201960>33td:8886:182M77no1e=??<:37;1>{i9=?=m7>51zJ24c`k0;6if:l2645=:<237p`>444g>5<6sA;;jk5a1330>73?12we=9;9e;295~N68ol0b<<>3;06>:k4?:0yK55`a3g;9=>4=59`8yk73=>:1<7?tH02eb>h6:891>86l;|l20016290:wE??fg9m57742;?3h6sa15746?6=9rB:4}O99lm7c?=12811=`<=524;3?xh6<<=>6=4>{I33bc=i9;;86?;61:m5130>3:1=vF>0gd8j446;38>5?5rn06632<728qC==hi;o3156<5=090qc?;56:94?7|@8:mj6`>201960?33td:8896:182M77no1e=??<:37:1>{i9=?51zJ24c`if:l2645=:<337p`>445g>5<6sA;;jk5a1330>73>12we=9;8e;295~N68ol0b<<>3;06=d=zf8>>;k4?:0yK55`a3g;9=>4=58`8yk73=1:1<7?tH02eb>h6:891>87l;|l200>6290:wE??fg9m57742;?2h6sa157;6?6=9rB:4}O99lm7c?=12811<`<=524c3?xh6<<2>6=4>{I33bc=i9;;86?;n1:m513?>3:1=vF>0gd8j446;38>m?5rn066<2<728qC==hi;o3156<5=h90qc?;59:94?7|@8:mj6`>201960g33td:8866:182M77no1e=??<:37b1>{i9=?3m7>51zJ24c`if:l2645=:44:g>5<6sA;;jk5a1330>73f12we=9;7e;295~N68ol0b<<>3;06ed=zf8>>4k4?:0yK55`a3g;9=>4=5``8yk73=0:1<7?tH02eb>h6:891>8ol;|l200?6290:wE??fg9m57742;?jh6sa157:6?6=9rB:4}O99lm7c?=12811d`<=524`3?xh6<<3>6=4>{I33bc=i9;;86?;m1:m513>>3:1=vF>0gd8j446;38>n?5rn066=2<728qC==hi;o3156<5=k90qc?;58:94?7|@8:mj6`>201960d33td:8876:182M77no1e=??<:37a1>{i9=?2m7>51zJ24c`if:l2645=:44;g>5<6sA;;jk5a1330>73e12we=9;6e;295~N68ol0b<<>3;06fd=zf8>>5k4?:0yK55`a3g;9=>4=5c`8yk73=h:1<7?tH02eb>h6:891>8ll;|l200g6290:wE??fg9m57742;?ih6sa157b6?6=9rB:4}O99lm7c?=12811g`<=524a3?xh6<6=4>{I33bc=i9;;86?;l1:m513f>3:1=vF>0gd8j446;38>o?5rn066e2<728qC==hi;o3156<5=j90qc?;5`:94?7|@8:mj6`>201960e33td:88o6:182M77no1e=??<:37`1>{i9=?jm7>51zJ24c`if:l2645=:44cg>5<6sA;;jk5a1330>73d12we=9;ne;295~N68ol0b<<>3;06gd=zf8>>mk4?:0yK55`a3g;9=>4=5b`8yk73=k:1<7?tH02eb>h6:891>8ml;|l200d6290:wE??fg9m57742;?hh6sa157a6?6=9rB:4}O99lm7c?=12811f`<=524f3?xh6<6=4>{I33bc=i9;;86?;k1:m513e>3:1=vF>0gd8j446;38>h?5rn066f2<728qC==hi;o3156<5=m90qc?;5c:94?7|@8:mj6`>201960b33td:88l6:182M77no1e=??<:37g1>{i9=?im7>51zJ24c`if:l2645=:44`g>5<6sA;;jk5a1330>73c12we=9;me;295~N68ol0b<<>3;06`d=zf8>>nk4?:0yK55`a3g;9=>4=5e`8yk73=j:1<7?tH02eb>h6:891>8jl;|l200e6290:wE??fg9m57742;?oh6sa157`6?6=9rB:4}O99lm7c?=12811a`<=524g3?xh6<6=4>{I33bc=i9;;86?;j1:m513d>3:1=vF>0gd8j446;38>i?5rn066g2<728qC==hi;o3156<5=l90qc?;5b:94?7|@8:mj6`>201960c33td:88m6:182M77no1e=??<:37f1>{i9=?hm7>51zJ24c`if:l2645=:44ag>5<6sA;;jk5a1330>73b12we=9;le;295~N68ol0b<<>3;06ad=zf8>>ok4?:0yK55`a3g;9=>4=5d`8yk73=m:1<7?tH02eb>h6:891>8kl;|l200b6290:wE??fg9m57742;?nh6sa157g6?6=9rB:4}O99lm7c?=12811``<=524d3?xh6<6=4>{I33bc=i9;;86?;i1:m513c>3:1=vF>0gd8j446;38>j?5rn066`2<728qC==hi;o3156<5=o90qc?;5e:94?7|@8:mj6`>201960`33td:88j6:182M77no1e=??<:37e1>{i9=?om7>51zJ24c`if:l2645=:44fg>5<6sA;;jk5a1330>73a12we=9;ke;295~N68ol0b<<>3;06bd=zf8>>hk4?:0yK55`a3g;9=>4=5g`8yk73=l:1<7?tH02eb>h6:891>8hl;|l200c6290:wE??fg9m57742;?mh6sa157f6?6=9rB:4}O99lm7c?=12811c`<=52723?xh6<6=4>{I33bc=i9;;86?8?1:m513b>3:1=vF>0gd8j446;38=990qc?;5d:94?7|@8:mj6`>201963633td:88k6:182M77no1e=??<:3431>{i9=?nm7>51zJ24c`if:l2645=:?:37p`>44gg>5<6sA;;jk5a1330>70712we=9;je;295~N68ol0b<<>3;054d=zf8>>ik4?:0yK55`a3g;9=>4=61`8yk73=o:1<7?tH02eb>h6:891>;>l;|l200`6290:wE??fg9m57742;<;h6sa157e6?6=9rB:4}O99lm7c?=128125`<=52733?xh6<6=4>{I33bc=i9;;86?8>1:m513a>3:1=vF>0gd8j446;38==?5rn066b2<728qC==hi;o3156<5>890qc?;5g:94?7|@8:mj6`>201963733td:88h6:182M77no1e=??<:3421>{i9=?mm7>51zJ24c`if:l2645=:?;37p`>44dg>5<6sA;;jk5a1330>70612we=9;ie;295~N68ol0b<<>3;055d=zf8>>jk4?:0yK55`a3g;9=>4=60`8yk73>9:1<7?tH02eb>h6:891>;?l;|l20366290:wE??fg9m57742;<:h6sa15436?6=9rB:4}O99lm7c?=128124`<=52703?xh66=4>{I33bc=i9;;86?8=1:m5107>3:1=vF>0gd8j446;38=>?5rn06542<728qC==hi;o3156<5>;90qc?;61:94?7|@8:mj6`>201963433td:8;>6:182M77no1e=??<:3411>{i9=<;m7>51zJ24c`if:l2645=:?837p`>472g>5<6sA;;jk5a1330>70512we=98?e;295~N68ol0b<<>3;056d=zf8>=4=63`8yk73>8:1<7?tH02eb>h6:891>;4}O99lm7c?=128127`<=52713?xh66=4>{I33bc=i9;;86?8<1:m5106>3:1=vF>0gd8j446;38=??5rn06552<728qC==hi;o3156<5>:90qc?;60:94?7|@8:mj6`>201963533td:8;?6:182M77no1e=??<:3401>{i9=<:m7>51zJ24c`94}o3724e=83;pD<>if:l2645=:?937p`>473g>5<6sA;;jk5a1330>70412we=98>e;295~N68ol0b<<>3;057d=zf8>==k4?:0yK55`a3g;9=>4=62`8yk73>;:1<7?tH02eb>h6:891>;=l;|l20346290:wE??fg9m57742;<8h6sa15416?6=9rB:4}O99lm7c?=128126`<=52763?xh66=4>{I33bc=i9;;86?8;1:m5105>3:1=vF>0gd8j446;38=8?5rn06562<728qC==hi;o3156<5>=90qc?;63:94?7|@8:mj6`>201963233td:8;<6:182M77no1e=??<:3471>{i9=<9m7>51zJ24c`if:l2645=:?>37p`>470g>5<6sA;;jk5a1330>70312we=98=e;295~N68ol0b<<>3;050d=zf8>=>k4?:0yK55`a3g;9=>4=65`8yk73>::1<7?tH02eb>h6:891>;:l;|l20356290:wE??fg9m57742;4}O99lm7c?=128121`:50;3xL46an2d:><=52773?xh66=4>{I33bc=i9;;86?8:1:m5104>3:1=vF>0gd8j446;38=9?5rn06572<728qC==hi;o3156<5><90qc?;62:94?7|@8:mj6`>201963333td:8;=6:182M77no1e=??<:3461>{i9=<8m7>51zJ24c`if:l2645=:??37p`>471g>5<6sA;;jk5a1330>70212we=983;051d=zf8>=?k4?:0yK55`a3g;9=>4=64`8yk73>=:1<7?tH02eb>h6:891>;;l;|l20326290:wE??fg9m57742;<>h6sa15476?6=9rB:4}O99lm7c?=128120`<=52743?xh6>6=4>{I33bc=i9;;86?891:m5103>3:1=vF>0gd8j446;38=:?5rn06502<728qC==hi;o3156<5>?90qc?;65:94?7|@8:mj6`>201963033td:8;:6:182M77no1e=??<:3451>{i9=51zJ24c`if:l2645=:?<37p`>476g>5<6sA;;jk5a1330>70112we=98;e;295~N68ol0b<<>3;052d=zf8>=8k4?:0yK55`a3g;9=>4=67`8yk73><:1<7?tH02eb>h6:891>;8l;|l20336290:wE??fg9m57742;<=h6sa15466?6=9rB:l1vb<:95283>4}O99lm7c?=128123`<=52753?xh66=4>{I33bc=i9;;86?881:m5102>3:1=vF>0gd8j446;38=;?5rn06512<728qC==hi;o3156<5>>90qc?;64:94?7|@8:mj6`>201963133td:8;;6:182M77no1e=??<:3441>{i9=<>m7>51zJ24c`if:l2645=:?=37p`>477g>5<6sA;;jk5a1330>70012we=98:e;295~N68ol0b<<>3;053d=zf8>=9k4?:0yK55`a3g;9=>4=66`8yk73>?:1<7?tH02eb>h6:891>;9l;|l20306290:wE??fg9m57742;<4}O99lm7c?=128122`<=527:3?xh66=4>{I33bc=i9;;86?871:m5101>3:1=vF>0gd8j446;38=4?5rn06522<728qC==hi;o3156<5>190qc?;67:94?7|@8:mj6`>201963>33td:8;86:182M77no1e=??<:34;1>{i9=<=m7>51zJ24c`k0;6if:l2645=:?237p`>474g>5<6sA;;jk5a1330>70?12we=989e;295~N68ol0b<<>3;05=:k4?:0yK55`a3g;9=>4=69`8yk73>>:1<7?tH02eb>h6:891>;6l;|l20316290:wE??fg9m57742;<3h6sa15446?6=9rB:4}O99lm7c?=12812=`<=527;3?xh66=4>{I33bc=i9;;86?861:m5100>3:1=vF>0gd8j446;38=5?5rn06532<728qC==hi;o3156<5>090qc?;66:94?7|@8:mj6`>201963?33td:8;96:182M77no1e=??<:34:1>{i9=<51zJ24c`if:l2645=:?337p`>475g>5<6sA;;jk5a1330>70>12we=988e;295~N68ol0b<<>3;05=d=zf8>=;k4?:0yK55`a3g;9=>4=68`8yk73>1:1<7?tH02eb>h6:891>;7l;|l203>6290:wE??fg9m57742;<2h6sa154;6?6=9rB:4}O99lm7c?=12812<`<=527c3?xh66=4>{I33bc=i9;;86?8n1:m510?>3:1=vF>0gd8j446;38=m?5rn065<2<728qC==hi;o3156<5>h90qc?;69:94?7|@8:mj6`>201963g33td:8;66:182M77no1e=??<:34b1>{i9=<3m7>51zJ24c`if:l2645=:?k37p`>47:g>5<6sA;;jk5a1330>70f12we=987e;295~N68ol0b<<>3;05ed=zf8>=4k4?:0yK55`a3g;9=>4=6``8yk73>0:1<7?tH02eb>h6:891>;ol;|l203?6290:wE??fg9m57742;4}O99lm7c?=12812d`<=527`3?xh66=4>{I33bc=i9;;86?8m1:m510>>3:1=vF>0gd8j446;38=n?5rn065=2<728qC==hi;o3156<5>k90qc?;68:94?7|@8:mj6`>201963d33td:8;76:182M77no1e=??<:34a1>{i9=<2m7>51zJ24c`if:l2645=:?h37p`>47;g>5<6sA;;jk5a1330>70e12we=986e;295~N68ol0b<<>3;05fd=zf8>=5k4?:0yK55`a3g;9=>4=6c`8yk73>h:1<7?tH02eb>h6:891>;ll;|l203g6290:wE??fg9m57742;4}O99lm7c?=12812g`<=527a3?xh66=4>{I33bc=i9;;86?8l1:m510f>3:1=vF>0gd8j446;38=o?5rn065e2<728qC==hi;o3156<5>j90qc?;6`:94?7|@8:mj6`>201963e33td:8;o6:182M77no1e=??<:34`1>{i9=51zJ24c`if:l2645=:?i37p`>47cg>5<6sA;;jk5a1330>70d12we=98ne;295~N68ol0b<<>3;05gd=zf8>=mk4?:0yK55`a3g;9=>4=6b`8yk73>k:1<7?tH02eb>h6:891>;ml;|l203d6290:wE??fg9m57742;4}O99lm7c?=12812f`<=527f3?xh66=4>{I33bc=i9;;86?8k1:m510e>3:1=vF>0gd8j446;38=h?5rn065f2<728qC==hi;o3156<5>m90qc?;6c:94?7|@8:mj6`>201963b33td:8;l6:182M77no1e=??<:34g1>{i9=51zJ24c`if:l2645=:?n37p`>47`g>5<6sA;;jk5a1330>70c12we=98me;295~N68ol0b<<>3;05`d=zf8>=nk4?:0yK55`a3g;9=>4=6e`8yk73>j:1<7?tH02eb>h6:891>;jl;|l203e6290:wE??fg9m57742;4}O99lm7c?=12812a`<=527g3?xh66=4>{I33bc=i9;;86?8j1:m510d>3:1=vF>0gd8j446;38=i?5rn065g2<728qC==hi;o3156<5>l90qc?;6b:94?7|@8:mj6`>201963c33td:8;m6:182M77no1e=??<:34f1>{i9=51zJ24c`if:l2645=:?o37p`>47ag>5<6sA;;jk5a1330>70b12we=98le;295~N68ol0b<<>3;05ad=zf8>=ok4?:0yK55`a3g;9=>4=6d`8yk73>m:1<7?tH02eb>h6:891>;kl;|l203b6290:wE??fg9m57742;4}O99lm7c?=12812``<=527d3?xh66=4>{I33bc=i9;;86?8i1:m510c>3:1=vF>0gd8j446;38=j?5rn065`2<728qC==hi;o3156<5>o90qc?;6e:94?7|@8:mj6`>201963`33td:8;j6:182M77no1e=??<:34e1>{i9=51zJ24c`if:l2645=:?l37p`>47fg>5<6sA;;jk5a1330>70a12we=98ke;295~N68ol0b<<>3;05bd=zf8>=hk4?:0yK55`a3g;9=>4=6g`8yk73>l:1<7?tH02eb>h6:891>;hl;|l203c6290:wE??fg9m57742;4}O99lm7c?=12812c`<=52623?xh66=4>{I33bc=i9;;86?9?1:m510b>3:1=vF>0gd8j446;38<201962633td:8;k6:182M77no1e=??<:3531>{i9=51zJ24c`if:l2645=:>:37p`>47gg>5<6sA;;jk5a1330>71712we=98je;295~N68ol0b<<>3;044d=zf8>=ik4?:0yK55`a3g;9=>4=71`8yk73>o:1<7?tH02eb>h6:891>:>l;|l203`6290:wE??fg9m57742;=;h6sa154e6?6=9rB:4}O99lm7c?=128135`<=52633?xh66=4>{I33bc=i9;;86?9>1:m510a>3:1=vF>0gd8j446;38<=?5rn065b2<728qC==hi;o3156<5?890qc?;6g:94?7|@8:mj6`>201962733td:8;h6:182M77no1e=??<:3521>{i9=51zJ24c`if:l2645=:>;37p`>47dg>5<6sA;;jk5a1330>71612we=98ie;295~N68ol0b<<>3;045d=zf8>=jk4?:0yK55`a3g;9=>4=70`8yk73?9:1<7?tH02eb>h6:891>:?l;|l20266290:wE??fg9m57742;=:h6sa15536?6=9rB:4}O99lm7c?=128134`<=52603?xh6<>:>6=4>{I33bc=i9;;86?9=1:m5117>3:1=vF>0gd8j446;38<>?5rn06442<728qC==hi;o3156<5?;90qc?;71:94?7|@8:mj6`>201962433td:8:>6:182M77no1e=??<:3511>{i9==;m7>51zJ24c`if:l2645=:>837p`>462g>5<6sA;;jk5a1330>71512we=99?e;295~N68ol0b<<>3;046d=zf8><4=73`8yk73?8:1<7?tH02eb>h6:891>:4}O99lm7c?=128137`<=52613?xh6<>;>6=4>{I33bc=i9;;86?9<1:m5116>3:1=vF>0gd8j446;38201962533td:8:?6:182M77no1e=??<:3501>{i9==:m7>51zJ24c`94}o3734e=83;pD<>if:l2645=:>937p`>463g>5<6sA;;jk5a1330>71412we=99>e;295~N68ol0b<<>3;047d=zf8><=k4?:0yK55`a3g;9=>4=72`8yk73?;:1<7?tH02eb>h6:891>:=l;|l20246290:wE??fg9m57742;=8h6sa15516?6=9rB:4}O99lm7c?=128136`<=52663?xh6<>8>6=4>{I33bc=i9;;86?9;1:m5115>3:1=vF>0gd8j446;38<8?5rn06462<728qC==hi;o3156<5?=90qc?;73:94?7|@8:mj6`>201962233td:8:<6:182M77no1e=??<:3571>{i9==9m7>51zJ24c`if:l2645=:>>37p`>460g>5<6sA;;jk5a1330>71312we=99=e;295~N68ol0b<<>3;040d=zf8><>k4?:0yK55`a3g;9=>4=75`8yk73?::1<7?tH02eb>h6:891>::l;|l202562909wE??fg9m57742;=?h6sa15506?6=:rB:7}O99lm7c?=128131`:50;0xL46an2d:><=52673?xh6<>9>6=4={I33bc=i9;;86?9:1:m5114>3:1>vF>0gd8j446;38<9?5rn06472<72;qC==hi;o3156<5?<90qc?;72:94?4|@8:mj6`>201962333td:8:=6:181M77no1e=??<:3561>{i9==8m7>52zJ24c`if:l2645=:>?37p`>461g>5<5sA;;jk5a1330>71212we=993;041d=zf8>4=74`8yk73?=:1<7h6:891>:;l;|l202262909wE??fg9m57742;=>h6sa15576?6=:rB:7}O99lm7c?=128130`<=52643?xh6<>>>6=4={I33bc=i9;;86?991:m5113>3:1>vF>0gd8j446;38<:?5rn06402<72;qC==hi;o3156<5??90qc?;75:94?4|@8:mj6`>201962033td:8::6:181M77no1e=??<:3551>{i9==?m7>52zJ24c`if:l2645=:><37p`>466g>5<5sA;;jk5a1330>71112we=99;e;296~N68ol0b<<>3;042d=zf8><8k4?:3yK55`a3g;9=>4=77`8yk73?<:1<7h6:891>:8l;|l202362909wE??fg9m57742;==h6sa15566?6=:rB:l1vb<:85283>7}O99lm7c?=128133`<=52653?xh6<>?>6=4={I33bc=i9;;86?981:m5112>3:1>vF>0gd8j446;38<;?5rn06412<72;qC==hi;o3156<5?>90qc?;74:94?4|@8:mj6`>201962133td:8:;6:181M77no1e=??<:3541>{i9==>m7>52zJ24c`if:l2645=:>=37p`>467g>5<5sA;;jk5a1330>71012we=99:e;296~N68ol0b<<>3;043d=zf8><9k4?:3yK55`a3g;9=>4=76`8yk73??:1<7h6:891>:9l;|l20206290:wE??fg9m57742;=4}O99lm7c?=128132`<=526:3?xh6<><>6=4>{I33bc=i9;;86?971:m5111>3:1=vF>0gd8j446;38<4?5rn06422<728qC==hi;o3156<5?190qc?;77:94?7|@8:mj6`>201962>33td:8:86:182M77no1e=??<:35;1>{i9===m7>51zJ24c`k0;6if:l2645=:>237p`>464g>5<6sA;;jk5a1330>71?12we=999e;295~N68ol0b<<>3;04<:k4?:0yK55`a3g;9=>4=79`8yk73?>:1<7?tH02eb>h6:891>:6l;|l20216290:wE??fg9m57742;=3h6sa15546?6=9rB:4}O99lm7c?=12813=`<=526;3?xh6<>=>6=4>{I33bc=i9;;86?961:m5110>3:1=vF>0gd8j446;38<5?5rn06432<728qC==hi;o3156<5?090qc?;76:94?7|@8:mj6`>201962?33td:8:96:182M77no1e=??<:35:1>{i9==51zJ24c`if:l2645=:>337p`>465g>5<6sA;;jk5a1330>71>12we=998e;295~N68ol0b<<>3;04=d=zf8><;k4?:0yK55`a3g;9=>4=78`8yk73?1:1<7?tH02eb>h6:891>:7l;|l202>6290:wE??fg9m57742;=2h6sa155;6?6=9rB:4}O99lm7c?=12813<`<=526c3?xh6<>2>6=4>{I33bc=i9;;86?9n1:m511?>3:1=vF>0gd8j446;38201962g33td:8:66:182M77no1e=??<:35b1>{i9==3m7>51zJ24c`if:l2645=:>k37p`>46:g>5<6sA;;jk5a1330>71f12we=997e;295~N68ol0b<<>3;04ed=zf8><4k4?:0yK55`a3g;9=>4=7``8yk73?0:1<7?tH02eb>h6:891>:ol;|l202?6290:wE??fg9m57742;=jh6sa155:6?6=9rB:4}O99lm7c?=12813d`<=526`3?xh6<>3>6=4>{I33bc=i9;;86?9m1:m511>>3:1=vF>0gd8j446;38201962d33td:8:76:182M77no1e=??<:35a1>{i9==2m7>51zJ24c`if:l2645=:>h37p`>46;g>5<6sA;;jk5a1330>71e12we=996e;295~N68ol0b<<>3;04fd=zf8><5k4?:0yK55`a3g;9=>4=7c`8yk73?h:1<7?tH02eb>h6:891>:ll;|l202g6290:wE??fg9m57742;=ih6sa155b6?6=9rB:4}O99lm7c?=12813g`<=526a3?xh6<>k>6=4>{I33bc=i9;;86?9l1:m511f>3:1=vF>0gd8j446;38201962e33td:8:o6:182M77no1e=??<:35`1>{i9==jm7>51zJ24c`if:l2645=:>i37p`>46cg>5<6sA;;jk5a1330>71d12we=99ne;295~N68ol0b<<>3;04gd=zf8>4=7b`8yk73?k:1<7?tH02eb>h6:891>:ml;|l202d6290:wE??fg9m57742;=hh6sa155a6?6=9rB::mi;|l4365=83;pb<<>3;04`5=zf>==87>51zl2645=:>n:7p`878794?7|f88:?7<8d39~j21d>3:1=v`>201962b43td<;k950;3xj446;38<=526f6?xh00<31<7?tn0027?40l?1vq{Z77183>4<62;=?8v];7e82fc2=:3;8??7:2;0`gd7<,8;;?7hn6:&f`c<6jj1C4:64H873?M>012h:o?:50;7e>73bl38<=iuU3`293~c02l21i44k8;303?c128936pF7709'55d428hh7c683;06aa=i9954?::k24=1=831b==8=:188/474>3:1(ko7:0301>hai>0;76%>12694?"ai10:=>;4ngc4>4=<#8;8?7>5$gc;>474=2dmm:4=;:)2564=83.mm54>1278jcg02:10'-69::1<7*ia982563k50;&ee=<69:?0bko8:698/474l3:1(ko7:0301>hai>0376%>12a94?"ai10:=>;4ngc4><=<#8;8n7>5$gc;>474=2dmm:4n;:)256g=83.mm54>1278jcg02k10'-69:21<7*ia982563hai>0;76%>16794?"ai10:=:84ngc4>4=<#8;<87>5$gc;>470>2dmm:4=;:)2525=83.mm54>1648jcg02:10'-69>;1<7*ia982520<0bko8:698/470m3:1(ko7:0342>hai>0376%>16f94?"ai10:=:84ngc4><=<#8;5$gc;>470>2dmm:4n;:)252d=83.mm54>1648jcg02k10'-69>31<7*ia98252050;&ee=<69><0bko8:g98/47e03:1(ko7:03a3>hai>0;76%>1c494?"ai10:=o94ngc4>4=<#8;i97>5$gc;>47e?2dmm:4=;:)25g2=83.mm54>1c58jcg02:10'-69k81<7*ia9825g150;&ee=<69k=0bko8:698/47en3:1(ko7:03a3>hai>0376%>1cg94?"ai10:=o94ngc4><=<#8;ih7>5$gc;>47e?2dmm:4n;:)25ge=83.mm54>1c58jcg02k10'-69kk1<7*ia9825g1=>o30bko8:098k3`0290/jl656g;8jcg02;10c:><:18'bd>=>o30bko8:298k265290/jl656g;8jcg02=10c:>>:18'bd>=>o30bko8:498k267290/jl656g;8jcg02?10c;hi:18'bd>=>o30bko8:698k3`b290/jl656g;8jcg02110c;hk:18'bd>=>o30bko8:898k3`d290/jl656g;8jcg02h10c;hm:18'bd>=>o30bko8:c98k3`1290/jl656g;8jcg02j10c:?<:18'bd>=?880bko8:198k276290/jl657008jcg02810':<::18'bd>=?;>0bko8:198/244290/jl657368jcg02810':<=:18'bd>=?;>0bko8:398/246290/jl657368jcg02:10':=?;>0bko8:598/27a290/jl657368jcg02<10':=?;>0bko8:798/24c290/jl657368jcg02>10':=?;>0bko8:998/24e290/jl657368jcg02010':=?;>0bko8:`98/24>290/jl657368jcg02k10':<7:18'bd>=?;>0bko8:b98/240290/jl657368jcg02m10':<9:18'bd>=?;>0bko8:d98/27b290/jl657368jcg02o10c:=>:18'bd>=?::0bko8:198k24a290/jl657228jcg02810c<>7b;29?j770h0;66a>09494?=h99lj6=44o635>5<;36=44o63b>5<;h6=44o647>5<<=6=44o9gg>5<04f>2.:1=O0?l0b<<>3;38m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e=:286<49:183!>0:3=hn6*>09g917g13-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:39j0=1=831b8?650;9j020=831b==<3;29?xd2;h<1=7850;2x =152>i>7)??8d866d0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4<;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f056j3;1:7>50z&;37<0k<1/==6j:40b2>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;8695f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`6776=93<1<7>t$951>2e23-;;4h4:2`48 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0>7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb4115?7=>3:1=831b8:850;9j554f2900e9?n:188k464;3:17pl:33095?0=83:p(59=:6a6?!770l0>>l84$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8==3;392?6=8r.3;?48c49'55>b2<8j:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>==n<1=1<75f43:94?=n<><1<75f110b>5<??:51;494?6|,1=96:m:;%33<`<2:h<0(<>ib;3317=#99h;6>?>;%::3:17d??2`83>>o39h0;66a>02194?=zj<9997?56;294~"??;004f>2.:N?>o1e=??<:`9j0=1=831b8?650;9j020=831b==<3;29?xd2;;<1=7850;2x =152>i>7)??8d866d0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4m;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f05613;1:7>50z&;37<0k<1/==6j:40b2>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86n5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`674g=93<1<7>t$951>2e23-;;4h4:2`48 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0o7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb412g?7=>3:1=831b8:850;9j554f2900e9?n:188k464;3:17pl:30f95?0=83:p(59=:6a6?!770l0>>l84$02ef?77=;1/==l?:232?!>>03>0D58i;o31563;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8=>e;392?6=8r.3;?48c49'55>b2<8j:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>465<:m7>5;n3376<722wi9>?i:085>5<7s-2<>79l5:&24=c==;k=7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201954=n<1=1<75f43:94?=n<><1<75f110b>5<?n651;494?6|,1=96:m:;%33<`<2:h<0(<>ib;3317=#99h;6>?>;%::o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?35i?1/==hm:0266>"68k:1?1=O0?l0b<<>3;30?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<9o87?56;294~"??;004f>2.:N?>o1e=??<:068m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e=:nm6<49:183!>0:3=h96*>09g917g13-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5149j0=1=831b8?650;9j020=831b==<3;29?xd2;l81=7850;2x =152>i>7)??8d866d0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>6:k7<2<722c?>54?::k733<722c:7e;71e3=#99li6<>:2:&24g6=;8;0(577:59K<3`947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f05b<3;1:7>50z&;37<0k<1/==6j:40b2>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<64i5:4>5<5<5;h62e?6=3f;;?>4?::a16c2280=6=4?{%:46?1d=2.:<5k553c5?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=45f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`67`0=93<1<7>t$951>2e23-;;4h4:2`48 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:m6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c70a2<62?0;6=u+86093f3<,8:3i7;=a79'55`e28:>>6*>0c29747<,133695G87d8j446;3;i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb41`e?7=>3:1a;29?j77;:0;66sm52aa>4<1290;w)682;5`1>"681o19?o9;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9m1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:3ba95?0=83:p(59=:6a6?!770l0>>l84$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6m2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3?9m;5+11da>462:2.:53038 =??2=1C4;h4n0027?7a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8=le;392?6=8r.3;?48c49'55>b2<8j:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>765<:m7>5;n3376<722wi9>mi:085>5<7s-2<>79l5:&24=c==;k=7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201964=n<1=1<75f43:94?=n<><1<75f110b>5<?i>51;494?6|,1=96:m:;%33<`<2:h<0(<>ib;3317=#99h;6>?>;%::o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?35i?1/==hm:0266>"68k:1?1=O0?l0b<<>3;00?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<9o>7?56;294~"??;004f>2.:N?>o1e=??<:368m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e=:n86<49:183!>0:3=h96*>09g917g13-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5249j0=1=831b8?650;9j020=831b==<3;29?xd2;m?1=7850;2x =152>i>7)??8d866d0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4=6:k7<2<722c?>54?::k733<722c:7e;71e3=#99li6<>:2:&24g6=;8;0(577:59K<3`947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f05c?3;1:7>50z&;37<0k<1/==6j:40b2>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?64i5:4>5<5<5;h62e?6=3f;;?>4?::a16b?280=6=4?{%:46?1d=2.:<5k553c5?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>45f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`67a?=93<1<7>t$951>2e23-;;4h4:2`48 46aj3;;9?5+11`3>6763-2247:4H94e?k759:09m6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c70`d<62?0;6=u+86093f3<,8:3i7;=a79'55`e28:>>6*>0c29747<,133695G87d8j446;38i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb41gf?7=>3:1a;29?j77;:0;66sm52f`>4<1290;w)682;5`1>"681o19?o9;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:m1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:3ef95?0=83:p(59=:6a6?!770l0>>l84$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<5m2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3?9m;5+11da>462:2.:53038 =??2=1C4;h4n0027?4a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8=j0;392?6=8r.3;?48c49'55>b2<8j:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>665<:m7>5;n3376<722wi9>k>:085>5<7s-2<>79l5:&24=c==;k=7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201974=n<1=1<75f43:94?=n<><1<75f110b>5<?l951;494?6|,1=96:mm;%33<`<2:h<0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201977=n<1=1<75f43:94?=n<><1<75f110b>5<?h651;494?6|,1=96:mm;%33<`<2:h<0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201976=n<1=1<75f43:94?=n<><1<75f110b>5<8=751;494?6|,1=96:m:;%33<`<2:h<0(<>ib;3317=#99h;6>?>;%::o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?35i?1/==hm:0266>"68k:1?1=O0?l0b<<>3;16?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<>:97?56;294~"??;004f>2.:N?>o1e=??<:248m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e==8;6<49:183!>0:3=h96*>09g917g13-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5369j0=1=831b8?650;9j020=831b==<3;29?xd2<;91=7850;2x =152>i>7)??8d866d0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4<8:k7<2<722c?>54?::k733<722c:7e;71e3=#99li6<>:2:&24g6=;8;0(577:59K<3`947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f025=3;1:7>50z&;37<0k<1/==6j:40b2>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86>o4i5:4>5<5<5;h62e?6=3f;;?>4?::a1141280=6=4?{%:46?1d=2.:<5k553c5?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891?o5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`6071=93<1<7>t$951>2e23-;;4h4:2`48 46aj3;;9?5+11`3>6763-2247:4H94e?k759:08o6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c776=<62?0;6=u+86093f3<,8:3i7;=a79'55`e28:>>6*>0c29747<,133695G87d8j446;39o7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb463f?7=>3:1a;29?j77;:0;66sm552`>4<1290;w)682;5`1>"681o19?o9;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=;o1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:41f95?0=83:p(59=:6a6?!770l0>>l84$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<382c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3?9m;5+11da>462:2.:53038 =??2=1C4;h4n0027?263`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8:?f;392?6=8r.3;?48c49'55>b2<8j:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>145<:m7>5;n3376<722wi99??:085>5<7s-2<>79l5:&24=c==;k=7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201906=n<1=1<75f43:94?=n<><1<75f110b>5<8ib;3317=#99h;6>?>;%::o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?35i?1/==hm:0266>"68k:1?1=O0?l0b<<>3;66?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<>:?7?56;294~"??;004f>2.:N?>o1e=??<:548m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e==;?6<49:183!>0:3=h96*>09g917g13-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5469j0=1=831b8?650;9j020=831b==<3;29?xd2<8<1=7850;2x =152>i>7)??8d866d0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;8:k7<2<722c?>54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;71e3=#99li6<>:2:&24g6=;8;0(577:59K<3`947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f02603;1:7>50z&;37<0k<1/==6j:40b2>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;869o4i5:4>5<5<5;h62e?6=3f;;?>4?::a117>280=6=4?{%:46?1d=2.:<5k553c5?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8918o5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`604g=93<1<7>t$951>2e23-;;4h4:2`48 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0?o6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c775g<62?0;6=u+86093f3<,8:3i7;=a79'55`e28:>>6*>0c29747<,133695G87d8j446;3>o7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb462g?7=>3:1a;29?j77;:0;66sm553g>4<1290;w)682;5`1>"681o19?o9;%33bg<68<80(<>m0;125>"?110?7E69f:l2645==831b8:850;9j554f2900e9?n:188k464;3:17pl:40g95?0=83:p(59=:6a6?!770l0>>l84$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<282c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3?9m;5+11da>462:2.:53038 =??2=1C4;h4n0027?363`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8:=1;392?6=8r.3;?48c49'55>b2<8j:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>045<:m7>5;n3376<722wi99<=:085>5<7s-2<>79l5:&24=c==;k=7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201916=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201911=n<1=1<75f43:94?=n<><1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201910=n<1=1<75f43:94?=n<><1<75f110b>5<N?>o1e=??<:448m=1a2900e56?:188k`5>2900qo?k3282>1<729q/==hm:876?!770l0:h<<4H94e?k759:0>;6g;d283>>o3:=0;66g>07694?=hj?31<75rb0f46?7=;3:10g`954`03-;;4h4>d008L=0a3g;9=>4:8:k;ac<722c?>94?::ma2<<722wi=i;k:080>5<7s-;;jo47f69'<24=lh>0(<>7e;3g57=O0?l0b<<>3;7:?l>0n3:17d670;29?jc413:17pl>d2g95?2=83:p(<>ib;;61>"681o1=i?=;I:5b>h6:8919l5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51e31?M>1n2d:><=55c9j<``=831b8?:50;9lf3?=831vno??o0;66g78183>>ib;00;66sm1e6:>4<3290;w)??fc8:10=#992n62:J;2c=i9;;868j4i5f0>5<5;|`2`=>=9391<7>t$02ef?76n>1/==6j:0f26>N?>o1e=??<:4g8m=ca2900e9<;:188kg0>2900qo?k6c82>6<729q/==hm:9d4?!>0:3nj86*>09g95a753A2=j6`>20191c=n0>l1<75f89294?=hm:31<75rb0f7f?7=<3:10g`9=03<,8:3i7?k139K<3`987>5;h3321<722ei:44?::a5a>e28086=4?{%33bg<69o=0(<>7e;3g57=O0?l0b<<>3;42?l>bn3:17d:=4;29?jd113:17pl>d7a95?5=83:p(<>ib;:e3>"??;0om95+11:f>4b6:2B3:k5a1330>345<<323-;;4h4>d008L=0a3g;9=>493:k7`6<722c?>94?::k2432=831dn;750;9~f4b?k3;1?7>50z&24cd=98l<7)??8d82`44<@1o?mo0;66g;2583>>ie>00;66sm1e4g>4<4290;w)??fc8;b2=#0>81hl:4$02;a?7c9;1C4;h4n0027?023`25;h:;4?6=3fo857>5;|`2`1b=93>1<7>t$02ef??2=2.:<5k51e31?M>1n2d:><=5679j0a5=831b8?:50;9j55032900co86:188yg7c0m0:6>4?:1y'55`e28;m;6*>09g95a753A2=j6`>201922=n0ll1<75f43694?=hj?31<75rb0f5a?7=;3:10g`9=<1/==6j:0f26>N?>o1e=??<:7;8m1b42900e9<;:188m461<3:17bl99;29?xd6l1o1=7=50;2x 46aj3;:j:5+11:f>4b6:2B3:k5a1330>3g5<=`03-2<>7jn4:&24=c=9m;97E69f:l2645=>k1b4:h50;9j<=6=831di>750;9~f4b3n3;187>50z&24cd=17e;3g57=O0?l0b<<>3;4`?l2c;3:17d:=4;29?l77>=0;66am6883>>{e9m2m6<4<:183!77nk0:=k94$02;a?7c9;1C4;h4n0027?0c3`2nj7>5;h610?6=3fh=57>5;|`2`26=9391<7>t$02ef?>a?2.3;?4ka59'55>b28n:>6F76g9m57742?o0e59i:188m=>72900ch=6:188yg7c=90:694?:1y'55`e20?>7)??8d82`44<@1o3l:0;66g;2583>>o68?>1<75`b7;94?=zj8n2<7?53;294~"68oh1=1/4:<5d`68 46?m3;o=?5G87d8j446;3=:7d68f;29?l>?83:17bk<9;29?xd6l<;1=7:50;2x 46aj33>96*>09g95a753A2=j6`>201937=n5<:283>5}#99li650z&24cd=0o=0(59=:ec7?!770l0:h<<4H94e?k759:0<86g77g83>>o?090;66aj3883>>{e9m9?6<4;:183!77nk02985+11:f>4b6:2B3:k5a1330>235<5;n`5=?6=3th:h:=51;194?6|,8:mn7?>f69'55>b28n:>6F76g9m57742><0e5ki:188m1432900co86:188yg7c==0:6>4?:1y'55`e21l<7)682;fb0>"681o1=i?=;I:5b>h6:891;:5f86d94?=n01:1<75`e2;94?=zj8n897?54;294~"68oh158;4$02;a?7c9;1C4;h4n0027?1?3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi=i9;:080>5<7s-;;jo4>1g58 46?m3;o=?5G87d8j446;3=27d6jf;29?l25<3:17bl99;29?xd6l2:J;2c=i9;;86:o4i95e>5<5<:583>5}#99li64;:;%33<`<6l880D58i;o3156<0j2c?h>4?::k761<722c:<;:50;9lf3?=831vn>o3:=0;66am6883>>{e9m?=6<4<:183!77nk03j:5+8609`d2<,8:3i7?k139K<3`5;ng0=?6=3th:h>951;694?6|,8:mn77:5:&24=c=9m;97E69f:l2645=?l1b8i=50;9j072=831b==8;:188kg0>2900qo?k7782>6<729q/==hm:03e3>"681o1=i?=;I:5b>h6:891;k5f8dd94?=n<;>1<75`b7;94?=zj8n>;7?53;294~"68oh14k94$951>ag33-;;4h4>d008L=0a3g;9=>470:k;3c<722c34=4?::mf7<<722wi=i=7:087>5<7s-;;jo46549'55>b28n:>6F76g9m577421;0e9j<:188m1432900e<>94;29?jd113:17pl>d6595?5=83:p(<>ib;32b2=#992n62:J;2c=i9;;865<4i9ge>5<5<:283>5}#99li65h8;%:46?bf<2.:<5k51e31?M>1n2d:><=5829j<2`=831b45>50;9la6?=831vn>ie>00;66sm1e5;>4<4290;w)??fc825c1<,8:3i7?k139K<3`987>5;n`5=?6=3th:h8751;194?6|,8:mn76i7:&;37N?>o1e=??<:948m=1a2900e56?:188k`5>2900qo?k3`82>1<729q/==hm:876?!770l0:h<<4H94e?k759:03;6g;d283>>o3:=0;66g>07694?=hj?31<75rb0f4=?7=;3:10g`954`03-;;4h4>d008L=0a3g;9=>478:k;ac<722c?>94?::ma2<<722wi=i;n:080>5<7s-;;jo47f69'<24=m9k0(<>7e;3g57=O0?l0b<<>3;::?l>0n3:17d670;29?jc413:17pl>d2`95?2=83:p(<>ib;;61>"681o1=i?=;I:5b>h6:8914l5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51e31?M>1n2d:><=58c9j<``=831b8?:50;9lf3?=831vno??o0;66g78183>>ib;00;66sm1e1`>4<3290;w)??fc8:10=#992n62:J;2c=i9;;865j4i5f0>5<5;|`2`2d=9391<7>t$02ef?76n>1/==6j:0f26>N?>o1e=??<:9g8m=ca2900e9<;:188kg0>2900qo?k5b82>6<729q/==hm:9d4?!>0:3nj86*>09g95a753A2=j6`>2019l1<75f89294?=hm:31<75rb0f0`?7=<3:10g`9=03<,8:3i7?k139K<3`987>5;h3321<722ei:44?::a5a1d28086=4?{%33bg<69o=0(<>7e;3g57=O0?l0b<<>3;;2?l>bn3:17d:=4;29?jd113:17pl>d4g95?5=83:p(<>ib;:e3>"??;0om95+11:f>4b6:2B3:k5a1330><45<<323-;;4h4>d008L=0a3g;9=>463:k7`6<722c?>94?::k2432=831dn;750;9~f4b0m3;1?7>50z&24cd=98l<7)??8d82`44<@1o?mo0;66g;2583>>ie>00;66sm1e7e>4<4290;w)??fc8;b2=#0>81i=o4$02;a?7c9;1C4;h4n0027??23`25;h:;4?6=3fo857>5;|`2`16=93>1<7>t$02ef??2=2.:<5k51e31?M>1n2d:><=5979j0a5=831b8?:50;9j55032900co86:188yg7c?o0:6>4?:1y'55`e28;m;6*>09g95a753A2=j6`>2019=2=n0ll1<75f43694?=hj?31<75rb0f54?7=;3:10g`902c3;k4?::k;<5<722en?44?::a5a26280?6=4?{%33bg<>=<1/==6j:0f26>N?>o1e=??<:8;8m1b42900e9<;:188m461<3:17bl99;29?xd6l1:1=7=50;2x 46aj3;:j:5+11:f>4b6:2B3:k5a1330>5<=`03-2<>7jn4:&24=c=9m;97E69f:l2645=1k1b4:h50;9j<=6=831di>750;9~f4b3:3;187>50z&24cd=17e;3g57=O0?l0b<<>3;;`?l2c;3:17d:=4;29?l77>=0;66am6883>>{e9m2:6<4<:183!77nk0:=k94$02;a?7c9;1C4;h4n0027??c3`2nj7>5;h610?6=3fh=57>5;|`2`34=9391<7>t$02ef?>a?2.3;?4ka59'55>b28n:>6F76g9m577420o0e59i:188m=>72900ch=6:188yg7c<:0:694?:1y'55`e20?>7)??8d82`44<@1o3l:0;66g;2583>>o68?>1<75`b7;94?=zj8n3>7?53;294~"68oh1=1/4:<5d`68 46?m3;o=?5G87d8j446;3k:7d68f;29?l>?83:17bk<9;29?xd6l=>1=7:50;2x 46aj33>96*>09g95a753A2=j6`>2019e7=n5<4>:283>5}#99li650z&24cd=0o=0(59=:ec7?!770l0:h<<4H94e?k759:0j86g77g83>>o?090;66aj3883>>{e9m>>6<4;:183!77nk02985+11:f>4b6:2B3:k5a1330>d35<5;n`5=?6=3th:h5:51;194?6|,8:mn7?>f69'55>b28n:>6F76g9m57742h<0e5ki:188m1432900co86:188yg7c><0:6>4?:1y'55`e21l<7)682;fb0>"681o1=i?=;I:5b>h6:891m:5f86d94?=n01:1<75`e2;94?=zj8n?:7?54;294~"68oh158;4$02;a?7c9;1C4;h4n0027?g?3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi=i6::080>5<7s-;;jo4>1g58 46?m3;o=?5G87d8j446;3k27d6jf;29?l25<3:17bl99;29?xd6l?<1=7=50;2x 46aj32m;6*7738ge1=#992n62:J;2c=i9;;86lo4i95e>5<5<:583>5}#99li64;:;%33<`<6l880D58i;o31564?::k761<722c:<;:50;9lf3?=831vn>o3:=0;66am6883>>{e9m<<6<4<:183!77nk03j:5+8609`d2<,8:3i7?k139K<3`5;ng0=?6=3th:h9651;694?6|,8:mn77:5:&24=c=9m;97E69f:l2645=il1b8i=50;9j072=831b==8;:188kg0>2900qo?k8682>6<729q/==hm:03e3>"681o1=i?=;I:5b>h6:891mk5f8dd94?=n<;>1<75`b7;94?=zj8n=57?53;294~"68oh14k94$951>ag33-;;4h4>d008L=0a3g;9=>4m0:k;3c<722c34=4?::mf7<<722wi=i:n:087>5<7s-;;jo46549'55>b28n:>6F76g9m57742k;0e9j<:188m1432900e<>94;29?jd113:17pl>d9;95?5=83:p(<>ib;32b2=#992n62:J;2c=i9;;86o<4i9ge>5<5<:283>5}#99li65h8;%:46?bf<2.:<5k51e31?M>1n2d:><=5b29j<2`=831b45>50;9la6?=831vn>o3:=0;66am6883>>{e9mhh6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:c78m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn36<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:c48m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mho6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:c58m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mih6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:c:8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mnn6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:c;8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mnh6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:cc8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mno6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:c`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mnm6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:ca8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mo;6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:cf8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mo:6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:cg8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mhn6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:cd8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mi96<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b28m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mhm6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b38m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mi86<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b08m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mi?6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b18m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mii6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b68m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn;6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b78m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mio6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b48m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn86<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b58m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn:6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b:8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn96<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b;8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn=6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:bc8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn?6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn>6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:ba8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn<6<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:bf8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9mn26<49:183!>0:3=hn6*>09g95a753-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:bg8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;k>h6<4;:183!77nk03j:5+8609`0d<,8:3i7?l259'bde=9;oi7E69f:l2645=ko1b4:h50;9j<=6=831b45?50;9la6?=831vn>l;a;390?6=8r.:4i95e>5<5<=`03-2<>7mkd:&24=c=9j8?7E69f:l2645=l81b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn>l;9;392?6=8r.:o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;k>36<49:183!77nk03j:5+8609g21<,8:3i7?l259K<3`5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`0f11=93<1<7>t$02ef?>a?2.3;?4l769'55>b28i986F76g9m57742m>0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo=m4782>3<729q/==hm:9d4?!>0:3i<;6*>09g95f433A2=j6`>2019`0=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj:h?97?56;294~"68oh14k94$951>f103-;;4h4>c368L=0a3g;9=>4k6:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a7g23280?6=4?{%33bg1/4:<5d4`8 46?m3;h>95+f`a957cf3A2=j6`>2019`2=n0>l1<75f89294?=n01;1<75`e2;94?=zj:h??7?54;294~"68oh14k94$951>a3e3-;;4h4>c368 cgd288nm6F76g9m57742m20e59i:188m=>72900e56>:188k`5>2900qo=m4382>3<729q/==hm:9d4?!>0:3i<;6*>09g95f433A2=j6`>2019`<=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj:h?=7?54;294~"68oh14k94$951>a3e3-;;4h4>c368 cgd288n56F76g9m57742mk0e59i:188m=>72900e56>:188k`5>2900qo=m3g82>1<729q/==hm:9d4?!>0:3n>n6*>09g95f433-ljo7?=e89K<3`5;h:;5?6=3fo857>5;|`0f6c=93>1<7>t$02ef?>a?2.3;?4k5c9'55>b28i986*iab826`><@1o??o0;66g78183>>o?080;66aj3883>>{e;k9o6<4;:183!77nk03j:5+8609`0d<,8:3i7?l259'bde=9;o37E69f:l2645=lm1b4:h50;9j<=6=831b45?50;9la6?=831vn>l5<5<=`03-2<>7j:b:&24=c=9j8?7)hnc;31a2=O0?l0b<<>3;fe?l>0n3:17d670;29?l>?93:17bk<9;29?xd4j:k1=7:50;2x 46aj32m;6*7738g1g=#992n65<7s-;;jo47f69'<24=l7e;3`61=#nhi1=?k9;I:5b>h6:891i<5f86d94?=n01:1<75f89394?=hm:31<75rb2`00g`90(kol:00f1>N?>o1e=??<:d08m=1a2900e56?:188m=>62900ch=6:188yg5e;>0:694?:1y'55`e21l<7)682;f6f>"681o1=n<;;%dbg?75m<1C4;h4n0027?c43`25;h:;4?6=3`23=7>5;ng0=?6=3th8n>851;494?6|,8:mn76i7:&;371/==6j:0a10>N?>o1e=??<:d68m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg5e"681o1=n<;;%dbg?75ml1C4;h4n0027?c23`25;h:;4?6=3`23=7>5;ng0=?6=3th8n9k51;694?6|,8:mn76i7:&;37"aij0:>hk4H94e?k759:0n:6g77g83>>o?090;66g78083>>ib;00;66sm3c6g>4<3290;w)??fc8;b2=#0>81h8l4$02;a?7d:=1/jlm513gg?M>1n2d:><=5e69j<2`=831b45>50;9j<=7=831di>750;9~f6d3j3;187>50z&24cd=0o=0(59=:e7a?!770l0:o?:4$gc`>44bl2B3:k5a1330>`>5<5<:583>5}#99li65h8;%:46?b2j2.:<5k51b07?!`fk3;9in5G87d8j446;3o27d68f;29?l>?83:17d671;29?jc413:17plib;:e3>"??;0o9o5+11:f>4e5<2.mmn4>2da8L=0a3g;9=>4ja:k;3c<722c34=4?::k;<4<722en?44?::a17ge280=6=4?{%33bg1/4:<5c658 46?m3?9m;5G87d8j446;3oi7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>c0a95?4=83:p(<>ib;g2b>"681o1=n?m;%63f?0dn2d<:o4l;o333g<6<2.3il4j389K<3`5;|`2g77=9381<7>t$02ef?c6n2.:<5k51b03?!27j3<6*7e`8f7<=O0?l0b<<>3;gg?l>0m3:17bl99;29?xd6k8k1=7<50;2x 46aj3o:j6*>09g95f7>3->;n78lf:l42g5;n`5=?6=3th:of:&24=c=9j;n7):?b;4`b>h0>k0h7c??7c864>"?mh0n?45G87d8j446;3om7d68e;29?jd113:17pl>c3195?4=83:p(<>ib;g2b>"681o1=n<=;%63f?0dn2d<:o4l;o333g<282.3il4j389K<3`5;|`0b<5=93<1<7>t$951>2e23-;;4h46763-2247:4H94e?k759:0m=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1e=7<62?0;6=u+86093f3<,8:3i7=md69'55`e28:>>6*>0c29747<,133695G87d8j446;3l97d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2d:4?7=>3:1lk7:&24cd=99?97)??b18054=#002186F76g9m57742o90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3g:e>4<1290;w)682;5`1>"681o1?oj8;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=n=1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>03>0D58i;o31563<729q/4:<57b78 46?m39ih:5+11da>462:2.:53038 =??2=1C4;h4n0027?`13`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>h7c;392?6=8r.3;?48c49'55>b2:ho;6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c15<:m7>5;n3376<722wi?k6m:085>5<7s-2<>79l5:&24=c=;kn<7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>2019b==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?5el>1/==hm:0266>"68k:1?1=O0?l0b<<>3;db?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:l347?56;294~"??;06dc?2.:N?>o1e=??<:g`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;o2<6<49:183!>0:3=h96*>09g97gb03-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5fb9j0=1=831b8?650;9j020=831b==<3;29?xd4n1?1=7850;2x =152>i>7)??8d80fa1<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4id:k7<2<722c?>54?::k733<722c:7e;1a`2=#99li6<>:2:&24g6=;8;0(577:59K<3`947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6`?;3;1:7>50z&;37<0k<1/==6j:2`g3>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86kh4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c>5280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==>4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c>6280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==?4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c>7280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==<4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c1a280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891===4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c1b280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==:4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c1c280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==;4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c1d280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==84i5:4>5<5<5;h62e?6=3f;;?>4?::a7c?>280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==94i5:4>5<5<5;h62e?6=3f;;?>4?::a7c??280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==64i5:4>5<5<5;h62e?6=3f;;?>4?::a7c?0280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==74i5:4>5<5<5;h62e?6=3f;;?>4?::a7c?1280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==o4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c?2280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==l4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c?3280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==m4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c?6280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==j4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c>1280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==k4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c1e280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891==h4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c1f280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=<>4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c3>280=6=4?{%:46?1d=2.:<5k53cf4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=5<5<5;h62e?6=3f;;?>4?::a7f5>28086=4?{%33bg<69o=0(<>7e;1a`==O0?l0b<<>3;326>o?mo0;66g;2583>>ie>00;66sm3b1;>4<4290;w)??fc825c1<,8:3i7=md99K<3`3:k;ac<722c?>94?::ma2<<722wi?n>i:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428;?7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b15>4<4290;w)??fc825c1<,8:3i7=md99K<3`5:k;ac<722c?>94?::ma2<<722wi?n>m:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428;=7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b16>4<4290;w)??fc825c1<,8:3i7=md99K<3`7:k;ac<722c?>94?::ma2<<722wi?n>6:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428;37d:k3;29?l25<3:17d??6583>>ie>00;66sm3b17>4<4290;w)??fc825c1<,8:3i7=md99K<3`9:k;ac<722c?>94?::ma2<<722wi?n>8:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428;j7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b10>4<4290;w)??fc825c1<,8:3i7=md99K<3`b:k;ac<722c?>94?::ma2<<722wi?n>::087>5<7s-;;jo46549'55>b2:ho46F76g9m577428;h7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b11>4<4290;w)??fc825c1<,8:3i7=md99K<3`d:k;ac<722c?>94?::ma2<<722wi?n><:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428;n7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b12>4<4290;w)??fc825c1<,8:3i7=md99K<3`f:k;ac<722c?>94?::ma2<<722wi?n>>:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774288;7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b13>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?ohi:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428897d:k3;29?l25<3:17d??6583>>ie>00;66sm3b0e>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?ohk:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774288?7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b0f>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?ohm:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774288=7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b0g>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?oh6:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428837d:k3;29?l25<3:17d??6583>>ie>00;66sm3b0a>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?oh::087>5<7s-;;jo46549'55>b2:ho46F76g9m5774288j7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b0b>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?oh<:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774288h7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b0:>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?oh>:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774288n7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b0;>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?oki:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774289;7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b04>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?okk:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428997d:k3;29?l25<3:17d??6583>>ie>00;66sm3b05>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?okm:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774289?7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b06>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?ok6:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774289=7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b07>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?ok8:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428937d:k3;29?l25<3:17d??6583>>ie>00;66sm3b00>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?ok::087>5<7s-;;jo46549'55>b2:ho46F76g9m5774289j7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b01>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?ok<:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774289h7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b62>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?n?k:087>5<7s-;;jo46549'55>b2:ho46F76g9m5774289n7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b63>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?n?m:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428>;7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b1e>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?n?6:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428>97d:k3;29?l25<3:17d??6583>>ie>00;66sm3b1f>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?n?8:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428>?7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b1g>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?n?::087>5<7s-;;jo46549'55>b2:ho46F76g9m577428>=7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b1`>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?n?<:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428>37d:k3;29?l25<3:17d??6583>>ie>00;66sm3b1a>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?n?>:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428>j7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b14>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?n>k:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428>h7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b0`>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?oh8:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428>n7d:k3;29?l25<3:17d??6583>>ie>00;66sm3b02>4<4290;w)??fc825c1<,8:3i7=md99K<3`94?::ma2<<722wi?ok=:087>5<7s-;;jo46549'55>b2:ho46F76g9m577428?;7d:k3;29?l25<3:17d??6583>>ie>00;66sm3g2:>4<1290;w)682;5`f>"681o1?oj7;%33bg<68<80(<>m0;33ec=#002186F76g9m577428?:7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2d3b?7=>3:1lk8:&24cd=99?97)??b1824d`<,133695G87d8j446;3;>>6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1e41<62?0;6=u+86093fd<,8:3i7=md99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:9>5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0b74=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891=8:4i5:4>5<5<5;h62e?6=3f;;?>4?::a7c4d280=6=4?{%:46?1dj2.:<5k53cf;?!>b=3;;j55+11da>462:2.:511ce?!>>03>0D58i;o3156<6=<1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl"?110?7E69f:l2645=9<<0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3g6b>4<1290;w)682;5`f>"681o1?oj7;%:f1?77n11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<51;494?6|,1=96:m:;%33<`<4jm20(<>ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<m51;494?6|,1=96:mm;%33<`<4jm20(5k::02e<>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:4?:1y'55`e28;m;6*>09g97gb?3A2=j6`>201953>5<47a?2.:<5k53cf;?M>1n2d:><=517;8m=ca2900e9<;:188kg0>2900qo=l5482>1<729q/==hm:876?!770l08ni64H94e?k759:0::l5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=517`8m=ca2900e9<;:188kg0>2900qo=l5582>1<729q/==hm:876?!770l08ni64H94e?k759:0::n5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=517f8m=ca2900e9<;:188kg0>2900qo=l5282>1<729q/==hm:876?!770l08ni64H94e?k759:0::h5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=517d8m=ca2900e9<;:188kg0>2900qo=l5382>1<729q/==hm:876?!770l08ni64H94e?k759:0:;=5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=51638m=ca2900e9<;:188kg0>2900qo=l5d82>1<729q/==hm:876?!770l08ni64H94e?k759:0:;?5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=51618m=ca2900e9<;:188kg0>2900qo=l5e82>1<729q/==hm:876?!770l08ni64H94e?k759:0:;95f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=51678m=ca2900e9<;:188kg0>2900qo=l5b82>1<729q/==hm:876?!770l08ni64H94e?k759:0:;;5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=51658m=ca2900e9<;:188kg0>2900qo=l5c82>1<729q/==hm:876?!770l08ni64H94e?k759:0:;55f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=516;8m=ca2900e9<;:188kg0>2900qo=l5`82>1<729q/==hm:876?!770l08ni64H94e?k759:0:;l5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=516`8m=ca2900e9<;:188kg0>2900qo=l5882>1<729q/==hm:876?!770l08ni64H94e?k759:0:;n5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=516f8m=ca2900e9<;:188kg0>2900qo=l5982>1<729q/==hm:876?!770l08ni64H94e?k759:0:;h5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=516d8m=ca2900e9<;:188kg0>2900qo=l5682>1<729q/==hm:876?!770l08ni64H94e?k759:0:4=5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=51938m=ca2900e9<;:188kg0>2900qo=l5782>1<729q/==hm:876?!770l08ni64H94e?k759:0:4?5f4e194?=n<;>1<75f1147>5<47a?2.:<5k53cf;?M>1n2d:><=51918m=ca2900e9<;:188kg0>2900qo=l5082>1<729q/==hm:876?!770l08ni64H94e?k759:0:495f4e194?=n<;>1<75f1147>5<=<2B3:k5a1330>4>23`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>jn5;392?6=8r.3;?48cc9'55>b2:ho46*7e4824c><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51948m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;mh36<49:183!>0:3=hn6*>09g97gb?3-2n97??f99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:4:5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0`g0=93<1<7>t$951>2ee3-;;4h40g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?7?02c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m39ih55+8d7955`?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:0::?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:ni>7?56;294~"??;06dc02.3i84>0g:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=5o4i5:4>5<5<5;h62e?6=3f;;?>4?::a7ad7280=6=4?{%:46?1dj2.:<5k53cf;?!>b=3;;j55+11da>462:2.:511ce?!>>03>0D58i;o3156<60k1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl46a02.:1=O0?l0b<<>3;3;g>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?5el11/4h;511d;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<6k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6bfi3;1:7>50z&;37<0kk1/==6j:2`g<>"?m<0:"?110?7E69f:l2645=91o0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3ec7>4<1290;w)682;5`f>"681o1?oj7;%:f1?77n11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?jh0(<>7e;1a`==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>909j0=1=831b8?650;9j020=831b==<3;29?xd4lm<1=7850;2x =152>ii7)??8d80fa><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51808m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;mn>6<49:183!>0:3=hn6*>09g97gb?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:0;0?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:no87?56;294~"??;06dc02.:1=O0?l0b<<>3;3:0>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<4>:783>5}#0>81;nl4$02;a?5el11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20195<05<:m7>5;n3376<722wi?iji:085>5<7s-2<>79lb:&24=c=;kn37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>4?03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>jke;392?6=8r.3;?48cc9'55>b2:ho46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?7>02c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m39ih55+11da>462:2.:511ce?!>>03>0D58i;o3156<6101b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl"?110?7E69f:l2645=90k0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3efa>4<1290;w)682;5`f>"681o1?oj7;%33bg<68<80(<>m0;33ec=#002186F76g9m5774283i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2fge?7=>3:1lk8:&24cd=99?97)??b1824d`<,133695G87d8j446;3;2o6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1g`<<62?0;6=u+86093fd<,8:3i7=md99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:5i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0`a>=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891=4k4i5:4>5<5<5;h62e?6=3f;;?>4?::a7ab6280=6=4?{%:46?1dj2.:<5k53cf;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<7i;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6bc83;1:7>50z&;37<0kk1/==6j:2`g<>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;1a`==#0l?1==h7;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9h;0e968:188m14?290C4h>4;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6b5l3;1:7>50z&;37<0k<1/==6j:2`g<>"?m<0:>03>0D58i;o3156<6i;1b85950;9j07>=83B3i=54i555>5<5;h62e?6=3f;;?>4?::a7a4d280=6=4?{%:46?1d=2.:<5k53cf;?!>b=3;;j55+11da>462:2.:53038 =??2=1C4;h4n0027?7f;2c?4:4?::k76=<72A2n<65f46494?=n998j6=44i53b>5<5;|`0`7d=93<1<7>t$951>2e23-;;4h40g`955353-;;n=4<109'<<>=<2B3:k5a1330>4g33`>3;7>5;h61>o68;k1<75f40c94?=h99986=44}c1g6d<62?0;6=u+86093f3<,8:3i7=md99'<`3=99l37)??fc82404<,8:i<7=>1:&;==<33A2=j6`>20195d35>i68:91<75rb2f1=?7=>3:1lk8:&;a0<68o20(<>ib;3317=#99h;6>?>;%::a;29?j77;:0;66sm3e15>4<1290;w)682;5`1>"681o1?oj7;%:f1?77n11/==hm:0266>"68k:1?1=O0?l0b<<>3;3b3>o30>0;66g;2983>M>b821b8:850;9j554f2900e9?n:188k464;3:17pl46a02.:N?>o1e=??<:0c;?l2??3:17d:=8;29L=c732c?;;4?::k247g=831b83<729q/4:<57b78 46?m39ih55+8d7955`?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=51`;8m1>02900e9<7:18K<`6<3`><:7>5;h336d<722c?=l4?::m2465=831vn>j<3;392?6=8r.3;?48c49'55>b2:ho46*7e4824c><,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>a`9j0=1=831b8?650;J;a5=:m7>5;n3376<722wi?i==:085>5<7s-2<>79l5:&24=c=;kn37)6j5;33b==#99li6<>:2:&24g6=;8;0(577:59K<3`54?:I:f4>=n<><1<75f110b>5<?51;494?6|,1=96:m:;%33<`<4jm20(5k::02e<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86947>5H9g3?>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?5el11/4h;511d;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=lj4i5:4>5<3:17d??2`83>>o39h0;66a>02194?=zj:n9j7?56;294~"??;06dc02.3i84>0g:8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:mh5f49594?=n<;21<7F7e198m1112900e<>=a;29?l26i3:17b??3283>>{e;m836<49:183!>0:3=h96*>09g97gb?3-2n97??f99'55`e28:>>6*>0c29747<,133695G87d8j446;3;jj6g;8683>>o3:10;6E6j0:9j020=831b==<3;29?xd4l;=1=7850;2x =152>i>7)??8d80fa><,1o>6<>i8:&24cd=99?97)??b18054=#002186F76g9m577428h;7d:77;29?l2503:1D5k?;:k733<722c:7e;1a`==#0l?1==h7;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9k;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3ddb>4<1290;w)682;5`1>"681o1?oj7;%:f1?77n11/==hm:0266>"68k:1?1=O0?l0b<<>3;3a6>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?5el11/4h;511d;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=o=4i5:4>5<5<5;h62e?6=3f;;?>4?::a7``?280=6=4?{%:46?1d=2.:<5k53cf;?!>b=3;;j55+11da>462:2.:53038 =??2=1C4;h4n0027?7e<2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m39ih55+8d7955`?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=51c78m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;ll=6<49:183!>0:3=h96*>09g97gb?3-2n97??f99'55`e28:>>6*>0c29747<,133695G87d8j446;3;i:6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1e46<62?0;6=u+86093f3<,8:3i7=md99'<`3=99l37)??fc82404<,8:i<7=>1:&;==<33A2=j6`>20195g15<:m7>5;n3376<722wi?k>=:085>5<7s-2<>79l5:&24=c=;kn37)6j5;33b==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;1a`==#0l?1==h7;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9k30e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3g23>4<1290;w)682;5`1>"681o1?oj7;%:f1?77n11/==hm:0266>"68k:1?1=O0?l0b<<>3;3ae>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?5el11/4h;511d;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=ol4i5:4>5<5<5;h62e?6=3f;;?>4?::a7``b280=6=4?{%:46?1d=2.:<5k53cf;?!>b=3;;j55+11da>462:2.:53038 =??2=1C4;h4n0027?7ek2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m39ih55+8d7955`?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=51cf8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;llh6<49:183!>0:3=h96*>09g97gb?3-2n97??f99'55`e28:>>6*>0c29747<,133695G87d8j446;3;ii6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1fb0<62?0;6=u+86093f3<,8:3i7=md99'<`3=99l37)??fc82404<,8:i<7=>1:&;==<33A2=j6`>20195g`5<:m7>5;n3376<722wi?hh;:085>5<7s-2<>79l5:&24=c=;kn37)6j5;33b==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;1a`==#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=51b38m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;moj6<49:183!>0:3=hn6*>09g97gb?3-2n97??f99'55`e28:>>6*>0c2955ga3-2247l6;I:5b>h6:891=n<4i5:4>5<5<5;h62e?6=3f;;?>4?::a7ac>280=6=4?{%:46?1dj2.:<5k53cf;?!>b=3;;j55+11da>462:2.:511ce?!>>03h27E69f:l2645=9j90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3dg2>4<1290;w)682;5`f>"681o1?oj7;%33bg<68<80(<>m0;33ec=#002186F76g9m577428i?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2faa?7=>3:1lk8:&;a0<68o20(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20195f35<:m7>5;n3376<722wi?ill:085>5<7s-2<>79lb:&24=c=;kn37)6j5;33b==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>c79j0=1=831b8?650;9j020=831b==<3;29?xd4m931=7850;2x =152>ii7)??8d80fa><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51b58m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;ln=6<49:183!>0:3=hn6*>09g97gb?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:0a;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:oo87?56;294~"??;06dc02.:1=O0?l0b<<>3;3`=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<4>:783>5}#0>81;nl4$02;a?5el11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20195fd5<:m7>5;n3376<722wi?hj>:085>5<7s-2<>79lb:&24=c=;kn37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>4ed3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>kk0;392?6=8r.3;?48cc9'55>b2:ho46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?7dl2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m39ih55+11da>462:2.:511ce?!>>03>0D58i;o3156<6kl1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl"?110?7E69f:l2645=9jl0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3dag>4<1290;w)682;5`f>"681o1?oj7;%33bg<68<80(<>m0;33ec=#002186F76g9m577428n;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2g`g?7=>3:1lk8:&24cd=99?97)??b1824d`<,133695G87d8j446;3;o=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1fgg<62?0;6=u+86093fd<,8:3i7=md99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:h?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0af?=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891=i=4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`e?280=6=4?{%:46?1dj2.:<5k53cf;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6cd?3;1:7>50z&;37<0kk1/==6j:2`g<>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;1a`==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>d79j0=1=831b8?650;9j020=831b==<3;29?xd4mj?1=7850;2x =152>ii7)??8d80fa><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51e58m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;li?6<49:183!>0:3=hn6*>09g97gb?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:0f;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:oh?7?56;294~"??;06dc02.:1=O0?l0b<<>3;3g=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?5el11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20195ad5<:m7>5;n3376<722wi?hm?:085>5<7s-2<>79lb:&24=c=;kn37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>4bd3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>kke;392?6=8r.3;?48cc9'55>b2:ho46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?7cl2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m39ih55+11da>462:2.:511ce?!>>03>0D58i;o3156<6ll1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl"?110?7E69f:l2645=9ml0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3dfa>4<1290;w)682;5`f>"681o1?oj7;%33bg<68<80(<>m0;33ec=#002186F76g9m577428o;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2gge?7=>3:1lk8:&24cd=99?97)??b1824d`<,133695G87d8j446;3;n=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1f`<<62?0;6=u+86093fd<,8:3i7=md99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:i?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0aa3=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891=h=4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`ef280=6=4?{%:46?1dj2.:<5k53cf;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6cen3;1:7>50z&;37<0kk1/==6j:2`g<>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;1a`==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>e79j0=1=831b8?650;9j020=831b==<3;29?xd4l><1=7850;2x =152>i>7)??8d80fa><,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>e69j0=1=831b8?650;9j020=831b==<3;29?xd4l>?1=7850;2x =152>i>7)??8d80fa><,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>e99j0=1=831b8?650;9j020=831b==<3;29?xd4l1n1=7850;2x =152>ii7)??8d80fa><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51d;8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;m2h6<49:183!>0:3=hn6*>09g97gb?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:0gb?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:n297?56;294~"??;06dc02.:1=O0?l0b<<>3;3ff>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?5el11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20195`b5<:m7>5;n3376<722wi?i7=:085>5<7s-2<>79lb:&24=c=;kn37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>4cb3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>j61;392?6=8r.3;?48cc9'55>b2:ho46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?7bn2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m39ih55+11da>462:2.:511ce?!>>03>0D58i;o3156<6n91b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl"?110?7E69f:l2645=9o;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3e:f>4<1290;w)682;5`f>"681o1?oj7;%33bg<68<80(<>m0;33ec=#002186F76g9m577428l97d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2f;f?7=>3:1lk8:&24cd=99?97)??b1824d`<,133695G87d8j446;3;m?6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1g>6*>0c2955ga3-2247:4H94e?k759:0:j95f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0aa`=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891=k;4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`0a280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=k84i5:4>5<5<5;h62e?6=3f;;?>4?::a7`0b280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=k94i5:4>5<5<5;h62e?6=3f;;?>4?::a7`0d280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=k64i5:4>5<5<5;h62e?6=3f;;?>4?::a7`0e280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=k74i5:4>5<5<5;h62e?6=3f;;?>4?::a7`0f280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=ko4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`0>280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=kl4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`0?280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=km4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`00280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=kj4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`01280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=kk4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`02280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=kh4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`03280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=>4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`04280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=?4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`06280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=<4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`07280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>==4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`3a280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=:4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`3b280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=;4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`3c280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=84i5:4>5<5<5;h62e?6=3f;;?>4?::a7`3d280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=94i5:4>5<5<5;h62e?6=3f;;?>4?::a7`3e280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=64i5:4>5<5<5;h62e?6=3f;;?>4?::a7`3f280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=74i5:4>5<5<5;h62e?6=3f;;?>4?::a7`3>280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=o4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`3?280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=l4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`12280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=m4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`13280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=j4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`14280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=k4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`15280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>=h4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`16280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891><>4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`17280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>5<5<5;h62e?6=3f;;?>4?::a7`0c280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891><<4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`05280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891><=4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`30280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891><:4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`31280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891><;4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`4b280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891><84i5:4>5<5<5;h62e?6=3f;;?>4?::a7`4c280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891><94i5:4>5<5<5;h62e?6=3f;;?>4?::a7`4d280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891><64i5:4>5<5<5;h62e?6=3f;;?>4?::a7`4f280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891><74i5:4>5<5<5;h62e?6=3f;;?>4?::a7`4>280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>5<5<5;h62e?6=3f;;?>4?::a7`4?280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>5<5<5;h62e?6=3f;;?>4?::a7`40280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>5<5<5;h62e?6=3f;;?>4?::a7`41280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>5<5<5;h62e?6=3f;;?>4?::a7`42280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>5<5<5;h62e?6=3f;;?>4?::a7`43280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>5<5<5;h62e?6=3f;;?>4?::a7`44280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?>4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`45280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>??4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`46280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?<4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`52280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?=4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`53280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?:4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`54280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?;4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`55280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?84i5:4>5<5<5;h62e?6=3f;;?>4?::a7`56280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?94i5:4>5<5<5;h62e?6=3f;;?>4?::a7`57280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?64i5:4>5<5<5;h62e?6=3f;;?>4?::a7`4a280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?74i5:4>5<5<5;h62e?6=3f;;?>4?::a7`4e280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?o4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`1c280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?l4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`1d280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?m4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`1e280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?j4i5:4>5<5<5;h62e?6=3f;;?>4?::a7`1f280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?k4i5:4>5<5<5;h62e?6=3f;;?>4?::a513f28096=4?{%33bg<41k1/==6j:0665>N?>o1e=??<:30e?l>0m3:17bl99;29?xd6<<<1=7<50;2x 46aj392n6*>09g951363A2=j6`>20196665<:383>5}#99li6>7m;%33<`<6<<;0D58i;o3156<5;81b4:k50;9lf3?=831vn<::c;396?6=8r.:h6:891>><4i95f>5<>6*>0c29747<,1336o74H94e?k759:09?>5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`2031=93<1<7>t$951>2e23-;;4h4>4438 46aj3;;9?5+11`3>6763-2247l6;I:5b>h6:891>>:4i5:4>5<5<5;h62e?6=3f;;?>4?::a1620280=6=4?{%:46?1d=2.:<5k55204?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>>;4i5:4>5<5<5;h62e?6=3f;;?>4?::a1621280=6=4?{%:46?1d=2.:<5k55204?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>>84i5:4>5<5<5;h62e?6=3f;;?>4?::a1632280=6=4?{%:46?1d=2.:<5k55204?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>>94i5:4>5<5<5;h62e?6=3f;;?>4?::a1633280=6=4?{%:46?1d=2.:<5k55204?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>>64i5:4>5<5<5;h62e?6=3f;;?>4?::a1627280=6=4?{%:46?1dj2.:<5k55204?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86?=6;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f054n3;1:7>50z&;37<0kk1/==6j:4113>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;7062=#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=522`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e=:=86<49:183!>0:3=hn6*>09g916403-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:31`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<9<>7?56;294~"??;0055?2.:1=O0?l0b<<>3;00`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?34:>1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<?:>51;494?6|,1=96:mm;%33<`<2;;=0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201966`5<:m7>5;n3376<722wi9>8i:085>5<7s-2<>79lb:&24=c==:8<7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>7273`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8=9e;392?6=8r.3;?48cc9'55>b2<99;6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?4392c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3?8>:5+11da>462:2.:511ce?!>>03>0D58i;o3156<5<;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:37a95?0=83:p(59=:6aa?!770l0>??94$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=:=90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm525a>4<1290;w)682;5`f>"681o19><8;%33bg<68<80(<>m0;33ec=#002186F76g9m57742;>?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb414e?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c703<<62?0;6=u+86093fd<,8:3i7;<269'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:098;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`672>=93<1<7>t$951>2ee3-;;4h4:3358 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891>994i5:4>5<5<5;h62e?6=3f;;?>4?::a1610280=6=4?{%:46?1dj2.:<5k55204?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86?:7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f050>3;1:7>50z&;37<0kk1/==6j:4113>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;7062=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4=4`9j0=1=831b8?650;9j020=831b==<3;29?xd2;>>1=7850;2x =152>ii7)??8d86771<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=525`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e=:0:3=hn6*>09g916403-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:36`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<9=m7?56;294~"??;0055?2.:1=O0?l0b<<>3;07`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?34:>1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<?9k51;494?6|,1=96:mm;%33<`<2;;=0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201961`5<:m7>5;n3376<722wi9>:m:085>5<7s-2<>79l5:&24=c==:8<7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>20196065<:m7>5;n3376<722wi9>:n:085>5<7s-2<>79l5:&24=c==:8<7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>20196075<:m7>5;n3376<722wi8:6;:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;?97d:k3;29?l25<3:17d??6583>>ie>00;66sm46;:>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c17?M>1n2d:><=52418m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8a882>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m359K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;?>7d:k3;29?l25<3:17d??6583>>ie>00;66sm46;;>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c11?M>1n2d:><=52448m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8a982>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m339K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;?37d:k3;29?l25<3:17d??6583>>ie>00;66sm46;4>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c12?M>1n2d:><=524;8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8a682>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m309K<3`:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;?i7d:k3;29?l25<3:17d??6583>>ie>00;66sm46;5>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c13?M>1n2d:><=524a8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8a782>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m319K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;?n7d:k3;29?l25<3:17d??6583>>ie>00;66sm46;6>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c0e?M>1n2d:><=524d8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8a482>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m2g9K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;<:7d:k3;29?l25<3:17d??6583>>ie>00;66sm46c1>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c0f?M>1n2d:><=52708m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8b082>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m2d9K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;>ie>00;66sm46c2>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c0g?M>1n2d:><=52778m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8b182>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m2e9K<3`:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;<<7d:k3;29?l25<3:17d??6583>>ie>00;66sm46c3>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c0`?M>1n2d:><=527:8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8ag82>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m2b9K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;>ie>00;66sm46;e>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c0a?M>1n2d:><=527`8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8ad82>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m2c9K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;>ie>00;66sm46;f>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c0b?M>1n2d:><=527g8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8ae82>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m2`9K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;=;7d:k3;29?l25<3:17d??6583>>ie>00;66sm46;g>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c0:?M>1n2d:><=52638m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8ab82>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m289K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;=87d:k3;29?l25<3:17d??6583>>ie>00;66sm46;`>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c04?M>1n2d:><=52668m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8ac82>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m269K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;==7d:k3;29?l25<3:17d??6583>>ie>00;66sm46;a>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c05?M>1n2d:><=52658m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8a`82>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m279K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;=27d:k3;29?l25<3:17d??6583>>ie>00;66sm46;b>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c06?M>1n2d:><=526c8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8a582>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m249K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;=h7d:k3;29?l25<3:17d??6583>>ie>00;66sm46;7>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1/jlm54c07?M>1n2d:><=526f8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8a282>0<729q/==hm:9d4?!>0:3i=;6*>09g900dd3-ljo7:m259K<3`5<7s-;;jo46549'55>b2=?io6F76g9m57742;=m7d:k3;29?l25<3:17d??6583>>ie>00;66sm46`f>4<2290;w)??fc8;b2=#0>81n4m4$02;a?22jj1C4;h4n0027?4?82c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a02dd280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3:2?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>i<6<48:183!77nk03j:5+8609f5<7s-;;jo46549'55>b2=?io6F76g9m57742;287d:k3;29?l25<3:17d??6583>>ie>00;66sm46a5>4<0290;w)??fc8;b2=#0>81n4o4$02;a?22jj1C4;h4n0027?4?<2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a02df280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3:6?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>i>6<48:183!77nk03j:5+8609f5<7s-;;jo46549'55>b2=?io6F76g9m57742;2<7d:k3;29?l25<3:17d??6583>>ie>00;66sm46a7>4<0290;w)??fc8;b2=#0>81n4o4$02;a?22jj1C4;h4n0027?4?02c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a02d?280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3::?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>i86<48:183!77nk03j:5+8609f5<7s-;;jo46549'55>b2=?io6F76g9m57742;2i7d:k3;29?l25<3:17d??6583>>ie>00;66sm46a1>4<0290;w)??fc8;b2=#0>81n4o4$02;a?22jj1C4;h4n0027?4?k2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a02d1280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3:g?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>i:6<48:183!77nk03j:5+8609f5<7s-;;jo46549'55>b2=?io6F76g9m57742;2m7d:k3;29?l25<3:17d??6583>>ie>00;66sm46a3>4<0290;w)??fc8;b2=#0>81n4o4$02;a?22jj1C4;h4n0027?4>82c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a02d3280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3;2?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>hm6<48:183!77nk03j:5+8609f5<7s-;;jo46549'55>b2=?io6F76g9m57742;387d:k3;29?l25<3:17d??6583>>ie>00;66sm46`g>4<0290;w)??fc8;b2=#0>81n4o4$02;a?22jj1C4;h4n0027?4><2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0236280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3;6?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<><=6<4::183!77nk03j:5+8609f72900e56>:188m=>52900ch=6:188yg20??0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e::1C4;h4n0027?4>?2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a0237280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3;;?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<><>6<4::183!77nk03j:5+8609f72900e56>:188m=>52900ch=6:188yg20?<0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e:;1C4;h4n0027?4>i2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a022a280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3;a?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>72900e56>:188m=>52900ch=6:188yg20?=0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e:81C4;h4n0027?4>l2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a022b280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3;f?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<><86<4::183!77nk03j:5+8609f72900e56>:188m=>52900ch=6:188yg20?:0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e:91C4;h4n0027?4f82c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a022c280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3c2?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<><96<4::183!77nk03j:5+8609f72900e56>:188m=>52900ch=6:188yg20?;0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e9o1C4;h4n0027?4f;2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a0207280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3c7?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>72900e56>:188m=>52900ch=6:188yg20?l0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e;k1C4;h4n0027?4f>2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a023a280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3c4?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>72900e56>:188m=>52900ch=6:188yg20?m0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e;h1C4;h4n0027?4f12c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a023b280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3cb?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>72900e56>:188m=>52900ch=6:188yg20?j0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e;01C4;h4n0027?4fk2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a023c280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3cg?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>72900e56>:188m=>52900ch=6:188yg20?k0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e;11C4;h4n0027?4fn2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a023d280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3`3?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>72900e56>:188m=>52900ch=6:188yg20?h0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e;>1C4;h4n0027?4e:2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a023e280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3`0?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>0e59i:188m=>72900e56>:188m=>52900ch=6:188yg20?00:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e;?1C4;h4n0027?4e=2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a023f280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3`5?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<><26<4::183!77nk03j:5+8609f7E69f:l2645=:k=0e59i:188m=>72900e56>:188m=>52900ch=6:188yg20?10:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e;<1C4;h4n0027?4e02c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a023>280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3`:?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<><36<4::183!77nk03j:5+8609f72900e56>:188m=>52900ch=6:188yg20?>0:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e;:1C4;h4n0027?4ej2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a023?280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3``?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<><<6<4::183!77nk03j:5+8609f72900e56>:188m=>52900ch=6:188yg20?80:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e:11C4;h4n0027?4em2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a022d280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:3`e?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<><:6<4::183!77nk03j:5+8609f72900e56>:188m=>52900ch=6:188yg20?90:684?:1y'55`e21l<7)682;a53>"681o188ll;%dbg?2e9l1C4;h4n0027?4d92c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a033f28086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;0`6>o?mo0;66g;2583>>ie>00;66sm477:>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?n:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;i?7d:k3;29?l25<3:17d??6583>>ie>00;66sm4774>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?7:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;i=7d:k3;29?l25<3:17d??6583>>ie>00;66sm4775>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?8:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;i37d:k3;29?l25<3:17d??6583>>ie>00;66sm4776>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?9:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;ij7d:k3;29?l25<3:17d??6583>>ie>00;66sm4777>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?::087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;ih7d:k3;29?l25<3:17d??6583>>ie>00;66sm4770>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?;:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;in7d:k3;29?l25<3:17d??6583>>ie>00;66sm4771>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?<:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;n;7d:k3;29?l25<3:17d??6583>>ie>00;66sm4772>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?=:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;n97d:k3;29?l25<3:17d??6583>>ie>00;66sm4773>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?>:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;n?7d:k3;29?l25<3:17d??6583>>ie>00;66sm476e>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;??:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;n=7d:k3;29?l25<3:17d??6583>>ie>00;66sm476f>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>i:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;n37d:k3;29?l25<3:17d??6583>>ie>00;66sm476`>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>k:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;nj7d:k3;29?l25<3:17d??6583>>ie>00;66sm476a>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>l:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;nh7d:k3;29?l25<3:17d??6583>>ie>00;66sm476b>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>m:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;nn7d:k3;29?l25<3:17d??6583>>ie>00;66sm476:>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>n:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;o;7d:k3;29?l25<3:17d??6583>>ie>00;66sm476;>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>6:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;o97d:k3;29?l25<3:17d??6583>>ie>00;66sm4764>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>7:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;o?7d:k3;29?l25<3:17d??6583>>ie>00;66sm4765>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>8:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;o=7d:k3;29?l25<3:17d??6583>>ie>00;66sm4766>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>9:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;o37d:k3;29?l25<3:17d??6583>>ie>00;66sm4767>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>::087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;oj7d:k3;29?l25<3:17d??6583>>ie>00;66sm4760>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>;:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;oh7d:k3;29?l25<3:17d??6583>>ie>00;66sm4742>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;<>:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;on7d:k3;29?l25<3:17d??6583>>ie>00;66sm4743>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;5<7s-;;jo46549'55>b2=?io6F76g9m57742;l;7d:k3;29?l25<3:17d??6583>>ie>00;66sm477e>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?i:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;l97d:k3;29?l25<3:17d??6583>>ie>00;66sm477f>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?j:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;l?7d:k3;29?l25<3:17d??6583>>ie>00;66sm477g>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?k:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;l=7d:k3;29?l25<3:17d??6583>>ie>00;66sm477`>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?l:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;l37d:k3;29?l25<3:17d??6583>>ie>00;66sm477a>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?m:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;lj7d:k3;29?l25<3:17d??6583>>ie>00;66sm477;>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;?6:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;lh7d:k3;29?l25<3:17d??6583>>ie>00;66sm476g>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;>j:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742;ln7d:k3;29?l25<3:17d??6583>>ie>00;66sm4761>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;><:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742::;7d:k3;29?l25<3:17d??6583>>ie>00;66sm4662>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8::m:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39;>6g7eg83>>o3:=0;66am6883>>{e<>926<4;:183!77nk02985+11:f>13ek2B3:k5a1330>6643`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8::n:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39;86g7eg83>>o3:=0;66am6883>>{e<>9<6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>6623`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8::6:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39;:6g7eg83>>o3:=0;66am6883>>{e<>9>6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>6603`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8::7:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39;46g7eg83>>o3:=0;66am6883>>{e<>986<4;:183!77nk02985+11:f>13ek2B3:k5a1330>66>3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8::8:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39;m6g7eg83>>o3:=0;66am6883>>{e<>9:6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>66e3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8::9:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39;o6g7eg83>>o3:=0;66am6883>>{e<>9;6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>66c3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:=i:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;13a>o??o0;66g78183>>ib;00;66sm4666>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8:5<7s-;;jo46549'55>b2=?io6F76g9m57742:;;7d:k3;29?l25<3:17d??6583>>ie>00;66sm461f>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?5692c3;k4?::k;<5<722en?44?::a022328086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;126>o?mo0;66g;2583>>ie>00;66sm460f>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>?<;h6g7?6=3`>987>5;h3321<722ei:44?::a025c28086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39:86g77g83>>o?090;66aj3883>>{e<>>86<4<:183!77nk0:=k94$02;a?22jj1C4;h4n0027?56=2c3ik4?::k761<722ei:44?::a024c280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:235?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>9h6<4<:183!77nk03j:5+8609`d0<,8:3i7::bb9K<3`7:k;3c<722c34=4?::mf7<<722wi8::?:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39:46g7eg83>>o3:=0;66am6883>>{e<>8h6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>67>3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:=m:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;12e>o??o0;66g78183>>ib;00;66sm463a>4<4290;w)??fc825c1<,8:3i7::bb9K<3`b:k;ac<722c?>94?::ma2<<722wi8:<::080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39:o6g7eg83>>o3:=0;66am6883>>{e<>;86<4;:183!77nk02985+11:f>13ek2B3:k5a1330>67c3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:<;:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39:i6g7eg83>>o3:=0;66am6883>>{e<>;:6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>67a3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:<<:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;399<6g7eg83>>o3:=0;66am6883>>{e<>:m6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>6463`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:<=:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;399>6g7eg83>>o3:=0;66am6883>>{e<>:o6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>6443`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:<>:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39986g7eg83>>o3:=0;66am6883>>{e<>:i6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>6423`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;399:6g7eg83>>o3:=0;66am6883>>{e<>:j6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>6403`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:?i:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39946g7eg83>>o3:=0;66am6883>>{e<>:26<4;:183!77nk02985+11:f>13ek2B3:k5a1330>64>3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:?7:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;11e>o??o0;66g78183>>ib;00;66sm463f>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8:>7:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:8h7d:k3;29?l25<3:17d??6583>>ie>00;66sm4634>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?55l2c3;k4?::k;<5<722en?44?::a027c28086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;11a>o?mo0;66g;2583>>ie>00;66sm4624>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>987>5;h3321<722ei:44?::a027128086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;398<6g77g83>>o?090;66aj3883>>{e<>;j6<4<:183!77nk0:=k94$02;a?22jj1C4;h4n0027?5492c3ik4?::k761<722ei:44?::a0261280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:211?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>;>6<4<:183!77nk03j:5+8609`d0<,8:3i7::bb9K<3`5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39886g7eg83>>o3:=0;66am6883>>{e<5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;398:6g7eg83>>o3:=0;66am6883>>{e<13ek2B3:k5a1330>6503`>o?7>5;h610?6=3`;;:94?::ma2<<722wi88k=:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;10<>o??o0;66g78183>>ib;00;66sm44d;>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi88mm:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:9j7d:k3;29?l25<3:17d??6583>>ie>00;66sm44g2>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?54j2c3;k4?::k;<5<722en?44?::a00`128086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;10g>o?mo0;66g;2583>>ie>00;66sm44a:>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>=k;h6g7?6=3`>987>5;h3321<722ei:44?::a00ba28086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;398i6g77g83>>o?090;66aj3883>>{e<6<4<:183!77nk0:=k94$02;a?22jj1C4;h4n0027?54n2c3ik4?::k761<722ei:44?::a00e?280?6=4?{%33bg<>=<1/==6j:57ag>N?>o1e=??<:263?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39?>6g7eg83>>o3:=0;66am6883>>{e<13ek2B3:k5a1330>6243`>o?7>5;h610?6=3`;;:94?::ma2<<722wi88jk:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;170>o??o0;66g78183>>ib;00;66sm44d0>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi88m9:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:>=7d:k3;29?l25<3:17d??6583>>ie>00;66sm44f`>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?53?2c3;k4?::k;<5<722en?44?::a00`528086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;17<>o?mo0;66g;2583>>ie>00;66sm44a6>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>:6;h6g7?6=3`>987>5;h3321<722ei:44?::a00be28086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39?m6g77g83>>o?090;66aj3883>>{e<=<1/==6j:57ag>N?>o1e=??<:26`?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39?i6g7eg83>>o3:=0;66am6883>>{e<13ek2B3:k5a1330>62a3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi88j6:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;164>o??o0;66g78183>>ib;00;66sm44ge>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi88m=:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:?97d:k3;29?l25<3:17d??6583>>ie>00;66sm44f;>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?52;2c3;k4?::k;<5<722en?44?::a00cb28086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;160>o?mo0;66g;2583>>ie>00;66sm44a2>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>;:;h6g7?6=3`>987>5;h3321<722ei:44?::a00b028086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39>:6g77g83>>o?090;66aj3883>>{e<=<1/==6j:57ag>N?>o1e=??<:27;?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<=:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39>m6g7eg83>>o3:=0;66am6883>>{e<13ek2B3:k5a1330>63e3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi88km:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;16g>o??o0;66g78183>>ib;00;66sm4722>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi88j<:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:?n7d:k3;29?l25<3:17d??6583>>ie>00;66sm44gb>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?52n2c3;k4?::k;<5<722en?44?::a036728086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;154>o?mo0;66g;2583>>ie>00;66sm44f1>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>8>;h6g7?6=3`>987>5;h3321<722ei:44?::a00c>28086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39=>6g77g83>>o?090;66aj3883>>{e<=<1/==6j:57ag>N?>o1e=??<:247?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39=:6g7eg83>>o3:=0;66am6883>>{e<13ek2B3:k5a1330>6003`>o?7>5;h610?6=3`;;:94?::ma2<<722wi88k8:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;15<>o??o0;66g78183>>ib;00;66sm44dg>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi88mi:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:>ie>00;66sm44g5>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?51j2c3;k4?::k;<5<722en?44?::a00`d28086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;15g>o?mo0;66g;2583>>ie>00;66sm44af>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>8k;h6g7?6=3`>987>5;h3321<722ei:44?::a00c228086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39=i6g77g83>>o?090;66aj3883>>{e<=<1/==6j:57ag>N?>o1e=??<:253?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39<>6g7eg83>>o3:=0;66am6883>>{e<13ek2B3:k5a1330>6143`>o?7>5;h610?6=3`;;:94?::ma2<<722wi88k?:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;140>o??o0;66g78183>>ib;00;66sm44g`>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi88li:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:==7d:k3;29?l25<3:17d??6583>>ie>00;66sm47d`>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;hm:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39<46g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>61>3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;hn:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39>o3:=0;66am6883>>{e13ek2B3:k5a1330>61e3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h7:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39>o3:=0;66am6883>>{e13ek2B3:k5a1330>61c3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h8:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39>o3:=0;66am6883>>{e13ek2B3:k5a1330>61a3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h9:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;393<6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6>63`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h::080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;393>6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6>43`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h;:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39386g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6>23`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h<:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;393:6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6>03`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h=:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39346g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6>>3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h>:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;393m6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6>e3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h?:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;393o6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6>c3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;ki:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;393i6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6>a3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:>::080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;392<6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6?63`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:>;:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;392>6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6?43`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:><:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39286g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6?23`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:>=:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;392:6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6?03`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:>>:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39246g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6?>3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8:>?:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;392m6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6?e3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;hi:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;392o6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6?c3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;hj:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;392i6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6?a3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;h6:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39j<6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6g63`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;kj:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39j>6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6g43`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;l6:080>5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39j86g7eg83>>o3:=0;66am6883>>{e5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39j:6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6g03`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;77:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;1b<>o??o0;66g78183>>ib;00;66sm47`5>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;98:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:kj7d:k3;29?l25<3:17d??6583>>ie>00;66sm47;5>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?5fj2c3;k4?::k;<5<722en?44?::a03d228086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;1bg>o?mo0;66g;2583>>ie>00;66sm4755>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>ok;h6g7?6=3`>987>5;h3321<722ei:44?::a03?228086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39ji6g77g83>>o?090;66aj3883>>{e=<1/==6j:57ag>N?>o1e=??<:2`3?l2c;3:17d:=4;29?l77>=0;66am6883>>{e5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39i>6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6d43`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;7<:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;1a0>o??o0;66g78183>>ib;00;66sm47`1>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;9<:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:h=7d:k3;29?l25<3:17d??6583>>ie>00;66sm47;1>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?5e?2c3;k4?::k;<5<722en?44?::a03d628086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;1a<>o?mo0;66g;2583>>ie>00;66sm4751>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>l6;h6g7?6=3`>987>5;h3321<722ei:44?::a03?628086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39im6g77g83>>o?090;66aj3883>>{e=<1/==6j:57ag>N?>o1e=??<:2``?l2c;3:17d:=4;29?l77>=0;66am6883>>{e5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39ii6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6da3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;6i:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;1`4>o??o0;66g78183>>ib;00;66sm47cf>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;8i:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:i97d:k3;29?l25<3:17d??6583>>ie>00;66sm47:f>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?5d;2c3;k4?::k;<5<722en?44?::a03gc28086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;1`0>o?mo0;66g;2583>>ie>00;66sm474f>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>m:;h6g7?6=3`>987>5;h3321<722ei:44?::a03>c28086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39h:6g77g83>>o?090;66aj3883>>{e=<1/==6j:57ag>N?>o1e=??<:2a;?l2c;3:17d:=4;29?l77>=0;66am6883>>{e5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39hm6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6ee3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;6n:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;1`g>o??o0;66g78183>>ib;00;66sm47c:>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;8n:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:in7d:k3;29?l25<3:17d??6583>>ie>00;66sm47::>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?5dn2c3;k4?::k;<5<722en?44?::a03g?28086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;1g4>o?mo0;66g;2583>>ie>00;66sm474:>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>j>;h6g7?6=3`>987>5;h3321<722ei:44?::a03>?28086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39o>6g77g83>>o?090;66aj3883>>{e=<1/==6j:57ag>N?>o1e=??<:2f7?l2c;3:17d:=4;29?l77>=0;66am6883>>{e5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39o:6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6b03`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;69:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;1g<>o??o0;66g78183>>ib;00;66sm47c6>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;89:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:nj7d:k3;29?l25<3:17d??6583>>ie>00;66sm47:6>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?5cj2c3;k4?::k;<5<722en?44?::a03g328086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;1gg>o?mo0;66g;2583>>ie>00;66sm4746>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>jk;h6g7?6=3`>987>5;h3321<722ei:44?::a03>328086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39oi6g77g83>>o?090;66aj3883>>{e=<1/==6j:57ag>N?>o1e=??<:2g3?l2c;3:17d:=4;29?l77>=0;66am6883>>{e5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39n>6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6c43`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;6=:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;1f0>o??o0;66g78183>>ib;00;66sm47a3>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;6?:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:o=7d:k3;29?l25<3:17d??6583>>ie>00;66sm47c3>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?5b?2c3;k4?::k;<5<722en?44?::a03da28086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;1f<>o?mo0;66g;2583>>ie>00;66sm475e>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>k6;h6g7?6=3`>987>5;h3321<722ei:44?::a03?a28086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39nm6g77g83>>o?090;66aj3883>>{e=<1/==6j:57ag>N?>o1e=??<:2g`?l2c;3:17d:=4;29?l77>=0;66am6883>>{e5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39ni6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6ca3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;7k:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;1e4>o??o0;66g78183>>ib;00;66sm47``>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;9l:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:l97d:k3;29?l25<3:17d??6583>>ie>00;66sm47;`>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?5a;2c3;k4?::k;<5<722en?44?::a03de28086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;1e0>o?mo0;66g;2583>>ie>00;66sm475a>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;86>h:;h6g7?6=3`>987>5;h3321<722ei:44?::a03?e28086=4?{%33bg1/4:<5d`48 46?m3>>nn5G87d8j446;39m:6g77g83>>o?090;66aj3883>>{e=<1/==6j:57ag>N?>o1e=??<:2d;?l2c;3:17d:=4;29?l77>=0;66am6883>>{e5<7s-;;jo4>1g58 46?m3>>nn5G87d8j446;39mm6g7eg83>>o3:=0;66am6883>>{e13ek2B3:k5a1330>6`e3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi8;78:080>5<7s-;;jo47f69'<24=lh<0(<>7e;66ff=O0?l0b<<>3;1eg>o??o0;66g78183>>ib;00;66sm47c`>4<4290;w)??fc825c1<,8:3i7::bb9K<3`94?::ma2<<722wi8;8k:087>5<7s-;;jo46549'55>b2=?io6F76g9m57742:ln7d:k3;29?l25<3:17d??6583>>ie>00;66sm47:`>4<4290;w)??fc8;b2=#0>81hl84$02;a?22jj1C4;h4n0027?5an2c3;k4?::k;<5<722en?44?::a03g628086=4?{%33bg<69o=0(<>7e;66ff=O0?l0b<<>3;634>o?mo0;66g;2583>>ie>00;66sm4741>4<3290;w)??fc8:10=#992n69;mc:J;2c=i9;;869>>;h6g7?6=3`>987>5;h3321<722ei:44?::a0ag1280=6=4?{%:46?1dj2.:<5k544``?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;869>=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1dam3;1:7>50z&;37<0k<1/==6j:5`42>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;869><;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1dal3;1:7>50z&;37<0k<1/==6j:5`42>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;869>;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1dak3;1:7>50z&;37<0k<1/==6j:5`42>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;869>:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1daj3;1:7>50z&;37<0k<1/==6j:5`42>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;869>9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1c5n3;1:7>50z&;37<0kk1/==6j:57ag>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4;099j0=1=831b8?650;9j020=831b==<3;29?xd3jo<1=7850;2x =152>ii7)??8d87f20<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=541;8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e6<49:183!>0:3=hn6*>09g90g113-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:52b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=hm87?56;294~"??;01d0>2.:1=O0?l0b<<>3;63f>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<ij>4>:783>5}#0>81;nl4$02;a?2e??1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<<0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201905b5<:m7>5;n3376<722wi8h:8:085>5<7s-2<>79lb:&24=c=<=<2B3:k5a1330>16b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9kb2=?io6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?27n2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>i;;5+11da>462:2.:511ce?!>>03>0D58i;o3156<3991b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;bda95?0=83:p(59=:6aa?!770l0?n:84$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742=;:7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5`ff?7=>3:15<5;|`7f`g=93<1<7>t$951>2ee3-;;4h4;b648 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;869?<;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1db13;1:7>50z&;37<0kk1/==6j:5`42>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4;159j0=1=831b8?650;9j020=831b==<3;29?xd3jl21=7850;2x =152>ii7)??8d87f20<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:536?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=hn;7?56;294~"??;01d0>2.:g?<@1<1<75f110b>5<<0(<>ib;3317=#99h;6<>nf:&;==1703`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9m;3;392?6=8r.3;?48cc9'55>b2=h<:6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?2602c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>>nn5+11da>462:2.:511ce?!>>03>0D58i;o3156<3901b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:02195?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<39h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:02095?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<39k1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:02395?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<39j1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:02295?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<39m1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:03d95?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<39l1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:03g95?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<39o1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;c`595?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<3:91b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;c`795?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<3:81b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;c`195?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<3:;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;c`395?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<3::1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;c8d95?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<3:=1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;c8f95?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<3:<1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;ce595?0=83:p(59=:6aa?!770l0?9om4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=<;<0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4e:6>4<1290;w)682;5`1>"681o188ll;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<;=0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4e:0>4<1290;w)682;5`1>"681o188ll;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<;20e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4e:2>4<1290;w)682;5`1>"681o188ll;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<;30e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4e5e>4<1290;w)682;5`1>"681o188ll;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<;k0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4e5g>4<1290;w)682;5`1>"681o188ll;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<;h0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b0g>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<;i0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b0a>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<;n0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b0:>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<;o0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b05>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<;l0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b07>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<::0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b01>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b03>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:80e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b3f>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b3`>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:>0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b3b>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:?0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b3;>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:<0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b35>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:=0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b37>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:20e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b1`>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:30e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b1b>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:k0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b1;>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:h0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b15>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:i0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b17>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:n0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b11>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:o0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b13>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<:l0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b0;>4<1290;w)682;5`1>"681o18o99;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<=:0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4bae>4<1290;w)682;5`f>"681o188ll;%33bg<68<80(<>m0;33ec=#002186F76g9m57742=>:7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5a`a?7=>3:1?>6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6`ga<62?0;6=u+86093fd<,8:3i7::bb9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0?8>5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7gfd=93<1<7>t$951>2ee3-;;4h4;5ca8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:89189:4i5:4>5<5<5;h62e?6=3f;;?>4?::a0fef280=6=4?{%:46?1dj2.:<5k544``?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;869::;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1ed13;1:7>50z&;37<0kk1/==6j:57ag>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4;469j0=1=831b8?650;9j020=831b==<3;29?xd3kj=1=7850;2x =152>ii7)??8d871ge<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=545:8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e0:3=hn6*>09g900dd3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:56:?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=ih97?56;294~"??;013ek2.:1=O0?l0b<<>3;67e>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<ho94>:783>5}#0>81;nl4$02;a?22jj1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201901e5<:m7>5;n3376<722wi8nm=:085>5<7s-2<>79lb:&24=c=<=<2B3:k5a1330>12c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9mk6;392?6=8r.3;?48cc9'55>b2=?io6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?23m2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>>nn5+11da>462:2.:511ce?!>>03>0D58i;o3156<3=831b8:850;9j554f2900e9?n:188k464;3:17pl;ce695?0=83:p(59=:6aa?!770l0?9om4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=<<:0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4bf0>4<1290;w)682;5`f>"681o188ll;%33bg<68<80(<>m0;33ec=#002186F76g9m57742=?:7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5ag6?7=>3:1>>6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6``4<62?0;6=u+86093fd<,8:3i7::bb9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0?9>5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7ga6=93<1<7>t$951>2ee3-;;4h4;5ca8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:89188:4i5:4>5<5<5;h62e?6=3f;;?>4?::a0fed280=6=4?{%:46?1dj2.:<5k544``?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;869;:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1ed93;1:7>50z&;37<0kk1/==6j:57ag>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4;569j0=1=831b8?650;9j020=831b==<3;29?xd3ml=1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;599j0=1=831b8?650;9j020=831b==<3;29?xd3ml<1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;589j0=1=831b8?650;9j020=831b==<3;29?xd3ml>1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;5`9j0=1=831b8?650;9j020=831b==<3;29?xd3ml91=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;5c9j0=1=831b8?650;9j020=831b==<3;29?xd3ml81=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;5b9j0=1=831b8?650;9j020=831b==<3;29?xd3ml;1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;5e9j0=1=831b8?650;9j020=831b==<3;29?xd3ml:1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;5d9j0=1=831b8?650;9j020=831b==<3;29?xd3mml1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;5g9j0=1=831b8?650;9j020=831b==<3;29?xd3mmo1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;619j0=1=831b8?650;9j020=831b==<3;29?xd3mmn1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;609j0=1=831b8?650;9j020=831b==<3;29?xd3mmi1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;639j0=1=831b8?650;9j020=831b==<3;29?xd3mmh1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;629j0=1=831b8?650;9j020=831b==<3;29?xd3mm31=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;659j0=1=831b8?650;9j020=831b==<3;29?xd3mm21=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;649j0=1=831b8?650;9j020=831b==<3;29?xd3mm=1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;679j0=1=831b8?650;9j020=831b==<3;29?xd3mm<1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;669j0=1=831b8?650;9j020=831b==<3;29?xd3mm?1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;699j0=1=831b8?650;9j020=831b==<3;29?xd3mm>1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;689j0=1=831b8?650;9j020=831b==<3;29?xd3mm91=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;6`9j0=1=831b8?650;9j020=831b==<3;29?xd3mm81=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;6c9j0=1=831b8?650;9j020=831b==<3;29?xd3mm;1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;6b9j0=1=831b8?650;9j020=831b==<3;29?xd3mm:1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;6e9j0=1=831b8?650;9j020=831b==<3;29?xd3mlo1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;6d9j0=1=831b8?650;9j020=831b==<3;29?xd3mln1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;6g9j0=1=831b8?650;9j020=831b==<3;29?xd3mli1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;719j0=1=831b8?650;9j020=831b==<3;29?xd3mlh1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;709j0=1=831b8?650;9j020=831b==<3;29?xd3mlk1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;739j0=1=831b8?650;9j020=831b==<3;29?xd3ml31=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;729j0=1=831b8?650;9j020=831b==<3;29?xd3ml?1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;759j0=1=831b8?650;9j020=831b==<3;29?xd3mmk1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;749j0=1=831b8?650;9j020=831b==<3;29?xd3mjl1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;779j0=1=831b8?650;9j020=831b==<3;29?xd28kk1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;769j0=1=831b8?650;9j020=831b==<3;29?xd28k21=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;799j0=1=831b8?650;9j020=831b==<3;29?xd28k<1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;789j0=1=831b8?650;9j020=831b==<3;29?xd28jk1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;7`9j0=1=831b8?650;9j020=831b==<3;29?xd28j21=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;7c9j0=1=831b8?650;9j020=831b==<3;29?xd28j<1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;7b9j0=1=831b8?650;9j020=831b==<3;29?xd28j>1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;7e9j0=1=831b8?650;9j020=831b==<3;29?xd28j81=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;7d9j0=1=831b8?650;9j020=831b==<3;29?xd28j:1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;7g9j0=1=831b8?650;9j020=831b==<3;29?xd28ko1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;819j0=1=831b8?650;9j020=831b==<3;29?xd28ki1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4;809j0=1=831b8?650;9j020=831b==<3;29?xd28ll1=7850;2x =152>ii7)??8d871ge<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:5:1?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<:ni7?56;294~"??;013ek2.:g?<@1<1<75f110b>5<ib;3317=#99h;6<>nf:&;==1>33`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>i7;392?6=8r.3;?48cc9'55>b2=?io6*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156<30<1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:0g495?0=83:p(59=:6aa?!770l0?9om4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742=2=7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb42e1?7=>3:15<5;|`64c2=93<1<7>t$951>2ee3-;;4h4;5ca8 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86967;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f06a;3;1:7>50z&;37<0kk1/==6j:57ag>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4;889j0=1=831b8?650;9j020=831b==<3;29?xd28o81=7850;2x =152>ii7)??8d871ge<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:5:b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<:m=7?56;294~"??;013ek2.:g?<@1<1<75f110b>5<51;494?6|,1=96:mm;%33<`<3=ki0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20190=e5<:m7>5;n3376<722wi8ik::085>5<7s-2<>79lb:&24=c=<=<2B3:k5a1330>1>c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>6f;392?6=8r.3;?48cc9'55>b2=?io6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?2?m2c?4:4?::k76=<722c?;;4?::k247g=831b80382>3<729q/4:<57b`8 46?m3>>nn5+11da>462:2.:511ce?!>>03>0D58i;o3156<30o1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;dd695?0=83:p(59=:6aa?!770l0?9om4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=<0:0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm5104>4<1290;w)682;5`1>"681o188ll;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=<0;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4b;5>4<1290;w)682;5`f>"681o188ll;%33bg<68<80(<>m0;33ec=#002186F76g9m57742=397d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5`a1?7=>3:12?6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6a`g<62?0;6=u+86093fd<,8:3i7:m779'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0?595f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7fg2=93<1<7>t$951>2ee3-;;4h4;b648 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:89184;4i5:4>5<5<5;h62e?6=3f;;?>4?::a0f02280=6=4?{%:46?1dj2.:<5k54c55?!77nk0:<8<4$02a4?77io1/4465b89K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4;b49j0=1=831b8?650;9j020=831b==<3;29?xd3l;:1=7850;2x =152>ii7)??8d871ge<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=54c48m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e0:3=hn6*>09g900dd3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:5`4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=n:m7?56;294~"??;013ek2.:1=O0?l0b<<>3;6a<>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<o=54>:783>5}#0>81;nl4$02;a?22jj1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20190gg5<:m7>5;n3376<722wi8i?;:085>5<7s-2<>79lb:&24=c=<=<2B3:k5a1330>1de3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9j>2;392?6=8r.3;?48cc9'55>b2=?io6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?2ek2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>>nn5+11da>462:2.:511ce?!>>03>0D58i;o3156<3jm1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;d1g95?0=83:p(59=:6aa?!770l0?9om4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=a;29?j77;:0;66sm4e2`>4<1290;w)682;5`f>"681o188ll;%33bg<68<80(<>m0;33ec=#002186F76g9m57742=hm7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5f3e?7=>3:1h<6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6g4=<62?0;6=u+86093fd<,8:3i7::bb9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0?o<5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7`67=93<1<7>t$951>2ee3-;;4h4;5ca8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:8918n<4i5:4>5<5<5;h62e?6=3f;;?>4?::a0a4a280=6=4?{%:46?1dj2.:<5k544``?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;869m<;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1b5l3;1:7>50z&;37<0kk1/==6j:57ag>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4;c49j0=1=831b8?650;9j020=831b==<3;29?xd3l;31=7850;2x =152>ii7)??8d871ge<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=54b48m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e0:3=hn6*>09g900dd3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:5a4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=n997?56;294~"??;013ek2.:1=O0?l0b<<>3;6`<>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<o=i4>:783>5}#0>81;nl4$02;a?22jj1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20190fg5<:m7>5;n3376<722wi8i>::085>5<7s-2<>79lb:&24=c=<=<2B3:k5a1330>1ee3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>92;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1ed3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:a;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1ec3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:9;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1eb3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:8;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1ea3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:6;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:5;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:4;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:3;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b43`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:2;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b33`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:1;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b23`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:0;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b13`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>;f;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>;e;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>;d;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1b>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>91;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1bf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>90;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1be3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:f;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1bd3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:e;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1bc3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:d;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1bb3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:c;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1ba3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:b;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>:7;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>;c;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>;b;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c43`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>80;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c33`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>9f;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c23`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>9e;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c13`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>9d;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>9c;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>9b;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1c>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8>9a;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1cf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9lka;392?6=8r.3;?48c49'55>b2=h<:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1ce3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9m;c;392?6=8r.3;?48c49'55>b2=h<:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>1cd3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9j97;392?6=8r.3;?48cc9'55>b2=?io6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?2bl2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>>nn5+11da>462:2.:511ce?!>>03>0D58i;o3156<3ml1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;d7195?0=83:p(59=:6aa?!770l0?9om4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=a;29?j77;:0;66sm4e7e>4<1290;w)682;5`f>"681o188ll;%33bg<68<80(<>m0;33ec=#002186F76g9m57742=l;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5f6`?7=>3:1m=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6g1g<62?0;6=u+86093fd<,8:3i7::bb9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0?j?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7`0?=93<1<7>t$951>2ee3-;;4h4;5ca8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:8918k=4i5:4>5<5<5;h62e?6=3f;;?>4?::a0a30280=6=4?{%:46?1dj2.:<5k544``?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;869h;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1b2=3;1:7>50z&;37<0kk1/==6j:57ag>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4;f79j0=1=831b8?650;9j020=831b==<3;29?xd3l<;1=7850;2x =152>ii7)??8d871ge<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=54g58m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{em6<49:183!>0:3=hn6*>09g900dd3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:5d;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=n?h7?56;294~"??;013ek2.:1=O0?l0b<<>3;6e=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<o;;4>:783>5}#0>81;nl4$02;a?22jj1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20190cd5<:m7>5;n3376<722wi8i9=:085>5<7s-2<>79lb:&24=c=<=<2B3:k5a1330>1`d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9j80;392?6=8r.3;?48cc9'55>b2=?io6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?2al2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>>nn5+11da>462:2.:511ce?!>>03>0D58i;o3156<3nl1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;d7a95?0=83:p(59=:6aa?!770l0?9om4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=a;29?j77;:0;66sm4e4b>4<1290;w)682;5`f>"681o188ll;%33bg<68<80(<>m0;33ec=#002186F76g9m57742<:;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5f56?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6g0f<62?0;6=u+86093fd<,8:3i7::bb9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0>5<5;|`7`1g=93<1<7>t$951>2ee3-;;4h4;5ca8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:8919==4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c4?280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=:4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c40280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=;4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c42280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=84i5:4>5<5<5;h62e?6=3f;;?>4?::a0c43280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=94i5:4>5<5<5;h62e?6=3f;;?>4?::a0c44280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=64i5:4>5<5<5;h62e?6=3f;;?>4?::a0c45280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=74i5:4>5<5<5;h62e?6=3f;;?>4?::a0c46280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=o4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c47280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=l4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c7a280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=m4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c7b280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=j4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c7c280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=k4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c7d280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919=h4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c7f280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919<>4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c7>280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89195<5<5;h62e?6=3f;;?>4?::a0c7?280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919<<4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c70280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919<=4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c71280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919<:4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c72280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919<;4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c73280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919<84i5:4>5<5<5;h62e?6=3f;;?>4?::a0c74280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919<94i5:4>5<5<5;h62e?6=3f;;?>4?::a0c75280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919<64i5:4>5<5<5;h62e?6=3f;;?>4?::a0c76280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919<74i5:4>5<5<5;h62e?6=3f;;?>4?::a0c4b280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89195<5<5;h62e?6=3f;;?>4?::a0c4c280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89195<5<5;h62e?6=3f;;?>4?::a0c4d280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89195<5<5;h62e?6=3f;;?>4?::a0c4e280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89195<5<5;h62e?6=3f;;?>4?::a0c4f280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89195<5<5;h62e?6=3f;;?>4?::a0c4>280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89195<5<5;h62e?6=3f;;?>4?::a0c41280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?>4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c7e280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919??4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c77280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?<4i5:4>5<5<5;h62e?6=3f;;?>4?::a0c6a280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?=4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`4?280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?:4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`40280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?;4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`42280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?84i5:4>5<5<5;h62e?6=3f;;?>4?::a0`43280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?94i5:4>5<5<5;h62e?6=3f;;?>4?::a0`44280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?64i5:4>5<5<5;h62e?6=3f;;?>4?::a0`45280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?74i5:4>5<5<5;h62e?6=3f;;?>4?::a0`46280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?o4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`47280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?l4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`7a280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?m4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`7b280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?j4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`7c280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?k4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`7d280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919?h4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`7f280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>>4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`7>280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>?4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`7?280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919><4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`70280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>=4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`71280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>:4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`72280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>;4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`73280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>84i5:4>5<5<5;h62e?6=3f;;?>4?::a0`74280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>94i5:4>5<5<5;h62e?6=3f;;?>4?::a0`75280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>64i5:4>5<5<5;h62e?6=3f;;?>4?::a0`76280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>74i5:4>5<5<5;h62e?6=3f;;?>4?::a0`4b280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>o4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`4c280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>l4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`4d280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>m4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`4e280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>j4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`4f280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>k4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`4>280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919>h4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`41280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89199>4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`7e280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89199?4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`77280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89199<4i5:4>5<5<5;h62e?6=3f;;?>4?::a0`6a280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89199=4i5:4>5<5<5;h62e?6=3f;;?>4?::a0fcd280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89199:4i5:4>5<5<5;h62e?6=3f;;?>4?::a0fcf280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89199;4i5:4>5<5<5;h62e?6=3f;;?>4?::a0fc?280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919984i5:4>5<5<5;h62e?6=3f;;?>4?::a0fc1280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919994i5:4>5<5<5;h62e?6=3f;;?>4?::a0fc3280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919964i5:4>5<5<5;h62e?6=3f;;?>4?::a0fc5280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:8919974i5:4>5<5<5;h62e?6=3f;;?>4?::a0fc7280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:89199o4i5:4>5<5<5;h62e?6=3f;;?>4?::a0ce5280=6=4?{%:46?1dj2.:<5k54g1e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;868:m;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1`d=3;1:7>50z&;37<0kk1/==6j:5d0b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:o0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:l0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:m0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:j0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:k0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:h0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:10:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:>0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:?0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:<0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:=0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c::0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:;0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:80:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:90:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:00:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:077j2d9>=4?;o01e?7h3?l0;7c99a;28j<36281ei>850:l247e=92d?1n2P8m=4m{0df>c2=9>=1=:;5f38e5?70<3;<:7h<:g29502=ug;9=>4:8c9j04c=831b==;7:188m46213:17d:=0;29?l77=h0;66g;2383>>o39m0;66g>04a94?=n99?i6=44i50;>5<:7>5;h615?6=3`>:o7>5;h63g?6=3`>9?7>5;h62f?6=3`;;984?::k240b=831b?=83.mm54<329mbd1==21b?<950;&ee=<4;:1ejl956:9j740=83.mm54<329mbd1=?21b??o50;&ee=<4;:1ejl958:9j77?=83.mm54<329mbd1=121b??650;&ee=<4;:1ejl95a:9j771=83.mm54<329mbd1=j21b??850;&ee=<4;:1ejl95c:9j773=83.mm54<329mbd1=l21b???50;&ee=<4;:1ejl95e:9j74`=83.mm54<329mbd1=n21b?<;50;&ee=<4;:1ejl951198m674290/jl653218jcg028;07dh?b;29 cg?2o9j7chn7;28?l`7i3:1(ko7:g1b?k`f?3;07dh?9;29 cg?2o9j7chn7;08?l`703:1(ko7:g1b?k`f?3907dh?7;29 cg?2o9j7chn7;68?l`7>3:1(ko7:g1b?k`f?3?07dh?5;29 cg?2o9j7chn7;48?l`7<3:1(ko7:g1b?k`f?3=07dh?2;29 cg?2o9j7chn7;:8?l`793:1(ko7:g1b?k`f?3307dh?0;29 cg?2o9j7chn7;c8?lcan3:1(ko7:g1b?k`f?3h07dkie;29 cg?2o9j7chn7;a8?lcal3:1(ko7:g1b?k`f?3n07dkic;29 cg?2o9j7chn7;g8?lcaj3:1(ko7:g1b?k`f?3l07dkia;29 cg?2o9j7chn7;33?>obn00;6)hn8;d0e>hai>0:=65feg594?"ai10m?l5af`5957=5:9jac5=83.mm54i3`9mbd1=9?10ehh=:18'bd>=n:k0bko8:058?lca93:1(ko7:g1b?k`f?3;376gjf183>!`f03l8m6`ia682=>=nmll1<7*ia98e7d=inh=1=l54idgf>5<#nh21j>o4ngc4>4d<3`ono7>5$gc;>c5f3glj;7?l;:kfag<72-lj47h290/jl65f2c8jcg028l07dkj8;29 cg?2o9j7chn7;03?>obm>0;6)hn8;d0e>hai>09=65fed494?"ai10m?l5af`5967=6=4+f`:9b6g4?:%dbi:18'bd>=n:k0bko8:358?l`7m3:1(ko7:g1b?k`f?38376gi0e83>!`f03l8m6`ia681=>=nn9i1<7*ia98e7d=inh=1>l54ig20>5<#nh21j>o4ngc4>7d<3`om47>5$gc;>c5f3glj;73:1(ko7:521?k`f?3307d=l5;29 cg?2=:97chn7;c8?l5d<3:1(ko7:521?k`f?3h07d=l3;29 cg?2=:97chn7;a8?l5d:3:1(ko7:521?k`f?3n07d=l1;29 cg?2=:97chn7;g8?l5d83:1(ko7:521?k`f?3l07d=mf;29 cg?2=:97chn7;33?>o4jl0;6)hn8;636>hai>0:=65f3ca94?"ai10?=;odb3?7332c8n44?:%db5:9j7g>=83.mm54;039mbd1=9?10e>l8:18'bd>=<980bko8:058?l5e>3:1(ko7:521?k`f?3;376g!`f03>;>6`ia682=>=n;k>1<7*ia98747=inh=1=l54i2`0>5<#nh218=<4ngc4>4d<3`9i=7>5$gc;>1653glj;7?l;:k0f5<72-lj47:?2:lee2<6l21b?lh50;&ee=<38;1ejl951d98m6gb290/jl654108jcg028l07d=nd;29 cg?2=:97chn7;03?>o4ij0;6)hn8;636>hai>09=65f3``94?"ai10?=;odb3?4332c8m54?:%db=83.mm54;039mbd1=:?10e>j8:18'bd>=<980bko8:358?l5c>3:1(ko7:521?k`f?38376g!`f03>;>6`ia681=>=n;m81<7*ia98747=inh=1>l54i2a;>5<#nh218=<4ngc4>7d<3`9ih7>5$gc;>1653glj;783:1(ko7:5ca?k`f?3;07d:7f;29 cg?2=ki7chn7;08?l2?m3:1(ko7:5ca?k`f?3907d:7d;29 cg?2=ki7chn7;68?l2?k3:1(ko7:5ca?k`f?3?07d:7b;29 cg?2=ki7chn7;48?l2?i3:1(ko7:5ca?k`f?3=07d:n2;29 cg?2=ki7chn7;:8?l2f83:1(ko7:5ca?k`f?3307d:6d;29 cg?2=ki7chn7;c8?l2>k3:1(ko7:5ca?k`f?3h07d:69;29 cg?2=ki7chn7;a8?l2>=3:1(ko7:5ca?k`f?3n07d:64;29 cg?2=ki7chn7;g8?l2>;3:1(ko7:5ca?k`f?3l07d:79;29 cg?2=ki7chn7;33?>o3010;6)hn8;6bf>hai>0:=65f23;94?"ai1099?5af`594>=n:;21<7*ia98117=inh=1=65f23594?"ai1099?5af`596>=n:;<1<7*ia98117=inh=1?65f23794?"ai1099?5af`590>=n:;>1<7*ia98117=inh=1965f23194?"ai1099?5af`592>=n:;81<7*ia98117=inh=1;65f25:94?"ai1099?5af`59<>=n::i1<7*ia98117=inh=1565f22`94?"ai1099?5af`59e>=n::21<7*ia98117=inh=1n65f22494?"ai1099?5af`59g>=n::?1<7*ia98117=inh=1h65f22694?"ai1099?5af`59a>=n:;h1<7*ia98117=inh=1j65f23394?"ai1099?5af`5955=97>5;h160?6=3`9>?7>5;h166?6=3`9>=7>5;h164?6=3`9?j7>5;h16a?6=3`9>h7>5;h16g?6=3`9>n7>5;h16e?6=3`9>57>5;h16;7>5;h17a?6=3`9?h7>5;n624?6=3f>;j7>5;n14g?6=,ok36>6k;odb3?6<3f95$gc;>6>c3glj;7?4;n14e?6=,ok36>6k;odb3?4<3f9<57>5$gc;>6>c3glj;7=4;n146k;odb3?2<3f9<;7>5$gc;>6>c3glj;7;4;n142?6=,ok36>6k;odb3?0<3f9<97>5$gc;>6>c3glj;794;n1;0?6=,ok36>6k;odb3?><3f93?7>5$gc;>6>c3glj;774;n1;6?6=,ok36>6k;odb3?g<3f93=7>5$gc;>6>c3glj;7l4;n1;4?6=,ok36>6k;odb3?e<3f95$gc;>6>c3glj;7j4;n14a?6=,ok36>6k;odb3?c<3f95$gc;>6>c3glj;7h4;n140?6=,ok36>6k;odb3?7732e8;>4?:%db1:9la=5=83.mm54jd79mbd1=821di5<50;&ee=50;&ee=21di:m50;&ee==83.mm54jd79mbd1=i21di:950;&ee=:18'bd>=mm<0bko8:038?jc1n3:1(ko7:df5?k`f?3;976aj6d83>!`f03oo:6`ia6827>=hm?n1<7*ia98f`3=inh=1=954od4`>5<#nh21ii84ngc4>43<3fo=n7>5$gc;>`b13glj;7?9;:mf2d<72-lj47kk6:lee2<6?21di;750;&ee=ib>?0;6)hn8;gg2>hai>0:n65`e7694?"ai10nh;5af`595f=2dmm:4>f:9la36=83.mm54jd79mbd1=:910ch;n:18'bd>=mm<0bko8:338?jc2:3:1(ko7:df5?k`f?38976aj4c83>!`f03oo:6`ia6817>=hm=91<7*ia98f`3=inh=1>954od1e>5<#nh21ii84ngc4>73<3foj97>5$gc;>`b13glj;7<9;:mf=f<72-lj47kk6:lee2<5?21di4850;&ee=c290/jl65ee48jcg02;307bk75;29 cg?2ln=7chn7;0b?>ib?k0;6)hn8;gg2>hai>09n65`e6294?"ai10nh;5af`596f=6=4+f`:9aa02dmm:4=f:9lb3b=831dj;m50;9lb3d=831dj;o50;9lb3?=831dj;650;9lb31=831dj;850;9lb32=831dj;=50;9lb34=831dj;?50;9lb36=831dj8h50;9lb0c=831dj8j50;9lb0e=831dj8l50;9lb0?=831dj8650;9lb01=831dj8850;9lb03=831dj8:50;9lb05=831dj8<50;9lb07=831dj8>50;9lb1c=831dj9j50;9lb1e=831dj9l50;9lb1g=831dj9750;9lb1>=831dj9950;9lb10=831dj9;50;9lb24=831dj:?50;9lb26=831dj;h50;9lb3c=831dj;;50;9lb0g=831dj9h50;9lb12=831dj9=50;9l=4b=831d550;9l=4`=831d550;9~f077k3;1=8o50;2x 46aj3==o6*>09g9146e3g89<7>4n30b>4=i;8>1<6`<1d83?k20m3:0b:8n:19m=07=92dn?;4?;o336f<73g>;h7>4n532>5=#;h;19ij4$52f>`4f3-;;9h4>0`d8L=0a3S9j<7lt1gg9b1<6?>0:;84i2;d2>41328==6k=5f182112900e9>o685<5<5<97>5;h331a<722c8=i4?:%dbl4?:%db32c8>54?:%db;4?:%db<4?:%db0:9j745=83.mm54<329mbd1=9810ek>m:188mc6f2900ek>6:188mc6?2900ek>8:188mc612900ek>::188mc632900ek>=:188mc662900ek>?:188m``a2900ehhj:188m``c2900ehhl:188m``e2900ehhn:188m``>2900ehh8:188m``12900ehh::188m``32900ehh<:188m``52900ehh>:188m``72900ehki:188m`cb2900ehkl:188m`ce2900ehkn:188m`c>2900ehk7:188m`c02900ehk9:188m`c22900ehk;:188m`c42900ek??:188mc6a2900ek>j:188mc6c2900ek>l:188mc642900ehh7:188m`cc2900ehk=:188m`c62900e>j?:18'bd>=<980bko8:198m6ea290/jl654108jcg02810e>mj:18'bd>=<980bko8:398m6ec290/jl654108jcg02:10e>ml:18'bd>=<980bko8:598m6ee290/jl654108jcg02<10e>mn:18'bd>=<980bko8:798m6e>290/jl654108jcg02>10e>m8:18'bd>=<980bko8:998m6e1290/jl654108jcg02010e>m::18'bd>=<980bko8:`98m6e3290/jl654108jcg02k10e>m<:18'bd>=<980bko8:b98m6e5290/jl654108jcg02m10e>m>:18'bd>=<980bko8:d98m6e7290/jl654108jcg02o10e>li:18'bd>=<980bko8:028?l5em3:1(ko7:521?k`f?3;:76g!`f03>;>6`ia6826>=n;kh1<7*ia98747=inh=1=>54i2`b>5<#nh218=<4ngc4>42<3`9i57>5$gc;>1653glj;7?:;:k0f=<72-lj47:?2:lee2<6>21b?o950;&ee=<38;1ejl951698m6d1290/jl654108jcg028207d=m5;29 cg?2=:97chn7;3:?>o4j=0;6)hn8;636>hai>0:m65f3c194?"ai10?=;odb3?7c32c8mk4?:%dbe:9j7dc=83.mm54;039mbd1=9o10e>ok:18'bd>=<980bko8:328?l5fk3:1(ko7:521?k`f?38:76g!`f03>;>6`ia6816>=n;hk1<7*ia98747=inh=1>>54i2c:>5<#nh218=<4ngc4>72<3`9j47>5$gc;>1653glj;7<:;:k0`=<72-lj47:?2:lee2<5>21b?i950;&ee=<38;1ejl952698m6b1290/jl654108jcg02;207d=k4;29 cg?2=:97chn7;0:?>o4l;0;6)hn8;636>hai>09m65f3b:94?"ai10?=;odb3?4c32c8m:4?:%db=m:>0bko8:198m`4e290/jl65e268jcg02810eh=m:>0bko8:398m`4>290/jl65e268jcg02:10eh<7:18'bd>=m:>0bko8:598m`40290/jl65e268jcg02<10eh<9:18'bd>=m:>0bko8:798m`42290/jl65e268jcg02>10e97>:18'bd>==b290/jl654``8jcg02:10e96k:18'bd>=d290/jl654``8jcg02<10e96m:18'bd>=f290/jl654``8jcg02>10e9o=:18'bd>=====!`f038>>6`ia683?>o5:10;6)hn8;066>hai>0:76g=2683>!`f038>>6`ia681?>o5:?0;6)hn8;066>hai>0876g=2483>!`f038>>6`ia687?>o5:=0;6)hn8;066>hai>0>76g=2283>!`f038>>6`ia685?>o5:;0;6)hn8;066>hai>0<76g=4983>!`f038>>6`ia68;?>o5;j0;6)hn8;066>hai>0276g=3c83>!`f038>>6`ia68b?>o5;10;6)hn8;066>hai>0i76g=3783>!`f038>>6`ia68`?>o5;<0;6)hn8;066>hai>0o76g=3583>!`f038>>6`ia68f?>o5:k0;6)hn8;066>hai>0m76g=2083>!`f038>>6`ia6824>=n:8o1<7*ia98117=inh=1=<54i275>5<6=44i277>5<5<5<m6=44i27f>5<5<5<5<5<o6=44o533>5<5<#nh21?5j4ngc4>5=5<#nh21?5j4ngc4>7=54o25;>5<#nh21?5j4ngc4>1=5<#nh21?5j4ngc4>3=6=4+f`:97=b5<#nh21?5j4ngc4>==5<#nh21?5j4ngc4>d=5<#nh21?5j4ngc4>f=5<#nh21?5j4ngc4>`=5<#nh21?5j4ngc4>46<3f95$gc;>6>c3glj;7?>;:mf<6<72-lj47kk6:lee2<732en4?4?:%db2dmm:4>;:mf<4<72-lj47kk6:lee2<532en4=4?:%db2dmm:4<;:mf3c<72-lj47kk6:lee2<332en;h4?:%db2dmm:4:;:mf3a<72-lj47kk6:lee2<132en;n4?:%db2dmm:48;:mf3d<72-lj47kk6:lee22dmm:46;:mf3=<72-lj47kk6:lee22dmm:4m;:mf33<72-lj47kk6:lee22dmm:4k;:mf31<72-lj47kk6:lee24?:%db2dmm:4i;:mf37<72-lj47kk6:lee2<6821di:?50;&ee=ib>m0;6)hn8;gg2>hai>0:865`e7a94?"ai10nh;5af`5950=2dmm:4>8:9la3>=83.mm54jd79mbd1=9010ch88:18'bd>=mm<0bko8:0c8?jc1>3:1(ko7:df5?k`f?3;i76aj6583>!`f03oo:6`ia682g>=hm?91<7*ia98f`3=inh=1=i54od41>5<#nh21ii84ngc4>4c<3fo==7>5$gc;>`b13glj;7?i;:mf25<72-lj47kk6:lee2<5821di8o50;&ee=ib<:0;6)hn8;gg2>hai>09865`e2d94?"ai10nh;5af`5960=6=4+f`:9aa02dmm:4=8:9la=b=83.mm54jd79mbd1=:010ch6::18'bd>=mm<0bko8:3c8?jc0j3:1(ko7:df5?k`f?38i76aj7183>!`f03oo:6`ia681g>=hm??1<7*ia98f`3=inh=1>i54od1f>5<#nh21ii84ngc4>7c<3fo8h7>5$gc;>`b13glj;74?::me27<722em:<4?::me25<722em9k4?::me1`<722em9i4?::me1f<722em9o4?::me1<<722em954?::me12<722em9;4?::me10<722em994?::me16<722em9?4?::me14<722em9=4?::me0`<722em8i4?::me0f<722em8o4?::me0d<722em844?::me0=<722em8:4?::me03<722em884?::me37<722em;<4?::me35<722em:k4?::me2`<722em:84?::me1d<722em8k4?::me01<722em8>4?::m:5a<722e2=n4?::m:5g<722e2=l4?::m:5<<722e2=54?::m:53<722e2=84?::m:51<722e2=>4?::m:57<722e2=<4?::m:55<722e2?4?::m:64<722e2>=4?::m:5c<722e2=h4?::m:52<722e2947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f042k3;1:7>50z&;37<0kk1/==6j:433f>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;724g=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4:8g9j0=1=831b8?650;9j020=831b==<3;29?xd58jl1=7850;2x =152>i>7)??8d814g6<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4:919j0=1=831b8?650;9j020=831b==<3;29?xd58jo1=7850;2x =152>i>7)??8d814g6<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4:909j0=1=831b8?650;9j020=831b==<3;29?xd58j<1=7850;2x =152>ii7)??8d814g6<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=55808m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:9io6<49:183!>0:3=hn6*>09g965d73-;;jo4>0408 46e83;;mk5+88:9f<=O0?l0b<<>3;7:7>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?47j91/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<51;494?6|,1=96:m:;%33<`<6n:l0(<>ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201915<:m7>5;n3376<722wi=k;j:085>5<7s-2<>79lb:&24=c=9o9m7)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?3>n2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;m?k5+11da>462:2.:511ce?!>>03>0D58i;o3156<2i91b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>f5d95?0=83:p(59=:6a6?!770l0:j>h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<2i81b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>f4495?0=83:p(59=:6a6?!770l0:j>h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<2i;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>f4795?0=83:p(59=:6a6?!770l0:j>h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<2i:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>f4695?0=83:p(59=:6a6?!770l0:j>h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<2i=1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>f4;95?0=83:p(59=:6a6?!770l0:j>h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<2i<1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>f4f95?0=83:p(59=:6a6?!770l0:j>h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<2i?1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>f4a95?0=83:p(59=:6a6?!770l0:j>h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<2i>1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>f9495?0=83:p(59=:6aa?!770l0:j;?4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645==h20e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1g:6>4<1290;w)682;5`f>"681o1=k8>;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>i68:91<75rb0d;0?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e<6<62?0;6=u+86093fd<,8:3i7?i609'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0>mo5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`2b=4=93<1<7>t$951>2ee3-;;4h4>f738 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:8919lm4i5:4>5<5<5;h62e?6=3f;;?>4?::a5c>6280=6=4?{%:46?1dj2.:<5k51g42?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;868ok;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4`?i3;1:7>50z&;37<0k<1/==6j:0d55>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;868oj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4`?13;1:7>50z&;37<0kk1/==6j:0d55>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;3e24=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;03`5=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4:f19j0=1=831b8?650;9j020=831b==<3;29?xd58o>1=7850;2x =152>ii7)??8d814a6<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=55g38m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:9l86<49:183!>0:3=hn6*>09g965b73-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:4d1?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;:m>7?56;294~"??;076c82.:1=O0?l0b<<>3;7e7>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?47l91/==hm:0266>"68k:1==oi;%::<1<75f110b>5<51;494?6|,1=96:mm;%33<`<58m:0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20191c35<:m7>5;n3376<722wi>=h6:085>5<7s-2<>79l5:&24=c=:9n;7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>20191c05<:m7>5;n3376<722wi>=h7:085>5<7s-2<>79lb:&24=c=:9n;7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>0`03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m6;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>0`?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m5;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>0`>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m3;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>0`f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m2;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>0`e3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m1;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>0`d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m0;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>0`c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??nf;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>0`b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??ne;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>0`a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??nd;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3673`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??nc;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3663`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??nb;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3653`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??na;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3643`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n8;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3633`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n7;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3623`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n6;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3613`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n5;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3603`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n4;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>36?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n3;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>36>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n2;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>36f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n1;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>36e3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n0;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>36d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??6f;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>36c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??mc;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>36b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??mb;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>36a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??ma;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3773`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m9;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3763`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m8;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3753`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m7;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3743`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??m4;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3733`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??n9;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3723`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??6e;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3713`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??6d;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3703`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:3;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>37?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:2;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>37>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:0;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>37f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;f;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>37e3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;e;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>37d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;d;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>37c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;c;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>37b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;b;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>37a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;a;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3473`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;9;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3463`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;8;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3453`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;7;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3443`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;5;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3433`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;4;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3423`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;3;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3413`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;2;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3403`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;1;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>34?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;0;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>34>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>34f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>34e3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>34d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>34c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:9;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>34b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:8;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>34a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:7;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3573`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:6;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3563`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:5;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3553`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:4;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3543`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??:1;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3533`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??;6;392?6=8r.3;?48c49'55>b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3523`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3513`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??b2;:o<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>3503`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?>nd;392?6=8r.3;?48c49'55>b2;:?i6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>35?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?>:c;392?6=8r.3;?48cc9'55>b2;:?i6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?0412c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38;8h5+11da>462:2.:511ce?!>>03>0D58i;o3156<1;h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=04`95?0=83:p(59=:6aa?!770l09<9k4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=>:h0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm21c:>4<1290;w)682;5`f>"681o1>=:j;%33bg<68<80(<>m0;33ec=#002186F76g9m57742?9h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb32be?7=>3:1;e:&24cd=99?97)??b1824d`<,133695G87d8j446;3<8h6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c03e=<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247:4H94e?k759:0=?h5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`14d1=93<1<7>t$951>2ee3-;;4h4=05g8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891:>h4i5:4>5<5<5;h62e?6=3f;;?>4?::a65g1280=6=4?{%:46?1dj2.:<5k5216f?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86;:?;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f746k3;1:7>50z&;37<0k<1/==6j:33a`>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86;:>;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f77dj3;1:7>50z&;37<0kk1/==6j:33a`>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;02fa=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>49429j0=1=831b8?650;9j020=831b==<3;29?xd59jk1=7850;2x =152>ii7)??8d815gb<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=56568m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:;;36<49:183!>0:3=hn6*>09g964dc3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:766?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;8:57?56;294~"??;077el2.:1=O0?l0b<<>3;472>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?46jm1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<<851;494?6|,1=96:mm;%33<`<59kn0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201921>5<:m7>5;n3376<722wi>??::085>5<7s-2<>79lb:&24=c=:8ho7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>32>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn??ja;397?6=8r.:1<75`b7;94?=zj;;n57?53;294~"68oh1=50z&24cd=17e;02ga=O0?l0b<<>3;47g>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;;n47?53;294~"68oh1=50z&24cd=17e;02ga=O0?l0b<<>3;47a>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;;n;7?53;294~"68oh1=50z&24cd=17e;02ga=O0?l0b<<>3;464>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;;n:7?53;294~"68oh1=50z&24cd=17e;02ga=O0?l0b<<>3;466>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;;n97?53;294~"68oh1=50z&24cd=17e;02ga=O0?l0b<<>3;460>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;;n87?53;294~"68oh1=50z&24cd=17e;02ga=O0?l0b<<>3;462>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;;n?7?53;294~"68oh1=1b4hh50;9j072=831dn;750;9~f77c93;187>50z&24cd=17e;02ga=O0?l0b<<>3;46<>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;;n>7?53;294~"68oh1=50z&24cd=17e;02ga=O0?l0b<<>3;46e>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;;n=7?53;294~"68oh1=50z&24cd=17e;02ga=O0?l0b<<>3;46g>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;8:<7?56;294~"??;077dl2.:1=O0?l0b<<>3;46`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?46km1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<=k51;494?6|,1=96:mm;%33<`<59jn0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201920`5<:m7>5;n3376<722wi>?>k:085>5<7s-2<>79lb:&24=c=:8io7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>3073`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;;hh6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?0192c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38:oi5+11da>462:2.:511ce?!>>03>0D58i;o3156<1>;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=21c95?0=83:p(59=:6aa?!770l09=nj4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=>?90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm20de>4<1290;w)682;5`f>"681o1>m0;33ec=#002186F76g9m57742?>i68:91<75rb33eg?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c02b`<62?0;6=u+86093fd<,8:3i7<>ce9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0=:;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1657=93<1<7>t$951>2ee3-;;4h4=1bf8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891:;94i5:4>5<5<5;h62e?6=3f;;?>4?::a6765280=6=4?{%:46?1dj2.:<5k520ag?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86;87;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f74783;1:7>50z&;37<0kk1/==6j:33``>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;02ga=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>496`9j0=1=831b8?650;9j020=831b==<3;29?xd58>h1=7=50;2x 46aj3;:j:5+11:f>762m2B3:k5a1330>30e3`2nj7>5;h610?6=3fh=57>5;|`142g=9391<7>t$02ef?76n>1/==6j:326a>N?>o1e=??<:74`?l>bn3:17d:=4;29?jd113:17pl=07g95?2=83:p(<>ib;;61>"681o1>=;j;I:5b>h6:891:;j4i5f0>5<5;|`142?=9391<7>t$02ef?76n>1/==6j:326a>N?>o1e=??<:74f?l>bn3:17d:=4;29?jd113:17pl=07a95?2=83:p(<>ib;;61>"681o1>=;j;I:5b>h6:891:;h4i5f0>5<5;|`142>=9391<7>t$02ef?76n>1/==6j:326a>N?>o1e=??<:753?l>bn3:17d:=4;29?jd113:17pl=07c95?2=83:p(<>ib;;61>"681o1>=;j;I:5b>h6:891::?4i5f0>5<5;|`1421=9391<7>t$02ef?76n>1/==6j:326a>N?>o1e=??<:751?l>bn3:17d:=4;29?jd113:17pl=07:95?2=83:p(<>ib;;61>"681o1>=;j;I:5b>h6:891::=4i5f0>5<5;|`1420=9391<7>t$02ef?76n>1/==6j:326a>N?>o1e=??<:757?l>bn3:17d:=4;29?jd113:17pl=07495?2=83:p(<>ib;;61>"681o1>=;j;I:5b>h6:891::;4i5f0>5<5;|`1423=9391<7>t$02ef?76n>1/==6j:326a>N?>o1e=??<:755?l>bn3:17d:=4;29?jd113:17pl=07695?2=83:p(<>ib;;61>"681o1>=;j;I:5b>h6:891::94i5f0>5<5;|`1422=9391<7>t$02ef?76n>1/==6j:326a>N?>o1e=??<:75;?l>bn3:17d:=4;29?jd113:17pl=07095?2=83:p(<>ib;;61>"681o1>=;j;I:5b>h6:891::74i5f0>5<5;|`1425=9391<7>t$02ef?76n>1/==6j:326a>N?>o1e=??<:75b?l>bn3:17d:=4;29?jd113:17pl=07295?2=83:p(<>ib;;61>"681o1>=;j;I:5b>h6:891::l4i5f0>5<5;|`1424=9391<7>t$02ef?76n>1/==6j:326a>N?>o1e=??<:75`?l>bn3:17d:=4;29?jd113:17pl=04d95?2=83:p(<>ib;;61>"681o1>=;j;I:5b>h6:891::j4i5f0>5<5;|`14d7=93<1<7>t$951>2ee3-;;4h4=04g8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891::k4i5:4>5<5<5;h62e?6=3f;;?>4?::a65g7280=6=4?{%:46?1dj2.:<5k5217f?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86;9i;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f76>n3;1:7>50z&;37<0kk1/==6j:326a>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;031`=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>49809j0=1=831b8?650;9j020=831b==<3;29?xd580n1=7850;2x =152>ii7)??8d8140c<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=56908m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:93h6<49:183!>0:3=hn6*>09g9653b3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:7:0?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;:2n7?56;294~"??;0762m2.:1=O0?l0b<<>3;4;0>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?47=l1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20192=05<:m7>5;n3376<722wi>=6i:085>5<7s-2<>79lb:&24=c=:9?n7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>3>03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?>62;392?6=8r.3;?48cc9'55>b2;:>i6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?0?02c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38;9h5+11da>462:2.:511ce?!>>03>0D58i;o3156<1001b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=08395?0=83:p(59=:6aa?!770l09<8k4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=>1k0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm21:f>4<1290;w)682;5`f>"681o1>=;j;%33bg<68<80(<>m0;33ec=#002186F76g9m57742?2i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb565=?7=>3:1>i68:91<75rb5653:1>i68:91<75rb5653?7=>3:1>i68:91<75rb56b5?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c67=`<62?0;6=u+86093fd<,8:3i7:;8b9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0=5=5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`70t$951>2ee3-;;4h4;49a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891:4?4i5:4>5<5<5;h62e?6=3f;;?>4?::a01?d280=6=4?{%:46?1dj2.:<5k545:`?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86;7=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f12>j3;1:7>50z&;37<0kk1/==6j:56;g>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;676d=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>49959j0=1=831b8?650;9j020=831b==<3;29?xd3=>n1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49949j0=1=831b8?650;9j020=831b==<3;29?xd3=>o1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49979j0=1=831b8?650;9j020=831b==<3;29?xd3=>l1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49969j0=1=831b8?650;9j020=831b==<3;29?xd3=1:1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49999j0=1=831b8?650;9j020=831b==<3;29?xd3=1;1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49989j0=1=831b8?650;9j020=831b==<3;29?xd3=181=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>499`9j0=1=831b8?650;9j020=831b==<3;29?xd3=191=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>499c9j0=1=831b8?650;9j020=831b==<3;29?xd3=1>1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>499b9j0=1=831b8?650;9j020=831b==<3;29?xd3=?n1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>499e9j0=1=831b8?650;9j020=831b==<3;29?xd3=?o1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>499d9j0=1=831b8?650;9j020=831b==<3;29?xd3=?l1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>499g9j0=1=831b8?650;9j020=831b==<3;29?xd3=>:1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49a19j0=1=831b8?650;9j020=831b==<3;29?xd3=>;1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49a09j0=1=831b8?650;9j020=831b==<3;29?xd3=>81=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49a39j0=1=831b8?650;9j020=831b==<3;29?xd3=>91=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49a29j0=1=831b8?650;9j020=831b==<3;29?xd3=>>1=7850;2x =152>i>7)??8d8716e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49a59j0=1=831b8?650;9j020=831b==<3;29?xd3=?91=7850;2x =152>ii7)??8d8716e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=56`78m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<0:3=hn6*>09g9005d3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:7c5?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=?>=7?56;294~"??;0134k2.:1=O0?l0b<<>3;4b3>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<>9?4>:783>5}#0>81;nl4$02;a?22;j1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20192d?5<:m7>5;n3376<722wi886::085>5<7s-2<>79lb:&24=c=<<9h7)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?0fi2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0fj2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0fk2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0fl2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0fm2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0fn2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e82c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e:2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e;2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e<2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e=2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e>2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e?2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e02c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0e12c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>?>l5+11da>462:2.:53038 =??2=1C4;h4n0027?0ei2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>89<5+11da>462:2.:511ce?!>>03>0D58i;o3156<1jk1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37a95?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1jj1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37`95?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1jm1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37c95?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1jl1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37;95?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1jo1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37:95?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k91b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37595?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k81b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;36695?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;36195?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;36095?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k=1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;36395?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k<1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;36295?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k?1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37d95?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k>1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37g95?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k11b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37f95?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1k01b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37495?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1kh1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;37795?0=83:p(59=:6a6?!770l0??8?4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<1kk1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;44395?5=83:p(<>ib;32b2=#992n69:<7:J;2c=i9;;86;ml;h:fb?6=3`>987>5;n`5=?6=3th?88>51;194?6|,8:mn7?>f69'55>b2=>8;6F76g9m57742?io7d6jf;29?l25<3:17bl99;29?xd3<==1=7:50;2x 46aj33>96*>09g901503A2=j6`>20192fc5<5;n`5=?6=3th?89h51;194?6|,8:mn7?>f69'55>b2=>8;6F76g9m57742?im7d6jf;29?l25<3:17bl99;29?xd3<=<1=7:50;2x 46aj33>96*>09g901503A2=j6`>20192a65<5;n`5=?6=3th?89k51;194?6|,8:mn7?>f69'55>b2=>8;6F76g9m57742?n:7d6jf;29?l25<3:17bl99;29?xd3<=>1=7:50;2x 46aj33>96*>09g901503A2=j6`>20192a45<5;n`5=?6=3th?8;?51;494?6|,1=96:m:;%33<`<3<:=0(<>ib;3317=#99h;6>?>;%::<1<75f110b>5<51;494?6|,1=96:m:;%33<`<3<:=0(<>ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<51;194?6|,8:mn7?>f69'55>b2=?3:6F76g9m57742?n<7d6jf;29?l25<3:17bl99;29?xd3=0l1=7=50;2x 46aj3;:j:5+11:f>13?>2B3:k5a1330>3b?3`2nj7>5;h610?6=3fh=57>5;|`71<0=93>1<7>t$02ef??2=2.:<5k544:5?M>1n2d:><=56e;8m1b42900e9<;:188m461<3:17bl99;29?xd3=0o1=7=50;2x 46aj3;:j:5+11:f>13?>2B3:k5a1330>3bf3`2nj7>5;h610?6=3fh=57>5;|`71<3=93>1<7>t$02ef??2=2.:<5k544:5?M>1n2d:><=56e`8m1b42900e9<;:188m461<3:17bl99;29?xd3=0n1=7=50;2x 46aj3;:j:5+11:f>13?>2B3:k5a1330>3bd3`2nj7>5;h610?6=3fh=57>5;|`71<5=93>1<7>t$02ef??2=2.:<5k544:5?M>1n2d:><=56ef8m1b42900e9<;:188m461<3:17bl99;29?xd3=hl1=7850;2x =152>i>7)??8d871=0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49dd9j0=1=831b8?650;9j020=831b==<3;29?xd3=ho1=7850;2x =152>i>7)??8d871=0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49dg9j0=1=831b8?650;9j020=831b==<3;29?xd3=hn1=7850;2x =152>i>7)??8d871=0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49e19j0=1=831b8?650;9j020=831b==<3;29?xd3=hi1=7850;2x =152>i>7)??8d871=0<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>49e09j0=1=831b8?650;9j020=831b==<3;29?xd3=h91=7850;2x =152>ii7)??8d871=0<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=56d08m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<<::6<4<:183!77nk0:=k94$02;a?23i>1C4;h4n0027?0b;2c3ik4?::k761<722ei:44?::a006728086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4f0>o?mo0;66g;2583>>ie>00;66sm45f0>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;k:;h6g7?6=3`>987>5;h3321<722ei:44?::a01`a28086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4f2>o?mo0;66g;2583>>ie>00;66sm45f1>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;k8;h6g7?6=3`>987>5;h3321<722ei:44?::a01`b28086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4f<>o?mo0;66g;2583>>ie>00;66sm45f2>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;k6;h6g7?6=3`>987>5;h3321<722ei:44?::a01`c28086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4fe>o?mo0;66g;2583>>ie>00;66sm45f3>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;km;h6g7?6=3`>987>5;h3321<722ei:44?::a01`d28086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4fg>o?mo0;66g;2583>>ie>00;66sm45ae>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;kk;h6g7?6=3`>987>5;h3321<722ei:44?::a006f28086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4fa>o?mo0;66g;2583>>ie>00;66sm45f`>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;ki;h6g7?6=3`>987>5;h3321<722ei:44?::a006>28086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4e4>o?mo0;66g;2583>>ie>00;66sm45fa>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;h>;h6g7?6=3`>987>5;h3321<722ei:44?::a006?28086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4e6>o?mo0;66g;2583>>ie>00;66sm45fb>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;h<;h6g7?6=3`>987>5;h3321<722ei:44?::a006028086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4e0>o?mo0;66g;2583>>ie>00;66sm45f:>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;h:;h6g7?6=3`>987>5;h3321<722ei:44?::a006128086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4e2>o?mo0;66g;2583>>ie>00;66sm45f;>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;h8;h6g7?6=3`>987>5;h3321<722ei:44?::a006228086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4e<>o?mo0;66g;2583>>ie>00;66sm45f4>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;h6;h6g7?6=3`>987>5;h3321<722ei:44?::a006328086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4ee>o?mo0;66g;2583>>ie>00;66sm45f5>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;hm;h6g7?6=3`>987>5;h3321<722ei:44?::a006428086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4eg>o?mo0;66g;2583>>ie>00;66sm45f6>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;hk;h6g7?6=3`>987>5;h3321<722ei:44?::a006528086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;4ea>o?mo0;66g;2583>>ie>00;66sm45f7>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86;hi;h6g7?6=3`>987>5;h3321<722ei:44?::a01`e28086=4?{%33bg<69o=0(<>7e;67e2=O0?l0b<<>3;534>o?mo0;66g;2583>>ie>00;66sm45ag>4<3290;w)??fc8:10=#992n69:n7:J;2c=i9;;86:>>;h6g7?6=3`>987>5;h3321<722ei:44?::a0054280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=<4i5:4>5<5<5;h62e?6=3f;;?>4?::a0055280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;==4i5:4>5<5<5;h62e?6=3f;;?>4?::a0056280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=:4i5:4>5<5<5;h62e?6=3f;;?>4?::a0057280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=;4i5:4>5<5<5;h62e?6=3f;;?>4?::a004a280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=84i5:4>5<5<5;h62e?6=3f;;?>4?::a004b280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=94i5:4>5<5<5;h62e?6=3f;;?>4?::a005e280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=64i5:4>5<5<5;h62e?6=3f;;?>4?::a005f280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=74i5:4>5<5<5;h62e?6=3f;;?>4?::a005>280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=o4i5:4>5<5<5;h62e?6=3f;;?>4?::a005?280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=l4i5:4>5<5<5;h62e?6=3f;;?>4?::a0050280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=m4i5:4>5<5<5;h62e?6=3f;;?>4?::a0051280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=j4i5:4>5<5<5;h62e?6=3f;;?>4?::a0052280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=k4i5:4>5<5<5;h62e?6=3f;;?>4?::a0053280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;=h4i5:4>5<5<5;h62e?6=3f;;?>4?::a004c280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;<>4i5:4>5<5<5;h62e?6=3f;;?>4?::a004d280=6=4?{%:46?1d=2.:<5k545c4?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891;5<5<5;h62e?6=3f;;?>4?::a006e280=6=4?{%:46?1dj2.:<5k545c4?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86:?=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f15>?3;1?7>50z&24cd=98l<7)??8d8772`<@10g`954`03-;;4h4;36d8L=0a3g;9=>48159j<``=831b8?:50;9lf3?=831vn9=62;390?6=8r.:8;k5G87d8j446;3=:96g;d283>>o3:=0;66g>07694?=hj?31<75rb51b4?7=;3:10g`954`03-;;4h4;36d8L=0a3g;9=>48179j<``=831b8?:50;9lf3?=831vn9=60;390?6=8r.:8;k5G87d8j446;3=:;6g;d283>>o3:=0;66g>07694?=hj?31<75rb51:b?7=;3:10g`954`03-;;4h4;36d8L=0a3g;9=>48199j<``=831b8?:50;9lf3?=831vn9=7e;390?6=8r.:8;k5G87d8j446;3=:56g;d283>>o3:=0;66g>07694?=hj?31<75rb51:a?7=;3:10g`954`03-;;4h4;36d8L=0a3g;9=>481`9j<``=831b8?:50;9lf3?=831vn9=7c;390?6=8r.:8;k5G87d8j446;3=:n6g;d283>>o3:=0;66g>07694?=hj?31<75rb51:`?7=;3:10g`954`03-;;4h4;36d8L=0a3g;9=>481b9j<``=831b8?:50;9lf3?=831vn9=7a;390?6=8r.:8;k5G87d8j446;3=:h6g;d283>>o3:=0;66g>07694?=hj?31<75rb51:g?7=;3:10g`954`03-;;4h4;36d8L=0a3g;9=>481d9j<``=831b8?:50;9lf3?=831vn9=78;390?6=8r.:8;k5G87d8j446;3=:j6g;d283>>o3:=0;66g>07694?=hj?31<75rb51:f?7=;3:10g`954`03-;;4h4;36d8L=0a3g;9=>48219j<``=831b8?:50;9lf3?=831vn9=76;390?6=8r.:8;k5G87d8j446;3=9=6g;d283>>o3:=0;66g>07694?=hj?31<75rb51:e?7=;3:10g`954`03-;;4h4;36d8L=0a3g;9=>48239j<``=831b8?:50;9lf3?=831vn9=74;390?6=8r.:8;k5G87d8j446;3=9?6g;d283>>o3:=0;66g>07694?=hj?31<75rb51:=?7=;3:10g`954`03-;;4h4;36d8L=0a3g;9=>48259j<``=831b8?:50;9lf3?=831vn9=72;390?6=8r.:8;k5G87d8j446;3=996g;d283>>o3:=0;66g>07694?=hj?31<75rb51:2?7=;3:10g`954`03-;;4h4;36d8L=0a3g;9=>48279j<``=831b8?:50;9lf3?=831vn9=71;390?6=8r.:8;k5G87d8j446;3=9;6g;d283>>o3:=0;66g>07694?=hj?31<75rb51a0g`954`03-;;4h4;36d8L=0a3g;9=>48299j<``=831b8?:50;9lf3?=831vn9=l2;397?6=8r.:45f8dd94?=n<;>1<75`b7;94?=zj=9i?7?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?15i2c?h>4?::k761<722c:<;:50;9lf3?=831vn9=l1;397?6=8r.:o5f8dd94?=n<;>1<75`b7;94?=zj=9i=7?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?15k2c?h>4?::k761<722c:<;:50;9lf3?=831vn9=l0;397?6=8r.:i5f8dd94?=n<;>1<75`b7;94?=zj=9jj7?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?15m2c?h>4?::k761<722c:<;:50;9lf3?=831vn9=mf;397?6=8r.:k5f8dd94?=n<;>1<75`b7;94?=zj=9jh7?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?1482c?h>4?::k761<722c:<;:50;9lf3?=831vn9=me;397?6=8r.:1<75`b7;94?=zj=9jn7?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?14:2c?h>4?::k761<722c:<;:50;9lf3?=831vn9=md;397?6=8r.:5f8dd94?=n<;>1<75`b7;94?=zj=9j57?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?14<2c?h>4?::k761<722c:<;:50;9lf3?=831vn9=mc;397?6=8r.:1<75`b7;94?=zj=9j;7?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?14>2c?h>4?::k761<722c:<;:50;9lf3?=831vn9=mb;397?6=8r.:1<75`b7;94?=zj=9j97?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?1402c?h>4?::k761<722c:<;:50;9lf3?=831vn9=ma;397?6=8r.:1<75`b7;94?=zj=9j?7?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?14i2c?h>4?::k761<722c:<;:50;9lf3?=831vn9=m7;397?6=8r.:1<75`b7;94?=zj=9j>7?54;294~"68oh158;4$02;a?24?o1C4;h4n0027?14k2c?h>4?::k761<722c:<;:50;9lf3?=831vn9:?9;392?6=8r.3;?48cc9'55>b2=90g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?14l2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>8;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<0;l1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;40295?0=83:p(59=:6aa?!770l0??:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=?:l0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm452e>4<1290;w)682;5`f>"681o18>9i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>>;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb563a?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c674a<62?0;6=u+86093fd<,8:3i7:<7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0<8?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`705e=93<1<7>t$951>2ee3-;;4h4;36d8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891;9=4i5:4>5<5<5;h62e?6=3f;;?>4?::a016e280=6=4?{%:46?1dj2.:<5k5425e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86::;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f127i3;1:7>50z&;37<0kk1/==6j:514b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;603c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>48479j0=1=831b8?650;9j020=831b==<3;29?xd3<9=1=7850;2x =152>ii7)??8d8772`<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=57558m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<=8:6<49:183!>0:3=hn6*>09g9061a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:66;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=>957?56;294~"??;0150n2.:1=O0?l0b<<>3;57=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<?>54>:783>5}#0>81;nl4$02;a?24?o1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<l0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201931d5<:m7>5;n3376<722wi89<9:085>5<7s-2<>79lb:&24=c=<:=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>22d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9:=5;392?6=8r.3;?48cc9'55>b2=90g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?13l2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>8;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<0=831b8:850;9j554f2900e9?n:188k464;3:17pl;43195?0=83:p(59=:6aa?!770l0??:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=?=l0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4501>4<1290;w)682;5`f>"681o18>9i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>?;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5614?7=>3:1=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c675c<62?0;6=u+86093fd<,8:3i7:<7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0<9?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`77ab=93<1<7>t$951>2e23-;;4h4;36d8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0<9>5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`22t$02ef?>a?2.3;?4l339'55>b28???7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>hn1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:;:;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::4m51;594?6|,8:mn76i7:&;37N?>o1e=??<:675?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>6`g95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>2303`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`22=e=93>1<7>t$02ef??2>2.:<5k5145e?M>1n2d:><=574:8m=1a2900e56?:188m461<3:17bl99;29?xd6>h?1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:;6;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::o951;594?6|,8:mn76i7:&;37N?>o1e=??<:67b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>69f95?2=83:p(<>ib;;62>"681o1=89i;I:5b>h6:891;8l4i95e>5<5;|`22d0=93=1<7>t$02ef?>a?2.3;?4l339'55>b28??h7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>k21=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:;k;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::4;51;694?6|,8:mn77:6:&24=c=9<=m7E69f:l2645=?72900e<>94;29?jd113:17pl>6`595?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>23a3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`22g?=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?<;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>0<1=7:50;2x 46aj33>:6*>09g9501a3A2=j6`>20193375<5;n`5=?6=3th::l651;594?6|,8:mn76i7:&;37N?>o1e=??<:641?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>6cc95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>2043`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`22<1=93>1<7>t$02ef??2>2.:<5k5145e?M>1n2d:><=57768m=1a2900e56?:188m461<3:17bl99;29?xd6>h31=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:8:;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::ol51;594?6|,8:mn76i7:&;37N?>o1e=??<:645?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>68:95?2=83:p(<>ib;;62>"681o1=89i;I:5b>h6:891;;94i95e>5<5;|`22dg=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?<37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>ki1=7850;2x 46aj32m;6*7738`57=#992n6<;8f:J;2c=i9;;86:86;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`221<7>t$02ef??2>2.:<5k5145e?M>1n2d:><=577c8m=1a2900e56?:188m461<3:17bl99;29?xd6>hh1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:8m;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::oj51;494?6|,8:mn76i7:&;37N?>o1e=??<:64`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6>0k1=7:50;2x 46aj33>:6*>09g9501a3A2=j6`>201933b5<5;n`5=?6=3th::lm51;594?6|,8:mn76i7:&;37N?>o1e=??<:64f?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>6cg95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>20a3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`22t$02ef?>a?2.3;?4l339'55>b28?=;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>hl1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:9>;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::4k51;594?6|,8:mn76i7:&;37N?>o1e=??<:651?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>6c295?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>2143`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`22=c=93>1<7>t$02ef??2>2.:<5k5145e?M>1n2d:><=57668m=1a2900e56?:188m461<3:17bl99;29?xd6>0l1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:9:;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::o?51;594?6|,8:mn76i7:&;37N?>o1e=??<:655?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>69d95?2=83:p(<>ib;;62>"681o1=89i;I:5b>h6:891;:94i95e>5<5;|`22d6=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?=37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>k81=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:96;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::4>51;694?6|,8:mn77:6:&24=c=9<=m7E69f:l2645=?>k0e59i:188m=>72900e<>94;29?jd113:17pl>6`395?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>21e3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`22g5=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?=h7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>0;1=7:50;2x 46aj33>:6*>09g9501a3A2=j6`>201932b5<5;n`5=?6=3th::l<51;594?6|,8:mn76i7:&;37N?>o1e=??<:65f?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>6c695?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>21a3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`22<4=93>1<7>t$02ef??2>2.:<5k5145e?M>1n2d:><=57928m=1a2900e56?:188m461<3:17bl99;29?xd6>h91=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:6>;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::o;51;594?6|,8:mn76i7:&;37N?>o1e=??<:6:1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>68195?2=83:p(<>ib;;62>"681o1=89i;I:5b>h6:891;5=4i95e>5<5;|`22d2=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?2?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>k<1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:6:;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::4:51;694?6|,8:mn77:6:&24=c=9<=m7E69f:l2645=?1<0e59i:188m=>72900e<>94;29?jd113:17pl>74595?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742>2<7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0562?7=>3:15<5;|`2<0?=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86:66;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4>203;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>488`9j0=1=831b8?650;9j020=831b==<3;29?xd6jii7)??8d8212`<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=579`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9k?n6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:6:`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8h>h7?56;294~"??;0430n2.:1=O0?l0b<<>3;5;`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<l0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20193=`5<:m7>5;n3376<722wi=o;n:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>2?73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vnb28?0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?1>92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<01;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>b4495?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=?090e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1c76>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>3?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0`60?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3a16<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0<5;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`2f04=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891;494i5:4>5<5<5;h62e?6=3f;;?>4?::a5g36280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86:77;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4d283;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>489`9j0=1=831b8?650;9j020=831b==<3;29?xd6j=o1=7850;2x =152>ii7)??8d8212`<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=578`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9k>o6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:6;`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8h?n7?56;294~"??;0430n2.:1=O0?l0b<<>3;5:`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<l0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20193<`5<:m7>5;n3376<722wi=o:7:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>2g73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vnb28?0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?1f92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<0i;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>b5795?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=?h90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1c67>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>k?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0`77?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3a05<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:05<5;|`2ff4=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891;l94i5:4>5<5<5;h62e?6=3f;;?>4?::a5ge6280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86:o7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4dd83;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>48a`9j0=1=831b8?650;9j020=831b==<3;29?xd6jko1=7850;2x =152>ii7)??8d8212`<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=57``8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9kho6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:6c`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8hio7?56;294~"??;0430n2.:1=O0?l0b<<>3;5b`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<l0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20193d`5<:m7>5;n3376<722wi=ol6:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>2d73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vnb28?0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?1e92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<0j;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>bc795?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=?k90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1c`7>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>h?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0`a7?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3af7<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:05<5;|`2fg7=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891;o94i5:4>5<5<5;h62e?6=3f;;?>4?::a5gd7280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86:l7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4dfn3;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>48b`9j0=1=831b8?650;9j020=831b==<3;29?xd6jhi1=7850;2x =152>ii7)??8d8212`<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=57c`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9kki6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:6``?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8hjm7?56;294~"??;0430n2.:1=O0?l0b<<>3;5a`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<l0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20193g`5<:m7>5;n3376<722wi=oo8:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>2e73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vnb28?0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?1d92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<0k;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>b`695?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=?j90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1cc0>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>i?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0`b5?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3ae5<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:05<5;|`2f<`=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891;n94i5:4>5<5<5;h62e?6=3f;;?>4?::a5g?b280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86:m7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4d>l3;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>48c`9j0=1=831b8?650;9j020=831b==<3;29?xd6j031=7850;2x =152>ii7)??8d8212`<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=57b`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9k336<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:6a`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8h2;7?56;294~"??;0430n2.:1=O0?l0b<<>3;5``>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<l0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20193f`5<:m7>5;n3376<722wi=o7<:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>2b73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vnb28?0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?1c92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<0l;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>b8295?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=?m90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1c:e>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>n?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0`;a?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3a>6*>0c2955ga3-2247:4H94e?k759:05<5;|`2f=e=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891;i94i5:4>5<5<5;h62e?6=3f;;?>4?::a5g>e280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86:j7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4d?13;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>48d`9j0=1=831b8?650;9j020=831b==<3;29?xd6j1=1=7850;2x =152>ii7)??8d8212`<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=57e`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9k2=6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:6f`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8h397?56;294~"??;0430n2.:1=O0?l0b<<>3;5g`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<l0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20193a`5<:m7>5;n3376<722wi=o6=:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>2c73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vnb28?0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?1b92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<0m;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>b6g95?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=?l90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1c5g>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>o?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0`4g?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3a3g<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:05<5;|`2f2g=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891;h94i5:4>5<5<5;h62e?6=3f;;?>4?::a5g1>280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86:k7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4d003;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>48e`9j0=1=831b8?650;9j020=831b==<3;29?xd6j>>1=7850;2x =152>ii7)??8d8212`<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=57d`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9k=86<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:6g`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8h<=7?56;294~"??;0430n2.:1=O0?l0b<<>3;5f`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<l0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20193``5<:m7>5;n3376<722wi=o8j:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>2`73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vnb28?0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?1a92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<0n;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>b7`95?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=?o90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1c4b>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742>l?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0`5=?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3a2=<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:05<5;|`2f30=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891;k94i5:4>5<5<5;h62e?6=3f;;?>4?::a5g02280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86:h7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4d1<3;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c::0:6;4?:1y'<24=?jh0(<>7e;363c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>48f`9j0=1=831b8?650;9j020=831b==<3;29?xd6j?81=7850;2x =152>ii7)??8d8212`<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=57g`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9k<:6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:6d`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8h=<7?56;294~"??;0430n2.:1=O0?l0b<<>3;5e`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<l0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>20193c`5<:m7>5;n3376<722wi=o=i:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>=673`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vnb28?0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?>792c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl>b`095?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=0990e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1c;6>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#002186F76g9m577421:?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0`;e?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3a3c<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:03<;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`2f24=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:8914=94i5:4>5<5<5;h62e?6=3f;;?>4?::a5g00280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;865>7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4d4m3;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>470`9j0=1=831b8?650;9j020=831b==<3;29?xd6?o;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>470c9j0=1=831b8?650;9j020=831b==<3;29?xd6?o:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>470b9j0=1=831b8?650;9j020=831b==<3;29?xd6?ll1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>470e9j0=1=831b8?650;9j020=831b==<3;29?xd6?lo1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>470d9j0=1=831b8?650;9j020=831b==<3;29?xd6?ln1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>470g9j0=1=831b8?650;9j020=831b==<3;29?xd6?li1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47119j0=1=831b8?650;9j020=831b==<3;29?xd6?lh1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47109j0=1=831b8?650;9j020=831b==<3;29?xd6?lk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47139j0=1=831b8?650;9j020=831b==<3;29?xd6?l31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47129j0=1=831b8?650;9j020=831b==<3;29?xd6?l21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47159j0=1=831b8?650;9j020=831b==<3;29?xd6?l<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47149j0=1=831b8?650;9j020=831b==<3;29?xd6?l?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47179j0=1=831b8?650;9j020=831b==<3;29?xd6?l>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47169j0=1=831b8?650;9j020=831b==<3;29?xd6?l91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47199j0=1=831b8?650;9j020=831b==<3;29?xd6?l81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47189j0=1=831b8?650;9j020=831b==<3;29?xd6?l;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>471`9j0=1=831b8?650;9j020=831b==<3;29?xd6?l:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>471c9j0=1=831b8?650;9j020=831b==<3;29?xd6?mn1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>471b9j0=1=831b8?650;9j020=831b==<3;29?xd6?mi1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>471e9j0=1=831b8?650;9j020=831b==<3;29?xd6?mh1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>471d9j0=1=831b8?650;9j020=831b==<3;29?xd6?m31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>471g9j0=1=831b8?650;9j020=831b==<3;29?xd6?m21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47219j0=1=831b8?650;9j020=831b==<3;29?xd6?m=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47209j0=1=831b8?650;9j020=831b==<3;29?xd6?m<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47239j0=1=831b8?650;9j020=831b==<3;29?xd6?m?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47229j0=1=831b8?650;9j020=831b==<3;29?xd6?m>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47259j0=1=831b8?650;9j020=831b==<3;29?xd6?m91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47249j0=1=831b8?650;9j020=831b==<3;29?xd6?m81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47279j0=1=831b8?650;9j020=831b==<3;29?xd6?m;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47269j0=1=831b8?650;9j020=831b==<3;29?xd6?m:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47299j0=1=831b8?650;9j020=831b==<3;29?xd6?jo1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47289j0=1=831b8?650;9j020=831b==<3;29?xd6?jn1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>472`9j0=1=831b8?650;9j020=831b==<3;29?xd6?ji1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>472c9j0=1=831b8?650;9j020=831b==<3;29?xd6?jh1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>472b9j0=1=831b8?650;9j020=831b==<3;29?xd6?jk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>472e9j0=1=831b8?650;9j020=831b==<3;29?xd6?j31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>472d9j0=1=831b8?650;9j020=831b==<3;29?xd6?j21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>472g9j0=1=831b8?650;9j020=831b==<3;29?xd6?j=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47319j0=1=831b8?650;9j020=831b==<3;29?xd6?j<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47309j0=1=831b8?650;9j020=831b==<3;29?xd6?j?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47339j0=1=831b8?650;9j020=831b==<3;29?xd6?o<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47329j0=1=831b8?650;9j020=831b==<3;29?xd6?o?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47359j0=1=831b8?650;9j020=831b==<3;29?xd6?o>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47349j0=1=831b8?650;9j020=831b==<3;29?xd6?o91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47379j0=1=831b8?650;9j020=831b==<3;29?xd6?o81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47369j0=1=831b8?650;9j020=831b==<3;29?xd6?l=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47399j0=1=831b8?650;9j020=831b==<3;29?xd6?mk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47389j0=1=831b8?650;9j020=831b==<3;29?xd6?jl1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>473`9j0=1=831b8?650;9j020=831b==<3;29?xd6?j>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>473c9j0=1=831b8?650;9j020=831b==<3;29?xd6?kl1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>473b9j0=1=831b8?650;9j020=831b==<3;29?xd6ikk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>473e9j0=1=831b8?650;9j020=831b==<3;29?xd6ik31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>473d9j0=1=831b8?650;9j020=831b==<3;29?xd6ik21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>473g9j0=1=831b8?650;9j020=831b==<3;29?xd6ik=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47419j0=1=831b8?650;9j020=831b==<3;29?xd6ik<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47409j0=1=831b8?650;9j020=831b==<3;29?xd6ik?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47439j0=1=831b8?650;9j020=831b==<3;29?xd6ik>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47429j0=1=831b8?650;9j020=831b==<3;29?xd6ihi1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47459j0=1=831b8?650;9j020=831b==<3;29?xd6ihh1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47449j0=1=831b8?650;9j020=831b==<3;29?xd6ihk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47479j0=1=831b8?650;9j020=831b==<3;29?xd6ih31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47469j0=1=831b8?650;9j020=831b==<3;29?xd6ih21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47499j0=1=831b8?650;9j020=831b==<3;29?xd6ih=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47489j0=1=831b8?650;9j020=831b==<3;29?xd6ih<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>474`9j0=1=831b8?650;9j020=831b==<3;29?xd6i=k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>474c9j0=1=831b8?650;9j020=831b==<3;29?xd6i=31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>474b9j0=1=831b8?650;9j020=831b==<3;29?xd6i=21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>474e9j0=1=831b8?650;9j020=831b==<3;29?xd6i==1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>474d9j0=1=831b8?650;9j020=831b==<3;29?xd6i=<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>474g9j0=1=831b8?650;9j020=831b==<3;29?xd6i=?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47519j0=1=831b8?650;9j020=831b==<3;29?xd6i:o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47509j0=1=831b8?650;9j020=831b==<3;29?xd6i:n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47539j0=1=831b8?650;9j020=831b==<3;29?xd6i:i1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47529j0=1=831b8?650;9j020=831b==<3;29?xd6i:h1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47559j0=1=831b8?650;9j020=831b==<3;29?xd6i:k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47549j0=1=831b8?650;9j020=831b==<3;29?xd6i:31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47579j0=1=831b8?650;9j020=831b==<3;29?xd6i<<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47569j0=1=831b8?650;9j020=831b==<3;29?xd6ii>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47599j0=1=831b8?650;9j020=831b==<3;29?xd6i<>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47589j0=1=831b8?650;9j020=831b==<3;29?xd6i<91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>475`9j0=1=831b8?650;9j020=831b==<3;29?xd6i<81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>475c9j0=1=831b8?650;9j020=831b==<3;29?xd6i<;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>475b9j0=1=831b8?650;9j020=831b==<3;29?xd6i;<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>475e9j0=1=831b8?650;9j020=831b==<3;29?xd6i;?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>475d9j0=1=831b8?650;9j020=831b==<3;29?xd6i;>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>475g9j0=1=831b8?650;9j020=831b==<3;29?xd6i;91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47619j0=1=831b8?650;9j020=831b==<3;29?xd6i;81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47609j0=1=831b8?650;9j020=831b==<3;29?xd6i;;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47639j0=1=831b8?650;9j020=831b==<3;29?xd6i8k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47629j0=1=831b8?650;9j020=831b==<3;29?xd6i831=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47659j0=1=831b8?650;9j020=831b==<3;29?xd6i821=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47649j0=1=831b8?650;9j020=831b==<3;29?xd6i8=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47679j0=1=831b8?650;9j020=831b==<3;29?xd6i8<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47669j0=1=831b8?650;9j020=831b==<3;29?xd6i8?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47699j0=1=831b8?650;9j020=831b==<3;29?xd6i:81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47689j0=1=831b8?650;9j020=831b==<3;29?xd6i:;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>476`9j0=1=831b8?650;9j020=831b==<3;29?xd6i::1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>476c9j0=1=831b8?650;9j020=831b==<3;29?xd6i;l1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>476b9j0=1=831b8?650;9j020=831b==<3;29?xd6i;o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>476e9j0=1=831b8?650;9j020=831b==<3;29?xd6i;n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>476d9j0=1=831b8?650;9j020=831b==<3;29?xd6i9o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>476g9j0=1=831b8?650;9j020=831b==<3;29?xd6i9n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47719j0=1=831b8?650;9j020=831b==<3;29?xd6i9i1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47709j0=1=831b8?650;9j020=831b==<3;29?xd6i9h1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47739j0=1=831b8?650;9j020=831b==<3;29?xd6i9k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47729j0=1=831b8?650;9j020=831b==<3;29?xd6i931=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47759j0=1=831b8?650;9j020=831b==<3;29?xd6i981=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47749j0=1=831b8?650;9j020=831b==<3;29?xd6i9;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47779j0=1=831b8?650;9j020=831b==<3;29?xd6i9:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47769j0=1=831b8?650;9j020=831b==<3;29?xd61ol1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47799j0=1=831b8?650;9j020=831b==<3;29?xd61oo1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47789j0=1=831b8?650;9j020=831b==<3;29?xd61on1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>477`9j0=1=831b8?650;9j020=831b==<3;29?xd61mo1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>477c9j0=1=831b8?650;9j020=831b==<3;29?xd61mn1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>477b9j0=1=831b8?650;9j020=831b==<3;29?xd61mi1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>477e9j0=1=831b8?650;9j020=831b==<3;29?xd61mh1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>477d9j0=1=831b8?650;9j020=831b==<3;29?xd61mk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>477g9j0=1=831b8?650;9j020=831b==<3;29?xd61m31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47819j0=1=831b8?650;9j020=831b==<3;29?xd61m81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47809j0=1=831b8?650;9j020=831b==<3;29?xd61m;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47839j0=1=831b8?650;9j020=831b==<3;29?xd61m:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47829j0=1=831b8?650;9j020=831b==<3;29?xd61jl1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47859j0=1=831b8?650;9j020=831b==<3;29?xd61jo1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47849j0=1=831b8?650;9j020=831b==<3;29?xd61jn1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47879j0=1=831b8?650;9j020=831b==<3;29?xd61lk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47869j0=1=831b8?650;9j020=831b==<3;29?xd61l31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47899j0=1=831b8?650;9j020=831b==<3;29?xd61l21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47889j0=1=831b8?650;9j020=831b==<3;29?xd61l=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>478`9j0=1=831b8?650;9j020=831b==<3;29?xd61l<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>478c9j0=1=831b8?650;9j020=831b==<3;29?xd61l?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>478b9j0=1=831b8?650;9j020=831b==<3;29?xd61j<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>478e9j0=1=831b8?650;9j020=831b==<3;29?xd61j?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>478d9j0=1=831b8?650;9j020=831b==<3;29?xd61j>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>478g9j0=1=831b8?650;9j020=831b==<3;29?xd61j91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47919j0=1=831b8?650;9j020=831b==<3;29?xd61j81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47909j0=1=831b8?650;9j020=831b==<3;29?xd61j;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47939j0=1=831b8?650;9j020=831b==<3;29?xd61kk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47929j0=1=831b8?650;9j020=831b==<3;29?xd61k31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47959j0=1=831b8?650;9j020=831b==<3;29?xd61k21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47949j0=1=831b8?650;9j020=831b==<3;29?xd61k=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47979j0=1=831b8?650;9j020=831b==<3;29?xd61k<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47969j0=1=831b8?650;9j020=831b==<3;29?xd61k?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47999j0=1=831b8?650;9j020=831b==<3;29?xd61h81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47989j0=1=831b8?650;9j020=831b==<3;29?xd61h;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>479`9j0=1=831b8?650;9j020=831b==<3;29?xd61h:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>479c9j0=1=831b8?650;9j020=831b==<3;29?xd610l1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>479b9j0=1=831b8?650;9j020=831b==<3;29?xd610o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>479e9j0=1=831b8?650;9j020=831b==<3;29?xd610n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>479d9j0=1=831b8?650;9j020=831b==<3;29?xd610<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>479g9j0=1=831b8?650;9j020=831b==<3;29?xd610?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a19j0=1=831b8?650;9j020=831b==<3;29?xd610>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a09j0=1=831b8?650;9j020=831b==<3;29?xd61091=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a39j0=1=831b8?650;9j020=831b==<3;29?xd61081=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a29j0=1=831b8?650;9j020=831b==<3;29?xd610;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a59j0=1=831b8?650;9j020=831b==<3;29?xd61ho1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a49j0=1=831b8?650;9j020=831b==<3;29?xd61hn1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a79j0=1=831b8?650;9j020=831b==<3;29?xd61hi1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a69j0=1=831b8?650;9j020=831b==<3;29?xd61hh1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a99j0=1=831b8?650;9j020=831b==<3;29?xd61hk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a89j0=1=831b8?650;9j020=831b==<3;29?xd61h31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47a`9j0=1=831b8?650;9j020=831b==<3;29?xd611k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47ac9j0=1=831b8?650;9j020=831b==<3;29?xd61131=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47ab9j0=1=831b8?650;9j020=831b==<3;29?xd61121=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47ae9j0=1=831b8?650;9j020=831b==<3;29?xd611=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47ad9j0=1=831b8?650;9j020=831b==<3;29?xd611<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47ag9j0=1=831b8?650;9j020=831b==<3;29?xd611?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b19j0=1=831b8?650;9j020=831b==<3;29?xd61>o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b09j0=1=831b8?650;9j020=831b==<3;29?xd61>n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b39j0=1=831b8?650;9j020=831b==<3;29?xd61>i1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b29j0=1=831b8?650;9j020=831b==<3;29?xd61>h1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b59j0=1=831b8?650;9j020=831b==<3;29?xd61>k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b49j0=1=831b8?650;9j020=831b==<3;29?xd61>31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b79j0=1=831b8?650;9j020=831b==<3;29?xd61i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b69j0=1=831b8?650;9j020=831b==<3;29?xd61<31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b99j0=1=831b8?650;9j020=831b==<3;29?xd61<21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b89j0=1=831b8?650;9j020=831b==<3;29?xd61<=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47b`9j0=1=831b8?650;9j020=831b==<3;29?xd61<<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47bc9j0=1=831b8?650;9j020=831b==<3;29?xd61i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47bb9j0=1=831b8?650;9j020=831b==<3;29?xd61=o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47be9j0=1=831b8?650;9j020=831b==<3;29?xd61=n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47bd9j0=1=831b8?650;9j020=831b==<3;29?xd61=i1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47bg9j0=1=831b8?650;9j020=831b==<3;29?xd61=h1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c19j0=1=831b8?650;9j020=831b==<3;29?xd61=k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c09j0=1=831b8?650;9j020=831b==<3;29?xd61=31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c39j0=1=831b8?650;9j020=831b==<3;29?xd61?<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c29j0=1=831b8?650;9j020=831b==<3;29?xd61??1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c59j0=1=831b8?650;9j020=831b==<3;29?xd61?>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c49j0=1=831b8?650;9j020=831b==<3;29?xd61?91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c79j0=1=831b8?650;9j020=831b==<3;29?xd61?81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c69j0=1=831b8?650;9j020=831b==<3;29?xd61?;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c99j0=1=831b8?650;9j020=831b==<3;29?xd61:<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c89j0=1=831b8?650;9j020=831b==<3;29?xd61:?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47c`9j0=1=831b8?650;9j020=831b==<3;29?xd61:>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47cc9j0=1=831b8?650;9j020=831b==<3;29?xd61:91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47cb9j0=1=831b8?650;9j020=831b==<3;29?xd61:81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47ce9j0=1=831b8?650;9j020=831b==<3;29?xd61:;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47cd9j0=1=831b8?650;9j020=831b==<3;29?xd61;k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47cg9j0=1=831b8?650;9j020=831b==<3;29?xd61;31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d19j0=1=831b8?650;9j020=831b==<3;29?xd61;21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d09j0=1=831b8?650;9j020=831b==<3;29?xd61;=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d39j0=1=831b8?650;9j020=831b==<3;29?xd61;<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d29j0=1=831b8?650;9j020=831b==<3;29?xd61;?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d59j0=1=831b8?650;9j020=831b==<3;29?xd61=81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d49j0=1=831b8?650;9j020=831b==<3;29?xd61=;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d79j0=1=831b8?650;9j020=831b==<3;29?xd61=:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d69j0=1=831b8?650;9j020=831b==<3;29?xd61:l1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d99j0=1=831b8?650;9j020=831b==<3;29?xd61:o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d89j0=1=831b8?650;9j020=831b==<3;29?xd61:n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47d`9j0=1=831b8?650;9j020=831b==<3;29?xd61881=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47dc9j0=1=831b8?650;9j020=831b==<3;29?xd618;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47db9j0=1=831b8?650;9j020=831b==<3;29?xd618:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47de9j0=1=831b8?650;9j020=831b==<3;29?xd619l1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47dd9j0=1=831b8?650;9j020=831b==<3;29?xd619o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47dg9j0=1=831b8?650;9j020=831b==<3;29?xd619n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e19j0=1=831b8?650;9j020=831b==<3;29?xd619<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e09j0=1=831b8?650;9j020=831b==<3;29?xd619?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e39j0=1=831b8?650;9j020=831b==<3;29?xd619>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e29j0=1=831b8?650;9j020=831b==<3;29?xd61991=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e59j0=1=831b8?650;9j020=831b==<3;29?xd61981=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e49j0=1=831b8?650;9j020=831b==<3;29?xd619;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e79j0=1=831b8?650;9j020=831b==<3;29?xd618o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e69j0=1=831b8?650;9j020=831b==<3;29?xd618n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e99j0=1=831b8?650;9j020=831b==<3;29?xd618i1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e89j0=1=831b8?650;9j020=831b==<3;29?xd618h1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47e`9j0=1=831b8?650;9j020=831b==<3;29?xd618k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47ec9j0=1=831b8?650;9j020=831b==<3;29?xd61831=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47eb9j0=1=831b8?650;9j020=831b==<3;29?xd60ok1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47ee9j0=1=831b8?650;9j020=831b==<3;29?xd60o31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47ed9j0=1=831b8?650;9j020=831b==<3;29?xd60o21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47eg9j0=1=831b8?650;9j020=831b==<3;29?xd60o=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f19j0=1=831b8?650;9j020=831b==<3;29?xd60o<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f09j0=1=831b8?650;9j020=831b==<3;29?xd60o?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f39j0=1=831b8?650;9j020=831b==<3;29?xd60l81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f29j0=1=831b8?650;9j020=831b==<3;29?xd60l;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f59j0=1=831b8?650;9j020=831b==<3;29?xd60l:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f49j0=1=831b8?650;9j020=831b==<3;29?xd60ml1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f79j0=1=831b8?650;9j020=831b==<3;29?xd60mo1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f69j0=1=831b8?650;9j020=831b==<3;29?xd60mn1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f99j0=1=831b8?650;9j020=831b==<3;29?xd60jk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f89j0=1=831b8?650;9j020=831b==<3;29?xd60j31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47f`9j0=1=831b8?650;9j020=831b==<3;29?xd60j21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47fc9j0=1=831b8?650;9j020=831b==<3;29?xd60j=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47fb9j0=1=831b8?650;9j020=831b==<3;29?xd60j<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47fe9j0=1=831b8?650;9j020=831b==<3;29?xd60j?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47fd9j0=1=831b8?650;9j020=831b==<3;29?xd60ko1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>47fg9j0=1=831b8?650;9j020=831b==<3;29?xd60kn1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46019j0=1=831b8?650;9j020=831b==<3;29?xd60ki1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46009j0=1=831b8?650;9j020=831b==<3;29?xd60kh1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46039j0=1=831b8?650;9j020=831b==<3;29?xd60kk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46029j0=1=831b8?650;9j020=831b==<3;29?xd60k31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46059j0=1=831b8?650;9j020=831b==<3;29?xd60m<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46049j0=1=831b8?650;9j020=831b==<3;29?xd60m?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46079j0=1=831b8?650;9j020=831b==<3;29?xd60m>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46069j0=1=831b8?650;9j020=831b==<3;29?xd60m91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46099j0=1=831b8?650;9j020=831b==<3;29?xd60m81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46089j0=1=831b8?650;9j020=831b==<3;29?xd60m;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>460`9j0=1=831b8?650;9j020=831b==<3;29?xd60h<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>460c9j0=1=831b8?650;9j020=831b==<3;29?xd60h?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>460b9j0=1=831b8?650;9j020=831b==<3;29?xd60h>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>460e9j0=1=831b8?650;9j020=831b==<3;29?xd60h91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>460d9j0=1=831b8?650;9j020=831b==<3;29?xd60h81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>460g9j0=1=831b8?650;9j020=831b==<3;29?xd60h;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46119j0=1=831b8?650;9j020=831b==<3;29?xd600k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46109j0=1=831b8?650;9j020=831b==<3;29?xd60031=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46139j0=1=831b8?650;9j020=831b==<3;29?xd60021=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46129j0=1=831b8?650;9j020=831b==<3;29?xd600=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46159j0=1=831b8?650;9j020=831b==<3;29?xd600<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46149j0=1=831b8?650;9j020=831b==<3;29?xd600?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46179j0=1=831b8?650;9j020=831b==<3;29?xd60k81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46169j0=1=831b8?650;9j020=831b==<3;29?xd60k;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46199j0=1=831b8?650;9j020=831b==<3;29?xd60k:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46189j0=1=831b8?650;9j020=831b==<3;29?xd60hl1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>461`9j0=1=831b8?650;9j020=831b==<3;29?xd60ho1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>461c9j0=1=831b8?650;9j020=831b==<3;29?xd60hn1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>461b9j0=1=831b8?650;9j020=831b==<3;29?xd60181=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>461e9j0=1=831b8?650;9j020=831b==<3;29?xd601;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>461d9j0=1=831b8?650;9j020=831b==<3;29?xd601:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>461g9j0=1=831b8?650;9j020=831b==<3;29?xd60>l1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46219j0=1=831b8?650;9j020=831b==<3;29?xd60>o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46209j0=1=831b8?650;9j020=831b==<3;29?xd60>n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46239j0=1=831b8?650;9j020=831b==<3;29?xd60><1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46229j0=1=831b8?650;9j020=831b==<3;29?xd60>?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46259j0=1=831b8?650;9j020=831b==<3;29?xd60>>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46249j0=1=831b8?650;9j020=831b==<3;29?xd60>91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46279j0=1=831b8?650;9j020=831b==<3;29?xd60>81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46269j0=1=831b8?650;9j020=831b==<3;29?xd60>;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46299j0=1=831b8?650;9j020=831b==<3;29?xd601o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46289j0=1=831b8?650;9j020=831b==<3;29?xd601n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>462`9j0=1=831b8?650;9j020=831b==<3;29?xd601i1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>462c9j0=1=831b8?650;9j020=831b==<3;29?xd601h1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>462b9j0=1=831b8?650;9j020=831b==<3;29?xd601k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>462e9j0=1=831b8?650;9j020=831b==<3;29?xd60131=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>462d9j0=1=831b8?650;9j020=831b==<3;29?xd6i0o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>462g9j0=1=831b8?650;9j020=831b==<3;29?xd6i0n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46319j0=1=831b8?650;9j020=831b==<3;29?xd6i0i1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46309j0=1=831b8?650;9j020=831b==<3;29?xd6i0h1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46339j0=1=831b8?650;9j020=831b==<3;29?xd6i0k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46329j0=1=831b8?650;9j020=831b==<3;29?xd6i031=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46359j0=1=831b8?650;9j020=831b==<3;29?xd6i081=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46349j0=1=831b8?650;9j020=831b==<3;29?xd6i0;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46379j0=1=831b8?650;9j020=831b==<3;29?xd6i0:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46369j0=1=831b8?650;9j020=831b==<3;29?xd6i1l1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46399j0=1=831b8?650;9j020=831b==<3;29?xd6i1o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46389j0=1=831b8?650;9j020=831b==<3;29?xd6i1n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>463`9j0=1=831b8?650;9j020=831b==<3;29?xd6i>k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>463c9j0=1=831b8?650;9j020=831b==<3;29?xd6i>31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>463b9j0=1=831b8?650;9j020=831b==<3;29?xd6i>21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>463e9j0=1=831b8?650;9j020=831b==<3;29?xd6i>=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>463d9j0=1=831b8?650;9j020=831b==<3;29?xd6i><1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>463g9j0=1=831b8?650;9j020=831b==<3;29?xd6i>?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46419j0=1=831b8?650;9j020=831b==<3;29?xd6i?o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46409j0=1=831b8?650;9j020=831b==<3;29?xd6i?n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46439j0=1=831b8?650;9j020=831b==<3;29?xd6i?i1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46429j0=1=831b8?650;9j020=831b==<3;29?xd6i?h1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46459j0=1=831b8?650;9j020=831b==<3;29?xd6i?k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46449j0=1=831b8?650;9j020=831b==<3;29?xd6i?31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46479j0=1=831b8?650;9j020=831b==<3;29?xd6i1<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46469j0=1=831b8?650;9j020=831b==<3;29?xd6i1?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46499j0=1=831b8?650;9j020=831b==<3;29?xd6i1>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46489j0=1=831b8?650;9j020=831b==<3;29?xd6i191=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>464`9j0=1=831b8?650;9j020=831b==<3;29?xd6i181=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>464c9j0=1=831b8?650;9j020=831b==<3;29?xd6i1;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>464b9j0=1=831b8?650;9j020=831b==<3;29?xd61o<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>464e9j0=1=831b8?650;9j020=831b==<3;29?xd61o?1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>464d9j0=1=831b8?650;9j020=831b==<3;29?xd61o>1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>464g9j0=1=831b8?650;9j020=831b==<3;29?xd61o91=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46519j0=1=831b8?650;9j020=831b==<3;29?xd61o81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46509j0=1=831b8?650;9j020=831b==<3;29?xd61o;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46539j0=1=831b8?650;9j020=831b==<3;29?xd61>81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46529j0=1=831b8?650;9j020=831b==<3;29?xd61>;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46559j0=1=831b8?650;9j020=831b==<3;29?xd61>:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46549j0=1=831b8?650;9j020=831b==<3;29?xd61?l1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46579j0=1=831b8?650;9j020=831b==<3;29?xd61?o1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46569j0=1=831b8?650;9j020=831b==<3;29?xd61?n1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46599j0=1=831b8?650;9j020=831b==<3;29?xd6i?81=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46589j0=1=831b8?650;9j020=831b==<3;29?xd6i?;1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>465`9j0=1=831b8?650;9j020=831b==<3;29?xd6i?:1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>465c9j0=1=831b8?650;9j020=831b==<3;29?xd6ii>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>465b9j0=1=831b8?650;9j020=831b==<3;29?xd6ii>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>465e9j0=1=831b8?650;9j020=831b==<3;29?xd6ii>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>465d9j0=1=831b8?650;9j020=831b==<3;29?xd60?k1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>465g9j0=1=831b8?650;9j020=831b==<3;29?xd60?31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46619j0=1=831b8?650;9j020=831b==<3;29?xd60?21=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46609j0=1=831b8?650;9j020=831b==<3;29?xd60?=1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46639j0=1=831b8?650;9j020=831b==<3;29?xd60?<1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46629j0=1=831b8?650;9j020=831b==<3;29?xd60??1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46659j0=1=831b8?650;9j020=831b==<3;29?xd60lo1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46649j0=1=831b8?650;9j020=831b==<3;29?xd60ln1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46679j0=1=831b8?650;9j020=831b==<3;29?xd60li1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46669j0=1=831b8?650;9j020=831b==<3;29?xd60lh1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46699j0=1=831b8?650;9j020=831b==<3;29?xd60lk1=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>46689j0=1=831b8?650;9j020=831b==<3;29?xd60l31=7850;2x =152>i>7)??8d8212`<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>466`9j0=1=831b8?650;9j020=831b==<3;29?xd39hn1=7850;2x =152>ii7)??8d8752b<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=597`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<8h;6<49:183!>0:3=hn6*>09g9041c3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:84`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=;i>7?56;294~"??;0170l2.:1=O0?l0b<<>3;;5`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:n<4>:783>5}#0>81;nl4$02;a?26?m1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<n0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019=3`5<:m7>5;n3376<722wi85<7s-2<>79lb:&24=c=<8=o7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330><173`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?nf;392?6=8r.3;?48cc9'55>b2=;0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027??092c?4:4?::k76=<722c?;;4?::k247g=831b8b`82>3<729q/4:<57b`8 46?m3>:;i5+11da>462:2.:511ce?!>>03>0D58i;o3156<>?;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;1c`95?0=83:p(59=:6aa?!770l0?=:j4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=1>90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm40``>4<1290;w)682;5`f>"681o18<9k;%33bg<68<80(<>m0;33ec=#002186F76g9m577420=?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb53a`?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c62f`<62?0;6=u+86093fd<,8:3i7:>7e9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:02;;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`75g`=93<1<7>t$951>2ee3-;;4h4;16f8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:8915:94i5:4>5<5<5;h62e?6=3f;;?>4?::a04e7280=6=4?{%:46?1dj2.:<5k5405g?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86497;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f170m3;187>50z&24cd=17e;623a=O0?l0b<<>3;;4=>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=;2=7?53;294~"68oh1=n0D58i;o3156<>?h1b4hh50;9j072=831dn;750;9~f170n3;187>50z&24cd=17e;623a=O0?l0b<<>3;;4f>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=;2>7?53;294~"68oh1=n0D58i;o3156<>?j1b4hh50;9j072=831dn;750;9~f17?93;187>50z&24cd=17e;623a=O0?l0b<<>3;;4`>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=;2?7?53;294~"68oh1=n0D58i;o3156<>?l1b4hh50;9j072=831dn;750;9~f17?;3;187>50z&24cd=17e;623a=O0?l0b<<>3;;4b>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=;287?53;294~"68oh1=n0D58i;o3156<>091b4hh50;9j072=831dn;750;9~f17?=3;187>50z&24cd=17e;623a=O0?l0b<<>3;;;5>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=;297?53;294~"68oh1=n0D58i;o3156<>0;1b4hh50;9j072=831dn;750;9~f17??3;187>50z&24cd=17e;623a=O0?l0b<<>3;;;7>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=;2:7?53;294~"68oh1=n0D58i;o3156<>0=1b4hh50;9j072=831dn;750;9~f17?13;187>50z&24cd=17e;623a=O0?l0b<<>3;;;1>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=;2;7?53;294~"68oh1=n0D58i;o3156<>0?1b4hh50;9j072=831dn;750;9~f17?j3;187>50z&24cd=17e;623a=O0?l0b<<>3;;;3>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=;247?53;294~"68oh1=n0D58i;o3156<>011b4hh50;9j072=831dn;750;9~f17?l3;187>50z&24cd=17e;623a=O0?l0b<<>3;;;=>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=;257?53;294~"68oh1=n0D58i;o3156<>0h1b4hh50;9j072=831dn;750;9~f17>i3;1?7>50z&24cd=98l<7)??8d8752b<@10g`954`03-;;4h4468b9j<``=831b8?:50;9lf3?=831vn9>75;397?6=8r.:1<75`b7;94?=zj=:>o7?54;294~"68oh158;4$02;a?5an11C4;h4n0027???m2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>73;397?6=8r.:1<75`b7;94?=zj=:>47?54;294~"68oh158;4$02;a?5an11C4;h4n0027??>82c?h>4?::k761<722c:<;:50;9lf3?=831vn9>72;397?6=8r.:1<75`b7;94?=zj=:>:7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??>:2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>71;397?6=8r.:5f8dd94?=n<;>1<75`b7;94?=zj=:>87?54;294~"68oh158;4$02;a?5an11C4;h4n0027??><2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>70;397?6=8r.:1<75`b7;94?=zj=:>>7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??>>2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>8f;397?6=8r.:1<75`b7;94?=zj=:><7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??>02c?h>4?::k761<722c:<;:50;9lf3?=831vn9>8e;397?6=8r.:1<75`b7;94?=zj=:?i7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??>i2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>8d;397?6=8r.:1<75`b7;94?=zj=:?o7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??>k2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>8c;397?6=8r.:1<75`b7;94?=zj=:?m7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??>m2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>8b;397?6=8r.:1<75`b7;94?=zj=:?47?54;294~"68oh158;4$02;a?5an11C4;h4n0027??f82c?h>4?::k761<722c:<;:50;9lf3?=831vn9>8a;397?6=8r.:1<75`b7;94?=zj=:?:7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??f:2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>88;397?6=8r.:5f8dd94?=n<;>1<75`b7;94?=zj=:?>7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??f<2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>87;397?6=8r.:1<75`b7;94?=zj=:?<7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??f>2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>86;397?6=8r.:1<75`b7;94?=zj=:8i7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??f02c?h>4?::k761<722c:<;:50;9lf3?=831vn9>85;397?6=8r.:1<75`b7;94?=zj=:8o7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??fi2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>84;397?6=8r.:1<75`b7;94?=zj=:8m7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??fk2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>83;397?6=8r.:1<75`b7;94?=zj=:847?54;294~"68oh158;4$02;a?5an11C4;h4n0027??fm2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>82;397?6=8r.:1<75`b7;94?=zj=:8:7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??e82c?h>4?::k761<722c:<;:50;9lf3?=831vn9>81;397?6=8r.:1<75`b7;94?=zj=:887?54;294~"68oh158;4$02;a?5an11C4;h4n0027??e:2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>80;397?6=8r.:5f8dd94?=n<;>1<75`b7;94?=zj=:8>7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??e<2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>9f;397?6=8r.:1<75`b7;94?=zj=:8<7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??e>2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>7e;397?6=8r.:1<75`b7;94?=zj=:=m7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??e02c?h>4?::k761<722c:<;:50;9lf3?=831vn9>7d;397?6=8r.:1<75`b7;94?=zj=:=47?54;294~"68oh158;4$02;a?5an11C4;h4n0027??ei2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>7c;397?6=8r.:1<75`b7;94?=zj=:=:7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??ek2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>7b;397?6=8r.:1<75`b7;94?=zj=:=87?54;294~"68oh158;4$02;a?5an11C4;h4n0027??em2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>7a;397?6=8r.:1<75`b7;94?=zj=:=>7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??d82c?h>4?::k761<722c:<;:50;9lf3?=831vn9>79;397?6=8r.:1<75`b7;94?=zj=:=<7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??d:2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>78;397?6=8r.:5f8dd94?=n<;>1<75`b7;94?=zj=:>i7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??d<2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>74;397?6=8r.:1<75`b7;94?=zj=:>m7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??d>2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>89;397?6=8r.:1<75`b7;94?=zj=:?87?54;294~"68oh158;4$02;a?5an11C4;h4n0027??d02c?h>4?::k761<722c:<;:50;9lf3?=831vn9>9e;397?6=8r.:1<75`b7;94?=zj=:9j7?54;294~"68oh158;4$02;a?5an11C4;h4n0027??di2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>?b;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5226?7==3:10g`9N?>o1e=??<:8a`?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;00;95?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;14g8L=0a3g;9=>46ce9j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>?a;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5225?7==3:10g`9N?>o1e=??<:8ae?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;00:95?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;14f8L=0a3g;9=>46d19j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>?9;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5224?7==3:10g`9N?>o1e=??<:8f1?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;00595?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;14a8L=0a3g;9=>46d29j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>?8;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb523b?7==3:10g`9N?>o1e=??<:8f6?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;00495?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;14`8L=0a3g;9=>46d79j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>?7;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb523a?7==3:10g`9N?>o1e=??<:8f;?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;00795?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;14c8L=0a3g;9=>46d89j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>?6;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb523`?7==3:10g`9N?>o1e=??<:8fa?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;00695?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;14;8L=0a3g;9=>46db9j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>?5;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb523g?7==3:10g`9N?>o1e=??<:8ff?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;00195?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;14:8L=0a3g;9=>46dg9j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>=0;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5213?7==3:10g`9N?>o1e=??<:8g2?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;03g95?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;1458L=0a3g;9=>46e39j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>>f;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5212?7==3:10g`9N?>o1e=??<:8g7?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;03f95?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;1448L=0a3g;9=>46e49j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>>e;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5211?7==3:10g`9N?>o1e=??<:8g4?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;03a95?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;1478L=0a3g;9=>46e99j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>>d;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5210?7==3:10g`9N?>o1e=??<:8gb?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;03`95?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;1468L=0a3g;9=>46ec9j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>>c;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5217?7==3:10g`9N?>o1e=??<:8gg?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;03c95?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;1418L=0a3g;9=>46ed9j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>>b;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5216?7==3:10g`9N?>o1e=??<:8d3?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;03;95?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;1408L=0a3g;9=>46f09j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>>a;390?6=8r.:6g;d283>>o3:=0;66g>07694?=hj?31<75rb5215?7==3:10g`9N?>o1e=??<:8d0?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;03:95?3=83:p(<>ib;:e3>"??;0h::5+11:f>6`a02.mmn4;1438L=0a3g;9=>46f59j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn>hie;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5230?7=;3:10g`9n?1b4:h50;9j<=6=831di>750;9~f6`al3;187>50z&24cd=17e;1eb==O0?l0b<<>3;;e3>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=:;?7?57;294~"68oh14k94$951>g?f3-;;4h446f99j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>hic;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5236?7=?3:10g`9nh1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6`aj3;187>50z&24cd=17e;1eb==O0?l0b<<>3;;ef>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj=:;=7?57;294~"68oh14k94$951>g?f3-;;4h446fb9j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>hia;390?6=8r.:>o3:=0;66g>07694?=hj?31<75rb5234?7=?3:10g`9nl1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6`a13;187>50z&24cd=17e;1eb==O0?l0b<<>3;;eb>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj:lmj7?57;294~"68oh14k94$951>g?f3-;;4h44n019j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9?;a;392?6=8r.3;?48cc9'55>b2:lm46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?g792c?4:4?::k76=<722c?;;4?::k247g=831b84882>3<729q/4:<57b`8 46?m39mj55+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl;15595?0=83:p(59=:6aa?!770l08jk64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=i990e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4065>4<1290;w)682;5`f>"681o1?kh7;%33bg<68<80(<>m0;33ec=#002186F76g9m57742h:?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5371?7=>3:1hi8:&24cd=99?97)??b1824d`<,133695G87d8j446;3k;96g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6201<62?0;6=u+86093fd<,8:3i7=if99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0j<;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7515=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891m=94i5:4>5<5<5;h62e?6=3f;;?>4?::a0425280=6=4?{%:46?1dj2.:<5k53gd;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86l>7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f17393;1:7>50z&;37<0kk1/==6j:2de<>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;1eb==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4n0`9j0=1=831b8?650;9j020=831b==<3;29?xd39:l1=7850;2x =152>ii7)??8d80bc><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5a1`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<89n6<49:183!>0:3=hn6*>09g97c`?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:`2`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=;8o7?56;294~"??;06`a02.:1=O0?l0b<<>3;c3`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:?o4>:783>5}#0>81;nl4$02;a?5an11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<o51;494?6|,1=96:mm;%33<`<4no20(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019e5`5<:m7>5;n3376<722wi8<=6:085>5<7s-2<>79lb:&24=c=;ol37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>d773`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?<8;392?6=8r.3;?48cc9'55>b2:lm46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?g692c?4:4?::k76=<722c?;;4?::k247g=831b83682>3<729q/4:<57b`8 46?m39mj55+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl;12495?0=83:p(59=:6aa?!770l08jk64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=i890e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4016>4<1290;w)682;5`f>"681o1?kh7;%33bg<68<80(<>m0;33ec=#002186F76g9m57742h;?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5300?7=>3:1hi8:&24cd=99?97)??b1824d`<,133695G87d8j446;3k:96g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6276<62?0;6=u+86093fd<,8:3i7=if99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0j=;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7506=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891m<94i5:4>5<5<5;h62e?6=3f;;?>4?::a042a280=6=4?{%:46?1dj2.:<5k53gd;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86l?7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f173m3;1:7>50z&;37<0kk1/==6j:2de<>"68oh1==;=;%33f5<68hl0(577:59K<3`9:k7<2<722c?>54?::k733<722c:7e;1eb==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4n1`9j0=1=831b8?650;9j020=831b==<3;29?xd39=i1=7850;2x =152>ii7)??8d80bc><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5a0`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<8>i6<49:183!>0:3=hn6*>09g97c`?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:`3`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=;?47?56;294~"??;06`a02.:1=O0?l0b<<>3;c2`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:?i4>:783>5}#0>81;nl4$02;a?5an11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<<51;494?6|,1=96:mm;%33<`<4no20(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019e4`5<:m7>5;n3376<722wi8<=>:085>5<7s-2<>79lb:&24=c=;ol37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>d473`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?ic;392?6=8r.3;?48cc9'55>b2:lm46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?g592c?4:4?::k76=<722c?;;4?::k247g=831b8fc82>3<729q/4:<57b`8 46?m39mj55+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl;1gc95?0=83:p(59=:6aa?!770l08jk64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=i;90e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm40d:>4<1290;w)682;5`f>"681o1?kh7;%33bg<68<80(<>m0;33ec=#002186F76g9m57742h8?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb53e3:1hi8:&24cd=99?97)??b1824d`<,133695G87d8j446;3k996g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c62b2<62?0;6=u+86093fd<,8:3i7=if99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0j>;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7652=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891m?94i5:4>5<5<5;h62e?6=3f;;?>4?::a0764280=6=4?{%:46?1dj2.:<5k53gd;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86l<7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f147:3;1:7>50z&;37<0kk1/==6j:2de<>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;1eb==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4n2`9j0=1=831b8?650;9j020=831b==<3;29?xd3:9:1=7850;2x =152>ii7)??8d80bc><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5a3`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<8lm6<49:183!>0:3=hn6*>09g97c`?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:`0`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=;mi7?56;294~"??;06`a02.:1=O0?l0b<<>3;c1`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:ji4>:783>5}#0>81;nl4$02;a?5an11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019e7`5<:m7>5;n3376<722wi85<7s-2<>79lb:&24=c=;ol37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>d573`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9>j4;397?6=8r.:1<75`b7;94?=zj=:i;7?55;294~"68oh14k94$951>f`a3-;;4h44n339j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn9>j3;397?6=8r.:5f8dd94?=n<;>1<75`b7;94?=zj=:j;7?54;294~"68oh158;4$02;a?5an11C4;h4n0027?g4<2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>m6;391?6=8r.:<@1l1<75f89294?=n01;1<75f89094?=hm:31<75rb52g1?7=;3:10g`9750;9~f16b:3;1?7>50z&24cd=98l<7)??8d80bc><@10g`9=03<,8:3i7=if99K<3`94?::k2432=831dn;750;9~f16e=3;197>50z&24cd=0o=0(59=:bde?!770l08jk64H94e?k759:0j?45f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=:o87?53;294~"68oh14k94$951>a113-;;4h44n3`9j<2`=831b45>50;9la6?=831vn9>j1;397?6=8r.:1<75`b7;94?=zj=:j97?54;294~"68oh158;4$02;a?5an11C4;h4n0027?g4k2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>m4;391?6=8r.:<@1l1<75f89294?=n01;1<75f89094?=hm:31<75rb52g7?7=;3:10g`9750;9~f16b83;1?7>50z&24cd=98l<7)??8d80bc><@10g`9=03<,8:3i7=if99K<3`94?::k2432=831dn;750;9~f16e;3;197>50z&24cd=0o=0(59=:bde?!770l08jk64H94e?k759:0j8<5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=:o>7?53;294~"68oh14k94$951>a113-;;4h44n439j<2`=831b45>50;9la6?=831vn9>kf;397?6=8r.:5f8dd94?=n<;>1<75`b7;94?=zj=:j?7?54;294~"68oh158;4$02;a?5an11C4;h4n0027?g3<2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>m2;391?6=8r.:<@1l1<75f89294?=n01;1<75f89094?=hm:31<75rb52g`?7=;3:10g`9750;9~f16bl3;1?7>50z&24cd=98l<7)??8d80bc><@10g`9=03<,8:3i7=if99K<3`94?::k2432=831dn;750;9~f16d83;197>50z&24cd=0o=0(59=:bde?!770l08jk64H94e?k759:0j845f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=:oo7?53;294~"68oh14k94$951>a113-;;4h44n4`9j<2`=831b45>50;9la6?=831vn9>jc;397?6=8r.:1<75`b7;94?=zj=:jj7?54;294~"68oh158;4$02;a?5an11C4;h4n0027?g3k2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>mf;391?6=8r.:<@1l1<75f89294?=n01;1<75f89094?=hm:31<75rb52gf?7=;3:10g`9750;9~f16bj3;1?7>50z&24cd=98l<7)??8d80bc><@10g`9=03<,8:3i7=if99K<3`94?::k2432=831dn;750;9~f16em3;197>50z&24cd=0o=0(59=:bde?!770l08jk64H94e?k759:0j9<5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=:om7?53;294~"68oh14k94$951>a113-;;4h44n539j<2`=831b45>50;9la6?=831vn9>ja;397?6=8r.:5f8dd94?=n<;>1<75`b7;94?=zj=:jh7?54;294~"68oh158;4$02;a?5an11C4;h4n0027?g2<2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>md;391?6=8r.:<@1l1<75f89294?=n01;1<75f89094?=hm:31<75rb52g=?7=;3:10g`9750;9~f16b13;1?7>50z&24cd=98l<7)??8d80bc><@10g`9=03<,8:3i7=if99K<3`94?::k2432=831dn;750;9~f16ek3;197>50z&24cd=0o=0(59=:bde?!770l08jk64H94e?k759:0j945f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=:o47?53;294~"68oh14k94$951>a113-;;4h44n5`9j<2`=831b45>50;9la6?=831vn9>j8;397?6=8r.:1<75`b7;94?=zj=:jn7?54;294~"68oh158;4$02;a?5an11C4;h4n0027?g2k2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>mb;391?6=8r.:<@1l1<75f89294?=n01;1<75f89094?=hm:31<75rb52g3?7=;3:10g`9750;9~f16b?3;1?7>50z&24cd=98l<7)??8d80bc><@10g`9=03<,8:3i7=if99K<3`94?::k2432=831dn;750;9~f16ei3;197>50z&24cd=0o=0(59=:bde?!770l08jk64H94e?k759:0j:<5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=:o:7?53;294~"68oh14k94$951>a113-;;4h44n639j<2`=831b45>50;9la6?=831vn9>j6;397?6=8r.:5f8dd94?=n<;>1<75`b7;94?=zj=:j57?54;294~"68oh158;4$02;a?5an11C4;h4n0027?g1<2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>m9;391?6=8r.:<@1l1<75f89294?=n01;1<75f89094?=hm:31<75rb52g5?7=;3:10g`9?1b4:h50;9j<=6=831di>750;9~f16b=3;1?7>50z&24cd=98l<7)??8d80bc><@10g`9=03<,8:3i7=if99K<3`94?::k2432=831dn;750;9~f16e03;197>50z&24cd=0o=0(59=:bde?!770l08jk64H94e?k759:0j:45f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=:o<7?53;294~"68oh14k94$951>a113-;;4h44n6`9j<2`=831b45>50;9la6?=831vn9>ke;397?6=8r.:1<75`b7;94?=zj=:j>7?54;294~"68oh158;4$02;a?5an11C4;h4n0027?g1k2c?h>4?::k761<722c:<;:50;9lf3?=831vn9>m1;391?6=8r.:<@1l1<75f89294?=n01;1<75f89094?=hm:31<75rb52`5?7=;3:10g`9l1b4:h50;9j<=6=831di>750;9~f142:3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l8i;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14>;3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9?;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145?3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9>;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145>3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145=3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9<;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145<3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145;3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145:3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l99;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145n3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l98;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145m3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l97;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145l3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l96;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145k3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9n;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145j3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9m;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f145i3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9l;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14513;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14503;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14593;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l9i;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14583;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6?;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14313;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6>;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14303;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143?3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6<;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143>3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143=3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143<3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l69;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14293;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l68;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14283;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l67;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143n3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l66;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143m3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6n;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143l3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6m;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143k3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6l;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143j3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143i3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143;3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l6i;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f143:3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l7?;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14>?3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l7>;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14>>3;1:7>50z&;37<0k<1/==6j:2de<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86l7=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f147m3;1:7>50z&;37<0kk1/==6j:2de<>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;1eb==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4n959j0=1=831b8?650;9j020=831b==<3;29?xd3:9i1=7850;2x =152>ii7)??8d80bc><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5a878m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<;:36<49:183!>0:3=hn6*>09g97c`?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:`;5?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=8;;7?56;294~"??;06`a02.:1=O0?l0b<<>3;c:3>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<9<84>:783>5}#0>81;nl4$02;a?5an11/==hm:0266>"68k:1==oi;%::<1<75f110b>5<=851;494?6|,1=96:mm;%33<`<4no20(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019e5<:m7>5;n3376<722wi85<7s-2<>79lb:&24=c=;ol37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>d?f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<9a;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>d?e3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<99;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>d?d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<98;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>d?c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<97;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>d?b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<96;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>d?a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<95;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<82;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<81;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<80;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg43`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<9f;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg33`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<9e;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg23`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<9d;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg13`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<9c;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<9b;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<94;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dg>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<93;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dgf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<7a;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dge3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<79;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dgd3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<78;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dgc3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<77;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dgb3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<76;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dga3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<75;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<62;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<61;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<60;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd43`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<7f;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd33`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<7e;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd23`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<7d;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd13`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<7c;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<7b;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<74;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dd>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<73;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>ddf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?kc;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dde3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?kb;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>ddd3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?ka;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>ddc3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?k9;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>ddb3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?k8;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dda3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?k7;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?j4;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?j3;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?j2;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de43`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?j1;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de33`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?j0;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de23`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?kf;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de13`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?ke;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?kd;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?k6;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>de>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?k5;392?6=8r.3;?48c49'55>b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>def3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9b2:lm46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>dee3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9=>3;392?6=8r.3;?48cc9'55>b2=8j86*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?gdk2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>9m95+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl;30395?0=83:p(59=:6aa?!770l0?>l:4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=ijo0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4233>4<1290;w)682;5`f>"681o18?o;;%33bg<68<80(<>m0;33ec=#002186F76g9m57742him7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb513b?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c604`<62?0;6=u+86093fd<,8:3i7:=a59'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0jh<5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`775b=93<1<7>t$951>2ee3-;;4h4;2`68 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891mi<4i5:4>5<5<5;h62e?6=3f;;?>4?::a066d280=6=4?{%:46?1dj2.:<5k543c7?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86lj<;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14c93;1?7>50z&24cd=98l<7)??8d876d2<@10g`90D58i;o3156750;9~f14c83;1?7>50z&24cd=98l<7)??8d876d2<@10g`9=03<,8:3i7:=a59K<3`94?::k2432=831dn;750;9~f14ej3;197>50z&24cd=0o=0(59=:bde?!770l0?>l:4H94e?k759:0jh55f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=8h57?53;294~"68oh14k94$951>a113-;;4h4;2`68L=0a3g;9=>4nd89j<2`=831b45>50;9la6?=831vn9l:4H94e?k759:0jhl5f8dd94?=n<;>1<75`b7;94?=zj=8i?7?54;294~"68oh158;4$02;a?25i=1C4;h4n0027?gcj2c?h>4?::k761<722c:<;:50;9lf3?=831vn9l1<75f89294?=n01;1<75f89094?=hm:31<75rb50`0g`90D58i;o3156750;9~f14dm3;1?7>50z&24cd=98l<7)??8d876d2<@10g`9=03<,8:3i7:=a59K<3`94?::k2432=831dn;750;9~f14e13;197>50z&24cd=0o=0(59=:bde?!770l0?>l:4H94e?k759:0ji=5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=8h;7?53;294~"68oh14k94$951>a113-;;4h4;2`68L=0a3g;9=>4ne09j<2`=831b45>50;9la6?=831vn9l:4H94e?k759:0ji?5f8dd94?=n<;>1<75`b7;94?=zj=8i=7?54;294~"68oh158;4$02;a?25i=1C4;h4n0027?gb;2c?h>4?::k761<722c:<;:50;9lf3?=831vn9l1<75f89294?=n01;1<75f89094?=hm:31<75rb50`2?7=;3:10g`90D58i;o3156750;9~f14dk3;1?7>50z&24cd=98l<7)??8d876d2<@10g`9=03<,8:3i7:=a59K<3`94?::k2432=831dn;750;9~f14e?3;197>50z&24cd=0o=0(59=:bde?!770l0?>l:4H94e?k759:0ji55f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=8h97?53;294~"68oh14k94$951>a113-;;4h4;2`68L=0a3g;9=>4ne89j<2`=831b45>50;9la6?=831vn9l:4H94e?k759:0jil5f8dd94?=n<;>1<75`b7;94?=zj=8jj7?54;294~"68oh158;4$02;a?25i=1C4;h4n0027?gbj2c?h>4?::k761<722c:<;:50;9lf3?=831vn9l1<75f89294?=n01;1<75f89094?=hm:31<75rb50`0?7=;3:10g`90D58i;o3156750;9~f14di3;1?7>50z&24cd=98l<7)??8d876d2<@10g`9=03<,8:3i7:=a59K<3`94?::k2432=831dn;750;9~f14e=3;197>50z&24cd=0o=0(59=:bdf?!770l0?>l:4H94e?k759:0jj=5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=8ih7?53;294~"68oh14k94$951>a113-;;4h4;2`68L=0a3g;9=>4nf09j<2`=831b45>50;9la6?=831vn9=;5;392?6=8r.3;?48c49'55>b2=8j86*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>d`53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9=;9;392?6=8r.3;?48c49'55>b2=8j86*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>d`43`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9=;8;392?6=8r.3;?48c49'55>b2=8j86*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>d`33`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9=>d;392?6=8r.3;?48cc9'55>b2=8j86*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?ga=2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>9m95+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl;30`95?0=83:p(59=:6aa?!770l0?>l:4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=io=0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4234>4<1290;w)682;5`f>"681o18?o;;%33bg<68<80(<>m0;33ec=#002186F76g9m57742hl37d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5120?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6050<62?0;6=u+86093fd<,8:3i7:=a59'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0jjl5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7740=93<1<7>t$951>2ee3-;;4h4;2`68 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891mkl4i5:4>5<5<5;h62e?6=3f;;?>4?::a064c280=6=4?{%:46?1d=2.:<5k543c7?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891mkm4i5:4>5<5<5;h62e?6=3f;;?>4?::a064d280=6=4?{%:46?1d=2.:<5k543c7?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891mkj4i5:4>5<5<5;h62e?6=3f;;?>4?::a064e280=6=4?{%:46?1d=2.:<5k543c7?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891mkk4i5:4>5<5<5;h62e?6=3f;;?>4?::a064f280=6=4?{%:46?1d=2.:<5k543c7?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891mkh4i5:4>5<5<5;h62e?6=3f;;?>4?::a064>280=6=4?{%:46?1d=2.:<5k543c7?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891n=>4i5:4>5<5<5;h62e?6=3f;;?>4?::a064?280=6=4?{%:46?1d=2.:<5k543c7?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891n=?4i5:4>5<5<5;h62e?6=3f;;?>4?::a0640280=6=4?{%:46?1d=2.:<5k543c7?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891n=<4i5:4>5<5<5;h62e?6=3f;;?>4?::a0641280=6=4?{%:46?1d=2.:<5k543c7?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891n==4i5:4>5<5<5;h62e?6=3f;;?>4?::a07ca280=6=4?{%:46?1dj2.:<5k543c7?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86o>;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f153<3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f153;3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f153:3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>8;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f15393;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>7;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f15383;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>6;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f154n3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>n;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f154m3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>m;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f154l3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>l;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14an3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14am3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14al3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o>i;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14ak3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o??;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14aj3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o?>;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14ai3;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o?=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14a13;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o?<;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f14a03;1:7>50z&;37<0k<1/==6j:50b0>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86o?;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4ca13;1:7>50z&;37<0kk1/==6j:0g76>"68oh1==;=;%33f5<68hl0(577:59K<3`5:k7<2<722c?>54?::k733<722c:4?:1y'55`e28;m;6*>09g95`253A2=j6`>2019f405<47a?2.:<5k51d61?M>1n2d:><=5b058m=ca2900e9<;:188kg0>2900qo?j5c82>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i=55f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b0;8m=ca2900e9<;:188kg0>2900qo?j5`82>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i=l5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b0`8m=ca2900e9<;:188kg0>2900qo?j5882>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i=n5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b0f8m=ca2900e9<;:188kg0>2900qo?j5982>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i=h5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b0d8m=ca2900e9<;:188kg0>2900qo?j5682>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i>=5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b338m=ca2900e9<;:188kg0>2900qo?j5782>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i>?5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b318m=ca2900e9<;:188kg0>2900qo?j5482>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i>95f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b378m=ca2900e9<;:188kg0>2900qo?j6582>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i>;5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b358m=ca2900e9<;:188kg0>2900qo?j6282>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i>55f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b3;8m=ca2900e9<;:188kg0>2900qo?j6382>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i>l5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b3`8m=ca2900e9<;:188kg0>2900qo?j6082>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i>n5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b3f8m=ca2900e9<;:188kg0>2900qo?j6182>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i>h5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b3d8m=ca2900e9<;:188kg0>2900qo?j5g82>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i?=5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b238m=ca2900e9<;:188kg0>2900qo?j5d82>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i??5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b218m=ca2900e9<;:188kg0>2900qo?j5e82>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i?95f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b278m=ca2900e9<;:188kg0>2900qo?j5b82>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i?;5f4e194?=n<;>1<75f1147>5<47a?2.:<5k51d61?M>1n2d:><=5b258m=ca2900e9<;:188kg0>2900qo?j5582>1<729q/==hm:876?!770l0:i9<4H94e?k759:0i?55f4e194?=n<;>1<75f1147>5<>6*>0c29747<,133695G87d8j446;3h856g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e51<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h8m6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e56<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h8n6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e57<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h8o6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e54<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h8h6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e55<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h8i6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e4c<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h8j6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e4`<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?<6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e5a<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e5f<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?>6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e5g<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h??6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e5d<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?86g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e5<<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?96g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e5=<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?:6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e52<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?;6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e53<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?46g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e4a<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?56g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e4f<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?m6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e70<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?n6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e71<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?o6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e76<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?h6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e77<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?i6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e74<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h?j6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e75<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h><6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e7a<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e7f<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>>6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e7g<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>?6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e7d<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>86g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e7<<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>96g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e7=<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>:6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e72<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>;6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e73<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>46g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e6c<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>56g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3e6`<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>m6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3faf<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>n6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fag<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>o6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fad<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>h6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fa<<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>i6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fa=<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h>j6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fa2<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=<6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fb1<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h==6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fb6<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=>6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fb7<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=?6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fb4<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=86g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fb5<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=96g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fac<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=:6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fa`<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=;6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3faa<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=46g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fa3<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=56g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fa0<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=m6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fb0<62?0;6=u+86093f3<,8:3i7?j439'55`e28:>>6*>0c29747<,133695G87d8j446;3h=n6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3fb2<62?0;6=u+86093fd<,8:3i7?j439'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0i:n5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`20gd=93<1<7>t$951>2ee3-;;4h4>47:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891n;j4i5:4>5<5<5;h62e?6=3f;;?>4?::a51df280=6=4?{%:46?1dj2.:<5k5154;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86o8j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f42e13;1:7>50z&;37<0kk1/==6j:065<>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=5b828m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<896<49:183!>0:3=hn6*>09g9510?3-;;jo4>0408 46e83;;mk5+88:9f<=O0?l0b<<>3;`:5>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<><4>:783>5}#0>81;nl4$02;a?73>11/==hm:0266>"68k:1==oi;%::3A2=j6`>2019f<45<:m7>5;n3376<722wi=85<7s-2<>79lb:&24=c=9=<37)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?d>;2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;?:55+11da>462:2.:511ce?!>>03h27E69f:l2645=j0>0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm143f>4<1290;w)682;5`f>"681o1=987;%33bg<68<80(<>m0;33ec=#0021n45G87d8j446;3h296g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c366g<62?0;6=u+86093fd<,8:3i7?;699'55`e28:>>6*>0c2955ga3-2247l6;I:5b>h6:891n484i5:4>5<5<5;h62e?6=3f;;?>4?::a504f280=6=4?{%:46?1dj2.:<5k5154;?!77nk0:<8<4$02a4?77io1/4465b89K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=5b8:8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<836<49:183!>0:3=hn6*>09g9510?3-;;jo4>0408 46e83;;mk5+88:9f<=O0?l0b<<>3;`:=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<>:4>:783>5}#0>81;nl4$02;a?73>11/==hm:0266>"68k:1==oi;%::3A2=j6`>2019f5<:m7>5;n3376<722wi=8<9:085>5<7s-2<>79lb:&24=c=9=<37)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?d>j2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;?:55+11da>462:2.:511ce?!>>03h27E69f:l2645=j0i0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1407>4<1290;w)682;5`f>"681o1=987;%33bg<68<80(<>m0;33ec=#0021n45G87d8j446;3h2h6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c365a<62?0;6=u+86093fd<,8:3i7?;699'55`e28:>>6*>0c2955ga3-2247l6;I:5b>h6:891n4k4i5:4>5<5<5;h62e?6=3f;;?>4?::a507d280=6=4?{%:46?1dj2.:<5k5154;?!77nk0:<8<4$02a4?77io1/4465b89K<3`54?::k733<722c:h0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:00:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:10:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:>0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:?0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:<0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:o0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:l0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:m0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:j0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:k0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:=0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c::0:6;4?:1y'<24=?j?0(<>7e;372==#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;372==#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=5bc28m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<>86<49:183!>0:3=h96*>09g9510?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5bc38m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<>96<49:183!>0:3=h96*>09g9510?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5bc08m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<>:6<49:183!>0:3=h96*>09g9510?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5bc18m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<>;6<49:183!>0:3=h96*>09g9510?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5bc68m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<9m6<49:183!>0:3=h96*>09g9510?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5bc78m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<9n6<49:183!>0:3=h96*>09g9510?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5bc48m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<9o6<49:183!>0:3=h96*>09g9510?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5bc58m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9<9h6<49:183!>0:3=h96*>09g9510?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5bc:8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;;>:6<4<:183!77nk0:=k94$02;a?55:=1C4;h4n0027?de12c3ik4?::k761<722ei:44?::a775328086=4?{%33bg1/4:<5d`48 46?m399>95G87d8j446;3him6g77g83>>o?090;66aj3883>>{e;;>;6<4<:183!77nk0:=k94$02;a?55:=1C4;h4n0027?dej2c3ik4?::k761<722ei:44?::a7740280?6=4?{%33bg<>=<1/==6j:2010>N?>o1e=??<:c``?l2c;3:17d:=4;29?l77>=0;66am6883>>{e;;986<4<:183!77nk03j:5+8609`d0<,8:3i7==259K<3`5<7s-;;jo4>1g58 46?m399>95G87d8j446;3hii6g7eg83>>o3:=0;66am6883>>{e;;8=6<4;:183!77nk02985+11:f>645<2B3:k5a1330>gda3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi??==:080>5<7s-;;jo47f69'<24=lh<0(<>7e;1161=O0?l0b<<>3;``4>o??o0;66g78183>>ib;00;66sm336b>4<4290;w)??fc825c1<,8:3i7==259K<3`94?::ma2<<722wi??=?:087>5<7s-;;jo46549'55>b2:8986F76g9m57742ki97d:k3;29?l25<3:17d??6583>>ie>00;66sm331g>4<4290;w)??fc8;b2=#0>81hl84$02;a?55:=1C4;h4n0027?dd;2c3;k4?::k;<5<722en?44?::a772>28086=4?{%33bg<69o=0(<>7e;1161=O0?l0b<<>3;``0>o?mo0;66g;2583>>ie>00;66sm330e>4<3290;w)??fc8:10=#992n6><=4:J;2c=i9;;86om:;h6g7?6=3`>987>5;h3321<722ei:44?::a775d28086=4?{%33bg1/4:<5d`48 46?m399>95G87d8j446;3hh:6g77g83>>o?090;66aj3883>>{e;;>36<4<:183!77nk0:=k94$02;a?55:=1C4;h4n0027?dd?2c3ik4?::k761<722ei:44?::a774b280?6=4?{%33bg<>=<1/==6j:2010>N?>o1e=??<:ca;?l2c;3:17d:=4;29?l77>=0;66am6883>>{e;;9i6<4<:183!77nk03j:5+8609`d0<,8:3i7==259K<3`5<7s-;;jo4>1g58 46?m399>95G87d8j446;3hhm6g7eg83>>o3:=0;66am6883>>{e;;8o6<4;:183!77nk02985+11:f>645<2B3:k5a1330>gee3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi??=n:080>5<7s-;;jo47f69'<24=lh<0(<>7e;1161=O0?l0b<<>3;``g>o??o0;66g78183>>ib;00;66sm3365>4<4290;w)??fc825c1<,8:3i7==259K<3`94?::ma2<<722wi??5<7s-;;jo46549'55>b2:8986F76g9m57742kin7d:k3;29?l25<3:17d??6583>>ie>00;66sm331:>4<4290;w)??fc8;b2=#0>81hl84$02;a?55:=1C4;h4n0027?ddn2c3;k4?::k;<5<722en?44?::a772228086=4?{%33bg<69o=0(<>7e;1161=O0?l0b<<>3;`g4>o?mo0;66g;2583>>ie>00;66sm330a>4<3290;w)??fc8:10=#992n6><=4:J;2c=i9;;86oj>;h6g7?6=3`>987>5;h3321<722ei:44?::a775?28086=4?{%33bg1/4:<5d`48 46?m399>95G87d8j446;3ho>6g77g83>>o?090;66aj3883>>{e;;>?6<4<:183!77nk0:=k94$02;a?55:=1C4;h4n0027?dc;2c3ik4?::k761<722ei:44?::a774f280?6=4?{%33bg<>=<1/==6j:2010>N?>o1e=??<:cf7?l2c;3:17d:=4;29?l77>=0;66am6883>>{e;;9<6<4<:183!77nk03j:5+8609`d0<,8:3i7==259K<3`5<7s-;;jo4>1g58 46?m399>95G87d8j446;3ho:6g7eg83>>o3:=0;66am6883>>{e;;826<4;:183!77nk02985+11:f>645<2B3:k5a1330>gb03`>o?7>5;h610?6=3`;;:94?::ma2<<722wi??=9:080>5<7s-;;jo47f69'<24=lh<0(<>7e;1161=O0?l0b<<>3;`g<>o??o0;66g78183>>ib;00;66sm3361>4<4290;w)??fc825c1<,8:3i7==259K<3`94?::ma2<<722wi??<7:087>5<7s-;;jo46549'55>b2:8986F76g9m57742knj7d:k3;29?l25<3:17d??6583>>ie>00;66sm3316>4<4290;w)??fc8;b2=#0>81hl84$02;a?55:=1C4;h4n0027?dcj2c3;k4?::k;<5<722en?44?::a775b28086=4?{%33bg<69o=0(<>7e;1161=O0?l0b<<>3;`gg>o?mo0;66g;2583>>ie>00;66sm3306>4<3290;w)??fc8:10=#992n6><=4:J;2c=i9;;86ojk;h6g7?6=3`>987>5;h3321<722ei:44?::a775628086=4?{%33bg1/4:<5d`48 46?m399>95G87d8j446;3hoi6g77g83>>o?090;66aj3883>>{e;;2o6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;`f4>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm335f>4<3290;w)??fc8:13=#992n6><=4:J;2c=i9;;86ok>;h:4b?6=3`23<7>5;h3321<722ei:44?::a77g2280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3hn>6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;h?6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`5<7s-;;jo46579'55>b2:8986F76g9m57742ko?7d68f;29?l>?83:17d??6583>>ie>00;66sm3355>4<3290;w)??fc8:12=#992n6><=4:J;2c=i9;;86ok:;h:4b?6=3`23<7>5;h3321<722ei:44?::a77>b280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3hn:6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;kh6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`5<7s-;;jo46579'55>b2:8986F76g9m57742ko37d68f;29?l>?83:17d??6583>>ie>00;66sm33cg>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?db12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77d2280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3hnm6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;3o6<4;:183!77nk029;5+11:f>645<2B3:k5a1330>gce3`25;h:;4?6=3`;;:94?::ma2<<722wi??98:087>5<7s-;;jo46569'55>b2:8986F76g9m57742koh7d68f;29?l>?83:17d??6583>>ie>00;66sm33:e>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?dbl2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77gb280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3hni6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;2<6<4;:183!77nk029;5+11:f>645<2B3:k5a1330>gca3`25;h:;4?6=3`;;:94?::ma2<<722wi??oi:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;`e4>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33`5>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?da92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77?b280?6=4?{%33bg<>=?1/==6j:2010>N?>o1e=??<:cd1?l>0n3:17d670;29?l77>=0;66am6883>>{e;;=36<4;:183!77nk029:5+11:f>645<2B3:k5a1330>g`43`25;h:;4?6=3`;;:94?::ma2<<722wi??7?:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;`e0>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33`3>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?da=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77>?280?6=4?{%33bg<>=?1/==6j:2010>N?>o1e=??<:cd5?l>0n3:17d670;29?l77>=0;66am6883>>{e;;h:6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;`e<>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33;e>4<3290;w)??fc8:13=#992n6><=4:J;2c=i9;;86oh6;h:4b?6=3`23<7>5;h3321<722ei:44?::a771>280?6=4?{%33bg<>=>1/==6j:2010>N?>o1e=??<:cdb?l>0n3:17d670;29?l77>=0;66am6883>>{e;;3:6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;`eg>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33::>4<3290;w)??fc8:13=#992n6><=4:J;2c=i9;;86ohk;h:4b?6=3`23<7>5;h3321<722ei:44?::a77d4280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3hmi6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;h36<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`5<7s-;;jo46579'55>b2:8986F76g9m57742j:;7d68f;29?l>?83:17d??6583>>ie>00;66sm335b>4<3290;w)??fc8:12=#992n6><=4:J;2c=i9;;86n>>;h:4b?6=3`23<7>5;h3321<722ei:44?::a77?5280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i;>6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;k=6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`5<7s-;;jo46579'55>b2:8986F76g9m57742j:?7d68f;29?l>?83:17d??6583>>ie>00;66sm33c4>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e7=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77d>280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i;:6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;k:6<4;:183!77nk029;5+11:f>645<2B3:k5a1330>f603`25;h:;4?6=3`;;:94?::ma2<<722wi??9m:087>5<7s-;;jo46569'55>b2:8986F76g9m57742j:37d68f;29?l>?83:17d??6583>>ie>00;66sm33;0>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e712c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77g?280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i;m6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;2i6<4;:183!77nk029;5+11:f>645<2B3:k5a1330>f6e3`25;h:;4?6=3`;;:94?::ma2<<722wi??o6:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a3g>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33`b>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e7l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77g5280?6=4?{%33bg<>=?1/==6j:2010>N?>o1e=??<:b2f?l>0n3:17d670;29?l77>=0;66am6883>>{e;;=h6<4;:183!77nk029:5+11:f>645<2B3:k5a1330>f6a3`25;h:;4?6=3`;;:94?::ma2<<722wi??7;:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a24>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33cb>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e692c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77>d280?6=4?{%33bg<>=?1/==6j:2010>N?>o1e=??<:b31?l>0n3:17d670;29?l77>=0;66am6883>>{e;;ki6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`3:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi??lm:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a20>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33c0>4<3290;w)??fc8:13=#992n6><=4:J;2c=i9;;86n?:;h:4b?6=3`23<7>5;h3321<722ei:44?::a771c280?6=4?{%33bg<>=>1/==6j:2010>N?>o1e=??<:b35?l>0n3:17d670;29?l77>=0;66am6883>>{e;:?86<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`7:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?>8n:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a2<>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3267>4<3290;w)??fc8:13=#992n6><=4:J;2c=i9;;86n?6;h:4b?6=3`23<7>5;h3321<722ei:44?::a760e280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i:m6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:=j6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`b:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?>;m:087>5<7s-;;jo46579'55>b2:8986F76g9m57742j;h7d68f;29?l>?83:17d??6583>>ie>00;66sm321`>4<3290;w)??fc8:12=#992n6><=4:J;2c=i9;;86n?k;h:4b?6=3`23<7>5;h3321<722ei:44?::a7633280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i:i6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:=96<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`f:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?>:l:087>5<7s-;;jo46579'55>b2:8986F76g9m57742j8;7d68f;29?l>?83:17d??6583>>ie>00;66sm3250>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e592c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a761e280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i9>6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:<86<4;:183!77nk029;5+11:f>645<2B3:k5a1330>f443`25;h:;4?6=3`;;:94?::ma2<<722wi?>=k:087>5<7s-;;jo46569'55>b2:8986F76g9m57742j8?7d68f;29?l>?83:17d??6583>>ie>00;66sm3276>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e5=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7613280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i9:6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:>o6<4;:183!77nk029;5+11:f>645<2B3:k5a1330>f403`25;h:;4?6=3`;;:94?::ma2<<722wi?>9::084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a1<>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm325`>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e512c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7603280?6=4?{%33bg<>=?1/==6j:2010>N?>o1e=??<:b0b?l>0n3:17d670;29?l77>=0;66am6883>>{e;:9n6<4;:183!77nk029:5+11:f>645<2B3:k5a1330>f4e3`25;h:;4?6=3`;;:94?::ma2<<722wi?>;9:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a1g>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3255>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e5l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a762b280?6=4?{%33bg<>=?1/==6j:2010>N?>o1e=??<:b0f?l>0n3:17d670;29?l77>=0;66am6883>>{e;:=<6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`9k:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a04>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3246>4<3290;w)??fc8:13=#992n6><=4:J;2c=i9;;86n=>;h:4b?6=3`23<7>5;h3321<722ei:44?::a765a280?6=4?{%33bg<>=>1/==6j:2010>N?>o1e=??<:b11?l>0n3:17d670;29?l77>=0;66am6883>>{e;:?<6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`97:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a00>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm326e>4<3290;w)??fc8:13=#992n6><=4:J;2c=i9;;86n=:;h:4b?6=3`23<7>5;h3321<722ei:44?::a761>280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i8:6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:=n6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`89:087>5<7s-;;jo46579'55>b2:8986F76g9m57742j937d68f;29?l>?83:17d??6583>>ie>00;66sm3263>4<3290;w)??fc8:12=#992n6><=4:J;2c=i9;;86n=6;h:4b?6=3`23<7>5;h3321<722ei:44?::a763?280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i8m6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:;?:087>5<7s-;;jo46579'55>b2:8986F76g9m57742j9h7d68f;29?l>?83:17d??6583>>ie>00;66sm324g>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e4l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a761a280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i8i6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:<<6<4;:183!77nk029;5+11:f>645<2B3:k5a1330>f5a3`25;h:;4?6=3`;;:94?::ma2<<722wi?>:>:087>5<7s-;;jo46569'55>b2:8986F76g9m57742j>;7d68f;29?l>?83:17d??6583>>ie>00;66sm327:>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e392c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a760b280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3i?>6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:?:6<4;:183!77nk029;5+11:f>645<2B3:k5a1330>f243`25;h:;4?6=3`;;:94?::ma2<<722wi?>8i:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a70>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm32:3>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e3=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a760?280?6=4?{%33bg<>=?1/==6j:2010>N?>o1e=??<:b65?l>0n3:17d670;29?l77>=0;66am6883>>{e;:>96<4;:183!77nk029:5+11:f>645<2B3:k5a1330>f203`25;h:;4?6=3`;;:94?::ma2<<722wi?>;n:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a7<>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3253>4<0290;w)??fc8;b2=#0>81o><4$02;a?55:=1C4;h4n0027?e312c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7635280?6=4?{%33bg<>=?1/==6j:2010>N?>o1e=??<:b6b?l>0n3:17d670;29?l77>=0;66am6883>>{e;:=:6<48:183!77nk03j:5+8609g64<,8:3i7==259K<3`6>:084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;a7g>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm324:>4<3290;w)??fc8:13=#992n6><=4:J;2c=i9;;86n:k;h:4b?6=3`23<7>5;h3321<722ei:44?::a7624280?6=4?{%33bg<>=>1/==6j:2010>N?>o1e=??<:b6f?l>0n3:17d670;29?l77>=0;66am6883>>{e;==:6<49:183!>0:3=hn6*>09g977433-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:b6e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:><<7?56;294~"??;0645<2.:1=O0?l0b<<>3;a64>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?55:=1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019g045<:m7>5;n3376<722wi??87:0821d<729q/==hm:64`?!770l08>?:4n303>5=i:;k1<6`<1582?k56m3:0b99j:19m33g=82d29<4?;og02?64n52g>5=i<8;1<6*g}6nl0m87?87;341?`52o;1=::51649b687sa1330>f343`>:i7>5;h331=<722c:<8750;9j076=831b==;n:188m1452900e9?k:188m462k3:17d??5c83>>o3:10;66g>04494?=n<;;1<75f40a94?=n<9i1<75f43194?=n<8h1<75f1176>5<h7>5;h12`?6=,ok36>=<;odb3?6<3`9:o7>5$gc;>6543glj;7?4;h12f?6=,ok36>=<;odb3?4<3`9:m7>5$gc;>6543glj;7=4;h12=?6=,ok36>=<;odb3?2<3`9:47>5$gc;>6543glj;7;4;h123?6=,ok36>=<;odb3?0<3`9::7>5$gc;>6543glj;794;h11e?6=,ok36>=<;odb3?><3`9957>5$gc;>6543glj;774;h11=<;odb3?g<3`99;7>5$gc;>6543glj;7l4;h112?6=,ok36>=<;odb3?e<3`9997>5$gc;>6543glj;7j4;h115?6=,ok36>=<;odb3?c<3`9:j7>5$gc;>6543glj;7h4;h121?6=,ok36>=<;odb3?7732c8=>4?:%db1:9jb5d=831bj=o50;9jb5?=831bj=650;9jb51=831bj=850;9jb53=831bj=:50;9jb54=831bj=?50;9jb56=831bikh50;9jacc=831bikj50;9jace=831bikl50;9jacg=831bik750;9jac1=831bik850;9jac3=831bik:50;9jac5=831bik<50;9jac7=831bik>50;9ja``=831bihk50;9ja`e=831bihl50;9ja`g=831bih750;9ja`>=831bih950;9ja`0=831bih;50;9ja`2=831bih=50;9jb46=831bj=h50;9jb5c=831bj=j50;9jb5e=831bj==50;9jac>=831bihj50;9ja`4=831bih?50;9j7a6=83.mm54;039mbd1=821b?nh50;&ee=<38;1ejl951:9j7fc=83.mm54;039mbd1=:21b?nj50;&ee=<38;1ejl953:9j7fe=83.mm54;039mbd1=<21b?nl50;&ee=<38;1ejl955:9j7fg=83.mm54;039mbd1=>21b?n750;&ee=<38;1ejl957:9j7f1=83.mm54;039mbd1=021b?n850;&ee=<38;1ejl959:9j7f3=83.mm54;039mbd1=i21b?n:50;&ee=<38;1ejl95b:9j7f5=83.mm54;039mbd1=k21b?n<50;&ee=<38;1ejl95d:9j7f7=83.mm54;039mbd1=m21b?n>50;&ee=<38;1ejl95f:9j7g`=83.mm54;039mbd1=9910e>lj:18'bd>=<980bko8:038?l5ek3:1(ko7:521?k`f?3;976g!`f03>;>6`ia6827>=n;kk1<7*ia98747=inh=1=954i2`:>5<#nh218=<4ngc4>43<3`9i47>5$gc;>1653glj;7?9;:k0f2<72-lj47:?2:lee2<6?21b?o850;&ee=<38;1ejl951998m6d2290/jl654108jcg028307d=m4;29 cg?2=:97chn7;3b?>o4j:0;6)hn8;636>hai>0:n65f3c394?"ai10?=;odb3?7b32c8mh4?:%dbf:9j7db=83.mm54;039mbd1=:910e>ol:18'bd>=<980bko8:338?l5fj3:1(ko7:521?k`f?38976g!`f03>;>6`ia6817>=n;h31<7*ia98747=inh=1>954i2c;>5<#nh218=<4ngc4>73<3`9o47>5$gc;>1653glj;7<9;:k0`2<72-lj47:?2:lee2<5?21b?i850;&ee=<38;1ejl952998m6b3290/jl654108jcg02;307d=k2;29 cg?2=:97chn7;0b?>o4k10;6)hn8;636>hai>09n65f3cf94?"ai10?=;odb3?4b32c8m>4?:%db=83.mm54j359mbd1=<21bi?950;&ee=21bi?;50;&ee=50;&ee=<3ik1ejl951:9j0=`=83.mm54;ac9mbd1=:21b85k50;&ee=<3ik1ejl953:9j0=b=83.mm54;ac9mbd1=<21b85m50;&ee=<3ik1ejl955:9j0=d=83.mm54;ac9mbd1=>21b85o50;&ee=<3ik1ejl957:9j0d4=83.mm54;ac9mbd1=021b8l>50;&ee=<3ik1ejl959:9j0=07d<=4;29 cg?2;?97chn7;78?l45;3:1(ko7:371?k`f?3<07d<=2;29 cg?2;?97chn7;58?l4303:1(ko7:371?k`f?3207d<3:1(ko7:371?k`f?3i07d<<5;29 cg?2;?97chn7;f8?l44<3:1(ko7:371?k`f?3o07d<=b;29 cg?2;?97chn7;d8?l4593:1(ko7:371?k`f?3;;76g=1d83>!`f038>>6`ia6825>=n;<<1<75f34794?=n;<>1<75f34194?=n;<81<75f34394?=n;<:1<75f35d94?=n;i1<75`36`94?=h;>k1<75`36;94?=h;>21<75`36594?=h;><1<75`36794?=h;1>1<75`39194?=h;181<75`39394?=h;1:1<75`36d94?=h;>o1<75`36f94?=h;>>1<75`36194?=hm191<75`e9094?=hm1;1<75`e9294?=hm>l1<75`e6g94?=hm>n1<75`e6a94?=hm>k1<75`e6;94?=hm>21<75`e6594?=hm><1<75`e6794?=hm>>1<75`e6194?=hm>81<75`e6394?=hm?l1<75`e7g94?=hm?n1<75`e7a94?=hm?h1<75`e7c94?=hm?31<75`e7:94?=hm?=1<75`e7494?=hm?>1<75`e7194?=hm?81<75`e7394?=hm?:1<75`e4c94?=hm<81<75`e5`94?=hm=91<75`e2d94?=hmh?1<75`e8a94?=hm0<1<75`e9f94?=hm1?1<75`e6`94?=hm>:1<75`e7794?=hm:o1<75`e2f94?=hn?n1<75`f7a94?=hn?h1<75`f7c94?=hn?31<75`f7:94?=hn?=1<75`f7494?=hn?>1<75`f7194?=hn?81<75`f7394?=hn?:1<75`f4d94?=hn81<75`f6394?=hn>:1<75`f7d94?=hn?o1<75`f7794?=hn1<75`f5194?=h18n1<75`90a94?=h18h1<75`90c94?=h1831<75`90:94?=h18<1<75`90794?=h18>1<75`90194?=h1881<75`90394?=h18:1<75`91d94?=h19o1<75`91f94?=h19h1<75`91c94?=h1931<7*ia98:05=inh=1=?54o82;>5<#nh2159>4ngc4>45<3f3;;7>5$gc;><273glj;7?;;:m:43<72-lj477;0:lee2<6=21d5=;50;&ee=<><91ejl951798k<63290/jl659528jcg028=07b7?3;29 cg?20>;7chn7;3;?>i>8;0;6)hn8;;74>hai>0:565`93194?"ai1028=5af`595d=5;n;2b?6=3f3:i7>5;n;23?6=3f3;o7>5;n;35?6=3f3;<7>5;|`0631=93;>m7>50z&24cd=??i0(<>7e;1161=i:;:1<6`=2`82?k56<3;0b>?j:19m02c=82d<:l4?;o;65?65=i<9n1<6`;1083?!5f93?oh6*;0d8f6d=#99?n6<>nf:J;2c=];h:1nv?ie;d7>41028=>6k<5f08231<6??0m?7h?:077>xh6:891o8:4i53f>5<47>5;h331<<722c?>=4?::k240g=831b8?<50;9j04b=831b==;l:188m462j3:17d:=8;29?l77=?0;66g;2083>>o39j0;66g;0b83>>o3::0;66g;1c83>>o685<5<#nh21?>=4ngc4>4=5<#nh21?>=4ngc4>6=5<#nh21?>=4ngc4>0=5<#nh21?>=4ngc4>2=5<#nh21?>=4ngc4><=5<#nh21?>=4ngc4>g=5<#nh21?>=4ngc4>a=5<#nh21?>=4ngc4>c=6=4+f`:97654;h127?6=,ok36>=<;odb3?7632cm4?::kfb7<722cnj<4?::kfb5<722cnik4?::kfa`<722cnin4?::kfag<722cnil4?::kfa<<722cni54?::kfa2<722cni;4?::kfa0<722cni94?::kfa6<722cm==4?::ke4c<722cm32c8o84?:%db4?:%db0:9j7gc=83.mm54;039mbd1=9810e>ll:18'bd>=<980bko8:008?l5ej3:1(ko7:521?k`f?3;876g!`f03>;>6`ia6820>=n;k31<7*ia98747=inh=1=854i2`;>5<#nh218=<4ngc4>40<3`9i;7>5$gc;>1653glj;7?8;:k0f3<72-lj47:?2:lee2<6021b?o;50;&ee=<38;1ejl951898m6d3290/jl654108jcg028k07d=m3;29 cg?2=:97chn7;3a?>o4j80;6)hn8;636>hai>0:o65f3c294?"ai10?=;odb3?7a32c8mi4?:%dbom:18'bd>=<980bko8:308?l5fi3:1(ko7:521?k`f?38876g!`f03>;>6`ia6810>=n;h21<7*ia98747=inh=1>854i2f;>5<#nh218=<4ngc4>70<3`9o;7>5$gc;>1653glj;7<8;:k0`3<72-lj47:?2:lee2<5021b?i:50;&ee=<38;1ejl952898m6b5290/jl654108jcg02;k07d=l8;29 cg?2=:97chn7;0a?>o4jm0;6)hn8;636>hai>09o65f3c094?"ai10?=;odb3?4a32cn>n4?:%dbl4?:%db54?:%db;4?:%db32c?5i4?:%db0:9j0=>=83.mm54;ac9mbd1=9810e?<6:18'bd>=:<80bko8:198m74?290/jl652408jcg02810e?<8:18'bd>=:<80bko8:398m741290/jl652408jcg02:10e?<::18'bd>=:<80bko8:598m743290/jl652408jcg02<10e?<<:18'bd>=:<80bko8:798m745290/jl652408jcg02>10e?:7:18'bd>=:<80bko8:998m75d290/jl652408jcg02010e?=m:18'bd>=:<80bko8:`98m75?290/jl652408jcg02k10e?=9:18'bd>=:<80bko8:b98m752290/jl652408jcg02m10e?=;:18'bd>=:<80bko8:d98m74e290/jl652408jcg02o10e?<>:18'bd>=:<80bko8:028?l46m3:1(ko7:371?k`f?3;:76g<5783>>o4=<0;66g<5583>>o4=:0;66g<5383>>o4=80;66g<5183>>o4>o4=m0;66g<5b83>>o4=k0;66g<5`83>>o4=00;66g<5983>>o4=>0;66g<4d83>>o4>i38o0;66a<7b83>>i4?k0;66a<7`83>>i4?00;66a<7983>>i4?>0;66a<7783>>i4?<0;66a<8583>>i40:0;66a<8383>>i4080;66a<8183>>i4?o0;66a<7d83>>i4?m0;66a<7583>>i4?:0;66aj8283>>ib0;0;66aj8083>>ib090;66aj7g83>>ib?l0;66aj7e83>>ib?j0;66aj7`83>>ib?00;66aj7983>>ib?>0;66aj7783>>ib?<0;66aj7583>>ib?:0;66aj7383>>ib?80;66aj6g83>>ib>l0;66aj6e83>>ib>j0;66aj6c83>>ib>h0;66aj6883>>ib>10;66aj6683>>ib>?0;66aj6583>>ib>:0;66aj6383>>ib>80;66aj6183>>ib=h0;66aj5383>>ib>ib;o0;66aja483>>ib1j0;66aj9783>>ib0m0;66aj8483>>ib?k0;66aj7183>>ib><0;66aj3d83>>ib;m0;66ai6e83>>ia>j0;66ai6c83>>ia>h0;66ai6883>>ia>10;66ai6683>>ia>?0;66ai6583>>ia>:0;66ai6383>>ia>80;66ai6183>>ia=o0;66ai5d83>>ia=m0;66ai5b83>>ia=k0;66ai5883>>ia=10;66ai5683>>ia=?0;66ai5483>>ia==0;66ai5283>>ia=;0;66ai5083>>ia=90;66ai4d83>>ia>ia>ia<00;66ai4983>>ia<>0;66ai4783>>ia<<0;66ai7383>>ia?80;66ai7183>>ia>o0;66ai6d83>>ia><0;66ai5`83>>ia>ia<:0;66a61e83>>i>9j0;66a61c83>>i>9h0;66a61883>>i>910;66a61783>>i>9<0;66a61583>>i>9:0;66a61383>>i>980;66a61183>>i>8o0;66a60d83>>i>8m0;66a60c83>>i>8h0;66a60883>>i>810;6)hn8;;74>hai>0:?65`91594?"ai1028=5af`5951=7:9l=55=83.mm546419mbd1=9110c4>=:18'bd>=1=:0bko8:0;8?j?5;3:1(ko7:863?k`f?3;j76a62383>!`f033?<6`ia682f>=h1;;1<75`93294?=h18l1<75`90g94?=h18=1<75`91a94?=h19;1<75`91294?=zj:3m<7?56;294~"??;0645<2.:N?>o1e=??<:b76?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3nj7?56;294~"??;0645<2.:N?>o1e=??<:b75?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3ni7?56;294~"??;0645<2.:N?>o1e=??<:b74?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3nh7?56;294~"??;0645<2.:N?>o1e=??<:b7;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3no7?56;294~"??;0645<2.:N?>o1e=??<:b7:?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3nn7?56;294~"??;0645<2.:N?>o1e=??<:b7b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3nm7?56;294~"??;0645<2.:N?>o1e=??<:b7a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3n57?56;294~"??;0645<2.:N?>o1e=??<:b7`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?3m7?56;294~"??;0645<2.:N?>o1e=??<:b7g?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?357?56;294~"??;0645<2.:N?>o1e=??<:b7f?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?347?56;294~"??;0645<2.:N?>o1e=??<:b7e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?2>7?56;294~"??;0645<2.:N?>o1e=??<:b43?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?2=7?56;294~"??;0645<2.:N?>o1e=??<:b42?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?2<7?56;294~"??;0645<2.:N?>o1e=??<:b41?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?3j7?56;294~"??;0645<2.:N?>o1e=??<:b40?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?3i7?56;294~"??;0645<2.:N?>o1e=??<:b47?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?3h7?56;294~"??;0645<2.:N?>o1e=??<:b46?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?3o7?56;294~"??;0645<2.:N?>o1e=??<:b45?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?3n7?56;294~"??;0645<2.:N?>o1e=??<:b44?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?3;7?56;294~"??;0645<2.:N?>o1e=??<:b4;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?3:7?56;294~"??;0645<2.:N?>o1e=??<:b4:?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:??87?56;294~"??;0645<2.:N?>o1e=??<:b4b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:???7?56;294~"??;0645<2.:N?>o1e=??<:b4a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:??>7?56;294~"??;0645<2.:N?>o1e=??<:b4`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:??=7?56;294~"??;0645<2.:N?>o1e=??<:b4g?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:??<7?56;294~"??;0645<2.:N?>o1e=??<:b4f?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?8j7?56;294~"??;0645<2.:N?>o1e=??<:b4e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?8i7?56;294~"??;0645<2.:N?>o1e=??<:b53?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?8h7?56;294~"??;0645<2.:N?>o1e=??<:b52?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?8o7?56;294~"??;0645<2.:N?>o1e=??<:b51?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>>57?56;294~"??;0645<2.:N?>o1e=??<:b50?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>>47?56;294~"??;0645<2.:N?>o1e=??<:b57?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>>;7?56;294~"??;0645<2.:N?>o1e=??<:b56?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>>:7?56;294~"??;0645<2.:N?>o1e=??<:b55?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>>=7?56;294~"??;0645<2.:N?>o1e=??<:b54?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>><7?56;294~"??;0645<2.:N?>o1e=??<:b5;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>?j7?56;294~"??;0645<2.:N?>o1e=??<:b5:?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>?i7?56;294~"??;0645<2.:N?>o1e=??<:b5b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?9<7?56;294~"??;0645<2.:N?>o1e=??<:b5a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?:j7?56;294~"??;0645<2.:N?>o1e=??<:b5`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>?=7?56;294~"??;0645<2.:N?>o1e=??<:b5g?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>?<7?56;294~"??;0645<2.:N?>o1e=??<:b5f?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>8j7?56;294~"??;0645<2.:N?>o1e=??<:b5e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>8i7?56;294~"??;0645<2.:N?>o1e=??<:b:3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3><7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==f>53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7;e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<95f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742j2?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;7g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0h485f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=1d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86n69;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?3i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4l869j0=1=831b8?650;9j020=831b==<3;29?xd41=31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:b:;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3?<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<h51;494?6|,1=96:mm;%33<`<4:;>0(<>ib;3317=#99h;6<>nf:&;==f>f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<92f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742j2h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;0g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0h4i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=6d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86n6j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?4i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4l8g9j0=1=831b8?650;9j020=831b==<3;29?xd41:31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:b;3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:38<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==f?53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7=e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<93f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742j3?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;1g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0h585f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=7d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86n79;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?5i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4l969j0=1=831b8?650;9j020=831b==<3;29?xd41;31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:b;;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:39<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==f?f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7>e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<90f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742j3h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;2g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0h5i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=4d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86n7j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?6i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4l9g9j0=1=831b8?650;9j020=831b==<3;29?xd41831=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:bc3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3:<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fg53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7?e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<91f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jk?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;3g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hm85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=5d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86no9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?7i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4la69j0=1=831b8?650;9j020=831b==<3;29?xd41931=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:bc;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3;<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fgf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6ie;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<8gf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jkh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:eg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hmi5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86noj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>ai3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4lag9j0=1=831b8?650;9j020=831b==<3;29?xd40o31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:b`3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3n<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fd53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7ke;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<9ef95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jh?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;gg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hn85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=ad=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86nl9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?ci3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4lb69j0=1=831b8?650;9j020=831b==<3;29?xd41m31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:b`;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3o<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fdf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7le;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<9bf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jhh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;`g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hni5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=fd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86nlj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?di3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4lbg9j0=1=831b8?650;9j020=831b==<3;29?xd41j31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ba3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3h<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fe53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7me;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<9cf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742ji?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;ag?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0ho85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=gd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86nm9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?ei3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4lc69j0=1=831b8?650;9j020=831b==<3;29?xd41k31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ba;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3i<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fef3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7ne;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<9`f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jih7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;bg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hoi5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=dd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86nmj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?fi3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4lcg9j0=1=831b8?650;9j020=831b==<3;29?xd41h31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:bf3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3j<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fb53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>76e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<98f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jn?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;:g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hh85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86nj9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?>i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4ld69j0=1=831b8?650;9j020=831b==<3;29?xd41031=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:bf;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:32<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fbf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>77e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<99f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jnh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;;g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hhi5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0==d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86njj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6??i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4ldg9j0=1=831b8?650;9j020=831b==<3;29?xd41131=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:bg3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:33<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fc53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>78e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<96f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jo?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;4g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hi85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=2d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86nk9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?0i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4le69j0=1=831b8?650;9j020=831b==<3;29?xd41>31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:bg;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3<<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==fcf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>79e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<97f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742joh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;5g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hii5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=3d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86nkj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?1i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4leg9j0=1=831b8?650;9j020=831b==<3;29?xd41?31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:bd3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:3=<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==f`53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>7:e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<94f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jl?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;6g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hj85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=0d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86nh9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?2i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4lf69j0=1=831b8?650;9j020=831b==<3;29?xd41<31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:bd;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2m<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==f`f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6je;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<8df95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742jlh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:fg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0hji5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<`d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86nhj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>bi3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4lfg9j0=1=831b8?650;9j020=831b==<3;29?xd40l31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e23?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2><7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a653`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6;e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<85f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m:?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:7g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o<85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<1d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i>9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>3i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k069j0=1=831b8?650;9j020=831b==<3;29?xd40=31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e2;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2?<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<h51;494?6|,1=96:mm;%33<`<4:;>0(<>ib;3317=#99h;6<>nf:&;==a6f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<82f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m:h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:0g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o5<5;|`0<6d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i>j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>4i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k0g9j0=1=831b8?650;9j020=831b==<3;29?xd40:31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e33?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:28<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a753`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6=e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<83f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m;?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:1g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o=85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<7d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i?9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>5i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k169j0=1=831b8?650;9j020=831b==<3;29?xd40;31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e3;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:29<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a7f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6>e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<80f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m;h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:2g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o=i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<4d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i?j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>6i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k1g9j0=1=831b8?650;9j020=831b==<3;29?xd40831=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e03?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2:<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a453`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6?e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<81f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m8?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:3g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o>85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<5d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i<9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>7i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k269j0=1=831b8?650;9j020=831b==<3;29?xd40931=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e0;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2;<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a4f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9ie;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<7gf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m8h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb25eg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o>i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`03cd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f61ai3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k2g9j0=1=831b8?650;9j020=831b==<3;29?xd4?o31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e13?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2n<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a553`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6ke;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<8ef95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m9?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:gg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o?85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i=9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>ci3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k369j0=1=831b8?650;9j020=831b==<3;29?xd40m31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e1;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2o<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a5f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6le;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<8bf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m9h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:`g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o?i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i=j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>di3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k3g9j0=1=831b8?650;9j020=831b==<3;29?xd40j31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e63?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2h<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a253`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6me;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<8cf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m>?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:ag?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o885f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i:9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>ei3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k469j0=1=831b8?650;9j020=831b==<3;29?xd40k31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e6;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2i<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a2f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6ne;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<8`f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m>h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:bg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o8i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i:j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>fi3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k4g9j0=1=831b8?650;9j020=831b==<3;29?xd40h31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e73?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2j<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a353`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>66e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<88f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m??7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2::g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o985f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i;9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>>i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k569j0=1=831b8?650;9j020=831b==<3;29?xd40031=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e7;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:22<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a3f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>67e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<89f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m?h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:;g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o9i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<=d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i;j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>?i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k5g9j0=1=831b8?650;9j020=831b==<3;29?xd40131=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e43?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:23<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a053`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>68e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<86f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m>i68:91<75rb2:4g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o:85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<2d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i89;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>0i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k669j0=1=831b8?650;9j020=831b==<3;29?xd40>31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e4;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2<<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a0f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>69e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156k1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<87f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m>i68:91<75rb2:5g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o:i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<3d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i8j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>1i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k6g9j0=1=831b8?650;9j020=831b==<3;29?xd40?31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e53?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:2=<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a153`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>6:e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<84f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m=?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2:6g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o;85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0<0d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i99;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6>2i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k769j0=1=831b8?650;9j020=831b==<3;29?xd40<31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e5;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=m<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a1f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9je;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<7df95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m=h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb25fg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o;i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`03`d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i9j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f61bi3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k7g9j0=1=831b8?650;9j020=831b==<3;29?xd4?l31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e:3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=><7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a>53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9;e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<75f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m2?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb257g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o485f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`031d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i69;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f613i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k869j0=1=831b8?650;9j020=831b==<3;29?xd4?=31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e:;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=?<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<h51;494?6|,1=96:mm;%33<`<4:;>0(<>ib;3317=#99h;6<>nf:&;==a>f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<72f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m2h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb250g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o4i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`036d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i6j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f614i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k8g9j0=1=831b8?650;9j020=831b==<3;29?xd4?:31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e;3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=8<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a?53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9=e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<73f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m3?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb251g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o585f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`037d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i79;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f615i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k969j0=1=831b8?650;9j020=831b==<3;29?xd4?;31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e;;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=9<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a?f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9>e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<70f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742m3h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb252g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0o5i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`034d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86i7j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f616i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4k9g9j0=1=831b8?650;9j020=831b==<3;29?xd4?831=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ec3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=;<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==ag53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8ie;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<6gf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mk?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb24eg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0om85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`02cd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86io9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f60ai3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4ka69j0=1=831b8?650;9j020=831b==<3;29?xd4>o31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ec;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=n<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==agf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9ke;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<7ef95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mkh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb25gg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0omi5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`03ad=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86ioj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f61ci3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4kag9j0=1=831b8?650;9j020=831b==<3;29?xd4?m31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e`3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=:<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==ad53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9?e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<71f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mh?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb253g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0on85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`035d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86il9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f617i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4kb69j0=1=831b8?650;9j020=831b==<3;29?xd4?931=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:e`;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=o<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==adf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9le;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<7bf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mhh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb25`g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0oni5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`03fd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86ilj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f61di3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4kbg9j0=1=831b8?650;9j020=831b==<3;29?xd4?j31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ea3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=h<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==ae53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9me;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<7cf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mi?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb25ag?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0oo85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`03gd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86im9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f61ei3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4kc69j0=1=831b8?650;9j020=831b==<3;29?xd4?k31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ea;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=i<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==aef3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9ne;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<7`f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mih7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb25bg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0ooi5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`03dd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86imj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f61fi3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4kcg9j0=1=831b8?650;9j020=831b==<3;29?xd4?h31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ef3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=j<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==ab53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>96e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<78f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mn?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb25:g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0oh85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`03t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86ij9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f61>i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4kd69j0=1=831b8?650;9j020=831b==<3;29?xd4?031=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ef;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=2<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==abf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>97e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<79f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mnh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb25;g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0ohi5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`03=d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86ijj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f61?i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4kdg9j0=1=831b8?650;9j020=831b==<3;29?xd4?131=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:eg3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=3<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==ac53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>98e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<76f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mo?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb254g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0oi85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`032d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86ik9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f610i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4ke69j0=1=831b8?650;9j020=831b==<3;29?xd4?>31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:eg;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:=<<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==acf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>99e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<77f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742moh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb255g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0oii5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`033d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86ikj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f611i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4keg9j0=1=831b8?650;9j020=831b==<3;29?xd4??31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ed3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:==<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a`53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>9:e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<74f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742ml?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb256g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0oj85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`030d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86ih9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f612i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4kf69j0=1=831b8?650;9j020=831b==<3;29?xd4?<31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:ed;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==a`f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8je;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<6df95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742mlh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb24fg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0oji5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`02`d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86ihj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f60bi3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4kfg9j0=1=831b8?650;9j020=831b==<3;29?xd4>l31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d23?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:<><7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`653`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8;e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<65f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l:?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb247g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n<85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`021d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h>9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f603i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j069j0=1=831b8?650;9j020=831b==<3;29?xd4>=31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d2;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:645<2.:g?<@1<1<75f110b>5<h51;494?6|,1=96:mm;%33<`<4:;>0(<>ib;3317=#99h;6<>nf:&;==`6f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<62f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l:h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb240g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n5<5;|`026d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h>j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f604i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j0g9j0=1=831b8?650;9j020=831b==<3;29?xd4>:31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d33?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:<8<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`753`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8=e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<63f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l;?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb241g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n=85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`027d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h?9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f605i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j169j0=1=831b8?650;9j020=831b==<3;29?xd4>;31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d3;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:<9<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`7f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8>e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<60f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l;h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb242g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n=i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`024d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h?j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f606i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j1g9j0=1=831b8?650;9j020=831b==<3;29?xd4>831=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d03?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:<:<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`453`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8?e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<61f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l8?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb243g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n>85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`025d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h<9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f607i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j269j0=1=831b8?650;9j020=831b==<3;29?xd4>931=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d0;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:<;<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`4f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>;ie;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<5gf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l8h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb27eg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n>i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`01cd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f63ai3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j2g9j0=1=831b8?650;9j020=831b==<3;29?xd4=o31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d13?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`553`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8ke;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<6ef95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l9?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb24gg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n?85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`02ad=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h=9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f60ci3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j369j0=1=831b8?650;9j020=831b==<3;29?xd4>m31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d1;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`5f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8le;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<6bf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l9h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb24`g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n?i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`02fd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h=j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f60di3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j3g9j0=1=831b8?650;9j020=831b==<3;29?xd4>j31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d63?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`253`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8me;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<6cf95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l>?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb24ag?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n885f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`02gd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h:9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f60ei3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j469j0=1=831b8?650;9j020=831b==<3;29?xd4>k31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d6;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`2f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8ne;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<6`f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l>h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb24bg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n8i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`02dd=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h:j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f60fi3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j4g9j0=1=831b8?650;9j020=831b==<3;29?xd4>h31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d73?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`353`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>86e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<68f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l??7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb24:g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n985f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`02t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h;9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f60>i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j569j0=1=831b8?650;9j020=831b==<3;29?xd4>031=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d7;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:<2<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`3f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>87e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<69f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l?h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb24;g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n9i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`02=d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h;j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f60?i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j5g9j0=1=831b8?650;9j020=831b==<3;29?xd4>131=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d43?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:<3<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`053`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>88e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<66f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l>i68:91<75rb244g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n:85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`022d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h89;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f600i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j669j0=1=831b8?650;9j020=831b==<3;29?xd4>>31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d4;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:<<<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`0f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>89e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156k1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<67f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l>i68:91<75rb245g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n:i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`023d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h8j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f601i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j6g9j0=1=831b8?650;9j020=831b==<3;29?xd4>?31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d53?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:<=<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`153`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>8:e;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<64f95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l=?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb246g?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n;85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`020d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h99;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f602i3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j769j0=1=831b8?650;9j020=831b==<3;29?xd4><31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d5;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?m<7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`1f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>;je;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<5df95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l=h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb27fg?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n;i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`01`d=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h9j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f63bi3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j7g9j0=1=831b8?650;9j020=831b==<3;29?xd4=l31=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d:3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k=>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`>53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o90;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l2?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c6a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n485f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e0b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h69;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g2k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j869j0=1=831b8?650;9j020=831b==<3;29?xd4iii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d:;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k>>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`>f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o:0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l2h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c7a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n4i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e1b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h6j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g3k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j8g9j0=1=831b8?650;9j020=831b==<3;29?xd4i=h1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d;3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k?>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`?53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o;0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l3?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c0a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n585f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e6b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h79;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g4k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j969j0=1=831b8?650;9j020=831b==<3;29?xd4i:h1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d;;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k8>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<?51;494?6|,1=96:mm;%33<`<4:;>0(<>ib;3317=#99h;6<>nf:&;==`?f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o<0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742l3h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c1a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0n5i5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e7b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86h7j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g5k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4j9g9j0=1=831b8?650;9j020=831b==<3;29?xd4i;h1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:dc3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k9>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`g53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o=0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742lk?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c2a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0nm85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e4b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86ho9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g6k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4ja69j0=1=831b8?650;9j020=831b==<3;29?xd4i8h1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:dc;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k:>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`gf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o>0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742lkh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c3a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0nmi5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e5b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hoj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g7k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jag9j0=1=831b8?650;9j020=831b==<3;29?xd4i9h1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d`3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:km>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`d53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>oi0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742lh?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2cfa?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0nn85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e`b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hl9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6gbk3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jb69j0=1=831b8?650;9j020=831b==<3;29?xd4ilh1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:d`;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:kn>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`df3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>oj0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742lhh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2cga?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0nni5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0eab=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hlj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6gck3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jbg9j0=1=831b8?650;9j020=831b==<3;29?xd4imh1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:da3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:ko>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`e53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>ok0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742li?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c`a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0no85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0efb=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hm9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6gdk3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jc69j0=1=831b8?650;9j020=831b==<3;29?xd4ijh1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:da;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:kh>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`ef3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>ol0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742lih7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2caa?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0noi5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0egb=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hmj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6gek3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jcg9j0=1=831b8?650;9j020=831b==<3;29?xd4ikh1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:df3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:ki>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`b53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>om0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742ln?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2cba?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0nh85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0edb=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hj9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6gfk3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jd69j0=1=831b8?650;9j020=831b==<3;29?xd4ihh1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:df;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:kj>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`bf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>on0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742lnh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c:a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0nhi5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0et$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hjj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g>k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jdg9j0=1=831b8?650;9j020=831b==<3;29?xd4i0h1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:dg3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k2>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`c53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o60;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742lo?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c;a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0ni85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e=b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hk9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g?k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4je69j0=1=831b8?650;9j020=831b==<3;29?xd4i1h1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:dg;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k3>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==`cf3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o70;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742loh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c4a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0nii5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e2b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hkj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g0k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jeg9j0=1=831b8?650;9j020=831b==<3;29?xd4i>h1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:dd3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k<>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==``53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o80;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742ll?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2c5a?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0nj85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0e3b=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hh9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6g1k3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jf69j0=1=831b8?650;9j020=831b==<3;29?xd4i?h1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:dd;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:k;>7?56;294~"??;0645<2.:g?<@1<1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==``f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>o?0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl<9gd95?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742llh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2;ea?7=>3:1<=4:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0nji5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0=cb=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86hhj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6?ak3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4jfg9j0=1=831b8?650;9j020=831b==<3;29?xd41oh1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:g23?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?o47?56;294~"??;0645<2.:N?>o1e=??<:g22?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?o;7?56;294~"??;0645<2.:N?>o1e=??<:g21?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?o:7?56;294~"??;0645<2.:N?>o1e=??<:g20?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?n<7?56;294~"??;0645<2.:N?>o1e=??<:g27?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?oj7?56;294~"??;0645<2.:N?>o1e=??<:g26?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?oi7?56;294~"??;0645<2.:N?>o1e=??<:g25?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?oh7?56;294~"??;0645<2.:N?>o1e=??<:g24?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?oo7?56;294~"??;0645<2.:N?>o1e=??<:g2;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?on7?56;294~"??;0645<2.:N?>o1e=??<:g2:?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?om7?56;294~"??;0645<2.:N?>o1e=??<:g2b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?o57?56;294~"??;0645<2.:N?>o1e=??<:g2a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?o97?56;294~"??;0645<2.:N?>o1e=??<:g2`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?o87?56;294~"??;0645<2.:N?>o1e=??<:g2g?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?ii7?56;294~"??;0645<2.:N?>o1e=??<:g2f?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?ih7?56;294~"??;0645<2.:N?>o1e=??<:g2e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?io7?56;294~"??;0645<2.:N?>o1e=??<:g33?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?h:7?56;294~"??;0645<2.:N?>o1e=??<:g32?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?h97?56;294~"??;0645<2.:N?>o1e=??<:g31?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?h87?56;294~"??;0645<2.:N?>o1e=??<:g30?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?h?7?56;294~"??;0645<2.:N?>o1e=??<:g37?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?h>7?56;294~"??;0645<2.:N?>o1e=??<:g36?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?h=7?56;294~"??;0645<2.:N?>o1e=??<:g35?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?h<7?56;294~"??;0645<2.:N?>o1e=??<:g34?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?ij7?56;294~"??;0645<2.:N?>o1e=??<:g3;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?in7?56;294~"??;0645<2.:N?>o1e=??<:g3:?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?im7?56;294~"??;0645<2.:N?>o1e=??<:g3b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j87?56;294~"??;0645<2.:N?>o1e=??<:g3a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j?7?56;294~"??;0645<2.:N?>o1e=??<:g3`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j>7?56;294~"??;0645<2.:N?>o1e=??<:g3g?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?jo7?56;294~"??;0645<2.:N?>o1e=??<:g3f?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?jn7?56;294~"??;0645<2.:N?>o1e=??<:g3e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?jm7?56;294~"??;0645<2.:N?>o1e=??<:g03?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j57?56;294~"??;0645<2.:N?>o1e=??<:g02?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j47?56;294~"??;0645<2.:N?>o1e=??<:g01?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j;7?56;294~"??;0645<2.:N?>o1e=??<:g00?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j:7?56;294~"??;0645<2.:N?>o1e=??<:g07?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j97?56;294~"??;0645<2.:N?>o1e=??<:g06?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j=7?56;294~"??;0645<2.:N?>o1e=??<:g05?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?j<7?56;294~"??;0645<2.:N?>o1e=??<:g04?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:>857?56;294~"??;0645<2.:1=O0?l0b<<>3;d1<>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?44991/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<51;494?6|,1=96:m:;%33<`<5;8:0(<>ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<ib;3317=#99h;6>?>;%::<1<75f110b>5<851;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n:k0e9j<:188m1432900e<>94;29?jd113:17pl=37695?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k=m;h:fb?6=3`>987>5;n`5=?6=3th9?>j51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n:i0e9j<:188m1432900e<>94;29?jd113:17pl=37`95?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k=k;h:fb?6=3`>987>5;n`5=?6=3th9?>k51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n:o0e9j<:188m1432900e<>94;29?jd113:17pl=37a95?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k=i;h:fb?6=3`>987>5;n`5=?6=3th9?>h51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n=:0e9j<:188m1432900e<>94;29?jd113:17pl=37f95?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k:>;h:fb?6=3`>987>5;n`5=?6=3th9?9>51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n=80e9j<:188m1432900e<>94;29?jd113:17pl=37g95?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k:<;h:fb?6=3`>987>5;n`5=?6=3th9?9?51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n=>0e9j<:188m1432900e<>94;29?jd113:17pl=37d95?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k::;h:fb?6=3`>987>5;n`5=?6=3th9?9<51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n=<0e9j<:188m1432900e<>94;29?jd113:17pl=36295?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k:8;h:fb?6=3`>987>5;n`5=?6=3th9?9=51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n=20e9j<:188m1432900e<>94;29?jd113:17pl=36395?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k:6;h:fb?6=3`>987>5;n`5=?6=3th9?9:51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n=k0e9j<:188m1432900e<>94;29?jd113:17pl=36095?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k:m;h:fb?6=3`>987>5;n`5=?6=3th9?9;51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n=i0e9j<:188m1432900e<>94;29?jd113:17pl=36195?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k:k;h:fb?6=3`>987>5;n`5=?6=3th9?>651;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n=o0e9j<:188m1432900e<>94;29?jd113:17pl=37795?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k:i;h:fb?6=3`>987>5;n`5=?6=3th9?>751;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n<:0e9j<:188m1432900e<>94;29?jd113:17pl=37495?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k;>;h:fb?6=3`>987>5;n`5=?6=3th9?>o51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n<80e9j<:188m1432900e<>94;29?jd113:17pl=37595?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k;<;h:fb?6=3`>987>5;n`5=?6=3th9?>l51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n<>0e9j<:188m1432900e<>94;29?jd113:17pl=37:95?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k;:;h:fb?6=3`>987>5;n`5=?6=3th9?>m51;694?6|,8:mn77:5:&24=c=::;;7E69f:l2645=n<<0e9j<:188m1432900e<>94;29?jd113:17pl=37;95?5=83:p(<>ib;32b2=#992n6?=>0:J;2c=i9;;86k;8;h:fb?6=3`>987>5;n`5=?6=3th9?;o51;194?6|,8:mn7?>f69'55>b2;9:<6F76g9m57742o?37d6jf;29?l25<3:17bl99;29?xd5<9i1=7850;2x =152>ii7)??8d817``<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5f4;8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:=;>6<49:183!>0:3=h96*>09g966ca3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5f4c8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:=;=6<49:183!>0:3=h96*>09g966ca3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5f4`8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:=;<6<49:183!>0:3=h96*>09g966ca3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5f4a8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:=;36<49:183!>0:3=h96*>09g966ca3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5f4f8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e::lh6<4;:183!77nk02985+11:f>75bn2B3:k5a1330>c3b3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>9>9:080>5<7s-;;jo4>1g58 46?m388ik5G87d8j446;3l>j6g7eg83>>o3:=0;66am6883>>{e::ln6<4;:183!77nk02985+11:f>75bn2B3:k5a1330>c073`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>9>8:080>5<7s-;;jo4>1g58 46?m388ik5G87d8j446;3l==6g7eg83>>o3:=0;66am6883>>{e::lm6<4;:183!77nk02985+11:f>75bn2B3:k5a1330>c053`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>9>7:080>5<7s-;;jo4>1g58 46?m388ik5G87d8j446;3l=?6g7eg83>>o3:=0;66am6883>>{e:=:26<4<:183!77nk0:=k94$02;a?44mo1C4;h4n0027?`1<2c3ik4?::k761<722ei:44?::a67e0280=6=4?{%:46?1d=2.:<5k523c3?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891j;;4i5:4>5<5<5;h62e?6=3f;;?>4?::a67e?280=6=4?{%:46?1d=2.:<5k523c3?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891j;84i5:4>5<5<5;h62e?6=3f;;?>4?::a67e>280=6=4?{%:46?1d=2.:<5k523c3?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891j;94i5:4>5<5<5;h62e?6=3f;;?>4?::a67ef280=6=4?{%:46?1d=2.:<5k523c3?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891j;64i5:4>5<5<5;h62e?6=3f;;?>4?::a67gc280?6=4?{%33bg<>=<1/==6j:30b4>N?>o1e=??<:g4:?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:;h<6<4<:183!77nk0:=k94$02;a?45i91C4;h4n0027?`1i2c3ik4?::k761<722ei:44?::a67ga280?6=4?{%33bg<>=<1/==6j:30b4>N?>o1e=??<:g4a?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:;h36<4<:183!77nk0:=k94$02;a?45i91C4;h4n0027?`1k2c3ik4?::k761<722ei:44?::a67d7280?6=4?{%33bg<>=<1/==6j:30b4>N?>o1e=??<:g4g?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:;h26<4<:183!77nk0:=k94$02;a?45i91C4;h4n0027?`1m2c3ik4?::k761<722ei:44?::a67df28086=4?{%33bg<69o=0(<>7e;01e5=O0?l0b<<>3;d5b>o?mo0;66g;2583>>ie>00;66sm23f1>4<1290;w)682;5`1>"681o1>?7<;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=n>:0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm23f2>4<1290;w)682;5`1>"681o1>?7<;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=n>;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm23f3>4<1290;w)682;5`1>"681o1>?7<;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=n>80e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm222b>4<1290;w)682;5`f>"681o1>?7<;%33bg<68<80(<>m0;33ec=#002186F76g9m57742o=87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3133?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0043<62?0;6=u+86093fd<,8:3i7<=f49'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0m;85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1753=93<1<7>t$951>2ee3-;;4h4=2g78 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891j:84i5:4>5<5<5;h62e?6=3f;;?>4?::a6663280=6=4?{%:46?1dj2.:<5k523d6?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86k98;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f757l3;1:7>50z&;37<0kk1/==6j:30:7>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=;8;0(577:59K<3`54?::k733<722c:7e;00e0=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4i889j0=1=831b8?650;9j020=831b==<3;29?xd5;k31=7850;2x =152>ii7)??8d817d3<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5f9c8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e::hj6<49:183!>0:3=hn6*>09g966g23-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:g:a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;9in7?56;294~"??;075f=2.:1=O0?l0b<<>3;d;g>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?44i<1/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==c>b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c>a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?73`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?43`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?33`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?23`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?13`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c??3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?e3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;82?6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>c?b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?<=2;392?6=8r.3;?48cc9'55>b2;8:j6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?`>n2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f82c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f:2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f;2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f<2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f=2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f>2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f?2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f02c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`f12c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`fi2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`fj2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`fk2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`fl2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`fm2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389=k5+11da>462:2.:53038 =??2=1C4;h4n0027?`fn2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m389885+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl=28395?0=83:p(59=:6aa?!770l09>9;4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=nk;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm23;3>4<1290;w)682;5`f>"681o1>?::;%33bg<68<80(<>m0;33ec=#002186F76g9m57742oh97d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb30;b?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c013=<62?0;6=u+86093fd<,8:3i7<=449'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0mn95f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1621=93<1<7>t$951>2ee3-;;4h4=2578 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891jo;4i5:4>5<5<5;h62e?6=3f;;?>4?::a6711280=6=4?{%:46?1dj2.:<5k52366?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86kl9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f740=3;1:7>50z&;37<0kk1/==6j:3071>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:b2;8?96*79985?M>1n2d:><=5fc:8 `5?28:8j6*>0g`9<2d<,1=h658j;h324`<722c?4:4?::k255b=831b>?l50;9j662=831b:k<50;9j2c5=831b:k:50;9j2c3=831d==6l:188k3`62900n;h?:18`>5<7s-;;jo4>0528L20a3A23i6`>201960`03-ljm7?:b:&;==<13-;;4h4=2578m477m3:17d?>0e83>>o1n<0;66g9f583>>o1n:0;66g9f383>>i1n80;66g;8683>>o5;=0;66g=2c83>>o5:80;66g=1d83>>{e99=o6=47:183!77nk0:<9?4H64e?M>?m2B34i5a1330>73a02.mml4>5c9'<<>=>2.:<5k52366?l46m3:17d<=1;29?l45j3:17d<<4;29?l2??3:17d?>0e83>>o699o1<75`b7;94?=z{8;;87>53z\15`=:>o:1>::180[45927=j=4=209>551c2;8:7p}>11494?5|V;8i708i0;01f>;68>n1>?l4}r3242<72:qU>>:4=7d3>75334;;;i4=359~w464>3:1>vP9f39>2c6=>o80q~??3683>7}Y>o901;h?:7d0?xu68:21<75<5sW;1n90?4:52115g>1>03ty?>54?:2y]546c340e9>551c28;;h6s|102f>5<4sW;:11g8yv0a93:1>vP9f09>2c6=>o;0qpl=24795?b=:3ip(<>7e;0100=#0021:6F76g9m57742oh27)k<8;337c=#99li659m;%:4g?>1m2c:==k50;9j0=1=831b=<>k:188m77b2900e?<>:188m74e2900e?=;:188m3`52900e;h<:188m3`32900e;h::188k46?k3:17b8i1;29?g0a83:1o7>50z&24cd=99>;7E99f:J;<`=i9;;86?;i9:&eed<6=j1/44656:&24=c=:;>>7d?>0d83>>o699n1<75f6g794?=n>o>1<75f6g194?=n>o81<75`6g394?=n<1=1<75f22694?=n:;h1<75f23394?=n:8o1<75rb024`?6=03:10g`955263A==j6F78d9K<=bb2;8?96g=1d83>>o5:80;66g=2c83>>o5;=0;66g;8683>>o699n1<75f102f>5<77b34;;;i4=1d9~w477=3:1?vP=209>2c6=:;;01<>8d;015>{t98:=6=4<{_01f>;1n909>o52115g>74e3ty:==950;1xZ753340q~??3783>7}Y>o801;h?:7d1?xu68:=1<75<5sW52z\5b0=:>o:1:k;4}r6;3?6=;rT?4:526g290=1<58:=839pR8d;324a=z{8;;i7>53z\255c<5?l;67}Y>o;01;h?:7d2?x{e:;?<6<4k:38`!770l09>9;4$9;;>3=O0?l0b<<>3;dae>"b;10:<>h4$02ef?>0j2.3;n476d9j546b2900e968:188m477l3:17d<>e;29?l4593:17d<=b;29?l44<3:17d8i2;29?l0a;3:17d8i4;29?l0a=3:17b??8b83>>i1n80;66l9f183>f<729q/==hm:0274>N0>o1C45k4n0027?42nk1/jlo514f8 =??2?1/==6j:3071>o699o1<75f102g>5<6=44i7d7>5<5<5<5<5<5}#99li6<>;1:J42c=O01o0D56k;o3156<5=oi0(kon:07g?!>>03<0(<>7e;0100=n:8o1<75f23394?=n:;h1<75f22694?=n<1=1<75f102g>5<5;n`5=?6=3ty:==:50;1xZ77b34e:?242b=:8o0q~?>0483>6}Y:;;01;h?:302?877?m09><5rs0332?6=;rT9>o526g2967d<58:6s|1114>5<5sW52z\5b1=:>o:1:k:4}r337<<72;qU:k;4=7d3>3`23ty?4:4?:2y]0=1<5?l;6968;<333a<30>1v9<7:180[768m16:k>5102g?877?m0:==j4}r324`<72:qU=<>j;<4e4?768l16==9k:033a>{t>o;1<709g967223-224784H94e?k759:0mno5+e2:9555a3-;;jo477c9'<2e=0?o0e0e83>>o59l0;66g=2083>>o5:k0;66g=3583>>o1n;0;66g9f283>>o1n=0;66g9f483>>i681i1<75`6g394?=e>o:1<7m50;2x 46aj3;;8=5G77d8L=>b3g;9=>4=5gf8 cgf28?n7)668;48 46?m389885f102f>5<5;h4e1?6=3`5;h4e7?6=3`7>5;n4e5?6=3`>3;7>5;h000?6=3`89n7>5;h015?6=3`8:i7>5;|`242b=8321<7>t$02ef?77<81C;;h4H9:f?M>?l2d:><=524df?!`fi3;>i6*79985?!770l09>9;4i33f>5<5<5<5;h324`<722ei:44?::p54632908wS<>e:?5b5<59l16==9k:33f?xu699?1<7=t^302?80a8389=63>06f9677522689460l38886s|1115>5<5sW639f185b7=z{8:8;7>52z\5b6=:>o:1:k=4}r337=<72;qU:k:4=7d3>3`33ty:<>750;0xZ3`23411f893`728;;h63>06f9546c3ty:==k50;1xZ477m27=j=4>11g89460l3;:5<5sW4>:e81>f}#992n6?<;5:&;==<13A2=j6`>2019bge<,l936<>h0(59l:94f?l768l0;66g;8683>>o699n1<75f20g94?=n:;;1<75f23`94?=n::>1<75f6g094?=n>o91<75f6g694?=n>o?1<75`11:`>5<5"?110=7)??8d816135;h324a<722c=j84?::k5b1<722c=j>4?::k5b7<722e=j<4?::k7<2<722c9?94?::k16g<722c9><4?::k15`<722wi==9k:18;>5<7s-;;jo4>0538L20a3A23i6F78e9m57742;<;<6*ia`821c=#0021:6*>09g967223`8:i7>5;h015?6=3`89n7>5;h000?6=3`>3;7>5;h324a<722c:==k50;9lf3?=831v520g89460l38:i6s|1026>5<4sW89=639f18164=:99=o6?<>;|q2550=839pR?0;6>uQ226893`72;9?70??7e8171=z{8:8:7>52z\5b7=:>o:1:k<4}r3372<72;qU:k=4=7d3>3`43ty:<>650;0xZ3`3342909wS8i5:?5b5<1n<1v968:180[2??27=j=4;869>551c2=2<7p};2983>6}Y98:o708i0;324a=:99=o60d9>2c6=98:n70??7e8255c52z\5b4=:>o:1:k?4}|`1604=93n1>7mt$02;a?45<<1/44656:J;2c=i9;;86klk;%g00k32=i6g>11g94?=n<1=1<75f102g>5<5<5<5<5<5;n4e5?6=3k5c;294~"68oh1==:?;I55b>N?0l1e=??<:3435>"aih0::=5+88:92>"681o1>?::;h324`<722c:==j50;9j2c3=831b:k:50;9j2c5=831b:k<50;9l2c7=831b85950;9j662=831b>?l50;9j677=831b>50z&24cd=99>:7E99f:J;<`=O01n0b<<>3;0547=#nhk1=;>4$9;;>3=#992n6?<;5:k15`<722c9><4?::k16g<722c9?94?::k7<2<722c:==j50;9j546b2900co86:188yv768=0;6>uQ20g893`72;;n70??7e815`=z{8;;97>53z\164=:>o:1>??4=024`?4592wx=<>9:180[45j27=j=4=2c9>551c2;8i7p}>11594?5|V;9?708i0;000>;68>n1>>:4}r3373<72;qU:k<4=7d3>3`53ty:<>950;0xZ3`434<9;296~X1n<16:k>56g78yv2??3:1?vP;869>2c6=<1=01<>8d;6;3>{t<;21<7=t^033`>;1n90:==j4=024`?768m1v;68>n1=<>j;|q5b4<72;qU:k?4=7d3>3`63twi>?;>:08g>702d8 46aj325<5;h02a?6=3`89=7>5;h01f?6=3`8887>5;h4e6?6=3`5;h4e0?6=3`5;n3346382B<:k5G89g8j446;38=<>5+f`c9537<,1336;5+11:f>743=2c:==k50;9j546c2900e;h::188m3`32900e;h<:188m3`52900c;h>:188m1>02900e?=;:188m74e2900e?<>:188m77b2900qo??7e83>=<729q/==hm:0275>N0>o1C45k4H9:g?k759:09:=:4$gcb>4063-224784$02;a?45<<1b>?l50;9j662=831b85950;9j546c2900e11694?5|V;;n708i0;02a>;68>n1>??4=7d3>74634;;;i4=209~w477>3:1?vP=2c9>2c6=:;h01<>8d;01f>{t98:<6=4<{_000>;1n909?952115g>7533ty:<>850;0xZ3`534<8;296~X1n=16:k>56g68yv77;00;6?uQ6g7893`72?l>7p};8683>6}Y<1=01;h?:5:4?877?m0?4:5rs50;>5<4sW;:11f8yv768l0;6>uQ102f?80a83;:477m2wx:k?50;0xZ3`634>7)668;48L=0a3g;9=>4ibg9'a6>=999m7)??fc8;3g=#0>i14;k4i033a?6=3`>3;7>5;h324a<722c9=h4?::k164<722c9>o4?::k171<722c=j?4?::k5b6<722c=j94?::k5b0<722e:<5m50;9l2c7=831i:k>50;a94?6|,8:mn7??419K33`<@12n7c?=1281253<,okj6<8=;%::e;29?xd68>n1<7650;2x 46aj3;;8<5G77d8L=>b3A23h6`>201963613-ljm7?92:&;==<13-;;4h4=2578m77b2900e?<>:188m74e2900e?=;:188m1>02900e>{t98:?6=4<{_02a>;1n909=h52115g>77b3ty:==;50;1xZ746340783>6}Y:;h01;h?:30a?877?m09>o5rs0333?6=;rT9?9526g29662<58:<7;296~X1n:16:k>56g18yv77;10;6?uQ6g6893`72?l?7p}>02;94?4|V?l>708i0;4e1>{t<1=1<7=t^5:4?80a83>3;63>06f90=1947>53z\255b<5?l;611g94?5|V8;;i639f18255c<58:0d9~w3`62909wS8i1:?5b5<1n81vqo<=8982>3<729q/4:<57b78 46?m389885+11da>462:2.:53038 =??2=1C4;h4n0027?`d82c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389885+11da>462:2.:53038 =??2=1C4;h4n0027?`d92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389885+11da>462:2.:53038 =??2=1C4;h4n0027?`d:2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389885+11da>462:2.:53038 =??2=1C4;h4n0027?`d;2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389885+11da>462:2.:53038 =??2=1C4;h4n0027?`d<2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389885+11da>462:2.:53038 =??2=1C4;h4n0027?`d=2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389885+11da>462:2.:53038 =??2=1C4;h4n0027?`d>2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389885+11da>462:2.:53038 =??2=1C4;h4n0027?`d?2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m389885+11da>462:2.:53038 =??2=1C4;h4n0027?`d02c?4:4?::k76=<722c?;;4?::k247g=831b82<729q/==hm:9d4?!>0:3i8n6*>09g9617>3A2=j6`>2019bf?5<5<5<0;6=u+11da>=`03-2<>7m72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg43:k0:6:4?:1y'55`e21l<7)682;a0f>"681o1>9?6;I:5b>h6:891jnl4i95e>5<5<5<5<:483>5}#99li65h8;%:46?e>92.:<5k5253:?!`fk3;?=:5G87d8j446;3lho6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:=8=6<48:183!77nk03j:5+8609g6d<,8:3i7<;189K<3`95<7s-;;jo47f69'<24=k:h0(<>7e;075<=O0?l0b<<>3;d`a>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm250g>4<0290;w)??fc8;b2=#0>81o>l4$02;a?43901C4;h4n0027?`dn2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6152280>6=4?{%33bg1/4:<5c838 46?m38?=45G87d8j446;3lo<6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:=8<6<48:183!77nk03j:5+8609g6d<,8:3i7<;189K<3`95<7s-;;jo47f69'<24=k:h0(<>7e;075<=O0?l0b<<>3;dg6>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm250e>4<0290;w)??fc8;b2=#0>81o>l4$02;a?43901C4;h4n0027?`c;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6151280>6=4?{%33bg1/4:<5c838 46?m38?=45G87d8j446;3lo86g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:=836<48:183!77nk03j:5+8609g6d<,8:3i7<;189K<3`9=?:084>5<7s-;;jo47f69'<24=k:h0(<>7e;075<=O0?l0b<<>3;dg2>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2512>4<0290;w)??fc8;b2=#0>81o>l4$02;a?43901C4;h4n0027?`c?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6150280>6=4?{%33bg1/4:<5c838 46?m38?=45G87d8j446;3lo46g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:=826<4::183!77nk03j:5+8609g<7<,8:3i7<;189'bde=9:337E69f:l2645=nm30e59i:188m=>72900e56>:188m=>52900ch=6:188yg43;;0:6;4?:1y'55`e21l<7)682;a0e>"681o1>9?6;I:5b>h6:891jio4i95e>5<5<5<0;6=u+11da>=`03-2<>7m72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg43;10:694?:1y'55`e21l<7)682;fb6>"681o1>9?6;%dbg?74111C4;h4n0027?`ck2c3;k4?::k;<5<722c34<4?::mf7<<722wi>98;:085>5<7s-2<>79lb:&24=c=:=;27)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>cbc3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?:93;392?6=8r.3;?48cc9'55>b2;>:56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?`cm2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38?=45+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl=47395?0=83:p(59=:6aa?!770l098<74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=nl:0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2543>4<1290;w)682;5`f>"681o1>9?6;%33bg<68<80(<>m0;33ec=#002186F76g9m57742oo:7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb366b?7=>3:19:&24cd=99?97)??b1824d`<,133695G87d8j446;3ln>6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c071`<62?0;6=u+86093fd<,8:3i7<;189'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0mi>5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`100b=93<1<7>t$951>2ee3-;;4h4=40;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891jh:4i5:4>5<5<5;h62e?6=3f;;?>4?::a61?1280=6=4?{%:46?1dj2.:<5k5253:?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86kk:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f72>=3;1:7>50z&;37<0kk1/==6j:362=>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;075<=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4ie69j0=1=831b8?650;9j020=831b==<3;29?xd5<091=7850;2x =152>ii7)??8d8104?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5fd:8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:=2n6<49:183!>0:3=hn6*>09g9617>3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:gg:?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;>3h7?56;294~"??;072612.:1=O0?l0b<<>3;dfe>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?43901/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019b`e5<:m7>5;n3376<722wi>96n:085>5<7s-2<>79lb:&24=c=:=;27)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>ccc3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?:79;392?6=8r.3;?48cc9'55>b2;>:56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?`bm2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38?=45+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl=49595?0=83:p(59=:6aa?!770l098<74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=no:0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm255f>4<1290;w)682;5`f>"681o1>9?6;%33bg<68<80(<>m0;33ec=#002186F76g9m57742ol:7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb364`?7=>3:19:&24cd=99?97)??b1824d`<,133695G87d8j446;3lm>6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c073f<62?0;6=u+86093fd<,8:3i7<;189'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0mj>5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`102d=93<1<7>t$951>2ee3-;;4h4=40;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891jk:4i5:4>5<5<5;h62e?6=3f;;?>4?::a611f280=6=4?{%:46?1dj2.:<5k5253:?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86kh:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f72013;1:7>50z&;37<0kk1/==6j:362=>"68oh1==;=;%33f5<68hl0(577:59K<3`54?::k733<722c:7e;075<=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4if69j0=1=831b8?650;9j020=831b==<3;29?xd5<>=1=7850;2x =152>ii7)??8d8104?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5fg:8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:=lh6<49:183!>0:3=hn6*>09g9617>3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:gd:?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;>mn7?56;294~"??;072612.:1=O0?l0b<<>3;dee>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?43901/==hm:0266>"68k:1==oi;%::<1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019bce5<:m7>5;n3376<722wi>9h7:085>5<7s-2<>79lb:&24=c=:=;27)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>c`c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?:i2;392?6=8r.3;?48cc9'55>b2;>:56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?`am2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38?=45+11da>462:2.:511ce?!>>03>0D58i;o3156=831b8:850;9j554f2900e9?n:188k464;3:17pl=4g295?0=83:p(59=:6aa?!770l098<74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99:;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb36fb?7=>3:19:&24cd=99?97)??b1824d`<,133695G87d8j446;3;;<<5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`10`c=93<1<7>t$951>2ee3-;;4h4=40;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==>=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f721m3;1:7>50z&;37<0kk1/==6j:362=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5ii7)??8d8104?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51127?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;>=o7?56;294~"??;072612.:1=O0?l0b<<>3;3340=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955613`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?:9a;392?6=8r.3;?48cc9'55>b2;>:56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?778>1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=4e:95?0=83:p(59=:6aa?!770l098<74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99:37d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb36g3?7=>3:19:&24cd=99?97)??b1824d`<,133695G87d8j446;3;;<45f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`10a0=93<1<7>t$951>2ee3-;;4h4=40;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==>n;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f72c=3;1:7>50z&;37<0kk1/==6j:362=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd51=7850;2x =152>ii7)??8d8104?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5112`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;>hi7?56;294~"??;072612.:1=O0?l0b<<>3;334a=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019556b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?:lc;392?6=8r.3;?48cc9'55>b2;>:56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?778o1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=4b`95?0=83:p(59=:6aa?!770l098<74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99;;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb36f3:19:&24cd=99?97)??b1824d`<,133695G87d8j446;3;;=<5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`10`1=93<1<7>t$951>2ee3-;;4h4=40;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==?=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f72b>3;1:7>50z&;37<0kk1/==6j:362=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5ii7)??8d8104?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51137?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;>n87?56;294~"??;072612.:1=O0?l0b<<>3;3350=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955713`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?:j2;392?6=8r.3;?48cc9'55>b2;>:56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?779>1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=4d395?0=83:p(59=:6aa?!770l098<74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99;37d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb36a2?7=>3:19:&24cd=99?97)??b1824d`<,133695G87d8j446;3;;=45f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`10g3=93<1<7>t$951>2ee3-;;4h4=40;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==?n;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f72e<3;1:7>50z&;37<0kk1/==6j:362=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5ii7)??8d8104?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5113`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;>ji7?56;294~"??;072612.:1=O0?l0b<<>3;335a=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019557b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?:nc;392?6=8r.3;?48cc9'55>b2;>:56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?779o1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=4``95?0=83:p(59=:6aa?!770l098<74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=998;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb36be?7=>3:19:&24cd=99?97)??b1824d`<,133695G87d8j446;3;;><5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`10d?=93<1<7>t$951>2ee3-;;4h4=40;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==<=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f72f03;1:7>50z&;37<0kk1/==6j:362=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5ii7)??8d8104?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51107?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;>h:7?56;294~"??;072612.:1=O0?l0b<<>3;3360=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955413`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?:l4;392?6=8r.3;?48cc9'55>b2;>:56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77:>1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=4b195?0=83:p(59=:6aa?!770l098<74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99837d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb36`6?7=>3:19:&24cd=99?97)??b1824d`<,133695G87d8j446;3;;>45f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`10f7=93<1<7>t$951>2ee3-;;4h4=40;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f72d83;1:7>50z&;37<0kk1/==6j:362=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5ii7)??8d8104?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5110`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;>2i7?56;294~"??;072612.:1=O0?l0b<<>3;336a=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019554b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?:6c;392?6=8r.3;?48cc9'55>b2;>:56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77:o1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=48`95?0=83:p(59=:6aa?!770l098<74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=999;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3710g`954`03-;;4h4=51;8L=0a3g;9=>4>0238m=ca2900e9<;:188kg0>2900qo<:2182>6<729q/==hm:9d4?!>0:3nj:6*>09g9606>3A2=j6`>201955553`25;h:;4?6=3fo857>5;|`1171=9391<7>t$02ef?76n>1/==6j:373=>N?>o1e=??<:0207>o?mo0;66g;2583>>ie>00;66sm243;>4<3290;w)??fc8:10=#992n6?;?9:J;2c=i9;;86<><4:k7`6<722c?>94?::k2432=831dn;750;9~f736n3;1?7>50z&24cd=0o=0(59=:ec5?!770l099=74H94e?k759:0:<>;4i95e>5<5<>;4>:283>5}#99li696*>09g9606>3A2=j6`>201955503`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>8?j:080>5<7s-;;jo47f69'<24=lh<0(<>7e;064<=O0?l0b<<>3;337==n0>l1<75f89294?=hm:31<75rb3711?7=;3:10g`954`03-;;4h4=51;8L=0a3g;9=>4>02;8m=ca2900e9<;:188kg0>2900qo<:1782>1<729q/==hm:876?!770l099=74H94e?k759:0:<>o4i5f0>5<5;|`114b=9391<7>t$02ef?>a?2.3;?4ka79'55>b2;?;56F76g9m577428:8n6g77g83>>o?090;66aj3883>>{e:<8?6<4<:183!77nk0:=k94$02;a?42801C4;h4n0027?77;j1b4hh50;9j072=831dn;750;9~f736=3;187>50z&24cd=17e;064<=O0?l0b<<>3;337a=n5<=n4>:283>5}#99li65h8;%:46?bf>2.:<5k5242:?M>1n2d:><=5111f?l>0n3:17d670;29?jc413:17pl=53195?5=83:p(<>ib;32b2=#992n6?;?9:J;2c=i9;;86<>94?::ma2<<722wi>8?;:087>5<7s-;;jo46549'55>b2;?;56F76g9m577428:?<6g;d283>>o3:=0;66g>07694?=hj?31<75rb372f?7=;3:10g`972900ch=6:188yg42:;0:6>4?:1y'55`e28;m;6*>09g9606>3A2=j6`>201955253`2nj7>5;h610?6=3fh=57>5;|`1145=93>1<7>t$02ef??2=2.:<5k5242:?M>1n2d:><=51160?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:<;j6<4<:183!77nk03j:5+8609`d0<,8:3i7<:089K<3`50;9la6?=831vn?;=1;397?6=8r.:5<5<=?4>:583>5}#99li64;:;%33<`<5=930D58i;o3156<68=<0e9j<:188m1432900e<>94;29?jd113:17pl=50;95?5=83:p(<>ib;:e3>"??;0om;5+11:f>73712B3:k5a1330>463?2c3;k4?::k;<5<722en?44?::a601e28086=4?{%33bg<69o=0(<>7e;064<=O0?l0b<<>3;330==n0ll1<75f43694?=hj?31<75rb3740?7=;3:10g`972900ch=6:188yg42?h0:6>4?:1y'55`e28;m;6*>09g9606>3A2=j6`>2019552f3`2nj7>5;h610?6=3fh=57>5;|`113b=93>1<7>t$02ef??2=2.:<5k5242:?M>1n2d:><=5116a?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:<=86<4<:183!77nk03j:5+8609`d0<,8:3i7<:089K<3`50;9la6?=831vn?;89;397?6=8r.:5<5<:n4>:583>5}#99li64;:;%33<`<5=930D58i;o3156<68=o0e9j<:188m1432900e<>94;29?jd113:17pl=56095?5=83:p(<>ib;:e3>"??;0om;5+11:f>73712B3:k5a1330>463n2c3;k4?::k;<5<722en?44?::a601?28086=4?{%33bg<69o=0(<>7e;064<=O0?l0b<<>3;3315=n0ll1<75f43694?=hj?31<75rb375f?7=<3:10g`9=03<,8:3i7<:089K<3`4?:1y'55`e21l<7)682;fb2>"681o1>8>6;I:5b>h6:891==;=;h:4b?6=3`23<7>5;ng0=?6=3th99:951;194?6|,8:mn7?>f69'55>b2;?;56F76g9m577428:>?6g7eg83>>o3:=0;66am6883>>{e:<73712B3:k5a1330>462<2c?h>4?::k761<722c:<;:50;9lf3?=831vn?;80;397?6=8r.:5<47a?2.:<5k5242:?M>1n2d:><=51175?l>bn3:17d:=4;29?jd113:17pl=57;95?2=83:p(<>ib;;61>"681o1>8>6;I:5b>h6:891==;8;h6g7?6=3`>987>5;h3321<722ei:44?::a601228086=4?{%33bg<69o=0(<>7e;064<=O0?l0b<<>3;331==n0ll1<75f43694?=hj?31<75rb3750g`9=03<,8:3i7<:089K<3`l0:684?:1y'55`e21l<7)682;a:f>"681o1>8>6;I:5b>h6:891==;n;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th99;951;194?6|,8:mn7?>f69'55>b2;?;56F76g9m577428:>n6g7eg83>>o3:=0;66am6883>>{e:<<:6<4::183!77nk03j:5+8609g50;9j<=7=831b45<50;9la6?=831vn?;96;397?6=8r.:5<5<9o4>:583>5}#99li64;:;%33<`<5=930D58i;o3156<6894;29?jd113:17pl=57295?3=83:p(<>ib;:e3>"??;0h5o5+11:f>73712B3:k5a1330>462n2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a600228086=4?{%33bg<69o=0(<>7e;064<=O0?l0b<<>3;3325=n0ll1<75f43694?=hj?31<75rb376e?7=<3:10g`9=03<,8:3i7<:089K<3`"681o1>8>6;I:5b>h6:891==8=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th99;:51;194?6|,8:mn7?>f69'55>b2;?;56F76g9m577428:=?6g7eg83>>o3:=0;66am6883>>{e:73712B3:k5a1330>461<2c?h>4?::k761<722c:<;:50;9lf3?=831vn?;:e;391?6=8r.:5<5<47a?2.:<5k5242:?M>1n2d:><=51145?l>bn3:17d:=4;29?jd113:17pl=54:95?2=83:p(<>ib;;61>"681o1>8>6;I:5b>h6:891==88;h6g7?6=3`>987>5;h3321<722ei:44?::a603c280>6=4?{%33bg1/4:<5c628 46?m38><45G87d8j446;3;;:55f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;?=>7?53;294~"68oh1=0:694?:1y'55`e20?>7)??8d8115?<@15<5;n`5=?6=3th998m51;794?6|,8:mn76i7:&;37N?>o1e=??<:025f>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm24;7>4<4290;w)??fc825c1<,8:3i7<:089K<3`5<5<47a?2.:<5k5242:?M>1n2d:><=5114f?l>bn3:17d:=4;29?jd113:17pl=59c95?2=83:p(<>ib;;61>"681o1>8>6;I:5b>h6:891==8i;h6g7?6=3`>987>5;h3321<722ei:44?::a60>b280>6=4?{%33bg1/4:<5c8`8 46?m38><45G87d8j446;3;;;=5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;?2>7?53;294~"68oh1=;0e5ki:188m1432900co86:188yg42000:694?:1y'55`e20?>7)??8d8115?<@15<5;n`5=?6=3th995j51;794?6|,8:mn76i7:&;37N?>o1e=??<:0247>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm24;2>4<4290;w)??fc825c1<,8:3i7<:089K<3`<45G87d8j446;3;;;85f4e194?=n<;>1<75f1147>5<=`03-2<>7m6b:&24=c=:<:27E69f:l2645=99==7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd5=0:1=7=50;2x 46aj3;:j:5+11:f>73712B3:k5a1330>460?2c3ik4?::k761<722ei:44?::a60>0280?6=4?{%33bg<>=<1/==6j:373=>N?>o1e=??<:024<>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;?3n7?55;294~"68oh14k94$951>f?e3-;;4h4=51;8L=0a3g;9=>4>06;8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo<:4b82>6<729q/==hm:03e3>"681o1>8>6;I:5b>h6:891==9n;h:fb?6=3`>987>5;n`5=?6=3th999:51;794?6|,8:mn76i7:&;37"aij09:i=4H94e?k759:0:<:l4i95e>5<5<5<8o4>:283>5}#99li696*>09g9606>3A2=j6`>2019551c3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>8:<:087>5<7s-;;jo47f69'<24=lh?0(<>7e;064<=#nhi1>;j=;I:5b>h6:891==9j;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`117c=9391<7>t$02ef?>a?2.3;?4ka29'55>b2;?;56*iab812a7<@15<47a?2.:<5k5242:?M>1n2d:><=511:3?l>bn3:17d:=4;29?jd113:17pl=52`95?2=83:p(<>ib;;61>"681o1>8>6;I:5b>h6:891==6>;h6g7?6=3`>987>5;h3321<722ei:44?::a6025280?6=4?{%33bg1/4:<5d`78 46?m38><45+f`a963b63A2=j6`>201955>53`25;h:;4?6=3`23=7>5;ng0=?6=3th99?j51;194?6|,8:mn76i7:&;37"aij09:i>4H94e?k759:0:<5=4i95e>5<5<844>:283>5}#99li696*>09g9606>3A2=j6`>201955>23`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>8:>:087>5<7s-;;jo47f69'<24=lh?0(<>7e;064<=#nhi1>;j?;I:5b>h6:891==69;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`117e=9391<7>t$02ef?>a?2.3;?4ka29'55>b2;?;56*iab812f`<@15<47a?2.:<5k5242:?M>1n2d:><=511:;?l>bn3:17d:=4;29?jd113:17pl=52;95?2=83:p(<>ib;;61>"681o1>8>6;I:5b>h6:891==66;h6g7?6=3`>987>5;h3321<722ei:44?::a6027280?6=4?{%33bg1/4:<5d`78 46?m38><45+f`a963ea3A2=j6`>201955>f3`25;h:;4?6=3`23=7>5;ng0=?6=3th99?l51;194?6|,8:mn76i7:&;37"aij09:nk4H94e?k759:0:<5l4i95e>5<5<8:4>:283>5}#99li696*>09g9606>3A2=j6`>201955>c3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>8=i:087>5<7s-;;jo47f69'<24=lh?0(<>7e;064<=#nhi1>;mj;I:5b>h6:891==6j;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`117g=9391<7>t$02ef?>a?2.3;?4ka29'55>b2;?;56*iab812fb<@15<47a?2.:<5k5242:?M>1n2d:><=511;3?l>bn3:17d:=4;29?jd113:17pl=52595?2=83:p(<>ib;;61>"681o1>8>6;I:5b>h6:891==7>;h6g7?6=3`>987>5;h3321<722ei:44?::a605b280?6=4?{%33bg1/4:<5d`78 46?m38><45+f`a963ec3A2=j6`>201955?53`25;h:;4?6=3`23=7>5;ng0=?6=3th99?751;194?6|,8:mn76i7:&;37N?>o1e=??<:02:7>o??o0;66g78183>>ib;00;66sm2466>4<4290;w)??fc825c1<,8:3i7<:089K<3`<45G87d8j446;3;;585f4e194?=n<;>1<75f1147>5<=`03-2<>7jn7:&24=c=:<:27E69f:l2645=993=7d68f;29?l>?83:17bk<9;29?xd5?;91=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511;4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;=9>7?56;294~"??;073712.:1=O0?l0b<<>3;33===n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955?>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?9=0;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?771h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=70d95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=993i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb352a?7=>3:15<5;|`134b=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==7k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f716k3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>=>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511;e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;73712.:1=O0?l0b<<>3;33e5=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955g63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8;1;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77i;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=5ea95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99k87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb37gf?7=>3:15<5;|`11ag=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==o:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f73c13;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>hi1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511c4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;73712.:1=O0?l0b<<>3;33e==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955g>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8n9;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77ih1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=5e695?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99ki7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb37g7?7=>3:15<5;|`11a4=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==ok;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f73c93;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5=m:1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511ce?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;?hj7?56;294~"??;073712.:1=O0?l0b<<>3;33f5=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955d63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?;ld;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77j;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=50395?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99h87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3724?7=>3:15<5;|`115`=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==l:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f737m3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>ji1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511`4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;73712.:1=O0?l0b<<>3;33f==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955d>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8l9;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77jh1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=6b:95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99hi7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb34`3?7=>3:15<5;|`12f0=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==lk;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f70d=3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>>>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511`e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;<73712.:1=O0?l0b<<>3;33g5=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955e63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?881;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77k;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=66295?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99i87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb345b?7=>3:15<5;|`123c=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==m:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f701l3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>1>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511a4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;<3?7?56;294~"??;073712.:1=O0?l0b<<>3;33g==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955e>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?871;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77kh1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=69295?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99ii7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb344b?7=>3:15<5;|`122c=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==mk;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f700l3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>ki1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511ae?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;73712.:1=O0?l0b<<>3;33`5=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955b63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8m9;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77l;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=6c:95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99n87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb34a3?7=>3:15<5;|`12g0=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==j:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f70e=3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>h>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511f4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;73712.:1=O0?l0b<<>3;33`==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955b>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8n1;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77lh1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=6`295?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99ni7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb34:b?7=>3:15<5;|`12t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==jk;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f70>l3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>?>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511fe?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;<=?7?56;294~"??;073712.:1=O0?l0b<<>3;33a5=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955c63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?891;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77m;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=67295?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99o87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb346b?7=>3:15<5;|`120c=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==k:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f702l3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>0>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511g4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;<2?7?56;294~"??;073712.:1=O0?l0b<<>3;33a==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955c>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?861;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77mh1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=68295?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99oi7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb34;b?7=>3:15<5;|`12=c=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==kk;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f70?l3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>9i1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511ge?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;<;n7?56;294~"??;073712.:1=O0?l0b<<>3;33b5=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955`63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8?9;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77n;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=61:95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99l87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3433?7=>3:15<5;|`1250=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==h:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f707=3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>8i1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511d4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;<:n7?56;294~"??;073712.:1=O0?l0b<<>3;33b==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201955`>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8>9;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?77nh1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=60:95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=99li7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3423?7=>3:15<5;|`1240=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891==hk;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f706=3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5>;i1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=511de?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;<9n7?56;294~"??;073712.:1=O0?l0b<<>3;3245=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201954663`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8=9;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?768;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=63:95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98:87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3413?7=>3:15<5;|`1270=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=<>:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f705=3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`079j0=1=831b8?650;9j020=831b==<3;29?xd5>:i1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51024?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;<8n7?56;294~"??;073712.:1=O0?l0b<<>3;324==n<1=1<75f43:94?=n<><1<75f110b>5<o51;494?6|,1=96:mm;%33<`<5=930(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019546>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8<9;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?768h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=62:95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98:i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3403?7=>3:15<5;|`1260=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=<>k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f704=3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`0d9j0=1=831b8?650;9j020=831b==<3;29?xd5><>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5102e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;<>?7?56;294~"??;073712.:1=O0?l0b<<>3;3255=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201954763`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?8:1;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?769;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=64295?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98;87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb347b?7=>3:15<5;|`121c=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f703l3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`179j0=1=831b8?650;9j020=831b==<3;29?xd5=oi1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51034?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;?mn7?56;294~"??;073712.:1=O0?l0b<<>3;325==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019547>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?;i9;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?769h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=5g:95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98;i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb37e3?7=>3:15<5;|`11c0=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f73a=3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`1d9j0=1=831b8?650;9j020=831b==<3;29?xd5=h>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5103e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;?j?7?56;294~"??;073712.:1=O0?l0b<<>3;3265=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201954463`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?;n1;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?76:;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=5`295?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98887d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb37:b?7=>3:195f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`11t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=<<:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f73>l3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`279j0=1=831b8?650;9j020=831b==<3;29?xd5=li1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51004?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;?nn7?56;294~"??;073712.:1=O0?l0b<<>3;326==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019544>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?;j9;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?76:h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=5d:95?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=988i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb37f3?7=>3:1n5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`11`0=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=<947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f73b=3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`2d9j0=1=831b8?650;9j020=831b==<3;29?xd5=j>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5100e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;?h?7?56;294~"??;073712.:1=O0?l0b<<>3;3275=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201954563`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?;l1;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?76;;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=5b295?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98987d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb37ab?7=>3:15<5;|`11gc=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=<=:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f73el3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`379j0=1=831b8?650;9j020=831b==<3;29?xd5=k>1=7850;2x =152>ii7)??8d8115?<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51014?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;?i?7?56;294~"??;073712.:1=O0?l0b<<>3;327==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019545>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?;m1;392?6=8r.3;?48cc9'55>b2;?;56*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?76;h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=5c295?0=83:p(59=:6aa?!770l099=74$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=989i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb37bb?7=>3:15<5;|`11dc=93<1<7>t$951>2ee3-;;4h4=51;8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=<=k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f73fl3;1:7>50z&;37<0kk1/==6j:373=>"68oh1==;=;%33f5<68hl0(577:59K<3`3d9j0=1=831b8?650;9j020=831b==<3;29?xd5nmk1=7850;2x =152>i>7)??8d81a3><,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>12d8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:oni6<49:183!>0:3=h96*>09g96`0?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=51063?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;loo7?56;294~"??;07c102.:N?>o1e=??<:0375>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?4b>11/==hm:0266>"68k:1?1=O0?l0b<<>3;3207=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>kji:085>5<7s-2<>79l5:&24=c=:l<37)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201954233`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?hk8;392?6=8r.3;?48c49'55>b2;o=46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>473=2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38n:55+11da>462:2.:53038 =??2=1C4;h4n0027?76=831b8:850;9j554f2900e9?n:188k464;3:17pl=fbg95?5=83:p(<>ib;32b2=#992n6?k98:J;2c=i9;;8694?::ma2<<722wi>kmk:080>5<7s-;;jo4>1g58 46?m38n:55G87d8j446;3;:855f8dd94?=n<;>1<75`b7;94?=zj;ljm7?54;294~"68oh158;4$02;a?4b>11C4;h4n0027?76<01b8i=50;9j072=831b==8;:188kg0>2900qo6<729q/==hm:03e3>"681o1>h87;I:5b>h6:891=<:n;h:fb?6=3`>987>5;n`5=?6=3th9jl751;694?6|,8:mn77:5:&24=c=:l<37E69f:l2645=98>i7d:k3;29?l25<3:17d??6583>>ie>00;66sm2gaa>4<4290;w)??fc825c1<,8:3i74b9j<``=831b8?:50;9lf3?=831vn?hn8;390?6=8r.:1<75f1147>5<47a?2.:<5k52d4;?M>1n2d:><=5106f?l>bn3:17d:=4;29?jd113:17pl=f`595?2=83:p(<>ib;;61>"681o1>h87;I:5b>h6:891=<:i;h6g7?6=3`>987>5;h3321<722ei:44?::a6ce>28086=4?{%33bg<69o=0(<>7e;0f2==O0?l0b<<>3;3215=n0ll1<75f43694?=hj?31<75rb3db2?7=<3:10g`9=03<,8:3i7509j0a5=831b8?:50;9j55032900co86:188yg4ak10:6>4?:1y'55`e28;m;6*>09g96`0?3A2=j6`>201954353`2nj7>5;h610?6=3fh=57>5;|`1bd3=93>1<7>t$02ef??2=2.:<5k52d4;?M>1n2d:><=51070?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:oi<6<4<:183!77nk0:=k94$02;a?4b>11C4;h4n0027?76==1b4hh50;9j072=831dn;750;9~f7`f<3;187>50z&24cd=17e;0f2==O0?l0b<<>3;3210=n5<:283>5}#99li696*>09g96`0?3A2=j6`>201954303`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>kj9:080>5<7s-;;jo4>1g58 46?m38n:55G87d8j446;3;:955f8dd94?=n<;>1<75`b7;94?=zj;li>7?54;294~"68oh158;4$02;a?4b>11C4;h4n0027?76=01b8i=50;9j072=831b==8;:188kg0>2900qo6<729q/==hm:03e3>"681o1>h87;I:5b>h6:891=<;n;h:fb?6=3`>987>5;n`5=?6=3th9jo?51;694?6|,8:mn77:5:&24=c=:l<37E69f:l2645=98?i7d:k3;29?l25<3:17d??6583>>ie>00;66sm2gf7>4<4290;w)??fc825c1<,8:3i75b9j<``=831b8?:50;9lf3?=831vn?hm0;390?6=8r.:1<75f1147>5<47a?2.:<5k52d4;?M>1n2d:><=5107f?l>bn3:17d:=4;29?jd113:17pl=f`d95?2=83:p(<>ib;;61>"681o1>h87;I:5b>h6:891=<;i;h6g7?6=3`>987>5;h3321<722ei:44?::a6cb528086=4?{%33bg<69o=0(<>7e;0f2==O0?l0b<<>3;3225=n0ll1<75f43694?=hj?31<75rb3dba?7=<3:10g`9=03<,8:3i7609j0a5=831b8?:50;9j55032900co86:188yg4al80:6>4?:1y'55`e28;m;6*>09g96`0?3A2=j6`>201954053`2nj7>5;h610?6=3fh=57>5;|`1bdb=93>1<7>t$02ef??2=2.:<5k52d4;?M>1n2d:><=51040?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:on;6<4<:183!77nk0:=k94$02;a?4b>11C4;h4n0027?76>=1b4hh50;9j072=831dn;750;9~f7`fk3;187>50z&24cd=17e;0f2==O0?l0b<<>3;3220=n5<:283>5}#99li696*>09g96`0?3A2=j6`>201954003`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>km9:080>5<7s-;;jo4>1g58 46?m38n:55G87d8j446;3;::55f8dd94?=n<;>1<75`b7;94?=zj;lj?7?54;294~"68oh158;4$02;a?4b>11C4;h4n0027?76>01b8i=50;9j072=831b==8;:188kg0>2900qo6<729q/==hm:03e3>"681o1>h87;I:5b>h6:891=<8n;h:fb?6=3`>987>5;n`5=?6=3th9j4651;194?6|,8:mn7?>f69'55>b2;o=46F76g9m577428;=n6g7eg83>>o3:=0;66am6883>>{e:o>96<4;:183!77nk02985+11:f>7c102B3:k5a1330>471k2c?h>4?::k761<722c:<;:50;9lf3?=831vn?h67;397?6=8r.:5<5<:583>5}#99li64;:;%33<`<5m?20D58i;o3156<69?o0e9j<:188m1432900e<>94;29?jd113:17pl=f8495?5=83:p(<>ib;32b2=#992n6?k98:J;2c=i9;;8694?::ma2<<722wi>k:?:087>5<7s-;;jo46549'55>b2;o=46F76g9m577428;<<6g;d283>>o3:=0;66g>07694?=hj?31<75rb3d:1?7=;3:10g`954`03-;;4h4=e7:8L=0a3g;9=>4>1638m=ca2900e9<;:188kg0>2900qo1<729q/==hm:876?!770l09i;64H94e?k759:0:=:<4i5f0>5<5;|`1b<2=9391<7>t$02ef?76n>1/==6j:3g5<>N?>o1e=??<:0347>o?mo0;66g;2583>>ie>00;66sm2g1f>4<3290;w)??fc8:10=#992n6?k98:J;2c=i9;;8694?::k2432=831dn;750;9~f7`>;3;1?7>50z&24cd=98l<7)??8d81a3><@15<<323-;;4h4=e7:8L=0a3g;9=>4>1648m1b42900e9<;:188m461<3:17bl99;29?xd5n081=7=50;2x 46aj3;:j:5+11:f>7c102B3:k5a1330>470?2c3ik4?::k761<722ei:44?::a6c5d280?6=4?{%33bg<>=<1/==6j:3g5<>N?>o1e=??<:034<>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;lj>7?53;294~"68oh1=30e5ki:188m1432900co86:188yg4a7)??8d81a3><@15<5;n`5=?6=3th9jl?51;194?6|,8:mn7?>f69'55>b2;o=46F76g9m577428;>o3:=0;66am6883>>{e:o>j6<4;:183!77nk02985+11:f>7c102B3:k5a1330>470k2c?h>4?::k761<722c:<;:50;9lf3?=831vn?hn0;397?6=8r.:5<5<:583>5}#99li64;:;%33<`<5m?20D58i;o3156<69>o0e9j<:188m1432900e<>94;29?jd113:17pl=f8d95?5=83:p(<>ib;32b2=#992n6?k98:J;2c=i9;;8694?::ma2<<722wi>k:7:087>5<7s-;;jo46549'55>b2;o=46F76g9m577428;3<6g;d283>>o3:=0;66g>07694?=hj?31<75rb3d:a?7=;3:10g`954`03-;;4h4=e7:8L=0a3g;9=>4>1938m=ca2900e9<;:188kg0>2900qo1<729q/==hm:876?!770l09i;64H94e?k759:0:=5<4i5f0>5<5;|`1bt$02ef?76n>1/==6j:3g5<>N?>o1e=??<:03;7>o?mo0;66g;2583>>ie>00;66sm2g65>4<3290;w)??fc8:10=#992n6?k98:J;2c=i9;;8694?::k2432=831dn;750;9~f7`>k3;1?7>50z&24cd=98l<7)??8d81a3><@15<<323-;;4h4=e7:8L=0a3g;9=>4>1948m1b42900e9<;:188m461<3:17bl99;29?xd5n0h1=7=50;2x 46aj3;:j:5+11:f>7c102B3:k5a1330>47??2c3ik4?::k761<722ei:44?::a6c23280?6=4?{%33bg<>=<1/==6j:3g5<>N?>o1e=??<:03;<>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;l2m7?53;294~"68oh1=7)??8d81a3><@15<5;n`5=?6=3th9j4?51;194?6|,8:mn7?>f69'55>b2;o=46F76g9m577428;3n6g7eg83>>o3:=0;66am6883>>{e:o9j6<4;:183!77nk02985+11:f>7c102B3:k5a1330>47?k2c?h>4?::k761<722c:<;:50;9lf3?=831vn?h=0;397?6=8r.:5<5<:283>5}#99li696*>09g96`0?3A2=j6`>201954>a3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>k?j:080>5<7s-;;jo4>1g58 46?m38n:55G87d8j446;3;:5=5f8dd94?=n<;>1<75`b7;94?=zj;om97?54;294~"68oh158;4$02;a?4b>11C4;h4n0027?76181b8i=50;9j072=831b==8;:188kg0>2900qo6<729q/==hm:03e3>"681o1>h87;I:5b>h6:891=<7=;h:fb?6=3`>987>5;n`5=?6=3th9ik=51;694?6|,8:mn77:5:&24=c=:l<37E69f:l2645=98387d:k3;29?l25<3:17d??6583>>ie>00;66sm2g3a>4<4290;w)??fc825c1<,8:3i7959j<``=831b8?:50;9lf3?=831vn?ki0;390?6=8r.:1<75f1147>5<47a?2.:<5k52d4;?M>1n2d:><=510;5?l>bn3:17d:=4;29?jd113:17pl=edg95?2=83:p(<>ib;;61>"681o1>h87;I:5b>h6:891=<78;h6g7?6=3`>987>5;h3321<722ei:44?::a6c7>28086=4?{%33bg<69o=0(<>7e;0f2==O0?l0b<<>3;32===n0ll1<75f43694?=hj?31<75rb3gfg?7=<3:10g`9=03<,8:3i7989j0a5=831b8?:50;9j55032900co86:188yg4a910:6>4?:1y'55`e28;m;6*>09g96`0?3A2=j6`>201954?f3`2nj7>5;h610?6=3fh=57>5;|`1a`g=93>1<7>t$02ef??2=2.:<5k52d4;?M>1n2d:><=510;a?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:o;<6<4<:183!77nk0:=k94$02;a?4b>11C4;h4n0027?761j1b4hh50;9j072=831dn;750;9~f7cb13;187>50z&24cd=17e;0f2==O0?l0b<<>3;32=a=n5<:283>5}#99li65h8;%:46?bf>2.:<5k52d4;?M>1n2d:><=510;f?l>0n3:17d670;29?jc413:17pl=f0495?5=83:p(<>ib;32b2=#992n6?k98:J;2c=i9;;8694?::ma2<<722wi>hk7:087>5<7s-;;jo46549'55>b2;o=46F76g9m577428;j<6g;d283>>o3:=0;66g>07694?=hj?31<75rb3d32?7=;3:10g`972900ch=6:188yg4a9<0:6>4?:1y'55`e28;m;6*>09g96`0?3A2=j6`>201954g53`2nj7>5;h610?6=3fh=57>5;|`1a`1=93>1<7>t$02ef??2=2.:<5k52d4;?M>1n2d:><=510c0?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:o:>6<4<:183!77nk03j:5+8609`d0<,8:3i7a59j<2`=831b45>50;9la6?=831vn?h>4;397?6=8r.:5<5<:583>5}#99li64;:;%33<`<5m?20D58i;o3156<69h<0e9j<:188m1432900e<>94;29?jd113:17pl=f1695?5=83:p(<>ib;:e3>"??;0om;5+11:f>7c102B3:k5a1330>47f?2c3;k4?::k;<5<722en?44?::a6c7428086=4?{%33bg<69o=0(<>7e;0f2==O0?l0b<<>3;32e==n0ll1<75f43694?=hj?31<75rb3gf1?7=<3:10g`9=03<,8:3i7a89j0a5=831b8?:50;9j55032900co86:188yg4a8:0:6>4?:1y'55`e21l<7)682;fb2>"681o1>h87;I:5b>h6:891=5;ng0=?6=3th9j<<51;194?6|,8:mn7?>f69'55>b2;o=46F76g9m577428;jn6g7eg83>>o3:=0;66am6883>>{e:lo?6<4;:183!77nk02985+11:f>7c102B3:k5a1330>47fk2c?h>4?::k761<722c:<;:50;9lf3?=831vn?h?2;397?6=8r.:<@15<47a?2.:<5k52d4;?M>1n2d:><=510cf?l>bn3:17d:=4;29?jd113:17pl=f1295?2=83:p(<>ib;;61>"681o1>h87;I:5b>h6:891=987>5;h3321<722ei:44?::a6c7728086=4?{%33bg1/4:<5d`48 46?m38n:55G87d8j446;3;:n=5f86d94?=n01:1<75`e2;94?=zj;l947?53;294~"68oh1=7)??8d81a3><@15<5;n`5=?6=3th9j=h51;194?6|,8:mn76i7:&;37N?>o1e=??<:03a7>o??o0;66g78183>>ib;00;66sm2g04>4<4290;w)??fc825c1<,8:3i7b59j<``=831b8?:50;9lf3?=831vn?kie;390?6=8r.:1<75f1147>5<=`03-2<>7jn6:&24=c=:l<37E69f:l2645=98h=7d68f;29?l>?83:17bk<9;29?xd5n;<1=7=50;2x 46aj3;:j:5+11:f>7c102B3:k5a1330>47e?2c3ik4?::k761<722ei:44?::a6``c280?6=4?{%33bg<>=<1/==6j:3g5<>N?>o1e=??<:03a<>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;l;h7?53;294~"68oh14k94$951>ag13-;;4h4=e7:8L=0a3g;9=>4>1c;8m=1a2900e56?:188k`5>2900qo6<729q/==hm:03e3>"681o1>h87;I:5b>h6:891=987>5;n`5=?6=3th9ikm51;694?6|,8:mn77:5:&24=c=:l<37E69f:l2645=98hi7d:k3;29?l25<3:17d??6583>>ie>00;66sm2g2`>4<4290;w)??fc8;b2=#0>81hl84$02;a?4b>11C4;h4n0027?76jj1b4:h50;9j<=6=831di>750;9~f7`5<3;1?7>50z&24cd=98l<7)??8d81a3><@15<<323-;;4h4=e7:8L=0a3g;9=>4>1cg8m1b42900e9<;:188m461<3:17bl99;29?xd5n9h1=7=50;2x 46aj32m;6*7738ge3=#992n6?k98:J;2c=i9;;86k<<:080>5<7s-;;jo4>1g58 46?m38n:55G87d8j446;3;:o=5f8dd94?=n<;>1<75`b7;94?=zj;omm7?54;294~"68oh158;4$02;a?4b>11C4;h4n0027?76k81b8i=50;9j072=831b==8;:188kg0>2900qo6<729q/==hm:9d4?!>0:3nj:6*>09g96`0?3A2=j6`>201954e53`25;h:;4?6=3fo857>5;|`1b74=9391<7>t$02ef?76n>1/==6j:3g5<>N?>o1e=??<:03`7>o?mo0;66g;2583>>ie>00;66sm2dd:>4<3290;w)??fc8:10=#992n6?k98:J;2c=i9;;8694?::k2432=831dn;750;9~f7`713;1?7>50z&24cd=0o=0(59=:ec5?!770l09i;64H94e?k759:0:=n;4i95e>5<5<:283>5}#99li696*>09g96`0?3A2=j6`>201954e03`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>k>7:080>5<7s-;;jo47f69'<24=lh<0(<>7e;0f2==O0?l0b<<>3;32g==n0>l1<75f89294?=hm:31<75rb3d25?7=;3:10g`954`03-;;4h4=e7:8L=0a3g;9=>4>1b;8m=ca2900e9<;:188kg0>2900qo1<729q/==hm:876?!770l09i;64H94e?k759:0:=no4i5f0>5<5;|`1b57=9391<7>t$02ef?>a?2.3;?4ka79'55>b2;o=46F76g9m577428;hn6g77g83>>o?090;66aj3883>>{e:o8n6<4;:183!77nk02985+11:f>7c102B3:k5a1330>47dk2c?h>4?::k761<722c:<;:50;9lf3?=831vn?h<4;391?6=8r.:<,okh6>>n6:J;2c=i9;;86k=6:086>5<7s-;;jo47f69'<24=k?=0(<>7e;0f2==#nhi1?=o9;I:5b>h6:891=5;h:;5?6=3`23>7>5;ng0=?6=3th9j?j51;694?6|,8:mn77:5:&24=c=:l<37E69f:l2645=98im7d:k3;29?l25<3:17d??6583>>ie>00;66sm2g10>4<2290;w)??fc8;b2=#0>81n4m4$02;a?4b>11/jlm531c6?M>1n2d:><=510f3?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=f2:95?3=83:p(<>ib;:e3>"??;0h::5+11:f>7c102.mmn4<0`78L=0a3g;9=>4>1e38m=1a2900e56?:188m=>62900e56=:188k`5>2900qo1<729q/==hm:876?!770l09i;64H94e?k759:0:=i<4i5f0>5<5;|`1b64=93?1<7>t$02ef?>a?2.3;?4m9b9'55>b2;o=46*iab804d2<@15<5<=`03-2<>7m97:&24=c=:l<37)hnc;13e1=O0?l0b<<>3;32`1=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3d1f?7=<3:10g`9=03<,8:3i7d49j0a5=831b8?:50;9j55032900co86:188yg4a;80:684?:1y'55`e21l<7)682;`:g>"681o1>h87;%dbg?57i:1C4;h4n0027?76l?1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7`4>3;197>50z&24cd=0o=0(59=:b44?!770l09i;64$gc`>66f;2B3:k5a1330>47c?2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a6c4f280?6=4?{%33bg<>=<1/==6j:3g5<>N?>o1e=??<:03g<>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;l8<7?55;294~"68oh14k94$951>g?d3-;;4h4=e7:8 cgd2::j>6F76g9m577428;o56g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:o9>6<4::183!77nk03j:5+8609g31<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd491k1=7850;2x =152>ii7)??8d81a3><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=510fa?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:;357?56;294~"??;07c102.:1=O0?l0b<<>3;32`f=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201954bc3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>?77;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?76ll1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<19495?0=83:p(59=:6aa?!770l09i;64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98nm7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb23;1?7=>3:15<5;|`05=2=93<1<7>t$951>2ee3-;;4h4=e7:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f67?;3;1:7>50z&;37<0kk1/==6j:3g5<>"68oh1==;=;%33f5<68hl0(577:59K<3`e39j0=1=831b8?650;9j020=831b==<3;29?xd49081=7850;2x =152>ii7)??8d81a3><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=510g0?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:;2=7?56;294~"??;07c102.:1=O0?l0b<<>3;32a1=n<1=1<75f43:94?=n<><1<75f110b>5<51;494?6|,1=96:mm;%33<`<5m?20(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201954c23`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>?7f;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?76m?1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<19g95?0=83:p(59=:6aa?!770l09i;64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98o<7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb23;`?7=>3:15<5;|`05=e=93<1<7>t$951>2ee3-;;4h4=e7:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f67?j3;1:7>50z&;37<0kk1/==6j:3g5<>"68oh1==;=;%33f5<68hl0(577:59K<3`e`9j0=1=831b8?650;9j020=831b==<3;29?xd49181=7850;2x =152>ii7)??8d81a3><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=510ga?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:;3=7?56;294~"??;07c102.:1=O0?l0b<<>3;32af=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201954cc3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>>k6;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?76ml1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<0e795?0=83:p(59=:6aa?!770l09i;64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98om7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb22g0?7=>3:15<5;|`04a4=93<1<7>t$951>2ee3-;;4h4=e7:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f66c93;1:7>50z&;37<0kk1/==6j:3g5<>"68oh1==;=;%33f5<68hl0(577:59K<3`f39j0=1=831b8?650;9j020=831b==<3;29?xd48m:1=7850;2x =152>ii7)??8d81a3><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=510d0?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj::hj7?56;294~"??;07c102.:1=O0?l0b<<>3;32b1=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201954`23`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>>ld;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?76n?1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<0ba95?0=83:p(59=:6aa?!770l09i;64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98l<7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb22`f?7=>3:15<5;|`04fg=93<1<7>t$951>2ee3-;;4h4=e7:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f66d13;1:7>50z&;37<0kk1/==6j:3g5<>"68oh1==;=;%33f5<68hl0(577:59K<3`f`9j0=1=831b8?650;9j020=831b==<3;29?xd48mo1=7850;2x =152>ii7)??8d81a3><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=510da?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj::oh7?56;294~"??;07c102.:1=O0?l0b<<>3;32bf=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201954`c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>>kb;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?76nl1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<0ec95?0=83:p(59=:6aa?!770l09i;64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=98lm7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb22g=?7=>3:15<5;|`04a>=93<1<7>t$951>2ee3-;;4h4=e7:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=?>>;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f66c;3;1:7>50z&;37<0kk1/==6j:3g5<>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd48j21=7850;2x =152>ii7)??8d81a3><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51320?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj::h;7?56;294~"??;07c102.:1=O0?l0b<<>3;3141=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201957623`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>?=7;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?758?1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<13495?0=83:p(59=:6aa?!770l09i;64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9;:<7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2311?7=>3:15<5;|`0572=93<1<7>t$951>2ee3-;;4h4=e7:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=?>6;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f675;3;1:7>50z&;37<0kk1/==6j:3g5<>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd49;81=7850;2x =152>ii7)??8d81a3><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5132a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:;9=7?56;294~"??;07c102.:1=O0?l0b<<>3;314f=n<1=1<75f43:94?=n<><1<75f110b>5<>51;494?6|,1=96:mm;%33<`<5m?20(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019576c3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>?=f;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?758l1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<13g95?0=83:p(59=:6aa?!770l09i;64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9;:m7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb231`?7=>3:15<5;|`057e=93<1<7>t$951>2ee3-;;4h4=e7:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=??>;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f675j3;1:7>50z&;37<0kk1/==6j:3g5<>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd49;k1=7850;2x =152>ii7)??8d81a3><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51330?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:;957?56;294~"??;07c102.:1=O0?l0b<<>3;3151=n<1=1<75f43:94?=n<><1<75f110b>5<51;494?6|,1=96:mm;%33<`<5m?20(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201957723`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>?>f;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?759?1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<0d795?0=83:p(59=:6a6?!770l09i;64$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6:8=0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm31gb>4<1290;w)682;5`1>"681o1>h87;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9;;37d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb22gb?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0ea5<628?j6=4?{%33bg<0>j1/==6j:3g5<>h5:90;7c<=a;28j673281e?4n872>5=im:<1<6`>03a94>h38m0;7c:>1;28 6g62"68\4i90iw?1j?4i1;340?70>3l86k>51469yk759:0:>5<47>5;h331<<722c?>=4?::k240g=831b8?<50;9j04b=831b==;l:188m462j3:17d:=8;29?l77=?0;66g;2083>>o39j0;66g;0b83>>o3::0;66g;1c83>>o685<5<#nh21?>=4ngc4>4=5<#nh21?>=4ngc4>6=5<#nh21?>=4ngc4>0=5<#nh21?>=4ngc4>2=5<#nh21?>=4ngc4><=5<#nh21?>=4ngc4>g=5<#nh21?>=4ngc4>a=5<#nh21?>=4ngc4>c=6=4+f`:97654;h127?6=,ok36>=<;odb3?7632cm4?::kfb7<722cnj<4?::kfb5<722cnik4?::kfa`<722cnin4?::kfag<722cnil4?::kfa<<722cni54?::kfa2<722cni;4?::kfa0<722cni94?::kfa6<722cm==4?::ke4c<722cm32c8o84?:%db4?:%db0:9j7gc=83.mm54;039mbd1=9810e>ll:18'bd>=<980bko8:008?l5ej3:1(ko7:521?k`f?3;876g!`f03>;>6`ia6820>=n;k31<7*ia98747=inh=1=854i2`;>5<#nh218=<4ngc4>40<3`9i;7>5$gc;>1653glj;7?8;:k0f3<72-lj47:?2:lee2<6021b?o;50;&ee=<38;1ejl951898m6d3290/jl654108jcg028k07d=m3;29 cg?2=:97chn7;3a?>o4j80;6)hn8;636>hai>0:o65f3c294?"ai10?=;odb3?7a32c8mi4?:%dbom:18'bd>=<980bko8:308?l5fi3:1(ko7:521?k`f?38876g!`f03>;>6`ia6810>=n;h21<7*ia98747=inh=1>854i2f;>5<#nh218=<4ngc4>70<3`9o;7>5$gc;>1653glj;7<8;:k0`3<72-lj47:?2:lee2<5021b?i:50;&ee=<38;1ejl952898m6b5290/jl654108jcg02;k07d=l8;29 cg?2=:97chn7;0a?>o4jm0;6)hn8;636>hai>09o65f3c094?"ai10?=;odb3?4a32cn>n4?:%dbl4?:%db54?:%db;4?:%db32c?5i4?:%db0:9j0=>=83.mm54;ac9mbd1=9810e?<6:18'bd>=:<80bko8:198m74?290/jl652408jcg02810e?<8:18'bd>=:<80bko8:398m741290/jl652408jcg02:10e?<::18'bd>=:<80bko8:598m743290/jl652408jcg02<10e?<<:18'bd>=:<80bko8:798m745290/jl652408jcg02>10e?:7:18'bd>=:<80bko8:998m75d290/jl652408jcg02010e?=m:18'bd>=:<80bko8:`98m75?290/jl652408jcg02k10e?=9:18'bd>=:<80bko8:b98m752290/jl652408jcg02m10e?=;:18'bd>=:<80bko8:d98m74e290/jl652408jcg02o10e?<>:18'bd>=:<80bko8:028?l46m3:1(ko7:371?k`f?3;:76g<5783>>o4=<0;66g<5583>>o4=:0;66g<5383>>o4=80;66g<5183>>o4>o4=m0;66g<5b83>>o4=k0;66g<5`83>>o4=00;66g<5983>>o4=>0;66g<4d83>>o4>i38o0;66a<7b83>>i4?k0;66a<7`83>>i4?00;66a<7983>>i4?>0;66a<7783>>i4?<0;66a<8583>>i40:0;66a<8383>>i4080;66a<8183>>i4?o0;66a<7d83>>i4?m0;66a<7583>>i4?:0;66aj8283>>ib0;0;66aj8083>>ib090;66aj7g83>>ib?l0;66aj7e83>>ib?j0;66aj7`83>>ib?00;66aj7983>>ib?>0;66aj7783>>ib?<0;66aj7583>>ib?:0;66aj7383>>ib?80;66aj6g83>>ib>l0;66aj6e83>>ib>j0;66aj6c83>>ib>h0;66aj6883>>ib>10;66aj6683>>ib>?0;66aj6583>>ib>:0;66aj6383>>ib>80;66aj6183>>ib=h0;66aj5383>>ib>ib;o0;66aja483>>ib1j0;66aj9783>>ib0m0;66aj8483>>ib?k0;66aj7183>>ib><0;66aj3d83>>ib;m0;66ai6e83>>ia>j0;66ai6c83>>ia>h0;66ai6883>>ia>10;66ai6683>>ia>?0;66ai6583>>ia>:0;66ai6383>>ia>80;66ai6183>>ia=o0;66ai5d83>>ia=m0;66ai5b83>>ia=k0;66ai5883>>ia=10;66ai5683>>ia=?0;66ai5483>>ia==0;66ai5283>>ia=;0;66ai5083>>ia=90;66ai4d83>>ia>ia>ia<00;66ai4983>>ia<>0;66ai4783>>ia<<0;66ai7383>>ia?80;66ai7183>>ia>o0;66ai6d83>>ia><0;66ai5`83>>ia>ia<:0;66a61e83>>i>9j0;66a61c83>>i>9h0;66a61883>>i>910;66a61783>>i>9<0;66a61583>>i>9:0;66a61383>>i>980;66a61183>>i>8o0;66a60d83>>i>8m0;66a60c83>>i>8h0;66a60883>>i>810;66a60683>!`f033?<6`ia6820>=h19<1<7*ia98:05=inh=1=854o826>5<#nh2159>4ngc4>40<3f3;87>5$gc;><273glj;7?8;:m:46<72-lj477;0:lee2<6021d5=<50;&ee=<><91ejl951898k<44290/jl659528jcg028k07b7=2;29 cg?20>;7chn7;3a?>i>:80;66a62183>>i>9o0;66a61d83>>i>9>0;66a60b83>>i>880;66a60183>>{e;;;86<49:183!>0:3=h96*>09g96`0?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5133a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:8:>7?56;294~"??;07c102.:N?>o1e=??<:002g>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?4b>11/==hm:0266>"68k:1?1=O0?l0b<<>3;315a=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi???6:085>5<7s-2<>79l5:&24=c=:l<37)??fc82404<,8:i<7=>1:&;==<33A2=j6`>2019577a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn><>8;392?6=8r.3;?48c49'55>b2;o=46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>44582c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38n:55+11da>462:2.:53038 =??2=1C4;h4n0027?75:81b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<20495?0=83:p(59=:6a6?!770l09i;64$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6:;80e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3336>4<1290;w)682;5`1>"681o1>h87;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9;887d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2020?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1154<62?0;6=u+86093f3<,8:3i7>6*>0c29747<,133695G87d8j446;3;9>85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0646=93<1<7>t$951>2e23-;;4h4=e7:8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:>?84i5:4>5<5<5;h62e?6=3f;;?>4?::a6`be280=6=4?{%:46?1d=2.:<5k52d4;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=?<8;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7cci3;1:7>50z&;37<0k<1/==6j:3g5<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<<=8:k7<2<722c?>54?::k733<722c:7e;0f2==#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd5mm=1=7850;2x =152>i>7)??8d81a3><,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>23c8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:ln=6<49:183!>0:3=h96*>09g96`0?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5130a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;oo97?56;294~"??;07c102.:N?>o1e=??<:001g>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?4b>11/==hm:0266>"68k:1?1=O0?l0b<<>3;316a=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>hj=:085>5<7s-2<>79l5:&24=c=:l<37)??fc82404<,8:i<7=>1:&;==<33A2=j6`>2019574a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?kk1;392?6=8r.3;?48c49'55>b2;o=46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>44482c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38n:55+11da>462:2.:53038 =??2=1C4;h4n0027?75;81b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=ebd95?0=83:p(59=:6a6?!770l09i;64$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6::80e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2daf>4<1290;w)682;5`1>"681o1>h87;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9;987d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3gf6?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0fa4<62?0;6=u+86093f3<,8:3i7>6*>0c29747<,133695G87d8j446;3;9?85f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1a`6=93<1<7>t$951>2e23-;;4h4=e7:8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:>>84i5:4>5<5<5;h62e?6=3f;;?>4?::a6`ba280=6=4?{%:46?1d=2.:<5k52d4;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=?=8;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7ccm3;1:7>50z&;37<0k<1/==6j:3g5<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<<<8:k7<2<722c?>54?::k733<722c:7e;0f2==#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd5mmi1=7850;2x =152>i>7)??8d81a3><,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>22c8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:ln36<49:183!>0:3=h96*>09g96`0?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5131a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;ohh7?56;294~"??;07c102.:N?>o1e=??<:000g>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?4b>11/==hm:0266>"68k:1?1=O0?l0b<<>3;317a=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019575b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>?;3;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?75;o1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<15095?0=83:p(59=:6aa?!770l09i;64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9;>;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2375?7=>3:15<5;|`051e=93<1<7>t$951>2ee3-;;4h4=e7:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=?:=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f673j3;1:7>50z&;37<0kk1/==6j:3g5<>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd49=k1=7850;2x =152>ii7)??8d81a3><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51367?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:;?57?56;294~"??;07c102.:1=O0?l0b<<>3;3100=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201957213`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>?;7;392?6=8r.3;?48cc9'55>b2;o=46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?75<>1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<15495?0=83:p(59=:6aa?!770l09i;64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9;>37d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2371?7=>3:15<5;|`0516=93<1<7>t$951>2ee3-;;4h4=e7:8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=?:n;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f674n3;1:7>50z&;37<0kk1/==6j:3g5<>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd49j:1=7850;2x =152>i>7)??8d81a3><,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>25a8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;8:?6<49:183!>0:3=hn6*>09g96`0?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:007`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<4>:783>5}#0>81;nl4$02;a?4b>11/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi?<>=:085>5<7s-2<>79lb:&24=c=:l<37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>443n2c?4:4?::k76=<722c?;;4?::k247g=831b80082>3<729q/4:<57b`8 46?m38n:55+11da>462:2.:511ce?!>>03>0D58i;o3156<6:<:0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3023>4<1290;w)682;5`f>"681o1>h87;%33bg<68<80(<>m0;33ec=#002186F76g9m5774288>=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c124f<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247:4H94e?k759:0:>8<4i5:4>5<5<5;h62e?6=3f;;?>4?::a746e280=6=4?{%:46?1dj2.:<5k52d4;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<<:3:k7<2<722c?>54?::k733<722c:7e;0f2==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>2468m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;8:26<49:183!>0:3=hn6*>09g96`0?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:0061>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?4b>11/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi?<>8:085>5<7s-2<>79lb:&24=c=:l<37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>442?2c?4:4?::k76=<722c?;;4?::k247g=831b80782>3<729q/4:<57b`8 46?m38n:55+11da>462:2.:511ce?!>>03>0D58i;o3156<6:<20e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3026>4<1290;w)682;5`f>"681o1>h87;%33bg<68<80(<>m0;33ec=#002186F76g9m5774288>56g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c13bc<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247:4H94e?k759:0:>8o4i5:4>5<5<5;h62e?6=3f;;?>4?::a75`b280=6=4?{%:46?1dj2.:<5k52d4;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<<:b:k7<2<722c?>54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;0f2==#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd49m<1=7850;2x =152>i>7)??8d81a3><,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>24f8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;8nm6<49:183!>0:3=h96*>09g96`0?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5137f?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:;oi7?56;294~"??;07c102.:N?>o1e=??<:006b>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?4b>11/==hm:0266>"68k:1?1=O0?l0b<<>3;3125=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi?5<7s-2<>79l5:&24=c=:l<37)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201957053`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>?ka;392?6=8r.3;?48c49'55>b2;o=46*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>441;2c?4:4?::k76=<722c?;;4?::k247g=831b8d882>3<729q/4:<57b78 46?m38n:55+11da>462:2.:53038 =??2=1C4;h4n0027?75>=1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<1e:95?0=83:p(59=:6a6?!770l09i;64$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6:??0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm30f6>4<1290;w)682;5`1>"681o1>h87;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9;<=7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb23g0?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c12a0<62?0;6=u+86093f3<,8:3i7>6*>0c29747<,133695G87d8j446;3;9:55f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`05`2=93<1<7>t$951>2e23-;;4h4=e7:8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:>;74i5:4>5<5<5;h62e?6=3f;;?>4?::a74ce280=6=4?{%:46?1d=2.:<5k52d4;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=?8n;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f67bi3;1:7>50z&;37<0k<1/==6j:3g5<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<<9b:k7<2<722c?>54?::k733<722c:7e;0f2==#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd49l21=7850;2x =152>i>7)??8d81a3><,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>27f8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;8o<6<49:183!>0:3=h96*>09g96`0?3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5134f?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:;n:7?56;294~"??;07c102.:N?>o1e=??<:005b>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?4b>11/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi?5<7s-2<>79lb:&24=c=:l<37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>44092c?4:4?::k76=<722c?;;4?::k247g=831b8ae82>3<729q/4:<57b`8 46?m38n:55+11da>462:2.:511ce?!>>03>0D58i;o3156<6:>80e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm30c`>4<1290;w)682;5`f>"681o1>h87;%33bg<68<80(<>m0;33ec=#002186F76g9m5774288>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c12eg<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247:4H94e?k759:0:>::4i5:4>5<5<5;h62e?6=3f;;?>4?::a74gf280=6=4?{%:46?1dj2.:<5k52d4;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<<85:k7<2<722c?>54?::k733<722c:7e;0f2==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>2648m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;8k36<49:183!>0:3=hn6*>09g96`0?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:0043>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?4b>11/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi?5<7s-2<>79lb:&24=c=:l<37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>44012c?4:4?::k76=<722c?;;4?::k247g=831b8b482>3<729q/4:<57b`8 46?m38n:55+11da>462:2.:511ce?!>>03>0D58i;o3156<6:>k0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm30`7>4<1290;w)682;5`f>"681o1>h87;%33bg<68<80(<>m0;33ec=#002186F76g9m5774288>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c12f6<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247:4H94e?k759:0:>:m4i5:4>5<5<5;h62e?6=3f;;?>4?::a74d5280=6=4?{%:46?1dj2.:<5k52d4;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<<8d:k7<2<722c?>54?::k733<722c:7e;0f2==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>26g8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;8h;6<49:183!>0:3=hn6*>09g96`0?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:004b>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?4b>11/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi?=8::085>5<7s-2<>79l5:&24=c=:l<37)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201957>63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?m<0;397?6=8r.:5<4i9ge>5<5<k4>:283>5}#99li696*>09g96def3A2=j6`>201957>33`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>n5<7s-;;jo4>1g58 46?m38jol5G87d8j446;3;9485f8dd94?=n<;>1<75`b7;94?=zj;i;n7?54;294~"68oh158;4$02;a?4fkh1C4;h4n0027?750?1b8i=50;9j072=831b==8;:188kg0>2900qo6<729q/==hm:03e3>"681o1>lmn;I:5b>h6:891=?68;h:fb?6=3`>987>5;n`5=?6=3th9o=o51;694?6|,8:mn77:5:&24=c=:hij7E69f:l2645=9;237d:k3;29?l25<3:17d??6583>>ie>00;66sm2b0`>4<4290;w)??fc825c1<,8:3i71<75f1147>5<47a?2.:<5k52`ab?M>1n2d:><=513:a?l>bn3:17d:=4;29?jd113:17pl=c1:95?2=83:p(<>ib;;61>"681o1>lmn;I:5b>h6:891=?6l;h6g7?6=3`>987>5;h3321<722ei:44?::a6f4f28086=4?{%33bg<69o=0(<>7e;0bgd=O0?l0b<<>3;310g`9=03<,8:3i74?:1y'55`e28;m;6*>09g96def3A2=j6`>201957>a3`2nj7>5;h610?6=3fh=57>5;|`1g50=93>1<7>t$02ef??2=2.:<5k52`ab?M>1n2d:><=513;3?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:j926<4<:183!77nk0:=k94$02;a?4fkh1C4;h4n0027?75181b4hh50;9j072=831dn;750;9~f7e6=3;187>50z&24cd=17e;0bgd=O0?l0b<<>3;31=7=n5<:283>5}#99li61=7:50;2x 46aj33>96*>09g96def3A2=j6`>201957?33`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>n=8:080>5<7s-;;jo4>1g58 46?m38jol5G87d8j446;3;9585f8dd94?=n<;>1<75`b7;94?=zj;i:?7?54;294~"68oh158;4$02;a?4fkh1C4;h4n0027?751?1b8i=50;9j072=831b==8;:188kg0>2900qo6<729q/==hm:03e3>"681o1>lmn;I:5b>h6:891=?78;h:fb?6=3`>987>5;n`5=?6=3th9o<<51;694?6|,8:mn77:5:&24=c=:hij7E69f:l2645=9;337d:k3;29?l25<3:17d??6583>>ie>00;66sm2b16>4<4290;w)??fc825c1<,8:3i71;390?6=8r.:1<75f1147>5<47a?2.:<5k52`ab?M>1n2d:><=513;a?l>bn3:17d:=4;29?jd113:17pl=c0295?2=83:p(<>ib;;61>"681o1>lmn;I:5b>h6:891=?7l;h6g7?6=3`>987>5;h3321<722ei:44?::a6f5428086=4?{%33bg<69o=0(<>7e;0bgd=O0?l0b<<>3;31=a=n0ll1<75f43694?=hj?31<75rb3a3b?7=<3:10g`9=03<,8:3i74?:1y'55`e28;m;6*>09g96def3A2=j6`>201957?a3`2nj7>5;h610?6=3fh=57>5;|`1g5c=93>1<7>t$02ef??2=2.:<5k52`ab?M>1n2d:><=513c3?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:j9:6<4<:183!77nk0:=k94$02;a?4fkh1C4;h4n0027?75i81b4hh50;9j072=831dn;750;9~f7e7l3;187>50z&24cd=17e;0bgd=O0?l0b<<>3;31e7=n5<54>:283>5}#99li696*>09g96def3A2=j6`>201957g33`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>ohm:080>5<7s-;;jo4>1g58 46?m38jol5G87d8j446;3;9m85f8dd94?=n<;>1<75`b7;94?=zj;hmm7?53;294~"68oh1=7)??8d81efg<@15<5;n`5=?6=3th9nk751;194?6|,8:mn7?>f69'55>b2;khm6F76g9m5774288j46g7eg83>>o3:=0;66am6883>>{e:kk86<4;:183!77nk02985+11:f>7gdi2B3:k5a1330>44f12c?h>4?::k761<722c:<;:50;9lf3?=831vn?li8;397?6=8r.:lo4i9ge>5<5<:583>5}#99li64;:;%33<`<5ijk0D58i;o3156<6:hh0e9j<:188m1432900e<>94;29?jd113:17pl=bg595?5=83:p(<>ib;32b2=#992n6?ola:J;2c=i9;;86<94?::ma2<<722wi>oo>:087>5<7s-;;jo46549'55>b2;khm6F76g9m5774288jh6g;d283>>o3:=0;66g>07694?=hj?31<75rb3`e2?7=;3:10g`954`03-;;4h4=abc8L=0a3g;9=>4>2`g8m=ca2900e9<;:188kg0>2900qo1<729q/==hm:876?!770l09mno4H94e?k759:0:>lh4i5f0>5<5;|`1fc3=9391<7>t$02ef?76n>1/==6j:3c`e>N?>o1e=??<:00a4>o?mo0;66g;2583>>ie>00;66sm2c;e>4<3290;w)??fc8:10=#992n6?ola:J;2c=i9;;86<94?::k2432=831dn;750;9~f7da<3;1?7>50z&24cd=98l<7)??8d81efg<@15<<323-;;4h4=abc8L=0a3g;9=>4>2c18m1b42900e9<;:188m461<3:17bl99;29?xd5k9>1=7=50;2x 46aj3;:j:5+11:f>7gdi2B3:k5a1330>44e<2c3ik4?::k761<722ei:44?::a6ggc280?6=4?{%33bg<>=<1/==6j:3c`e>N?>o1e=??<:00a1>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;i;?7?53;294~"68oh1=7)??8d81efg<@15<5;n`5=?6=3th9o=<51;194?6|,8:mn7?>f69'55>b2;khm6F76g9m5774288i46g7eg83>>o3:=0;66am6883>>{e:kki6<4;:183!77nk02985+11:f>7gdi2B3:k5a1330>44e12c?h>4?::k761<722c:<;:50;9lf3?=831vn?m?1;397?6=8r.:oo4i9ge>5<5<:583>5}#99li64;:;%33<`<5ijk0D58i;o3156<6:kh0e9j<:188m1432900e<>94;29?jd113:17pl=c1295?5=83:p(<>ib;32b2=#992n6?ola:J;2c=i9;;86<94?::ma2<<722wi>oo6:087>5<7s-;;jo46549'55>b2;khm6F76g9m5774288ih6g;d283>>o3:=0;66g>07694?=hj?31<75rb3`eb?7=;3:10g`954`03-;;4h4=abc8L=0a3g;9=>4>2cg8m=ca2900e9<;:188kg0>2900qo1<729q/==hm:876?!770l09mno4H94e?k759:0:>oh4i5f0>5<5;|`1fcc=9391<7>t$02ef?76n>1/==6j:3c`e>N?>o1e=??<:00`4>o?mo0;66g;2583>>ie>00;66sm2cc4>4<3290;w)??fc8:10=#992n6?ola:J;2c=i9;;86<94?::k2432=831dn;750;9~f7dal3;1?7>50z&24cd=98l<7)??8d81efg<@15<<323-;;4h4=abc8L=0a3g;9=>4>2b18m1b42900e9<;:188m461<3:17bl99;29?xd5joi1=7=50;2x 46aj3;:j:5+11:f>7gdi2B3:k5a1330>44d<2c3ik4?::k761<722ei:44?::a6gg2280?6=4?{%33bg<>=<1/==6j:3c`e>N?>o1e=??<:00`1>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;hm?7?53;294~"68oh1=7)??8d81efg<@15<5;n`5=?6=3th9n5<51;194?6|,8:mn7?>f69'55>b2;khm6F76g9m5774288h46g7eg83>>o3:=0;66am6883>>{e:k2:6<4<:183!77nk0:=k94$02;a?4fkh1C4;h4n0027?75k01b4hh50;9j072=831dn;750;9~f7d213;187>50z&24cd=17e;0bgd=O0?l0b<<>3;31gd=n5<:283>5}#99li696*>09g96def3A2=j6`>201957ed3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>o9i:080>5<7s-;;jo4>1g58 46?m38jol5G87d8j446;3;9oi5f8dd94?=n<;>1<75`b7;94?=zj;h>97?54;294~"68oh158;4$02;a?4fkh1C4;h4n0027?75kl1b8i=50;9j072=831b==8;:188kg0>2900qo6<729q/==hm:03e3>"681o1>lmn;I:5b>h6:891=?mi;h:fb?6=3`>987>5;n`5=?6=3th9n8<51;694?6|,8:mn77:5:&24=c=:hij7E69f:l2645=9;n;7d:k3;29?l25<3:17d??6583>>ie>00;66sm2c5`>4<4290;w)??fc825c1<,8:3i71<75f1147>5<47a?2.:<5k52`ab?M>1n2d:><=513f0?l>bn3:17d:=4;29?jd113:17pl=b5g95?2=83:p(<>ib;;61>"681o1>lmn;I:5b>h6:891=?j;;h6g7?6=3`>987>5;h3321<722ei:44?::a6g1f28086=4?{%33bg<69o=0(<>7e;0bgd=O0?l0b<<>3;31`0=n0ll1<75f43694?=hj?31<75rb3`7g?7=<3:10g`9=03<,8:3i74?:1y'55`e28;m;6*>09g96def3A2=j6`>201957b03`2nj7>5;h610?6=3fh=57>5;|`1f1d=93>1<7>t$02ef??2=2.:<5k52`ab?M>1n2d:><=513f;?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:k<26<4<:183!77nk03j:5+8609`d0<,8:3i750;9la6?=831vn?l88;397?6=8r.:io4i9ge>5<5<:583>5}#99li64;:;%33<`<5ijk0D58i;o3156<6:mh0e9j<:188m1432900e<>94;29?jd113:17pl=b7:95?5=83:p(<>ib;:e3>"??;0om;5+11:f>7gdi2B3:k5a1330>44ck2c3;k4?::k;<5<722en?44?::a6g1028086=4?{%33bg<69o=0(<>7e;0bgd=O0?l0b<<>3;31`a=n0ll1<75f43694?=hj?31<75rb3`7=?7=<3:10g`9=03<,8:3i7>0:6>4?:1y'55`e21l<7)682;fb2>"681o1>lmn;I:5b>h6:891=?ji;h:4b?6=3`23<7>5;ng0=?6=3th9n:851;194?6|,8:mn7?>f69'55>b2;khm6F76g9m5774288n<6g7eg83>>o3:=0;66am6883>>{e:k>36<4;:183!77nk02985+11:f>7gdi2B3:k5a1330>44b92c?h>4?::k761<722c:<;:50;9lf3?=831vn?l96;397?6=8r.:5<47a?2.:<5k52`ab?M>1n2d:><=513g0?l>bn3:17d:=4;29?jd113:17pl=b5595?2=83:p(<>ib;;61>"681o1>lmn;I:5b>h6:891=?k;;h6g7?6=3`>987>5;h3321<722ei:44?::a6g0228086=4?{%33bg1/4:<5d`48 46?m38jol5G87d8j446;3;9i85f86d94?=n01:1<75`e2;94?=zj;h<87?53;294~"68oh1=7)??8d81efg<@15<5;n`5=?6=3th9n;:51;194?6|,8:mn76i7:&;37N?>o1e=??<:00f<>o??o0;66g78183>>ib;00;66sm2c:a>4<4290;w)??fc825c1<,8:3i71<75f1147>5<=`03-2<>7jn6:&24=c=:hij7E69f:l2645=9;oi7d68f;29?l>?83:17bk<9;29?xd5j1k1=7=50;2x 46aj3;:j:5+11:f>7gdi2B3:k5a1330>44bk2c3ik4?::k761<722ei:44?::a6g06280?6=4?{%33bg<>=<1/==6j:3c`e>N?>o1e=??<:00f`>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;h<=7?53;294~"68oh14k94$951>ag13-;;4h4=abc8L=0a3g;9=>4>2dg8m=1a2900e56?:188k`5>2900qo6<729q/==hm:03e3>"681o1>lmn;I:5b>h6:891=?ki;h:fb?6=3`>987>5;n`5=?6=3th9n;>51;694?6|,8:mn77:5:&24=c=:hij7E69f:l2645=9;l;7d:k3;29?l25<3:17d??6583>>ie>00;66sm2c53>4<4290;w)??fc8;b2=#0>81hl84$02;a?4fkh1C4;h4n0027?75n81b4:h50;9j<=6=831di>750;9~f7d?03;1?7>50z&24cd=98l<7)??8d81efg<@15<<323-;;4h4=abc8L=0a3g;9=>4>2g18m1b42900e9<;:188m461<3:17bl99;29?xd5j?l1=7=50;2x 46aj32m;6*7738ge3=#992n6?ola:J;2c=i9;;86<o68:080>5<7s-;;jo4>1g58 46?m38jol5G87d8j446;3;9j85f8dd94?=n<;>1<75`b7;94?=zj;h>i7?54;294~"68oh158;4$02;a?4fkh1C4;h4n0027?75n?1b8i=50;9j072=831b==8;:188kg0>2900qo6<729q/==hm:9d4?!>0:3nj:6*>09g96def3A2=j6`>201957`03`25;h:;4?6=3fo857>5;|`1f=0=9391<7>t$02ef?76n>1/==6j:3c`e>N?>o1e=??<:00e<>o?mo0;66g;2583>>ie>00;66sm2c7g>4<3290;w)??fc8:10=#992n6?ola:J;2c=i9;;86<94?::k2432=831dn;750;9~f7d1l3;1?7>50z&24cd=0o=0(59=:ec5?!770l09mno4H94e?k759:0:>ko4i95e>5<5<:283>5}#99li696*>09g96def3A2=j6`>201957`d3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>o8l:080>5<7s-;;jo47f69'<24=lh<0(<>7e;0bgd=O0?l0b<<>3;31ba=n0>l1<75f89294?=hm:31<75rb3`;0?7=;3:10g`954`03-;;4h4=abc8L=0a3g;9=>4>2gg8m=ca2900e9<;:188kg0>2900qo1<729q/==hm:876?!770l09mno4H94e?k759:0:>kh4i5f0>5<5;|`1f3d=9391<7>t$02ef?>a?2.3;?4ka79'55>b2;khm6F76g9m5774289;<6g77g83>>o?090;66aj3883>>{e:k=n6<4<:183!77nk0:=k94$02;a?4fkh1C4;h4n0027?74881b4hh50;9j072=831dn;750;9~f7d2<3;187>50z&24cd=17e;0bgd=O0?l0b<<>3;3047=n5<:283>5}#99li65h8;%:46?bf>2.:<5k52`ab?M>1n2d:><=51220?l>0n3:17d670;29?jc413:17pl=b6195?5=83:p(<>ib;32b2=#992n6?ola:J;2c=i9;;86<=?4:k;ac<722c?>94?::ma2<<722wi>o:::087>5<7s-;;jo46549'55>b2;khm6F76g9m5774289;96g;d283>>o3:=0;66g>07694?=hj?31<75rb3`57?7=;3:10g`972900ch=6:188yg4e190:694?:1y'55`e20?>7)??8d81efg<@15<5;n`5=?6=3th9n4851;794?6|,8:mn76i7:&;37"aij09h=>4H94e?k759:0:?=64i95e>5<5<5<:483>5}#99li65h8;%:46?e1?2.:<5k52`ab?!`fk38o<=5G87d8j446;3;8<45f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;h3j7?54;294~"68oh158;4$02;a?4fkh1C4;h4n0027?748h1b8i=50;9j072=831b==8;:188kg0>2900qo0<729q/==hm:9d4?!>0:3h2o6*>09g96def3-ljo750;9j<=7=831b45<50;9la6?=831vn?l6a;391?6=8r.:o6j:087>5<7s-;;jo46549'55>b2;khm6F76g9m5774289;h6g;d283>>o3:=0;66g>07694?=hj?31<75rb3`:0?7==3:10g`9N?>o1e=??<:013a>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm2c;:>4<2290;w)??fc8;b2=#0>81o;94$02;a?4fkh1/jlm52bdf?M>1n2d:><=5122e?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=b9f95?2=83:p(<>ib;;61>"681o1>lmn;I:5b>h6:891=>??;h6g7?6=3`>987>5;h3321<722ei:44?::a6g?4280>6=4?{%33bg1/4:<5b8a8 46?m38jol5+f`a96f`c3A2=j6`>201956763`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1f<>=93?1<7>t$02ef?>a?2.3;?4l669'55>b2;khm6*iab81gcb<@15<5<<323-;;4h4=abc8L=0a3g;9=>4>3018m1b42900e9<;:188m461<3:17bl99;29?xd5j081=7;50;2x 46aj32m;6*7738a=f=#992n6?ola:&eef<5koi0D58i;o3156<6;8>0e59i:188m=>72900e56>:188m=>52900ch=6:188yg4e1>0:684?:1y'55`e21l<7)682;a53>"681o1>lmn;%dbg?4dnj1C4;h4n0027?749<1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7bb:3;1:7>50z&;37<0kk1/==6j:3c`e>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5ll;1=7850;2x =152>ii7)??8d81efg<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51234?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;nn<7?56;294~"??;07gdi2.:1=O0?l0b<<>3;305==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019567>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?jke;392?6=8r.3;?48cc9'55>b2;khm6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?749h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=def95?0=83:p(59=:6aa?!770l09mno4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9:;i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3fgg?7=>3:15<5;|`1`ad=93<1<7>t$951>2ee3-;;4h4=abc8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=>?k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7bbi3;1:7>50z&;37<0kk1/==6j:3c`e>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5ll31=7850;2x =152>ii7)??8d81efg<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5123e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;nn47?56;294~"??;07gdi2.:1=O0?l0b<<>3;3065=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201956463`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?jj6;392?6=8r.3;?48cc9'55>b2;khm6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?74:;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=dd795?0=83:p(59=:6aa?!770l09mno4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9:887d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3ff0?7=>3:195f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1``5=93<1<7>t$951>2ee3-;;4h4=abc8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=><:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7bci3;1:7>50z&;37<0kk1/==6j:3c`e>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5lm31=7850;2x =152>ii7)??8d81efg<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51204?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;n8=7?56;294~"??;07gdi2.:1=O0?l0b<<>3;306==n<1=1<75f43:94?=n<><1<75f110b>5<>51;494?6|,1=96:mm;%33<`<5ijk0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019564>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?j=f;392?6=8r.3;?48cc9'55>b2;khm6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?74:h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=d3g95?0=83:p(59=:6aa?!770l09mno4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9:8i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3f1g?7=>3:1n5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1`7d=93<1<7>t$951>2ee3-;;4h4=abc8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7b5i3;1:7>50z&;37<0kk1/==6j:3c`e>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5l;31=7850;2x =152>ii7)??8d81efg<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5120e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;n947?56;294~"??;07gdi2.:1=O0?l0b<<>3;3075=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201956563`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?j=6;392?6=8r.3;?48cc9'55>b2;khm6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?74;;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=d3795?0=83:p(59=:6aa?!770l09mno4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9:987d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3f10?7=>3:15<5;|`1`75=93<1<7>t$951>2ee3-;;4h4=abc8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=>=:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7b403;1:7>50z&;37<0kk1/==6j:3c`e>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5l:=1=7850;2x =152>ii7)??8d81efg<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51214?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;n8:7?56;294~"??;07gdi2.:1=O0?l0b<<>3;307==n<1=1<75f43:94?=n<><1<75f110b>5<;51;494?6|,1=96:mm;%33<`<5ijk0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019565>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?j<4;392?6=8r.3;?48cc9'55>b2;khm6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?74;h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=d2195?0=83:p(59=:6aa?!770l09mno4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9:9i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3f06?7=>3:15<5;|`1`7b=93<1<7>t$951>2ee3-;;4h4=abc8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=>=k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7b5:3;1:7>50z&;37<0kk1/==6j:3c`e>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5l;;1=7850;2x =152>ii7)??8d81efg<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5121e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;n3<7?56;294~"??;07gdi2.:1=O0?l0b<<>3;3005=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201956263`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?j8e;392?6=8r.3;?48cc9'55>b2;khm6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?74<;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=d6f95?0=83:p(59=:6aa?!770l09mno4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9:>87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3f4g?7=>3:15<5;|`1`2d=93<1<7>t$951>2ee3-;;4h4=abc8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=>::;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7b0i3;1:7>50z&;37<0kk1/==6j:3c`e>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5l>31=7850;2x =152>ii7)??8d81efg<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51264?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;n347?56;294~"??;07gdi2.:1=O0?l0b<<>3;300==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019562>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?j76;392?6=8r.3;?48cc9'55>b2;khm6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?74=831b8:850;9j554f2900e9?n:188k464;3:17pl=d9795?0=83:p(59=:6aa?!770l09mno4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9:>i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3f;0?7=>3:15<5;|`1`=5=93<1<7>t$951>2ee3-;;4h4=abc8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=>:k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7b?:3;1:7>50z&;37<0kk1/==6j:3c`e>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5l1;1=7850;2x =152>ii7)??8d81efg<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5126e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;n<47?56;294~"??;07gdi2.:1=O0?l0b<<>3;3015=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201956363`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?jb2;khm6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>452:2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38jol5+11da>462:2.:53038 =??2=1C4;h4n0027?74=:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=d2;95?0=83:p(59=:6a6?!770l09mno4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6;<>0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2b1b>4<6=h0;6=u+11da>20d3-;;4h4=abc8j747291e>?o50:l051<63g9:i7>4n55f>5=i??k1<6`65083?kc4>3:0b<>=c;28j16c291e896g;1d83>>o68<21<75f117:>5<9>7>5;h62`?6=3`;;9n4?::k240d=831b8?650;9j55312900e9<>:188m17d2900e9>l:188m1442900e9?m:188m462=3:17d??5e83>>o49m0;6)hn8;107>hai>0;76g<1b83>!`f0398?6`ia682?>o49k0;6)hn8;107>hai>0976g<1`83>!`f0398?6`ia680?>o4900;6)hn8;107>hai>0?76g<1983>!`f0398?6`ia686?>o49>0;6)hn8;107>hai>0=76g<1783>!`f0398?6`ia684?>o4:h0;6)hn8;107>hai>0376g<2883>!`f0398?6`ia68:?>o4:10;6)hn8;107>hai>0j76g<2683>!`f0398?6`ia68a?>o4:?0;6)hn8;107>hai>0h76g<2483>!`f0398?6`ia68g?>o4:80;6)hn8;107>hai>0n76g<1g83>!`f0398?6`ia68e?>o49<0;6)hn8;107>hai>0:<65f30194?"ai108?>5af`5954=5<5<5<6=44ig27>5<5<5<5<5<5<5<6=44idd7>5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<#nh218=<4ngc4>4=5<#nh218=<4ngc4>6=5<#nh218=<4ngc4>0=5<#nh218=<4ngc4>2=5<#nh218=<4ngc4><=6=4+f`:90545<#nh218=<4ngc4>g=5<#nh218=<4ngc4>a=5<#nh218=<4ngc4>c=4;h1aa?6=,ok369>=;odb3?7632c8nn4?:%db2:9j7gd=83.mm54;039mbd1=9:10e>ln:18'bd>=<980bko8:068?l5e13:1(ko7:521?k`f?3;>76g!`f03>;>6`ia6822>=n;k=1<7*ia98747=inh=1=:54i2`5>5<#nh218=<4ngc4>4><3`9i97>5$gc;>1653glj;7?6;:k0f1<72-lj47:?2:lee2<6i21b?o=50;&ee=<38;1ejl951c98m6d6290/jl654108jcg028i07d=m0;29 cg?2=:97chn7;3g?>o4io0;6)hn8;636>hai>0:i65f3`g94?"ai10?4;h1bg?6=,ok369>=;odb3?4632c8mo4?:%dbo6:18'bd>=<980bko8:368?l5f03:1(ko7:521?k`f?38>76g!`f03>;>6`ia6812>=n;m=1<7*ia98747=inh=1>:54i2f5>5<#nh218=<4ngc4>7><3`9o87>5$gc;>1653glj;7<6;:k0`7<72-lj47:?2:lee2<5i21b?n650;&ee=<38;1ejl952c98m6dc290/jl654108jcg02;i07d=m2;29 cg?2=:97chn7;0g?>o4i>0;6)hn8;636>hai>09i65f3`194?"ai10?5<#nh21i>:4ngc4>4=5<#nh21i>:4ngc4>6=5<#nh21i>:4ngc4>0=5<#nh21i>:4ngc4>2=5<#nh218ll4ngc4>4=5<#nh218ll4ngc4>6=5<#nh218ll4ngc4>0=5<#nh218ll4ngc4>2=5<#nh218ll4ngc4><=5<#nh218ll4ngc4>g=5<#nh218ll4ngc4>a=5<#nh218ll4ngc4>c=4;h6;44?:%db:4?:%db84?:%db>4?:%db32c9?o4?:%db<4?:%db0:9j64c=83.mm54=539mbd1=9810e>;9:188m6322900e>;;:188m6342900e>;=:188m6362900e>;?:188m62a2900e>;j:188m63c2900e>;l:188m63e2900e>;n:188m63>2900e>;7:188m6302900e>:j:188m62c2900c9??:188k16a2900c>9l:188k61e2900c>9n:188k61>2900c>97:188k6102900c>99:188k6122900c>6;:188k6>42900c>6=:188k6>62900c>6?:188k61a2900c>9j:188k61c2900c>9;:188k6142900ch6<:188k`>52900ch6>:188k`>72900ch9i:188k`1b2900ch9k:188k`1d2900ch9n:188k`1>2900ch97:188k`102900ch99:188k`122900ch9;:188k`142900ch9=:188k`162900ch8i:188k`0b2900ch8k:188k`0d2900ch8m:188k`0f2900ch86:188k`0?2900ch88:188k`012900ch8;:188k`042900ch8=:188k`062900ch8?:188k`3f2900ch;=:188k`2e2900ch:<:188k`5a2900cho::188k`?d2900ch79:188k`>c2900ch6::188k`1e2900ch9?:188k`022900ch=j:188k`5c2900ck8k:188kc0d2900ck8m:188kc0f2900ck86:188kc0?2900ck88:188kc012900ck8;:188kc042900ck8=:188kc062900ck8?:188kc3a2900ck;j:188kc3c2900ck;l:188kc3e2900ck;6:188kc3?2900ck;8:188kc312900ck;::188kc332900ck;<:188kc352900ck;>:188kc372900ck:j:188kc2c2900ck:l:188kc2e2900ck:n:188kc2>2900ck:7:188kc202900ck:9:188kc222900ck9=:188kc162900ck9?:188kc0a2900ck8j:188kc022900ck;n:188kc2a2900ck:;:188kc242900c4?k:188k<7d2900c4?m:188k<7f2900c4?6:188k<7?2900c4?9:188k<722900c4?;:188k<742900c4?=:188k<762900c4??:188k<6a2900c4>j:188k<6c2900c4>m:188k<6f2900c4>6:188k<6?2900c4>8:18'bd>=1=:0bko8:068?j?7>3:1(ko7:863?k`f?3;>76a60483>!`f033?<6`ia6822>=h19>1<7*ia98:05=inh=1=:54o820>5<#nh2159>4ngc4>4><3f3;>7>5$gc;><273glj;7?6;:m:66<72-lj477;0:lee2<6i21d5?<50;&ee=<><91ejl951c98k<462900c4l:188k<662900c4>?:188yg4b=o0:6;4?:1y'<24=?j?0(<>7e;0bgd=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd5mi>7)??8d81efg<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>3458m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:l<<6<49:183!>0:3=h96*>09g96def3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5127;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;o=:7?56;294~"??;07gdi2.:N?>o1e=??<:016=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?4fkh1/==hm:0266>"68k:1?1=O0?l0b<<>3;301d=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>h8<:085>5<7s-2<>79l5:&24=c=:hij7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>2019563d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?k92;392?6=8r.3;?48c49'55>b2;khm6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>452l2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38jol5+11da>462:2.:53038 =??2=1C4;h4n0027?74=l1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=e7295?0=83:p(59=:6a6?!770l09mno4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6;a;29?j77;:0;66sm2d7g>4<1290;w)682;5`1>"681o1>lmn;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9:<;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3g6g?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0a7a<62?0;6=u+86093f3<,8:3i7>6*>0c29747<,133695G87d8j446;3;8:?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1f6e=93<1<7>t$951>2e23-;;4h4=abc8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:?;=4i5:4>5<5<5;h62e?6=3f;;?>4?::a6g5e280=6=4?{%:46?1d=2.:<5k52`ab?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=>8;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7d413;1:7>50z&;37<0k<1/==6j:3c`e>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<=95:k7<2<722c?>54?::k733<722c:7e;0bgd=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd5j:=1=7850;2x =152>i>7)??8d81efg<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>3758m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:k9=6<49:183!>0:3=h96*>09g96def3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5124;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;h897?56;294~"??;07gdi2.:N?>o1e=??<:015=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?4fkh1/==hm:0266>"68k:1?1=O0?l0b<<>3;302d=n<1=1<75f43:94?=n<><1<75f110b>5<=51;494?6|,1=96:m:;%33<`<5ijk0(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>o==:085>5<7s-2<>79l5:&24=c=:hij7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>2019560d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?l<1;392?6=8r.3;?48c49'55>b2;khm6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>451l2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38jol5+11da>462:2.:53038 =??2=1C4;h4n0027?74>l1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=b5695?0=83:p(59=:6a6?!770l09mno4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6;?l0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2c60>4<1290;w)682;5`1>"681o1>lmn;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9:=;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3`76?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0a04<62?0;6=u+86093f3<,8:3i7>6*>0c29747<,133695G87d8j446;3;8;?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1f16=93<1<7>t$951>2e23-;;4h4=abc8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:?:=4i5:4>5<5<5;h62e?6=3f;;?>4?::a6g5a280=6=4?{%:46?1d=2.:<5k52`ab?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=>9;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7d4m3;1:7>50z&;37<0k<1/==6j:3c`e>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<=85:k7<2<722c?>54?::k733<722c:7e;0bgd=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd5j;l1=7850;2x =152>i>7)??8d81efg<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>3658m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:k8n6<49:183!>0:3=h96*>09g96def3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5125;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;n2o7?56;294~"??;07gdi2.:1=O0?l0b<<>3;303<=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019561f3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?j6a;392?6=8r.3;?48cc9'55>b2;khm6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?74?k1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=d8;95?0=83:p(59=:6aa?!770l09mno4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9:=h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3fb0?7=>3:15<5;|`1`d5=93<1<7>t$951>2ee3-;;4h4=abc8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=>9j;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7bf:3;1:7>50z&;37<0kk1/==6j:3c`e>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5lh;1=7850;2x =152>ii7)??8d81efg<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=512:3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;nj<7?56;294~"??;07gdi2.:1=O0?l0b<<>3;30<4=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201956>53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?j6e;392?6=8r.3;?48cc9'55>b2;khm6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?740:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=d8f95?0=83:p(59=:6aa?!770l09mno4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9:2?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3f:3:15<5;|`1`<1=93<1<7>t$951>2ee3-;;4h4=abc8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=>69;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7c603;1:7>50z&;37<0k<1/==6j:3c`e>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<=77:k7<2<722c?>54?::k733<722c:7e;0bgd=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>39:8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:m?i6<49:183!>0:3=hn6*>09g96def3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:01;=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?4fkh1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi>i;6:085>5<7s-2<>79lb:&24=c=:hij7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>45?j2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38jol5+11da>462:2.:511ce?!>>03>0D58i;o3156<6;1i0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2e47>4<1290;w)682;5`f>"681o1>lmn;%33bg<68<80(<>m0;33ec=#002186F76g9m57742893h6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0g26<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247:4H94e?k759:0:?5k4i5:4>5<5<5;h62e?6=3f;;?>4?::a6a05280=6=4?{%:46?1dj2.:<5k52`ab?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<=7f:k7<2<722c?>54?::k733<722c:80:6;4?:1y'<24=?jh0(<>7e;0bgd=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>3828m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:m<;6<49:183!>0:3=hn6*>09g96def3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:01:5>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?4fkh1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi>i;j:085>5<7s-2<>79lb:&24=c=:hij7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>45>;2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38jol5+11da>462:2.:511ce?!>>03>0D58i;o3156<6;0>0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2e74>4<1290;w)682;5`f>"681o1>lmn;%33bg<68<80(<>m0;33ec=#002186F76g9m5774289296g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0g13<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247:4H94e?k759:0:?484i5:4>5<5<5;h62e?6=3f;;?>4?::a6`4a280=6=4?{%:46?1d=2.:<5k52`ab?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=>78;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7c5m3;1:7>50z&;37<0k<1/==6j:3c`e>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<=68:k7<2<722c?>54?::k733<722c:0:6;4?:1y'<24=?j?0(<>7e;0bgd=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd5m:<1=7850;2x =152>i>7)??8d81efg<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>38c8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:l9>6<49:183!>0:3=h96*>09g96def3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=512;a?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;o887?56;294~"??;07gdi2.:N?>o1e=??<:01:g>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<4>:783>5}#0>81;n;4$02;a?4fkh1/==hm:0266>"68k:1?1=O0?l0b<<>3;30=a=n<1=1<75f43:94?=n<><1<75f110b>5<<51;494?6|,1=96:m:;%33<`<5ijk0(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>h=>:085>5<7s-2<>79l5:&24=c=:hij7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201956?a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?k<0;392?6=8r.3;?48c49'55>b2;khm6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>45f82c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38jol5+11da>462:2.:53038 =??2=1C4;h4n0027?74i81b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=e3a95?0=83:p(59=:6a6?!770l09mno4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6;h80e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2d24>4<1290;w)682;5`f>"681o1>lmn;%33bg<68<80(<>m0;33ec=#002186F76g9m5774289j?6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0f43<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247:4H94e?k759:0:?l:4i5:4>5<5<5;h62e?6=3f;;?>4?::a6`62280=6=4?{%:46?1dj2.:<5k52`ab?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<=n5:k7<2<722c?>54?::k733<722c:7e;0bgd=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>3`48m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:l:86<49:183!>0:3=hn6*>09g96def3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:01b3>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?4fkh1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi>h>>:085>5<7s-2<>79lb:&24=c=:hij7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>45f12c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38jol5+11da>462:2.:511ce?!>>03>0D58i;o3156<6;hk0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2d2e>4<1290;w)682;5`f>"681o1>lmn;%33bg<68<80(<>m0;33ec=#002186F76g9m5774289jn6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0f4`<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247:4H94e?k759:0:?lm4i5:4>5<5<5;h62e?6=3f;;?>4?::a6`6c280=6=4?{%:46?1dj2.:<5k52`ab?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<=nd:k7<2<722c?>54?::k733<722c:7e;0bgd=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>3`g8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:l:i6<49:183!>0:3=hn6*>09g96def3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:01bb>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?4fkh1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi>h>6:085>5<7s-2<>79lb:&24=c=:hij7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>45e92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38jol5+11da>462:2.:511ce?!>>03>0D58i;o3156<6;k80e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2ede>4<1290;w)682;5`f>"681o1>lmn;%33bg<68<80(<>m0;33ec=#002186F76g9m5774289i?6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0`fc<62?0;6=u+86093f3<,8:3i7>6*>0c29747<,133695G87d8j446;3;8n95f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1<<4=9391<7>t$02ef?76n>1/==6j:351g>N?>o1e=??<:01a1>o?mo0;66g;2583>>ie>00;66sm29;2>4<4290;w)??fc825c1<,8:3i7<82b9K<3`n5G87d8j446;3;8n:5f4e194?=n<;>1<75f1147>5<47a?2.:<5k5260`?M>1n2d:><=512`;?l>bn3:17d:=4;29?jd113:17pl=87f95?2=83:p(<>ib;;61>"681o1>:h6:891=>l6;h6g7?6=3`>987>5;h3321<722ei:44?::a6=>a28086=4?{%33bg<69o=0(<>7e;046f=O0?l0b<<>3;30fd=n0ll1<75f43694?=hj?31<75rb3:5g?7=<3:10g`9=03<,8:3i7<82b9K<3`4?:1y'55`e28;m;6*>09g9624d3A2=j6`>201956dd3`2nj7>5;h610?6=3fh=57>5;|`1<3d=93>1<7>t$02ef??2=2.:<5k5260`?M>1n2d:><=512`g?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:12o6<4<:183!77nk0:=k94$02;a?40:j1C4;h4n0027?74jl1b4hh50;9j072=831dn;750;9~f7>1i3;187>50z&24cd=17e;046f=O0?l0b<<>3;30fc=n5<:283>5}#99li68h7E69f:l2645=9:i;7d6jf;29?l25<3:17bl99;29?xd50?31=7:50;2x 46aj33>96*>09g9624d3A2=j6`>201956e63`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>56m:080>5<7s-;;jo4>1g58 46?m38<>n5G87d8j446;3;8o?5f8dd94?=n<;>1<75`b7;94?=zj;2=47?54;294~"68oh158;4$02;a?40:j1C4;h4n0027?74k:1b8i=50;9j072=831b==8;:188kg0>2900qo<79c82>6<729q/==hm:03e3>"681o1>:h6:891=>m;;h:fb?6=3`>987>5;n`5=?6=3th94:951;694?6|,8:mn77:5:&24=c=:>8h7E69f:l2645=9:i>7d:k3;29?l25<3:17d??6583>>ie>00;66sm29;b>4<4290;w)??fc825c1<,8:3i7<82b9K<3`n5G87d8j446;3;8o:5f4e194?=n<;>1<75f1147>5<47a?2.:<5k5260`?M>1n2d:><=512a;?l>bn3:17d:=4;29?jd113:17pl=86795?2=83:p(<>ib;;61>"681o1>:h6:891=>m6;h6g7?6=3`>987>5;h3321<722ei:44?::a6=??28086=4?{%33bg<69o=0(<>7e;046f=O0?l0b<<>3;30gd=n0ll1<75f43694?=hj?31<75rb3:40?7=<3:10g`9=03<,8:3i7<82b9K<3`0:6>4?:1y'55`e28;m;6*>09g9624d3A2=j6`>201956ed3`2nj7>5;h610?6=3fh=57>5;|`1<25=93>1<7>t$02ef??2=2.:<5k5260`?M>1n2d:><=512ag?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:13=6<4<:183!77nk0:=k94$02;a?40:j1C4;h4n0027?74kl1b4hh50;9j072=831dn;750;9~f7>0:3;187>50z&24cd=17e;046f=O0?l0b<<>3;30gc=n5<:283>5}#99li68h7E69f:l2645=9:n;7d6jf;29?l25<3:17bl99;29?xd50>;1=7:50;2x 46aj33>96*>09g9624d3A2=j6`>201956b63`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>57;:080>5<7s-;;jo4>1g58 46?m38<>n5G87d8j446;3;8h?5f8dd94?=n<;>1<75`b7;94?=zj;2<<7?54;294~"68oh158;4$02;a?40:j1C4;h4n0027?74l:1b8i=50;9j072=831b==8;:188kg0>2900qo<79282>6<729q/==hm:03e3>"681o1>:h6:891=>j;;h:fb?6=3`>987>5;n`5=?6=3th94;h51;694?6|,8:mn77:5:&24=c=:>8h7E69f:l2645=9:n>7d:k3;29?l25<3:17d??6583>>ie>00;66sm29:b>4<4290;w)??fc825c1<,8:3i7<82b9K<3`n5G87d8j446;3;8h:5f4e194?=n<;>1<75f1147>5<47a?2.:<5k5260`?M>1n2d:><=512f;?l>bn3:17d:=4;29?jd113:17pl=84a95?5=83:p(<>ib;32b2=#992n6?9=c:J;2c=i9;;86<=k9:k;ac<722c?>94?::ma2<<722wi>5>9:087>5<7s-;;jo46549'55>b2;=9o6F76g9m5774289om6g;d283>>o3:=0;66g>07694?=hj?31<75rb3:6f?7=;3:10g`954`03-;;4h4=73a8L=0a3g;9=>4>3e`8m=ca2900e9<;:188kg0>2900qo<70482>1<729q/==hm:876?!770l09;?m4H94e?k759:0:?im4i5f0>5<5;|`1<0g=9391<7>t$02ef?76n>1/==6j:351g>N?>o1e=??<:01g`>o?mo0;66g;2583>>ie>00;66sm2927>4<3290;w)??fc8:10=#992n6?9=c:J;2c=i9;;86<=ke:k7`6<722c?>94?::k2432=831dn;750;9~f7>213;1?7>50z&24cd=98l<7)??8d8137e<@15<<323-;;4h4=73a8L=0a3g;9=>4>3d28m1b42900e9<;:188m461<3:17bl99;29?xd50<21=7=50;2x 46aj3;:j:5+11:f>715k2B3:k5a1330>45b92c3ik4?::k761<722ei:44?::a6=65280?6=4?{%33bg<>=<1/==6j:351g>N?>o1e=??<:01f6>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;2>;7?53;294~"68oh1=7)??8d8137e<@15<5;n`5=?6=3th948851;194?6|,8:mn7?>f69'55>b2;=9o6F76g9m5774289n96g7eg83>>o3:=0;66am6883>>{e:1:;6<4;:183!77nk02985+11:f>715k2B3:k5a1330>45b>2c?h>4?::k761<722c:<;:50;9lf3?=831vn?696;397?6=8r.:5<5<:583>5}#99li64;:;%33<`<5?;i0D58i;o3156<6;l20e9j<:188m1432900e<>94;29?jd113:17pl=87795?5=83:p(<>ib;32b2=#992n6?9=c:J;2c=i9;;86<=j9:k;ac<722c?>94?::ma2<<722wi>5>j:087>5<7s-;;jo46549'55>b2;=9o6F76g9m5774289nm6g;d283>>o3:=0;66g>07694?=hj?31<75rb3:50?7=;3:10g`954`03-;;4h4=73a8L=0a3g;9=>4>3d`8m=ca2900e9<;:188kg0>2900qo<70e82>1<729q/==hm:876?!770l09;?m4H94e?k759:0:?hm4i5f0>5<5;|`1<35=9391<7>t$02ef?76n>1/==6j:351g>N?>o1e=??<:01f`>o?mo0;66g;2583>>ie>00;66sm292`>4<3290;w)??fc8:10=#992n6?9=c:J;2c=i9;;86<=je:k7`6<722c?>94?::k2432=831dn;750;9~f7>1:3;1?7>50z&24cd=98l<7)??8d8137e<@15<<323-;;4h4=73a8L=0a3g;9=>4>3g28m1b42900e9<;:188m461<3:17bl99;29?xd50?;1=7=50;2x 46aj3;:j:5+11:f>715k2B3:k5a1330>45a92c3ik4?::k761<722ei:44?::a6=6f280?6=4?{%33bg<>=<1/==6j:351g>N?>o1e=??<:01e6>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;2=<7?53;294~"68oh1=7)??8d8137e<@15<5;n`5=?6=3th948h51;194?6|,8:mn7?>f69'55>b2;=9o6F76g9m5774289m96g7eg83>>o3:=0;66am6883>>{e:1:36<4;:183!77nk02985+11:f>715k2B3:k5a1330>45a>2c?h>4?::k761<722c:<;:50;9lf3?=831vn?6:e;397?6=8r.:5<5<:583>5}#99li64;:;%33<`<5?;i0D58i;o3156<6;o20e9j<:188m1432900e<>94;29?jd113:17pl=84795?5=83:p(<>ib;32b2=#992n6?9=c:J;2c=i9;;86<=i9:k;ac<722c?>94?::ma2<<722wi>:hj:087>5<7s-;;jo46549'55>b2;=9o6F76g9m5774289mm6g;d283>>o3:=0;66g>07694?=hj?31<75rb35f0?7=;3:10g`954`03-;;4h4=73a8L=0a3g;9=>4>3g`8m=ca2900e9<;:188kg0>2900qo<8e282>6<729q/==hm:03e3>"681o1>:h6:891=>hl;h:fb?6=3`>987>5;n`5=?6=3th9;ol51;694?6|,8:mn77:5:&24=c=:>8h7E69f:l2645=9:lo7d:k3;29?l25<3:17d??6583>>ie>00;66sm26g1>4<4290;w)??fc825c1<,8:3i7<82b9K<3`n5G87d8j446;3;8jk5f4e194?=n<;>1<75f1147>5<47a?2.:<5k5260`?M>1n2d:><=51523?l>bn3:17d:=4;29?jd113:17pl=7c595?2=83:p(<>ib;;61>"681o1>:h6:891=9>>;h6g7?6=3`>987>5;h3321<722ei:44?::a62ba28086=4?{%33bg<69o=0(<>7e;046f=O0?l0b<<>3;3747=n0ll1<75f43694?=hj?31<75rb35a0?7=<3:10g`9=03<,8:3i7<82b9K<3`4?:1y'55`e28;m;6*>09g9624d3A2=j6`>201951633`2nj7>5;h610?6=3fh=57>5;|`13g4=93>1<7>t$02ef??2=2.:<5k5260`?M>1n2d:><=51526?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:>no6<4<:183!77nk0:=k94$02;a?40:j1C4;h4n0027?738?1b4hh50;9j072=831dn;750;9~f71e83;187>50z&24cd=17e;046f=O0?l0b<<>3;3742=n5<:283>5}#99li68h7E69f:l2645=9=:37d6jf;29?l25<3:17bl99;29?xd5?ho1=7:50;2x 46aj33>96*>09g9624d3A2=j6`>2019516>3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>:jm:080>5<7s-;;jo4>1g58 46?m38<>n5G87d8j446;3;?1<75`b7;94?=zj;=jh7?54;294~"68oh158;4$02;a?40:j1C4;h4n0027?738k1b8i=50;9j072=831b==8;:188kg0>2900qo<8cc82>6<729q/==hm:9d4?!>0:3nj:6*>09g9624d3A2=j6`>2019516d3`25;h:;4?6=3fo857>5;|`13ag=9391<7>t$02ef?76n>1/==6j:351g>N?>o1e=??<:063`>o?mo0;66g;2583>>ie>00;66sm26c`>4<3290;w)??fc8:10=#992n6?9=c:J;2c=i9;;86<:?e:k7`6<722c?>94?::k2432=831dn;750;9~f71di3;1?7>50z&24cd=0o=0(59=:ec5?!770l09;?m4H94e?k759:0:8=h4i95e>5<5<:283>5}#99li68h7E69f:l2645=9=;;7d6jf;29?l25<3:17bl99;29?xd5?hh1=7:50;2x 46aj33>96*>09g9624d3A2=j6`>201951763`>o?7>5;h610?6=3`;;:94?::ma2<<722wi>:m6:080>5<7s-;;jo47f69'<24=lh<0(<>7e;046f=O0?l0b<<>3;3757=n0>l1<75f89294?=hm:31<75rb35g0g`954`03-;;4h4=73a8L=0a3g;9=>4>4018m=ca2900e9<;:188kg0>2900qo<8a`82>1<729q/==hm:876?!770l09;?m4H94e?k759:0:8<:4i5f0>5<5;|`13f>=9391<7>t$02ef?>a?2.3;?4ka79'55>b2;=9o6F76g9m577428>:96g77g83>>o?090;66aj3883>>{e:>n<6<4<:183!77nk0:=k94$02;a?40:j1C4;h4n0027?739?1b4hh50;9j072=831dn;750;9~f71f13;187>50z&24cd=17e;046f=O0?l0b<<>3;3752=n5<:283>5}#99li65h8;%:46?bf>2.:<5k5260`?M>1n2d:><=5153;?l>0n3:17d670;29?jc413:17pl=7e495?5=83:p(<>ib;32b2=#992n6?9=c:J;2c=i9;;86<:>9:k;ac<722c?>94?::ma2<<722wi>:o7:087>5<7s-;;jo46549'55>b2;=9o6F76g9m577428>:m6g;d283>>o3:=0;66g>07694?=hj?31<75rb35`2?7=;3:10g`972900ch=6:188yg40mm0:6>4?:1y'55`e28;m;6*>09g9624d3A2=j6`>2019517d3`2nj7>5;h610?6=3fh=57>5;|`13f2=93>1<7>t$02ef??2=2.:<5k5260`?M>1n2d:><=5153g?l2c;3:17d:=4;29?l77>=0;66am6883>>{e:>n?6<4<:183!77nk03j:5+8609`d0<,8:3i7<82b9K<3`50;9la6?=831vn?9jc;397?6=8r.:5<5<4>:583>5}#99li64;:;%33<`<5?;i0D58i;o3156<6<;:0e9j<:188m1432900e<>94;29?jd113:17pl=7e195?5=83:p(<>ib;:e3>"??;0om;5+11:f>715k2B3:k5a1330>42592c3;k4?::k;<5<722en?44?::a62ce28086=4?{%33bg<69o=0(<>7e;046f=O0?l0b<<>3;3767=n0ll1<75f43694?=hj?31<75rb35`6?7=<3:10g`9=03<,8:3i7<82b9K<3`4?:1y'55`e21l<7)682;fb2>"681o1>:h6:891=9<;;h:4b?6=3`23<7>5;ng0=?6=3th9;ho51;194?6|,8:mn7?>f69'55>b2;=9o6F76g9m577428>996g7eg83>>o3:=0;66am6883>>{e:>i:6<4;:183!77nk02985+11:f>715k2B3:k5a1330>425>2c?h>4?::k761<722c:<;:50;9lf3?=831vn?9k1;397?6=8r.:5<47a?2.:<5k5260`?M>1n2d:><=5150;?l>bn3:17d:=4;29?jd113:17pl=7b295?2=83:p(<>ib;;61>"681o1>:h6:891=9<6;h6g7?6=3`>987>5;h3321<722ei:44?::a62b728086=4?{%33bg1/4:<5d`48 46?m38<>n5G87d8j446;3;?>l5f86d94?=n01:1<75`e2;94?=zj;=n47?53;294~"68oh1=7)??8d8137e<@15<5;n`5=?6=3th9;nh51;194?6|,8:mn76i7:&;37N?>o1e=??<:061`>o??o0;66g78183>>ib;00;66sm26g4>4<4290;w)??fc825c1<,8:3i7<82b9K<3`n5G87d8j446;3;?>k5f4e194?=n<;>1<75f1147>5<=`03-2<>7jn6:&24=c=:>8h7E69f:l2645=9=9;7d68f;29?l>?83:17bk<9;29?xd5?l<1=7=50;2x 46aj3;:j:5+11:f>715k2B3:k5a1330>42492c3ik4?::k761<722ei:44?::a62dc280?6=4?{%33bg<>=<1/==6j:351g>N?>o1e=??<:0606>o3l:0;66g;2583>>o68?>1<75`b7;94?=zj;=hh7?53;294~"68oh14k94$951>ag13-;;4h4=73a8L=0a3g;9=>4>4218m=1a2900e56?:188k`5>2900qo<8e182>6<729q/==hm:03e3>"681o1>:h6:891=9=;;h:fb?6=3`>987>5;n`5=?6=3th9;o851;694?6|,8:mn77:5:&24=c=:>8h7E69f:l2645=9=9>7d:k3;29?l25<3:17d??6583>>ie>00;66sm26a`>4<4290;w)??fc8;b2=#0>81hl84$02;a?40:j1C4;h4n0027?73;?1b4:h50;9j<=6=831di>750;9~f71c=3;1?7>50z&24cd=98l<7)??8d8137e<@15<<323-;;4h4=73a8L=0a3g;9=>4>42:8m1b42900e9<;:188m461<3:17bl99;29?xd5?j?1=7=50;2x 46aj32m;6*7738ge3=#992n6?9=c:J;2c=i9;;86<:<9:k;3c<722c34=4?::mf7<<722wi>:h=:087>5<7s-;;jo46549'55>b2;=9o6F76g9m577428>8m6g;d283>>o3:=0;66g>07694?=hj?31<75rb35e0g`9N?>o1e=??<:060f>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm26dg>4<2290;w)??fc8;b2=#0>81o;94$02;a?40:j1/jlm52841?M>1n2d:><=5151`?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=7g395?2=83:p(<>ib;;61>"681o1>:h6:891=9=k;h6g7?6=3`>987>5;h3321<722ei:44?::a62`0280>6=4?{%33bg1/4:<5b8a8 46?m38<>n5+f`a96<063A2=j6`>2019515b3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`13ce=93?1<7>t$02ef?>a?2.3;?4l669'55>b2;=9o6*iab81=37<@15<5<<323-;;4h4=73a8L=0a3g;9=>4>4528m1b42900e9<;:188m461<3:17bl99;29?xd5?o<1=7;50;2x 46aj32m;6*7738a=f=#992n6?9=c:&eef<51?:0D58i;o3156<6<=;0e59i:188m=>72900e56>:188m=>52900ch=6:188yg40nk0:684?:1y'55`e21l<7)682;a53>"681o1>:>91C4;h4n0027?73<;1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f71bn3;187>50z&24cd=17e;046f=O0?l0b<<>3;3706=n5<:483>5}#99li65h8;%:46?d>k2.:<5k5260`?!`fk3829k5G87d8j446;3;?895f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;=mm7?55;294~"68oh14k94$951>f003-;;4h4=73a8 cgd2;3>j6F76g9m577428>?96g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:>on6<4;:183!77nk02985+11:f>715k2B3:k5a1330>423>2c?h>4?::k761<722c:<;:50;9lf3?=831vn?9i4;391?6=8r.::h6:086>5<7s-;;jo47f69'<24=k?=0(<>7e;046f=#nhi1>4;j;I:5b>h6:891=9:7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9m9:51;494?6|,1=96:mm;%33<`<5?;i0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019512>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?o;3;392?6=8r.3;?48cc9'55>b2;=9o6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?73=831b8:850;9j554f2900e9?n:188k464;3:17pl=a5095?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9=>i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3c75?7=>3:15<5;|`1e16=93<1<7>t$951>2ee3-;;4h4=73a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=9:k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7g4n3;1:7>50z&;37<0kk1/==6j:351g>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5i:o1=7850;2x =152>ii7)??8d8137e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5156e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;k8h7?56;294~"??;0715k2.:1=O0?l0b<<>3;3715=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201951363`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?o;b;392?6=8r.3;?48cc9'55>b2;=9o6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?73=;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=a5c95?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9=?87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3c7=?7=>3:15<5;|`1e1>=93<1<7>t$951>2ee3-;;4h4=73a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=9;:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7g3?3;1:7>50z&;37<0kk1/==6j:351g>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5i=<1=7850;2x =152>ii7)??8d8137e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51574?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;k?97?56;294~"??;0715k2.:1=O0?l0b<<>3;371==n<1=1<75f43:94?=n<><1<75f110b>5<m51;494?6|,1=96:mm;%33<`<5?;i0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019513>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?ob2;=9o6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?73=h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=98195?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9=?i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3;:6?7=>3:15<5;|`1=<7=93<1<7>t$951>2ee3-;;4h4=73a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=9;k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7?>83;1:7>50z&;37<0kk1/==6j:351g>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd511o1=7850;2x =152>ii7)??8d8137e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5157e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;33h7?56;294~"??;0715k2.:1=O0?l0b<<>3;3725=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201951063`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?77b;392?6=8r.3;?48cc9'55>b2;=9o6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?73>;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=99c95?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9=<87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3;;=?7=>3:15<5;|`1==>=93<1<7>t$951>2ee3-;;4h4=73a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=98:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7???3;1:7>50z&;37<0kk1/==6j:351g>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd511<1=7850;2x =152>ii7)??8d8137e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51544?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;3397?56;294~"??;0715k2.:1=O0?l0b<<>3;372==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019510>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?769;392?6=8r.3;?48cc9'55>b2;=9o6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?73>h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=98:95?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9=>i68:91<75rb3;:3?7=>3:15<5;|`1=<0=93<1<7>t$951>2ee3-;;4h4=73a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=98k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7?>=3;1:7>50z&;37<0kk1/==6j:351g>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd510>1=7850;2x =152>ii7)??8d8137e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5154e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;33j7?56;294~"??;0715k2.:1=O0?l0b<<>3;3735=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201951163`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?773;392?6=8r.3;?48cc9'55>b2;=9o6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?73?;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=9d095?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9==87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3;f5?7=>3:15<5;|`1=`6=93<1<7>t$951>2ee3-;;4h4=73a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=99:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7?cn3;1:7>50z&;37<0kk1/==6j:351g>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd51mo1=7850;2x =152>ii7)??8d8137e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51554?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;3oh7?56;294~"??;0715k2.:1=O0?l0b<<>3;373==n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019511>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?7kb;392?6=8r.3;?48cc9'55>b2;=9o6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?73?h1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=9dc95?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9==i7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3;f=?7=>3:15<5;|`1=`>=93<1<7>t$951>2ee3-;;4h4=73a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=99k;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7?b?3;1:7>50z&;37<0kk1/==6j:351g>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd51l<1=7850;2x =152>ii7)??8d8137e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5155e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;3n97?56;294~"??;0715k2.:1=O0?l0b<<>3;37<5=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201951>63`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?7j3;392?6=8r.3;?48cc9'55>b2;=9o6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?730;1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=9ec95?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9=287d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3;g=?7=>3:15<5;|`1=d6=93<1<7>t$951>2e23-;;4h4=73a8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:85;4i5:4>5<5<5;h62e?6=3f;;?>4?::a6h6:891=969;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7?>j3;1:7>50z&;37<0k<1/==6j:351g>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<:77:k7<2<722c?>54?::k733<722c:715k2d9>=4?;o01e?6h3?l0;7c99a;28j<36291ei>850:l247e=82d?1n2P8m=4m{0df>c2=9>=1=:;5f38e5?70<3;<:7h<:g29502=ug;9=>4>49:8m17b2900e<>:8;29?l77=00;66g;2183>>o685<n7>5;h61>4?::k75g<722c:<8;50;9j553c2900e>?k:18'bd>=;:90bko8:198m67d290/jl653218jcg02810e>?m:18'bd>=;:90bko8:398m67f290/jl653218jcg02:10e>?6:18'bd>=;:90bko8:598m67?290/jl653218jcg02<10e>?8:18'bd>=;:90bko8:798m671290/jl653218jcg02>10e>=;:90bko8:998m64>290/jl653218jcg02010e><7:18'bd>=;:90bko8:`98m640290/jl653218jcg02k10e><9:18'bd>=;:90bko8:b98m642290/jl653218jcg02m10e><>:18'bd>=;:90bko8:d98m67a290/jl653218jcg02o10e>?::18'bd>=;:90bko8:028?l56;3:1(ko7:210?k`f?3;:76gi0c83>>oa8h0;66gi0883>>oa810;66gi0683>>oa8?0;66gi0483>>oa8=0;66gi0383>>oa880;66gi0183>>obno0;66gjfd83>>obnm0;66gjfb83>>obnk0;66gjf`83>>obn00;66gjf683>>obn?0;66gjf483>>obn=0;66gjf283>>obn;0;66gjf083>>obn90;66gjeg83>>obml0;66gjeb83>>obmk0;66gje`83>>obm00;66gje983>>obm>0;66gje783>>obm<0;66gje583>>obm:0;66gi1183>>oa8o0;66gi0d83>>oa8m0;66gi0b83>>oa8:0;66gjf983>>obmm0;66gje383>>obm80;66g!`f03>;>6`ia683?>o4ko0;6)hn8;636>hai>0:76g!`f03>;>6`ia681?>o4km0;6)hn8;636>hai>0876g!`f03>;>6`ia687?>o4kk0;6)hn8;636>hai>0>76g!`f03>;>6`ia685?>o4k00;6)hn8;636>hai>0<76g!`f03>;>6`ia68;?>o4k?0;6)hn8;636>hai>0276g!`f03>;>6`ia68b?>o4k=0;6)hn8;636>hai>0i76g!`f03>;>6`ia68`?>o4k;0;6)hn8;636>hai>0o76g!`f03>;>6`ia68f?>o4k90;6)hn8;636>hai>0m76g!`f03>;>6`ia6824>=n;ko1<7*ia98747=inh=1=<54i2``>5<#nh218=<4ngc4>44<3`9in7>5$gc;>1653glj;7?<;:k0fd<72-lj47:?2:lee2<6<21b?o750;&ee=<38;1ejl951498m6d?290/jl654108jcg028<07d=m7;29 cg?2=:97chn7;34?>o4j?0;6)hn8;636>hai>0:465f3c794?"ai10?=;odb3?7e32c8n<4?:%dbc:9j7g6=83.mm54;039mbd1=9m10e>oi:18'bd>=<980bko8:0g8?l5fm3:1(ko7:521?k`f?3;m76g!`f03>;>6`ia6814>=n;hi1<7*ia98747=inh=1><54i2ca>5<#nh218=<4ngc4>74<3`9jm7>5$gc;>1653glj;7<<;:k0e<<72-lj47:?2:lee2<5<21b?l650;&ee=<38;1ejl952498m6b?290/jl654108jcg02;<07d=k7;29 cg?2=:97chn7;04?>o4l?0;6)hn8;636>hai>09465f3e694?"ai10?=;odb3?4e32c8ni4?:%dbo8:18'bd>=<980bko8:3g8?l5f;3:1(ko7:521?k`f?38m76gj2b83>!`f03o886`ia683?>ob:k0;6)hn8;g00>hai>0:76gj2`83>!`f03o886`ia681?>ob:00;6)hn8;g00>hai>0876gj2983>!`f03o886`ia687?>ob:>0;6)hn8;g00>hai>0>76gj2783>!`f03o886`ia685?>ob:<0;6)hn8;g00>hai>0<76g;9083>!`f03>jn6`ia683?>o3190;6)hn8;6bf>hai>0:76g;8g83>!`f03>jn6`ia681?>o30l0;6)hn8;6bf>hai>0876g;8e83>!`f03>jn6`ia687?>o30j0;6)hn8;6bf>hai>0>76g;8c83>!`f03>jn6`ia685?>o30h0;6)hn8;6bf>hai>0<76g;a383>!`f03>jn6`ia68;?>o3i90;6)hn8;6bf>hai>0276g;9e83>!`f03>jn6`ia68b?>o31j0;6)hn8;6bf>hai>0i76g;9883>!`f03>jn6`ia68`?>o31<0;6)hn8;6bf>hai>0o76g;9583>!`f03>jn6`ia68f?>o31:0;6)hn8;6bf>hai>0m76g;8883>!`f03>jn6`ia6824>=n<121<7*ia987eg=inh=1=<54i30:>5<#nh21>8<4ngc4>5=5<#nh21>8<4ngc4>7=54i306>5<#nh21>8<4ngc4>1=5<#nh21>8<4ngc4>3=5<#nh21>8<4ngc4>==5<#nh21>8<4ngc4>d=5<#nh21>8<4ngc4>f=6=4+f`:96045<#nh21>8<4ngc4>`=5<#nh21>8<4ngc4>46<3`8:i7>5$gc;>7353glj;7?>;:k013<722c8984?::k011<722c89>4?::k017<722c89<4?::k015<722c88k4?::k01`<722c89i4?::k01f<722c89o4?::k01d<722c8944?::k01=<722c89:4?::k00`<722c88i4?::m755<722e?4?::m0<7<722e84<4?::m0<5<722e8;k4?::m03`<722e8;i4?::m031<722e8;>4?::mf<6<722en4?4?::mf<4<722en4=4?::mf3c<722en;h4?::mf3a<722en;n4?::mf3d<722en;44?::mf3=<722en;:4?::mf33<722en;84?::mf31<722en;>4?::mf37<722en;<4?::mf2c<722en:h4?::mf2a<722en:n4?::mf2g<722en:l4?::mf2<<722en:54?::mf22<722en:;4?::mf21<722en:>4?::mf27<722en:<4?::mf25<722en9l4?::mf17<722en8o4?::mf06<722en?k4?::mfe0<722en5n4?::mf=3<722en4i4?::mf<0<722en;o4?::mf35<722en:84?::mf7`<722en?i4?::me2a<722em:n4?::me2g<722em:l4?::me2<<722em:54?::me22<722em:;4?::me21<722em:>4?::me27<722em:<4?::me25<722em9k4?::me1`<722em9i4?::me1f<722em9o4?::me1<<722em954?::me12<722em9;4?::me10<722em994?::me16<722em9?4?::me14<722em9=4?::me0`<722em8i4?::me0f<722em8o4?::me0d<722em844?::me0=<722em8:4?::me03<722em884?::me37<722em;<4?::me35<722em:k4?::me2`<722em:84?::me1d<722em8k4?::me01<722em8>4?::m:5a<722e2=n4?::m:5g<722e2=l4?::m:5<<722e2=54?::m:53<722e2=84?::m:51<722e2=>4?::m:57<722e2=<4?::m:55<722e2<91ejl951498k<62290/jl659528jcg028<07b7?4;29 cg?20>;7chn7;34?>i>8:0;6)hn8;;74>hai>0:465`91094?"ai1028=5af`595<=<4?::m:65<722e2=k4?::m:5`<722e2=:4?::m:4f<722e2<<4?::m:45<722wi>lm>:085>5<7s-2<>79l5:&24=c=:>8h7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201951>>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?ol0;392?6=8r.3;?48c49'55>b2;=9o6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>42?i2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38<>n5+11da>462:2.:53038 =??2=1C4;h4n0027?730k1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=ab:95?0=83:p(59=:6a6?!770l09;?m4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6<1i0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm2`a4>4<1290;w)682;5`1>"681o1>:m0;125>"?110?7E69f:l2645=9=2o7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3c`2?7=>3:13i6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0bg0<62?0;6=u+86093f3<,8:3i7<82b9'55`e28:>>6*>0c29747<,133695G87d8j446;3;?4k5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1ef2=93<1<7>t$951>2e23-;;4h4=73a8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:84>4i5:4>5<5<5;h62e?6=3f;;?>4?::a6de4280=6=4?{%:46?1d=2.:<5k5260`?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=97>;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7gd:3;1:7>50z&;37<0k<1/==6j:351g>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<:62:k7<2<722c?>54?::k733<722c:7e;046f=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd5iko1=7850;2x =152>i>7)??8d8137e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>4868m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:>3m6<49:183!>0:3=h96*>09g9624d3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=515;6?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;=2i7?56;294~"??;0715k2.:N?>o1e=??<:06:2>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?40:j1/==hm:0266>"68k:1?1=O0?l0b<<>3;37=2=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>:7n:085>5<7s-2<>79l5:&24=c=:>8h7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201951?>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?969;392?6=8r.3;?48c49'55>b2;=9o6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>42>i2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38<>n5+11da>462:2.:53038 =??2=1C4;h4n0027?731k1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=78595?0=83:p(59=:6a6?!770l09;?m4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6<0i0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm26;5>4<1290;w)682;5`1>"681o1>:m0;125>"?110?7E69f:l2645=9=3o7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb35:1?7=>3:12i6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c04=1<62?0;6=u+86093f3<,8:3i7<82b9'55`e28:>>6*>0c29747<,133695G87d8j446;3;?5k5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`13<5=93<1<7>t$951>2e23-;;4h4=73a8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:8l>4i5:4>5<5<5;h62e?6=3f;;?>4?::a62?5280=6=4?{%:46?1d=2.:<5k5260`?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=9o>;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f71f>3;1:7>50z&;37<0k<1/==6j:351g>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<:n2:k7<2<722c?>54?::k733<722c:7e;046f=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd5?h>1=7850;2x =152>i>7)??8d8137e<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>4`68m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:>k86<49:183!>0:3=h96*>09g9624d3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=515c6?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;=j>7?56;294~"??;0715k2.:N?>o1e=??<:06b2>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?40:j1/==hm:0266>"68k:1?1=O0?l0b<<>3;37e2=n<1=1<75f43:94?=n<><1<75f110b>5<51;494?6|,1=96:m:;%33<`<5?;i0(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>:7l:085>5<7s-2<>79l5:&24=c=:>8h7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201951g>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?961;392?6=8r.3;?48c49'55>b2;=9o6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>42fi2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38<>n5+11da>462:2.:53038 =??2=1C4;h4n0027?73ik1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=9gg95?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9=kh7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3;e`?7=>3:15<5;|`1=ce=93<1<7>t$951>2ee3-;;4h4=73a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=9oj;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7?aj3;1:7>50z&;37<0kk1/==6j:351g>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd5i9<1=7850;2x =152>ii7)??8d8137e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=515`3?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;k;97?56;294~"??;0715k2.:1=O0?l0b<<>3;37f4=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201951d53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?o?3;392?6=8r.3;?48cc9'55>b2;=9o6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?73j:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=a1095?0=83:p(59=:6aa?!770l09;?m4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9=h?7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3c35?7=>3:15<5;|`1e56=93<1<7>t$951>2ee3-;;4h4=73a8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891=9l9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7?an3;1:7>50z&;37<0kk1/==6j:351g>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd51ok1=7850;2x =152>ii7)??8d8137e<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=515`;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;3m57?56;294~"??;0715k2.:1=O0?l0b<<>3;37f<=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>4lj:085>5<7s-2<>79lb:&24=c=:>8h7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>42ej2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38<>n5+11da>462:2.:511ce?!>>03>0D58i;o3156<6a;29?j77;:0;66sm28``>4<1290;w)682;5`f>"681o1>:m0;33ec=#002186F76g9m577428>ih6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0:fg<62?0;6=u+86093fd<,8:3i7<82b9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:8ok4i5:4>5<5<5;h62e?6=3f;;?>4?::a654?::k733<722c:k?0:6;4?:1y'<24=?jh0(<>7e;046f=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>4b28m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:0i>6<49:183!>0:3=hn6*>09g9624d3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:06`5>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?40:j1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi>4m<:085>5<7s-2<>79lb:&24=c=:>8h7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>42d;2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38<>n5+11da>462:2.:511ce?!>>03>0D58i;o3156<60e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm28a2>4<1290;w)682;5`f>"681o1>:m0;33ec=#002186F76g9m577428>h96g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0:g5<62?0;6=u+86093fd<,8:3i7<82b9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:8n84i5:4>5<5<5;h62e?6=3f;;?>4?::a654?::k733<722c:j00:6;4?:1y'<24=?jh0(<>7e;046f=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>4b:8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:0h36<49:183!>0:3=hn6*>09g9624d3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:06`=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?40:j1/==hm:0266>"68k:1?1=O0?l0b<<>3;37gd=n<1=1<75f43:94?=n<><1<75f110b>5<51;494?6|,1=96:m:;%33<`<5?;i0(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>l76:085>5<7s-2<>79l5:&24=c=:>8h7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201951ed3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?o68;392?6=8r.3;?48c49'55>b2;=9o6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>42dl2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38<>n5+11da>462:2.:53038 =??2=1C4;h4n0027?73kl1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=a8495?0=83:p(59=:6a6?!770l09;?m4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6a;29?j77;:0;66sm2`;6>4<1290;w)682;5`1>"681o1>:m0;125>"?110?7E69f:l2645=9=n;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb3c:0?7=>3:1o=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0b=6<62?0;6=u+86093f3<,8:3i7<82b9'55`e28:>>6*>0c29747<,133695G87d8j446;3;?h?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1e<4=93<1<7>t$951>2e23-;;4h4=73a8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:0:8i=4i5:4>5<5<5;h62e?6=3f;;?>4?::a6d>a280=6=4?{%:46?1d=2.:<5k5260`?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=9j;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7g?m3;1:7>50z&;37<0k<1/==6j:351g>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<:k5:k7<2<722c?>54?::k733<722c:00:6;4?:1y'<24=?jh0(<>7e;046f=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>4e48m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:h<36<49:183!>0:3=hn6*>09g9624d3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:06g3>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?40:j1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi>l89:085>5<7s-2<>79lb:&24=c=:>8h7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>42c12c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38<>n5+11da>462:2.:511ce?!>>03>0D58i;o3156<6a;29?j77;:0;66sm2`47>4<1290;w)682;5`f>"681o1>:m0;33ec=#002186F76g9m577428>on6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0b26<62?0;6=u+86093fd<,8:3i7<82b9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:8im4i5:4>5<5<5;h62e?6=3f;;?>4?::a6d05280=6=4?{%:46?1dj2.:<5k5260`?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<:kd:k7<2<722c?>54?::k733<722c:7e;046f=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>4eg8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:h=;6<49:183!>0:3=hn6*>09g9624d3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:06gb>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?40:j1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi>l8j:085>5<7s-2<>79lb:&24=c=:>8h7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>42b92c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m38<>n5+11da>462:2.:511ce?!>>03>0D58i;o3156<6a;29?j77;:0;66sm2`4`>4<1290;w)682;5`f>"681o1>:m0;33ec=#002186F76g9m577428>n?6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0b2g<62?0;6=u+86093fd<,8:3i7<82b9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:8h:4i5:4>5<5<5;h62e?6=3f;;?>4?::a6d0f280=6=4?{%:46?1dj2.:<5k5260`?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86<:j5:k7<2<722c?>54?::k733<722c:80:6;4?:1y'<24=?jh0(<>7e;046f=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>4d48m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:08:6<49:183!>0:3=h96*>09g9624d3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=515g4?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8o;j7?53;294~"68oh1=4?:1y'55`e28;m;6*>09g95ac>3A2=j6`>201951c>3`2nj7>5;h610?6=3fh=57>5;|`2`cd=93>1<7>t$02ef??2=2.:<5k51eg:?M>1n2d:><=515gb?l2c;3:17d:=4;29?l77>=0;66am6883>>{e9l:o6<4<:183!77nk0:=k94$02;a?7cm01C4;h4n0027?73mk1b4hh50;9j072=831dn;750;9~f4bai3;187>50z&24cd=17e;3ga<=O0?l0b<<>3;37af=n5<:283>5}#99li696*>09g95ac>3A2=j6`>201951cb3`>o?7>5;h610?6=3`;;:94?::ma2<<722wi=h>m:080>5<7s-;;jo4>1g58 46?m3;oi45G87d8j446;3;?ik5f8dd94?=n<;>1<75`b7;94?=zj8nm47?54;294~"68oh158;4$02;a?7cm01C4;h4n0027?73n91b8i=50;9j072=831b==8;:188kg0>2900qo?j0`82>6<729q/==hm:03e3>"681o1=ik6;I:5b>h6:891=9h>;h:fb?6=3`>987>5;n`5=?6=3th:hk951;694?6|,8:mn77:5:&24=c=9mo27E69f:l2645=9=l97d:k3;29?l25<3:17d??6583>>ie>00;66sm1d2:>4<4290;w)??fc825c1<,8:3i7?ke89K<3`1<75f1147>5<47a?2.:<5k51eg:?M>1n2d:><=515d6?l>bn3:17d:=4;29?jd113:17pl>dg795?2=83:p(<>ib;;61>"681o1=ik6;I:5b>h6:891=9h9;h6g7?6=3`>987>5;h3321<722ei:44?::a5`6028086=4?{%33bg<69o=0(<>7e;3ga<=O0?l0b<<>3;37b2=n0ll1<75f43694?=hj?31<75rb0fe0?7=<3:10g`9=03<,8:3i7?ke89K<3`4?:1y'55`e28;m;6*>09g95ac>3A2=j6`>201951`>3`2nj7>5;h610?6=3fh=57>5;|`2`c5=93>1<7>t$02ef??2=2.:<5k51eg:?M>1n2d:><=515db?l2c;3:17d:=4;29?l77>=0;66am6883>>{e9l9=6<49:183!>0:3=h96*>09g95ac>3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=515da?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8o897?56;294~"??;04bb12.:N?>o1e=??<:06eg>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?7cm01/==hm:0266>"68k:1?1=O0?l0b<<>3;37ba=n<1=1<75f43:94?=n<><1<75f110b>5<=51;494?6|,1=96:m:;%33<`<6ll30(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi=h==:085>5<7s-2<>79l5:&24=c=9mo27)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201951`a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vnb28nn56*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>43782c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3;oi45+11da>462:2.:53038 =??2=1C4;h4n0027?72881b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>e3d95?0=83:p(59=:6a6?!770l0:hh74$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6=980e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1d0f>4<1290;w)682;5`1>"681o1=ik6;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9<:87d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0g1`?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3f67<62?0;6=u+86093fd<,8:3i7?ke89'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:0:9=;4i5:4>5<5<5;h62e?6=3f;;?>4?::a5`46280=6=4?{%:46?1d=2.:<5k51eg:?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891=8>9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4c6i3;1:7>50z&;37<0k<1/==6j:0ff=>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86<;?7:k7<2<722c?>54?::k733<722c:7e;3ga<=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd6m8n1=7850;2x =152>i>7)??8d82``?<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4>51;8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9l;i6<49:183!>0:3=h96*>09g95ac>3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5142b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8o:j7?56;294~"??;04bb12.:N?>o1e=??<:073f>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<=4>:783>5}#0>81;n;4$02;a?7cm01/==hm:0266>"68k:1?1=O0?l0b<<>3;364f=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi=ik<:080>5<7s-;;jo47f69'<24=lh90(<>7e;3g57=O0?l0b<<>3;364`=n0>l1<75f89294?=hm:31<75rb061b?7==3:10g`972900e56>:188m=>52900ch=6:188yg73<00:694?:1y'55`e21l<7)682;ae<>"681o1=91:k;3c<722c34=4?::k;<4<722en?44?::a5121280?6=4?{%33bg1/4:<5cg:8 46?m3;?>h5+f`a951673A2=j6`>201950753`25;h:;4?6=3`23=7>5;ng0=?6=3th:89;51;694?6|,8:mn76i7:&;37"aij0:?kh4H94e?k759:0:9<=4i95e>5<5<=`03-2<>7mi8:&24=c=9=8n7)hnc;30bc=O0?l0b<<>3;3651=n0>l1<75f89294?=n01;1<75`e2;94?=zj8>??7?54;294~"68oh14k94$951>f`?3-;;4h4>43g8 cgd289mi6F76g9m577428?:96g77g83>>o?090;66g78083>>ib;00;66sm1561>4<3290;w)??fc8;b2=#0>81ok64$02;a?73:l1/jlm512df?M>1n2d:><=51435?l>0n3:17d670;29?l>?93:17bk<9;29?xd6<=;1=7:50;2x 46aj32m;6*7738`b==#992n6<:=e:&eef<6;on0D58i;o3156<6=8=0e59i:188m=>72900e56>:188k`5>2900qo?;4182>1<729q/==hm:9d4?!>0:3im46*>09g9514b3-ljo7?50;9j<=7=831di>750;9~f424n3;187>50z&24cd=0o=0(59=:bd;?!770l0:8?k4$gc`>45ak2B3:k5a1330>43612c3;k4?::k;<5<722c34<4?::mf7<<722wi=9=j:087>5<7s-;;jo47f69'<24=ko20(<>7e;376`=#nhi1=>hl;I:5b>h6:891=8?n;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`206b=93>1<7>t$02ef?>a?2.3;?4lf99'55>b28>9i6*iab827cd<@15<5<:583>5}#99li65h8;%:46?ea02.:<5k5150f?!`fk3;8jo5G87d8j446;3;>=n5f86d94?=n01:1<75f89394?=hm:31<75rb060e?7=<3:10g`9N?>o1e=??<:072`>o??o0;66g78183>>o?080;66aj3883>>{e9=926<4;:183!77nk03j:5+8609gc><,8:3i7?;2d9'bde=9:lj7E69f:l2645=9<;n7d68f;29?l>?83:17d671;29?jc413:17pl>42:95?2=83:p(<>ib;:e3>"??;0hj55+11:f>425m2.mmn4>3g;8L=0a3g;9=>4>50d8m=1a2900e56?:188m=>62900ch=6:188yg73;>0:694?:1y'55`e21l<7)682;ae<>"681o1=91/4:<5cg:8 46?m3;?>h5+f`a956`?3A2=j6`>201950453`25;h:;4?6=3`23=7>5;ng0=?6=3th:8>:51;694?6|,8:mn76i7:&;37"aij0:?k94H94e?k759:0:9?=4i95e>5<5<=`03-2<>7mi8:&24=c=9=8n7)hnc;30b2=O0?l0b<<>3;3661=n0>l1<75f89294?=n01;1<75`e2;94?=zj8>8>7?54;294~"68oh14k94$951>f`?3-;;4h4>43g8 cgd289m:6F76g9m577428?996g77g83>>o?090;66g78083>>ib;00;66sm1513>4<3290;w)??fc8;b2=#0>81ok64$02;a?73:l1/jlm512d5?M>1n2d:><=51405?l>0n3:17d670;29?l>?93:17bk<9;29?xd6<=l1=7:50;2x 46aj32m;6*7738`b==#992n6<:=e:&eef<6<9o0D58i;o3156<6=;=0e59i:188m=>72900e56>:188k`5>2900qo?;4d82>1<729q/==hm:9d4?!>0:3im46*>09g9514b3-ljo7?;0d9K<3`50;9j<=7=831di>750;9~f423l3;187>50z&24cd=0o=0(59=:bd;?!770l0:8?k4$gc`>427l2B3:k5a1330>43512c3;k4?::k;<5<722c34<4?::mf7<<722wi=9:l:087>5<7s-;;jo47f69'<24=ko20(<>7e;376`=#nhi1=9>k;I:5b>h6:891=85;h:;5?6=3fo857>5;|`201d=93>1<7>t$02ef?>a?2.3;?4lf99'55>b28>9i6*iab8205e<@15<5<:583>5}#99li65h8;%:46?ea02.:<5k5150f?!`fk3;?>n5f86d94?=n01:1<75f89394?=hm:31<75rb0673?7=<3:10g`9N?>o1e=??<:071`>o??o0;66g78183>>o?080;66aj3883>>{e9=9h6<4;:183!77nk03j:5+8609gc><,8:3i7?;2d9'bde=9=:i7E69f:l2645=9<8n7d68f;29?l>?83:17d671;29?jc413:17pl>42395?2=83:p(<>ib;:e3>"??;0hj55+11:f>425m2.mmn4>41d8L=0a3g;9=>4>53d8m=1a2900e56?:188m=>62900ch=6:188yg5d0=0:694?:1y'55`e21l<7)682;ae<>"681o1?oj7;%dbg?74mm1C4;h4n0027?72;91b4:h50;9j<=6=831b45?50;9la6?=831vn>m75;390?6=8r.:<,okh6<=jd:J;2c=i9;;86<;<1:k;3c<722c34=4?::k;<4<722en?44?::a7f>1280?6=4?{%33bg1/4:<5cg:8 46?m39ih55+f`a956cd3A2=j6`>201950553`25;h:;4?6=3`23=7>5;ng0=?6=3th8o5951;694?6|,8:mn76i7:&;37"aij0:?hm4H94e?k759:0:9>=4i95e>5<5<=`03-2<>7mi8:&24=c=;kn37)hnc;30ag=O0?l0b<<>3;3671=n0>l1<75f89294?=n01;1<75`e2;94?=zj:i357?54;294~"68oh14k94$951>f`?3-;;4h4>o?090;66g78083>>ib;00;66sm3b:b>4<3290;w)??fc8;b2=#0>81ok64$02;a?5el11/jlm512gb?M>1n2d:><=51415?l>0n3:17d670;29?l>?93:17bk<9;29?xd4k1h1=7:50;2x 46aj32m;6*7738`b==#992n6>lk8:&eef<6;lk0D58i;o3156<6=:=0e59i:188m=>72900e56>:188k`5>2900qo=l8b82>1<729q/==hm:9d4?!>0:3im46*>09g97gb?3-ljo7?50;9j<=7=831di>750;9~f6e0l3;187>50z&24cd=0o=0(59=:bd;?!770l08ni64$gc`>45b12B3:k5a1330>43412c3;k4?::k;<5<722c34<4?::mf7<<722wi?n9j:087>5<7s-;;jo47f69'<24=ko20(<>7e;1a`==#nhi1=>k7;I:5b>h6:891=8=n;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`0g2`=93>1<7>t$02ef?>a?2.3;?4lf99'55>b2:ho46*iab827`><@15<5<:583>5}#99li65h8;%:46?ea02.:<5k53cf;?!`fk3;8i:5G87d8j446;3;>?n5f86d94?=n01:1<75f89394?=hm:31<75rb2a;5?7=<3:10g`9N?>o1e=??<:070`>o??o0;66g78183>>o?080;66aj3883>>{e;j296<4;:183!77nk03j:5+8609gc><,8:3i7=md99'bde=9:o=7E69f:l2645=9<9n7d68f;29?l>?83:17d671;29?jc413:17plib;:e3>"??;0hj55+11:f>6dc02.mmn4>3d48L=0a3g;9=>4>52d8m=1a2900e56?:188m=>62900ch=6:188yg5a=10:6>4?:1y'55`e21l<7)682;f42>"681o1?oj7;%dbg?74j?1C4;h4n0027?72<91b4:h50;9j<=6=831di>750;9~f057>3;187>50z&24cd=0o=0(59=:d25?!770l0>>l84$gc`>44e02B3:k5a1330>43392c3;k4?::k;<5<722c34<4?::mf7<<722wi9>>n:087>5<7s-;;jo47f69'<24=l>80(<>7e;71e3=#nhi1=>8m;I:5b>h6:891=8:=;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`0gag=93=1<7>t$02ef?>a?2.3;?4ka19'55>b2:ho46F76g9m577428???6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;j2o6<4;:183!77nk03j:5+8609`36<,8:3i7=md99'bde=9:h;7E69f:l2645=9<>?7d68f;29?l>?83:17d671;29?jc413:17plib;:e3>"??;0o:=5+11:f>6dc02.mmn4>3c28L=0a3g;9=>4>5578m=1a2900e56?:188m=>62900ch=6:188yg7c1k0:6>4?:1y'55`e21l<7)682;g2g>"681o1=i?=;%dbg?74jl1C4;h4n0027?72750;9~f6`6>3;1;7>50z&24cd=0o=0(59=:c`;?!770l08ni64H94e?k759:0:9994i95e>5<5<5<5<:783>5}#99li65h8;%:46?d>?2.:<5k53cf;?M>1n2d:><=5146;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd4km91=7:50;2x 46aj32m;6*7738g37=#992n6>lk8:&eef<6;>o0D58i;o3156<6==30e59i:188m=>72900e56>:188k`5>2900qo=ld382>1<729q/==hm:9d4?!>0:3n<>6*>09g97gb?3-ljo7?<789K<3`50;9j<=7=831di>750;9~f6ec83;187>50z&24cd=0o=0(59=:e51?!770l08ni64$gc`>45012B3:k5a1330>433j2c3;k4?::k;<5<722c34<4?::mf7<<722wi?nmi:087>5<7s-;;jo47f69'<24=l>80(<>7e;1a`==#nhi1=>97;I:5b>h6:891=8:l;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`0gfc=93>1<7>t$02ef?>a?2.3;?4k739'55>b2:ho46*iab8272><@15<5<:583>5}#99li65h8;%:46?b0:2.:<5k53cf;?!`fk3;8;:5G87d8j446;3;>8h5f86d94?=n01:1<75f89394?=hm:31<75rb2a`g?7=<3:10g`9N?>o1e=??<:077b>o??o0;66g78183>>o?080;66aj3883>>{e;jii6<4;:183!77nk03j:5+8609`24<,8:3i7=md99'bde=9:==7E69f:l2645=9?83:17d671;29?jc413:17plib;:e3>"??;0o;?5+11:f>6dc02.mmn4>3648L=0a3g;9=>4>5438m=1a2900e56?:188m=>62900ch=6:188yg5dk00:694?:1y'55`e21l<7)682;f46>"681o1?oj7;%dbg?74?<1C4;h4n0027?72=;1b4:h50;9j<=6=831b45?50;9la6?=831vn>ml8;390?6=8r.:<,okh6<=85:J;2c=i9;;86<;:3:k;3c<722c34=4?::k;<4<722en?44?::a7fe0280?6=4?{%33bg1/4:<5d608 46?m39ih55+f`a956133A2=j6`>201950333`25;h:;4?6=3`23=7>5;ng0=?6=3th8on;51;694?6|,8:mn76i7:&;37"aij0:?::4H94e?k759:0:98;4i95e>5<5<=`03-2<>7j82:&24=c=;kn37)hnc;3036=O0?l0b<<>3;3613=n0>l1<75f89294?=n01;1<75`e2;94?=zj:ih?7?54;294~"68oh14k94$951>a153-;;4h4;6g77g83>>o?090;66g78083>>ib;00;66sm3ba1>4<3290;w)??fc8;b2=#0>81h:<4$02;a?5el11/jlm51251?M>1n2d:><=5147;?l>0n3:17d670;29?l>?93:17bk<9;29?xd4kj;1=7:50;2x 46aj32m;6*7738g37=#992n6>lk8:&eef<6;>80D58i;o3156<6=<30e59i:188m=>72900e56>:188k`5>2900qo=lc182>1<729q/==hm:9d4?!>0:3n<>6*>09g97gb?3-ljo7?<709K<3`50;9j<=7=831di>750;9~f6een3;187>50z&24cd=0o=0(59=:e51?!770l08ni64$gc`>45092B3:k5a1330>432j2c3;k4?::k;<5<722c34<4?::mf7<<722wi?nlj:087>5<7s-;;jo47f69'<24=l>80(<>7e;1a`==#nhi1=>9?;I:5b>h6:891=8;l;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`0ggb=93>1<7>t$02ef?>a?2.3;?4k739'55>b2:ho46*iab82726<@15<5<:583>5}#99li65h8;%:46?b0:2.:<5k53cf;?!`fk3;8:k5G87d8j446;3;>9h5f86d94?=n01:1<75f89394?=hm:31<75rb2aae?7=<3:10g`9N?>o1e=??<:076b>o??o0;66g78183>>o?080;66aj3883>>{e;jn26<4;:183!77nk03j:5+8609`24<,8:3i7=md99'bde=9:=n7E69f:l2645=9<<;7d68f;29?l>?83:17d671;29?jc413:17plib;:e3>"??;0o;?5+11:f>6dc02.mmn4>36f8L=0a3g;9=>4>5738m=1a2900e56?:188m=>62900ch=6:188yg5dl>0:694?:1y'55`e21l<7)682;f46>"681o1?oj7;%dbg?74?m1C4;h4n0027?72>;1b4:h50;9j<=6=831b45?50;9la6?=831vn>mk6;390?6=8r.:<,okh6<=8c:J;2c=i9;;86<;93:k;3c<722c34=4?::k;<4<722en?44?::a7fb2280?6=4?{%33bg1/4:<5d608 46?m39ih55+f`a9561d3A2=j6`>201950033`25;h:;4?6=3`23=7>5;ng0=?6=3th8oi:51;694?6|,8:mn76i7:&;37"aij0:?:l4H94e?k759:0:9;;4i95e>5<5<=`03-2<>7j82:&24=c=;kn37)hnc;303g=O0?l0b<<>3;3623=n0>l1<75f89294?=n01;1<75`e2;94?=zj:iin7?54;294~"68oh14k94$951>a153-;;4h4>o?090;66g78083>>ib;00;66sm3ba5>4<3290;w)??fc8;b2=#0>81h:<4$02;a?5el11/jlm5125b?M>1n2d:><=5144;?l>0n3:17d670;29?l>?93:17bk<9;29?xd4l8<1=7;50;2x 46aj32m;6*7738afg=#992n6>lk8:J;2c=i9;;86<;99:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi?noj:084>5<7s-;;jo47f69'<24=jki0(<>7e;1a`==O0?l0b<<>3;362d=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb2a:a?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg5d1m0:6:4?:1y'55`e21l<7)682;`ag>"681o1?oj7;I:5b>h6:891=88l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8o4>51;594?6|,8:mn76i7:&;37N?>o1e=??<:075`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3b:e>4<0290;w)??fc8;b2=#0>81nom4$02;a?5el11C4;h4n0027?72>l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6ef<3;187>50z&24cd=0o=0(59=:bf4?!770l08ni64$gc`>45ej2B3:k5a1330>431n2c3;k4?::k;<5<722c34<4?::mf7<<722wi?no9:087>5<7s-;;jo47f69'<24=l880(<>7e;1a`==#nhi1=>;:;I:5b>h6:891=89?;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`0b67=93=1<7>t$02ef?>a?2.3;?4la19'55>b2:ho46F76g9m577428?<=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;o8j6<4;:183!77nk03j:5+8609`24<,8:3i7=md99'bde=9:?>7E69f:l2645=9<=97d68f;29?l>?83:17d671;29?jc413:17plib;:e3>"??;0om>5+11:f>6dc02.mmn4>3c58L=0a3g;9=>4>5618m=1a2900e56?:188k`5>2900qo=lb382>2<729q/==hm:9d4?!>0:3ih46*>09g97gb?3A2=j6`>201950133`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0gd`=93=1<7>t$02ef?>a?2.3;?4lc99'55>b2:ho46F76g9m577428?<96g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;jni6<4;:183!77nk03j:5+8609a4g<,8:3i7=md99'bde=9:h>7E69f:l2645=9<==7d68f;29?l>?83:17d671;29?jc413:17pl:31695?3=83:p(<>ib;:e3>"??;0o;=5+11:f>04f>2.mmn4>2c:8L=0a3g;9=>4>5658m=1a2900e56?:188m=>62900e56=:188k`5>2900qo=k4882>0<729q/==hm:9d4?!>0:3n>j6*>09g97gb?3-ljo7?<4d9K<3`50;9j<=7=831b45<50;9la6?=831vn>j;7;391?6=8r.:<,okh6<=;e:J;2c=i9;;86<;89:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi?o;k:085>5<7s-;;jo47f69'<24=l?k0(<>7e;3`61=#nhi1=9?k;I:5b>h6:891=89n;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`0f0?=93<1<7>t$02ef?>a?2.3;?4k6g9'55>b28i986*iab8204?<@15<5<5<:783>5}#99li65h8;%:46?b1>2.:<5k51b07?!`fk3;?=45G87d8j446;3;>;n5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb2`67?7=>3:10g`90(kol:062e>N?>o1e=??<:074`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e9ji>6<48:183!77nk03j:5+8609`06<,8:3i7?lbe9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>l:b;392?6=8r.:b:J;2c=i9;;86<;8f:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a7g30280=6=4?{%33bg1/4:<5de18 46?m3;h>95+f`a9517e3A2=j6`>201950>73`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th8n8;51;494?6|,8:mn76i7:&;37?1/==6j:0a10>"aij0:85<5<5<=`03-2<>7jk3:&24=c=9j8?7)hnc;375f=O0?l0b<<>3;36<7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj:h>=7?56;294~"68oh14k94$951>`663-;;4h4>c368 cgd28>:o6F76g9m577428?3?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm3bg;>4<0290;w)??fc8;b2=#0>81h;;4$02;a?5el11C4;h4n0027?720=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6ecl3;1:7>50z&24cd=0o=0(59=:e0g?!770l08ni64$gc`>442n2B3:k5a1330>43?=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi9>>?:085>5<7s-;;jo47f69'<24=lm90(<>7e;71e3=#nhi1=9?k;I:5b>h6:891=869;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`0`44=93<1<7>t$02ef?>a?2.3;?4kcg9'55>b2:ho46*iab8260d<@15<5<5<:783>5}#99li65h8;%:46?b?12.:<5k53cf;?M>1n2d:><=514:;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd4n<=1=7950;2x 46aj32m;6*7738g36=#992n6>lk8:J;2c=i9;;86<;79:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?i??:084>5<7s-;;jo47f69'<24=l=h0(<>7e;1a`==O0?l0b<<>3;36l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb2d20?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo=i5582>2<729q/==hm:9d4?!>0:3ijo6*>09g97gb?3A2=j6`>201950>d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0gcc=93<1<7>t$02ef?>a?2.3;?4kd29'55>b2:ho46*iab8260e<@15<5<5<:783>5}#99li65h8;%:46?c7:2.:<5k53cf;?!`fk3;99k5G87d8j446;3;>4h5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb2f20?7=>3:10g`9N?>o1e=??<:07;b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;o8m6<49:183!77nk03j:5+8609`40<,8:3i7=md99'bde=9:>:7E69f:l2645=9<3;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>47095?5=83:p(<>ib;:e3>"??;0n=i5+11:f>42292.mmn4>40:8L=0a3g;9=>4>5838m=1a2900e56?:188k`5>2900qo;<3b82>2<729q/==hm:9d4?!>0:3n>=6*>09g916403A2=j6`>201950?53`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`6760=93=1<7>t$02ef?>a?2.3;?4k569'55>b2<99;6F76g9m577428?2?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=:8h6<49:183!77nk03j:5+8609`3g<,8:3i7;<269K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f055n3;1:7>50z&24cd=0o=0(59=:b74?!770l0>??94H94e?k759:0:94;4i95e>5<5<5<=`03-2<>7m:7:&24=c==:8<7E69f:l2645=9<3=7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl:33`95?2=83:p(<>ib;:e3>"??;0o9o5+11:f>055?2.mmn4>39`8L=0a3g;9=>4>5858m=1a2900e56?:188m=>62900ch=6:188yg34;h0:694?:1y'55`e21l<7)682;`:1>"681o19><8;%dbg?740k1C4;h4n0027?72111b4:h50;9j<=6=831b45?50;9la6?=831vn8==d;397?6=8r.:5<=`03-2<>7j86:&24=c==:8<7)hnc;30f<=O0?l0b<<>3;36=d=n0>l1<75f89294?=hm:31<75rb5a60?7=>3:10g`9<0D58i;o3156<6=0h0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo:l5782>3<729q/==hm:9d4?!>0:3ihj6*>09g90g113A2=j6`>201950?d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?o8651;494?6|,8:mn76i7:&;37N?>o1e=??<:07:`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831di>750;9~f1e2k3;1:7>50z&24cd=0o=0(59=:bae?!770l0?n:84H94e?k759:0:94h4i95e>5<5<5<=`03-2<>7mlf:&24=c=?83:17d671;29?l>?:3:17d673;29?jc413:17pl;c7295?0=83:p(<>ib;:e3>"??;0hok5+11:f>1d0>2.mmn4>3568L=0a3g;9=>4>5`38m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2d>;0:694?:1y'55`e21l<7)682;f:e>"681o18o99;%dbg?74<=1C4;h4n0027?72i;1b4:h50;9j<=6=831b45?50;9la6?=831vn99k4;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m<2:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3?mh1=7:50;2x 46aj32m;6*7738a=0=#992n69;mc:J;2c=i9;;86<;n5:k;3c<722c34=4?::k;<4<722en?44?::a0g0?280?6=4?{%33bg1/4:<5ced8 46?m3>>nn5G87d8j446;3;>m;5f86d94?=n01:1<75f89394?=hm:31<75rb5`:`?7=>3:10g`9<0D58i;o3156<6=h=0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo:8e882>3<729q/==hm:9d4?!>0:3i9:6*>09g900dd3A2=j6`>201950g?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?n4l51;494?6|,8:mn76i7:&;37N?>o1e=??<:07b=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831di>750;9~f1d>m3;1:7>50z&24cd=0o=0(59=:b55?!770l0?n:84H94e?k759:0:9ll4i95e>5<5<5<=`03-2<>7m86:&24=c=?83:17d671;29?l>?:3:17d673;29?jc413:17pl;b`295?0=83:p(<>ib;:e3>"??;0h:?5+11:f>1d0>2B3:k5a1330>43fl2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi8oo>:085>5<7s-;;jo47f69'<24=k?80(<>7e;6a33=O0?l0b<<>3;36e`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=hj>7?56;294~"68oh14k94$951>f053-;;4h4;b648L=0a3g;9=>4>5`d8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2ei:0:6;4?:1y'55`e21l<7)682;a56>"681o18o99;I:5b>h6:891=8l?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7fd2=93<1<7>t$02ef?>a?2.3;?4l779'55>b2=h<:6F76g9m577428?i=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm4cc6>4<1290;w)??fc8;b2=#0>81o:84$02;a?2e??1C4;h4n0027?72j;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn96?0;392?6=8r.:5<5<5<3:683>5}#99li65h8;%:46?ee92.:<5k544``?M>1n2d:><=514`7?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;7d495?1=83:p(<>ib;:e3>"??;0h=k5+11:f>13ek2B3:k5a1330>43e=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0g33280?6=4?{%33bg1/4:<5d`08 46?m3>>nn5+f`a9563?3A2=j6`>201950d13`25;h:;4?6=3`23=7>5;ng0=?6=3th?;i;51;594?6|,8:mn76i7:&;37N?>o1e=??<:07a3>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4c53>4<0290;w)??fc8;b2=#0>81h750;9~f11c?3;1;7>50z&24cd=0o=0(59=:b11?!770l0?9om4H94e?k759:0:9o74i95e>5<5<5<5<:683>5}#99li65h8;%:46?e4:2.:<5k544``?M>1n2d:><=514`b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;7e295?1=83:p(<>ib;:e3>"??;0h??5+11:f>13ek2B3:k5a1330>43ej2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a02b4280<6=4?{%33bg1/4:<5c208 46?m3>>nn5G87d8j446;3;>nn5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=2i87?57;294~"68oh14k94$951>a`d3-;;4h4;5ca8L=0a3g;9=>4>5cf8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:8d082>2<729q/==hm:9d4?!>0:3i8>6*>09g900dd3A2=j6`>201950db3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7=66=93=1<7>t$02ef?>a?2.3;?4md99'55>b2=?io6F76g9m577428?ij6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<0996<48:183!77nk03j:5+8609`c3<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9lne;393?6=8r.:5<5<5<=`03-2<>7jk3:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;b7395?5=83:p(<>ib;:e3>"??;0om95+11:f>13ek2.mmn4>3e18L=0a3g;9=>4>5b18m=1a2900e56?:188k`5>2900qo:7e682>3<729q/==hm:9d4?!>0:3n246*>09g900dd3A2=j6`>201950e33`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?;h;51;194?6|,8:mn76i7:&;37"aij0:8<;4H94e?k759:0:9n;4i95e>5<5<:683>5}#99li65h8;%:46?e>>2.:<5k544``?M>1n2d:><=514a5?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;7ed95?2=83:p(<>ib;:e3>"??;0oh85+11:f>13ek2.mmn4>3458L=0a3g;9=>4>5b58m=1a2900e56?:188m=>62900ch=6:188yg2>::0:6:4?:1y'55`e21l<7)682;abe>"681o188ll;I:5b>h6:891=8m7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?5?;51;594?6|,8:mn76i7:&;371/==6j:57ag>N?>o1e=??<:07`=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4805>4<2290;w)??fc8;b2=#0>81n4>4$02;a?22jj1/jlm512g0?M>1n2d:><=514ab?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;93095?1=83:p(<>ib;:e3>"??;0h4i5+11:f>13ek2B3:k5a1330>43dj2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0<40280=6=4?{%33bg1/4:<5b9c8 46?m3>>nn5G87d8j446;3;>on5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5;10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2>:90:6;4?:1y'55`e21l<7)682;`;e>"681o188ll;I:5b>h6:891=8mj;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`737?=93=1<7>t$02ef?>a?2.3;?4j169'55>b2=?io6F76g9m577428?hj6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<>8i6<49:183!77nk03j:5+8609a4><,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1>?m3;1;7>50z&24cd=0o=0(59=:e;2?!770l0?9om4H94e?k759:0:9i?4i95e>5<5<5<5<2=n4>:683>5}#99li65h8;%:46?efj2.:<5k544``?M>1n2d:><=514f1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;7ec95?0=83:p(<>ib;:e3>"??;0o995+11:f>13ek2B3:k5a1330>43c;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi84:=:085>5<7s-;;jo47f69'<24=km30(<>7e;66ff=O0?l0b<<>3;36`1=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=3?=7?56;294~"68oh14k94$951>a0f3-;;4h4;5ca8 cgd288>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm482:>4<0290;w)??fc8;b2=#0>81h894$02;a?22jj1C4;h4n0027?72l?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>103;187>50z&24cd=0o=0(59=:bd;?!770l0?9om4$gc`>45d:2B3:k5a1330>43c?2c3;k4?::k;<5<722c34<4?::mf7<<722wi85ml:087>5<7s-;;jo47f69'<24=j090(<>7e;66ff=#nhi1=>;6;I:5b>h6:891=8j7;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`71<7>t$02ef?>a?2.3;?4k739'55>b2=?io6*iab8270?<@15<5<3>;4>:683>5}#99li65h8;%:46?b>?2.:<5k544``?M>1n2d:><=514fb?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;e5`95?1=83:p(<>ib;:e3>"??;0o:85+11:f>13ek2B3:k5a1330>43cj2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0<3a280?6=4?{%33bg1/4:<5e148 46?m3>>nn5+f`a9571f3A2=j6`>201950bd3`25;h:;4?6=3`23=7>5;ng0=?6=3th?5nj51;594?6|,8:mn76i7:&;371/==6j:57ag>N?>o1e=??<:07g`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4`66>4<3290;w)??fc8;b2=#0>81hi;4$02;a?22jj1/jlm5126e?M>1n2d:><=514ff?l>0n3:17d670;29?l>?93:17bk<9;29?xd3130D58i;o3156<6=ml0e59i:188m=>72900e56>:188k`5>2900qo:6dc82>1<729q/==hm:9d4?!>0:3no96*>09g900dd3A2=j6`>201950c73`25;h:;4?6=3`23=7>5;ng0=?6=3th?5i<51;694?6|,8:mn76i7:&;37"aij0:?=;4H94e?k759:0:9h?4i95e>5<5<=`03-2<>7k?6:&24=c=<3;36a7=n0>l1<75f89294?=n01;1<75`e2;94?=zj=k?;7?54;294~"68oh14k94$951>`613-;;4h4;5ca8 cgd289><6F76g9m577428?n?6g77g83>>o?090;66g78083>>ib;00;66sm4`4f>4<3290;w)??fc8;b2=#0>81hi;4$02;a?22jj1/jlm51226?M>1n2d:><=514g7?l>0n3:17d670;29?l>?93:17bk<9;29?xd30>91=7:50;2x 46aj32m;6*7738`bg=#992n69;mc:J;2c=i9;;86<;j5:k;3c<722c34=4?::k;<4<722en?44?::a0=0>280?6=4?{%33bg1/4:<5cg:8 46?m3>>nn5+f`a956?33A2=j6`>201950c13`25;h:;4?6=3`23=7>5;ng0=?6=3th?4;o51;694?6|,8:mn76i7:&;37"aij0:?n:4H94e?k759:0:9h94i95e>5<5<=`03-2<>7mi8:&24=c=<3;36a==n0>l1<75f89294?=n01;1<75`e2;94?=zj=3;;7?56;294~"68oh14k94$951>a653-;;4h4;5ca8L=0a3g;9=>4>5d;8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2?>j0:694?:1y'55`e21l<7)682;ae<>"681o188ll;I:5b>h6:891=8kn;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7=54=93<1<7>t$02ef?>a?2.3;?4lbe9'55>b2=?io6F76g9m577428?nn6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm497g>4<3290;w)??fc8;b2=#0>81ok64$02;a?22jj1/jlm51220?M>1n2d:><=514g`?l>0n3:17d670;29?l>?93:17bk<9;29?xd3i9h1=7:50;2x 46aj32m;6*7738`b==#992n69;mc:&eef<6;=n0D58i;o3156<6=ln0e59i:188m=>72900e56>:188k`5>2900qo:n4382>1<729q/==hm:9d4?!>0:3n<>6*>09g900dd3-ljo7?<079K<3`50;9j<=7=831di>750;9~f1?a93;187>50z&24cd=0o=0(59=:bd;?!770l0?9om4$gc`>45c=2B3:k5a1330>43bn2c3;k4?::k;<5<722c34<4?::mf7<<722wi84;m:087>5<7s-;;jo47f69'<24=ko20(<>7e;66ff=#nhi1=9>;;I:5b>h6:891=8h?;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7e12=93>1<7>t$02ef?>a?2.3;?4k5c9'55>b2=?io6*iab82714<@15<5<2jh4>:583>5}#99li65h8;%:46?ea02.:<5k544``?!`fk3;9j55G87d8j446;3;>j?5f86d94?=n01:1<75f89394?=hm:31<75rb5:40?7=<3:10g`9N?>o1e=??<:07e7>o??o0;66g78183>>o?080;66aj3883>>{e<1<<6<4;:183!77nk03j:5+8609gc><,8:3i7::bb9'bde=9:2o7E69f:l2645=9?83:17d671;29?jc413:17pl;87f95?2=83:p(<>ib;:e3>"??;0hj55+11:f>13ek2B3:k5a1330>43a=2c3;k4?::k;<5<722c34<4?::mf7<<722wi84><:085>5<7s-;;jo47f69'<24=kkn0(<>7e;66ff=O0?l0b<<>3;36b3=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=2>i7?54;294~"68oh14k94$951>f`?3-;;4h4;5ca8 cgd289;>6F76g9m577428?m;6g77g83>>o?090;66g78083>>ib;00;66sm4`6:>4<3290;w)??fc8;b2=#0>81hi;4$02;a?22jj1/jlm51225?M>1n2d:><=514d;?l>0n3:17d670;29?l>?93:17bk<9;29?xd30>?1=7:50;2x 46aj32m;6*7738`b==#992n69;mc:&eef<6:on0D58i;o3156<6=o30e59i:188m=>72900e56>:188k`5>2900qo:76d82>1<729q/==hm:9d4?!>0:3im46*>09g900dd3-ljo7?50;9j<=7=831di>750;9~f1?7<3;1:7>50z&24cd=0o=0(59=:b`g?!770l0?9om4H94e?k759:0:9kl4i95e>5<5<5<=`03-2<>7mi8:&24=c=<3;36bf=n0>l1<75f89294?=n01;1<75`e2;94?=zj=3nn7?54;294~"68oh14k94$951>f`?3-;;4h4;5ca8 cgd28>;86F76g9m577428?mh6g77g83>>o?090;66g78083>>ib;00;66sm48aa>4<3290;w)??fc8;b2=#0>81o:l4$02;a?22jj1/jlm512:b?M>1n2d:><=514df?l>0n3:17d670;29?l>?93:17bk<9;29?xd30?h1=7:50;2x 46aj32m;6*7738`b==#992n69;mc:&eef<6;j80D58i;o3156<6=ol0e59i:188m=>72900e56>:188k`5>2900qo:76582>1<729q/==hm:9d4?!>0:3im46*>09g900dd3-ljo7?<919K<3`50;9j<=7=831di>750;9~f1>0:3;187>50z&24cd=0o=0(59=:bda?!770l0?9om4H94e?k759:0::=?4i95e>5<5<=`03-2<>7mmd:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;84`95?2=83:p(<>ib;:e3>"??;0hj55+11:f>13ek2.mmn4>3b68L=0a3g;9=>4>6118m=1a2900e56?:188m=>62900ch=6:188yg2>lm0:694?:1y'55`e21l<7)682;g32>"681o188ll;%dbg?74>?1C4;h4n0027?718=1b4:h50;9j<=6=831b45?50;9la6?=831vn97?5;392?6=8r.:5<5<5<3;;4>:583>5}#99li65h8;%:46?ea02.:<5k544``?!`fk3;9jn5G87d8j446;3;=<;5f86d94?=n01:1<75f89394?=hm:31<75rb5;g1?7=<3:10g`9N?>o1e=??<:0433>o??o0;66g78183>>o?080;66aj3883>>{e<1<;6<4;:183!77nk03j:5+8609gc><,8:3i7::bb9'bde=9:2n7E69f:l2645=9?:37d68f;29?l>?83:17d671;29?jc413:17pl;87095?2=83:p(<>ib;:e3>"??;0hj55+11:f>13ek2.mmn4>3808L=0a3g;9=>4>61;8m=1a2900e56?:188m=>62900ch=6:188yg2?=j0:694?:1y'55`e21l<7)682;ae<>"681o188ll;%dbg?74k91C4;h4n0027?718h1b4:h50;9j<=6=831b45?50;9la6?=831vn9681;390?6=8r.:5<5<3;54>:583>5}#99li65h8;%:46?ea02.:<5k544``?!`fk3;8<=5G87d8j446;3;=0g`99n0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?>80:694?:1y'55`e21l<7)682;ae<>"681o188ll;%dbg?741:1C4;h4n0027?718l1b4:h50;9j<=6=831b45?50;9la6?=831vn96:a;390?6=8r.:1/4:<5cg:8 46?m3>>nn5+f`a956e43A2=j6`>201953773`25;h:;4?6=3`23=7>5;ng0=?6=3th?4:951;694?6|,8:mn76i7:&;37"aij0:?n=4H94e?k759:0::5<5<=`03-2<>7mib:&24=c=<?83:17d671;29?jc413:17pl;84;95?2=83:p(<>ib;:e3>"??;0hj55+11:f>13ek2.mmn4>2gd8L=0a3g;9=>4>6018m=1a2900e56?:188m=>62900ch=6:188yg2?><0:694?:1y'55`e21l<7)682;ae<>"681o188ll;%dbg?740o1C4;h4n0027?719=1b4:h50;9j<=6=831b45?50;9la6?=831vn9784;390?6=8r.:5:k;3c<722c34=4?::k;<4<722en?44?::a0<15280?6=4?{%33bg1/4:<5b808 46?m3>>nn5+f`a957`03A2=j6`>201953713`25;h:;4?6=3`23=7>5;ng0=?6=3th?5<=51;594?6|,8:mn76i7:&;371/==6j:57ag>N?>o1e=??<:0423>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm483;>4<0290;w)??fc8;b2=#0>81n584$02;a?22jj1C4;h4n0027?71911b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1?6i3;1;7>50z&24cd=0o=0(59=:c:`?!770l0?9om4H94e?k759:0::<74i95e>5<5<5<5<2=44>:683>5}#99li65h8;%:46?d?l2.:<5k544``?M>1n2d:><=5173b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;8gg95?0=83:p(<>ib;:e3>"??;0hni5+11:f>13ek2B3:k5a1330>406j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi858<:087>5<7s-;;jo47f69'<24=ko20(<>7e;66ff=#nhi1=>7>;I:5b>h6:891=;?l;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7<=7=93=1<7>t$02ef?>a?2.3;?4mbg9'55>b2=?io6F76g9m577428<:h6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=6<49:183!77nk03j:5+8609`fb<,8:3i7:m779K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1e3=3;187>50z&24cd=0o=0(59=:bd5?!770l0?n:84$gc`>426;2B3:k5a1330>406n2c3;k4?::k;<5<722c34<4?::mf7<<722wi8oo9:086>5<7s-;;jo47f69'<24=m9=0(<>7e;6a33=#nhi1=>;>;I:5b>h6:891=;5;h:;5?6=3`23>7>5;ng0=?6=3th?nl751;594?6|,8:mn76i7:&;37N?>o1e=??<:0415>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4cc4>4<0290;w)??fc8;b2=#0>81ho;4$02;a?2e??1C4;h4n0027?71:;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f11dm3;1:7>50z&24cd=0o=0(59=:cg4?!770l0?9om4H94e?k759:0::?=4i95e>5<5<5<0;6=u+11da>=`03-2<>7j=a:&24=c=?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3j021=7850;2x 46aj32m;6*7738g6f=#992n69l86:&eef<6:hl0D58i;o3156<6>;?0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo:m9582>3<729q/==hm:9d4?!>0:3n9o6*>09g90g113-ljo7?=ac9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1c293;187>50z&24cd=0o=0(59=:e43?!770l0?9om4H94e?k759:0::?94i95e>5<5<=`03-2<>7m>7:&24=c=3;356==n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=h3?7?57;294~"68oh14k94$951>f773-;;4h4;b648L=0a3g;9=>4>63;8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:m8082>0<729q/==hm:9d4?!>0:3i8;6*>09g90g113-ljo7?=ad9K<3`50;9j<=7=831b45<50;9la6?=831vn9l>9;390?6=8r.:1/4:<5d738 46?m3>i;;5+f`a956g23A2=j6`>2019534d3`25;h:;4?6=3`23=7>5;ng0=?6=3th?n4851;694?6|,8:mn76i7:&;3781/==6j:5`42>"aij0:?ll4H94e?k759:0::?j4i95e>5<5<=`03-2<>7k?4:&24=c=3;356`=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb5`:7?7==3:10g`9<0(kol:00bf>N?>o1e=??<:041b>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm4c;4>4<2290;w)??fc8;b2=#0>81h?h4$02;a?2e??1/jlm513ce?M>1n2d:><=51713?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;b9295?2=83:p(<>ib;:e3>"??;0i5k5+11:f>1d0>2.mmn4>3`:8L=0a3g;9=>4>6238m=1a2900e56?:188m=>62900ch=6:188yg2e180:6>4?:1y'55`e21l<7)682;g3=>"681o18o99;%dbg?74i<1C4;h4n0027?71;;1b4:h50;9j<=6=831di>750;9~f1d>=3;1?7>50z&24cd=0o=0(59=:d2:?!770l0?n:84$gc`>45fj2B3:k5a1330>404;2c3;k4?::k;<5<722en?44?::a0g1a28086=4?{%33bg1/4:<5e0a8 46?m3>i;;5+f`a956g?3A2=j6`>201953533`25;h:;4?6=3fo857>5;|`7b12=9391<7>t$02ef?>a?2.3;?4j0`9'55>b2=l8j6*iab82731<@15<=`03-2<>7m9f:&24=c=3;3573=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj<8947?57;294~"68oh14k94$951>f2?3-;;4h4:11`8L=0a3g;9=>4>6258m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo;=1582>2<729q/==hm:9d4?!>0:3i?46*>09g9146e3A2=j6`>2019535?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`667`=93=1<7>t$02ef?>a?2.3;?4l499'55>b2<;;n6F76g9m577428<856g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=;896<48:183!77nk03j:5+8609g1><,8:3i7;>0c9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn8<=7;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m:a:&24=c==8:i7E69f:l2645=9?9h7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd2:;o1=7950;2x 46aj32m;6*7738`1d=#992n68??b:J;2c=i9;;86<8:084>5<7s-;;jo47f69'<24=k7e;724g=O0?l0b<<>3;357`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb4012?7=?3:10g`9:l0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg359;0:6:4?:1y'55`e21l<7)682;a7f>"681o19<>m;I:5b>h6:891=;:?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th>>?j51;594?6|,8:mn76i7:&;37N?>o1e=??<:0475>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm533e>4<0290;w)??fc8;b2=#0>81o9l4$02;a?368k1C4;h4n0027?71<;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f04693;1;7>50z&24cd=0o=0(59=:b7f?!770l0>==l4H94e?k759:0::9=4i95e>5<5<5<5<n4>:683>5}#99li65h8;%:46?e2m2.:<5k5502a?M>1n2d:><=51767?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl:20g95?1=83:p(<>ib;:e3>"??;0h9h5+11:f>077j2B3:k5a1330>403=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a1756280<6=4?{%33bg1/4:<5cb18 46?m3?:1<75`e2;94?=zj<89n7?57;294~"68oh14k94$951>fe43-;;4h4:11`8L=0a3g;9=>4>6558m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo;=1982>2<729q/==hm:9d4?!>0:3ih?6*>09g9146e3A2=j6`>2019532?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`6666=93=1<7>t$02ef?>a?2.3;?4lcd9'55>b2<;;n6F76g9m577428>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=;8j6<48:183!77nk03j:5+8609gfc<,8:3i7;>0c9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn8<>7;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m:0:&24=c==8:i7E69f:l2645=9?>h7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd2:9o1=7950;2x 46aj32m;6*7738`ff=#992n68??b:J;2c=i9;;86<8;d:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi9?<6:084>5<7s-;;jo47f69'<24=kki0(<>7e;724g=O0?l0b<<>3;350`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb402e?7=?3:10g`9=l0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg358j0:6:4?:1y'55`e21l<7)682;a`e>"681o19<>m;I:5b>h6:891=;;?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th>>N?>o1e=??<:0465>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm533:>4<0290;w)??fc8;b2=#0>81ono4$02;a?368k1C4;h4n0027?71=;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f4e7k3;1?7>50z&24cd=0o=0(59=:ec0?!770l0:nk;4$gc`>427i2B3:k5a1330>402;2c3;k4?::k;<5<722en?44?::a5f6c28086=4?{%33bg1/4:<5d`18 46?m3;ij85+f`a9516f3A2=j6`>201953333`25;h:;4?6=3fo857>5;|`2g5c=9391<7>t$02ef?>a?2.3;?4ka29'55>b28hm96*iab8205?<@15<=`03-2<>7jn3:&24=c=9kl>7)hnc;374<=O0?l0b<<>3;3513=n0>l1<75f89294?=hm:31<75rb0`e3?7=<3:10g`9N?>o1e=??<:0463>o??o0;66g78183>>o?080;66aj3883>>{e9j:86<4;:183!77nk03j:5+8609gc><,8:3i7?mf49'bde=9=:37E69f:l2645=9??37d68f;29?l>?83:17d671;29?jc413:17pl>c1695?2=83:p(<>ib;:e3>"??;0hj55+11:f>4da=2.mmn4>41:8L=0a3g;9=>4>64;8m=1a2900e56?:188m=>62900ch=6:188yg7d8<0:694?:1y'55`e21l<7)682;ae<>"681o1=oh:;%dbg?738>1C4;h4n0027?71=h1b4:h50;9j<=6=831b45?50;9la6?=831vn1/4:<5cg:8 46?m3;ij85+f`a951613A2=j6`>2019533d3`25;h:;4?6=3`23=7>5;ng0=?6=3th:o=651;694?6|,8:mn76i7:&;37"aij0:8=84H94e?k759:0::8j4i95e>5<5<=`03-2<>7mi8:&24=c=9kl>7)hnc;3740=O0?l0b<<>3;351`=n0>l1<75f89294?=n01;1<75`e2;94?=zj8i;m7?54;294~"68oh14k94$951>f`?3-;;4h4>bg78 cgd28>;96F76g9m577428<>j6g77g83>>o?090;66g78083>>ib;00;66sm1cd5>4<3290;w)??fc8;b2=#0>81ok64$02;a?7en<1/jlm512d7?M>1n2d:><=51743?l>0n3:17d670;29?l>?93:17bk<9;29?xd6jo21=7:50;2x 46aj32m;6*7738`b==#992n60D58i;o3156<6>?;0e59i:188m=>72900e56>:188k`5>2900qo?mf882>1<729q/==hm:9d4?!>0:3im46*>09g95g`23-ljo7?50;9j<=7=831di>750;9~f4dai3;187>50z&24cd=0o=0(59=:bd;?!770l0:nk;4$gc`>45a;2B3:k5a1330>401;2c3;k4?::k;<5<722c34<4?::mf7<<722wi=ohm:087>5<7s-;;jo47f69'<24=ko20(<>7e;3ab0=O0?l0b<<>3;3521=n0>l1<75f89294?=n01;1<75`e2;94?=zj8hmo7?54;294~"68oh14k94$951>f`?3-;;4h4>bg78 cgd289m96F76g9m577428<=96g77g83>>o?090;66g78083>>ib;00;66sm1cdg>4<3290;w)??fc8;b2=#0>81ok64$02;a?7en<1/jlm512d1?M>1n2d:><=51745?l>0n3:17d670;29?l>?93:17bk<9;29?xd6joo1=7:50;2x 46aj32m;6*7738`b==#992n6?=0e59i:188m=>72900e56>:188k`5>2900qo?mfg82>1<729q/==hm:9d4?!>0:3im46*>09g95g`23-ljo7?50;9j<=7=831di>750;9~f4e783;187>50z&24cd=0o=0(59=:bd;?!770l0:nk;4$gc`>45a92B3:k5a1330>40112c3;k4?::k;<5<722c34<4?::mf7<<722wi=n>>:087>5<7s-;;jo47f69'<24=ko20(<>7e;3ab0=#nhi1=>h?;I:5b>h6:891=;8n;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`2g54=93>1<7>t$02ef?>a?2.3;?4lf99'55>b28hm96*iab827c6<@15<5<:283>5}#99li65h8;%:46?c712.:<5k51cd6?!`fk3;8h;5G87d8j446;3;=:n5f86d94?=n01:1<75`e2;94?=zj;:i?7?56;294~"68oh14k94$951>`6a3-;;4h4=0c28L=0a3g;9=>4>67f8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg47j00:694?:1y'55`e21l<7)682;fg1>"681o1>=l?;%dbg?75nk1C4;h4n0027?71>l1b4:h50;9j<=6=831b45?50;9la6?=831vn?>m2;393?6=8r.:5<5<5<=`03-2<>7jle:&24=c=:9h;7)hnc;31a6=O0?l0b<<>3;3535=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb32a1?7=<3:10g`9>;0e59i:188m=>72900e56>:188k`5>2900qo?i4382>3<729q/==hm:9d4?!>0:3o;j6*>09g95c5a3A2=j6`>201953153`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th:j9o51;694?6|,8:mn76i7:&;37"aij0:>ko4H94e?k759:0:::=4i95e>5<5<0;6=u+11da>=`03-2<>7jmf:&24=c=9o9m7E69f:l2645=9?=?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6n=i1=7;50;2x 46aj32m;6*7738gg`=#992n6>?0e59i:188m=>72900e56>:188m=>52900ch=6:188yg7a<=0:6>4?:1y'55`e21l<7)682;f42>"681o1=k=i;%dbg?739>1C4;h4n0027?71??1b4:h50;9j<=6=831di>750;9~f4`3>3;1?7>50z&24cd=0o=0(59=:e55?!770l0:j>h4$gc`>42692B3:k5a1330>400?2c3;k4?::k;<5<722en?44?::a5c22280?6=4?{%33bg1/4:<5cg:8 46?m3;m?k5+f`a951763A2=j6`>2019531?3`25;h:;4?6=3`23=7>5;ng0=?6=3th:j:o51;494?6|,8:mn76i7:&;371/==6j:0d55>N?>o1e=??<:044=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e9o<26<4::183!77nk03j:5+8609a55<,8:3i7?i609'bde=9:9m7E69f:l2645=9?=j7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd6n?21=7850;2x 46aj32m;6*7738`e==#992n61/4:<5c518 46?m3;m:<5G87d8j446;3;=;n5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8l<57?57;294~"68oh14k94$951>f343-;;4h4>f738L=0a3g;9=>4>66f8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?i7582>2<729q/==hm:9d4?!>0:3hj86*>09g95c063A2=j6`>2019531b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`2b=e=93<1<7>t$02ef?>a?2.3;?4k329'55>b28l==6*iab827gb<@15<5<5<:683>5}#99li65h8;%:46?e7i2.:<5k51g42?M>1n2d:><=517:3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>f9g95?1=83:p(<>ib;:e3>"??;0h4`192B3:k5a1330>40?92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5c>c280<6=4?{%33bg1/4:<5c1c8 46?m3;m:<5G87d8j446;3;=4?5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8l3j7?57;294~"68oh14k94$951>f6f3-;;4h4>f738L=0a3g;9=>4>6918m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?i9182>2<729q/==hm:9d4?!>0:3i;m6*>09g95c063A2=j6`>201953>33`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`2b<7=93=1<7>t$02ef?>a?2.3;?4l0`9'55>b28l==6F76g9m577428<396g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9o396<48:183!77nk03j:5+8609g5g<,8:3i7?i609K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn5<5<5<0;6=u+11da>=`03-2<>7m?a:&24=c=9o<:7E69f:l2645=9?237d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6n0?1=7950;2x 46aj32m;6*7738`4d=#992n65<7s-;;jo47f69'<24=k9k0(<>7e;3e24=O0?l0b<<>3;35l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0d:3?7=?3:10g`9n;%33<`<6n?;0D58i;o3156<6>1h0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg7a100:6:4?:1y'55`e21l<7)682;a3e>"681o1=k8>;I:5b>h6:891=;6l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:j4651;594?6|,8:mn76i7:&;37N?>o1e=??<:04;`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1g;b>4<0290;w)??fc8;b2=#0>81o=o4$02;a?7a>81C4;h4n0027?710l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f4`>j3;1;7>50z&24cd=0o=0(59=:b2b?!770l0:j;?4H94e?k759:0::5h4i95e>5<5<5<5<:683>5}#99li65h8;%:46?e7i2.:<5k51g42?M>1n2d:><=517;3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>f8f95?1=83:p(<>ib;:e3>"??;0h4`192B3:k5a1330>40>92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5c?b280<6=4?{%33bg1/4:<5c1c8 46?m3;m:<5G87d8j446;3;=5?5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8l2j7?57;294~"68oh14k94$951>f6f3-;;4h4>f738L=0a3g;9=>4>6818m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?ia182>2<729q/==hm:9d4?!>0:3i;m6*>09g95c063A2=j6`>201953?33`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`2bd7=93=1<7>t$02ef?>a?2.3;?4l0`9'55>b28l==6F76g9m577428<296g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9ok96<48:183!77nk03j:5+8609g5g<,8:3i7?i609K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn5<5<5<0;6=u+11da>=`03-2<>7m?a:&24=c=9o<:7E69f:l2645=9?337d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6nh?1=7950;2x 46aj32m;6*7738`4d=#992n65<7s-;;jo47f69'<24=k9k0(<>7e;3e24=O0?l0b<<>3;35=d=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0db3?7=?3:10g`9n;%33<`<6n?;0D58i;o3156<6>0h0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg7ai10:6:4?:1y'55`e21l<7)682;a3e>"681o1=k8>;I:5b>h6:891=;7l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:jl751;594?6|,8:mn76i7:&;37N?>o1e=??<:04:`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1gcb>4<0290;w)??fc8;b2=#0>81o=o4$02;a?7a>81C4;h4n0027?711l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f4`1>3;1;7>50z&24cd=0o=0(59=:b63?!770l0:j;?4H94e?k759:0::4h4i95e>5<5<5<5<:283>5}#99li65h8;%:46?c712.:<5k51g42?M>1n2d:><=517c3?l>0n3:17d670;29?jc413:17pl>f6295?1=83:p(<>ib;:e3>"??;0h??5+11:f>4`192B3:k5a1330>40f92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5c0b280?6=4?{%33bg1/4:<5de`8 46?m3;m:<5+f`a956ga3A2=j6`>201953g53`25;h:;4?6=3`23=7>5;ng0=?6=3th:j;h51;794?6|,8:mn76i7:&;37"aij0:?>h4H94e?k759:0::l=4i95e>5<5<5<:283>5}#99li65h8;%:46?c7i2.:<5k51g42?!`fk3;8mk5G87d8j446;3;=m95f86d94?=n01:1<75`e2;94?=zj8l347?53;294~"68oh14k94$951>`6>3-;;4h4>f738 cgd289ih6F76g9m577428>o?090;66aj3883>>{e:9o26<49:183!77nk03j:5+8609`a1<,8:3i750;9j<=7=831b45<50;9j<=5=831di>750;9~f76c03;197>50z&24cd=0o=0(59=:d20?!770l094$gc`>454m2B3:k5a1330>40f?2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a65b0280=6=4?{%33bg1/4:<5c`:8 46?m38;h=5G87d8j446;3;=m55f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb32gf?7=?3:10g`9h30e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg47m10:6:4?:1y'55`e21l<7)682;a67>"681o1>=j?;I:5b>h6:891=;on;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th9N?>o1e=??<:04bf>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm21da>4<1290;w)??fc8;b2=#0>81h>=4$02;a?47l91/jlm512``?M>1n2d:><=517c`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd58ok1=7950;2x 46aj32m;6*7738`4d=#992n6?>k0:J;2c=i9;;86<8nd:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi>=hk:084>5<7s-;;jo47f69'<24=k9k0(<>7e;03`5=O0?l0b<<>3;35e`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb32eg?7=?3:10g`9n;%33<`<58m:0D58i;o3156<6>hl0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg47nl0:6:4?:1y'55`e21l<7)682;a3e>"681o1>=j?;I:5b>h6:891=;l?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th9N?>o1e=??<:04a5>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2023>4<0290;w)??fc8;b2=#0>81o=o4$02;a?47l91C4;h4n0027?71j;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f77793;1;7>50z&24cd=0o=0(59=:b2b?!770l094H94e?k759:0::o=4i95e>5<5<5<5<:683>5}#99li65h8;%:46?e7i2.:<5k521f3?M>1n2d:><=517`7?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=11195?1=83:p(<>ib;:e3>"??;0h76c82B3:k5a1330>40e=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6463280<6=4?{%33bg1/4:<5c1c8 46?m38;h=5G87d8j446;3;=n;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;;;97?57;294~"68oh14k94$951>f6f3-;;4h4=0e28L=0a3g;9=>4>6c58m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<>0782>2<729q/==hm:9d4?!>0:3i;m6*>09g965b73A2=j6`>201953d?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`155>=93=1<7>t$02ef?>a?2.3;?4l0`9'55>b2;:o<6F76g9m577428>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:8:<6<48:183!77nk03j:5+8609g5g<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn???9;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m?a:&24=c=:9n;7E69f:l2645=9?hh7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd599h1=7950;2x 46aj32m;6*7738`4d=#992n6?>k0:J;2c=i9;;86<8md:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi><>l:084>5<7s-;;jo47f69'<24=k9k0(<>7e;03`5=O0?l0b<<>3;35f`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb333`?7=?3:10g`9n;%33<`<58m:0D58i;o3156<6>kl0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg468l0:6:4?:1y'55`e21l<7)682;a3e>"681o1>=j?;I:5b>h6:891=;m?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th9==h51;594?6|,8:mn76i7:&;37N?>o1e=??<:04`5>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2033>4<0290;w)??fc8;b2=#0>81o=o4$02;a?47l91C4;h4n0027?71k;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f77693;1;7>50z&24cd=0o=0(59=:b2b?!770l094H94e?k759:0::n=4i95e>5<5<5<5<4>:683>5}#99li65h8;%:46?e7i2.:<5k521f3?M>1n2d:><=517a7?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=10095?1=83:p(<>ib;:e3>"??;0h76c82B3:k5a1330>40d=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6473280<6=4?{%33bg1/4:<5c1c8 46?m38;h=5G87d8j446;3;=o;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;;:97?57;294~"68oh14k94$951>f6f3-;;4h4=0e28L=0a3g;9=>4>6b58m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<>1782>2<729q/==hm:9d4?!>0:3i;m6*>09g965b73A2=j6`>201953e?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1541=93=1<7>t$02ef?>a?2.3;?4l0`9'55>b2;:o<6F76g9m577428>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:8;36<48:183!77nk03j:5+8609g5g<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn??>9;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m;0:&24=c=:9n;7E69f:l2645=9?ih7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd58l?1=7=50;2x 46aj32m;6*7738f4<=#992n6?>k0:J;2c=i9;;86<8ld:k;3c<722c34=4?::mf7<<722wi>=ji:084>5<7s-;;jo47f69'<24=k:80(<>7e;03`5=O0?l0b<<>3;35g`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb32g`?7=<3:10g`9N?>o1e=??<:04`b>o??o0;66g78183>>o?080;66aj3883>>{e:9nn6<4::183!77nk03j:5+8609`f3<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd58mi1=7=50;2x 46aj32m;6*7738f4d=#992n6?>k0:&eef<6;ho0D58i;o3156<6>m;0e59i:188m=>72900ch=6:188yg47n>0:6>4?:1y'55`e21l<7)682;g3=>"681o1>=j?;%dbg?74jj1C4;h4n0027?71l;1b4:h50;9j<=6=831di>750;9~f762<3;187>50z&24cd=0o=0(59=:ef6?!770l09<9k4$gc`>45>i2B3:k5a1330>40c;2c3;k4?::k;<5<722c34<4?::mf7<<722wi>=;n:087>5<7s-;;jo47f69'<24=m8k0(<>7e;030`=#nhi1=>7n;I:5b>h6:891=;j;;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`1406=93?1<7>t$02ef?>a?2.3;?4kcd9'55>b2;:?i6*iab826db<@15<5<=`03-2<>7j:5:&24=c=:9>n7)hnc;31ea=O0?l0b<<>3;35`3=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb33`7?7=<3:10g`9N?>o1e=??<:04g3>o??o0;66g78183>>o?080;66aj3883>>{e:8i26<4;:183!77nk03j:5+8609a4g<,8:3i7<>be9'bde=9:327E69f:l2645=9?n37d68f;29?l>?83:17d671;29?jc413:17pl=1cd95?3=83:p(<>ib;:e3>"??;0ooh5+11:f>77el2.mmn4>2`a8L=0a3g;9=>4>6e;8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo<>c082>0<729q/==hm:9d4?!>0:3n>96*>09g964dc3-ljo7?=ab9K<3`50;9j<=7=831b45<50;9la6?=831vn9;:a;392?6=8r.:280<6=4?{%33bg1/4:<5c428 46?m3>>?n5G87d8j446;3;=hn5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=??=7?56;294~"68oh14k94$951>fb73-;;4h4;52a8L=0a3g;9=>4>6ef8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg22<;0:6;4?:1y'55`e21l<7)682;fg2>"681o188=l;%dbg?75i>1C4;h4n0027?71ll1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9:<0;391?6=8r.:5<7s-;;jo47f69'<24=k?o0(<>7e;667f=O0?l0b<<>3;35a5=n0>l1<75f89294?=n01;1<75`e2;94?=zj=>j?7?55;294~"68oh14k94$951>fe23-;;4h4;43c8 cgd28>:>6F76g9m577428>o?090;66g78083>>o?0;0;66aj3883>>{e<<>86<4::183!77nk03j:5+8609gc3<,8:3i7::3b9'bde=9;h97E69f:l2645=9?o97d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd3<081=7;50;2x 46aj32m;6*7738gb1=#992n69:7c:&eef<6:h30D58i;o3156<6>l90e59i:188m=>72900e56>:188m=>52900ch=6:188yg22<<0:684?:1y'55`e21l<7)682;a7`>"681o188=l;%dbg?75i>1C4;h4n0027?71m=1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f12>;3;1:7>50z&24cd=0o=0(59=:eg1?!770l0?85m4$gc`>44f12B3:k5a1330>40b=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi897;:084>5<7s-;;jo47f69'<24=ll:0(<>7e;673;35a3=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb56:2?7==3:10g`9N?>o1e=??<:04f3>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm450e>4<3290;w)??fc8;b2=#0>81nl>4$02;a?23:h1/jlm5121`?M>1n2d:><=517g;?l>0n3:17d670;29?l>?93:17bk<9;29?xd3==<1=7950;2x 46aj32m;6*7738ge5=#992n69;:087>5<7s-;;jo47f69'<24=m9<0(<>7e;677l;I:5b>h6:891=;kn;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`707c=9391<7>t$02ef?>a?2.3;?4j1e9'55>b2=>9m6*iab82044<@15<=`03-2<>7m8f:&24=c=<=9<7)hnc;305a=O0?l0b<<>3;35af=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb5676?7==3:10g`9N?>o1e=??<:04f`>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm4560>4<2290;w)??fc8;b2=#0>81o:h4$02;a?23;>1/jlm5123`?M>1n2d:><=517gf?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;42g95?3=83:p(<>ib;:e3>"??;0h;k5+11:f>124?2.mmn4>30a8L=0a3g;9=>4>6dd8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:;5282>6<729q/==hm:9d4?!>0:3nj?6*>09g901503A2=j6`>201953`73`25;h:;4?6=3fo857>5;|`706b=93?1<7>t$02ef?>a?2.3;?4j029'55>b2=>8;6*iab8262`<@15<5<=`03-2<>7k?3:&24=c=<<2=7)hnc;31f4=O0?l0b<<>3;35b7=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb51ef?7=>3:10g`9l0D58i;o3156<6>o90e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo:6<729q/==hm:9d4?!>0:3nj;6*>09g9061a3-ljo7?50;9la6?=831vn9=j6;397?6=8r.:5<=`03-2<>7jn7:&24=c=<:=m7E69f:l2645=9?l=7d68f;29?l>?83:17bk<9;29?xd3;ln1=7=50;2x 46aj32m;6*7738ge2=#992n69=8f:J;2c=i9;;86<8i7:k;3c<722c34=4?::mf7<<722wi8>h6:084>5<7s-;;jo47f69'<24=l0;0(<>7e;603c=O0?l0b<<>3;35b==n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb04`4?7=?3:10g`9?;%33<`<6=>l0D58i;o3156<6>o30e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg71k10:6:4?:1y'55`e21l<7)682;fe`>"681o1=89i;I:5b>h6:891=;hn;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::n?51;794?6|,8:mn76i7:&;37"aij0:>:=4H94e?k759:0::kl4i95e>5<5<5<:483>5}#99li65h8;%:46?c6;2.:<5k5145e?!`fk3;9;>5G87d8j446;3;=jn5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj8a`c3-;;4h4>56d8L=0a3g;9=>4>6gf8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?9c`82>2<729q/==hm:9d4?!>0:3nmn6*>09g9501a3A2=j6`>201953`b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`22fe=93=1<7>t$02ef?>a?2.3;?4j019'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9?n96<48:183!77nk03j:5+8609`cb<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<8k0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7jib:&24=c=9<=m7E69f:l2645=9>:97d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>m<1=7950;2x 46aj32m;6*7738gba=#992n6<;8f:J;2c=i9;;86<9?3:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi=;jn:084>5<7s-;;jo47f69'<24=loh0(<>7e;363c=O0?l0b<<>3;3441=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb04g0g`9l0D58i;o3156<6?9?0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg71lj0:6:4?:1y'55`e21l<7)682;f51>"681o1=89i;I:5b>h6:891=:>9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::ik51;594?6|,8:mn76i7:&;37N?>o1e=??<:0533>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm17g1>4<0290;w)??fc8;b2=#0>81hkl4$02;a?72?o1C4;h4n0027?70811b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f40b83;1;7>50z&24cd=0o=0(59=:eda?!770l0:9:h4H94e?k759:0:;=74i95e>5<5<5<5<:683>5}#99li65h8;%:46?b1=2.:<5k5145e?M>1n2d:><=5162b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>6d495?1=83:p(<>ib;:e3>"??;0oji5+11:f>430n2B3:k5a1330>417j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a53cf280<6=4?{%33bg1/4:<5dg`8 46?m3;>;k5G87d8j446;3;<1<75`e2;94?=zj8a`e3-;;4h4>56d8L=0a3g;9=>4>71f8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?9eb82>2<729q/==hm:9d4?!>0:3n=96*>09g9501a3A2=j6`>2019526b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`22`c=93=1<7>t$02ef?>a?2.3;?4kfe9'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9?l96<48:183!77nk03j:5+8609`cd<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<8i0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7j95:&24=c=9<=m7E69f:l2645=9>;97d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>o<1=7950;2x 46aj32m;6*7738gbg=#992n6<;8f:J;2c=i9;;86<9>3:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi=;hn:084>5<7s-;;jo47f69'<24=l??0(<>7e;363c=O0?l0b<<>3;3451=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb04e0g`9l0D58i;o3156<6?8?0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg71nj0:6:4?:1y'55`e21l<7)682;f63>"681o1=89i;I:5b>h6:891=:?9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::kk51;594?6|,8:mn76i7:&;37N?>o1e=??<:0523>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1621>4<0290;w)??fc8;b2=#0>81h;;4$02;a?72?o1C4;h4n0027?70911b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f41783;1;7>50z&24cd=0o=0(59=:e46?!770l0:9:h4H94e?k759:0:;<74i95e>5<5<5<5<:683>5}#99li65h8;%:46?b2?2.:<5k5145e?M>1n2d:><=5163b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>73495?1=83:p(<>ib;:e3>"??;0n<=5+11:f>430n2B3:k5a1330>416j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5240280>6=4?{%33bg1/4:<5e028 46?m3;>;k5G87d8j446;3;<=n5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj8=9m7?57;294~"68oh14k94$951>a`c3-;;4h4>56d8L=0a3g;9=>4>70f8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?80782>2<729q/==hm:9d4?!>0:3nmh6*>09g9501a3A2=j6`>2019527b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`235g=93=1<7>t$02ef?>a?2.3;?4kfc9'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9>:36<48:183!77nk03j:5+8609`cd<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<9?c;393?6=8r.:7)??8d8212`<@15<5<5<0;6=u+11da>=`03-2<>7jid:&24=c=9<=m7E69f:l2645=9>897d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6?881=7950;2x 46aj32m;6*7738gbg=#992n6<;8f:J;2c=i9;;86<9=3:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi=:??:084>5<7s-;;jo47f69'<24=loh0(<>7e;363c=O0?l0b<<>3;3461=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0520?7=?3:10g`9l0D58i;o3156<6?;?0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg709?0:6:4?:1y'55`e21l<7)682;fef>"681o1=89i;I:5b>h6:891=:<9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:;<1/==6j:074b>N?>o1e=??<:0513>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm163;>4<0290;w)??fc8;b2=#0>81h;;4$02;a?72?o1C4;h4n0027?70:11b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f416k3;1;7>50z&24cd=0o=0(59=:e74?!770l0:9:h4H94e?k759:0:;?74i95e>5<5<5<5<:683>5}#99li65h8;%:46?baj2.:<5k5145e?M>1n2d:><=5160b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>73095?1=83:p(<>ib;:e3>"??;0o:85+11:f>430n2B3:k5a1330>415j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5247280<6=4?{%33bg1/4:<5d778 46?m3;>;k5G87d8j446;3;<>n5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8=987?57;294~"68oh14k94$951>a303-;;4h4>56d8L=0a3g;9=>4>73f8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?74682>3<729q/==hm:9d4?!>0:3no?6*>09g9501a3-ljo7?;1d9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f41d:3;1:7>50z&24cd=0o=0(59=:ec2?!770l0:9:h4H94e?k759:0:;?h4i95e>5<5<5<=`03-2<>7jk3:&24=c=9<=m7)hnc;3765=O0?l0b<<>3;3475=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj8=h=7?56;294~"68oh14k94$951>`653-;;4h4>56d8 cgd28>9=6F76g9m577428=8=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm1c1g>4<1290;w)??fc8;b2=#0>81i=?4$02;a?72?o1/jlm51503?M>1n2d:><=51611?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6j=81=7850;2x 46aj32m;6*7738g2d=#992n6<;8f:&eef<6<8l0D58i;o3156<6?:90e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo?8dg82>3<729q/==hm:9d4?!>0:3o;=6*>09g9501a3-ljo7?;209K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f4d0?3;1:7>50z&24cd=0o=0(59=:ef0?!770l0:9:h4$gc`>426n2B3:k5a1330>414=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi=55<7s-;;jo47f69'<24=m9;0(<>7e;363c=#nhi1=9?j;I:5b>h6:891=:=9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`0bc7=93=1<7>t$02ef?>a?2.3;?4kb89'55>b2:lii6F76g9m577428=8;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;ol96<48:183!77nk03j:5+8609`g?<,8:3i7=ibd9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>hi3;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7jm9:&24=c=;ohn7E69f:l2645=9>9j7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4no?1=7950;2x 46aj32m;6*7738gf<=#992n6>hme:J;2c=i9;;86<95<7s-;;jo47f69'<24=ljo0(<>7e;1ef`=#nhi1=>7:;I:5b>h6:891=:=l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th8jn=51;794?6|,8:mn76i7:&;37"aij0:>k84H94e?k759:0:;>j4i95e>5<5<5<:483>5}#99li65h8;%:46?bdm2.:<5k53g`f?!`fk3;9j85G87d8j446;3;aeb3-;;4h4>o?090;66g78083>>o?0;0;66aj3883>>{e;oii6<4::183!77nk03j:5+8609`fc<,8:3i7=ibd9'bde=9;l?7E69f:l2645=9>>;7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd4njo1=7;50;2x 46aj32m;6*7738gg`=#992n6>hme:&eef<6:o>0D58i;o3156<6?=;0e59i:188m=>72900e56>:188m=>52900ch=6:188yg5ako0:684?:1y'55`e21l<7)682;f`a>"681o1?klj;%dbg?75n:1C4;h4n0027?70<;1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f6`c83;197>50z&24cd=0o=0(59=:eaf?!770l08jok4$gc`>44a;2B3:k5a1330>413;2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a7cb6280>6=4?{%33bg1/4:<5dbg8 46?m39mnh5+f`a957`53A2=j6`>201952233`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`0ba4=93?1<7>t$02ef?>a?2.3;?4kcd9'55>b2:lii6*iab826c4<@15<5<=`03-2<>7jle:&24=c=;ohn7)hnc;31b3=O0?l0b<<>3;3403=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb2dg2?7==3:10g`9N?>o1e=??<:0573>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm3gf;>4<2290;w)??fc8;b2=#0>81hnk4$02;a?5ajl1/jlm513d2?M>1n2d:><=5166;?l>0n3:17d670;29?l>?93:17d672;29?jc413:17plib;:e3>"??;0ooh5+11:f>6`em2.mmn4>2g28L=0a3g;9=>4>75;8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo=idd82>0<729q/==hm:9d4?!>0:3nhi6*>09g97cdb3-ljo7?=f19K<3`50;9j<=7=831b45<50;9la6?=831vn>hje;393?6=8r.:5<5<5<=`03-2<>7j87:&24=c=;ohn7E69f:l2645=9>>h7d68f;29?l>?83:17bk<9;29?xd4nj;1=7=50;2x 46aj32m;6*7738f4<=#992n6>hme:J;2c=i9;;86<9;d:k;3c<722c34=4?::mf7<<722wi8=7n:084>5<7s-;;jo47f69'<24=lok0(<>7e;1eb==O0?l0b<<>3;340`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb52:6?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo:>6782>2<729q/==hm:9d4?!>0:3nmh6*>09g97c`?3A2=j6`>201952373`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`753c=93?1<7>t$02ef?>a?2.3;?4kf19'55>b2:lm46*iab82626<@15<5<=`03-2<>7jle:&24=c=;ol37)hnc;3135=O0?l0b<<>3;3417=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb50:a?7==3:10g`9N?>o1e=??<:0567>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm43c3>4<2290;w)??fc8;b2=#0>81hk?4$02;a?5an11/jlm5134g?M>1n2d:><=51677?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;0dg95?1=83:p(<>ib;:e3>"??;0ii;5+11:f>6`a02B3:k5a1330>412=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a040d280?6=4?{%33bg1/4:<5e1:8 46?m39mj55G87d8j446;3;<9;5f86d94?=n01:1<75f89394?=hm:31<75rb510f?7=?3:10g`9l;%33<`<3:h>0D58i;o3156<6?<=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg24;>0:6:4?:1y'55`e21l<7)682;aa0>"681o18?o;;I:5b>h6:891=:;7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th??=l51;594?6|,8:mn76i7:&;37N?>o1e=??<:056=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm426`>4<2290;w)??fc8;b2=#0>81hk>4$02;a?25i=1/jlm5134`?M>1n2d:><=5167b?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;2e;95?5=83:p(<>ib;:e3>"??;0o;;5+11:f>14f<2B3:k5a1330>412j2c3;k4?::k;<5<722en?44?::a07cf28086=4?{%33bg1/4:<5d648 46?m3>9m95G87d8j446;3;<9n5f86d94?=n01:1<75`e2;94?=zj=8n:7?55;294~"68oh14k94$951>aeb3-;;4h4;2`68 cgd288<>6F76g9m577428=>h6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e<;o?6<4::183!77nk03j:5+8609`c6<,8:3i7:=a59'bde=9;=:7E69f:l2645=9>?n7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd3:m=1=7;50;2x 46aj32m;6*7738gb4=#992n69;0D58i;o3156<6?72900e56>:188m=>52900ch=6:188yg25l;0:6;4?:1y'55`e21l<7)682;f52>"681o18?o;;%dbg?75?;1C4;h4n0027?70>91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn5<7s-;;jo47f69'<24=j>:0(<>7e;3f07=O0?l0b<<>3;3427=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0g:e?7==3:10g`9N?>o1e=??<:0557>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm15`3>4<1290;w)??fc8;b2=#0>81hl?4$02;a?73>11C4;h4n0027?70>=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn<:m3;390?6=8r.:<,okh6<=:4:J;2c=i9;;86<995:k;3c<722c34=4?::k;<4<722en?44?::a51g0280<6=4?{%33bg1/4:<5dcg8 46?m3;?:55G87d8j446;3;<:;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8>j47?57;294~"68oh14k94$951>`723-;;4h4>47:8L=0a3g;9=>4>7758m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?;b482>3<729q/==hm:9d4?!>0:3i256*>09g9510?3-ljo7?=809K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f421j3;187>50z&24cd=0o=0(59=:b5`?!770l0:8;64$gc`>453;2B3:k5a1330>41112c3;k4?::k;<5<722c34<4?::mf7<<722wi=98k:086>5<7s-;;jo47f69'<24=ko90(<>7e;372==#nhi1=>:<;I:5b>h6:891=:8n;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th:9:;51;794?6|,8:mn76i7:&;37"aij0:>5?4H94e?k759:0:;;l4i95e>5<5<5<:683>5}#99li65h8;%:46?e4:2.:<5k5154;?M>1n2d:><=5164`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>4`695?1=83:p(<>ib;:e3>"??;0h??5+11:f>42102B3:k5a1330>411l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a51g4280<6=4?{%33bg1/4:<5c208 46?m3;?:55G87d8j446;3;<:h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8>j>7?57;294~"68oh14k94$951>f553-;;4h4>47:8L=0a3g;9=>4>77d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?;a082>2<729q/==hm:9d4?!>0:3i8>6*>09g9510?3A2=j6`>201952173`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`20d6=93=1<7>t$02ef?>a?2.3;?4l339'55>b28>=46F76g9m577428=<=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9=3m6<48:183!77nk03j:5+8609g64<,8:3i7?;699K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<:6e;393?6=8r.:<@15<5<5<0;6=u+11da>=`03-2<>7m<2:&24=c=9=<37E69f:l2645=9>=?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6<0i1=7950;2x 46aj32m;6*7738`77=#992n6<:98:J;2c=i9;;86<985:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi=97m:084>5<7s-;;jo47f69'<24=k:80(<>7e;372==O0?l0b<<>3;3433=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb06:e?7=?3:10g`9=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg73100:6:4?:1y'55`e21l<7)682;a06>"681o1=987;I:5b>h6:891=:97;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:84651;594?6|,8:mn76i7:&;37N?>o1e=??<:054=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm15;5>4<0290;w)??fc8;b2=#0>81o><4$02;a?73>11C4;h4n0027?70?h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f42>?3;1;7>50z&24cd=0o=0(59=:b11?!770l0:8;64H94e?k759:0:;:l4i95e>5<5<5<5<:583>5}#99li65h8;%:46?ea02.:<5k5154;?!`fk3;8hl5G87d8j446;3;<;n5f86d94?=n01:1<75f89394?=hm:31<75rb06;4?7=<3:10g`9N?>o1e=??<:054`>o??o0;66g78183>>o?080;66aj3883>>{e9=2:6<4;:183!77nk03j:5+8609gc><,8:3i7?;699'bde=9:n27E69f:l2645=9>=n7d68f;29?l>?83:17d671;29?jc413:17pl>49095?2=83:p(<>ib;:e3>"??;0hj55+11:f>42102.mmn4>3e:8L=0a3g;9=>4>76d8m=1a2900e56?:188m=>62900ch=6:188yg730:0:694?:1y'55`e21l<7)682;ae<>"681o1=987;%dbg?74l11C4;h4n0027?70091b4:h50;9j<=6=831b45?50;9la6?=831vn<:74;390?6=8r.:<,okh6<=k7:J;2c=i9;;86<971:k;3c<722c34=4?::k;<4<722en?44?::a51>2280?6=4?{%33bg1/4:<5cg:8 46?m3;?:55+f`a956b03A2=j6`>201952>53`25;h:;4?6=3`23=7>5;ng0=?6=3th:85851;194?6|,8:mn76i7:&;37"aij0:?io4H94e?k759:0:;5=4i95e>5<5<:283>5}#99li65h8;%:46?bf?2.:<5k5154;?!`fk3;?=55G87d8j446;3;<495f86d94?=n01:1<75`e2;94?=zj:>:47?57;294~"68oh14k94$951>a363-;;4h4<2368L=0a3g;9=>4>7978m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=2<729q/==hm:9d4?!>0:3o:96*>09g977433A2=j6`>201952>13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`07g0=93=1<7>t$02ef?>a?2.3;?4j019'55>b2:8986F76g9m577428=3;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:lj6<48:183!77nk03j:5+8609`cb<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>=ma;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7jib:&24=c=;;8?7E69f:l2645=9>2j7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4;ki1=7950;2x 46aj32m;6*7738gba=#992n6><=4:J;2c=i9;;86<97b:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?>hj:084>5<7s-;;jo47f69'<24=loh0(<>7e;1161=O0?l0b<<>3;34l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb21aa?7=?3:10g`90D58i;o3156<6?1n0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg53890:6:4?:1y'55`e21l<7)682;f51>"681o1??<;;I:5b>h6:891=:6j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8?n>51;594?6|,8:mn76i7:&;37N?>o1e=??<:05;b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3521>4<0290;w)??fc8;b2=#0>81hkl4$02;a?55:=1C4;h4n0027?70191b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f65d:3;1;7>50z&24cd=0o=0(59=:eda?!770l08>?:4H94e?k759:0:;4?4i95e>5<5<5<5<:683>5}#99li65h8;%:46?b1=2.:<5k53307?M>1n2d:><=516;1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<3b695?1=83:p(<>ib;:e3>"??;0ojo5+11:f>645<2B3:k5a1330>41>;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7161280<6=4?{%33bg1/4:<5d778 46?m399>95G87d8j446;3;<595f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:9h:7?57;294~"68oh14k94$951>a023-;;4h4<2368L=0a3g;9=>4>7878m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=;0982>2<729q/==hm:9d4?!>0:3n>;6*>09g977433A2=j6`>201952?13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`07f>=93=1<7>t$02ef?>a?2.3;?4kfe9'55>b2:8986F76g9m577428=2;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;=:j6<48:183!77nk03j:5+8609`cd<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>=la;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7j95:&24=c=;;8?7E69f:l2645=9>3j7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4;ji1=7950;2x 46aj32m;6*7738gbg=#992n6><=4:J;2c=i9;;86<96b:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?9>j:084>5<7s-;;jo47f69'<24=l??0(<>7e;1161=O0?l0b<<>3;34=f=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb21`a?7=?3:10g`90D58i;o3156<6?0n0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg53990:6:4?:1y'55`e21l<7)682;f63>"681o1??<;;I:5b>h6:891=:7j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8?i>51;594?6|,8:mn76i7:&;37N?>o1e=??<:05:b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3531>4<0290;w)??fc8;b2=#0>81h;;4$02;a?55:=1C4;h4n0027?70i91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f65c:3;1;7>50z&24cd=0o=0(59=:e46?!770l08>?:4H94e?k759:0:;l?4i95e>5<5<5<5<:683>5}#99li65h8;%:46?b2?2.:<5k53307?M>1n2d:><=516c1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<3e695?1=83:p(<>ib;:e3>"??;0o:85+11:f>645<2B3:k5a1330>41f;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7171280<6=4?{%33bg1/4:<5d458 46?m399>95G87d8j446;3;1<75`e2;94?=zj:9o:7?57;294~"68oh14k94$951>a303-;;4h4<2368L=0a3g;9=>4>7`78m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=;3982>2<729q/==hm:9d4?!>0:3n>=6*>09g977433A2=j6`>201952g13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`07a?=93=1<7>t$02ef?>a?2.3;?4j179'55>b2:8986F76g9m577428=j;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:n36<48:183!77nk03j:5+8609a56<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>:>a;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7jid:&24=c=;;8?7E69f:l2645=9>kj7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4<8i1=7950;2x 46aj32m;6*7738gbg=#992n6><=4:J;2c=i9;;86<9nb:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?>jj:084>5<7s-;;jo47f69'<24=lon0(<>7e;1161=O0?l0b<<>3;34ef=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb262a?7=?3:10g`90D58i;o3156<6?hn0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg54m90:6:4?:1y'55`e21l<7)682;fef>"681o1??<;;I:5b>h6:891=:oj;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th88?>51;594?6|,8:mn76i7:&;37<1/==6j:2010>N?>o1e=??<:05bb>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm32g1>4<0290;w)??fc8;b2=#0>81hkj4$02;a?55:=1C4;h4n0027?70j91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f625:3;1;7>50z&24cd=0o=0(59=:eda?!770l08>?:4H94e?k759:0:;o?4i95e>5<5<5<5<:683>5}#99li65h8;%:46?baj2.:<5k53307?M>1n2d:><=516`1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<43695?1=83:p(<>ib;:e3>"??;0o:85+11:f>645<2B3:k5a1330>41e;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a76c1280<6=4?{%33bg1/4:<5dg`8 46?m399>95G87d8j446;3;1<75`e2;94?=zj:>9:7?57;294~"68oh14k94$951>a023-;;4h4<2368L=0a3g;9=>4>7c78m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=2<729q/==hm:9d4?!>0:3n=96*>09g977433A2=j6`>201952d13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`007>=93=1<7>t$02ef?>a?2.3;?4k569'55>b2:8986F76g9m577428=i;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:oj6<48:183!77nk03j:5+8609`cb<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>:=a;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7jib:&24=c=;;8?7E69f:l2645=9>hj7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4<;i1=7950;2x 46aj32m;6*7738g20=#992n6><=4:J;2c=i9;;86<9mb:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?>kj:084>5<7s-;;jo47f69'<24=loh0(<>7e;1161=O0?l0b<<>3;34ff=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb261a?7=?3:10g`90D58i;o3156<6?kn0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg54n90:6:4?:1y'55`e21l<7)682;f51>"681o1??<;;I:5b>h6:891=:lj;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th88>>51;594?6|,8:mn76i7:&;371/==6j:2010>N?>o1e=??<:05ab>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm32d1>4<0290;w)??fc8;b2=#0>81hkl4$02;a?55:=1C4;h4n0027?70k91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f624:3;1;7>50z&24cd=0o=0(59=:e46?!770l08>?:4H94e?k759:0:;n?4i95e>5<5<5<5<:683>5}#99li65h8;%:46?b1=2.:<5k53307?M>1n2d:><=516a1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<42695?1=83:p(<>ib;:e3>"??;0o9:5+11:f>645<2B3:k5a1330>41d;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a76`1280<6=4?{%33bg1/4:<5d778 46?m399>95G87d8j446;3;1<75`e2;94?=zj:>8:7?57;294~"68oh14k94$951>a303-;;4h4<2368L=0a3g;9=>4>7b78m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=2<729q/==hm:9d4?!>0:3n>;6*>09g977433A2=j6`>201952e13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`07g2=93<1<7>t$02ef?>a?2.3;?4k679'55>b2:8986*iab82603<@15<5<5<:783>5}#99li65h8;%:46?bf92.:<5k53307?!`fk3;9j45G87d8j446;3;3:10g`9=;%33<`<4:;>0(kol:0061>N?>o1e=??<:05`=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;:3n6<49:183!77nk03j:5+8609a57<,8:3i7==259'bde=9;>m7E69f:l2645=9>ij7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl<38:95?0=83:p(<>ib;:e3>"??;0n<<5+11:f>645<2.mmn4>2468L=0a3g;9=>4>7b`8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg54i90:6;4?:1y'55`e21l<7)682;fg7>"681o1??<;;%dbg?75==1C4;h4n0027?70kj1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn>=6a;392?6=8r.:1/4:<5de18 46?m399>95+f`a957343A2=j6`>201952eb3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th8?4m51;494?6|,8:mn76i7:&;37"aij0:>9h4H94e?k759:0:;nh4i95e>5<5<5<=`03-2<>7j9a:&24=c=;;8?7)hnc;3117=O0?l0b<<>3;34`5=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj:9j:7?56;294~"68oh14k94$951>`663-;;4h4<2368 cgd288>>6F76g9m577428=o=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm32cf>4<1290;w)??fc8;b2=#0>81hi=4$02;a?55:=1/jlm51373?M>1n2d:><=516f1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd4;h21=7850;2x 46aj32m;6*7738g`6=#992n6><=4:&eef<6:<;0D58i;o3156<6?m90e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo=3<729q/==hm:9d4?!>0:3n=m6*>09g977433-ljo7?=4d9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f65fi3;1:7>50z&24cd=0o=0(59=:ef0?!770l08>?:4$gc`>44292B3:k5a1330>41c=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi?>l=:085>5<7s-;;jo47f69'<24=l?k0(<>7e;1161=#nhi1=?:j;I:5b>h6:891=:j9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`07de=93<1<7>t$02ef?>a?2.3;?4k6`9'55>b2:8986*iab82606<@15<5<5<:683>5}#99li65h8;%:46?baj2.:<5k53307?M>1n2d:><=516f;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<47395?0=83:p(<>ib;:e3>"??;0n<<5+11:f>645<2.mmn4>2c58L=0a3g;9=>4>7e;8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg53>:0:6:4?:1y'55`e21l<7)682;fe=>"681o1??<;;I:5b>h6:891=:jn;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th88;;51;594?6|,8:mn76i7:&;37N?>o1e=??<:05gf>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3544>4<0290;w)??fc8;b2=#0>81hk74$02;a?55:=1C4;h4n0027?70lj1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f62113;1;7>50z&24cd=0o=0(59=:ed:?!770l08>?:4H94e?k759:0:;ij4i95e>5<5<5<5<:683>5}#99li65h8;%:46?ba12.:<5k53307?M>1n2d:><=516ff?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<47f95?1=83:p(<>ib;:e3>"??;0oj45+11:f>645<2B3:k5a1330>41cn2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a76?4280?6=4?{%33bg1/4:<5de78 46?m399>95+f`a957`>3A2=j6`>201952c73`25;h:;4?6=3`23=7>5;ng0=?6=3th8>9m51;194?6|,8:mn76i7:&;371/==6j:2010>N?>o1e=??<:05f5>o??o0;66g78183>>ib;00;66sm3407>4<3290;w)??fc8;b2=#0>81o:m4$02;a?55:=1C4;h4n0027?70m;1b4:h50;9j<=6=831b45?50;9la6?=831vn>;=6;391?6=8r.:5<5<=`03-2<>7mme:&24=c=;;8?7E69f:l2645=9>o?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl<53c95?1=83:p(<>ib;:e3>"??;0h8;5+11:f>645<2B3:k5a1330>41b=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a773128086=4?{%33bg1/4:<5d`58 46?m399>95+f`a956?e3A2=j6`>201952c13`25;h:;4?6=3fo857>5;|`0110=93>1<7>t$02ef?>a?2.3;?4l7b9'55>b2:8986*iab8275<5<854>:483>5}#99li65h8;%:46?ea;2.:<5k53307?!`fk3;9;h5G87d8j446;3;fdb3-;;4h4<2368 cgd288>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm346`>4<0290;w)??fc8;b2=#0>81oko4$02;a?55:=1C4;h4n0027?70mh1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f63183;1;7>50z&24cd=0o=0(59=:b11?!770l08>?:4H94e?k759:0:;hl4i95e>5<5<5<5<9k4>:683>5}#99li65h8;%:46?e4:2.:<5k53307?M>1n2d:><=516g`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<54g95?1=83:p(<>ib;:e3>"??;0h??5+11:f>645<2B3:k5a1330>41bl2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a703c280<6=4?{%33bg1/4:<5c208 46?m399>95G87d8j446;3;1<75`e2;94?=zj:?>o7?57;294~"68oh14k94$951>f553-;;4h4<2368L=0a3g;9=>4>7dd8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=:5c82>2<729q/==hm:9d4?!>0:3i8>6*>09g977433A2=j6`>201952`73`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`010g=93=1<7>t$02ef?>a?2.3;?4l339'55>b2:8986F76g9m577428=m=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>;:8;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m<2:&24=c=;;8?7E69f:l2645=9>l?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4=<<1=7950;2x 46aj32m;6*7738`77=#992n6><=4:J;2c=i9;;86<9i5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?8;::084>5<7s-;;jo47f69'<24=k:80(<>7e;1161=O0?l0b<<>3;34b3=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb2760?7=?3:10g`90D58i;o3156<6?o=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg54;k0:6:4?:1y'55`e21l<7)682;``g>"681o1??<;;I:5b>h6:891=:h7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8?>o51;594?6|,8:mn76i7:&;37N?>o1e=??<:05e=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm321:>4<0290;w)??fc8;b2=#0>81nnm4$02;a?55:=1C4;h4n0027?70nh1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f65403;1;7>50z&24cd=0o=0(59=:ca`?!770l08>?:4H94e?k759:0:;kl4i95e>5<5<5<5<:683>5}#99li65h8;%:46?ddk2.:<5k53307?M>1n2d:><=516d`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<32495?1=83:p(<>ib;:e3>"??;0ion5+11:f>645<2B3:k5a1330>41al2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7652280<6=4?{%33bg1/4:<5bba8 46?m399>95G87d8j446;3;1<75`e2;94?=zj:9887?57;294~"68oh14k94$951>ged3-;;4h4<2368L=0a3g;9=>4>7gd8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=<3282>2<729q/==hm:9d4?!>0:3hho6*>09g977433A2=j6`>20195=673`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0764=93=1<7>t$02ef?>a?2.3;?4mcb9'55>b2:8986F76g9m5774282;=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:9:6<48:183!77nk03j:5+8609ffe<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>=<0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7llc:&24=c=;;8?7E69f:l2645=91:?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4;;o1=7950;2x 46aj32m;6*7738agf=#992n6><=4:J;2c=i9;;86<6?5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?>5<7s-;;jo47f69'<24=jji0(<>7e;1161=O0?l0b<<>3;3;43=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb211g?7=?3:10g`90D58i;o3156<609=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg54:k0:6:4?:1y'55`e21l<7)682;```>"681o1??<;;I:5b>h6:891=5>7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8??o51;594?6|,8:mn76i7:&;37N?>o1e=??<:0:3=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm320:>4<0290;w)??fc8;b2=#0>81nnj4$02;a?55:=1C4;h4n0027?7?8h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f65503;1;7>50z&24cd=0o=0(59=:cag?!770l08>?:4H94e?k759:0:4=l4i95e>5<5<5<5<:4>:683>5}#99li65h8;%:46?ddl2.:<5k53307?M>1n2d:><=5192`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<33495?1=83:p(<>ib;:e3>"??;0ioi5+11:f>645<2B3:k5a1330>4>7l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7642280<6=4?{%33bg1/4:<5bbf8 46?m399>95G87d8j446;3;31<75`e2;94?=zj:9987?57;294~"68oh14k94$951>gec3-;;4h4<2368L=0a3g;9=>4>81d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=<2282>2<729q/==hm:9d4?!>0:3hhh6*>09g977433A2=j6`>20195=773`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0774=93=1<7>t$02ef?>a?2.3;?4mce9'55>b2:8986F76g9m5774282:=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:8:6<48:183!77nk03j:5+8609ffb<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>==0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7lld:&24=c=;;8?7E69f:l2645=91;?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4;8o1=7950;2x 46aj32m;6*7738aga=#992n6><=4:J;2c=i9;;86<6>5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?>?k:084>5<7s-;;jo47f69'<24=jjn0(<>7e;1161=O0?l0b<<>3;3;53=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb212g?7=?3:10g`90D58i;o3156<608=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg549k0:6:4?:1y'55`e21l<7)682;``g>"681o1??<;;I:5b>h6:891=5?7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8?N?>o1e=??<:0:2=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm323:>4<0290;w)??fc8;b2=#0>81nnm4$02;a?55:=1C4;h4n0027?7?9h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f65603;1;7>50z&24cd=0o=0(59=:ca`?!770l08>?:4H94e?k759:0:45<5<5<5<:683>5}#99li65h8;%:46?ddk2.:<5k53307?M>1n2d:><=5193`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<30495?1=83:p(<>ib;:e3>"??;0ion5+11:f>645<2B3:k5a1330>4>6l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7672280<6=4?{%33bg1/4:<5bba8 46?m399>95G87d8j446;3;3=h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:9:87?57;294~"68oh14k94$951>gec3-;;4h4<2368L=0a3g;9=>4>80d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=<1282>2<729q/==hm:9d4?!>0:3hho6*>09g977433A2=j6`>20195=473`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0744=93=1<7>t$02ef?>a?2.3;?4mcb9'55>b2:8986F76g9m57742829=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:;:6<48:183!77nk03j:5+8609ffe<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>=>0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7llc:&24=c=;;8?7E69f:l2645=918?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4;9o1=7950;2x 46aj32m;6*7738agf=#992n6><=4:J;2c=i9;;86<6=5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?>>k:084>5<7s-;;jo47f69'<24=jji0(<>7e;1161=O0?l0b<<>3;3;63=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb213g?7=?3:10g`90D58i;o3156<60;=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg548k0:6:4?:1y'55`e21l<7)682;```>"681o1??<;;I:5b>h6:891=5<7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8?=o51;594?6|,8:mn76i7:&;37N?>o1e=??<:0:1=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm322:>4<0290;w)??fc8;b2=#0>81nnj4$02;a?55:=1C4;h4n0027?7?:h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f65703;1;7>50z&24cd=0o=0(59=:cag?!770l08>?:4H94e?k759:0:4?l4i95e>5<5<5<5<:683>5}#99li65h8;%:46?ddl2.:<5k53307?M>1n2d:><=5190`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<31495?1=83:p(<>ib;:e3>"??;0ioi5+11:f>645<2B3:k5a1330>4>5l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7662280<6=4?{%33bg1/4:<5bbf8 46?m399>95G87d8j446;3;3>h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:9;87?57;294~"68oh14k94$951>gec3-;;4h4<2368L=0a3g;9=>4>83d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=<0282>2<729q/==hm:9d4?!>0:3hhh6*>09g977433A2=j6`>20195=573`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0754=93=1<7>t$02ef?>a?2.3;?4mce9'55>b2:8986F76g9m57742828=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;:::6<48:183!77nk03j:5+8609ffb<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>=?0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7lld:&24=c=;;8?7E69f:l2645=919?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4:oo1=7950;2x 46aj32m;6*7738aga=#992n6><=4:J;2c=i9;;86<6<5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi??hk:084>5<7s-;;jo47f69'<24=jjn0(<>7e;1161=O0?l0b<<>3;3;73=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb20eg?7=?3:10g`90D58i;o3156<60:=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg55nk0:6:4?:1y'55`e21l<7)682;``g>"681o1??<;;I:5b>h6:891=5=7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8>ko51;594?6|,8:mn76i7:&;37N?>o1e=??<:0:0=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33d:>4<0290;w)??fc8;b2=#0>81nnm4$02;a?55:=1C4;h4n0027?7?;h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f64a03;1;7>50z&24cd=0o=0(59=:ca`?!770l08>?:4H94e?k759:0:4>l4i95e>5<5<5<5<:683>5}#99li65h8;%:46?ddk2.:<5k53307?M>1n2d:><=5191`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<2g495?1=83:p(<>ib;:e3>"??;0ion5+11:f>645<2B3:k5a1330>4>4l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77`2280<6=4?{%33bg1/4:<5bba8 46?m399>95G87d8j446;3;3?h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:8m87?57;294~"68oh14k94$951>ged3-;;4h4<2368L=0a3g;9=>4>82d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo==f282>2<729q/==hm:9d4?!>0:3hhh6*>09g977433A2=j6`>20195=273`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`06c4=93=1<7>t$02ef?>a?2.3;?4mce9'55>b2:8986F76g9m5774282?=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;l:6<48:183!77nk03j:5+8609ffb<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>5<5<5<0;6=u+11da>=`03-2<>7lld:&24=c=;;8?7E69f:l2645=91>?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4:lo1=7950;2x 46aj32m;6*7738aga=#992n6><=4:J;2c=i9;;86<6;5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi??kk:084>5<7s-;;jo47f69'<24=jjn0(<>7e;1161=O0?l0b<<>3;3;03=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb20fg?7=?3:10g`90D58i;o3156<60==0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg55mk0:6:4?:1y'55`e21l<7)682;```>"681o1??<;;I:5b>h6:891=5:7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8>ho51;594?6|,8:mn76i7:&;37N?>o1e=??<:0:7=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33g:>4<0290;w)??fc8;b2=#0>81nnj4$02;a?55:=1C4;h4n0027?7?750;9~f64b03;1;7>50z&24cd=0o=0(59=:cag?!770l08>?:4H94e?k759:0:49l4i95e>5<5<5<5<:683>5}#99li65h8;%:46?ddl2.:<5k53307?M>1n2d:><=5196`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<2d495?1=83:p(<>ib;:e3>"??;0ioi5+11:f>645<2B3:k5a1330>4>3l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77c2280<6=4?{%33bg1/4:<5bbf8 46?m399>95G87d8j446;3;38h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:8n87?57;294~"68oh14k94$951>gec3-;;4h4<2368L=0a3g;9=>4>85d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo==e282>2<729q/==hm:9d4?!>0:3hhh6*>09g977433A2=j6`>20195=373`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`06`4=93=1<7>t$02ef?>a?2.3;?4mce9'55>b2:8986F76g9m5774282>=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;o:6<48:183!77nk03j:5+8609ffb<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>5<5<5<0;6=u+11da>=`03-2<>7lld:&24=c=;;8?7E69f:l2645=91??7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4:mo1=7950;2x 46aj32m;6*7738aga=#992n6><=4:J;2c=i9;;86<6:5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi??jk:084>5<7s-;;jo47f69'<24=jjn0(<>7e;1161=O0?l0b<<>3;3;13=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb20gg?7=?3:10g`90D58i;o3156<60<=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg55lk0:6:4?:1y'55`e21l<7)682;``g>"681o1??<;;I:5b>h6:891=5;7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8>io51;594?6|,8:mn76i7:&;37N?>o1e=??<:0:6=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33f:>4<0290;w)??fc8;b2=#0>81nnm4$02;a?55:=1C4;h4n0027?7?=h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f64c03;1;7>50z&24cd=0o=0(59=:ca`?!770l08>?:4H94e?k759:0:48l4i95e>5<5<5<5<:683>5}#99li65h8;%:46?ddk2.:<5k53307?M>1n2d:><=5197`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<2e495?1=83:p(<>ib;:e3>"??;0ion5+11:f>645<2B3:k5a1330>4>2l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77b2280<6=4?{%33bg1/4:<5bba8 46?m399>95G87d8j446;3;39h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:8o87?57;294~"68oh14k94$951>ged3-;;4h4<2368L=0a3g;9=>4>84d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo==d282>2<729q/==hm:9d4?!>0:3hhh6*>09g977433A2=j6`>20195=073`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`06a4=93=1<7>t$02ef?>a?2.3;?4mce9'55>b2:8986F76g9m5774282==6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;n:6<48:183!77nk03j:5+8609ffb<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>5<5<5<0;6=u+11da>=`03-2<>7lld:&24=c=;;8?7E69f:l2645=91?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4:jo1=7950;2x 46aj32m;6*7738aga=#992n6><=4:J;2c=i9;;86<695:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi??mk:084>5<7s-;;jo47f69'<24=jjn0(<>7e;1161=O0?l0b<<>3;3;23=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb20`g?7=?3:10g`90D58i;o3156<60?=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg55kk0:6:4?:1y'55`e21l<7)682;```>"681o1??<;;I:5b>h6:891=587;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8>no51;594?6|,8:mn76i7:&;37N?>o1e=??<:0:5=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm33a:>4<0290;w)??fc8;b2=#0>81nnj4$02;a?55:=1C4;h4n0027?7?>h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f64d03;1;7>50z&24cd=0o=0(59=:cag?!770l08>?:4H94e?k759:0:4;l4i95e>5<5<5<5<:683>5}#99li65h8;%:46?ddl2.:<5k53307?M>1n2d:><=5194`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<2b495?1=83:p(<>ib;:e3>"??;0ioi5+11:f>645<2B3:k5a1330>4>1l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a77e2280<6=4?{%33bg1/4:<5bbf8 46?m399>95G87d8j446;3;3:h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:8h87?57;294~"68oh14k94$951>gec3-;;4h4<2368L=0a3g;9=>4>87d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo==c282>2<729q/==hm:9d4?!>0:3hho6*>09g977433A2=j6`>20195=173`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`06f4=93=1<7>t$02ef?>a?2.3;?4mcb9'55>b2:8986F76g9m5774282<=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;i:6<48:183!77nk03j:5+8609ffe<,8:3i7==259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>5<5<5<0;6=u+11da>=`03-2<>7llc:&24=c=;;8?7E69f:l2645=91=?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4:ko1=7950;2x 46aj32m;6*7738agf=#992n6><=4:J;2c=i9;;86<685:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi??lk:084>5<7s-;;jo47f69'<24=jji0(<>7e;1161=O0?l0b<<>3;3;33=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb20ag?7=?3:10g`90D58i;o3156<60>=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg55><0:694?:1y'55`e21l<7)682;a5a>"681o1??<;;%dbg?74ih1C4;h4n0027?7??11b4:h50;9j<=6=831b45?50;9la6?=831vn><96;391?6=8r.:n7)??8d80672<,okh6<5<7s-;;jo47f69'<24=lh<0(<>7e;1161=#nhi1=>on;I:5b>h6:891=59n;h:4b?6=3`23<7>5;ng0=?6=3th89=l51;194?6|,8:mn76i7:&;37"aij0:?l94H94e?k759:0:4:l4i95e>5<5<:283>5}#99li65h8;%:46?c7i2.:<5k53307?!`fk3;8:n5G87d8j446;3;3;n5f86d94?=n01:1<75`e2;94?=zj:?;h7?53;294~"68oh14k94$951>`6>3-;;4h4<2368 cgd289j;6F76g9m5774282>o?090;66aj3883>>{e;<:m6<4<:183!77nk03j:5+8609a5?<,8:3i7==259'bde=9:k=7E69f:l2645=91=n7d68f;29?l>?83:17bk<9;29?xd4=8;1=7=50;2x 46aj32m;6*7738g33=#992n6><=4:&eef<6;h<0D58i;o3156<60>l0e59i:188m=>72900ch=6:188yg44n?0:684?:1y'55`e21l<7)682;g37>"681o1>>ki;%dbg?75j=1C4;h4n0027?7?091b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f74f>3;197>50z&24cd=0o=0(59=:d20?!770l09>l>4$gc`>44?82B3:k5a1330>4>?92c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a67dd28086=4?{%33bg1/4:<5d`18 46?m389m=5G87d8j446;3;34?5f86d94?=n01:1<75`e2;94?=zj;8j;7?55;294~"68oh14k94$951>f1a3-;;4h4=2`28 cgd289:j6F76g9m57742823?6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:;kh6<4::183!77nk03j:5+8609g2`<,8:3i7<=a19'bde=9:;m7E69f:l2645=912?7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd5:hh1=7;50;2x 46aj32m;6*7738`3c=#992n6?72900e56>:188m=>52900ch=6:188yg45i10:684?:1y'55`e21l<7)682;a4b>"681o1>?o?;%dbg?749l1C4;h4n0027?7?0?1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f75d;3;1:7>50z&24cd=0o=0(59=:d22?!770l09?l;4$gc`>44e=2B3:k5a1330>4>??2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>>m=:084>5<7s-;;jo47f69'<24=k<:0(<>7e;00e0=O0?l0b<<>3;3;<==n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb31be?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo<3<729q/==hm:9d4?!>0:3no:6*>09g966g23-ljo7?=a99K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f74>13;197>50z&24cd=0o=0(59=:b1;?!770l09>4=4$gc`>454l2B3:k5a1330>4>?j2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a66gc280?6=4?{%33bg1/4:<5c7g8 46?m388m85G87d8j446;3;34n5f86d94?=n01:1<75f89394?=hm:31<75rb313g?7==3:10g`9N?>o1e=??<:0:;`>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm22c`>4<2290;w)??fc8;b2=#0>81ok;4$02;a?44i<1/jlm513`6?M>1n2d:><=519:f?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=2g`95?3=83:p(<>ib;:e3>"??;0oj95+11:f>74a=2.mmn4>2`c8L=0a3g;9=>4>89d8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo<0<729q/==hm:9d4?!>0:3i?h6*>09g966g23-ljo7?=a99K<3`50;9j<=7=831b45<50;9la6?=831vn?1/4:<5dd28 46?m389j85G87d8j446;3;35?5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;8mj7?55;294~"68oh14k94$951>a>c3-;;4h4=2g78 cgd2892h6F76g9m57742822?6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:;336<4;:183!77nk03j:5+8609fd6<,8:3i7<=929'bde=9:9o7E69f:l2645=913?7d68f;29?l>?83:17d671;29?jc413:17pl=3`d95?1=83:p(<>ib;:e3>"??;0om=5+11:f>75f=2B3:k5a1330>4>>=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a67`f280?6=4?{%33bg1/4:<5e148 46?m389j85+f`a956?c3A2=j6`>20195=?13`25;h:;4?6=3`23=7>5;ng0=?6=3th9>4951;194?6|,8:mn76i7:&;37"aij0:8<:4H94e?k759:0:4494i95e>5<5<:283>5}#99li65h8;%:46?c712.:<5k52366?!`fk3;8n55G87d8j446;3;3555f86d94?=n01:1<75`e2;94?=zj;8=n7?55;294~"68oh14k94$951>g?d3-;;4h4=2578 cgd2883>6F76g9m5774282256g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:;50;9j<=7=831b45<50;9j<=5=831di>750;9~f74083;1:7>50z&24cd=0o=0(59=:ef0?!770l09>9;4H94e?k759:0:44l4i95e>5<5<5<=`03-2<>7m9e:&24=c=:;>>7)hnc;307g=O0?l0b<<>3;3;=f=n0>l1<75f89294?=n01;1<75`e2;94?=zj;8?57?54;294~"68oh14k94$951>f0b3-;;4h4=2578 cgd2898m6F76g9m57742822h6g77g83>>o?090;66g78083>>ib;00;66sm236f>4<2290;w)??fc8;b2=#0>81o9k4$02;a?45<<1/jlm5121a?M>1n2d:><=519;f?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=25c95?3=83:p(<>ib;:e3>"??;0h8h5+11:f>743=2.mmn4>32c8L=0a3g;9=>4>88d8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo<=4b82>6<729q/==hm:9d4?!>0:3nj:6*>09g967223A2=j6`>20195=g73`25;h:;4?6=3fo857>5;|`161>=9391<7>t$02ef?>a?2.3;?4ka79'55>b2;8?96F76g9m5774282j=6g77g83>>o?090;66aj3883>>{e:<:86<4;:183!77nk03j:5+8609a4d<,8:3i7<;189'bde=9:n?7E69f:l2645=91k97d68f;29?l>?83:17d671;29?jc413:17pl=44295?0=83:p(<>ib;:e3>"??;0oh>5+11:f>72612.mmn4>25`8L=0a3g;9=>4>8`18m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg43=;0:6;4?:1y'55`e21l<7)682;f5e>"681o1>9?6;%dbg?751/4:<5de18 46?m38?=45+f`a9572f3A2=j6`>20195=g13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th989o51;494?6|,8:mn76i7:&;37"aij0:>9j4H94e?k759:0:4l94i95e>5<5<5<=`03-2<>7j9a:&24=c=:=;27)hnc;376f=O0?l0b<<>3;3;e==n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj;>8o7?56;294~"68oh14k94$951>`663-;;4h4=40;8 cgd288?m6F76g9m5774282j56g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm256`>4<1290;w)??fc8;b2=#0>81hi=4$02;a?43901/jlm5150`?M>1n2d:><=519cb?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd5<=21=7850;2x 46aj32m;6*7738f44=#992n6?:>9:&eef<6<;h0D58i;o3156<60hh0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo<;5582>3<729q/==hm:9d4?!>0:3o;>6*>09g9617>3-ljo7?=4b9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f72383;1:7>50z&24cd=0o=0(59=:d22?!770l098<74$gc`>443k2B3:k5a1330>4>fl2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>9:9:085>5<7s-;;jo47f69'<24=l?k0(<>7e;075<=#nhi1=9h6:891=5oj;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`1014=93<1<7>t$02ef?>a?2.3;?4kd29'55>b2;>:56*iab8207g<@15<5<5<:783>5}#99li65h8;%:46?bc;2.:<5k5253:?!`fk3;?>l5G87d8j446;3;3n=5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb3735?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg42890:6:4?:1y'55`e21l<7)682;a0f>"681o1>9?6;I:5b>h6:891=5l=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th98kh51;594?6|,8:mn76i7:&;37N?>o1e=??<:0:a7>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm25df>4<0290;w)??fc8;b2=#0>81o>l4$02;a?43901C4;h4n0027?7?j=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f72al3;1;7>50z&24cd=0o=0(59=:b1a?!770l098<74H94e?k759:0:4o;4i95e>5<5<5<5<:583>5}#99li65h8;%:46?b0:2.:<5k5242:?!`fk3;89;5G87d8j446;3;3n;5f86d94?=n01:1<75f89394?=hm:31<75rb34e3?7=>3:10g`9N?>o1e=??<:0:a3>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:?lh6<4;:183!77nk03j:5+8609`a3<,8:3i7<:089'bde=9:?97E69f:l2645=91h37d68f;29?l>?83:17d671;29?jc413:17pl=6gg95?2=83:p(<>ib;:e3>"??;0o9o5+11:f>73712.mmn4>3408L=0a3g;9=>4>8c;8m=1a2900e56?:188m=>62900ch=6:188yg41nh0:694?:1y'55`e21l<7)682;fg1>"681o1>8>6;%dbg?74=?1C4;h4n0027?7?jh1b4:h50;9j<=6=831b45?50;9la6?=831vn?8k8;390?6=8r.:7)??8d8115?<,okh6<1/4:<5d748 46?m38><45+f`a951403A2=j6`>20195=dd3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th9:ho51;494?6|,8:mn76i7:&;37h1/==6j:373=>"aij0:8?74H94e?k759:0:4oj4i95e>5<5<5<=`03-2<>7jk3:&24=c=:<:27)hnc;376<=O0?l0b<<>3;3;f`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj;a0f3-;;4h4=51;8 cgd28>986F76g9m5774282ij6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm27g7>4<1290;w)??fc8;b2=#0>81h;84$02;a?42801/jlm5150;?M>1n2d:><=519a3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd5>mi1=7850;2x 46aj32m;6*7738g2d=#992n6?;?9:&eef<6<;?0D58i;o3156<60j;0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo<9dd82>3<729q/==hm:9d4?!>0:3no?6*>09g9606>3-ljo7?;299K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f70ci3;1:7>50z&24cd=0o=0(59=:ef0?!770l099=74$gc`>425=2B3:k5a1330>4>d;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>;k=:085>5<7s-;;jo47f69'<24=l?k0(<>7e;064<=#nhi1=9<;;I:5b>h6:891=5m;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`1355=93<1<7>t$02ef?>a?2.3;?4j009'55>b2;?;56*iab82071<@15<5<5<:783>5}#99li65h8;%:46?b1i2.:<5k5242:?!`fk3;?>>5G87d8j446;3;3o;5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb34fg?7=>3:10g`9N?>o1e=??<:0:`3>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:?l?6<49:183!77nk03j:5+8609`04<,8:3i7<:089'bde=9=8=7E69f:l2645=91i37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl=6g295?0=83:p(<>ib;:e3>"??;0o:;5+11:f>73712.mmn4>4308L=0a3g;9=>4>8b;8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg41m?0:6;4?:1y'55`e21l<7)682;fg7>"681o1>8>6;%dbg?73::1C4;h4n0027?7?kh1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn?8je;392?6=8r.:1/4:<5d648 46?m38><45G87d8j446;3;3on5f86d94?=n01:1<75`e2;94?=zj;?>:7?53;294~"68oh14k94$951>a113-;;4h4=51;8L=0a3g;9=>4>8bf8m=1a2900e56?:188k`5>2900qo<:5482>6<729q/==hm:9d4?!>0:3n<:6*>09g9606>3A2=j6`>20195=eb3`25;h:;4?6=3fo857>5;|`1102=9391<7>t$02ef?>a?2.3;?4k779'55>b2;?;56F76g9m5774282hj6g77g83>>o?090;66aj3883>>{e:50;9la6?=831vn?;:2;397?6=8r.:5<=`03-2<>7j86:&24=c=:<:27E69f:l2645=91n97d68f;29?l>?83:17bk<9;29?xd5==l1=7=50;2x 46aj32m;6*7738g33=#992n6?;?9:J;2c=i9;;86<6k3:k;3c<722c34=4?::mf7<<722wi>8:j:080>5<7s-;;jo47f69'<24=l><0(<>7e;064<=O0?l0b<<>3;3;`1=n0>l1<75f89294?=hm:31<75rb377`?7=;3:10g`972900ch=6:188yg57"681o1>h87;%dbg?749k1C4;h4n0027?7?l?1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f66283;197>50z&24cd=0o=0(59=:c5g?!770l09i;64$gc`>456j2B3:k5a1330>4>c?2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a7535280>6=4?{%33bg1/4:<5b6f8 46?m38n:55+f`a9566d3A2=j6`>20195=b?3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`0402=93?1<7>t$02ef?>a?2.3;?4m7e9'55>b2;o=46*iab8275e<@15<5<=`03-2<>7l8d:&24=c=:l<37)hnc;305d=O0?l0b<<>3;3;`d=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb2260g`9N?>o1e=??<:0:gf>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm317b>4<2290;w)??fc8;b2=#0>81n:j4$02;a?4b>11/jlm5123:?M>1n2d:><=519f`?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl<04a95?3=83:p(<>ib;:e3>"??;0i;i5+11:f>7c102.mmn4>30;8L=0a3g;9=>4>8ef8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo=?5d82>0<729q/==hm:9d4?!>0:3h09g96`0?3-ljo7?<199K<3`50;9j<=7=831b45<50;9la6?=831vn>>90;391?6=8r.:<,okh6<=>8:J;2c=i9;;86<6kf:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi?=8=:086>5<7s-;;jo47f69'<24=j>n0(<>7e;0f2==#nhi1=>?8;I:5b>h6:891=5k?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th8<;:51;794?6|,8:mn76i7:&;37"aij0:?<94H94e?k759:0:4h?4i95e>5<5<5<:583>5}#99li65h8;%:46?b2j2.:<5k52d4;?M>1n2d:><=519g1?l>0n3:17d670;29?l>?93:17bk<9;29?xd488l1=7;50;2x 46aj32m;6*7738g10=#992n6?k98:&eef<6:1h0D58i;o3156<60l90e59i:188m=>72900e56>:188m=>52900ch=6:188yg57;h0:694?:1y'55`e21l<7)682;f6f>"681o1>h87;%dbg?74i91C4;h4n0027?7?m=1b4:h50;9j<=6=831b45?50;9la6?=831vn?hj9;393?6=8r.:<@15<5<5<=`03-2<>7j:b:&24=c=:l<37)hnc;30e5=O0?l0b<<>3;3;a3=n0>l1<75f89294?=n01;1<75`e2;94?=zj;lno7?57;294~"68oh14k94$951>fd?3-;;4h4=e7:8L=0a3g;9=>4>8d58m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=?3882>0<729q/==hm:9d4?!>0:3i3o6*>09g96`0?3-ljo7?=9`9K<3`50;9j<=7=831b45<50;9la6?=831vn?hja;393?6=8r.:<@15<5<5<=`03-2<>7jk0:&24=c=:l<37)hnc;31=d=O0?l0b<<>3;3;ad=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3dff?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg57;<0:684?:1y'55`e21l<7)682;f0b>"681o1>h87;%dbg?75101C4;h4n0027?7?mj1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f663=3;197>50z&24cd=0o=0(59=:b71?!770l09i;64$gc`>44>12B3:k5a1330>4>bl2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a752f280>6=4?{%33bg1/4:<5d4d8 46?m38n:55+f`a957??3A2=j6`>20195=cb3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`0461=93?1<7>t$02ef?>a?2.3;?4mb29'55>b2;o=46*iab826=3<@15<5<=`03-2<>7m;d:&24=c=:l<37)hnc;31===O0?l0b<<>3;3;b5=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb2277?7==3:10g`9N?>o1e=??<:0:e5>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm311e>4<2290;w)??fc8;b2=#0>81nk?4$02;a?4b>11/jlm513;4?M>1n2d:><=519d1?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl<05395?3=83:p(<>ib;:e3>"??;0h:55+11:f>7c102.mmn4>2848L=0a3g;9=>4>8g18m=1a2900e56?:188m=>62900e56=:188k`5>2900qo=?3e82>0<729q/==hm:9d4?!>0:3hi:6*>09g96`0?3-ljo7?=979K<3`50;9j<=7=831b45<50;9la6?=831vn?k75;391?6=8r.:<@15<5<0;6=u+11da>=`03-2<>7jm1:&24=c=:l<37E69f:l2645=91l=7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5m131=7950;2x 46aj32m;6*7738afa=#992n6?k98:J;2c=i9;;86<6i7:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi>h68:086>5<7s-;;jo47f69'<24=j0:0(<>7e;0f2==O0?l0b<<>3;3;b==n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb223e?7=?3:10g`9;%33<`<5m?20D58i;o3156<60o30e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg579=0:6:4?:1y'55`e21l<7)682;fa5>"681o1>h87;I:5b>h6:891=5hn;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8<=851;594?6|,8:mn76i7:&;37N?>o1e=??<:0:ef>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm312:>4<0290;w)??fc8;b2=#0>81ho?4$02;a?4b>11C4;h4n0027?7?nj1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f667n3;1;7>50z&24cd=0o=0(59=:e`2?!770l09i;64H94e?k759:0:4kj4i95e>5<5<5<5<4>:683>5}#99li65h8;%:46?d0>2.:<5k52d4;?M>1n2d:><=519df?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<00295?1=83:p(<>ib;:e3>"??;0on<5+11:f>7c102B3:k5a1330>4>an2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a756b280<6=4?{%33bg1/4:<5dc38 46?m38n:55G87d8j446;3;2<=5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj::;47?57;294~"68oh14k94$951>ad63-;;4h4=e7:8L=0a3g;9=>4>9138m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=?0e82>2<729q/==hm:9d4?!>0:3ni=6*>09g96`0?3A2=j6`>20195<653`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1b06=93=1<7>t$02ef?>a?2.3;?4k079'55>b2;o=46F76g9m5774283;?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;9:h6<4;:183!77nk03j:5+8609gc><,8:3i750;9j<=7=831di>750;9~f7`3l3;1;7>50z&24cd=0o=0(59=:e25?!770l09i;64H94e?k759:0:5=;4i95e>5<5<5<5<:683>5}#99li65h8;%:46?b7>2.:<5k52d4;?M>1n2d:><=51825?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<00095?1=83:p(<>ib;:e3>"??;0on<5+11:f>7c102B3:k5a1330>4?7?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7563280<6=4?{%33bg1/4:<5dc38 46?m38n:55G87d8j446;3;2<55f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj::;;7?57;294~"68oh14k94$951>ad63-;;4h4=e7:8L=0a3g;9=>4>91;8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=?0482>2<729q/==hm:9d4?!>0:3ni=6*>09g96`0?3A2=j6`>20195<6f3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1a1<7>t$02ef?>a?2.3;?4k9`9'55>b2;o=46*iab827d5<@15<5<:683>5}#99li65h8;%:46?b>92.:<5k52d4;?M>1n2d:><=5182`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=f7495?1=83:p(<>ib;:e3>"??;0o<;5+11:f>7c102B3:k5a1330>4?7l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6c0f280<6=4?{%33bg1/4:<5d148 46?m38n:55G87d8j446;3;21<75`e2;94?=zj;l=87?57;294~"68oh14k94$951>a613-;;4h4=e7:8L=0a3g;9=>4>91d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo2<729q/==hm:9d4?!>0:3n;:6*>09g96`0?3A2=j6`>20195<773`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1b34=93=1<7>t$02ef?>a?2.3;?4k079'55>b2;o=46F76g9m5774283:=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:o<36<48:183!77nk03j:5+8609`50<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?h9c;393?6=8r.:<@15<5<5<0;6=u+11da>=`03-2<>7j?6:&24=c=:l<37E69f:l2645=90;?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5n<81=7950;2x 46aj32m;6*7738g43=#992n6?k98:J;2c=i9;;86<7>5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi>k;;:084>5<7s-;;jo47f69'<24=l9<0(<>7e;0f2==O0?l0b<<>3;3:53=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3d62?7=?3:10g`99;%33<`<5m?20D58i;o3156<618=0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg579j0:684?:1y'55`e21l<7)682;`e4>"681o1>h87;%dbg?74801C4;h4n0027?7>911b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f66513;197>50z&24cd=0o=0(59=:bga?!770l09i;64$gc`>44f>2B3:k5a1330>4?612c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a757?280>6=4?{%33bg1/4:<5d538 46?m38n:55+f`a957>e3A2=j6`>20195<7f3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`044d=93?1<7>t$02ef?>a?2.3;?4k219'55>b2;o=46*iab826=g<@15<5<=`03-2<>7lm5:&24=c=:l<37)hnc;31e3=O0?l0b<<>3;3:5f=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb221`?7==3:10g`9N?>o1e=??<:0;2`>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm310e>4<2290;w)??fc8;b2=#0>81o:=4$02;a?4b>11/jlm513c6?M>1n2d:><=5183f?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl<03595?3=83:p(<>ib;:e3>"??;0ij?5+11:f>7c102.mmn4>2`68L=0a3g;9=>4>90d8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo=?2282>0<729q/==hm:9d4?!>0:3hj;6*>09g96`0?3-ljo7?=a59K<3`50;9j<=7=831b45<50;9la6?=831vn>>=b;391?6=8r.:<,okh6<5<7s-;;jo47f69'<24=joh0(<>7e;0f2==#nhi1=?6n;I:5b>h6:891=4<=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th8<>?51;794?6|,8:mn76i7:&;37"aij0:>l=4H94e?k759:0:5?=4i95e>5<5<5<<4>:583>5}#99li65h8;%:46?b2j2.:<5k52d4;?!`fk3;8<45G87d8j446;3;2>95f86d94?=n01:1<75f89394?=hm:31<75rb3ab0g`9N?>o1e=??<:0;11>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm2bcb>4<2290;w)??fc8;b2=#0>81n:j4$02;a?4fkh1/jlm51235?M>1n2d:><=51805?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=c`a95?3=83:p(<>ib;:e3>"??;0i;i5+11:f>7gdi2.mmn4>31`8L=0a3g;9=>4>9358m=1a2900e56?:188m=>62900e56=:188k`5>2900qo0<729q/==hm:9d4?!>0:3h09g96def3-ljo7?<0c9K<3`50;9j<=7=831b45<50;9la6?=831vn?mm0;391?6=8r.:5:J;2c=i9;;86<7=9:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi>nl=:086>5<7s-;;jo47f69'<24=j>n0(<>7e;0bgd=#nhi1=>?:;I:5b>h6:891=45;h:;5?6=3`23>7>5;ng0=?6=3th9oo:51;794?6|,8:mn76i7:&;37"aij0:?<:4H94e?k759:0:5?l4i95e>5<5<5<:483>5}#99li65h8;%:46?d0l2.:<5k52`ab?!`fk3;8=95G87d8j446;3;2>n5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;ii47?55;294~"68oh14k94$951>g1c3-;;4h4=abc8 cgd289:?6F76g9m57742839h6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:jhj6<4::183!77nk03j:5+8609f2b<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd5kki1=7;50;2x 46aj32m;6*7738a3a=#992n6?ola:&eef<6;880D58i;o3156<61;l0e59i:188m=>72900e56>:188m=>52900ch=6:188yg4djl0:684?:1y'55`e21l<7)682;`4`>"681o1>lmn;%dbg?749;1C4;h4n0027?7>;91b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7e003;187>50z&24cd=0o=0(59=:e7a?!770l09mno4H94e?k759:0:5>?4i95e>5<5<=`03-2<>7j:5:&24=c=:hij7)hnc;31<<=O0?l0b<<>3;3:77=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3a:0?7=<3:10g`9N?>o1e=??<:0;07>o??o0;66g78183>>o?080;66aj3883>>{e:j>86<48:183!77nk03j:5+8609gg><,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?mn0;390?6=8r.:1/4:<5cc:8 46?m38jol5G87d8j446;3;2?;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;i2?7?55;294~"68oh14k94$951>f>d3-;;4h4=abc8 cgd288296F76g9m57742838;6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:j>?6<48:183!77nk03j:5+8609gg><,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?mn6;391?6=8r.:n:::084>5<7s-;;jo47f69'<24=kk20(<>7e;0bgd=O0?l0b<<>3;3:7d=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3a;b?7==3:10g`9N?>o1e=??<:0;0f>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm2b;e>4<2290;w)??fc8;b2=#0>81o8<4$02;a?4fkh1/jlm513;7?M>1n2d:><=5181`?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=c`695?3=83:p(<>ib;:e3>"??;0o9k5+11:f>7gdi2.mmn4>2818L=0a3g;9=>4>92f8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo0<729q/==hm:9d4?!>0:3hi?6*>09g96def3-ljo7?=859K<3`50;9j<=7=831b45<50;9la6?=831vn?mn2;391?6=8r.:o7)??8d81efg<,okh6<<63:J;2c=i9;;86<7n7k:086>5<7s-;;jo47f69'<24=jll0(<>7e;0bgd=#nhi1=?7=;I:5b>h6:891=4:?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9o4751;794?6|,8:mn76i7:&;37"aij0:>4<4H94e?k759:0:59?4i95e>5<5<5<:483>5}#99li65h8;%:46?e102.:<5k52`ab?!`fk3;95<5G87d8j446;3;28?5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;i2;7?55;294~"68oh14k94$951>gd13-;;4h4=abc8 cgd2882=6F76g9m5774283??6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:ho<6<4::183!77nk03j:5+8609g2b<,8:3i750;9j<=7=831b45<50;9la6?=831vn?m9d;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7lmd:&24=c=:hij7E69f:l2645=90>=7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5il31=7;50;2x 46aj32m;6*7738a=5=#992n6?ola:J;2c=i9;;86<7;7:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi>n8;:084>5<7s-;;jo47f69'<24=lk;0(<>7e;0bgd=O0?l0b<<>3;3:0==n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3a5a?7=?3:10g`9;%33<`<5ijk0D58i;o3156<61=30e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg4d>90:6:4?:1y'55`e21l<7)682;fa5>"681o1>lmn;I:5b>h6:891=4:n;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th9o;=51;594?6|,8:mn76i7:&;37N?>o1e=??<:0;7f>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2b4:>4<0290;w)??fc8;b2=#0>81ho?4$02;a?4fkh1C4;h4n0027?7>750;9~f7gb=3;1;7>50z&24cd=0o=0(59=:c55?!770l09mno4H94e?k759:0:59j4i95e>5<5<5<5<:683>5}#99li65h8;%:46?be92.:<5k52`ab?M>1n2d:><=5186f?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=c7:95?1=83:p(<>ib;:e3>"??;0on<5+11:f>7gdi2B3:k5a1330>4?3n2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6f05280<6=4?{%33bg1/4:<5dc38 46?m38jol5G87d8j446;3;29=5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;i=;7?57;294~"68oh14k94$951>ad63-;;4h4=abc8L=0a3g;9=>4>9438m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo2<729q/==hm:9d4?!>0:3n;:6*>09g96def3A2=j6`>20195<353`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1g30=93>1<7>t$02ef?>a?2.3;?4lf99'55>b2;khm6F76g9m5774283>?6g77g83>>o?090;66g78083>>ib;00;66sm2cce>4<0290;w)??fc8;b2=#0>81h=84$02;a?4fkh1C4;h4n0027?7>==1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7de13;1;7>50z&24cd=0o=0(59=:e25?!770l09mno4H94e?k759:0:58;4i95e>5<5<5<5<:683>5}#99li65h8;%:46?be92.:<5k52`ab?M>1n2d:><=51875?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=c4g95?1=83:p(<>ib;:e3>"??;0on<5+11:f>7gdi2B3:k5a1330>4?2?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6f06280<6=4?{%33bg1/4:<5dc38 46?m38jol5G87d8j446;3;2955f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;i>j7?57;294~"68oh14k94$951>ad63-;;4h4=abc8L=0a3g;9=>4>94;8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo1<729q/==hm:9d4?!>0:3n2m6*>09g96def3-ljo7?50;9j<=7=831di>750;9~f7gan3;1;7>50z&24cd=0o=0(59=:e;2?!770l09mno4H94e?k759:0:58l4i95e>5<5<5<5<:683>5}#99li65h8;%:46?b7>2.:<5k52`ab?M>1n2d:><=5187`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=bba95?1=83:p(<>ib;:e3>"??;0o<;5+11:f>7gdi2B3:k5a1330>4?2l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6ge1280<6=4?{%33bg1/4:<5d148 46?m38jol5G87d8j446;3;29h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;hh>7?57;294~"68oh14k94$951>a613-;;4h4=abc8L=0a3g;9=>4>94d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo2<729q/==hm:9d4?!>0:3n;:6*>09g96def3A2=j6`>20195<073`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1ffg=93=1<7>t$02ef?>a?2.3;?4k079'55>b2;khm6F76g9m5774283==6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:kin6<48:183!77nk03j:5+8609`50<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?lk0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7j?6:&24=c=:hij7E69f:l2645=90?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5jk<1=7950;2x 46aj32m;6*7738g43=#992n6?ola:J;2c=i9;;86<795:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi>ol7:084>5<7s-;;jo47f69'<24=l9<0(<>7e;0bgd=O0?l0b<<>3;3:23=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3a42?7==3:10g`9N?>o1e=??<:0;53>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm2b:0>4<2290;w)??fc8;b2=#0>81ohl4$02;a?4fkh1/jlm513c1?M>1n2d:><=5184;?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=c6095?3=83:p(<>ib;:e3>"??;0o8<5+11:f>7gdi2.mmn4>29;8L=0a3g;9=>4>97;8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo0<729q/==hm:9d4?!>0:3n9<6*>09g96def3-ljo7?=899K<3`50;9j<=7=831b45<50;9la6?=831vn?m8f;391?6=8r.:7)??8d81efg<,okh6<n68:086>5<7s-;;jo47f69'<24=k0=0(<>7e;0bgd=#nhi1=?o>;I:5b>h6:891=48l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9o5751;794?6|,8:mn76i7:&;37"aij0:>l?4H94e?k759:0:5;j4i95e>5<5<5<:483>5}#99li65h8;%:46?da:2.:<5k52`ab?!`fk3;9m=5G87d8j446;3;2:h5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;igg03-;;4h4=abc8 cgd288j<6F76g9m5774283=j6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:j2>6<4::183!77nk03j:5+8609g24<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd5k>>1=7;50;2x 46aj32m;6*7738abg=#992n6?ola:&eef<6:120D58i;o3156<61>;0e59i:188m=>72900e56>:188m=>52900ch=6:188yg4d0k0:684?:1y'55`e21l<7)682;f00>"681o1>lmn;%dbg?751o1C4;h4n0027?7>?;1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7e0j3;187>50z&24cd=0o=0(59=:e7a?!770l09mno4$gc`>45702B3:k5a1330>4?0;2c3;k4?::k;<5<722c34<4?::mf7<<722wi>4>n:086>5<7s-;;jo47f69'<24=j>n0(<>7e;046f=#nhi1=>?>;I:5b>h6:891=49;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th95=m51;794?6|,8:mn76i7:&;37"aij0:?5<5<5<:483>5}#99li65h8;%:46?d0l2.:<5k5260`?!`fk3;8g1c3-;;4h4=73a8 cgd289;m6F76g9m5774283<;6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:0;96<4::183!77nk03j:5+8609f2b<,8:3i7<82b9'bde=9:;;7E69f:l2645=90=37d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd518>1=7;50;2x 46aj32m;6*7738a3a=#992n6?9=c:&eef<6;8:0D58i;o3156<61>30e59i:188m=>72900e56>:188m=>52900ch=6:188yg4>9?0:684?:1y'55`e21l<7)682;`4`>"681o1>:?h1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7?603;197>50z&24cd=0o=0(59=:c5g?!770l09;?m4$gc`>457n2B3:k5a1330>4?0j2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a6<7f280>6=4?{%33bg1/4:<5b6f8 46?m38<>n5+f`a9566b3A2=j6`>20195<1d3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1=4e=93?1<7>t$02ef?>a?2.3;?4m7e9'55>b2;=9o6*iab8275c<@15<5<=`03-2<>7l8d:&24=c=:>8h7)hnc;304a=O0?l0b<<>3;3:3`=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3;14?7==3:10g`9N?>o1e=??<:0;4b>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm29fb>4<3290;w)??fc8;b2=#0>81h8l4$02;a?40:j1C4;h4n0027?7>091b4:h50;9j<=6=831b45?50;9la6?=831vn?6kb;391?6=8r.:7)??8d8137e<,okh6<<77:J;2c=i9;;86<771:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi>5h9:087>5<7s-;;jo47f69'<24=l7e;046f=#nhi1=>7j;I:5b>h6:891=46=;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`1t$02ef?>a?2.3;?4lb99'55>b2;=9o6F76g9m57742833?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:0:96<4;:183!77nk03j:5+8609`0d<,8:3i7<82b9'bde=9:3n7E69f:l2645=902?7d68f;29?l>?83:17d671;29?jc413:17pl=8`:95?1=83:p(<>ib;:e3>"??;0hn55+11:f>715k2B3:k5a1330>4??=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6=`2280>6=4?{%33bg1/4:<5c9a8 46?m38<>n5+f`a957?73A2=j6`>20195<>13`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1t$02ef?>a?2.3;?4lb99'55>b2;=9o6F76g9m57742833;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:0:36<4::183!77nk03j:5+8609`a6<,8:3i7<82b9'bde=9;3;7E69f:l2645=90237d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd50h=1=7950;2x 46aj32m;6*7738`f==#992n6?9=c:J;2c=i9;;86<779:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi>5h>:086>5<7s-;;jo47f69'<24=l:l0(<>7e;046f=#nhi1=?6i;I:5b>h6:891=46n;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th95=?51;794?6|,8:mn76i7:&;37"aij0:>5h4H94e?k759:0:55l4i95e>5<5<5<:483>5}#99li65h8;%:46?b2n2.:<5k5260`?!`fk3;94h5G87d8j446;3;24n5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;2m?7?55;294~"68oh14k94$951>gd43-;;4h4=73a8 cgd2883?6F76g9m57742833h6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:0:?6<4::183!77nk03j:5+8609g1b<,8:3i7<82b9'bde=9;2n7E69f:l2645=902n7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd50ol1=7;50;2x 46aj32m;6*7738aac=#992n6?9=c:&eef<6:1n0D58i;o3156<611l0e59i:188m=>72900e56>:188m=>52900ch=6:188yg4?nk0:684?:1y'55`e21l<7)682;`e5>"681o1>:191b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7>al3;197>50z&24cd=0o=0(59=:b4;?!770l09;?m4$gc`>44?k2B3:k5a1330>4?>92c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a6=`>280>6=4?{%33bg1/4:<5bc48 46?m38<>n5+f`a957>d3A2=j6`>201955;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`131?=93?1<7>t$02ef?>a?2.3;?4l7e9'55>b2;=9o6F76g9m57742832?6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:1im6<48:183!77nk03j:5+8609`g7<,8:3i7<82b9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?9;d;393?6=8r.:5<5<5<=`03-2<>7l60:&24=c=:>8h7E69f:l2645=903=7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd50j<1=7950;2x 46aj32m;6*7738gf4=#992n6?9=c:J;2c=i9;;86<767:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi>5j?:084>5<7s-;;jo47f69'<24=lk;0(<>7e;046f=O0?l0b<<>3;3:===n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3:`6?7=?3:10g`9;%33<`<5?;i0D58i;o3156<61030e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg4?k<0:6:4?:1y'55`e21l<7)682;fa5>"681o1>:h6:891=47n;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th94nl51;594?6|,8:mn76i7:&;37N?>o1e=??<:0;:f>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2664>4<0290;w)??fc8;b2=#0>81n:84$02;a?40:j1C4;h4n0027?7>1j1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7>dk3;1;7>50z&24cd=0o=0(59=:e`2?!770l09;?m4H94e?k759:0:54j4i95e>5<5<5<5<:683>5}#99li65h8;%:46?be92.:<5k5260`?M>1n2d:><=518;f?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=8b695?1=83:p(<>ib;:e3>"??;0on<5+11:f>715k2B3:k5a1330>4?>n2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6=e>280<6=4?{%33bg1/4:<5dc38 46?m38<>n5G87d8j446;3;2m=5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;2:87?57;294~"68oh14k94$951>a613-;;4h4=73a8L=0a3g;9=>4>9`38m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<7c982>1<729q/==hm:9d4?!>0:3im46*>09g9624d3A2=j6`>201955;h:;4?6=3`23=7>5;ng0=?6=3th94N?>o1e=??<:0;b7>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm293a>4<0290;w)??fc8;b2=#0>81h=84$02;a?40:j1C4;h4n0027?7>i=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7>dm3;1;7>50z&24cd=0o=0(59=:e`2?!770l09;?m4H94e?k759:0:5l;4i95e>5<5<5<5<:683>5}#99li65h8;%:46?be92.:<5k5260`?M>1n2d:><=518c5?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=8b195?1=83:p(<>ib;:e3>"??;0on<5+11:f>715k2B3:k5a1330>4?f?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6=e6280<6=4?{%33bg1/4:<5dc38 46?m38<>n5G87d8j446;3;2m55f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;==<7?54;294~"68oh14k94$951>a?f3-;;4h4=73a8 cgd289j=6F76g9m5774283j56g77g83>>o?090;66g78083>>ib;00;66sm2642>4<0290;w)??fc8;b2=#0>81h4?4$02;a?40:j1C4;h4n0027?7>ih1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7>5i3;1;7>50z&24cd=0o=0(59=:e25?!770l09;?m4H94e?k759:0:5ll4i95e>5<5<5<5<h4>:683>5}#99li65h8;%:46?b7>2.:<5k5260`?M>1n2d:><=518c`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=83:95?1=83:p(<>ib;:e3>"??;0o<;5+11:f>715k2B3:k5a1330>4?fl2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6=43280<6=4?{%33bg1/4:<5d148 46?m38<>n5G87d8j446;3;2mh5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;29:7?57;294~"68oh14k94$951>a613-;;4h4=73a8L=0a3g;9=>4>9`d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<72b82>2<729q/==hm:9d4?!>0:3n;:6*>09g9624d3A2=j6`>201955;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1<66=93=1<7>t$02ef?>a?2.3;?4k079'55>b2;=9o6F76g9m5774283i=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:1996<48:183!77nk03j:5+8609`50<,8:3i7<82b9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?6>6;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7j?6:&24=c=:>8h7E69f:l2645=90h?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd508k1=7950;2x 46aj32m;6*7738g43=#992n6?9=c:J;2c=i9;;86<7m5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi>5j7:086>5<7s-;;jo47f69'<24=jo:0(<>7e;046f=#nhi1=>>8;I:5b>h6:891=4l9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th94h;51;794?6|,8:mn76i7:&;37"aij0:>4k4H94e?k759:0:5o94i95e>5<5<5<:483>5}#99li65h8;%:46?b392.:<5k5260`?!`fk3;94:5G87d8j446;3;2n55f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;2o;7?55;294~"68oh14k94$951>a473-;;4h4=73a8 cgd2883:6F76g9m5774283i56g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:1o:6<4::183!77nk03j:5+8609fg3<,8:3i7<82b9'bde=9;3n7E69f:l2645=90hj7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd50l31=7;50;2x 46aj32m;6*7738`=2=#992n6?9=c:&eef<6:0n0D58i;o3156<61kh0e59i:188m=>72900e56>:188m=>52900ch=6:188yg4?mk0:684?:1y'55`e21l<7)682;a47>"681o1>:jj1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7>b;3;197>50z&24cd=0o=0(59=:cd1?!770l09;?m4$gc`>44>k2B3:k5a1330>4?el2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a6=ba280>6=4?{%33bg1/4:<5b`58 46?m38<>n5+f`a957?d3A2=j6`>201955;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1<`1=93?1<7>t$02ef?>a?2.3;?4l739'55>b2;=9o6*iab8265<5<=`03-2<>7lib:&24=c=:>8h7)hnc;31<3=O0?l0b<<>3;3:g5=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3:f`?7==3:10g`9N?>o1e=??<:0;`5>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm29fg>4<3290;w)??fc8;b2=#0>81h8l4$02;a?40:j1/jlm51224?M>1n2d:><=518a1?l>0n3:17d670;29?l>?93:17bk<9;29?xd6l091=7950;2x 46aj32m;6*7738f45=#992n62:J;2c=i9;;86<7l3:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi=i7;:084>5<7s-;;jo47f69'<24=m9:0(<>7e;3g57=O0?l0b<<>3;3:g1=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0f:1?7=?3:10g`9?;%33<`<6l880D58i;o3156<61j?0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg7c1?0:6:4?:1y'55`e21l<7)682;g34>"681o1=i?=;I:5b>h6:891=4m9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:h4951;594?6|,8:mn76i7:&;37N?>o1e=??<:0;`3>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1e;;>4<4290;w)??fc8;b2=#0>81i=o4$02;a?7c9;1C4;h4n0027?7>k11b4:h50;9j<=6=831di>750;9~f4b>13;1;7>50z&24cd=0o=0(59=:e73?!770l0:h<<4H94e?k759:0:5n74i95e>5<5<5<5<:683>5}#99li65h8;%:46?b>92.:<5k53cf;?M>1n2d:><=518ab?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17plib;:e3>"??;0n=85+11:f>6dc02B3:k5a1330>4?dj2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7f`d280<6=4?{%33bg1/4:<5be08 46?m39ih55G87d8j446;3;2on5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:imj7?57;294~"68oh14k94$951>a>03-;;4h44>9bf8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=k8482>2<729q/==hm:9d4?!>0:3hh86*>09g97gb?3A2=j6`>201955;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0`=0=93=1<7>t$02ef?>a?2.3;?4mc59'55>b2:ho46F76g9m5774283hj6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;m2<6<48:183!77nk03j:5+8609f50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>j78;393?6=8r.:<@15<5<5<=`03-2<>7j:5:&24=c=;kn37E69f:l2645=90n97d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd4kh31=7950;2x 46aj32m;6*7738f45=#992n6>lk8:J;2c=i9;;86<7k3:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?non:084>5<7s-;;jo47f69'<24=m9:0(<>7e;1a`==O0?l0b<<>3;3:`1=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb2d64?7=?3:10g`9;%33<`<4jm20D58i;o3156<61m?0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg5a=80:6:4?:1y'55`e21l<7)682;f:5>"681o1?oj7;I:5b>h6:891=4j9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8j8<51;194?6|,8:mn76i7:&;37"aij0:?lj4H94e?k759:0:5i94i95e>5<5<:683>5}#99li65h8;%:46?b282.:<5k53cf;?M>1n2d:><=518f;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17plib;:e3>"??;0n<=5+11:f>6dc02B3:k5a1330>4?c12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7gbc280<6=4?{%33bg1/4:<5e128 46?m39ih55G87d8j446;3;2hl5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:hoi7?57;294~"68oh14k94$951>`673-;;4h44>9e`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=mdg82>2<729q/==hm:9d4?!>0:3o;<6*>09g97gb?3A2=j6`>201955;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0f`6=9391<7>t$02ef?>a?2.3;?4j0`9'55>b2:ho46F76g9m5774283oh6g77g83>>o?090;66aj3883>>{e;ko:6<48:183!77nk03j:5+8609`06<,8:3i7=md99K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>h=6;393?6=8r.:<@15<5<5<0;6=u+11da>=`03-2<>7l79:&24=c=;kn37E69f:l2645=90o;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4kk=1=7;50;2x 46aj32m;6*7738`=1=#992n6>lk8:J;2c=i9;;86<7j1:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi?nk;:086>5<7s-;;jo47f69'<24=l030(<>7e;1a`==O0?l0b<<>3;3:a7=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb2aga?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg35im0:6:4?:1y'55`e21l<7)682;f7b>"681o19?o9;I:5b>h6:891=4k;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th>>lk51;594?6|,8:mn76i7:&;37N?>o1e=??<:0;f1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm242;>4<4290;w)??fc8;b2=#0>81i1n2d:><=518g5?l>0n3:17d670;29?jc413:17pl=51595?1=83:p(<>ib;:e3>"??;0o9:5+11:f>737<2B3:k5a1330>4?b?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7fc1280<6=4?{%33bg1/4:<5bgf8 46?m39ih55G87d8j446;3;2i55f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj<8i57?57;294~"68oh14k94$951>f553-;;4h4:2`48L=0a3g;9=>4>9d;8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo;=e682>2<729q/==hm:9d4?!>0:3i:n6*>09g917g13A2=j6`>201955;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`66c7=93=1<7>t$02ef?>a?2.3;?4l1c9'55>b2<8j:6F76g9m5774283nn6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=;l;6<49:183!77nk03j:5+8609gac<,8:3i7;=a79K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f04a;3;1;7>50z&24cd=0o=0(59=:b3a?!770l0>>l84H94e?k759:0:5hj4i95e>5<5<5<5<:783>5}#99li65h8;%:46?ecm2.:<5k553c5?M>1n2d:><=518gf?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd2:ll1=7950;2x 46aj32m;6*7738`5g=#992n685<7s-;;jo47f69'<24=kmo0(<>7e;71e3=O0?l0b<<>3;3:b5=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj<8m;7?57;294~"68oh14k94$951>f7e3-;;4h4:2`48L=0a3g;9=>4>9g38m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo;=f782>3<729q/==hm:9d4?!>0:3ioi6*>09g917g13A2=j6`>20195<`53`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th>>k;51;594?6|,8:mn76i7:&;37N?>o1e=??<:0;e7>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm53d7>4<1290;w)??fc8;b2=#0>81oik4$02;a?35i?1C4;h4n0027?7>n=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn85<5<5<0;6=u+11da>=`03-2<>7m<4:&24=c==;k=7E69f:l2645=90l=7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd2:m91=7950;2x 46aj32m;6*7738`5g=#992n685<7s-;;jo47f69'<24=k:>0(<>7e;71e3=O0?l0b<<>3;3:b==n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb40f4?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg35m;0:6:4?:1y'55`e21l<7)682;a2f>"681o19?o9;I:5b>h6:891=4hn;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th>>h?51;594?6|,8:mn76i7:&;37N?>o1e=??<:0;ef>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm53fa>4<0290;w)??fc8;b2=#0>81o><4$02;a?35i?1C4;h4n0027?7>nj1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f04b<3;1;7>50z&24cd=0o=0(59=:b3a?!770l0>>l84H94e?k759:0:5kj4i95e>5<5<5<5<4>:683>5}#99li65h8;%:46?e4<2.:<5k553c5?M>1n2d:><=518df?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl:2dc95?1=83:p(<>ib;:e3>"??;0h=o5+11:f>04f>2B3:k5a1330>4?an2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a17c>280<6=4?{%33bg1/4:<5c268 46?m3?9m;5G87d8j446;3;j<=5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj<8ni7?57;294~"68oh14k94$951>f7e3-;;4h4:2`48L=0a3g;9=>4>a138m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo;=ee82>3<729q/==hm:9d4?!>0:3ioi6*>09g917g13A2=j6`>20195d653`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th>>hm51;594?6|,8:mn76i7:&;37N?>o1e=??<:0c37>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm53ga>4<1290;w)??fc8;b2=#0>81oik4$02;a?35i?1C4;h4n0027?7f8=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn>m6b;393?6=8r.:<@15<5<5<0;6=u+11da>=`03-2<>7j=1:&24=c=;kn37E69f:l2645=9h:=7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4n;?1=7;50;2x 46aj32m;6*7738gb5=#992n6>lk8:&eef<6;kh0D58i;o3156<6i9=0e59i:188m=>72900e56>:188m=>52900ch=6:188yg5a:10:6:4?:1y'55`e21l<7)682;ae4>"681o1?oj7;I:5b>h6:891=l>7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8j?751;594?6|,8:mn76i7:&;37N?>o1e=??<:0c3=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3g0a>4<1290;w)??fc8;b2=#0>81h4>4$02;a?5el11C4;h4n0027?7f8h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn>h>c;393?6=8r.:<@15<5<5<=`03-2<>7lme:&24=c=;kn37E69f:l2645=9h:h7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17plib;:e3>"??;0im>5+11:f>6dc02B3:k5a1330>4g7l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7c7a28086=4?{%33bg1/4:<5e1c8 46?m39ih55+f`a956df3A2=j6`>20195d6b3`25;h:;4?6=3fo857>5;|`0b76=93=1<7>t$02ef?>a?2.3;?4kc19'55>b2:ho46F76g9m577428k;j6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;o8:6<4::183!77nk03j:5+8609gce<,8:3i7=md99'bde=9:o>7E69f:l2645=9h;;7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd4n9h1=7950;2x 46aj32m;6*7738ggd=#992n6>lk8:J;2c=i9;;861:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?k>j:084>5<7s-;;jo47f69'<24=k190(<>7e;1a`==O0?l0b<<>3;3b57=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb2d25?7=<3:10g`9N?>o1e=??<:0c27>o??o0;66g78183>>o?080;66aj3883>>{e;o;96<48:183!77nk03j:5+8609fce<,8:3i7=md99K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>h>7;391?6=8r.:<@15<5<=`03-2<>7l84:&24=c=;kn37)hnc;30f3=O0?l0b<<>3;3b53=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj:l:57?57;294~"68oh14k94$951>a6c3-;;4h44>a058m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=i0782>3<729q/==hm:9d4?!>0:3i2m6*>09g97gb?3-ljo7?<059K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f6`7?3;187>50z&24cd=0o=0(59=:efb?!770l08ni64$gc`>457<2B3:k5a1330>4g612c3;k4?::k;<5<722c34<4?::mf7<<722wi?k>7:084>5<7s-;;jo47f69'<24=l9?0(<>7e;1a`==O0?l0b<<>3;3b5d=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb2d7g?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg5a"681o1?oj7;I:5b>h6:891=l?l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8j9k51;594?6|,8:mn76i7:&;37N?>o1e=??<:0c2`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3g70>4<0290;w)??fc8;b2=#0>81o9;4$02;a?5el11C4;h4n0027?7f9l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6`2=3;1:7>50z&24cd=0o=0(59=:e;3?!770l08ni64H94e?k759:0:m5<5<5<0;6=u+11da>=`03-2<>7ml4:&24=c=;kn37E69f:l2645=9h8;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4l9;1=7:50;2x 46aj32m;6*7738g51=#992n6>lk8:J;2c=i9;;861/4:<5c308 46?m39ih55G87d8j446;3;j>?5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:n;:7?57;294~"68oh14k94$951>a1?3-;;4h44>a318m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=k0682>2<729q/==hm:9d4?!>0:3n;o6*>09g97gb?3A2=j6`>20195d433`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0g`7=93=1<7>t$02ef?>a?2.3;?4l869'55>b2:ho46F76g9m577428k996g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;o>;6<48:183!77nk03j:5+8609g3g<,8:3i7=md99K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>h;1;393?6=8r.:<@15<5<5<=`03-2<>7k?a:&24=c=;kn37)hnc;30a0=O0?l0b<<>3;3b6==n0>l1<75f89294?=hm:31<75rb2d77?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg5a<>0:6:4?:1y'55`e21l<7)682;a:b>"681o1?oj7;I:5b>h6:891=l5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8j?k51;594?6|,8:mn76i7:&;37N?>o1e=??<:0c1f>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3g13>4<0290;w)??fc8;b2=#0>81h:?4$02;a?5el11C4;h4n0027?7f:j1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6`4:3;1;7>50z&24cd=0o=0(59=:e0;?!770l08ni64H94e?k759:0:m?j4i95e>5<5<5<5<:683>5}#99li65h8;%:46?b682.:<5k53cf;?M>1n2d:><=51`0f?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17plib;:e3>"??;0hm95+11:f>6dc02B3:k5a1330>4g5n2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7f?2280<6=4?{%33bg1/4:<5de68 46?m39ih55G87d8j446;3;j?=5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:i2:7?57;294~"68oh14k94$951>f233-;;4h44>a238m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=l9`82>2<729q/==hm:9d4?!>0:3h3i6*>09g97gb?3A2=j6`>20195d553`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0gt$02ef?>a?2.3;?4l9d9'55>b2:ho46F76g9m577428k8?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm3b;4>4<0290;w)??fc8;b2=#0>81nh74$02;a?5el11C4;h4n0027?7f;=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6e>93;1:7>50z&24cd=0o=0(59=:b46?!770l08ni64H94e?k759:0:m>;4i95e>5<5<5<0;6=u+11da>=`03-2<>7mkb:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30h91=7850;2x 46aj32m;6*7738`75=#992n69;mc:J;2c=i9;;861/4:<5c678 46?m3>>nn5G87d8j446;3;j?55f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb55fe?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg20m>0:6:4?:1y'55`e21l<7)682;a25>"681o188ll;I:5b>h6:891=l=n;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?;hm51;594?6|,8:mn76i7:&;37N?>o1e=??<:0c0f>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm46ga>4<0290;w)??fc8;b2=#0>81o750;9~f1d3=3;187>50z&24cd=0o=0(59=:ec1?!770l0?9om4$gc`>440l2B3:k5a1330>4g4l2c3;k4?::k;<5<722c34<4?::mf7<<722wi8o=i:084>5<7s-;;jo47f69'<24=k920(<>7e;66ff=O0?l0b<<>3;3b7`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5;00?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2>;<0:6:4?:1y'55`e21l<7)682;fa<>"681o188ll;I:5b>h6:891=l:?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?5>851;494?6|,8:mn76i7:&;37N?>o1e=??<:0c75>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<09<6<48:183!77nk03j:5+8609f`5<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn97<8;393?6=8r.:5<5<5<=`03-2<>7m78:&24=c=<?7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd300o1=7850;2x 46aj32m;6*7738gf2=#992n69;mc:J;2c=i9;;861/4:<5d718 46?m3>>nn5G87d8j446;3;j8;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=2h>7?57;294~"68oh14k94$951>a4b3-;;4h4;5ca8L=0a3g;9=>4>a558m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:7a982>2<729q/==hm:9d4?!>0:3nno6*>09g900dd3A2=j6`>20195d2?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7t$02ef?>a?2.3;?4mc69'55>b2=?io6F76g9m577428k?56g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<1kj6<48:183!77nk03j:5+8609`0b<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn975<5<5<0;6=u+11da>=`03-2<>7lla:&24=c=<h7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30k81=7850;2x 46aj32m;6*7738ggg=#992n69;mc:J;2c=i9;;861/4:<5e1c8 46?m3>>nn5G87d8j446;3;j8h5f86d94?=n01:1<75`e2;94?=zj=2ii7?57;294~"68oh14k94$951>ad73-;;4h4;5ca8L=0a3g;9=>4>a5d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:7bg82>3<729q/==hm:9d4?!>0:3ihn6*>09g900dd3A2=j6`>20195d373`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?4n>51;594?6|,8:mn76i7:&;37N?>o1e=??<:0c65>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm49ce>4<0290;w)??fc8;b2=#0>81o994$02;a?22jj1C4;h4n0027?7f=;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>e83;1;7>50z&24cd=0o=0(59=:ec:?!770l0?9om4H94e?k759:0:m8=4i95e>5<5<5<5<3n<4>:783>5}#99li65h8;%:46?b1<2.:<5k544``?M>1n2d:><=51`77?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd3j<<1=7950;2x 46aj32m;6*7738ae4=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=j0n0(<>7e;66ff=O0?l0b<<>3;3b13=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5:bg?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?im0:6:4?:1y'55`e21l<7)682;f56>"681o188ll;I:5b>h6:891=l;7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?o9h51;594?6|,8:mn76i7:&;37N?>o1e=??<:0c6=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4b73>4<0290;w)??fc8;b2=#0>81nn:4$02;a?2e??1C4;h4n0027?7f=h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1e293;1;7>50z&24cd=0o=0(59=:ca7?!770l0?n:84H94e?k759:0:m8l4i95e>5<5<5<5<h9?4>:583>5}#99li65h8;%:46?b2j2.:<5k54c55?M>1n2d:><=51`7`?l>0n3:17d670;29?l>?93:17bk<9;29?xd3jhi1=7:50;2x 46aj32m;6*7738f5d=#992n69l86:&eef<6<890D58i;o3156<6i72900e56>:188k`5>2900qo:mac82>2<729q/==hm:9d4?!>0:3hm:6*>09g90g113A2=j6`>20195d3b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7fg7=93=1<7>t$02ef?>a?2.3;?4lb19'55>b2=h<:6F76g9m577428k>j6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e?83:17d671;29?l>?:3:17bk<9;29?xd3j?81=7950;2x 46aj32m;6*7738`a==#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=jj;0(<>7e;66ff=O0?l0b<<>3;3b27=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5`50?7==3:10g`972900e56>:188m=>52900ch=6:188yg2b;?0:6:4?:1y'55`e21l<7)682;fef>"681o188ll;I:5b>h6:891=l8;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?i>951;594?6|,8:mn76i7:&;37N?>o1e=??<:0c51>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4d1;>4<0290;w)??fc8;b2=#0>81o=>4$02;a?22jj1C4;h4n0027?7f>?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>?j3;197>50z&24cd=0o=0(59=:ea7?!770l0?9om4H94e?k759:0:m;94i95e>5<5<5<2=o4>:683>5}#99li65h8;%:46?d?:2.:<5k544``?M>1n2d:><=51`4;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;86d95?1=83:p(<>ib;:e3>"??;0hm45+11:f>13ek2B3:k5a1330>4g112c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0=1f280<6=4?{%33bg1/4:<5b`48 46?m3>>nn5G87d8j446;3;j:l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=2gbd3-;;4h4;5ca8L=0a3g;9=>4>a7`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:79782>0<729q/==hm:9d4?!>0:3i=?6*>09g900dd3A2=j6`>20195d0d3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`7<=b=93=1<7>t$02ef?>a?2.3;?4j019'55>b2=?io6F76g9m577428k=h6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<12m6<4::183!77nk03j:5+8609fd><,8:3i7::bb9'bde=9:k?7E69f:l2645=9h?83:17d671;29?l>?:3:17bk<9;29?xd300:1=7950;2x 46aj32m;6*7738af7=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=k>n0(<>7e;66ff=#nhi1=?l?;I:5b>h6:891=l9?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th?5N?>o1e=??<:0c45>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm480b>4<4290;w)??fc8;b2=#0>81h:84$02;a?22jj1/jlm512g0?M>1n2d:><=51`51?l>0n3:17d670;29?jc413:17pl;93;95?1=83:p(<>ib;:e3>"??;0hml5+11:f>13ek2B3:k5a1330>4g0;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0<4d280?6=4?{%33bg1/4:<5e0`8 46?m3>>nn5+f`a956273A2=j6`>20195d133`25;h:;4?6=3`23=7>5;ng0=?6=3th?5?l51;594?6|,8:mn76i7:&;37N?>o1e=??<:0c41>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm480f>4<2290;w)??fc8;b2=#0>81i<74$02;a?22jj1/jlm51263?M>1n2d:><=51`55?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;93f95?1=83:p(<>ib;:e3>"??;0hmo5+11:f>13ek2B3:k5a1330>4g0?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0=>3280?6=4?{%33bg1/4:<5c6g8 46?m3>>nn5+f`a956g33A2=j6`>20195d1?3`25;h:;4?6=3`23=7>5;ng0=?6=3th?i=651;594?6|,8:mn76i7:&;37N?>o1e=??<:0c4=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4d2:>4<0290;w)??fc8;b2=#0>81i=>4$02;a?22jj1C4;h4n0027?7f?h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1c7i3;1;7>50z&24cd=0o=0(59=:d23?!770l0?9om4H94e?k759:0:m:l4i95e>5<5<5<5<n:683>5}#99li65h8;%:46?c782.:<5k544``?M>1n2d:><=51`5`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;e1a95?1=83:p(<>ib;:e3>"??;0n<=5+11:f>13ek2B3:k5a1330>4g0l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0`6c28086=4?{%33bg1/4:<5e1c8 46?m3>>nn5G87d8j446;3;j;h5f86d94?=n01:1<75`e2;94?=zj=o;i7?57;294~"68oh14k94$951>a373-;;4h4;5ca8L=0a3g;9=>4>a6d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:7cg82>3<729q/==hm:9d4?!>0:3i==6*>09g900dd3A2=j6`>20195d>73`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?4n;51;594?6|,8:mn76i7:&;37N?>o1e=??<:0c;5>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm49a4>4<3290;w)??fc8;b2=#0>81i=84$02;a?22jj1C4;h4n0027?7f0;1b4:h50;9j<=6=831b45?50;9la6?=831vn96l8;393?6=8r.:5<5<5<=`03-2<>7m91:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;8e295?1=83:p(<>ib;:e3>"??;0in<5+11:f>13ek2B3:k5a1330>4g?=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0=ef28086=4?{%33bg1/4:<5e0a8 46?m3>>nn5+f`a956e13A2=j6`>20195d>13`25;h:;4?6=3fo857>5;|`7t$02ef?>a?2.3;?4l0c9'55>b2=?io6F76g9m577428k3;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<1n86<49:183!77nk03j:5+8609g34<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1>c:3;1;7>50z&24cd=0o=0(59=:ca6?!770l0?9om4H94e?k759:0:m574i95e>5<5<5<5<3h84>:783>5}#99li65h8;%:46?e1:2.:<5k544``?M>1n2d:><=51`:b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd30m>1=7950;2x 46aj32m;6*7738ag0=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=k?80(<>7e;66ff=O0?l0b<<>3;3bl1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=2o:7?57;294~"68oh14k94$951>ge23-;;4h4;5ca8L=0a3g;9=>4>a9f8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:7de82>3<729q/==hm:9d4?!>0:3i=>6*>09g900dd3A2=j6`>20195d>b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?4i651;594?6|,8:mn76i7:&;37N?>o1e=??<:0c;b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm49fb>4<1290;w)??fc8;b2=#0>81o;<4$02;a?22jj1C4;h4n0027?7f191b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn96k9;393?6=8r.:7)??8d871ge<@15<5<5<=`03-2<>7m92:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;8e`95?1=83:p(<>ib;:e3>"??;0io85+11:f>13ek2B3:k5a1330>4g>;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0=ba280=6=4?{%33bg1/4:<5c708 46?m3>>nn5G87d8j446;3;j595f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5:ga?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?m80:6;4?:1y'55`e21l<7)682;a56>"681o188ll;I:5b>h6:891=l79;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7<`6=93=1<7>t$02ef?>a?2.3;?4mc49'55>b2=?io6F76g9m577428k2;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<1o86<49:183!77nk03j:5+8609g34<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1>b:3;1;7>50z&24cd=0o=0(59=:ca6?!770l0?9om4H94e?k759:0:m474i95e>5<5<5<5<n844>:683>5}#99li65h8;%:46?b3m2.:<5k544``?M>1n2d:><=51`;b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;e5a95?1=83:p(<>ib;:e3>"??;0o?l5+11:f>13ek2B3:k5a1330>4g>j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0`2c280=6=4?{%33bg1/4:<5de18 46?m3>>nn5G87d8j446;3;j5n5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5g7a?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2b"681o188ll;I:5b>h6:891=l7j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?58o51;494?6|,8:mn76i7:&;37N?>o1e=??<:0c:b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<0?n6<48:183!77nk03j:5+8609ff6<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn979d;393?6=8r.:5<5<5<=`03-2<>7jke:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;95495?1=83:p(<>ib;:e3>"??;0o=i5+11:f>13ek2B3:k5a1330>4gf;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0<35280>6=4?{%33bg1/4:<5d528 46?m3>>nn5G87d8j446;3;jm95f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=3>87?56;294~"68oh14k94$951>fg63-;;4h4;5ca8L=0a3g;9=>4>a`78m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2>k80:6;4?:1y'55`e21l<7)682;`;7>"681o188ll;I:5b>h6:891=lo9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7=fc=93?1<7>t$02ef?>a?2.3;?4l9b9'55>b2=?io6F76g9m577428kj;6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e<0n?6<48:183!77nk03j:5+8609`5b<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn97l8;392?6=8r.:5<5<5<2:k4>:783>5}#99li65h8;%:46?e1l2.:<5k544``?!`fk3;9;l5G87d8j446;3;jml5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5;43?7=?3:10g`9:;%33<`<3=ki0D58i;o3156<6ihh0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2>?10:6>4?:1y'55`e21l<7)682;g3=>"681o188ll;%dbg?74j:1C4;h4n0027?7fij1b4:h50;9j<=6=831di>750;9~f1?013;1;7>50z&24cd=0o=0(59=:cf0?!770l0?9om4H94e?k759:0:mlj4i95e>5<5<5<5<2;o4>:683>5}#99li65h8;%:46?dfn2.:<5k544``?M>1n2d:><=51`cf?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;96295?1=83:p(<>ib;:e3>"??;0i:l5+11:f>13ek2B3:k5a1330>4gfn2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0<16280<6=4?{%33bg1/4:<5be28 46?m3>>nn5G87d8j446;3;jn=5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=k397?54;294~"68oh14k94$951>f`?3-;;4h4;5ca8 cgd289h;6F76g9m577428ki=6g77g83>>o?090;66g78083>>ib;00;66sm4`::>4<0290;w)??fc8;b2=#0>81n:74$02;a?22jj1C4;h4n0027?7fj;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1g>13;187>50z&24cd=0o=0(59=:bd;?!770l0?9om4H94e?k759:0:mo=4i95e>5<5<0;6=u+11da>=`03-2<>7j=9:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3i0h1=7950;2x 46aj32m;6*7738g5`=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=l>h0(<>7e;66ff=O0?l0b<<>3;3bf3=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5c45?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2f?l0:6:4?:1y'55`e21l<7)682;fag>"681o188ll;I:5b>h6:891=ll7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?m:h51;494?6|,8:mn76i7:&;37N?>o1e=??<:0ca=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831di>750;9~f1?bn3;1:7>50z&24cd=0o=0(59=:cg1?!770l0?9om4H94e?k759:0:mol4i95e>5<5<5<0;6=u+11da>=`03-2<>7ll0:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd31o=1=7950;2x 46aj32m;6*7738af1=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=lmo0(<>7e;66ff=O0?l0b<<>3;3bf`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=3m57?57;294~"68oh14k94$951>a7c3-;;4h4;5ca8L=0a3g;9=>4>acd8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:6f`82>0<729q/==hm:9d4?!>0:3n?<6*>09g900dd3A2=j6`>20195de73`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`7=c4=93<1<7>t$02ef?>a?2.3;?4la09'55>b2=?io6F76g9m577428kh=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm48d`>4<1290;w)??fc8;b2=#0>81nh<4$02;a?22jj1C4;h4n0027?7fk;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9o?1;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7lm4:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3i9?1=7850;2x 46aj32m;6*7738g``=#992n69;mc:J;2c=i9;;861/4:<5d0f8 46?m3>>nn5G87d8j446;3;jo;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=k;;7?55;294~"68oh14k94$951>a273-;;4h4;5ca8L=0a3g;9=>4>ab58m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:6fg82>3<729q/==hm:9d4?!>0:3ij=6*>09g900dd3A2=j6`>20195de?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?m=751;494?6|,8:mn76i7:&;37N?>o1e=??<:0c`=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9o>1;393?6=8r.:5<5<5<=`03-2<>7jke:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;a0195?1=83:p(<>ib;:e3>"??;0o=i5+11:f>13ek2B3:k5a1330>4gdl2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0d73280>6=4?{%33bg1/4:<5d528 46?m3>>nn5G87d8j446;3;joh5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=k;o7?56;294~"68oh14k94$951>fg63-;;4h4;5ca8L=0a3g;9=>4>abd8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2e"681o188ll;I:5b>h6:891=lj?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7f1d=93=1<7>t$02ef?>a?2.3;?4m6c9'55>b2=?io6F76g9m577428ko=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9on4;390?6=8r.:1/4:<5c3g8 46?m3>>nn5G87d8j446;3;jh95f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=k2i7?57;294~"68oh14k94$951>a6?3-;;4h4;5ca8L=0a3g;9=>4>ae78m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:na382>3<729q/==hm:9d4?!>0:3i3<6*>09g900dd3A2=j6`>20195db13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?54951;494?6|,8:mn76i7:&;37N?>o1e=??<:0cg3>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<0326<49:183!77nk03j:5+8609`f1<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1?>l3;1?7>50z&24cd=0o=0(59=:d2:?!770l0?9om4$gc`>45e<2B3:k5a1330>4gc12c3;k4?::k;<5<722en?44?::a01/4:<5db:8 46?m3>>nn5G87d8j446;3;jhl5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=32>7?57;294~"68oh14k94$951>f613-;;4h4;5ca8L=0a3g;9=>4>ae`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:n8b82>1<729q/==hm:9d4?!>0:3im46*>09g900dd3-ljo7?50;9j<=7=831di>750;9~f1g>;3;1;7>50z&24cd=0o=0(59=:c5:?!770l0?9om4H94e?k759:0:mij4i95e>5<5<5<5<j554>:783>5}#99li65h8;%:46?e292.:<5k544``?M>1n2d:><=51`ff?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd3i1n1=7850;2x 46aj32m;6*7738`1c=#992n69;mc:J;2c=i9;;86b280<6=4?{%33bg1/4:<5bd`8 46?m3>>nn5G87d8j446;3;ji=5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=k2<7?57;294~"68oh14k94$951>a243-;;4h4;5ca8L=0a3g;9=>4>ad38m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:n9082>2<729q/==hm:9d4?!>0:3ho<6*>09g900dd3A2=j6`>20195dc53`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7=0?=93<1<7>t$02ef?>a?2.3;?4k4b9'55>b2=?io6F76g9m577428kn?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm486:>4<1290;w)??fc8;b2=#0>81hn94$02;a?22jj1/jlm512`0?M>1n2d:><=51`g7?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd31=k1=7950;2x 46aj32m;6*7738`41=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=jo30(<>7e;66ff=O0?l0b<<>3;3ba3=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=3?j7?57;294~"68oh14k94$951>ae?3-;;4h4;5ca8L=0a3g;9=>4>ad58m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:68982>3<729q/==hm:9d4?!>0:3n?o6*>09g900dd3A2=j6`>20195dc?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?55o51;494?6|,8:mn76i7:&;371/==6j:57ag>"aij0:?o:4H94e?k759:0:mh74i95e>5<5<5<0;6=u+11da>=`03-2<>7m?4:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd311:1=7850;2x 46aj32m;6*7738ab<=#992n69;mc:J;2c=i9;;866280<6=4?{%33bg1/4:<5db:8 46?m3>>nn5G87d8j446;3;jin5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=3j57?56;294~"68oh14k94$951>a2d3-;;4h4;5ca8L=0a3g;9=>4>adf8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2>ik0:6;4?:1y'55`e21l<7)682;f`3>"681o188ll;I:5b>h6:891=lkj;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7=de=93=1<7>t$02ef?>a?2.3;?4l059'55>b2=?io6F76g9m577428knj6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<0k:6<49:183!77nk03j:5+8609fc?<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1?f:3;1;7>50z&24cd=0o=0(59=:ea;?!770l0?9om4H94e?k759:0:mk?4i95e>5<5<5<5<j=;4>:783>5}#99li65h8;%:46?d?;2.:<5k544``?M>1n2d:><=51`d1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd3i;:1=7=50;2x 46aj32m;6*7738f4d=#992n69;mc:&eef<6;??0D58i;o3156<6io90e59i:188m=>72900ch=6:188yg2f900:6:4?:1y'55`e21l<7)682;a1a>"681o188ll;I:5b>h6:891=lh;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?mN?>o1e=??<:0ce1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4`ce>4<0290;w)??fc8;b2=#0>81h:o4$02;a?22jj1C4;h4n0027?7fn?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1ge93;1:7>50z&24cd=0o=0(59=:e6`?!770l0?9om4H94e?k759:0:mk94i95e>5<5<5<0;6=u+11da>=`03-2<>7m=e:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3ihi1=7950;2x 46aj32m;6*7738g4==#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=j?n0(<>7e;66ff=O0?l0b<<>3;3bbd=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5cba?7=>3:10g`9;%33<`<3=ki0D58i;o3156<6ioh0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo:66082>2<729q/==hm:9d4?!>0:3h<56*>09g900dd3A2=j6`>20195d`d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7=33=93<1<7>t$02ef?>a?2.3;?4k4b9'55>b2=?io6F76g9m577428kmh6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm4845>4<1290;w)??fc8;b2=#0>81hhk4$02;a?22jj1C4;h4n0027?7fnl1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9799;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7j=b:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd31?81=7950;2x 46aj32m;6*7738a3==#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=jm;0(<>7e;66ff=O0?l0b<<>3;3a47=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5;f6?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo:6e882>3<729q/==hm:9d4?!>0:3i?j6*>09g900dd3-ljo7?<649K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1?b=3;1;7>50z&24cd=0o=0(59=:ea0?!770l0?9om4H94e?k759:0:n=;4i95e>5<5<5<5<2i;4>:683>5}#99li65h8;%:46?dc12.:<5k544``?M>1n2d:><=51c25?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;9d595?1=83:p(<>ib;:e3>"??;0o?;5+11:f>13ek2B3:k5a1330>4d7?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a01/4:<5bg18 46?m3>>nn5G87d8j446;3;i<55f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=k8j7?57;294~"68oh14k94$951>g1>3-;;4h4;5ca8L=0a3g;9=>4>b1;8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:n4e82>1<729q/==hm:9d4?!>0:3no96*>09g900dd3-ljo7?<8`9K<3`50;9j<=7=831di>750;9~f1g3m3;1;7>50z&24cd=0o=0(59=:bg`?!770l0?9om4H94e?k759:0:n=l4i95e>5<5<5<5<j9<4>:683>5}#99li65h8;%:46?e582.:<5k544``?M>1n2d:><=51c2`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;a5295?1=83:p(<>ib;:e3>"??;0o<55+11:f>13ek2B3:k5a1330>4d7l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0d26280<6=4?{%33bg1/4:<5dg48 46?m3>>nn5G87d8j446;3;i1<75`e2;94?=zj=k??7?57;294~"68oh14k94$951>gb63-;;4h4;5ca8L=0a3g;9=>4>b1d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:nc482>2<729q/==hm:9d4?!>0:3h<56*>09g900dd3A2=j6`>20195g773`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7ef?=93<1<7>t$02ef?>a?2.3;?4k4b9'55>b2=?io6F76g9m577428h:=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm4`ab>4<1290;w)??fc8;b2=#0>81hhk4$02;a?22jj1C4;h4n0027?7e9;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9ole;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7j=b:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3ij<1=7950;2x 46aj32m;6*7738ga0=#992n69;mc:J;2c=i9;;865:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi8lm8:084>5<7s-;;jo47f69'<24=jo=0(<>7e;66ff=O0?l0b<<>3;3a53=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5cg5?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2fl<0:6;4?:1y'55`e21l<7)682;f7g>"681o188ll;I:5b>h6:891=o?7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7ea0=93<1<7>t$02ef?>a?2.3;?4ked9'55>b2=?io6F76g9m577428h:56g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm4`fb>4<0290;w)??fc8;b2=#0>81h:o4$02;a?22jj1C4;h4n0027?7e9h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1gcj3;1;7>50z&24cd=0o=0(59=:e0a?!770l0?9om4H94e?k759:0:n5<5<5<5<jh?4>:683>5}#99li65h8;%:46?d002.:<5k544``?M>1n2d:><=51c3`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;ae195?1=83:p(<>ib;:e3>"??;0ih<5+11:f>13ek2B3:k5a1330>4d6l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0dbc280<6=4?{%33bg1/4:<5b6;8 46?m3>>nn5G87d8j446;3;i=h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=kn=7?56;294~"68oh14k94$951>a2d3-;;4h4;5ca8L=0a3g;9=>4>b0d8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2fm;0:6;4?:1y'55`e21l<7)682;ffa>"681o188ll;I:5b>h6:891=o5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7e`0=93=1<7>t$02ef?>a?2.3;?4k7`9'55>b2=?io6F76g9m577428h9=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9oke;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7lk1:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3il31=7950;2x 46aj32m;6*7738a3<=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=l=i0(<>7e;66ff=O0?l0b<<>3;3a63=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=kni7?56;294~"68oh14k94$951>acb3-;;4h4;5ca8L=0a3g;9=>4>b358m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2fn;0:6:4?:1y'55`e21l<7)682;f4e>"681o188ll;I:5b>h6:891=o<7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?mk=51;594?6|,8:mn76i7:&;37N?>o1e=??<:0`1=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4`gb>4<0290;w)??fc8;b2=#0>81n:64$02;a?22jj1C4;h4n0027?7e:h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1gbj3;1;7>50z&24cd=0o=0(59=:cf2?!770l0?9om4H94e?k759:0:n?l4i95e>5<5<5<5<jj84>:683>5}#99li65h8;%:46?d012.:<5k544``?M>1n2d:><=51c0`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;ag;95?0=83:p(<>ib;:e3>"??;0o8n5+11:f>13ek2B3:k5a1330>4d5l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi8lhn:085>5<7s-;;jo47f69'<24=llo0(<>7e;66ff=O0?l0b<<>3;3a6`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=kmi7?57;294~"68oh14k94$951>a1f3-;;4h4;5ca8L=0a3g;9=>4>b3d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:nfg82>2<729q/==hm:9d4?!>0:3n9n6*>09g900dd3A2=j6`>20195g573`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7ec0=93=1<7>t$02ef?>a?2.3;?4m799'55>b2=?io6F76g9m577428h8=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9l?1;393?6=8r.:5<5<5<=`03-2<>7j;c:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;b1495?0=83:p(<>ib;:e3>"??;0oih5+11:f>13ek2B3:k5a1330>4d4=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi8o>n:084>5<7s-;;jo47f69'<24=l>k0(<>7e;66ff=O0?l0b<<>3;3a73=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5`3f?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2e8;0:6:4?:1y'55`e21l<7)682;`4<>"681o188ll;I:5b>h6:891=o=7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?n==51;594?6|,8:mn76i7:&;37N?>o1e=??<:0`0=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4c2g>4<0290;w)??fc8;b2=#0>81n:74$02;a?22jj1C4;h4n0027?7e;h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1d693;1:7>50z&24cd=0o=0(59=:e6`?!770l0?9om4H94e?k759:0:n>l4i95e>5<5<5<=`03-2<>7jje:&24=c=<3;3a7f=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=h::7?57;294~"68oh14k94$951>a1f3-;;4h4;5ca8L=0a3g;9=>4>b2f8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:m1682>2<729q/==hm:9d4?!>0:3n9n6*>09g900dd3A2=j6`>20195g5b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7f5c=93=1<7>t$02ef?>a?2.3;?4m799'55>b2=?io6F76g9m577428h8j6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn97m9;392?6=8r.:h7)??8d871ge<@15<5<5<2no4>:783>5}#99li65h8;%:46?bd?2.:<5k544``?!`fk3;88?5G87d8j446;3;i8?5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5;ag?7=?3:10g`9;;%33<`<3=ki0D58i;o3156<6j=90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2>j80:6;4?:1y'55`e21l<7)682;`e=>"681o188ll;I:5b>h6:891=o:;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7=g4=93=1<7>t$02ef?>a?2.3;?4kc99'55>b2=?io6F76g9m577428h?96g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831di>750;9~f1g5l3;1:7>50z&24cd=0o=0(59=:e6`?!770l0?9om4H94e?k759:0:n994i95e>5<5<5<0;6=u+11da>=`03-2<>7m=e:&24=c=<37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3i;31=7950;2x 46aj32m;6*7738g4==#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=j?l0(<>7e;66ff=O0?l0b<<>3;3a0d=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5cag?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2fjl0:6;4?:1y'55`e21l<7)682;f7g>"681o188ll;I:5b>h6:891=o:l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7eg>=93=1<7>t$02ef?>a?2.3;?4l2d9'55>b2=?io6F76g9m577428h?h6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9oma;393?6=8r.:5<5<5<=`03-2<>7m<1:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;a9095?1=83:p(<>ib;:e3>"??;0o;l5+11:f>13ek2B3:k5a1330>4d292c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0d>3280=6=4?{%33bg1/4:<5d5a8 46?m3>>nn5G87d8j446;3;i9?5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5c46?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo:n7282>3<729q/==hm:9d4?!>0:3n8<6*>09g900dd3-ljo7?<969K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1g0<3;1?7>50z&24cd=0o=0(59=:d2:?!770l0?9om4$gc`>45>?2B3:k5a1330>4d2=2c3;k4?::k;<5<722en?44?::a0d12280<6=4?{%33bg1/4:<5d568 46?m3>>nn5G87d8j446;3;i9;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=k<:7?57;294~"68oh14k94$951>f4b3-;;4h4;5ca8L=0a3g;9=>4>b458m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:n7682>2<729q/==hm:9d4?!>0:3i9h6*>09g900dd3A2=j6`>20195g3?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7e2>=93=1<7>t$02ef?>a?2.3;?4m6b9'55>b2=?io6F76g9m577428h>56g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831di>750;9~f1g493;1:7>50z&24cd=0o=0(59=:c:0?!770l0?9om4H94e?k759:0:n8l4i95e>5<5<5<=`03-2<>7j;c:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;a2695?1=83:p(<>ib;:e3>"??;0h>h5+11:f>13ek2B3:k5a1330>4d2l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0d52280<6=4?{%33bg1/4:<5d1:8 46?m3>>nn5G87d8j446;3;i9h5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=k8:7?57;294~"68oh14k94$951>g0a3-;;4h4;5ca8L=0a3g;9=>4>b4d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:n5682>2<729q/==hm:9d4?!>0:3n09g900dd3A2=j6`>20195g073`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7e0?=93<1<7>t$02ef?>a?2.3;?4k4b9'55>b2=?io6F76g9m577428h==6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm4`70>4<0290;w)??fc8;b2=#0>81o?k4$02;a?22jj1C4;h4n0027?7e>;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1g2<3;1;7>50z&24cd=0o=0(59=:e2;?!770l0?9om4H94e?k759:0:n;=4i95e>5<5<5<5<j984>:683>5}#99li65h8;%:46?d1l2.:<5k544``?M>1n2d:><=51c47?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;a4495?0=83:p(<>ib;:e3>"??;0h?<5+11:f>13ek2B3:k5a1330>4d1=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi85kj:084>5<7s-;;jo47f69'<24=jl?0(<>7e;66ff=O0?l0b<<>3;3a23=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5c50?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2f>?0:6;4?:1y'55`e21l<7)682;f7g>"681o188ll;I:5b>h6:891=o87;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7e36=93=1<7>t$02ef?>a?2.3;?4l2d9'55>b2=?io6F76g9m577428h=56g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9o92;393?6=8r.:5<5<5<=`03-2<>7m<1:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;e5295?1=83:p(<>ib;:e3>"??;0o?i5+11:f>13ek2B3:k5a1330>4d1l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0`26280=6=4?{%33bg1/4:<5e138 46?m3>>nn5+f`a9573?3A2=j6`>20195g0b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?i9<51;594?6|,8:mn76i7:&;37N?>o1e=??<:0`5b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4d67>4<1290;w)??fc8;b2=#0>81h;o4$02;a?22jj1C4;h4n0027?7e?91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9k;5;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m<5:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30<<1=7950;2x 46aj32m;6*7738aa4=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=k1<0(<>7e;66ff=#nhi1=?;8;I:5b>h6:891=o9;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7<`2=93=1<7>t$02ef?>a?2.3;?4l859'55>b2=?io6F76g9m577428h<96g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<0;>6<49:183!77nk03j:5+8609g4g<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1?6<3;1;7>50z&24cd=0o=0(59=:bf0?!770l0?9om4H94e?k759:0:n:94i95e>5<5<5<5<n?<4>:483>5}#99li65h8;%:46?de?2.:<5k544``?!`fk3;99:5G87d8j446;3;i;55f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=o8<7?57;294~"68oh14k94$951>g?33-;;4h4;5ca8L=0a3g;9=>4>b6;8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:me482>6<729q/==hm:9d4?!>0:3nj?6*>09g90g113A2=j6`>20195g1f3`25;h:;4?6=3fo857>5;|`7f`2=93=1<7>t$02ef?>a?2.3;?4j019'55>b2=h<:6F76g9m577428h>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<>on6<48:183!77nk03j:5+8609g`1<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn99jd;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7mj7:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3?ll1=7950;2x 46aj32m;6*7738ag7=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=kl=0(<>7e;66ff=O0?l0b<<>3;3a<5=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb55e5?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg20n=0:6:4?:1y'55`e21l<7)682;af3>"681o188ll;I:5b>h6:891=o6=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?;k=51;594?6|,8:mn76i7:&;37N?>o1e=??<:0`;7>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm46d5>4<0290;w)??fc8;b2=#0>81oh94$02;a?22jj1C4;h4n0027?7e0=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f11a=3;1;7>50z&24cd=0o=0(59=:ca1?!770l0?9om4H94e?k759:0:n5;4i95e>5<5<5<5<:683>5}#99li65h8;%:46?eb?2.:<5k544``?M>1n2d:><=51c:5?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;7g595?1=83:p(<>ib;:e3>"??;0io?5+11:f>13ek2B3:k5a1330>4d??2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a02`f280<6=4?{%33bg1/4:<5cd58 46?m3>>nn5G87d8j446;3;i455f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj==m57?57;294~"68oh14k94$951>ge53-;;4h4;5ca8L=0a3g;9=>4>b9;8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:8fb82>2<729q/==hm:9d4?!>0:3in;6*>09g900dd3A2=j6`>20195g>f3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`73cd=93=1<7>t$02ef?>a?2.3;?4mc39'55>b2=?io6F76g9m577428h3n6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<1;?6<48:183!77nk03j:5+8609g`1<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn99id;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7mj7:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd308?1=7950;2x 46aj32m;6*7738ag7=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=kl=0(<>7e;66ff=O0?l0b<<>3;3a=5=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5:23?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?9h0:6:4?:1y'55`e21l<7)682;af3>"681o188ll;I:5b>h6:891=o7=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?4<751;594?6|,8:mn76i7:&;37N?>o1e=??<:0`:7>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm493`>4<0290;w)??fc8;b2=#0>81oh94$02;a?22jj1C4;h4n0027?7e1=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>6j3;1;7>50z&24cd=0o=0(59=:ca1?!770l0?9om4H94e?k759:0:n4;4i95e>5<5<5<5<3=h4>:683>5}#99li65h8;%:46?eb?2.:<5k544``?M>1n2d:><=51c;5?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;80f95?1=83:p(<>ib;:e3>"??;0io?5+11:f>13ek2B3:k5a1330>4d>?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0=47280<6=4?{%33bg1/4:<5cd58 46?m3>>nn5G87d8j446;3;i555f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=2:j7?57;294~"68oh14k94$951>ge53-;;4h4;5ca8L=0a3g;9=>4>b8;8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:72382>2<729q/==hm:9d4?!>0:3in;6*>09g900dd3A2=j6`>20195g?f3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7<77=93=1<7>t$02ef?>a?2.3;?4mc39'55>b2=?io6F76g9m577428h2n6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<1:>6<49:183!77nk03j:5+8609gf1<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1>7>3;1:7>50z&24cd=0o=0(59=:ec`?!770l0?9om4H94e?k759:0:n4j4i95e>5<5<5<0;6=u+11da>=`03-2<>7lja:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30931=7850;2x 46aj32m;6*7738`g2=#992n69;mc:J;2c=i9;;861/4:<5d`a8 46?m3>>nn5G87d8j446;3;im=5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5:3f?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?8m0:6;4?:1y'55`e21l<7)682;a`3>"681o188ll;I:5b>h6:891=oo=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7<5c=93<1<7>t$02ef?>a?2.3;?4kab9'55>b2=?io6F76g9m577428hj?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm492e>4<0290;w)??fc8;b2=#0>81nho4$02;a?22jj1C4;h4n0027?7ei=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>693;1:7>50z&24cd=0o=0(59=:ba4?!770l0?9om4H94e?k759:0:nl;4i95e>5<5<5<=`03-2<>7jnc:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;80195?1=83:p(<>ib;:e3>"??;0iil5+11:f>13ek2B3:k5a1330>4df?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a02`a280=6=4?{%33bg1/4:<5cc58 46?m3>>nn5G87d8j446;3;im55f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5:35?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo:70282>2<729q/==hm:9d4?!>0:3hnm6*>09g900dd3A2=j6`>20195ggf3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7f=1=93>1<7>t$02ef?>a?2.3;?4j079'55>b2=h<:6*iab827d?<@15<5<i4;4>:683>5}#99li65h8;%:46?e7l2.:<5k54c55?M>1n2d:><=51cc`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;7b;95?3=83:p(<>ib;:e3>"??;0o585+11:f>13ek2.mmn4>26:8L=0a3g;9=>4>b`f8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:8c982>2<729q/==hm:9d4?!>0:3ni?6*>09g900dd3A2=j6`>20195ggb3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7f=3=9391<7>t$02ef?>a?2.3;?4j0`9'55>b2=h<:6*iab827d?<@15<0;6=u+11da>=`03-2<>7m?d:&24=c=?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3n=:1=7950;2x 46aj32m;6*7738aed=#992n69h5<7s-;;jo47f69'<24=kl80(<>7e;02ga=O0?l0b<<>3;3af7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb33fa?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo<>eg82>3<729q/==hm:9d4?!>0:3io:6*>09g964ec3A2=j6`>20195gd33`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th9=k>51;594?6|,8:mn76i7:&;37N?>o1e=??<:0`a1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm20d2>4<0290;w)??fc8;b2=#0>81h5:4$02;a?46km1C4;h4n0027?7ej?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f760m3;1;7>50z&24cd=0o=0(59=:bg1?!770l09<8k4H94e?k759:0:no94i95e>5<5<5<5<:783>5}#99li65h8;%:46?bej2.:<5k5217f?M>1n2d:><=51c`;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd581:1=7850;2x 46aj32m;6*7738``3=#992n6?>:e:J;2c=i9;;866280<6=4?{%33bg1/4:<5d2:8 46?m38;9h5G87d8j446;3;inl5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;:3>7?57;294~"68oh14k94$951>a>33-;;4h4=04g8L=0a3g;9=>4>bc`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:;a782>1<729q/==hm:9d4?!>0:3n2m6*>09g9014f3A2=j6`>20195gdd3`25;h:;4?6=3`23=7>5;ng0=?6=3th?8l;51;594?6|,8:mn76i7:&;37N?>o1e=??<:0`a`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm45`b>4<0290;w)??fc8;b2=#0>81i=>4$02;a?23i>1C4;h4n0027?7ejl1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f12ej3;1;7>50z&24cd=0o=0(59=:d23?!770l0?8l94H94e?k759:0:noh4i95e>5<5<5<5<?nn4>:683>5}#99li65h8;%:46?baj2.:<5k545c4?M>1n2d:><=51ca3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;3d`95?1=83:p(<>ib;:e3>"??;0n<=5+11:f>150n2B3:k5a1330>4dd92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5gb1280<6=4?{%33bg1/4:<5c208 46?m3;>;k5G87d8j446;3;io?5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8hn<7?57;294~"68oh14k94$951>f553-;;4h4>56d8L=0a3g;9=>4>bb18m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?me082>2<729q/==hm:9d4?!>0:3i8>6*>09g9501a3A2=j6`>20195ge33`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`2f`4=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9ko86<48:183!77nk03j:5+8609g64<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn5<5<5<0;6=u+11da>=`03-2<>7m<2:&24=c=9<=m7E69f:l2645=9ki37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6jl<1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=k:80(<>7e;363c=O0?l0b<<>3;3agd=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0`g3?7=?3:10g`9l0D58i;o3156<6jjh0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg7el10:6:4?:1y'55`e21l<7)682;a06>"681o1=89i;I:5b>h6:891=oml;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:ni751;594?6|,8:mn76i7:&;37N?>o1e=??<:0```>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1cff>4<0290;w)??fc8;b2=#0>81ho<4$02;a?72?o1C4;h4n0027?7ekl1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f4dcn3;1;7>50z&24cd=0o=0(59=:e`1?!770l0:9:h4H94e?k759:0:nnh4i95e>5<5<5<5<:683>5}#99li65h8;%:46?e4:2.:<5k5145e?M>1n2d:><=51cf3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>bbf95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4dc92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5geb280<6=4?{%33bg1/4:<5c208 46?m3;>;k5G87d8j446;3;ih?5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8hhj7?57;294~"68oh14k94$951>f553-;;4h4>56d8L=0a3g;9=>4>be18m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?md182>2<729q/==hm:9d4?!>0:3i8>6*>09g9501a3A2=j6`>20195gb33`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`2fa7=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9kn96<48:183!77nk03j:5+8609g64<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn5<5<5<0;6=u+11da>=`03-2<>7m<2:&24=c=9<=m7E69f:l2645=9kn37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6jj?1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=k:80(<>7e;363c=O0?l0b<<>3;3a`d=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0``3?7=?3:10g`9l0D58i;o3156<6jmh0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg7ek10:6:4?:1y'55`e21l<7)682;a06>"681o1=89i;I:5b>h6:891=ojl;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:nn751;594?6|,8:mn76i7:&;37N?>o1e=??<:0`g`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1cab>4<3290;w)??fc8;b2=#0>81ok64$02;a?72?o1C4;h4n0027?7ell1b4:h50;9j<=6=831b45?50;9la6?=831vn5<5<5<0;6=u+11da>=`03-2<>7jm2:&24=c=9<=m7E69f:l2645=9ko;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>?i1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3aa7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb045a?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6jl90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg71>o0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=ok;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`2231=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9?<36<48:183!77nk03j:5+8609`5c<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<899;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9ko37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>67095?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4db12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5304280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;iil5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8<=87?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>bd`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?96482>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195gcd3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th::8j51;594?6|,8:mn76i7:&;37N?>o1e=??<:0`f`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm177f>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7eml1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f402n3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:nhh4i95e>5<5<5<5<:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51cd3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6><21=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3ab7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb046e?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6jo90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg71=k0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=oh;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`2205=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9???6<48:183!77nk03j:5+8609`5c<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<8:5;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9kl37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>65g95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4da12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a532a280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;ijl5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8<><7?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>bg`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?95082>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195g`d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th::9751;594?6|,8:mn76i7:&;37N?>o1e=??<:0`e`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm176b>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7enl1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f403j3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:nkh4i95e>5<5<5<5<:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b23?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6>=>1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`47=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0472?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k990e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg71<>0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n>;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`226`=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9?>;6<48:183!77nk03j:5+8609`5c<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<8;1;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j:37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>62c95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e712c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a535e280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h1<75`e2;94?=zj8<8o7?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c1`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?93e82>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f6d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th::>;51;594?6|,8:mn76i7:&;37N?>o1e=??<:0a3`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1715>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d8l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f404?3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o=h4i95e>5<5<5<5<:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b33?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6>::1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;861:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi=;=>:084>5<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`57=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0406?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k890e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg71;:0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n?;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`227d=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9?8h6<48:183!77nk03j:5+8609`5c<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<8=d;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j;37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>63495?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e612c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5340280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h=l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8<947?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c0`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?92882>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f7d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th::??51;594?6|,8:mn76i7:&;37N?>o1e=??<:0a2`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1701>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d9l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f405;3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o5<5<5<5<94>:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b03?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6>8i1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`67=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb042a?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k;90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg719o0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n<;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`2241=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9?;36<48:183!77nk03j:5+8609`5c<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<8>9;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j837d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>60095?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e512c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5374280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h>l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8<:87?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c3`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?91482>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f4d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th::=j51;594?6|,8:mn76i7:&;37N?>o1e=??<:0a1`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm172f>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d:l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f407n3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o?h4i95e>5<5<5<5<:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b13?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6>921=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;866:084>5<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`77=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb043e?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k:90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg718k0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n=;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`2255=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9?:?6<48:183!77nk03j:5+8609`5c<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<8?5;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j937d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>5gg95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e412c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a50`a280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h?l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8<;<7?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c2`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?90082>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f5d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th:9k751;594?6|,8:mn76i7:&;37N?>o1e=??<:0a0`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm14db>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d;l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f43aj3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o>h4i95e>5<5<5<5<jn4>:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b63?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6=o>1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`07=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb07e2?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k=90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg72n>0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n:;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`21``=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e950;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<;i1;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j>37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>5dc95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e312c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a50ce280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h8l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8?no7?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c5`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?:ee82>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f2d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th:9h;51;594?6|,8:mn76i7:&;37N?>o1e=??<:0a7`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm14g5>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d750;9~f43b?3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o9h4i95e>5<5<5<5<i54>:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b73?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6=l:1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:084>5<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`17=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb07f6?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k<90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg72m:0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n;;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`21ad=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?96g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e950;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<;kd;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j?37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>5e495?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e212c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a50b0280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h9l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8?o47?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c4`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?:d882>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f3d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th:9i?51;594?6|,8:mn76i7:&;37N?>o1e=??<:0a6`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm14f1>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d=l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f43c;3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o8h4i95e>5<5<5<5<h94>:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b43?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6=ji1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`27=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb07`a?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k?90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg72ko0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n8;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`21f1=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e950;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<;l9;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j<37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>5b095?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e112c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a50e4280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h:l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8?h87?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c7`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?:c482>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f0d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th:9oj51;594?6|,8:mn76i7:&;37N?>o1e=??<:0a5`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm14`f>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d>l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f43en3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o;h4i95e>5<5<5<5<o=4>:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b53?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6=k21=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`37=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb07ae?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k>90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg72jk0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n9;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`21g5=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e950;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<;m5;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j=37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>5`g95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e012c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a50ga280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h;l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8?i<7?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c6`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?:b082>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f1d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th:9l751;594?6|,8:mn76i7:&;37N?>o1e=??<:0a4`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm14cb>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d?l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f43fj3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o:h4i95e>5<5<5<5<mn4>:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b:3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6=h>1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`<7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb07b2?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k190e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg72i>0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n6;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`21<`=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e950;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<;n1;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j237d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>58c95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e?12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a50?e280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h4l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8?2o7?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c9`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?:9e82>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f>d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th:94;51;594?6|,8:mn76i7:&;37N?>o1e=??<:0a;`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm14;5>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d0l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f43>?3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o5h4i95e>5<5<5<5<554>:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51b;3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6=0:1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;86:084>5<7s-;;jo47f69'<24=l9o0(<>7e;363c=O0?l0b<<>3;3`=7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb07:6?7=?3:10g`9i;%33<`<6=>l0D58i;o3156<6k090e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg721:0:6;4?:1y'55`e21l<7)682;f35>"681o1=89i;I:5b>h6:891=n7;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`21=d=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9<2h6<48:183!77nk03j:5+8609`5c<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<;7d;393?6=8r.:5<5<5<=`03-2<>7j?1:&24=c=9<=m7E69f:l2645=9j337d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>59495?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4e>12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a50>0280<6=4?{%33bg1/4:<5d1g8 46?m3;>;k5G87d8j446;3;h5l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8?347?57;294~"68oh14k94$951>a6a3-;;4h4>56d8L=0a3g;9=>4>c8`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?:8882>3<729q/==hm:9d4?!>0:3n;=6*>09g9501a3A2=j6`>20195f?d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th:95?51;594?6|,8:mn76i7:&;37N?>o1e=??<:0a:`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm14:1>4<0290;w)??fc8;b2=#0>81h=k4$02;a?72?o1C4;h4n0027?7d1l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f43?;3;1;7>50z&24cd=0o=0(59=:e2e?!770l0:9:h4H94e?k759:0:o4h4i95e>5<5<5<5<494>:783>5}#99li65h8;%:46?b792.:<5k5145e?M>1n2d:><=51bc3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6>1h1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=kk<0(<>7e;363c=O0?l0b<<>3;3`e7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb04;=?7=?3:10g`9l0D58i;o3156<6kh90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg71010:6:4?:1y'55`e21l<7)682;aa2>"681o1=89i;I:5b>h6:891=no;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th::5951;594?6|,8:mn76i7:&;37N?>o1e=??<:0ab1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm17:5>4<0290;w)??fc8;b2=#0>81oo84$02;a?72?o1C4;h4n0027?7di?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f40?=3;1;7>50z&24cd=0o=0(59=:b11?!770l0:9:h4H94e?k759:0:ol94i95e>5<5<5<5<:683>5}#99li65h8;%:46?ee>2.:<5k5145e?M>1n2d:><=51bc;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>69195?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4ef12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a53>5280<6=4?{%33bg1/4:<5cc48 46?m3;>;k5G87d8j446;3;hml5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8<3=7?57;294~"68oh14k94$951>f553-;;4h4>56d8L=0a3g;9=>4>c``8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?98182>2<729q/==hm:9d4?!>0:3ii:6*>09g9501a3A2=j6`>20195fgd3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`222`=93=1<7>t$02ef?>a?2.3;?4l339'55>b28?>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9?=n6<48:183!77nk03j:5+8609gg0<,8:3i7?:7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<88d;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7mm6:&24=c=9<=m7E69f:l2645=9jh;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6>>k1=7950;2x 46aj32m;6*7738`77=#992n6<;8f:J;2c=i9;;865<7s-;;jo47f69'<24=kk<0(<>7e;363c=O0?l0b<<>3;3`f7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0440g`9l0D58i;o3156<6kk90e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg71?>0:6:4?:1y'55`e21l<7)682;aa2>"681o1=89i;I:5b>h6:891=nl;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:::851;594?6|,8:mn76i7:&;37N?>o1e=??<:0aa1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1756>4<0290;w)??fc8;b2=#0>81oo84$02;a?72?o1C4;h4n0027?7dj?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f400<3;1;7>50z&24cd=0o=0(59=:b11?!770l0:9:h4H94e?k759:0:oo94i95e>5<5<5<5<4>:683>5}#99li65h8;%:46?ee>2.:<5k5145e?M>1n2d:><=51b`;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>66095?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>4ee12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5316280<6=4?{%33bg1/4:<5cc48 46?m3;>;k5G87d8j446;3;hnl5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8<f553-;;4h4>56d8L=0a3g;9=>4>cc`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?97182>2<729q/==hm:9d4?!>0:3ii:6*>09g9501a3A2=j6`>20195fdd3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0ba3=93=1<7>t$02ef?>a?2.3;?4l339'55>b2:lii6F76g9m577428iih6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;on<6<49:183!77nk03j:5+8609`57<,8:3i7=ibd9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f6`d>3;1;7>50z&24cd=0o=0(59=:b11?!770l08jok4H94e?k759:0:ooh4i95e>5<5<5<5<:783>5}#99li65h8;%:46?e6;2.:<5k53g`f?M>1n2d:><=51ba3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd4nj21=7:50;2x 46aj32m;6*7738`b==#992n6>hme:&eef<6;j?0D58i;o3156<6kj;0e59i:188m=>72900e56>:188k`5>2900qo=id`82>2<729q/==hm:9d4?!>0:3i8>6*>09g97cdb3A2=j6`>20195fe53`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0bad=93<1<7>t$02ef?>a?2.3;?4l129'55>b2:lii6F76g9m577428ih?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm3gf`>4<3290;w)??fc8;b2=#0>81ok64$02;a?5ajl1/jlm512a6?M>1n2d:><=51ba7?l>0n3:17d670;29?l>?93:17bk<9;29?xd4nl:1=7950;2x 46aj32m;6*7738`77=#992n6>hme:J;2c=i9;;86:085>5<7s-;;jo47f69'<24=k890(<>7e;1ef`=O0?l0b<<>3;3`g3=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj:ln?7?57;294~"68oh14k94$951>f553-;;4h44>cb58m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=ie582>3<729q/==hm:9d4?!>0:3i:?6*>09g97cdb3A2=j6`>20195fe?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th8jh851;594?6|,8:mn76i7:&;37N?>o1e=??<:0a`=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3gg4>4<1290;w)??fc8;b2=#0>81o<=4$02;a?5ajl1C4;h4n0027?7dkh1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn>hj9;393?6=8r.:5<5<5<=`03-2<>7m>3:&24=c=;ohn7E69f:l2645=9jih7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17plib;:e3>"??;0h??5+11:f>6`em2B3:k5a1330>4edl2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7ccc280=6=4?{%33bg1/4:<5c018 46?m39mnh5G87d8j446;3;hoh5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb2de4?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg261m0:6:4?:1y'55`e21l<7)682;``2>"681o18<9k;I:5b>h6:891=nj?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?=4k51;494?6|,8:mn76i7:&;37N?>o1e=??<:0ag5>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<83m6<49:183!77nk03j:5+8609ff`<,8:3i7:>7e9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f17f83;1;7>50z&24cd=0o=0(59=:e1;?!770l0?=:j4H94e?k759:0:oi=4i95e>5<5<5<5<:m<4>:683>5}#99li65h8;%:46?e7=2.:<5k5405g?M>1n2d:><=51bf7?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;17095?1=83:p(<>ib;:e3>"??;0hih5+11:f>6`a02B3:k5a1330>4ec=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a041>280<6=4?{%33bg1/4:<5cdg8 46?m39mj55G87d8j446;3;hh;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=9857?54;294~"68oh14k94$951>f1e3-;;4h4;2`68 cgd289n86F76g9m577428io;6g77g83>>o?090;66g78083>>ib;00;66sm421b>4<1290;w)??fc8;b2=#0>81o5?4$02;a?25i=1C4;h4n0027?7dl11b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9=?9;390?6=8r.:1/4:<5c938 46?m3>9m95G87d8j446;3;hhl5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb50gf?7=?3:10g`90D58i;o3156<6kmh0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg25lj0:6;4?:1y'55`e21l<7)682;f17>"681o18?o;;I:5b>h6:891=njl;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`76a3=9391<7>t$02ef?>a?2.3;?4ka29'55>b2=8j86F76g9m577428ioh6g77g83>>o?090;66aj3883>>{e9lkh6<4;:183!77nk03j:5+8609gc><,8:3i7?j439'bde=9:937E69f:l2645=9jnn7d68f;29?l>?83:17d671;29?jc413:17pl>e`c95?2=83:p(<>ib;:e3>"??;0hj55+11:f>4c3:2.mmn4>32;8L=0a3g;9=>4>ced8m=1a2900e56?:188m=>62900ch=6:188yg7bi>0:694?:1y'55`e21l<7)682;ae<>"681o1=h:=;%dbg?74;>1C4;h4n0027?7dm91b4:h50;9j<=6=831b45?50;9la6?=831vn1/4:<5cg:8 46?m3;n8?5+f`a956523A2=j6`>20195fc53`25;h:;4?6=3`23=7>5;ng0=?6=3th:il?51;694?6|,8:mn76i7:&;37"aij0:?>:4H94e?k759:0:oh=4i95e>5<5<=`03-2<>7mi8:&24=c=9l>97)hnc;307==O0?l0b<<>3;3`a1=n0>l1<75f89294?=n01;1<75`e2;94?=zj8o247?54;294~"68oh14k94$951>f`?3-;;4h4>e508 cgd289856F76g9m577428in96g77g83>>o?090;66g78083>>ib;00;66sm1d;5>4<3290;w)??fc8;b2=#0>81ok64$02;a?7b<;1/jlm51213?M>1n2d:><=51bg5?l>0n3:17d670;29?l>?93:17bk<9;29?xd6m0>1=7:50;2x 46aj32m;6*7738`b==#992n61/4:<5cg:8 46?m3;n8?5+f`a956503A2=j6`>20195fc?3`25;h:;4?6=3`23=7>5;ng0=?6=3th:i4>51;694?6|,8:mn76i7:&;37"aij0:?>84H94e?k759:0:oh74i95e>5<5<=`03-2<>7mi8:&24=c=9l>97)hnc;3070=O0?l0b<<>3;3`ad=n0>l1<75f89294?=n01;1<75`e2;94?=zj8o3o7?54;294~"68oh14k94$951>f`?3-;;4h4>e508 cgd289886F76g9m577428inn6g77g83>>o?090;66g78083>>ib;00;66sm1d;g>4<3290;w)??fc8;b2=#0>81ok64$02;a?7b<;1/jlm51213?M>1n2d:><=51bg`?l>0n3:17d670;29?l>?93:17bk<9;29?xd4=;o1=7:50;2x 46aj32m;6*7738g1g=#992n6><=4:J;2c=i9;;861/4:<5c5c8 46?m399>95G87d8j446;3;hih5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:?8<7?55;294~"68oh14k94$951>a323-;;4h4<2368L=0a3g;9=>4>cdd8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo=:2g82>2<729q/==hm:9d4?!>0:3i?m6*>09g977433A2=j6`>20195f`73`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0164=93<1<7>t$02ef?>a?2.3;?4k539'55>b2:8986F76g9m577428im=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm3412>4<0290;w)??fc8;b2=#0>81o9o4$02;a?55:=1C4;h4n0027?7dn;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f635k3;1?7>50z&24cd=0o=0(59=:e55?!770l08>?:4H94e?k759:0:ok=4i95e>5<5<>o4>:683>5}#99li65h8;%:46?e3i2.:<5k53307?M>1n2d:><=51bd7?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<54295?2=83:p(<>ib;:e3>"??;0o9o5+11:f>645<2.mmn4>3318L=0a3g;9=>4>cg78m=1a2900e56?:188m=>62900ch=6:188yg52"681o1??<;;I:5b>h6:891=nh9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th898<51;794?6|,8:mn76i7:&;37"aij0:??=4H94e?k759:0:ok94i95e>5<5<5<9<4>:683>5}#99li65h8;%:46?eai2.:<5k53307?M>1n2d:><=51bd;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<54195?1=83:p(<>ib;:e3>"??;0i485+11:f>645<2B3:k5a1330>4ea12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a702b28086=4?{%33bg1/4:<5d648 46?m399>95G87d8j446;3;hjl5f86d94?=n01:1<75`e2;94?=zj:??h7?57;294~"68oh14k94$951>f`f3-;;4h4<2368L=0a3g;9=>4>cg`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<<2282>2<729q/==hm:9d4?!>0:3o;<6*>09g966773A2=j6`>20195f`d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1772=93=1<7>t$02ef?>a?2.3;?4j019'55>b2;9:<6F76g9m577428imh6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e::8>6<48:183!77nk03j:5+8609`cd<,8:3i7<<119K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?=?f;390?6=8r.:5<5<:683>5}#99li65h8;%:46?e4>2.:<5k523;0?M>1n2d:><=51e23?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=27g95?3=83:p(<>ib;:e3>"??;0h=i5+11:f>743=2.mmn4>2908L=0a3g;9=>4>d138m=1a2900e56?:188m=>62900e56=:188k`5>2900qo<=6e82>2<729q/==hm:9d4?!>0:3i>o6*>09g967223A2=j6`>20195a653`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`104`=93=1<7>t$02ef?>a?2.3;?4ld09'55>b2;>:56F76g9m577428n;?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:=8;6<49:183!77nk03j:5+8609g44<,8:3i7<;189K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f72593;197>50z&24cd=0o=0(59=:b5g?!770l098<74$gc`>426>2B3:k5a1330>4b7=2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a617e280<6=4?{%33bg1/4:<5ce38 46?m38?=45G87d8j446;3;o<;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;>:o7?56;294~"68oh14k94$951>f753-;;4h4=40;8L=0a3g;9=>4>d158m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg439m0:684?:1y'55`e21l<7)682;a4`>"681o1>9?6;%dbg?74jo1C4;h4n0027?7c811b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f717=3;1:7>50z&24cd=0o=0(59=:bg:?!770l099=74H94e?k759:0:h=74i95e>5<5<5<=`03-2<>7ji0:&24=c=:<:27)hnc;3132=O0?l0b<<>3;3g4d=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3533?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo<80782>0<729q/==hm:9d4?!>0:3nm<6*>09g9606>3-ljo7?=769K<3`50;9j<=7=831b45<50;9la6?=831vn?9?9;392?6=8r.:5<5<5<:483>5}#99li65h8;%:46?ba82.:<5k5242:?!`fk3;9;;5G87d8j446;3;ofc>3-;;4h4=51;8L=0a3g;9=>4>d1d8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg408h0:684?:1y'55`e21l<7)682;fe4>"681o1>8>6;%dbg?75??1C4;h4n0027?7c991b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f717l3;1:7>50z&24cd=0o=0(59=:bg:?!770l099=74H94e?k759:0:h5<5<5<=`03-2<>7ji0:&24=c=:<:27)hnc;3130=O0?l0b<<>3;3g57=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb353b?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo<80d82>0<729q/==hm:9d4?!>0:3nm<6*>09g9606>3-ljo7?=749K<3`50;9j<=7=831b45<50;9la6?=831vn?9>1;392?6=8r.:5<5<5<:483>5}#99li65h8;%:46?ba82.:<5k5242:?!`fk3;9;95G87d8j446;3;o=;5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;=:?7?56;294~"68oh14k94$951>fc>3-;;4h4=51;8L=0a3g;9=>4>d058m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg409;0:684?:1y'55`e21l<7)682;fe4>"681o1>8>6;%dbg?75?=1C4;h4n0027?7c911b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f71793;1?7>50z&24cd=0o=0(59=:d3`?!770l099=74$gc`>426>2B3:k5a1330>4b612c3;k4?::k;<5<722en?44?::a6267280<6=4?{%33bg1/4:<5d778 46?m38><45G87d8j446;3;o=l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;a023-;;4h4=51;8L=0a3g;9=>4>d0`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo2<729q/==hm:9d4?!>0:3o;<6*>09g96`0?3A2=j6`>20195a7d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1a25=93=1<7>t$02ef?>a?2.3;?4j019'55>b2;o=46F76g9m577428n:h6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:l=?6<48:183!77nk03j:5+8609a56<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?k85;390?6=8r.:<,okh6<=;c:J;2c=i9;;86f:k;3c<722c34=4?::k;<4<722en?44?::a6`0a280<6=4?{%33bg1/4:<5c`g8 46?m38n:55G87d8j446;3;o>=5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;o=i7?57;294~"68oh14k94$951>`673-;;4h4=e7:8L=0a3g;9=>4>d338m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo3<729q/==hm:9d4?!>0:3iom6*>09g96`0?3A2=j6`>20195a453`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th9i5o51;494?6|,8:mn76i7:&;37N?>o1e=??<:0f17>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;9kj6<48:183!77nk03j:5+8609`00<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>>nb;393?6=8r.:<@15<5<5<=`03-2<>7m:1:&24=c=:l<37E69f:l2645=9m8=7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl=e9f95?1=83:p(<>ib;:e3>"??;0h=85+11:f>7c102B3:k5a1330>4b5?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6`>d280=6=4?{%33bg1/4:<5d6f8 46?m38n:55G87d8j446;3;o>55f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb3g:7?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg4b1;0:6;4?:1y'55`e21l<7)682;f4`>"681o1>h87;I:5b>h6:891=i5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`1a21=93=1<7>t$02ef?>a?2.3;?4l149'55>b2;o=46F76g9m577428n9n6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:l==6<49:183!77nk03j:5+8609`2b<,8:3i750;9j<=7=831b45<50;9j<=5=831di>750;9~f7c0l3;1;7>50z&24cd=0o=0(59=:b36?!770l09i;64H94e?k759:0:h?j4i95e>5<5<5<5<:783>5}#99li65h8;%:46?b0l2.:<5k52d4;?M>1n2d:><=51e0f?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd5m1;1=7;50;2x 46aj32m;6*7738`3a=#992n6?k98:J;2c=i9;;86h6?:085>5<7s-;;jo47f69'<24=l>n0(<>7e;0f2==O0?l0b<<>3;3g75=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj;of723-;;4h4=e7:8L=0a3g;9=>4>d238m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo3<729q/==hm:9d4?!>0:3n09g96`0?3A2=j6`>20195a553`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th8=om51;494?6|,8:mn76i7:&;37N?>o1e=??<:0f07>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:l=i6<48:183!77nk03j:5+8609f20<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?k8a;392?6=8r.:<@15<5<5<:683>5}#99li65h8;%:46?d0>2.:<5k52d4;?M>1n2d:><=51e15?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=e6:95?0=83:p(<>ib;:e3>"??;0o;n5+11:f>7c102B3:k5a1330>4b4?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>h6i:084>5<7s-;;jo47f69'<24=j><0(<>7e;0f2==O0?l0b<<>3;3g7==n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3g;a?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo2<729q/==hm:9d4?!>0:3h<:6*>09g96`0?3A2=j6`>20195a5f3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1a<2=93<1<7>t$02ef?>a?2.3;?4k7b9'55>b2;o=46F76g9m577428n8n6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2d;4>4<0290;w)??fc8;b2=#0>81n:84$02;a?4b>11C4;h4n0027?7c;j1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7c>>3;1:7>50z&24cd=0o=0(59=:e5`?!770l09i;64H94e?k759:0:h>j4i95e>5<5<5<0;6=u+11da>=`03-2<>7l86:&24=c=:l<37E69f:l2645=9m9n7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5m0:1=7850;2x 46aj32m;6*7738g3f=#992n6?k98:J;2c=i9;;861/4:<5b838 46?m38n:55+f`a956g43A2=j6`>20195a273`25;h:;4?6=3`23=7>5;ng0=?6=3th9i4751;594?6|,8:mn76i7:&;37N?>o1e=??<:0f75>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm30`f>4<1290;w)??fc8;b2=#0>81h4<4$02;a?4b>11C4;h4n0027?7c<;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn>?md;393?6=8r.:<@15<5<5<0;6=u+11da>=`03-2<>7m>c:&24=c=:l<37E69f:l2645=9m>?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd49kk1=7850;2x 46aj32m;6*7738g6=4?{%33bg1/4:<5d948 46?m38n:55G87d8j446;3;o8;5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj:;a?63-;;4h4=e7:8L=0a3g;9=>4>d558m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=>7b82>2<729q/==hm:9d4?!>0:3o;<6*>09g96`0?3A2=j6`>20195a2?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`05=6=93?1<7>t$02ef?>a?2.3;?4m999'55>b2;o=46F76g9m577428n?56g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e;8=m6<49:183!77nk03j:5+8609`d`<,8:3i750;9j<=7=831b45<50;9j<=5=831di>750;9~f66bk3;1:7>50z&24cd=0o=0(59=:b0e?!770l09i;64H94e?k759:0:h9l4i95e>5<5<5<0;6=u+11da>=`03-2<>7lke:&24=c=:l<37E69f:l2645=9m>h7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd49>21=7950;2x 46aj32m;6*7738g15=#992n6?k98:J;2c=i9;;865<7s-;;jo47f69'<24=loh0(<>7e;0f2==O0?l0b<<>3;3g0`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb234e?7=<3:10g`972900e56>:188k`5>2900qo2<729q/==hm:9d4?!>0:3o;<6*>09g96def3A2=j6`>20195a373`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1ea3=93=1<7>t$02ef?>a?2.3;?4j019'55>b2;khm6F76g9m577428n>=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:hn=6<48:183!77nk03j:5+8609a56<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?ok7;390?6=8r.:1/4:<5c`g8 46?m38jol5G87d8j446;3;o995f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;ko<7?57;294~"68oh14k94$951>`673-;;4h4=abc8L=0a3g;9=>4>d478m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo3<729q/==hm:9d4?!>0:3iom6*>09g96def3A2=j6`>20195a313`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th9mhm51;494?6|,8:mn76i7:&;37N?>o1e=??<:0f63>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:m:?6<48:183!77nk03j:5+8609`00<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?j?5;393?6=8r.:5<5<5<=`03-2<>7m:1:&24=c=:hij7E69f:l2645=9m?j7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl=add95?1=83:p(<>ib;:e3>"??;0h=85+11:f>7gdi2B3:k5a1330>4b2j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6dcb280=6=4?{%33bg1/4:<5d6f8 46?m38jol5G87d8j446;3;o9n5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb3ce1?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg4fn=0:6;4?:1y'55`e21l<7)682;f4`>"681o1>lmn;I:5b>h6:891=i;j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`1ea?=93=1<7>t$02ef?>a?2.3;?4l149'55>b2;khm6F76g9m577428n>j6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:hn36<49:183!77nk03j:5+8609`2b<,8:3i750;9j<=7=831b45<50;9j<=5=831di>750;9~f7gcn3;1;7>50z&24cd=0o=0(59=:b36?!770l09mno4H94e?k759:0:h;?4i95e>5<5<5<5<:783>5}#99li65h8;%:46?b0l2.:<5k52`ab?M>1n2d:><=51e41?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd5il91=7;50;2x 46aj32m;6*7738`3a=#992n6?ola:J;2c=i9;;86lk=:085>5<7s-;;jo47f69'<24=l>n0(<>7e;0bgd=O0?l0b<<>3;3g21=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj;kn=7?57;294~"68oh14k94$951>f723-;;4h4=abc8L=0a3g;9=>4>d778m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo3<729q/==hm:9d4?!>0:3n09g96def3A2=j6`>20195a013`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th9i<:51;494?6|,8:mn76i7:&;37N?>o1e=??<:0f53>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:hno6<48:183!77nk03j:5+8609f20<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?okc;392?6=8r.:5<5<5<:683>5}#99li65h8;%:46?d0>2.:<5k52`ab?M>1n2d:><=51e4b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=aec95?0=83:p(<>ib;:e3>"??;0o;n5+11:f>7gdi2B3:k5a1330>4b1j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>lh>:084>5<7s-;;jo47f69'<24=j><0(<>7e;0bgd=O0?l0b<<>3;3g2f=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3ce4?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo2<729q/==hm:9d4?!>0:3h<:6*>09g96def3A2=j6`>20195a0b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1ec0=93<1<7>t$02ef?>a?2.3;?4k7b9'55>b2;khm6F76g9m577428n=j6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2`d:>4<0290;w)??fc8;b2=#0>81n:84$02;a?4fkh1C4;h4n0027?7c?91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7ga03;1:7>50z&24cd=0o=0(59=:e5`?!770l09mno4H94e?k759:0:h:?4i95e>5<5<5<0;6=u+11da>=`03-2<>7l86:&24=c=:hij7E69f:l2645=9m=97d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5io81=7850;2x 46aj32m;6*7738g3f=#992n6?ola:J;2c=i9;;861/4:<5b838 46?m38jol5+f`a956g53A2=j6`>20195a133`25;h:;4?6=3`23=7>5;ng0=?6=3th9mkl51;594?6|,8:mn76i7:&;37N?>o1e=??<:0f41>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2d35>4<1290;w)??fc8;b2=#0>81h4<4$02;a?4fkh1C4;h4n0027?7c??1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn?k>5;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m>c:&24=c=:hij7E69f:l2645=9m=37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5m881=7850;2x 46aj32m;6*7738g6=4?{%33bg1/4:<5d948 46?m38jol5G87d8j446;3;o;l5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;no97?57;294~"68oh14k94$951>a?63-;;4h4=abc8L=0a3g;9=>4>d6`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo2<729q/==hm:9d4?!>0:3o;<6*>09g96def3A2=j6`>20195a1d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1`6d=93<1<7>t$02ef?>a?2.3;?4l929'55>b2;khm6*iab8260c<@15<5<5<:483>5}#99li65h8;%:46?d>02.:<5k52`ab?M>1n2d:><=51e5f?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=de595?0=83:p(<>ib;:e3>"??;0omk5+11:f>7gdi2B3:k5a1330>4b0n2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>i:;:085>5<7s-;;jo47f69'<24=k;l0(<>7e;0bgd=#nhi1=?;j;I:5b>h6:891=i6?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`1`13=93=1<7>t$02ef?>a?2.3;?4mdd9'55>b2;khm6F76g9m577428n3=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:mn;6<48:183!77nk03j:5+8609`06<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?jk1;393?6=8r.:5<5<5<=`03-2<>7jk5:&24=c=:hij7)hnc;300<=O0?l0b<<>3;3g<1=n0>l1<75f89294?=n01;1<75`e2;94?=zj;n?<7?57;294~"68oh14k94$951>f6d3-;;4h4=abc8L=0a3g;9=>4>d978m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<83782>2<729q/==hm:9d4?!>0:3o;<6*>09g9624d3A2=j6`>20195a>13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1361=93=1<7>t$02ef?>a?2.3;?4j019'55>b2;=9o6F76g9m577428n3;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:>936<48:183!77nk03j:5+8609a56<,8:3i7<82b9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?9<9;390?6=8r.:1/4:<5c`g8 46?m38<>n5G87d8j446;3;o4l5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;=8>7?57;294~"68oh14k94$951>`673-;;4h4=73a8L=0a3g;9=>4>d9`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<84g82>3<729q/==hm:9d4?!>0:3iom6*>09g9624d3A2=j6`>20195a>d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th9;9k51;494?6|,8:mn76i7:&;37N?>o1e=??<:0f;`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:0<=6<48:183!77nk03j:5+8609`00<,8:3i7<82b9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?797;393?6=8r.:5<5<5<=`03-2<>7m:1:&24=c=:>8h7E69f:l2645=9m3;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl=74395?1=83:p(<>ib;:e3>"??;0h=85+11:f>715k2B3:k5a1330>4b>92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6237280=6=4?{%33bg1/4:<5d6f8 46?m38<>n5G87d8j446;3;o5?5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb3563?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg40=?0:6;4?:1y'55`e21l<7)682;f4`>"681o1>:h6:891=i7;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`136d=93=1<7>t$02ef?>a?2.3;?4l149'55>b2;=9o6F76g9m577428n296g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:>9j6<49:183!77nk03j:5+8609`2b<,8:3i7<82b9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f71393;1;7>50z&24cd=0o=0(59=:b36?!770l09;?m4H94e?k759:0:h494i95e>5<5<5<5<:783>5}#99li65h8;%:46?b0l2.:<5k5260`?M>1n2d:><=51e;;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd5?=?1=7;50;2x 46aj32m;6*7738`3a=#992n6?9=c:J;2c=i9;;86::;:085>5<7s-;;jo47f69'<24=l>n0(<>7e;046f=O0?l0b<<>3;3g=d=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj;=??7?57;294~"68oh14k94$951>f723-;;4h4=73a8L=0a3g;9=>4>d8`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<84382>3<729q/==hm:9d4?!>0:3n09g9624d3A2=j6`>20195a?d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th9m:851;494?6|,8:mn76i7:&;37N?>o1e=??<:0f:`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:>9m6<48:183!77nk03j:5+8609f20<,8:3i7<82b9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?95<5<5<:683>5}#99li65h8;%:46?d0>2.:<5k5260`?M>1n2d:><=51ec3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=72a95?0=83:p(<>ib;:e3>"??;0o;n5+11:f>715k2B3:k5a1330>4bf92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>:;<:084>5<7s-;;jo47f69'<24=j><0(<>7e;046f=O0?l0b<<>3;3ge7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3566?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo<85882>2<729q/==hm:9d4?!>0:3h<:6*>09g9624d3A2=j6`>20195ag33`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`130>=93<1<7>t$02ef?>a?2.3;?4k7b9'55>b2;=9o6F76g9m577428nj96g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm267a>4<0290;w)??fc8;b2=#0>81n:84$02;a?40:j1C4;h4n0027?7ci?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f712i3;1:7>50z&24cd=0o=0(59=:e5`?!770l09;?m4H94e?k759:0:hl94i95e>5<5<5<0;6=u+11da>=`03-2<>7l86:&24=c=:>8h7E69f:l2645=9mk37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5?<>1=7850;2x 46aj32m;6*7738g3f=#992n6?9=c:J;2c=i9;;861/4:<5b838 46?m38<>n5+f`a956g63A2=j6`>20195agf3`25;h:;4?6=3`23=7>5;ng0=?6=3th9;8j51;594?6|,8:mn76i7:&;37N?>o1e=??<:0fbf>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2`5;>4<1290;w)??fc8;b2=#0>81h4<4$02;a?40:j1C4;h4n0027?7cij1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn?o87;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m>c:&24=c=:>8h7E69f:l2645=9mkn7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5i>>1=7850;2x 46aj32m;6*7738g6=4?{%33bg1/4:<5d948 46?m38<>n5G87d8j446;3;on=5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;k8;7?57;294~"68oh14k94$951>a?63-;;4h4=73a8L=0a3g;9=>4>dc38m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo2<729q/==hm:9d4?!>0:3o;<6*>09g9624d3A2=j6`>20195ad53`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1=t$02ef?>a?2.3;?4l929'55>b2;=9o6*iab8260b<@15<5<5<:483>5}#99li65h8;%:46?d>02.:<5k5260`?M>1n2d:><=51e`7?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=a2;95?0=83:p(<>ib;:e3>"??;0omk5+11:f>715k2B3:k5a1330>4be=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>4o9:085>5<7s-;;jo47f69'<24=k;l0(<>7e;046f=#nhi1=?;k;I:5b>h6:891=il9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`1=d1=93=1<7>t$02ef?>a?2.3;?4mdd9'55>b2;=9o6F76g9m577428ni;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:h996<48:183!77nk03j:5+8609`06<,8:3i7<82b9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?o<3;393?6=8r.:5<5<5<=`03-2<>7jk5:&24=c=:>8h7)hnc;300==O0?l0b<<>3;3gfd=n0>l1<75f89294?=n01;1<75`e2;94?=zj;3j>7?57;294~"68oh14k94$951>f6d3-;;4h4=73a8L=0a3g;9=>4>dc`8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo??8982>7<729q/==hm:9:6?!770l0:o?:4$9gb>=>>3A2=j6`>20195add3`25;n`5=?6=3th:<;=51;094?6|,8:mn7675:&24=c=9j8?7)6ja;:;=>N?>o1e=??<:0fa`>o??l0;66am6883>>{e?==1=7<50;2x 46aj32396*>09g95f433-2nm7679:J;2c=i9;;86=9391<7>t$02ef?77j=1/==6j:0a10>h68>h19=5+8dc9a6?<@157)??8d82g72<,1oj6566;I:5b>h6:891=im?;h:4a?6=3fh=57>5;|`400<62:0;6=u+11da>46e<2.:<5k51b07?k77?k0><6*7e`8f7<=O0?l0b<<>3;3gg4=n0>o1<75f76094?N?m910co86:188yg1393;1>7>50z&24cd=01?0(<>7e;3`61=#0lk14574H94e?k759:0:hn<4i95f>5<0g`955d33-;;4h4>c368j460j3?;7)6ja;g0=>N?>o1e=??<:0f`7>o??l0;66g87383>M>b821dn;750;9~f25b28096=4?{%33bg"?mh03445G87d8j446;3;oo95f86g94?=hj?31<75rb61e>4<4290;w)??fc824g2<,8:3i7?l259m551e2<:0(5kn:d1:?M>1n2d:><=51ea6?l>0m3:17d982;29L=c732ei:44?::a36d=9381<7>t$02ef?>?=2.:<5k51b07?!>bi32356F76g9m577428nh:6g77d83>>ie>00;66sm72a95?5=83:p(<>ib;33f1=#992n64>db58m=1b2900e:9=:18K<`6<3fh=57>5;|`47=<62;0;6=u+11da>=>23-;;4h4>c368 =cf21227E69f:l2645=9mi37d68e;29?jd113:17pl83882>6<729q/==hm:02a0>"681o1=n<;;o333g<282.3il4j389K<3`0g`9<=3<,8:3i7?l259'<`g=0130D58i;o3156<6ljk0e59j:188kg0>2900qo993;397?6=8r.:=hj?31<75rb67e>4<5290;w)??fc8;<0=#992n65<7s-;;jo4>0c68 46?m3;h>95a115a>06<,1oj6h=6;I:5b>h6:891=imk;h:4a?6=3`=<>7>5H9g3?>ie>00;66sm74a95?4=83:p(<>ib;:;1>"681o1=n<;;%:fe?>?12B3:k5a1330>4bdm2c3;h4?::ma2<<722wi;8j51;194?6|,8:mn7??b59'55>b28i986`>06`915=#0lk1i>74H94e?k759:0:hnh4i95f>5<=96=4G8d28?jd113:17pl85882>7<729q/==hm:9:6?!770l0:o?:4$9gb>=>>3A2=j6`>20195ab73`25;n`5=?6=3th<9l4>:283>5}#99li6<>m4:&24=c=9j8?7c??7c864>"?mh0n?45G87d8j446;3;oh<5f86g94?=n?>81<7F7e198kg0>2900qo9:6;396?6=8r.:95+8dc9<=?<@15<;7?53;294~"68oh1==l;;%33<`<6k;>0b<>8b;73?!>bi3o856F76g9m577428no?6g77d83>>o0?;0;6E6j0:9lf3?=831vn:;<:081>5<7s-;;jo47849'55>b28i986*7e`8;<<=O0?l0b<<>3;3g`1=n0>o1<75`b7;94?=zj>??6<4<:183!77nk0:7d68e;29?l10:3:1D5k?;:ma2<<722wi;8>51;094?6|,8:mn7675:&24=c=9j8?7)6ja;:;=>N?>o1e=??<:0fg2>o??l0;66am6883>>{e?<;1=7=50;2x 46aj3;;n95+11:f>4e5<2d:<:l5519'<`g=m:30D58i;o3156<6lm=0e59j:188m215290C4h>4;n`5=?6=3th<8i4>:383>5}#99li656:;%33<`<6k;>0(5kn:9::?M>1n2d:><=51ef;?l>0m3:17bl99;29?xd04?:1y'55`e28:i86*>09g95f433g;;;o4:0:&;ad5<4>dec8m=1b2900co86:188yg13j3;1?7>50z&24cd=99h?7)??8d82g724bcj2c3;h4?::k437<72A2n<65`b7;94?=zj>9>6<4=:183!77nk03485+11:f>4e5<2.3il47889K<3`0(<>7e;3`61=i99=i68>4$9gb>`5>3A2=j6`>20195abc3`25;h546?6=@1o;76am6883>>{e?9=1=7<50;2x 46aj3o:j6*>09g95f433-2nm7k<9:J;2c=i9;;86t$02ef?c6n2.:<5k51b07?!>bi3o856F76g9m577428noj6g77d83>>ie>00;66sm71795?4=83:p(<>ib;g2b>"681o1=n<;;%:fe?c412B3:k5a1330>4bb82c3;h4?::ma2<<722wi;<>51;094?6|,8:mn7k>f:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:0ff5>o??l0;66am6883>>{e?9l1=7<50;2x 46aj3o:j6*>09g95f433-2nm7k<9:J;2c=i9;;86t$02ef?c6n2.:<5k51b07?!>bi3o856F76g9m577428nn?6g77d83>>ie>00;66sm71f95?4=83:p(<>ib;g2b>"681o1=n<;;%:fe?c412B3:k5a1330>4bb<2c3;h4?::ma2<<722wi;=m51;094?6|,8:mn7k>f:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:0ff1>o??l0;66am6883>>{e?9h1=7<50;2x 46aj3o:j6*>09g95f433-2nm7k<9:J;2c=i9;;86t$02ef?c6n2.:<5k51b07?!>bi3o856F76g9m577428nn;6g77d83>>ie>00;66sm71;95?4=83:p(<>ib;g2b>"681o1=n<;;%:fe?c412B3:k5a1330>4bb02c3;h4?::ma2<<722wi;=651;094?6|,8:mn7k>f:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:0ff=>o??l0;66am6883>>{e?9>1=7<50;2x 46aj3o:j6*>09g95f433-2nm7k<9:J;2c=i9;;86t$02ef?c6n2.:<5k51b07?!>bi3o856F76g9m577428nnn6g77d83>>ie>00;66sm70695?4=83:p(<>ib;g2b>"681o1=n<;;%:fe?c412B3:k5a1330>4bbk2c3;h4?::ma2<<722wi;>=51;094?6|,8:mn7k>f:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:0ff`>o??l0;66am6883>>{e?:81=7<50;2x 46aj3o:j6*>09g95f433-2nm7k<9:J;2c=i9;;86t$02ef?c6n2.:<5k51b07?!>bi3o856F76g9m577428nnj6g77d83>>ie>00;66sm70;95?4=83:p(<>ib;g2b>"681o1=n<;;%:fe?c412B3:k5a1330>4ba82c3;h4?::ma2<<722wi;f:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:0fe5>o??l0;66am6883>>{e?8n1=7<50;2x 46aj3o:j6*>09g95f433-2nm7k<9:J;2c=i9;;86t$02ef?c6n2.:<5k51b07?!>bi3o856F76g9m577428nm?6g77d83>>ie>00;66sm77595?4=83:p(<>ib;g2b>"681o1=n<;;%:fe?c412B3:k5a1330>4ba<2c3;h4?::ma2<<722wi=n?8:085>5<7s-2<>79lb:&24=c=9j;=7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>4ba=2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3;o=?5+11da>462:2.:53038 =??2=1C4;h4n0027?7cn?1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>db795?0=83:p(59=:6a6?!770l0:h<<4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<6lo=0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1ea4>4<1290;w)682;5`1>"681o1=i?=;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=9ml37d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0f`=?7=>3:12:&24cd=99?97)??b18054=#002186F76g9m577428nm56g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3gg`<62?0;6=u+86093f3<,8:3i7?k139'55`e28:>>6*>0c29747<,133695G87d8j446;3;ojl5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`2`ag=93<1<7>t$951>2e23-;;4h4>d008 46aj3;;9?5+11`3>6763-2247l6;I:5b>h6:891=ihm;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6cc?3;1:7>50z&;37<0k<1/==6j:2`g<>"68oh1==;=;%33f5<4981/4465b89K<3`<3;29?xd2;1:1=7850;2x =152>ii7)??8d86771<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=51edg?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<9>:7?56;294~"??;0055?2.:g?<@15<:m7>5;n3376<722wi=n?=:085>5<7s-2<>79lb:&24=c=9kl>7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>4ban2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;m:<5+11da>462:2.:511ce?!>>03h27E69f:l2645=9l:;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb336e?7=>3:1k0:&24cd=99?97)??b1824d`<,1336o74H94e?k759:0:i=?4i5:4>5<5<5;h62e?6=3f;;?>4?::a0006280=6=4?{%:46?1dj2.:<5k5441`?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;8654?::k733<722c:7e;667f=#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=51d20?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=>2j7?56;294~"??;012?k2.:1=O0?l0b<<>3;3f41=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>20195`623`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>;?0;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?7b8?1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<4g695?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=9l:<7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb31`e?7=>3:15<5;|`17f>=93<1<7>t$951>2ee3-;;4h4=3`78 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;8654?::k733<722c:7e;01b0=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4>e1c8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:;o86<49:183!>0:3=hn6*>09g967?43-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:0g3f>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;n;4$02;a?4b>11/==hm:0266>"68k:1?1=O0?l0b<<>3;3f4f=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>l?n:085>5<7s-2<>79l5:&24=c=:>8h7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>20195`6b3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9;92;391?6=8r.:5<5<=`03-2<>7l60:&24=c=::k>7E69f:l2645=9l;;7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd3<>h1=7850;2x 46aj32m;6*7738`b<=#992n69:=a:J;2c=i9;;861:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a67c3280=6=4?{%33bg1/4:<5cg;8 46?m3895>5G87d8j446;3;n=?5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5;f0?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo:6e282>2<729q/==hm:9d4?!>0:3n?46*>09g900dd3A2=j6`>20195`733`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7g1g=93=1<7>t$02ef?>a?2.3;?4k819'55>b2=h<:6F76g9m577428o:96g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=;nj6<4::183!77nk03j:5+8609g22<,8:3i7;=a79K<3`50;9j<=7=831b45<50;9la6?=831vn85<5<5<54>:783>5}#99li65h8;%:46?b3k2.:<5k51b07?M>1n2d:><=51d3;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd4j;?1=7850;2x 46aj32m;6*7738g0f=#992n69:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a17gf280=6=4?{%33bg1/4:<5d5f8 46?m3?9m;5G87d8j446;3;n=l5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5:f2?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg5e;90:684?:1y'55`e21l<7)682;f`a>"681o1=n<;;%dbg?741?1C4;h4n0027?7b9j1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f6d5m3;197>50z&24cd=0o=0(59=:eaf?!770l0:o?:4$gc`>44cl2B3:k5a1330>4c6l2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a7g4d280>6=4?{%33bg1/4:<5dbg8 46?m3;h>95+f`a957bc3A2=j6`>20195`7b3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`0f7g=93?1<7>t$02ef?>a?2.3;?4kcd9'55>b28i986*iab826ae<@15<5<=`03-2<>7jle:&24=c=9j8?7)hnc;31`f=O0?l0b<<>3;3f65=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb552=?7=;3:10g`972900ch=6:188yg34080:694?:1y'55`e21l<7)682;`:1>"681o19><8;I:5b>h6:891=h<=;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`0aa>=93<1<7>t$02ef?>a?2.3;?4lcb9'55>b2:ho46F76g9m577428o9?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm3424>4<4290;w)??fc8;b2=#0>81hl94$02;a?55:=1/jlm5124`?M>1n2d:><=51d07?l>0n3:17d670;29?jc413:17plib;:e3>"??;0n=l5+11:f>4e5<2.mmn4>3878L=0a3g;9=>4>e378m=1a2900e56?:188m=>62900ch=6:188yg5e9m0:6:4?:1y'55`e21l<7)682;`eb>"681o1=n<;;I:5b>h6:891=h<9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8nN?>o1e=??<:0g13>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3c3a>4<0290;w)??fc8;b2=#0>81on74$02;a?7d:=1C4;h4n0027?7b:11b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6d6?3;1;7>50z&24cd=0o=0(59=:ba:?!770l0:o?:4H94e?k759:0:i?74i95e>5<5<5<5<:683>5}#99li65h8;%:46?ed12.:<5k51b07?M>1n2d:><=51d0b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17plib;:e3>"??;0ho45+11:f>4e5<2B3:k5a1330>4c5j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7g4a280<6=4?{%33bg1/4:<5d348 46?m3;h>95G87d8j446;3;n>n5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:h9h7?57;294~"68oh14k94$951>a413-;;4h4>c368L=0a3g;9=>4>e3f8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=m2c82>2<729q/==hm:9d4?!>0:3n9:6*>09g95f433A2=j6`>20195`4b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0f71=93=1<7>t$02ef?>a?2.3;?4k279'55>b28i986F76g9m577428o9j6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;k8?6<48:183!77nk03j:5+8609`70<,8:3i7?l259K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>l<3;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7j=6:&24=c=9j8?7E69f:l2645=9l997d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4j;81=7850;2x 46aj32m;6*7738g75=#992n61/4:<5d228 46?m3;h>95G87d8j446;3;n?95f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb2`06?7=>3:10g`90D58i;o3156<6m:?0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo=m2782>3<729q/==hm:9d4?!>0:3n8<6*>09g95f433A2=j6`>20195`513`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th8n?=51;494?6|,8:mn76i7:&;37N?>o1e=??<:0g03>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;k8:6<49:183!77nk03j:5+8609`66<,8:3i7?l259K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f731n3;187>50z&24cd=0o=0(59=:b4f?!770l099=74H94e?k759:0:i>74i95e>5<5<0;6=u+11da>=`03-2<>7ml9:&24=c=9j8?7E69f:l2645=9l9j7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4j9?1=7950;2x 46aj32m;6*7738`g<=#992n6>:084>5<7s-;;jo47f69'<24=kj30(<>7e;3`61=O0?l0b<<>3;3f7f=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb2ceb?7=?3:10g`90D58i;o3156<6m:n0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg5fnl0:6:4?:1y'55`e21l<7)682;a`=>"681o1=n<;;I:5b>h6:891=h=j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8mkj51;594?6|,8:mn76i7:&;37N?>o1e=??<:0g0b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm3`d`>4<0290;w)??fc8;b2=#0>81on74$02;a?7d:=1C4;h4n0027?7b<91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6gaj3;1;7>50z&24cd=0o=0(59=:ba:?!770l0:o?:4H94e?k759:0:i9?4i95e>5<5<5<5<:683>5}#99li65h8;%:46?ed12.:<5k51b07?M>1n2d:><=51d61?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17plib;:e3>"??;0ho45+11:f>4e5<2B3:k5a1330>4c3;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7d`?280<6=4?{%33bg1/4:<5cb;8 46?m3;h>95G87d8j446;3;n895f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:km;7?57;294~"68oh14k94$951>fe>3-;;4h4>c368L=0a3g;9=>4>e578m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=m2182>2<729q/==hm:9d4?!>0:3ih56*>09g95f433A2=j6`>20195`213`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0f4`=93=1<7>t$02ef?>a?2.3;?4lc89'55>b28i986F76g9m577428o?;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9o=b;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7li8:&24=c=<j7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd2:k;1=7950;2x 46aj32m;6*7738`<0=#992n685<7s-;;jo47f69'<24=k>;0(<>7e;66ff=O0?l0b<<>3;3f0f=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5;`2?7=?3:10g`9?;%33<`<3=ki0D58i;o3156<6m=n0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2>;o0:6;4?:1y'55`e21l<7)682;agg>"681o188ll;I:5b>h6:891=h:j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7e06=9391<7>t$02ef?>a?2.3;?4k779'55>b2=?io6*iab82046<@15<0;6=u+11da>=`03-2<>7l82:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd31?21=7:50;2x 46aj32m;6*7738f5d=#992n69;mc:&eef<6;0>0D58i;o3156<6m<;0e59i:188m=>72900e56>:188k`5>2900qo:66682>2<729q/==hm:9d4?!>0:3i886*>09g900dd3A2=j6`>20195`353`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7efb=93>1<7>t$02ef?>a?2.3;?4j1`9'55>b2=?io6*iab827=c<@15<5<jon4>:683>5}#99li65h8;%:46?e4<2.:<5k544``?M>1n2d:><=51d77?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;ae;95?2=83:p(<>ib;:e3>"??;0n=l5+11:f>13ek2.mmn4>3818L=0a3g;9=>4>e478m=1a2900e56?:188m=>62900ch=6:188yg2fl10:6:4?:1y'55`e21l<7)682;a00>"681o188ll;I:5b>h6:891=h;9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?mh;51;694?6|,8:mn76i7:&;37"aij0:?4<4H94e?k759:0:i894i95e>5<5<0;6=u+11da>=`03-2<>7m<4:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3io;1=7:50;2x 46aj32m;6*7738f5d=#992n69;mc:&eef<6;0;0D58i;o3156<6m<30e59i:188m=>72900e56>:188k`5>2900qo:nf182>2<729q/==hm:9d4?!>0:3i886*>09g900dd3A2=j6`>20195`3f3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7ecb=93>1<7>t$02ef?>a?2.3;?4j1`9'55>b2=?io6*iab827<6<@15<5<jjn4>:683>5}#99li65h8;%:46?e4<2.:<5k544``?M>1n2d:><=51d7`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;b1;95?2=83:p(<>ib;:e3>"??;0n=l5+11:f>13ek2.mmn4>39d8L=0a3g;9=>4>e4f8m=1a2900e56?:188m=>62900ch=6:188yg2e810:6:4?:1y'55`e21l<7)682;a00>"681o188ll;I:5b>h6:891=h;j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?n<;51;694?6|,8:mn76i7:&;37"aij0:?5j4H94e?k759:0:i8h4i95e>5<5<0;6=u+11da>=`03-2<>7m<4:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30j91=7950;2x 46aj32m;6*7738g2f=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=jmh0(<>7e;66ff=O0?l0b<<>3;3f27=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3d1b?7=>3:10g`9;%33<`<5m?20D58i;o3156<6m?90e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo3<729q/==hm:9d4?!>0:3i3=6*>09g96def3A2=j6`>20195`033`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th9;k=51;494?6|,8:mn76i7:&;37N?>o1e=??<:0g51>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e9l<>6<4::183!77nk03j:5+8609`c2<,8:3i7?j439K<3`50;9j<=7=831b45<50;9la6?=831vn5<5<=`03-2<>7ji4:&24=c=9l>97E69f:l2645=9l<37d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd6m>;1=7;50;2x 46aj32m;6*7738gb1=#992n65<7s-;;jo47f69'<24=lo>0(<>7e;3f07=O0?l0b<<>3;3f2d=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb0g47?7==3:10g`972900e56>:188m=>52900ch=6:188yg7b?=0:684?:1y'55`e21l<7)682;fe0>"681o1=h:=;I:5b>h6:891=h8l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th:i:;51;794?6|,8:mn76i7:&;37N?>o1e=??<:0g5`>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm1d55>4<2290;w)??fc8;b2=#0>81hk:4$02;a?7b<;1C4;h4n0027?7b>l1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f4bak3;197>50z&24cd=0o=0(59=:ed7?!770l0:hh74H94e?k759:0:i;h4i95e>5<5<5<:483>5}#99li65h8;%:46?ba<2.:<5k51d61?M>1n2d:><=51d53?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl>dgf95?3=83:p(<>ib;:e3>"??;0oj95+11:f>4bb12B3:k5a1330>4c092c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a5`01280>6=4?{%33bg1/4:<5dg68 46?m3;n8?5G87d8j446;3;n;?5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj8nmi7?55;294~"68oh14k94$951>a`33-;;4h4>dd;8L=0a3g;9=>4>e618m=1a2900e56?:188m=>62900e56=:188k`5>2900qo?j6682>0<729q/==hm:9d4?!>0:3nm86*>09g95`253A2=j6`>20195`133`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`2`c`=93?1<7>t$02ef?>a?2.3;?4kf59'55>b28nn56F76g9m577428o<96g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e9l<36<4::183!77nk03j:5+8609`c2<,8:3i7?j439K<3`50;9j<=7=831b45<50;9la6?=831vn5<5<=`03-2<>7ji4:&24=c=9l>97E69f:l2645=9l=37d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd6m9;1=7;50;2x 46aj32m;6*7738gb1=#992n65<7s-;;jo47f69'<24=lo>0(<>7e;3f07=O0?l0b<<>3;3f3d=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb0g36?7==3:10g`9h0e59i:188m=>72900e56>:188m=>52900ch=6:188yg7b>k0:684?:1y'55`e21l<7)682;fe0>"681o1=h:=;I:5b>h6:891=h9l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th:i==51;794?6|,8:mn76i7:&;37N?>o1e=??<:0g4`>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm1d4`>4<2290;w)??fc8;b2=#0>81hk:4$02;a?7b<;1C4;h4n0027?7b?l1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f4c7<3;197>50z&24cd=0o=0(59=:ed7?!770l0:hh74H94e?k759:0:i:h4i95e>5<5<5<:283>5}#99li65h8;%:46?bf;2.:<5k51eg:?M>1n2d:><=51d:3?l>0n3:17d670;29?jc413:17pl;97`95?1=83:p(<>ib;:e3>"??;0ihk5+11:f>13ek2B3:k5a1330>4c?92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0<`2280<6=4?{%33bg1/4:<5bed8 46?m3>>nn5G87d8j446;3;n4?5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=k;>7?57;294~"68oh14k94$951>gc73-;;4h4;5ca8L=0a3g;9=>4>e918m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:n0g82>2<729q/==hm:9d4?!>0:3hn<6*>09g900dd3A2=j6`>20195`>33`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7=a0=93=1<7>t$02ef?>a?2.3;?4lb49'55>b2=?io6F76g9m577428o396g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<02n6<48:183!77nk03j:5+8609g30<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn97;c;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m96:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd310l1=7950;2x 46aj32m;6*7738`23=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=k?<0(<>7e;66ff=O0?l0b<<>3;3fl1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5;`0?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2>?h0:6:4?:1y'55`e21l<7)682;aa1>"681o188ll;I:5b>h6:891=h6l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8o8h51;694?6|,8:mn76i7:&;37N?>o1e=??<:0g;`>o??o0;66g78183>>o?080;66aj3883>>{e;j<>6<4;:183!77nk03j:5+8609`d4<,8:3i7=md99K<3`50;9j<=7=831di>750;9~f6e1>3;187>50z&24cd=0o=0(59=:ec1?!770l08ni64H94e?k759:0:i5h4i95e>5<5<=`03-2<>7jn2:&24=c=;kn37E69f:l2645=9l3;7d68f;29?l>?83:17d671;29?jc413:17plib;:e3>"??;0om?5+11:f>6dc02B3:k5a1330>4c>92c3;k4?::k;<5<722c34<4?::mf7<<722wi?n86:087>5<7s-;;jo47f69'<24=lh80(<>7e;1a`==O0?l0b<<>3;3f=7=n0>l1<75f89294?=n01;1<75`e2;94?=zj:i=m7?54;294~"68oh14k94$951>ag53-;;4h44>e818m=1a2900e56?:188m=>62900ch=6:188yg5d>k0:694?:1y'55`e21l<7)682;fb6>"681o1?oj7;I:5b>h6:891=h7;;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`0g3e=93>1<7>t$02ef?>a?2.3;?4ka39'55>b2:ho46F76g9m577428o296g77g83>>o?090;66g78083>>ib;00;66sm3b4g>4<3290;w)??fc8;b2=#0>81hl<4$02;a?5el11C4;h4n0027?7b1?1b4:h50;9j<=6=831b45?50;9la6?=831vn>m90;390?6=8r.:<@15<5<:583>5}#99li65h8;%:46?bf:2.:<5k53cf;?M>1n2d:><=51d;;?l>0n3:17d670;29?l>?93:17bk<9;29?xd4k?81=7:50;2x 46aj32m;6*7738ge7=#992n6>lk8:J;2c=i9;;861/4:<5d`08 46?m39ih55G87d8j446;3;n5l5f86d94?=n01:1<75f89394?=hm:31<75rb2a50?7=<3:10g`99;%33<`<4jm20D58i;o3156<6m0h0e59i:188m=>72900e56>:188k`5>2900qo:92382>0<729q/==hm:9d4?!>0:3h09g900dd3A2=j6`>20195`?d3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`727b=93?1<7>t$02ef?>a?2.3;?4m7e9'55>b2=?io6F76g9m577428o2h6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e50;9j<=7=831b45<50;9la6?=831vn985<5<=`03-2<>7l8d:&24=c=<?83:17d671;29?l>?:3:17bk<9;29?xd3>:n1=7;50;2x 46aj32m;6*7738a3a=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=j>n0(<>7e;66ff=O0?l0b<<>3;3fe7=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb540b?7==3:10g`972900e56>:188m=>52900ch=6:188yg21<90:684?:1y'55`e21l<7)682;`4`>"681o188ll;I:5b>h6:891=ho;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th?:9?51;794?6|,8:mn76i7:&;37N?>o1e=??<:0gb1>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm4700>4<2290;w)??fc8;b2=#0>81n:j4$02;a?22jj1C4;h4n0027?7bi?1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f105<3;197>50z&24cd=0o=0(59=:c5g?!770l0?9om4H94e?k759:0:il94i95e>5<5<5<=>84>:483>5}#99li65h8;%:46?d0l2.:<5k544``?M>1n2d:><=51dc;?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;63495?3=83:p(<>ib;:e3>"??;0i;i5+11:f>13ek2B3:k5a1330>4cf12c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a0340280>6=4?{%33bg1/4:<5b6f8 46?m3>>nn5G87d8j446;3;nml5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=<947?55;294~"68oh14k94$951>g1c3-;;4h4;5ca8L=0a3g;9=>4>e``8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:92882>0<729q/==hm:9d4?!>0:3h09g900dd3A2=j6`>20195`gd3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`727g=93?1<7>t$02ef?>a?2.3;?4m7e9'55>b2=?io6F76g9m577428ojh6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e50;9j<=7=831b45<50;9la6?=831vn98=c;391?6=8r.:5<5<=`03-2<>7l8d:&24=c=<?83:17d671;29?l>?:3:17bk<9;29?xd3>;l1=7;50;2x 46aj32m;6*7738a3a=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=j>n0(<>7e;66ff=O0?l0b<<>3;3ff7=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb5405?7==3:10g`972900e56>:188m=>52900ch=6:188yg21;;0:684?:1y'55`e21l<7)682;`4`>"681o188ll;I:5b>h6:891=hl;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th?:>=51;794?6|,8:mn76i7:&;37N?>o1e=??<:0ga1>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm4717>4<2290;w)??fc8;b2=#0>81n:j4$02;a?22jj1C4;h4n0027?7bj?1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f104=3;197>50z&24cd=0o=0(59=:c5g?!770l0?9om4H94e?k759:0:io94i95e>5<5<5<=?;4>:483>5}#99li65h8;%:46?d0l2.:<5k544``?M>1n2d:><=51d`;?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;62595?3=83:p(<>ib;:e3>"??;0i;i5+11:f>13ek2B3:k5a1330>4ce12c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a035>280>6=4?{%33bg1/4:<5b6f8 46?m3>>nn5G87d8j446;3;nnl5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=<8m7?55;294~"68oh14k94$951>g1c3-;;4h4;5ca8L=0a3g;9=>4>ec`8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:78282>3<729q/==hm:9d4?!>0:3ij?6*>09g900dd3A2=j6`>20195`dd3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th8=9k51;594?6|,8:mn76i7:&;37N?>o1e=??<:0ga`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2ec5>4<0290;w)??fc8;b2=#0>81h:h4$02;a?4fkh1C4;h4n0027?7bjl1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7g703;1;7>50z&24cd=0o=0(59=:e5e?!770l09;?m4H94e?k759:0:ioh4i95e>5<5<5<5<34:4>:783>5}#99li65h8;%:46?ef;2.:<5k544``?M>1n2d:><=51da3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd3j72900e56>:188m=>52900ch=6:188yg2e=h0:6:4?:1y'55`e21l<7)682;a6`>"681o188ll;I:5b>h6:891=hm=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th??k951;694?6|,8:mn76i7:&;37N?>o1e=??<:0g`7>o??o0;66g78183>>o?080;66aj3883>>{e<:l=6<48:183!77nk03j:5+8609fa1<,8:3i7:<7g9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>mj2;392?6=8r.:<,okh6<=:3:J;2c=i9;;861/4:<5bgc8 46?m3>>nn5+f`a957`03A2=j6`>20195`e13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?48951;794?6|,8:mn76i7:&;37N?>o1e=??<:0g`3>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm5306>4<0290;w)??fc8;b2=#0>81on<4$02;a?368k1C4;h4n0027?7bk11b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f11dk3;1;7>50z&24cd=0o=0(59=:e;e?!770l0?9om4H94e?k759:0:in74i95e>5<5<5<5<>?4>:683>5}#99li65h8;%:46?baj2.:<5k53307?M>1n2d:><=51dab?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>e7g95?5=83:p(<>ib;:e3>"??;0om>5+11:f>4c3:2B3:k5a1330>4cdj2c3;k4?::k;<5<722en?44?::a0=?c280<6=4?{%33bg1/4:<5bd68 46?m3>>nn5G87d8j446;3;non5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=2397?56;294~"68oh14k94$951>fg43-;;4h4;5ca8L=0a3g;9=>4>ebf8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg5ajo0:6:4?:1y'55`e21l<7)682;f5`>"681o1?klj;I:5b>h6:891=hmj;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8jn<51;594?6|,8:mn76i7:&;37N?>o1e=??<:0g`b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm44`f>4<0290;w)??fc8;b2=#0>81h894$02;a?22jj1C4;h4n0027?7bl91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f132k3;1;7>50z&24cd=0o=0(59=:eda?!770l0?9>m4H94e?k759:0:ii?4i95e>5<5<5<5<:683>5}#99li65h8;%:46?baj2.:<5k522c6?M>1n2d:><=51df1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;54g95?1=83:p(<>ib;:e3>"??;0oji5+11:f>134k2B3:k5a1330>4cc;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a66e0280<6=4?{%33bg1/4:<5dgf8 46?m388m85G87d8j446;3;nh95f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj<8::7?56;294~"68oh14k94$951>f0e3-;;4h4:11`8L=0a3g;9=>4>ee78m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg358o0:6:4?:1y'55`e21l<7)682;``=>"681o19<>m;I:5b>h6:891=hj9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th>>?>51;594?6|,8:mn76i7:&;37N?>o1e=??<:0gg3>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4`:4>4<1290;w)??fc8;b2=#0>81hh94$02;a?22jj1/jlm513ge?M>1n2d:><=51df;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd31=21=7;50;2x 46aj32m;6*7738ge`=#992n69;mc:&eef<6:<20D58i;o3156<6mm30e59i:188m=>72900e56>:188m=>52900ch=6:188yg24m;0:6:4?:1y'55`e21l<7)682;`:e>"681o18>9i;I:5b>h6:891=hjn;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8oo651;594?6|,8:mn76i7:&;37N?>o1e=??<:0ggf>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1g54>4<0290;w)??fc8;b2=#0>81hk74$02;a?7a>81C4;h4n0027?7blj1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f76b>3;1;7>50z&24cd=0o=0(59=:ed:?!770l094H94e?k759:0:iij4i95e>5<5<5<5<?8<4>:783>5}#99li65h8;%:46?b>k2.:<5k54514?!`fk3;9;k5G87d8j446;3;nhh5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb30be?7=>3:10g`9N?>o1e=??<:0ggb>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<=9=6<49:183!77nk03j:5+8609`3g<,8:3i7:;2`9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f124:3;1;7>50z&24cd=0o=0(59=:e4;?!770l0?8?o4H94e?k759:0:ih?4i95e>5<5<5<5<??94>:783>5}#99li65h8;%:46?bc;2.:<5k5450b?M>1n2d:><=51dg1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd5:0l1=7850;2x 46aj32m;6*7738g2d=#992n6?<63:J;2c=i9;;861/4:<5d7:8 46?m3895>5G87d8j446;3;ni95f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;82h7?56;294~"68oh14k94$951>ab43-;;4h4=2818L=0a3g;9=>4>ed78m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg57980:6:4?:1y'55`e21l<7)682;feg>"681o1>h87;I:5b>h6:891=hk9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th9o;l51;594?6|,8:mn76i7:&;37N?>o1e=??<:0gf3>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm29ag>4<0290;w)??fc8;b2=#0>81hkm4$02;a?40:j1C4;h4n0027?7bm11b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f671:3;1;7>50z&24cd=0o=0(59=:ed;?!770l09i;64H94e?k759:0:ih74i95e>5<5<5<5<:683>5}#99li65h8;%:46?ba02.:<5k52`ab?M>1n2d:><=51dgb?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=a0a95?1=83:p(<>ib;:e3>"??;0oj55+11:f>715k2B3:k5a1330>4cbj2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0f03280<6=4?{%33bg1/4:<5c1;8 46?m3>i;;5G87d8j446;3;nin5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=h=n7?57;294~"68oh14k94$951>gb23-;;4h4;5ca8L=0a3g;9=>4>edf8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:m3e82>2<729q/==hm:9d4?!>0:3iim6*>09g900dd3A2=j6`>20195`cb3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7b17=93?1<7>t$02ef?>a?2.3;?4k899'55>b2=l8j6F76g9m577428onj6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e<1h26<49:183!77nk03j:5+8609`64<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1e313;1:7>50z&24cd=0o=0(59=:d2f?!770l0?n:84$gc`>442i2B3:k5a1330>4ca92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi?nhn:084>5<7s-;;jo47f69'<24=lo80(<>7e;1a`==O0?l0b<<>3;3fb7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5g0`?7==3:10g`9;%33<`<3=ki0(kol:004`>N?>o1e=??<:0ge7>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm43f5>4<0290;w)??fc8;b2=#0>81hkj4$02;a?25i=1C4;h4n0027?7bn=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>?>3;197>50z&24cd=0o=0(59=:b;6?!770l0?9om4$gc`>44e;2B3:k5a1330>4ca=2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a5c15280>6=4?{%33bg1/4:<5dg28 46?m3;m:<5G87d8j446;3;nj;5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;:n=7?55;294~"68oh14k94$951>a`73-;;4h4=0e28L=0a3g;9=>4>eg58m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:j4282>3<729q/==hm:9d4?!>0:3inm6*>09g900dd3A2=j6`>20195``?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th8?4>51;794?6|,8:mn76i7:&;37N?>o1e=??<:0ge=>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm1ba0>4<2290;w)??fc8;b2=#0>81hnk4$02;a?7djm1C4;h4n0027?7bnh1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f055m3;197>50z&24cd=0o=0(59=:e7b?!770l0>??94$gc`>45e12B3:k5a1330>4caj2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a5111280<6=4?{%33bg1/4:<5bb18 46?m3;?:55G87d8j446;3;njn5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8><97?57;294~"68oh14k94$951>ge43-;;4h4>47:8L=0a3g;9=>4>egf8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?;7582>2<729q/==hm:9d4?!>0:3hh?6*>09g9510?3A2=j6`>20195``b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`2025=93=1<7>t$02ef?>a?2.3;?4mc29'55>b28>=46F76g9m577428omj6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9==96<48:183!77nk03j:5+8609ff5<,8:3i7?;699K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn<:81;393?6=8r.:<@15<5<5<0;6=u+11da>=`03-2<>7ll3:&24=c=9=<37E69f:l2645=9o:97d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6<>o1=7950;2x 46aj32m;6*7738ag6=#992n6<:98:J;2c=i9;;865<7s-;;jo47f69'<24=jj90(<>7e;372==O0?l0b<<>3;3e41=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb064f?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg73?h0:6:4?:1y'55`e21l<7)682;``7>"681o1=987;I:5b>h6:891=k>9;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:8:751;594?6|,8:mn76i7:&;37N?>o1e=??<:0d33>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm155;>4<0290;w)??fc8;b2=#0>81nn=4$02;a?73>11C4;h4n0027?7a811b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f420?3;1;7>50z&24cd=0o=0(59=:ca0?!770l0:8;64H94e?k759:0:j=74i95e>5<5<5<5<:683>5}#99li65h8;%:46?dd;2.:<5k5154;?M>1n2d:><=51g2b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<1b595?3=83:p(<>ib;:e3>"??;0o5;5+11:f>7c102.mmn4>2778L=0a3g;9=>4>f1`8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo0<729q/==hm:9d4?!>0:3n2:6*>09g96def3-ljo7?=659K<3`50;9j<=7=831b45<50;9la6?=831vn?o71;391?6=8r.:5<7s-;;jo47f69'<24=kl;0(<>7e;0f2==O0?l0b<<>3;3e4`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3g23?7=?3:10g`9;%33<`<5ijk0D58i;o3156<6n9l0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg4f?00:6:4?:1y'55`e21l<7)682;af5>"681o1>:h6:891=k??;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8i=l51;594?6|,8:mn76i7:&;37N?>o1e=??<:0d25>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4c56>4<0290;w)??fc8;b2=#0>81o>l4$02;a?22jj1C4;h4n0027?7a9;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1?c;3;1:7>50z&24cd=0o=0(59=:e47?!770l0?9om4H94e?k759:0:j<=4i95e>5<5<5<=`03-2<>7j94:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;96795?0=83:p(<>ib;:e3>"??;0o:95+11:f>13ek2B3:k5a1330>4`6=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi8l7;:085>5<7s-;;jo47f69'<24=l?>0(<>7e;66ff=O0?l0b<<>3;3e53=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=k?m7?56;294~"68oh14k94$951>a033-;;4h4;5ca8L=0a3g;9=>4>f058m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg220l0:6;4?:1y'55`e21l<7)682;g35>"681o18869;%dbg?75j81C4;h4n0027?7a911b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn?=i7;392?6=8r.:9:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a176e280=6=4?{%33bg1/4:<5c6;8 46?m3?:3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo;=1182>2<729q/==hm:9d4?!>0:3hh46*>09g9146e3A2=j6`>20195c7d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7fg1=93?1<7>t$02ef?>a?2.3;?4kc89'55>b2=h<:6*iab826a`<@15<5<=`03-2<>7j;7:&24=c=3;3e5`=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb4106?7=>3:10g`9N?>o1e=??<:0d2b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;m:n6<48:183!77nk03j:5+8609f=?<,8:3i7=md99K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn99=7;392?6=8r.:6=4?{%33bg1/4:<5d478 46?m3>>nn5+f`a956213A2=j6`>20195c453`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`7==?=93?1<7>t$02ef?>a?2.3;?4k549'55>b2=?io6*iab82710<@15<5<=`03-2<>7j:5:&24=c=<3;3e61=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb5;ae?7==3:10g`9N?>o1e=??<:0d11>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm48f;>4<0290;w)??fc8;b2=#0>81h894$02;a?22jj1C4;h4n0027?7a:?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>5k3;197>50z&24cd=0o=0(59=:b::?!770l0?9om4$gc`>441n2B3:k5a1330>4`5?2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a0=4c280>6=4?{%33bg1/4:<5c808 46?m3>>nn5+f`a9570a3A2=j6`>20195c4?3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`0gg2=93<1<7>t$02ef?>a?2.3;?4le49'55>b2:ho46*iab8260e<@15<5<5<:483>5}#99li65h8;%:46?b2=2.:<5k52d4;?!`fk3;9485G87d8j446;3;m>l5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;i2>7?55;294~"68oh14k94$951>a323-;;4h4=abc8 cgd288386F76g9m577428l9n6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:1l?6<4::183!77nk03j:5+8609`03<,8:3i7<82b9'bde=9;287E69f:l2645=9o8h7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd30=91=7950;2x 46aj32m;6*7738ae`=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=jho0(<>7e;66ff=O0?l0b<<>3;3e6`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5:05?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?:o0:6:4?:1y'55`e21l<7)682;`ba>"681o188ll;I:5b>h6:891=k=?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th>8?o51;794?6|,8:mn76i7:&;37N?>o1e=??<:0d05>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm4530>4<2290;w)??fc8;b2=#0>81h::4$02;a?24?o1C4;h4n0027?7a;;1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f1>a83;1;7>50z&24cd=0o=0(59=:c:1?!770l0?9om4H94e?k759:0:j>=4i95e>5<5<5<5<3844>:483>5}#99li65h8;%:46?b382.:<5k544``?M>1n2d:><=51g17?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;85c95?3=83:p(<>ib;:e3>"??;0o8=5+11:f>13ek2B3:k5a1330>4`4=2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a0=2e280>6=4?{%33bg1/4:<5d528 46?m3>>nn5G87d8j446;3;m?;5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=l?>7?54;294~"68oh14k94$951>a153-;;4h4;f2d8 cgd289=56F76g9m577428l8;6g77g83>>o?090;66g78083>>ib;00;66sm48fb>4<0290;w)??fc8;b2=#0>81hkm4$02;a?22jj1C4;h4n0027?7a;11b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f11b;3;1:7>50z&24cd=0o=0(59=:b:b?!770l0?9om4$gc`>44e;2B3:k5a1330>4`412c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi=h:<:087>5<7s-;;jo47f69'<24=l>80(<>7e;3f07=O0?l0b<<>3;3e7d=n0>l1<75f89294?=n01;1<75`e2;94?=zj8o?n7?54;294~"68oh14k94$951>a153-;;4h4>e508L=0a3g;9=>4>f2`8m=1a2900e56?:188m=>62900ch=6:188yg7b"681o1=h:=;I:5b>h6:891=k=l;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7fg6=93?1<7>t$02ef?>a?2.3;?4l7g9'55>b2=h<:6F76g9m577428l8h6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e9l>o6<4;:183!77nk03j:5+8609`24<,8:3i7?j439K<3`50;9j<=7=831di>750;9~f4c3m3;187>50z&24cd=0o=0(59=:e51?!770l0:i9<4H94e?k759:0:j>h4i95e>5<5<=`03-2<>7j82:&24=c=9l>97E69f:l2645=9o>;7d68f;29?l>?83:17d671;29?jc413:17pl>e4295?2=83:p(<>ib;:e3>"??;0o;?5+11:f>4c3:2B3:k5a1330>4`392c3;k4?::k;<5<722c34<4?::mf7<<722wi=h;>:087>5<7s-;;jo47f69'<24=l>80(<>7e;3f07=O0?l0b<<>3;3e07=n0>l1<75f89294?=n01;1<75`e2;94?=zj:imh7?55;294~"68oh14k94$951>a723-;;4h4>o?090;66g78083>>o?0;0;66aj3883>>{e9l?96<4;:183!77nk03j:5+8609`24<,8:3i7?j439K<3`50;9j<=7=831di>750;9~f4bbi3;187>50z&24cd=0o=0(59=:e51?!770l0:hh74H94e?k759:0:j9;4i95e>5<5<=`03-2<>7j82:&24=c=9l>97E69f:l2645=9o>=7d68f;29?l>?83:17d671;29?jc413:17pl>dd`95?2=83:p(<>ib;:e3>"??;0o;?5+11:f>4bb12B3:k5a1330>4`3?2c3;k4?::k;<5<722c34<4?::mf7<<722wi=h:;:087>5<7s-;;jo47f69'<24=l>80(<>7e;3f07=O0?l0b<<>3;3e0==n0>l1<75f89294?=n01;1<75`e2;94?=zj8nno7?54;294~"68oh14k94$951>a153-;;4h4>dd;8L=0a3g;9=>4>f5;8m=1a2900e56?:188m=>62900ch=6:188yg7b<<0:694?:1y'55`e21l<7)682;f46>"681o1=h:=;I:5b>h6:891=k:n;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`2``b=93>1<7>t$02ef?>a?2.3;?4k739'55>b28nn56F76g9m577428l?n6g77g83>>o?090;66g78083>>ib;00;66sm1d65>4<3290;w)??fc8;b2=#0>81h:<4$02;a?7b<;1C4;h4n0027?7a5<5<:583>5}#99li65h8;%:46?b0:2.:<5k51d61?M>1n2d:><=51g6f?l>0n3:17d670;29?l>?93:17bk<9;29?xd6lll1=7:50;2x 46aj32m;6*7738g37=#992n61/4:<5d608 46?m3;n8?5G87d8j446;3;m9=5f86d94?=n01:1<75f89394?=hm:31<75rb0fe4?7=<3:10g`972900e56>:188k`5>2900qo?j4882>1<729q/==hm:9d4?!>0:3n<>6*>09g95`253A2=j6`>20195c353`25;h:;4?6=3`23=7>5;ng0=?6=3th:hk?51;694?6|,8:mn76i7:&;37N?>o1e=??<:0d67>o??o0;66g78183>>o?080;66aj3883>>{e9l>j6<4;:183!77nk03j:5+8609`24<,8:3i7?j439K<3`50;9j<=7=831di>750;9~f4ba:3;187>50z&24cd=0o=0(59=:e51?!770l0:hh74H94e?k759:0:j8;4i95e>5<5<=`03-2<>7k?6:&24=c==8:i7)hnc;313g=O0?l0b<<>3;3e13=n0>l1<75f89294?=n01;1<75`e2;94?=zj<8>;7?54;294~"68oh14k94$951>a153-;;4h4:11`8 cgd288;6g77g83>>o?090;66g78083>>ib;00;66sm44c1>4<3290;w)??fc8;b2=#0>81h4o4$02;a?220?1/jlm5124;?M>1n2d:><=51g7;?l>0n3:17d670;29?l>?93:17bk<9;29?xd5<9h1=7:50;2x 46aj32m;6*7738g=d=#992n6?=jf:&eef<6;?k0D58i;o3156<6n<30e59i:188m=>72900e56>:188k`5>2900qo:?eg82>1<729q/==hm:9d4?!>0:3n2m6*>09g97c`?3-ljo7?=e59K<3`50;9j<=7=831di>750;9~f16a83;187>50z&24cd=0o=0(59=:e51?!770l08jk64$gc`>451m2B3:k5a1330>4`2j2c3;k4?::k;<5<722c34<4?::mf7<<722wi=99l:085>5<7s-;;jo47f69'<24=k7e;372==O0?l0b<<>3;3e1f=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj8>3i7?54;294~"68oh14k94$951>a?f3-;;4h4>47:8 cgd289==6F76g9m577428l>h6g77g83>>o?090;66g78083>>ib;00;66sm15:e>4<3290;w)??fc8;b2=#0>81h:<4$02;a?73>11/jlm51243?M>1n2d:><=51g7f?l>0n3:17d670;29?l>?93:17bk<9;29?xd6<0:1=7:50;2x 46aj32m;6*7738g37=#992n6<:98:&eef<6;72900e56>:188k`5>2900qo?;9082>1<729q/==hm:9d4?!>0:3n2m6*>09g9510?3-ljo7?<5g9K<3`50;9j<=7=831di>750;9~f42>:3;187>50z&24cd=0o=0(59=:e51?!770l0:8;64$gc`>452m2B3:k5a1330>4`192c3;k4?::k;<5<722c34<4?::mf7<<722wi=97<:087>5<7s-;;jo47f69'<24=l0k0(<>7e;372==#nhi1=>;j;I:5b>h6:891=k8=;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`20<2=93>1<7>t$02ef?>a?2.3;?4k739'55>b28>=46*iab82737<@15<5<:583>5}#99li65h8;%:46?b0:2.:<5k5154;?!`fk3;8:=5G87d8j446;3;m:95f86d94?=n01:1<75f89394?=hm:31<75rb06;3?7=<3:10g`9N?>o1e=??<:0d51>o??o0;66g78183>>o?080;66aj3883>>{e9=236<4;:183!77nk03j:5+8609`24<,8:3i7?;699'bde=9:?o7E69f:l2645=9o<=7d68f;29?l>?83:17d671;29?jc413:17pl>49;95?2=83:p(<>ib;:e3>"??;0o;?5+11:f>42102.mmn4>34a8L=0a3g;9=>4>f758m=1a2900e56?:188m=>62900ch=6:188yg730h0:694?:1y'55`e21l<7)682;f46>"681o1=987;%dbg?74=j1C4;h4n0027?7a>11b4:h50;9j<=6=831b45?50;9la6?=831vn<:7b;390?6=8r.:<,okh6<=:b:J;2c=i9;;86d280?6=4?{%33bg1/4:<5d608 46?m3;?:55+f`a9563e3A2=j6`>20195c0f3`25;h:;4?6=3`23=7>5;ng0=?6=3th:85j51;694?6|,8:mn76i7:&;37"aij0:>h:4H94e?k759:0:j;l4i95e>5<5<0;6=u+11da>=`03-2<>7m?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30<:1=7950;2x 46aj32m;6*7738`g5=#992n69;mc:J;2c=i9;;86:084>5<7s-;;jo47f69'<24=kj:0(<>7e;66ff=O0?l0b<<>3;3e2`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5:66?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?"681o188ll;I:5b>h6:891=k9?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?48=51;594?6|,8:mn76i7:&;37N?>o1e=??<:0d45>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm496f>4<0290;w)??fc8;b2=#0>81on>4$02;a?22jj1C4;h4n0027?7a?;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>3l3;1;7>50z&24cd=0o=0(59=:ba3?!770l0?9om4H94e?k759:0:j:=4i95e>5<5<5<5<38?4>:683>5}#99li65h8;%:46?ed82.:<5k544``?M>1n2d:><=51g57?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;a9:95?0=83:p(<>ib;:e3>"??;0o?o5+11:f>13ek2B3:k5a1330>4`0=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi8l7=:085>5<7s-;;jo47f69'<24=l:h0(<>7e;66ff=O0?l0b<<>3;3e33=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=2f313-;;4h4;5ca8L=0a3g;9=>4>f658m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:61g82>3<729q/==hm:9d4?!>0:3i=56*>09g900dd3-ljo7?=b19K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f15b93;1;7>50z&24cd=0o=0(59=:c`;?!770l0??:h4H94e?k759:0:j:74i95e>5<5<5<5<2in4>:683>5}#99li65h8;%:46?b7l2.:<5k544``?M>1n2d:><=51g5b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;a8795?1=83:p(<>ib;:e3>"??;0o13ek2B3:k5a1330>4`0j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0d2e280<6=4?{%33bg1/4:<5d1f8 46?m3>>nn5G87d8j446;3;m;n5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=23>7?56;294~"68oh14k94$951>fg43-;;4h4;5ca8L=0a3g;9=>4>f6f8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2?0h0:6;4?:1y'55`e21l<7)682;ab6>"681o188ll;I:5b>h6:891=k9j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`2add=93=1<7>t$02ef?>a?2.3;?4m8d9'55>b28o?>6F76g9m577428l>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9lk26<48:183!77nk03j:5+8609f=c<,8:3i7?j439K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn5<5<5<0;6=u+11da>=`03-2<>7l7e:&24=c=9l>97E69f:l2645=9o297d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6mh81=7950;2x 46aj32m;6*7738a<`=#992n65<7s-;;jo47f69'<24=j1o0(<>7e;3f07=O0?l0b<<>3;3e<1=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0g:a?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg7b1>0:6:4?:1y'55`e21l<7)682;`;a>"681o1=h:=;I:5b>h6:891=k69;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th:i4;51;594?6|,8:mn76i7:&;37N?>o1e=??<:0d;3>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm1d;0>4<0290;w)??fc8;b2=#0>81n5k4$02;a?7b<;1C4;h4n0027?7a011b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f4c>93;1;7>50z&24cd=0o=0(59=:c:f?!770l0:i9<4H94e?k759:0:j574i95e>5<5<5<5<:683>5}#99li65h8;%:46?d?m2.:<5k51d61?M>1n2d:><=51g:b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl>e9f95?1=83:p(<>ib;:e3>"??;0i4h5+11:f>4c3:2B3:k5a1330>4`?j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5`>e280<6=4?{%33bg1/4:<5b9g8 46?m3;n8?5G87d8j446;3;m4n5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj8o3m7?57;294~"68oh14k94$951>g>b3-;;4h4>e508L=0a3g;9=>4>f9f8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo::5682>2<729q/==hm:9d4?!>0:3n=96*>09g9005d3A2=j6`>20195c>b3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`17f6=93=1<7>t$02ef?>a?2.3;?4k649'55>b2;9j96F76g9m577428l3j6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=:8j6<48:183!77nk03j:5+8609`1?<,8:3i7;<269K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9o67;390?6=8r.:1/4:<5cg38 46?m3>>nn5G87d8j446;3;m5?5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=9h?7?57;294~"68oh14k94$951>aca3-;;4h4;36d8L=0a3g;9=>4>f818m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:68g82>2<729q/==hm:9d4?!>0:3hom6*>09g900dd3A2=j6`>20195c?33`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7=1b=93=1<7>t$02ef?>a?2.3;?4md`9'55>b2=?io6F76g9m577428l296g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<0=m6<48:183!77nk03j:5+8609fag<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn97n0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7lka:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd6k9h1=7850;2x 46aj32m;6*7738``3=#992n672900e56>:188m=>52900e56<:188k`5>2900qo=i2682>0<729q/==hm:9d4?!>0:3nhi6*>09g97gb?3A2=j6`>20195c?f3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`0b62=93?1<7>t$02ef?>a?2.3;?4m9d9'55>b2:ho46*iab827db<@15<5<=`03-2<>7j9a:&24=c=;kn37E69f:l2645=9o3h7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl;2`495?0=83:p(<>ib;:e3>"??;0oh:5+11:f>14f<2B3:k5a1330>4`>l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi85:l:086>5<7s-;;jo47f69'<24=l=:0(<>7e;66ff=O0?l0b<<>3;3e=`=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb4100g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg5a;00:694?:1y'55`e21l<7)682;f:e>"681o1?oj7;%dbg?74=:1C4;h4n0027?7ai91b4:h50;9j<=6=831b45?50;9la6?=831vn96=b;391?6=8r.:=j:084>5<7s-;;jo47f69'<24=l<=0(<>7e;7062=O0?l0b<<>3;3ee7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb0a24?7==3:10g`9N?>o1e=??<:0db7>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm1da7>4<3290;w)??fc8;b2=#0>81h4o4$02;a?7b<;1/jlm5124g?M>1n2d:><=51gc7?l>0n3:17d670;29?l>?93:17bk<9;29?xd49j?1=7850;2x 46aj32m;6*7738`a6=#992n6?k98:&eef<6:??0D58i;o3156<6nh?0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo3<729q/==hm:9d4?!>0:3in?6*>09g96def3-ljo7?=659K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f7g0n3;1:7>50z&24cd=0o=0(59=:bg0?!770l09;?m4$gc`>441;2B3:k5a1330>4`f?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi8o:k:084>5<7s-;;jo47f69'<24=l?o0(<>7e;66ff=O0?l0b<<>3;3ee==n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5341?7=<3:10g`9N?>o1e=??<:0db=>o??o0;66g78183>>o?080;66aj3883>>{e<8=?6<48:183!77nk03j:5+8609`ab<,8:3i7=if99K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn96<2;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m:b:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30:>1=7950;2x 46aj32m;6*7738`1g=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=k7e;66ff=O0?l0b<<>3;3ee`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5:01?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?=<0:6:4?:1y'55`e21l<7)682;a6f>"681o188ll;I:5b>h6:891=kl?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?48:51;594?6|,8:mn76i7:&;37N?>o1e=??<:0da5>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm490f>4<0290;w)??fc8;b2=#0>81o8l4$02;a?22jj1C4;h4n0027?7aj;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1g?i3;1:7>50z&24cd=0o=0(59=:e7`?!770l0?9om4H94e?k759:0:jo=4i95e>5<5<5<=`03-2<>7j:c:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;ab:95?0=83:p(<>ib;:e3>"??;0o9n5+11:f>13ek2B3:k5a1330>4`e=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi8lj;:085>5<7s-;;jo47f69'<24=l7e;66ff=O0?l0b<<>3;3ef3=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=kn<7?56;294~"68oh14k94$951>a3d3-;;4h4;5ca8L=0a3g;9=>4>fc58m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2fmj0:6;4?:1y'55`e21l<7)682;f6g>"681o188ll;I:5b>h6:891=kl7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7ec>=93<1<7>t$02ef?>a?2.3;?4k5b9'55>b2=?io6F76g9m577428li56g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm4c27>4<1290;w)??fc8;b2=#0>81h8m4$02;a?22jj1C4;h4n0027?7ajh1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9l>0;392?6=8r.:5<5<5<:683>5}#99li65h8;%:46?e312.:<5k53cf;?M>1n2d:><=51g``?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;89295?3=83:p(<>ib;:e3>"??;0h:>5+11:f>13ek2B3:k5a1330>4`el2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a040?280<6=4?{%33bg1/4:<5dg`8 46?m39mj55G87d8j446;3;mnh5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:l?:7?57;294~"68oh14k94$951>a7e3-;;4h44>fcd8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:=e182>2<729q/==hm:9d4?!>0:3nmn6*>09g907g33A2=j6`>20195ce73`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7<<7=93?1<7>t$02ef?>a?2.3;?4l629'55>b2=?io6F76g9m577428lh=6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e<87E69f:l2645=9oi97d68f;29?l>?83:17d671;29?jc413:17pl;17195?1=83:p(<>ib;:e3>"??;0oi>5+11:f>6`a02B3:k5a1330>4`d;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a041e280?6=4?{%33bg1/4:<5d4`8 46?m39mj55+f`a956>23A2=j6`>20195ce33`25;h:;4?6=3`23=7>5;ng0=?6=3th?=:o51;594?6|,8:mn76i7:&;37N?>o1e=??<:0d`1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4c3g>4<1290;w)??fc8;b2=#0>81h5o4$02;a?22jj1C4;h4n0027?7ak?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn?j5<5<5<0;6=u+11da>=`03-2<>7jj6:&24=c=:>8h7E69f:l2645=9oi37d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd2;=91=7;50;2x 46aj32m;6*7738g67=#992n68==7:&eef<6:?30D58i;o3156<6nj30e59i:188m=>72900e56>:188m=>52900ch=6:188yg24"681o18?o;;%dbg?75>j1C4;h4n0027?7akh1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f6`4n3;1;7>50z&24cd=0o=0(59=:c;5?!770l08ni64H94e?k759:0:jnl4i95e>5<5<5<5<3>>4>:583>5}#99li65h8;%:46?c702.:<5k544``?!`fk3;9i<5G87d8j446;3;mon5f86d94?=n01:1<75f89394?=hm:31<75rb5`2f?7==3:10g`9N?>o1e=??<:0d``>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm43g`>4<0290;w)??fc8;b2=#0>81hn<4$02;a?25i=1C4;h4n0027?7akl1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>0j3;197>50z&24cd=0o=0(59=:bf1?!770l0?9om4$gc`>44e>2B3:k5a1330>4`dn2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a653?280<6=4?{%33bg1/4:<5dg18 46?m38;8h5G87d8j446;3;mh=5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;;h;7?57;294~"68oh14k94$951>a`43-;;4h4=1cf8L=0a3g;9=>4>fe38m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:67b82>3<729q/==hm:9d4?!>0:3i>86*>09g900dd3-ljo7?<469K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1d1>3;187>50z&24cd=0o=0(59=:e43?!770l0?9om4H94e?k759:0:ji=4i95e>5<5<0;6=u+11da>=`03-2<>7lje:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30k21=7950;2x 46aj32m;6*7738`62=#992n69;mc:J;2c=i9;;865<7s-;;jo47f69'<24=l1=0(<>7e;66ff=O0?l0b<<>3;3e`3=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5c;b?7=>3:10g`9N?>o1e=??<:0dg3>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<1hi6<49:183!77nk03j:5+8609gag<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f6efl3;1;7>50z&24cd=0o=0(59=:c:3?!770l08ni64H94e?k759:0:ji74i95e>5<5<5<5<:683>5}#99li65h8;%:46?d?82.:<5k53cf;?M>1n2d:><=51gfb?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17plib;:e3>"??;0i4=5+11:f>6dc02B3:k5a1330>4`cj2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7fd6280<6=4?{%33bg1/4:<5cd48 46?m39ih55G87d8j446;3;mhn5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj87?57;294~"68oh14k94$951>`763-;;4h4>56d8L=0a3g;9=>4>fef8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:65282>2<729q/==hm:9d4?!>0:3h386*>09g900dd3A2=j6`>20195cbb3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7=c6=93=1<7>t$02ef?>a?2.3;?4k9c9'55>b2=?io6F76g9m577428loj6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<0lo6<48:183!77nk03j:5+8609`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9o?a;393?6=8r.:5<5<5<=`03-2<>7jk3:&24=c=:9h;7)hnc;31bg=O0?l0b<<>3;3ea7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj8l?47?56;294~"68oh14k94$951>ab43-;;4h4>f2d8 cgd288mm6F76g9m577428ln?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm4270>4<0290;w)??fc8;b2=#0>81h894$02;a?24=81C4;h4n0027?7am=1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f74593;1;7>50z&24cd=0o=0(59=:e74?!770l09>5<5<5<5<:683>5}#99li65h8;%:46?b7>2.:<5k52d4;?M>1n2d:><=51gg5?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=bcc95?1=83:p(<>ib;:e3>"??;0o<;5+11:f>7gdi2B3:k5a1330>4`b?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6=7d280<6=4?{%33bg1/4:<5d148 46?m38<>n5G87d8j446;3;mi55f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=3287?56;294~"68oh14k94$951>a763-;;4h4;5ca8L=0a3g;9=>4>fd;8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2>1:0:6:4?:1y'55`e21l<7)682;a4<>"681o188ll;I:5b>h6:891=kkn;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?58951;494?6|,8:mn76i7:&;37N?>o1e=??<:0dff>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<0?=6<48:183!77nk03j:5+8609g2><,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9775;392?6=8r.:5<5<5<2494>:683>5}#99li65h8;%:46?e002.:<5k544``?M>1n2d:><=51ggf?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;9`495?0=83:p(<>ib;:e3>"??;0o=<5+11:f>13ek2B3:k5a1330>4`bn2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi84o::084>5<7s-;;jo47f69'<24=k>20(<>7e;66ff=O0?l0b<<>3;3eb5=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5;a2?7=>3:10g`9;%33<`<3=ki0D58i;o3156<6no;0e59i:188m=>72900e56>:188m=>52900e56<:188k`5>2900qo:6b482>2<729q/==hm:9d4?!>0:3i<46*>09g900dd3A2=j6`>20195c`53`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`73f`=93?1<7>t$02ef?>a?2.3;?4kd09'55>b2=?io6F76g9m577428lm?6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e;o:o6<48:183!77nk03j:5+8609gf7<,8:3i7=md99K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9678;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7jkc:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd31o91=7950;2x 46aj32m;6*7738g`f=#992n69;mc:J;2c=i9;;86?:084>5<7s-;;jo47f69'<24=lmi0(<>7e;66ff=O0?l0b<<>3;3eb==n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5c3`?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?n00:684?:1y'55`e21l<7)682;a57>"681o188ll;I:5b>h6:891=khn;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th?4km51;794?6|,8:mn76i7:&;37:1/==6j:57ag>N?>o1e=??<:0def>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm49de>4<2290;w)??fc8;b2=#0>81o;=4$02;a?22jj1C4;h4n0027?7anj1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f1?783;197>50z&24cd=0o=0(59=:b40?!770l0?9om4$gc`>45d>2B3:k5a1330>4`al2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a0=`c280>6=4?{%33bg1/4:<5c718 46?m3>>nn5G87d8j446;3;mjh5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=2mm7?55;294~"68oh14k94$951>f043-;;4h4;5ca8L=0a3g;9=>4>fgd8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:7f982>0<729q/==hm:9d4?!>0:3i=?6*>09g900dd3A2=j6`>201965673`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`7t$02ef?>a?2.3;?4l629'55>b2=?io6F76g9m57742;:;=6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e<0:o6<4::183!77nk03j:5+8609g35<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9la6?=831vn97?e;391?6=8r.:5<5<=`03-2<>7m93:&24=c=<?83:17d671;29?l>?:3:17bk<9;29?xd319i1=7;50;2x 46aj32m;6*7738`26=#992n69;mc:J;2c=i9;;86?>?5:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi84??:086>5<7s-;;jo47f69'<24=k?90(<>7e;66ff=O0?l0b<<>3;0343=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb5;3f?7==3:10g`972900e56>:188m=>52900ch=6:188yg2>8h0:684?:1y'55`e21l<7)682;a57>"681o188ll;I:5b>h6:891>=>7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th?4kl51;794?6|,8:mn76i7:&;37:1/==6j:57ag>N?>o1e=??<:323=>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm43f7>4<0290;w)??fc8;b2=#0>81h8=4$02;a?25i=1C4;h4n0027?478h1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1>a:3;197>50z&24cd=0o=0(59=:b40?!770l0?9om4H94e?k759:09<=l4i95e>5<5<5<3j>4>:483>5}#99li65h8;%:46?e1;2.:<5k544``?M>1n2d:><=5212`?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;8g695?3=83:p(<>ib;:e3>"??;0h:>5+11:f>13ek2B3:k5a1330>767l2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a0=ca280>6=4?{%33bg1/4:<5c718 46?m3>>nn5G87d8j446;38;f043-;;4h4;5ca8L=0a3g;9=>4=01d8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:61382>0<729q/==hm:9d4?!>0:3i=?6*>09g900dd3A2=j6`>201965773`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`7=47=93?1<7>t$02ef?>a?2.3;?4l629'55>b2=?io6F76g9m57742;::=6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e<1oh6<4::183!77nk03j:5+8609g35<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9la6?=831vn>mn8;393?6=8r.:<@15<5<5<=`03-2<>7j:c:&24=c==;k=7E69f:l2645=:9;?7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl;16095?1=83:p(<>ib;:e3>"??;0o4n5+11:f>6`a02B3:k5a1330>766=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a040f280<6=4?{%33bg1/4:<5d968 46?m39mj55G87d8j446;38;=;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=8n47?57;294~"68oh14k94$951>a>d3-;;4h4;2`68L=0a3g;9=>4=0058m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?j9b82>2<729q/==hm:9d4?!>0:3n>;6*>09g95`253A2=j6`>2019657?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`20d`=93<1<7>t$02ef?>a?2.3;?4k839'55>b28>=46*iab82702<@15<5<5<:683>5}#99li65h8;%:46?b1=2.:<5k51d61?M>1n2d:><=5213b?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;98495?0=83:p(<>ib;:e3>"??;0o:95+11:f>13ek2.mmn4>35f8L=0a3g;9=>4=00`8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg2>0>0:6;4?:1y'55`e21l<7)682;f50>"681o188ll;%dbg?75n11C4;h4n0027?479j1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn97n8;392?6=8r.:5<5<5<2n54>:783>5}#99li65h8;%:46?b1<2.:<5k544``?M>1n2d:><=5213f?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd58hl1=7850;2x 46aj32m;6*7738aa==#992n6?>;e:J;2c=i9;;86?>>f:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a677b280=6=4?{%33bg1/4:<5bd:8 46?m38:ni5G87d8j446;38;>=5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb2d02?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?jh0:6;4?:1y'55`e21l<7)682;a3b>"681o188ll;I:5b>h6:891>=<=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7<t$02ef?>a?2.3;?4l029'55>b2=?io6*iab8270><@15<5<5<:683>5}#99li65h8;%:46?b5<2.:<5k53cf;?M>1n2d:><=52107?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl<26395?1=83:p(<>ib;:e3>"??;0hoi5+11:f>645<2B3:k5a1330>765=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7717280<6=4?{%33bg1/4:<5cbf8 46?m399>95G87d8j446;38;>;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:8=j7?57;294~"68oh14k94$951>fec3-;;4h4<2368L=0a3g;9=>4=0358m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo==6d82>2<729q/==hm:9d4?!>0:3ihh6*>09g977433A2=j6`>2019654?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`063b=93=1<7>t$02ef?>a?2.3;?4lce9'55>b2:8986F76g9m57742;:956g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;;50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>m6c;393?6=8r.:<@15<5<5<0;6=u+11da>=`03-2<>7mld:&24=c=;;8?7E69f:l2645=:98h7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd4:?31=7950;2x 46aj32m;6*7738`ga=#992n6><=4:J;2c=i9;;86?>=d:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi??9::084>5<7s-;;jo47f69'<24=kjn0(<>7e;1161=O0?l0b<<>3;036`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb2040?7=?3:10g`90D58i;o3156<58;l0e59i:188m=>72900e56>:188m=>52900e56<:188m=>32900ch=6:188yg55?:0:6:4?:1y'55`e21l<7)682;a``>"681o1??<;;I:5b>h6:891>==?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8>:<51;594?6|,8:mn76i7:&;37N?>o1e=??<:3205>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm334b>4<0290;w)??fc8;b2=#0>81onj4$02;a?55:=1C4;h4n0027?47;;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f743n3;197>50z&24cd=0o=0(59=:ed2?!770l09>9;4$gc`>45e02B3:k5a1330>764;2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a51ge280>6=4?{%33bg1/4:<5e178 46?m3;?:55+f`a9570e3A2=j6`>201965533`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`20db=93?1<7>t$02ef?>a?2.3;?4kf09'55>b28>=46*iab8263d<@15<5<=`03-2<>7k?6:&24=c=:<:27)hnc;301d=O0?l0b<<>3;0373=n0>l1<75f89294?=n01;1<75`e2;94?=zj;:ih7?57;294~"68oh14k94$951>a023-;;4h4=0c28L=0a3g;9=>4=0258m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?i4d82>2<729q/==hm:9d4?!>0:3n=96*>09g95c5a3A2=j6`>2019655?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`04`2=93>1<7>t$02ef?>a?2.3;?4k739'55>b2;o=46*iab82732<@15<5<:583>5}#99li65h8;%:46?b0:2.:<5k52d4;?!`fk3;8:95G87d8j446;38;?l5f86d94?=n01:1<75f89394?=hm:31<75rb3f75?7=<3:10g`9N?>o1e=??<:320f>o??o0;66g78183>>o?080;66aj3883>>{e:0k86<4;:183!77nk03j:5+8609`24<,8:3i7<82b9'bde=9:<97E69f:l2645=:99h7d68f;29?l>?83:17d671;29?jc413:17pl=d5495?2=83:p(<>ib;:e3>"??;0o;?5+11:f>7gdi2.mmn4>3718L=0a3g;9=>4=02f8m=1a2900e56?:188m=>62900ch=6:188yg4>i10:694?:1y'55`e21l<7)682;f46>"681o1>:;1C4;h4n0027?47;l1b4:h50;9j<=6=831b45?50;9la6?=831vn9on7;391?6=8r.:;7)??8d871ge<,okh6<=?3:J;2c=i9;;86?>5<7s-;;jo47f69'<24=k:80(<>7e;66ff=O0?l0b<<>3;0305=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5ca0?7==3:10g`9N?>o1e=??<:3275>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm4``0>4<0290;w)??fc8;b2=#0>81o><4$02;a?22jj1C4;h4n0027?47<;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1gd83;197>50z&24cd=0o=0(59=:e63?!770l0?9om4$gc`>45792B3:k5a1330>763;2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a0d3d280>6=4?{%33bg1/4:<5d528 46?m3>>nn5+f`a956673A2=j6`>201965233`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`7e0d=93=1<7>t$02ef?>a?2.3;?4l339'55>b2=?io6F76g9m57742;:?96g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd3i?21=7950;2x 46aj32m;6*7738`77=#992n69;mc:J;2c=i9;;86?>;7:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi8l<=:086>5<7s-;;jo47f69'<24=l=:0(<>7e;66ff=#nhi1=?hj;I:5b>h6:891>=:7;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th?m??51;594?6|,8:mn76i7:&;37N?>o1e=??<:327=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4`0e>4<2290;w)??fc8;b2=#0>81h9>4$02;a?22jj1/jlm513dg?M>1n2d:><=5216b?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;a3g95?1=83:p(<>ib;:e3>"??;0h??5+11:f>13ek2B3:k5a1330>763j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0d5c280>6=4?{%33bg1/4:<5d528 46?m3>>nn5+f`a957`d3A2=j6`>2019652d3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`7e6e=93=1<7>t$02ef?>a?2.3;?4l339'55>b2=?io6F76g9m57742;:?h6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e;8lo6<4::183!77nk03j:5+8609f=`<,8:3i7n7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd49oi1=7850;2x 46aj32m;6*7738`=`=#992n6?k98:J;2c=i9;;86?>;f:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a74`e280>6=4?{%33bg1/4:<5b9d8 46?m38n:55+f`a956453A2=j6`>201965373`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`05cg=93<1<7>t$02ef?>a?2.3;?4l9d9'55>b2;o=46F76g9m57742;:>=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm332e>4<2290;w)??fc8;b2=#0>81n5h4$02;a?4b>11/jlm51210?M>1n2d:><=52171?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl<21g95?0=83:p(<>ib;:e3>"??;0h5h5+11:f>7c102B3:k5a1330>762;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi??>k:086>5<7s-;;jo47f69'<24=j1l0(<>7e;0f2==#nhi1=>==;I:5b>h6:891>=;;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th8>=m51;494?6|,8:mn76i7:&;37N?>o1e=??<:3261>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;;:i6<4::183!77nk03j:5+8609f=`<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd4:9k1=7850;2x 46aj32m;6*7738`=`=#992n6?k98:J;2c=i9;;86?>:7:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a776>280>6=4?{%33bg1/4:<5b9d8 46?m38n:55+f`a956563A2=j6`>2019653?3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`065>=93<1<7>t$02ef?>a?2.3;?4l9d9'55>b2;o=46F76g9m57742;:>56g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm3324>4<2290;w)??fc8;b2=#0>81n5h4$02;a?4b>11/jlm51212?M>1n2d:><=5217b?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl<21495?0=83:p(<>ib;:e3>"??;0h5h5+11:f>7c102B3:k5a1330>762j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi??>::086>5<7s-;;jo47f69'<24=j1l0(<>7e;0f2==#nhi1=>h6:891>=;l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th8>=:51;494?6|,8:mn76i7:&;37N?>o1e=??<:326`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;;:86<4::183!77nk03j:5+8609f=`<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd4:981=7850;2x 46aj32m;6*7738`=`=#992n6?k98:J;2c=i9;;86?>:f:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a7766280>6=4?{%33bg1/4:<5b9d8 46?m38n:55+f`a9564b3A2=j6`>201965073`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`0656=93<1<7>t$02ef?>a?2.3;?4l9d9'55>b2;o=46F76g9m57742;:==6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm30de>4<2290;w)??fc8;b2=#0>81n5h4$02;a?4b>11/jlm51201?M>1n2d:><=52141?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl<1gg95?0=83:p(<>ib;:e3>"??;0h5h5+11:f>7c102B3:k5a1330>761;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi?5<7s-;;jo47f69'<24=j1l0(<>7e;0f2==#nhi1=>h6:891>=8;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th8=k651;494?6|,8:mn76i7:&;37N?>o1e=??<:3251>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:l>26<4::183!77nk03j:5+8609f=`<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd5m=21=7850;2x 46aj32m;6*7738`=`=#992n6?ola:J;2c=i9;;86?>97:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a6`20280>6=4?{%33bg1/4:<5b9d8 46?m38jol5+f`a956463A2=j6`>2019650?3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1a10=93<1<7>t$02ef?>a?2.3;?4l9d9'55>b2;khm6F76g9m57742;:=56g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2d7a>4<2290;w)??fc8;b2=#0>81n5h4$02;a?4fkh1/jlm5120g?M>1n2d:><=5214b?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=e4c95?0=83:p(<>ib;:e3>"??;0h5h5+11:f>7gdi2B3:k5a1330>761j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>h;6:086>5<7s-;;jo47f69'<24=j1l0(<>7e;0bgd=#nhi1=>h6:891>=8l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9i8651;494?6|,8:mn76i7:&;37N?>o1e=??<:325`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:l?<6<4::183!77nk03j:5+8609f=`<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd5m<<1=7850;2x 46aj32m;6*7738`=`=#992n6?ola:J;2c=i9;;86?>9f:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a6`32280>6=4?{%33bg1/4:<5b9d8 46?m38jol5+f`a9564e3A2=j6`>201965173`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1a02=93<1<7>t$02ef?>a?2.3;?4l9d9'55>b2;khm6F76g9m57742;:<=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2d70>4<2290;w)??fc8;b2=#0>81n5h4$02;a?4fkh1/jlm5120a?M>1n2d:><=52151?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=e4095?0=83:p(<>ib;:e3>"??;0h5h5+11:f>7gdi2B3:k5a1330>760;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>h;>:086>5<7s-;;jo47f69'<24=j1l0(<>7e;0bgd=#nhi1=>h6:891>=9;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9i8>51;494?6|,8:mn76i7:&;37N?>o1e=??<:3241>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:l>m6<4::183!77nk03j:5+8609f=`<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd5m=o1=7850;2x 46aj32m;6*7738`=`=#992n6?ola:J;2c=i9;;86?>87:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a6`2c280>6=4?{%33bg1/4:<5b9d8 46?m38jol5+f`a9564>3A2=j6`>2019651?3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1a1e=93<1<7>t$02ef?>a?2.3;?4l9d9'55>b2;khm6F76g9m57742;:<56g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2d6a>4<2290;w)??fc8;b2=#0>81n5h4$02;a?4fkh1/jlm51202?M>1n2d:><=5215b?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=e5c95?0=83:p(<>ib;:e3>"??;0h5h5+11:f>7gdi2B3:k5a1330>760j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>h:::086>5<7s-;;jo47f69'<24=j1l0(<>7e;0bgd=#nhi1=><6;I:5b>h6:891>=9l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9i9:51;494?6|,8:mn76i7:&;37N?>o1e=??<:324`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:hki6<4::183!77nk03j:5+8609f=`<,8:3i7<82b9'bde=9:837E69f:l2645=:9=n7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd5ihk1=7850;2x 46aj32m;6*7738`=`=#992n6?9=c:J;2c=i9;;86?>8f:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a6dg>280>6=4?{%33bg1/4:<5b9d8 46?m38<>n5+f`a956473A2=j6`>201965>73`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1ed>=93<1<7>t$02ef?>a?2.3;?4l9d9'55>b2;=9o6F76g9m57742;:3=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2``g>4<2290;w)??fc8;b2=#0>81n5h4$02;a?40:j1/jlm5120;?M>1n2d:><=521:1?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=aca95?0=83:p(<>ib;:e3>"??;0h5h5+11:f>715k2B3:k5a1330>76?;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>llm:086>5<7s-;;jo47f69'<24=j1l0(<>7e;046f=#nhi1=><8;I:5b>h6:891>=6;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9moo51;494?6|,8:mn76i7:&;37N?>o1e=??<:32;1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:hh26<4::183!77nk03j:5+8609f=`<,8:3i7<82b9'bde=9:8<7E69f:l2645=:92=7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd5ik21=7850;2x 46aj32m;6*7738`=`=#992n6?9=c:J;2c=i9;;86?>77:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a6dd0280>6=4?{%33bg1/4:<5b9d8 46?m38<>n5+f`a956413A2=j6`>201965>?3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1eg0=93<1<7>t$02ef?>a?2.3;?4l9d9'55>b2;=9o6F76g9m57742;:356g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2``6>4<2290;w)??fc8;b2=#0>81n5h4$02;a?40:j1/jlm51205?M>1n2d:><=521:b?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=ac695?0=83:p(<>ib;:e3>"??;0h5h5+11:f>715k2B3:k5a1330>76?j2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>ll<:086>5<7s-;;jo47f69'<24=j1l0(<>7e;046f=#nhi1=><:;I:5b>h6:891>=6l;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9mo<51;494?6|,8:mn76i7:&;37N?>o1e=??<:32;`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e:hh:6<4::183!77nk03j:5+8609f=`<,8:3i7<82b9'bde=9:8>7E69f:l2645=:92n7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd5ik:1=7850;2x 46aj32m;6*7738`=`=#992n6?9=c:J;2c=i9;;86?>7f:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a6dga280>6=4?{%33bg1/4:<5b9d8 46?m38<>n5+f`a956433A2=j6`>201965?73`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1edc=93<1<7>t$02ef?>a?2.3;?4l9d9'55>b2;=9o6F76g9m57742;:2=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2`cg>4<2290;w)??fc8;b2=#0>81n5h4$02;a?40:j1/jlm51203?M>1n2d:><=521;1?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=a`a95?0=83:p(<>ib;:e3>"??;0h5h5+11:f>715k2B3:k5a1330>76>;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>lo8:086>5<7s-;;jo47f69'<24=j1l0(<>7e;046f=#nhi1=><;;I:5b>h6:891>=7;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9ml851;494?6|,8:mn76i7:&;37N?>o1e=??<:32:1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<0h?6<49:183!77nk03j:5+8609`<2<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831di>750;9~f1?e;3;1;7>50z&24cd=0o=0(59=:b21?!770l0?9om4H94e?k759:09<494i95e>5<5<5<5<2m94>:783>5}#99li65h8;%:46?b><2.:<5k544``?M>1n2d:><=521;;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd31h91=7950;2x 46aj32m;6*7738`47=#992n69;mc:J;2c=i9;;86?>69:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi846<:085>5<7s-;;jo47f69'<24=l0>0(<>7e;66ff=#nhi1=?96;I:5b>h6:891>=7n;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`7==4=93=1<7>t$02ef?>a?2.3;?4l039'55>b2=?io6F76g9m57742;:2n6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9la6?=831vn9om5;391?6=8r.:97)??8d871ge<@15<5<=`03-2<>7mk5:&24=c=<?83:17d671;29?l>?:3:17bk<9;29?xd3i?h1=7;50;2x 46aj32m;6*7738``0=#992n69;mc:J;2c=i9;;86?>6f:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi8l8n:086>5<7s-;;jo47f69'<24=l=80(<>7e;66ff=O0?l0b<<>3;03e5=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb5c6a?7==3:10g`972900e56>:188m=>52900ch=6:188yg2f=m0:684?:1y'55`e21l<7)682;f76>"681o188ll;I:5b>h6:891>=o=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th?m>=51;794?6|,8:mn76i7:&;37N?>o1e=??<:32b7>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm4`11>4<2290;w)??fc8;b2=#0>81h9<4$02;a?22jj1C4;h4n0027?47i=1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f1g5>3;197>50z&24cd=0o=0(59=:bf6?!770l0?9om4$gc`>42682B3:k5a1330>76f=2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a0d42280>6=4?{%33bg1/4:<5d508 46?m3>>nn5G87d8j446;38;m;5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=k:;7?55;294~"68oh14k94$951>fb23-;;4h4;5ca8L=0a3g;9=>4=0`58m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:=dd82>2<729q/==hm:9d4?!>0:3h256*>09g907g33A2=j6`>201965g?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`76ab=93=1<7>t$02ef?>a?2.3;?4mb89'55>b2=8j86F76g9m57742;:j56g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=;l36<4;:183!77nk029;5+11:f>04f>2B3:k5a1330>76fi2c3;k4?::k;<5<722c:<;:50;9lf3?=831vn85<5<5<<313-;;4h4>c368L=0a3g;9=>4=0`a8m=1a2900e56?:188m461<3:17bl99;29?xd4j991=7950;2x 46aj32m;6*7738`77=#992n6nd:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?o>;:085>5<7s-;;jo47f69'<24=k890(<>7e;3`61=O0?l0b<<>3;03e`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj:km87?54;294~"68oh15884$02;a?7d:=1C4;h4n0027?47io1b4:h50;9j<=6=831b==8;:188kg0>2900qo=nf482>2<729q/==hm:9d4?!>0:3i8>6*>09g95f433A2=j6`>201965d73`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0ec0=93<1<7>t$02ef?>a?2.3;?4l129'55>b28i986F76g9m57742;:i=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm49;;>4<3290;w)??fc8:13=#992n69;mc:J;2c=i9;;86?>m2:k;3c<722c34=4?::k2432=831dn;750;9~f1>>13;1;7>50z&24cd=0o=0(59=:ed4?!770l0?9om4H94e?k759:095<5<5<5<35l4>:683>5}#99li65h8;%:46?e612.:<5k544``?M>1n2d:><=521`7?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl:2`595?2=83:p(<>ib;;62>"681o19?o9;I:5b>h6:891>=l:;h:4b?6=3`23<7>5;h3321<722ei:44?::a17g?280<6=4?{%33bg1/4:<5c218 46?m3?9m;5G87d8j446;38;n;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj<8j57?56;294~"68oh14k94$951>f743-;;4h4:2`48L=0a3g;9=>4=0c58m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg43:;0:694?:1y'55`e20?=7)??8d8104?<@15<5;n`5=?6=3th98?=51;594?6|,8:mn76i7:&;37N?>o1e=??<:32a=>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2507>4<0290;w)??fc8;b2=#0>81oi?4$02;a?43901C4;h4n0027?47jh1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f6d603;187>50z&24cd=1<<0(<>7e;3`61=O0?l0b<<>3;03fg=n0>l1<75f89294?=n995<:783>5}#99li65h8;%:46?e6;2.:<5k51b07?M>1n2d:><=521``?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd4j8k1=7950;2x 46aj32m;6*7738g7`=#992n6md:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi9?mm:087>5<7s-;;jo46579'55>b2<8j:6F76g9m57742;:ii6g77g83>>o?090;66g>07694?=hj?31<75rb40f1?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg35m?0:6;4?:1y'55`e21l<7)682;f2<>"681o19?o9;I:5b>h6:891>=m?;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`0`5>=93>1<7>t$02ef??2>2.:<5k53cf;?M>1n2d:><=521a2?l>0n3:17d670;29?l77>=0;66am6883>>{e;m:26<48:183!77nk03j:5+8609`5d<,8:3i7=md99K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>j?a;392?6=8r.:<@15<5<5<:583>5}#99li64;9;%33<`<6=>l0D58i;o3156<58j>0e59i:188m=>72900e<>94;29?jd113:17pl>bea95?1=83:p(<>ib;:e3>"??;0h??5+11:f>430n2B3:k5a1330>76d=2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5gbc280<6=4?{%33bg1/4:<5c208 46?m3;>;k5G87d8j446;38;o;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj:lhm7?54;294~"68oh15884$02;a?5ajl1C4;h4n0027?47k>1b4:h50;9j<=6=831b==8;:188kg0>2900qo=icb82>2<729q/==hm:9d4?!>0:3i8>6*>09g97cdb3A2=j6`>201965e?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`0bfb=93<1<7>t$02ef?>a?2.3;?4l129'55>b2:lii6F76g9m57742;:h56g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm4c74>4<3290;w)??fc8:13=#992n69;mc:J;2c=i9;;86?>la:k;3c<722c34=4?::k2432=831dn;750;9~f1d203;1;7>50z&24cd=0o=0(59=:e2e?!770l0?9om4H94e?k759:095<5<5<5<i944>:683>5}#99li65h8;%:46?bd>2.:<5k544``?M>1n2d:><=521a`?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;3dg95?2=83:p(<>ib;;62>"681o18>9i;I:5b>h6:891>=mk;h:4b?6=3`23<7>5;h3321<722ei:44?::a06ca280<6=4?{%33bg1/4:<5d258 46?m3>8;k5G87d8j446;38;oh5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=9m<7?57;294~"68oh14k94$951>a423-;;4h4;36d8L=0a3g;9=>4=0bd8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:1<729q/==hm:875?!770l0??:h4H94e?k759:094i95e>5<5;|`04`1=93>1<7>t$02ef??2>2.:<5k52d4;?M>1n2d:><=521f2?l>0n3:17d670;29?l77>=0;66am6883>>{e;9o36<48:183!77nk03j:5+8609``7<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>>j9;393?6=8r.:<@15<5<5<<313-;;4h4=e7:8L=0a3g;9=>4=0e68m=1a2900e56?:188m461<3:17bl99;29?xd48l81=7950;2x 46aj32m;6*7738g4<=#992n6?k98:J;2c=i9;;86?>k5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi?=k<:085>5<7s-;;jo47f69'<24=ko>0(<>7e;0f2==O0?l0b<<>3;03`3=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=22?7?54;294~"68oh15884$02;a?22jj1C4;h4n0027?47l>1b4:h50;9j<=6=831b==8;:188kg0>2900qo:79582>2<729q/==hm:9d4?!>0:3i246*>09g900dd3A2=j6`>201965b?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7<<3=93=1<7>t$02ef?>a?2.3;?4l579'55>b2=?io6F76g9m57742;:o56g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9j;?6<49:183!77nk03j:5+8609g36<,8:3i7?mf49'bde=9;?27E69f:l2645=:9nj7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl;3g795?1=83:p(<>ib;:e3>"??;0h945+11:f>150n2B3:k5a1330>76cj2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5ccd280<6=4?{%33bg1/4:<5db08 46?m3;m:<5G87d8j446;38;hn5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;;>n7?57;294~"68oh14k94$951>ae53-;;4h4=0e28L=0a3g;9=>4=0ef8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:>6082>2<729q/==hm:9d4?!>0:3n?m6*>09g97c`?3A2=j6`>201965bb3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`752>=93=1<7>t$02ef?>a?2.3;?4k4`9'55>b2:lm46F76g9m57742;:oj6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e9j;36<48:183!77nk03j:5+8609ga2<,8:3i7?l179K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9:n0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7jj9:&24=c=:;l>7E69f:l2645=:9o97d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30j>1=7850;2x 46aj32m;6*7738`e2=#992n69;mc:J;2c=i9;;86?>j3:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a0d4d280<6=4?{%33bg1/4:<5b6d8 46?m3>>nn5G87d8j446;38;i95f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=k:j7?57;294~"68oh14k94$951>g1a3-;;4h4;5ca8L=0a3g;9=>4=0d78m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:6cb82>2<729q/==hm:9d4?!>0:3i:i6*>09g900dd3A2=j6`>201965c13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7=07=93=1<7>t$02ef?>a?2.3;?4lb39'55>b2=?io6F76g9m57742;:n;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<0?;6<48:183!77nk03j:5+8609fa2<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn85<5<5<:683>5}#99li65h8;%:46?ed>2.:<5k553c5?M>1n2d:><=521gb?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;3ed95?1=83:p(<>ib;:e3>"??;0in55+11:f>150n2B3:k5a1330>76bj2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0727280<6=4?{%33bg1/4:<5e1a8 46?m39mj55G87d8j446;38;in5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=3=o7?57;294~"68oh14k94$951>g>e3-;;4h4;5ca8L=0a3g;9=>4=0df8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:6f782>2<729q/==hm:9d4?!>0:3h3n6*>09g900dd3A2=j6`>201965cb3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7e55=93=1<7>t$02ef?>a?2.3;?4m859'55>b2=?io6F76g9m57742;:nj6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn96jd;393?6=8r.:5<5<5<=`03-2<>7ji0:&24=c==;k=7)hnc;3113=O0?l0b<<>3;03b7=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb4136?7==3:10g`9:;%33<`<2:h<0(kol:0062>N?>o1e=??<:32e7>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm5217>4<3290;w)??fc8;b2=#0>81h:<4$02;a?34:>1/jlm513ff?M>1n2d:><=521d7?l>0n3:17d670;29?l>?93:17bk<9;29?xd2:;91=7850;2x 46aj32m;6*7738gad=#992n68??b:J;2c=i9;;86?>i5:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a7`c4280<6=4?{%33bg1/4:<5d428 46?m39ih55G87d8j446;38;j;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=29m7?55;294~"68oh14k94$951>f`d3-;;4h4;5ca8 cgd288=i6F76g9m57742;:m;6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e;8?m6<49:183!77nk03j:5+8609``><,8:3i750;9j<=7=831b45<50;9j<=5=831di>750;9~f7be?3;1:7>50z&24cd=0o=0(59=:eg;?!770l09mno4H94e?k759:095<5<5<=`03-2<>7jj8:&24=c=:>8h7E69f:l2645=:9lj7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl;4b695?0=83:p(<>ib;:e3>"??;0hok5+11:f>12f?2B3:k5a1330>76aj2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi89mm:085>5<7s-;;jo47f69'<24=kjl0(<>7e;67e2=O0?l0b<<>3;03bf=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=>ho7?56;294~"68oh14k94$951>fea3-;;4h4;4`58L=0a3g;9=>4=0gf8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg23jm0:6;4?:1y'55`e21l<7)682;a`b>"681o189o8;I:5b>h6:891>=hj;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`1770=93<1<7>t$02ef?>a?2.3;?4lcg9'55>b2;9:<6F76g9m57742;:mj6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2216>4<1290;w)??fc8;b2=#0>81onh4$02;a?44991C4;h4n0027?46891b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn?=<4;392?6=8r.:5<5<5<:4>:783>5}#99li65h8;%:46?edn2.:<5k52233?M>1n2d:><=52021?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd31/4:<5cbd8 46?m388==5G87d8j446;38:<95f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb56ab?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo<<2882>3<729q/==hm:9d4?!>0:3ihj6*>09g966773A2=j6`>201964613`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?8n>51;494?6|,8:mn76i7:&;37N?>o1e=??<:3333>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e::8j6<49:183!77nk03j:5+8609gf`<,8:3i7<<119K<3`099j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831di>750;9~f13>83;197>50z&24cd=0o=0(59=:e;6?!770l0?9584$gc`>44c02B3:k5a1330>77712c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a66`>280>6=4?{%33bg1/4:<5d878 46?m388ik5+f`a957bf3A2=j6`>2019646f3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`70f7=93<1<7>t$02ef?>a?2.3;?4lcg9'55>b2=>j;6F76g9m57742;;;n6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm220a>4<1290;w)??fc8;b2=#0>81onh4$02;a?44991C4;h4n0027?468j1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9:l2;392?6=8r.:5<5<5<n4>:783>5}#99li65h8;%:46?edn2.:<5k52233?M>1n2d:><=5202f?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd31/4:<5cbd8 46?m388==5G87d8j446;38:==5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb56`1?7=>3:10g`972900e56>:188m=>52900e56<:188k`5>2900qo<<2d82>3<729q/==hm:9d4?!>0:3ihj6*>09g966773A2=j6`>201964753`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?8n851;494?6|,8:mn76i7:&;37N?>o1e=??<:3327>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e::8m6<49:183!77nk03j:5+8609gf`<,8:3i7<<119K<3`159j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831di>750;9~f12d?3;1:7>50z&24cd=0o=0(59=:bae?!770l0?8l94H94e?k759:09=<;4i95e>5<5<5<=`03-2<>7mlf:&24=c=::;;7E69f:l2645=:8;=7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl;4b:95?0=83:p(<>ib;:e3>"??;0hok5+11:f>12f?2B3:k5a1330>776?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi>>=>:085>5<7s-;;jo47f69'<24=kjl0(<>7e;0055=O0?l0b<<>3;025==n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=>hm7?56;294~"68oh14k94$951>fea3-;;4h4;4`58L=0a3g;9=>4=10;8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg44;:0:6;4?:1y'55`e21l<7)682;a`b>"681o1>>??;I:5b>h6:891>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`70f?=93<1<7>t$02ef?>a?2.3;?4lcg9'55>b2=>j;6F76g9m57742;;:n6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm2211>4<1290;w)??fc8;b2=#0>81onh4$02;a?44991C4;h4n0027?469j1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9;7f;391?6=8r.:7)??8d871=0<,okh6<d:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi>>h7:086>5<7s-;;jo47f69'<24=l0?0(<>7e;00ac=#nhi1=?jn;I:5b>h6:891>5;h:;5?6=3`23>7>5;ng0=?6=3th?94<51;794?6|,8:mn76i7:&;37"aij0:>i94H94e?k759:09=5<5<5<:483>5}#99li65h8;%:46?b>=2.:<5k522ge?!`fk3;9h45G87d8j446;38:>=5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj=?2=7?55;294~"68oh14k94$951>a?23-;;4h4;5948 cgd288o;6F76g9m57742;;9=6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e::lj6<4::183!77nk03j:5+8609`<3<,8:3i7<?83:17d671;29?l>?:3:17bk<9;29?xd2:k:1=7950;2x 46aj32m;6*7738`e3=#992n685<7s-;;jo47f69'<24=l1?0(<>7e;6a33=#nhi1=?k=;I:5b>h6:891><<;;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`2`f7=93<1<7>t$02ef?>a?2.3;?4mb19'55>b28n:>6F76g9m57742;;996g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm1ea5>4<1290;w)??fc8;b2=#0>81no>4$02;a?7c9;1C4;h4n0027?46:?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn5<5<5<:783>5}#99li65h8;%:46?de82.:<5k51e31?M>1n2d:><=5200;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd6ljl1=7850;2x 46aj32m;6*7738af5=#992n62:J;2c=i9;;86??=9:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722en?44?::a1630280=6=4?{%33bg1/4:<5b`08 46?m3?8>:5+f`a957bb3A2=j6`>2019644f3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?9;>51;594?6|,8:mn76i7:&;37N?>o1e=??<:331f>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm22a:>4<0290;w)??fc8;b2=#0>81o>m4$02;a?44i<1C4;h4n0027?46:j1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f4bcj3;1:7>50z&24cd=0o=0(59=:e`5?!770l0:h<<4$gc`>45em2B3:k5a1330>775l2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi8l=7:084>5<7s-;;jo47f69'<24=j>l0(<>7e;66ff=O0?l0b<<>3;026`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb2357?7==3:10g`9N?>o1e=??<:331b>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm2e`a>4<2290;w)??fc8;b2=#0>81i<:4$02;a?4fkh1/jlm5126a?M>1n2d:><=52013?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=a0f95?3=83:p(<>ib;:e3>"??;0n=95+11:f>715k2.mmn4>35c8L=0a3g;9=>4=1238m=1a2900e56?:188m=>62900e56=:188k`5>2900qo3<729q/==hm:9d4?!>0:3n2>6*>09g9652b3A2=j6`>201964553`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th9=n;51;494?6|,8:mn76i7:&;37N?>o1e=??<:3307>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;9k36<49:183!77nk03j:5+8609`2c<,8:3i7?83:17d671;29?l>?:3:17d673;29?jc413:17pl=d1095?0=83:p(<>ib;:e3>"??;0o;h5+11:f>7gdi2.mmn4>2758L=0a3g;9=>4=1278m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg4>>=0:6;4?:1y'55`e21l<7)682;f4a>"681o1>:?1C4;h4n0027?46;?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn?h:a;393?6=8r.:<@15<5<5<0;6=u+11da>=`03-2<>7mi7:&24=c=:l<37E69f:l2645=:8937d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5nkkj:085>5<7s-;;jo47f69'<24=l>o0(<>7e;0f2==#nhi1=?8=;I:5b>h6:891><=n;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3fo857>5;|`1fge=93=1<7>t$02ef?>a?2.3;?4lf69'55>b2;khm6F76g9m57742;;8n6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:khn6<48:183!77nk03j:5+8609gc1<,8:3i73b9j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?ll0;393?6=8r.:5<5<5<=`03-2<>7j8e:&24=c=:hij7)hnc;3124=O0?l0b<<>3;027`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj;2:i7?57;294~"68oh14k94$951>f`03-;;4h4=73a8L=0a3g;9=>4=12d8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<72182>2<729q/==hm:9d4?!>0:3im;6*>09g9624d3A2=j6`>201964273`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1<74=93=1<7>t$02ef?>a?2.3;?4lf69'55>b2;=9o6F76g9m57742;;?=6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:1kj6<49:183!77nk03j:5+8609`2c<,8:3i7<82b9'bde=9;<;7E69f:l2645=:8>97d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl;d`:95?1=83:p(<>ib;:e3>"??;0im45+11:f>13ek2B3:k5a1330>773;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6c`d280>6=4?{%33bg1/4:<5dg38 46?m38n:55+f`a957ef3A2=j6`>201964233`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1bcd=93?1<7>t$02ef?>a?2.3;?4kf09'55>b2;o=46*iab826fg<@15<5<=`03-2<>7ji1:&24=c=:l<37)hnc;31g<=O0?l0b<<>3;0203=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3de0g`9;%33<`<5m?20(kol:00`=>N?>o1e=??<:3373>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm2gd4>4<2290;w)??fc8;b2=#0>81hk?4$02;a?4b>11C4;h4n0027?46<11b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7`a>3;197>50z&24cd=0o=0(59=:ed2?!770l09i;64$gc`>44d02B3:k5a1330>77312c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a6c`2280>6=4?{%33bg1/4:<5dg38 46?m38n:55+f`a957e?3A2=j6`>2019642f3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1bc2=93?1<7>t$02ef?>a?2.3;?4kf09'55>b2;o=46*iab826f1<@15<5<=`03-2<>7ji1:&24=c=:l<37)hnc;31g2=O0?l0b<<>3;020f=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3de6?7==3:10g`9;%33<`<5m?20(kol:00`2>N?>o1e=??<:337`>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm2gd2>4<2290;w)??fc8;b2=#0>81hk?4$02;a?4b>11/jlm513a5?M>1n2d:><=5206f?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=fg295?3=83:p(<>ib;:e3>"??;0oj<5+11:f>7c102.mmn4>2b78L=0a3g;9=>4=15d8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo0<729q/==hm:9d4?!>0:3nm=6*>09g96`0?3-ljo7?=c49K<3`519j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn?hjd;391?6=8r.:<,okh6<<92:J;2c=i9;;86??:1:k;3c<722c34=4?::k;<4<722c34?4?::mf7<<722wi?=><:086>5<7s-;;jo47f69'<24=lo;0(<>7e;0f2==#nhi1=?j9;I:5b>h6:891><;=;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th8<=<51;794?6|,8:mn76i7:&;37"aij0:>i84H94e?k759:09=8=4i95e>5<5<5<:483>5}#99li65h8;%:46?ba92.:<5k52d4;?!`fk3;9h85G87d8j446;38:995f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj::;<7?55;294~"68oh14k94$951>a`63-;;4h4=e7:8 cgd288o96F76g9m57742;;>96g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:olm6<4::183!77nk03j:5+8609`c7<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd5noo1=7;50;2x 46aj32m;6*7738gb4=#992n6?k98:&eef<6:m>0D58i;o3156<59<=0e59i:188m=>72900e56>:188m=>52900ch=6:188yg4anm0:684?:1y'55`e21l<7)682;fe5>"681o1>h87;%dbg?75l:1C4;h4n0027?46=11b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7`a13;197>50z&24cd=0o=0(59=:ed2?!770l09i;64$gc`>44c;2B3:k5a1330>77212c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a6f31280>6=4?{%33bg1/4:<5dg38 46?m38jol5+f`a957e33A2=j6`>2019643f3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1g03=93?1<7>t$02ef?>a?2.3;?4kf09'55>b2;khm6*iab826f2<@15<5<=`03-2<>7ji1:&24=c=:hij7)hnc;31g6=O0?l0b<<>3;021f=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3a66?7==3:10g`9;%33<`<5ijk0(kol:00`7>N?>o1e=??<:336`>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm2b72>4<2290;w)??fc8;b2=#0>81hk?4$02;a?4fkh1C4;h4n0027?46=l1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7e283;197>50z&24cd=0o=0(59=:ed2?!770l09mno4$gc`>44d:2B3:k5a1330>772n2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a6f2a280>6=4?{%33bg1/4:<5dg38 46?m38jol5+f`a957e53A2=j6`>201964073`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1g1c=93?1<7>t$02ef?>a?2.3;?4kf09'55>b2;khm6*iab826f7<@15<5<=`03-2<>7ji1:&24=c=:hij7)hnc;31g4=O0?l0b<<>3;0227=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3a7g?7==3:10g`9;%33<`<5ijk0(kol:00`4>N?>o1e=??<:3357>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm2b6a>4<2290;w)??fc8;b2=#0>81hk?4$02;a?4fkh1/jlm513a3?M>1n2d:><=52047?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=c5c95?3=83:p(<>ib;:e3>"??;0oj<5+11:f>7gdi2.mmn4>2cd8L=0a3g;9=>4=1778m=1a2900e56?:188m=>62900e56=:188k`5>2900qo0<729q/==hm:9d4?!>0:3nm=6*>09g96def3-ljo7?=bg9K<3`679j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn?m;7;391?6=8r.:n;k:086>5<7s-;;jo47f69'<24=lo;0(<>7e;0bgd=#nhi1=?j=;I:5b>h6:891><87;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th9o8m51;794?6|,8:mn76i7:&;37"aij0:>i<4H94e?k759:09=;74i95e>5<5<5<:483>5}#99li65h8;%:46?ba92.:<5k52`ab?!`fk3;9h<5G87d8j446;38::l5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;i>m7?55;294~"68oh14k94$951>a`63-;;4h4=abc8 cgd288o=6F76g9m57742;;=n6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:j?26<4::183!77nk03j:5+8609`c7<,8:3i7?83:17d671;29?l>?:3:17bk<9;29?xd5k<21=7;50;2x 46aj32m;6*7738gb4=#992n6?ola:&eef<6:m:0D58i;o3156<59?n0e59i:188m=>72900e56>:188m=>52900ch=6:188yg4d=>0:684?:1y'55`e21l<7)682;fe5>"681o1>lmn;%dbg?75ko1C4;h4n0027?46>l1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7e2;3;197>50z&24cd=0o=0(59=:ed2?!770l09mno4$gc`>44dn2B3:k5a1330>771n2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a6=d?280>6=4?{%33bg1/4:<5dg38 46?m38<>n5+f`a957db3A2=j6`>201964173`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1t$02ef?>a?2.3;?4kf09'55>b2;=9o6*iab826gc<@15<5<=`03-2<>7ji1:&24=c=:>8h7)hnc;31fa=O0?l0b<<>3;0237=n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3:a0?7==3:10g`9;%33<`<5?;i0(kol:00a`>N?>o1e=??<:3347>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm29`0>4<2290;w)??fc8;b2=#0>81hk?4$02;a?40:j1C4;h4n0027?46?=1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7>e:3;197>50z&24cd=0o=0(59=:ed2?!770l09;?m4$gc`>44ek2B3:k5a1330>770=2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a6=d6280>6=4?{%33bg1/4:<5dg38 46?m38<>n5+f`a957dd3A2=j6`>201964113`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`1t$02ef?>a?2.3;?4kf09'55>b2;=9o6*iab826gd<@15<5<=`03-2<>7ji1:&24=c=:>8h7)hnc;31fg=O0?l0b<<>3;023==n0>l1<75f89294?=n01;1<75f89094?=hm:31<75rb3:ba?7==3:10g`9;%33<`<5?;i0(kol:00ae>N?>o1e=??<:334=>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm29cg>4<2290;w)??fc8;b2=#0>81hk?4$02;a?40:j1/jlm513`b?M>1n2d:><=5205b?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl=8`a95?3=83:p(<>ib;:e3>"??;0oj<5+11:f>715k2.mmn4>2c;8L=0a3g;9=>4=16`8m=1a2900e56?:188m=>62900e56=:188k`5>2900qo<7ac82>0<729q/==hm:9d4?!>0:3nm=6*>09g9624d3-ljo7?=b89K<3`7b9j<2`=831b45>50;9j<=7=831b45<50;9la6?=831vn?6n9;391?6=8r.:5li:086>5<7s-;;jo47f69'<24=lo;0(<>7e;046f=#nhi1=?mj;I:5b>h6:891><9j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;ng0=?6=3th94ok51;794?6|,8:mn76i7:&;37"aij0:>nk4H94e?k759:09=:h4i95e>5<5<5<:483>5}#99li65h8;%:46?ba92.:<5k5260`?!`fk3;9oi5G87d8j446;38:4=5f86d94?=n01:1<75f89394?=n0181<75`e2;94?=zj;2io7?55;294~"68oh14k94$951>a`63-;;4h4=73a8 cgd288hh6F76g9m57742;;3=6g77g83>>o?090;66g78083>>o?0;0;66aj3883>>{e:1hi6<4::183!77nk03j:5+8609`c7<,8:3i7<82b9'bde=9;ih7E69f:l2645=:8297d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd50kk1=7;50;2x 46aj32m;6*7738gb4=#992n6?9=c:&eef<6:ji0D58i;o3156<59190e59i:188m=>72900e56>:188m=>52900ch=6:188yg4?j00:684?:1y'55`e21l<7)682;fe5>"681o1>:750;9~f7>e=3;197>50z&24cd=0o=0(59=:ed2?!770l09;?m4$gc`>44dj2B3:k5a1330>77?=2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a07c5280<6=4?{%33bg1/4:<5d968 46?m3>9m95G87d8j446;38:4;5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj==oh7?57;294~"68oh14k94$951>a`e3-;;4h4;5ca8L=0a3g;9=>4=1958m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=>c282>2<729q/==hm:9d4?!>0:3n3j6*>09g96`0?3A2=j6`>201964>?3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1a4d=93=1<7>t$02ef?>a?2.3;?4k8g9'55>b2;khm6F76g9m57742;;356g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:h=o6<48:183!77nk03j:5+8609`=`<,8:3i7<82b9K<3`8`9j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?j1/4:<5c9d8 46?m38<>n5+f`a9562?3A2=j6`>201964>d3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;ng0=?6=3th?45751;594?6|,8:mn76i7:&;37N?>o1e=??<:33;`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4c72>4<0290;w)??fc8;b2=#0>81nkk4$02;a?22jj1C4;h4n0027?460l1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f15a;3;1;7>50z&24cd=0o=0(59=:ef1?!770l0??:h4H94e?k759:09=5h4i95e>5<5<5<5<95l4>:683>5}#99li65h8;%:46?c6=2.:<5k53gd;?M>1n2d:><=520;3?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;28;95?1=83:p(<>ib;:e3>"??;0ojo5+11:f>6`a02B3:k5a1330>77>92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a16>528086=4?{%33bg1/4:<5e1c8 46?m3?8>:5+f`a9563f3A2=j6`>201964?53`25;h:;4?6=3fo857>5;|`73fg=93<1<7>t$02ef?>a?2.3;?4k789'55>b2=?io6F76g9m57742;;2?6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm53`b>4<3290;w)??fc8;b2=#0>81h8l4$02;a?35i?1/jlm512:7?M>1n2d:><=520;7?l>0n3:17d670;29?l>?93:17bk<9;29?xd2:k?1=7950;2x 46aj32m;6*7738`aa=#992n685<7s-;;jo47f69'<24=l7e;71e3=#nhi1=>6;;I:5b>h6:891><79;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`66gb=93=1<7>t$02ef?>a?2.3;?4lee9'55>b2<8j:6F76g9m57742;;2;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=;i;6<4;:183!77nk03j:5+8609`0d<,8:3i7;=a79'bde=9:287E69f:l2645=:8337d68f;29?l>?83:17d671;29?jc413:17pl:2cd95?1=83:p(<>ib;:e3>"??;0hii5+11:f>04f>2B3:k5a1330>77>12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a17e3280?6=4?{%33bg1/4:<5d4`8 46?m3?9m;5+f`a956>43A2=j6`>201964?f3`25;h:;4?6=3`23=7>5;ng0=?6=3th>>n=51;594?6|,8:mn76i7:&;37N?>o1e=??<:33:f>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm53a5>4<3290;w)??fc8;b2=#0>81h8l4$02;a?35i?1/jlm512:1?M>1n2d:><=520;`?l>0n3:17d670;29?l>?93:17bk<9;29?xd2:j?1=7950;2x 46aj32m;6*7738`aa=#992n685<7s-;;jo47f69'<24=l7e;71e3=#nhi1=>6=;I:5b>h6:891><7j;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`66f7=93=1<7>t$02ef?>a?2.3;?4lee9'55>b2<8j:6F76g9m57742;;2j6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=;i36<4;:183!77nk03j:5+8609`0d<,8:3i7;=a79'bde=9:2:7E69f:l2645=:8k;7d68f;29?l>?83:17d671;29?jc413:17pl:2b595?1=83:p(<>ib;:e3>"??;0hii5+11:f>04f>2B3:k5a1330>77f92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a17ef280?6=4?{%33bg1/4:<5d4`8 46?m3?9m;5+f`a956>63A2=j6`>201964g53`25;h:;4?6=3`23=7>5;ng0=?6=3th>>n751;594?6|,8:mn76i7:&;37N?>o1e=??<:33b7>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm53ae>4<3290;w)??fc8;b2=#0>81h8l4$02;a?35i?1/jlm512;5?M>1n2d:><=520c7?l>0n3:17d670;29?l>?93:17bk<9;29?xd2:jo1=7950;2x 46aj32m;6*7738`aa=#992n68:087>5<7s-;;jo47f69'<24=l7e;71e3=#nhi1=>6?;I:5b>h6:891>5;h:;5?6=3fo857>5;|`66a6=93=1<7>t$02ef?>a?2.3;?4lee9'55>b2<8j:6F76g9m57742;;j;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=;io6<4;:183!77nk03j:5+8609`0d<,8:3i7;=a79'bde=9:2;7E69f:l2645=:8k37d68f;29?l>?83:17d671;29?jc413:17pl:2ba95?1=83:p(<>ib;:e3>"??;0hii5+11:f>04f>2B3:k5a1330>77f12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a17b2280?6=4?{%33bg1/4:<5d4`8 46?m3?9m;5+f`a9561a3A2=j6`>201964gf3`25;h:;4?6=3`23=7>5;ng0=?6=3th>>i:51;594?6|,8:mn76i7:&;37N?>o1e=??<:33bf>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm53f4>4<3290;w)??fc8;b2=#0>81h8l4$02;a?35i?1/jlm5125e?M>1n2d:><=520c`?l>0n3:17d670;29?l>?93:17bk<9;29?xd2:m<1=7950;2x 46aj32m;6*7738`aa=#992n685<7s-;;jo47f69'<24=l9>0(<>7e;66ff=O0?l0b<<>3;02e`=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5:a3?7=;3:10g`972900ch=6:188yg2?j?0:6:4?:1y'55`e21l<7)682;`5a>"681o188ll;I:5b>h6:891>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?5hj51;594?6|,8:mn76i7:&;37>1/==6j:57ag>N?>o1e=??<:33a5>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm4ga4>4<0290;w)??fc8;b2=#0>81h=o4$02;a?2a;o1C4;h4n0027?46j;1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f132=3;197>50z&24cd=0o=0(59=:ed3?!770l0?9>m4$gc`>45102B3:k5a1330>77e;2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a66db280>6=4?{%33bg1/4:<5dg28 46?m388m85+f`a9560f3A2=j6`>201964d33`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`7<6d=93=1<7>t$02ef?>a?2.3;?4lag9'55>b2=?io6F76g9m57742;;i96g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<19m6<48:183!77nk03j:5+8609gd`<,8:3i7::bb9K<3`b79j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn96;5;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7mnf:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30=;1=7950;2x 46aj32m;6*7738`ec=#992n69;mc:J;2c=i9;;86??m9:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi85=k:084>5<7s-;;jo47f69'<24=khl0(<>7e;66ff=O0?l0b<<>3;02fd=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5:0=?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2?;>0:6:4?:1y'55`e21l<7)682;abb>"681o188ll;I:5b>h6:891>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th?5h>51;494?6|,8:mn76i7:&;37N?>o1e=??<:33a`>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<0nm6<48:183!77nk03j:5+8609f23<,8:3i7::bb9K<3`bd9j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn85<=`03-2<>7j:5:&24=c==;k=7E69f:l2645=:8i;7d68f;29?l>?83:17d671;29?l>?:3:17bk<9;29?xd3=:o1=7:50;2x 46aj33>:6*>09g9005d3A2=j6`>201964e63`25;h:;4?6=3`;;:94?::ma2<<722wi88=i:084>5<7s-;;jo47f69'<24=k:80(<>7e;667f=O0?l0b<<>3;02g7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5774?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg44i>0:694?:1y'55`e20?=7)??8d817d3<@15<5;n`5=?6=3th9?l651;594?6|,8:mn76i7:&;37N?>o1e=??<:33`1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm22c:>4<0290;w)??fc8;b2=#0>81o><4$02;a?44i<1C4;h4n0027?46k?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1g>n3;187>50z&24cd=1<<0(<>7e;66ff=O0?l0b<<>3;02g2=n0>l1<75f89294?=n995<jm=4>:683>5}#99li65h8;%:46?b3=2.:<5k544``?M>1n2d:><=520a;?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;a`395?0=83:p(<>ib;:e3>"??;0o8n5+11:f>13ek2B3:k5a1330>77d12c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi8l?m:087>5<7s-;;jo46579'55>b2=?io6F76g9m57742;;hm6g77g83>>o?090;66g>07694?=hj?31<75rb5c2g?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg5e9:0:694?:1y'55`e20?=7)??8d82g72<@15<5;n`5=?6=3th8n<:51;494?6|,8:mn76i7:&;37N?>o1e=??<:33``>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e;k;>6<48:183!77nk03j:5+8609gdb<,8:3i7?l259K<3`cd9j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn>l>0;390?6=8r.:95G87d8j446;38:ok5f86d94?=n01:1<75f1147>5<=`03-2<>7m>3:&24=c=9j8?7E69f:l2645=:8n;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17plib;:e3>"??;0hmi5+11:f>4e5<2B3:k5a1330>77c92c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a7g6c280?6=4?{%33bg<>=?1/==6j:0a10>N?>o1e=??<:33g6>o??o0;66g78183>>o68?>1<75`b7;94?=zj:h;i7?56;294~"68oh14k94$951>f743-;;4h4>c368L=0a3g;9=>4=1e18m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg5e8o0:6:4?:1y'55`e21l<7)682;ab`>"681o1=n<;;I:5b>h6:891>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8n=o51;694?6|,8:mn77:6:&24=c=9j8?7E69f:l2645=:8n>7d68f;29?l>?83:17d??6583>>ie>00;66sm3c2a>4<1290;w)??fc8;b2=#0>81o<=4$02;a?7d:=1C4;h4n0027?46l?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn>l?c;393?6=8r.:5<5<5<<313-;;4h4>c368L=0a3g;9=>4=1e:8m=1a2900e56?:188m461<3:17bl99;29?xd4j921=7850;2x 46aj32m;6*7738`56=#992n6280<6=4?{%33bg1/4:<5c`f8 46?m3;h>95G87d8j446;38:hl5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=kh=7?54;294~"68oh15884$02;a?22jj1C4;h4n0027?46lk1b4:h50;9j<=6=831b==8;:188kg0>2900qo:nc382>2<729q/==hm:9d4?!>0:3n8o6*>09g900dd3A2=j6`>201964bd3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7ef5=93=1<7>t$02ef?>a?2.3;?4k309'55>b2=?io6F76g9m57742;;oh6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e=;h=6<4;:183!77nk029;5+11:f>04f>2B3:k5a1330>77cm2c3;k4?::k;<5<722c:<;:50;9lf3?=831vn85<5<5<0;6=u+11da>=`03-2<>7m>4:&24=c==;k=7E69f:l2645=:8o;7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd2:mn1=7:50;2x 46aj33>:6*>09g917g13A2=j6`>201964c63`25;h:;4?6=3`;;:94?::ma2<<722wi9?jj:084>5<7s-;;jo47f69'<24=kj30(<>7e;71e3=O0?l0b<<>3;02a7=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb40gb?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2>1h0:694?:1y'55`e20?=7)??8d871ge<@15<5;n`5=?6=3th?54l51;594?6|,8:mn76i7:&;37N?>o1e=??<:33f1>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm48;`>4<0290;w)??fc8;b2=#0>81n:=4$02;a?22jj1C4;h4n0027?46m?1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f15b;3;1:7>50z&24cd=0o=0(59=:c`b?!770l0??:h4$gc`>45fk2B3:k5a1330>77b?2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::mf7<<722wi9?hj:085>5<7s-;;jo47f69'<24=k:30(<>7e;71e3=O0?l0b<<>3;02a==n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj<8mh7?56;294~"68oh14k94$951>a663-;;4h4:2`48L=0a3g;9=>4=1d;8m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg5di>0:684?:1y'55`e21l<7)682;fg<>"681o1?oj7;%dbg?74jh1C4;h4n0027?46mh1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f6ef=3;1;7>50z&24cd=0o=0(59=:bc6?!770l08ni64H94e?k759:09=hl4i95e>5<5<5<5<4>:583>5}#99li65h8;%:46?b>m2.:<5k53cf;?!`fk3;8n85G87d8j446;38:in5f86d94?=n01:1<75f89394?=hm:31<75rb2a:6?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg24n=0:6:4?:1y'55`e21l<7)682;`:f>"681o18>9i;I:5b>h6:891>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th9jo:51;594?6|,8:mn76i7:&;37N?>o1e=??<:33fb>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2b35>4<0290;w)??fc8;b2=#0>81nlj4$02;a?4fkh1C4;h4n0027?46n91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7>003;1;7>50z&24cd=0o=0(59=:ccg?!770l09;?m4H94e?k759:09=k?4i95e>5<5<5<5<:683>5}#99li65h8;%:46?df=2.:<5k52d4;?M>1n2d:><=520d1?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=c0d95?1=83:p(<>ib;:e3>"??;0im85+11:f>7gdi2B3:k5a1330>77a;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6=>6280<6=4?{%33bg1/4:<5b`78 46?m38<>n5G87d8j446;38:j95f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;lii7?57;294~"68oh14k94$951>gg23-;;4h4=e7:8L=0a3g;9=>4=1g78m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo2<729q/==hm:9d4?!>0:3hj96*>09g96def3A2=j6`>201964`13`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1<=4=93=1<7>t$02ef?>a?2.3;?4ma49'55>b2;=9o6F76g9m57742;;m;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:ohm6<48:183!77nk03j:5+8609fd3<,8:3i7f99j<2`=831b45>50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?m=1;393?6=8r.:7)??8d81efg<@15<5<5<0;6=u+11da>=`03-2<>7ln5:&24=c=:>8h7E69f:l2645=:8lj7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5nj:1=7950;2x 46aj32m;6*7738ae0=#992n6?k98:J;2c=i9;;86??ib:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi>n<=:084>5<7s-;;jo47f69'<24=jh?0(<>7e;0bgd=O0?l0b<<>3;02bf=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3:;0?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg4ak80:6:4?:1y'55`e21l<7)682;`b1>"681o1>h87;I:5b>h6:891>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th9o?=51;594?6|,8:mn76i7:&;37N?>o1e=??<:33eb>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm29:6>4<0290;w)??fc8;b2=#0>81nl;4$02;a?40:j1C4;h4n0027?45891b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7`d:3;1;7>50z&24cd=0o=0(59=:cc6?!770l09i;64H94e?k759:09>=?4i95e>5<5<5<5<94>:683>5}#99li65h8;%:46?df=2.:<5k52`ab?M>1n2d:><=52321?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=89495?1=83:p(<>ib;:e3>"??;0im85+11:f>715k2B3:k5a1330>747;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6ce4280<6=4?{%33bg1/4:<5b`78 46?m38n:55G87d8j446;389<95f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;i997?57;294~"68oh14k94$951>gg23-;;4h4=abc8L=0a3g;9=>4=2178m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo<78682>2<729q/==hm:9d4?!>0:3hj96*>09g9624d3A2=j6`>201967613`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1bf2=93=1<7>t$02ef?>a?2.3;?4ma49'55>b2;o=46F76g9m57742;8;;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:j8=6<48:183!77nk03j:5+8609fd3<,8:3i750;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?678;393?6=8r.:7)??8d8137e<@15<5<5<0;6=u+11da>=`03-2<>7ln5:&24=c=:l<37E69f:l2645=:;:j7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd5k;=1=7950;2x 46aj32m;6*7738ae0=#992n6?ola:J;2c=i9;;86?566:084>5<7s-;;jo47f69'<24=jh?0(<>7e;046f=O0?l0b<<>3;014f=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3da1?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg4d9>0:6:4?:1y'55`e21l<7)682;`b1>"681o1>lmn;I:5b>h6:891>?>j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th94:751;594?6|,8:mn76i7:&;37N?>o1e=??<:303b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2g`5>4<0290;w)??fc8;b2=#0>81nl;4$02;a?4b>11C4;h4n0027?45991b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7e603;1;7>50z&24cd=0o=0(59=:cc6?!770l09mno4H94e?k759:09>5<5<5<5<:683>5}#99li65h8;%:46?df=2.:<5k5260`?M>1n2d:><=52331?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=fc595?1=83:p(<>ib;:e3>"??;0im85+11:f>7c102B3:k5a1330>746;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6f7>280<6=4?{%33bg1/4:<5b`78 46?m38jol5G87d8j446;389=95f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj;2gg23-;;4h4=73a8L=0a3g;9=>4=2078m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo2<729q/==hm:9d4?!>0:3hj96*>09g96`0?3A2=j6`>201967713`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`1g4g=93=1<7>t$02ef?>a?2.3;?4ma49'55>b2;khm6F76g9m57742;8:;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:1=h6<48:183!77nk03j:5+8609fd3<,8:3i7<82b9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn?hm9;393?6=8r.:7)??8d81a3><@15<5<5<0;6=u+11da>=`03-2<>7ln5:&24=c=:hij7E69f:l2645=:;;j7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd50>n1=7950;2x 46aj32m;6*7738ae0=#992n6?9=c:J;2c=i9;;86?<>b:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi>kln:084>5<7s-;;jo47f69'<24=jh?0(<>7e;0f2==O0?l0b<<>3;015f=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb3a2g?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg4??l0:6:4?:1y'55`e21l<7)682;`b1>"681o1>:h6:891>??j;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th9jol51;594?6|,8:mn76i7:&;37N?>o1e=??<:302b>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2b3g>4<0290;w)??fc8;b2=#0>81nl;4$02;a?4fkh1C4;h4n0027?45:91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7>0n3;1;7>50z&24cd=0o=0(59=:cc6?!770l09;?m4H94e?k759:09>??4i95e>5<5<5<5<:683>5}#99li65h8;%:46?df=2.:<5k52d4;?M>1n2d:><=52301?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl=c0g95?1=83:p(<>ib;:e3>"??;0im85+11:f>7gdi2B3:k5a1330>745;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a6=>7280<6=4?{%33bg1/4:<5b`78 46?m38<>n5G87d8j446;389>95f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=28m7?57;294~"68oh14k94$951>f`53-;;4h4;5ca8L=0a3g;9=>4=2378m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo:73d82>2<729q/==hm:9d4?!>0:3im>6*>09g900dd3A2=j6`>201967413`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`7<12=93=1<7>t$02ef?>a?2.3;?4lf39'55>b2=?io6F76g9m57742;89;6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e<1>=6<48:183!77nk03j:5+8609gc4<,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn96;0;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7mi2:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30:21=7950;2x 46aj32m;6*7738`b7=#992n69;mc:J;2c=i9;;86?<=b:k;3c<722c34=4?::k;<4<722c34?4?::k;<6<722c3494?::mf7<<722wi85=9:084>5<7s-;;jo47f69'<24=ko80(<>7e;66ff=O0?l0b<<>3;016f=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5c2`?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg35j;0:694?:1y'55`e20?=7)??8d866d0<@15<5;n`5=?6=3th>>o=51;194?6|,8:mn76i7:&;37N?>o1e=??<:301b>o??o0;66g78183>>ib;00;66sm53`7>4<0290;w)??fc8;b2=#0>81h>74$02;a?35i?1C4;h4n0027?45;91b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f1?4k3;187>50z&24cd=1<<0(<>7e;66ff=O0?l0b<<>3;0174=n0>l1<75f89294?=n995<2?i4>:683>5}#99li65h8;%:46?e3:2.:<5k544``?M>1n2d:><=52311?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;92g95?1=83:p(<>ib;:e3>"??;0h><5+11:f>13ek2B3:k5a1330>744;2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a5fdb280=6=4?{%:46?1d=2.:<5k51b`g?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?=;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4een3;1:7>50z&;37<0k<1/==6j:0aa`>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?<<5:k7<2<722c?>54?::k733<722c:7e;6a33=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd2:i>7)??8d8655d<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4=2258m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<=<96<49:183!>0:3=h96*>09g9014f3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5231;?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=9<97?56;294~"??;015292.:N?>o1e=??<:300=>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<?994>:783>5}#0>81;n;4$02;a?23;>1/==hm:0266>"68k:1?1=O0?l0b<<>3;017d=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi8?kk:085>5<7s-2<>79l5:&24=c=<;k?7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>2019675d3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?b2;8j<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>744l2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3895>5+11da>462:2.:53038 =??2=1C4;h4n0027?45;l1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=25195?0=83:p(59=:6a6?!770l09>>03>0D58i;o3156<5::l0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm31cg>4<1290;w)682;5`1>"681o1>h87;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:;>;7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb23b1?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c0g42<62?0;6=u+86093f3<,8:3i7>6*>0c29747<,133695G87d8j446;3898?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`1`cb=93<1<7>t$951>2e23-;;4h4=abc8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:09>9=4i5:4>5<5<5;h62e?6=3f;;?>4?::a6<0>280=6=4?{%:46?1d=2.:<5k5260`?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?:;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f7g2n3;1:7>50z&;37<0k<1/==6j:351g>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?<;5:k7<2<722c?>54?::k733<722c:7e;623a=#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=52365?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=;j?7?56;294~"??;0170l2.:1=O0?l0b<<>3;0102=n<1=1<75f43:94?=n<><1<75f110b>5<n0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>2019672?3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9?n5;392?6=8r.3;?48cc9'55>b2=;0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?45<01b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;1`495?0=83:p(59=:6aa?!770l0?=:j4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=:;>j7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb53b3?7=>3:15<5;|`75d>=93<1<7>t$951>2ee3-;;4h4;16f8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891>?:l;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f17f13;1:7>50z&;37<0kk1/==6j:534`>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd39hk1=7850;2x =152>ii7)??8d8752b<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5236f?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=;jn7?56;294~"??;0170l2.:1=O0?l0b<<>3;010c=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi>:<::085>5<7s-2<>79l5:&24=c=:<:27)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201967363`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?9=6;392?6=8r.3;?48c49'55>b2;?;56*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>742:2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m38><45+11da>462:2.:53038 =??2=1C4;h4n0027?45=:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=73:95?0=83:p(59=:6a6?!770l099=74$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<5:<>0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm260:>4<1290;w)682;5`1>"681o1>8>6;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:;?>7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb351e?7=>3:1:6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c046g<62?0;6=u+86093f3<,8:3i7<:089'55`e28:>>6*>0c29747<,133695G87d8j446;3899:5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7a6`=93<1<7>t$951>2e23-;;4h4;5ca8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:09>864i5:4>5<5<5;h62e?6=3f;;?>4?::a0=3?280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?;6;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1d2k3;1:7>50z&24cd=0o=0(59=:d31?!770l0?9om4H94e?k759:09>8o4i95e>5<5<5<0;6=u+11da>=`03-2<>7m;c:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd30;=1=7:50;2x 46aj32m;6*7738g=a=#992n69;mc:J;2c=i9;;86?<:c:k;3c<722c34=4?::k;<4<722en?44?::a0=4>280<6=4?{%33bg1/4:<5c2f8 46?m3>>nn5G87d8j446;3899i5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj==3;7?54;294~"68oh158;4$02;a?22jj1C4;h4n0027?45=l1b8i=50;9j072=831b==8;:188kg0>2900qo:88982>1<729q/==hm:876?!770l0?9om4H94e?k759:09>8h4i5f0>5<5;|`7302=93>1<7>t$02ef??2=2.:<5k544``?M>1n2d:><=52343?l2c;3:17d:=4;29?l77>=0;66am6883>>{e<>?>6<4;:183!77nk02985+11:f>13ek2B3:k5a1330>74192c?h>4?::k761<722c:<;:50;9lf3?=831vn??i2;392?6=8r.3;?48cc9'55>b2;;hh6*>0g`955353-;;n=4>0`d8 =??2k30D58i;o3156<5:?80e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm20d0>4<1290;w)682;5`f>"681o1>m0;33ec=#002186F76g9m57742;8=?6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c02b1<62?0;6=u+86093fd<,8:3i7<>ce9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:09>;:4i5:4>5<5<5;h62e?6=3f;;?>4?::a64`2280=6=4?{%:46?1dj2.:<5k520ag?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86?<95:k7<2<722c?>54?::k733<722c:7e;02ga=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4=2748m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e:8l<6<49:183!>0:3=hn6*>09g964ec3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:3053>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?46km1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi>5<7s-2<>79lb:&24=c=:8io7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>74112c?4:4?::k76=<722c?;;4?::k247g=831b8f`82>3<729q/4:<57b`8 46?m38:oi5+11da>462:2.:511ce?!>>03>0D58i;o3156<5:?k0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm20da>4<1290;w)682;5`f>"681o1>m0;33ec=#002186F76g9m57742;8=n6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c03<6<62?0;6=u+86093fd<,8:3i7>6*>0c2955ga3-2247l6;I:5b>h6:891>?8l;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f76?<3;1:7>50z&;37<0kk1/==6j:326a>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd581?1=7850;2x =152>ii7)??8d8140c<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=5234f?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj;:3:7?56;294~"??;0762m2.:1=O0?l0b<<>3;012c=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201967173`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn?>78;392?6=8r.3;?48cc9'55>b2;:>i6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?45?81b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl=09;95?0=83:p(59=:6aa?!770l09<8k4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=:;=97d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb32;e?7=>3:1:e:&24cd=99?97)??b1824d`<,133695G87d8j446;389;>5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`14=d=93<1<7>t$951>2ee3-;;4h4=04g8 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891>?9;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f76?k3;1:7>50z&;37<0kk1/==6j:326a>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd3;j21=7850;2x =152>ii7)??8d8772`<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:3042>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<8on4>:783>5}#0>81;nl4$02;a?24?o1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi8>mj:085>5<7s-2<>79lb:&24=c=<:=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>74002c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3>8;k5+11da>462:2.:511ce?!>>03>0D58i;o3156<5:>30e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm42f1>4<1290;w)682;5`f>"681o18>9i;%33bg<68<80(<>m0;33ec=#002186F76g9m57742;8>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c60`1<62?0;6=u+86093fd<,8:3i7:<7g9'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:09>:l4i5:4>5<5<5;h62e?6=3f;;?>4?::a06b1280=6=4?{%:46?1dj2.:<5k5425e?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86?<8c:k7<2<722c?>54?::k733<722c:7e;603c=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4=26f8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e<:nj6<49:183!>0:3=hn6*>09g9061a3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:304a>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<8hn4>:783>5}#0>81;nl4$02;a?24?o1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi8>mn:085>5<7s-2<>79lb:&24=c=<:=m7)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>74?82c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m39mj55+11da>462:2.:511ce?!>>03h27E69f:l2645=:;2:7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb52eg?7=>3:1hi8:&24cd=99?97)??b1824d`<,133695G87d8j446;3894?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7551=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891>?6<;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f177i3;1:7>50z&;37<0kk1/==6j:2de<>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd399h1=7850;2x =152>ii7)??8d80bc><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=523:6?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=;;o7?56;294~"??;06`a02.:1=O0?l0b<<>3;01<3=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201967>03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9??e;392?6=8r.3;?48cc9'55>b2:lm46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?45011b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;11d95?0=83:p(59=:6aa?!770l08jk64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=:;227d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5324?7=>3:1hi8:&24cd=99?97)??b1824d`<,133695G87d8j446;3894l5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`74c4=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891>?6m;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f16a;3;1:7>50z&;37<0kk1/==6j:2de<>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd38o>1=7850;2x =152>ii7)??8d80bc><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=523:g?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=:m97?56;294~"??;06`a02.:1=O0?l0b<<>3;01<`=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201967>a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9>i7;392?6=8r.3;?48cc9'55>b2:lm46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?45191b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;0g:95?0=83:p(59=:6aa?!770l08jk64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=:;3:7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb52e=?7=>3:1hi8:&24cd=99?97)??b1824d`<,133695G87d8j446;3895?5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`74cg=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891>?7<;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f16aj3;1:7>50z&;37<0kk1/==6j:2de<>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd38on1=7850;2x =152>ii7)??8d80bc><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=523;6?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=:mi7?56;294~"??;06`a02.:1=O0?l0b<<>3;01=3=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201967?03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9??0;392?6=8r.3;?48cc9'55>b2:lm46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?45111b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;11395?0=83:p(59=:6aa?!770l08jk64$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=:;327d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5336?7=>3:1hi8:&24cd=99?97)??b1824d`<,133695G87d8j446;3895l5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7555=93<1<7>t$951>2ee3-;;4h446fn2.3554;;I:5b>h6:891>?7m;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f177<3;1:7>50z&;37<0kk1/==6j:2de<>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd399?1=7850;2x =152>ii7)??8d80bc><,8:mn7??539'55d728:jj6*79987?M>1n2d:><=523;g?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=;;:7?56;294~"??;06`a02.:1=O0?l0b<<>3;01=`=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6<>nf:&;==<33A2=j6`>201967?a3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9??9;392?6=8r.3;?48cc9'55>b2:lm46*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?45i91b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;ebc95?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<5:h;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4daa>4<1290;w)682;5`1>"681o188ll;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:;k97d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5g`g?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6fga<62?0;6=u+86093f3<,8:3i7::bb9'55`e28:>>6*>0c29747<,133695G87d8j446;389m95f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7afc=93<1<7>t$951>2e23-;;4h4;5ca8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:09>l;4i5:4>5<5<5;h62e?6=3f;;?>4?::a0abf280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?o9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1bck3;1:7>50z&;37<0k<1/==6j:57ag>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd3ll:1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4=2`;8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e0:3=h96*>09g900dd3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=523cb?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=no<7?56;294~"??;013ek2.:N?>o1e=??<:30bf>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<oh?4>:783>5}#0>81;n;4$02;a?22jj1/==hm:0266>"68k:1?1=O0?l0b<<>3;01ef=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi8ij9:085>5<7s-2<>79l5:&24=c=<1:&;==<33A2=j6`>201967gb3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9jk8;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>74fn2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>>nn5+11da>462:2.:53038 =??2=1C4;h4n0027?45j91b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;dc295?0=83:p(59=:6a6?!770l0?9om4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<5:k;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm4e`1>4<1290;w)682;5`1>"681o188ll;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:;h97d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5fa0?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6gf3<62?0;6=u+86093f3<,8:3i7::bb9'55`e28:>>6*>0c29747<,133695G87d8j446;389n95f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`7`f2=93<1<7>t$951>2e23-;;4h4;5ca8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:09>o;4i5:4>5<5<5;h62e?6=3f;;?>4?::a0ae1280=6=4?{%:46?1d=2.:<5k544``?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?l9;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f1bd03;1:7>50z&;37<0k<1/==6j:57ag>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd3lji1=7850;2x =152>i>7)??8d871ge<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4=2c;8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e0:3=h96*>09g900dd3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=523`b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=ni47?56;294~"??;013ek2.:N?>o1e=??<:30af>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<onl4>:783>5}#0>81;n;4$02;a?22jj1/==hm:0266>"68k:1?1=O0?l0b<<>3;01ff=n<1=1<75f43:94?=n<><1<75f110b>5<ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi8ilj:085>5<7s-2<>79l5:&24=c=<1:&;==<33A2=j6`>201967db3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9jl0;392?6=8r.3;?48c49'55>b2=?io6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>74en2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b78 46?m3>>nn5+11da>462:2.:53038 =??2=1C4;h4n0027?45k91b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl"?110?7E69f:l2645=:;i:7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb2ff6?7=>3:1lk8:&24cd=99?97)??b18054=#002186F76g9m57742;8h>6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1ga6<62?0;6=u+86093f3<,8:3i7=md99'55`e28:>>6*>0c29747<,133695G87d8j446;389o>5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0``2=93<1<7>t$951>2e23-;;4h46763-2247:4H94e?k759:09>n:4i5:4>5<5<5;h62e?6=3f;;?>4?::a7ac2280=6=4?{%:46?1d=2.:<5k53cf;?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>?m:;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f6bb>3;1:7>50z&;37<0k<1/==6j:2`g<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?54?::k733<722c:4?:1y'55`e21l<7)682;f42>"681o188ll;I:5b>h6:891>?m8;h:4b?6=3`23<7>5;ng0=?6=3th?m:o51;694?6|,8:mn77:5:&24=c=<>ie>00;66sm4`5g>4<1290;w)??fc8;b2=#0>81o9?4$02;a?22jj1C4;h4n0027?45k01b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9la6?=831vn9o8b;390?6=8r.:>nn5G87d8j446;389ol5f4e194?=n<;>1<75f1147>5<=`03-2<>7k?2:&24=c=:;387E69f:l2645=:;ii7d68f;29?l>?83:17d671;29?l>?:3:17d673;29?jc413:17pl>cb395?1=83:p(<>ib;:e3>"??;0o:85+11:f>4eel2B3:k5a1330>74dk2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0104280=6=4?{%33bg1/4:<5e108 46?m3>?>l5G87d8j446;389oi5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5a76?7==3:10g`9<0(kol:015f>N?>o1e=??<:30`a>o??o0;66g78183>>o?080;66g78383>>ib;00;66sm537e>4<2290;w)??fc8;b2=#0>81hk>4$02;a?368k1/jlm5124:?M>1n2d:><=523ae?l>0n3:17d670;29?l>?93:17d672;29?jc413:17pl;36495?3=83:p(<>ib;:e3>"??;0oj<5+11:f>15292.mmn4>37g8L=0a3g;9=>4=2e28m=1a2900e56?:188m=>62900e56=:188k`5>2900qo:;5482>2<729q/==hm:9d4?!>0:3nmh6*>09g901503A2=j6`>201967b63`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`16gc=93=1<7>t$02ef?>a?2.3;?4kfe9'55>b2;8j<6F76g9m57742;8o>6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e:;>?6<4::183!77nk03j:5+8609`c7<,8:3i7<=1g9'bde=9:?83:17d671;29?l>?:3:17bk<9;29?xd48ho1=7;50;2x 46aj32m;6*7738gb4=#992n6?k98:&eef<6:?20D58i;o3156<5:m>0e59i:188m=>72900e56>:188m=>52900ch=6:188yg4c810:684?:1y'55`e21l<7)682;fe5>"681o1>lmn;%dbg?75>>1C4;h4n0027?45l<1b4:h50;9j<=6=831b45?50;9j<=4=831di>750;9~f7?1i3;197>50z&24cd=0o=0(59=:ed2?!770l09;?m4$gc`>441>2B3:k5a1330>74c>2c3;k4?::k;<5<722c34<4?::k;<7<722en?44?::a07cb280<6=4?{%33bg1/4:<5dcf8 46?m3>9m95G87d8j446;389h:5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=;h>7?57;294~"68oh14k94$951>ae63-;;4h44=2e:8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo?lc182>0<729q/==hm:9d4?!>0:3nm=6*>09g95fdc3A2=j6`>201967b>3`25;h:;4?6=3`23=7>5;h:;6?6=3fo857>5;|`71a3=93=1<7>t$02ef?>a?2.3;?4l069'55>b2=?io6F76g9m57742;8om6g77g83>>o?090;66g78083>>o?0;0;66g78283>>o?0=0;66aj3883>>{e50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9979;393?6=8r.:5<5<5<0;6=u+11da>=`03-2<>7m=4:&24=c=<?83:17d671;29?l>?:3:17d673;29?l>?<3:17bk<9;29?xd3?1k1=7950;2x 46aj32m;6*7738`44=#992n69;mc:J;2c=i9;;86?5<7s-;;jo47f69'<24=kl:0(<>7e;66ff=O0?l0b<<>3;01`c=n0>l1<75f89294?=n01;1<75f89094?=n0191<75f89694?=hm:31<75rb5:e2?7=?3:10g`972900e56>:188m=>52900e56<:188m=>32900ch=6:188yg2>9>0:6:4?:1y'55`e21l<7)682;`bg>"681o188ll;I:5b>h6:891>?k>;h:4b?6=3`23<7>5;h:;5?6=3`23>7>5;h:;7?6=3`2387>5;ng0=?6=3th8=l851;594?6|,8:mn76i7:&;37N?>o1e=??<:30f6>o??o0;66g78183>>o?080;66g78383>>o?0:0;66g78583>>ib;00;66sm2edf>4<0290;w)??fc8;b2=#0>81hi74$02;a?4fkh1C4;h4n0027?45m:1b4:h50;9j<=6=831b45?50;9j<=4=831b45=50;9j<=2=831di>750;9~f7g183;1;7>50z&24cd=0o=0(59=:ef:?!770l09;?m4H94e?k759:09>h:4i95e>5<5<5<5<3i54>:783>5}#99li65h8;%:46?b>;2.:<5k544``?M>1n2d:><=523g6?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17bk<9;29?xd3j=81=7950;2x 46aj32m;6*7738`4==#992n69;mc:J;2c=i9;;86?5<7s-;;jo47f69'<24=j>=0(<>7e;66ff=O0?l0b<<>3;01a2=n0>l1<75f89294?=n01;1<75f89094?=n0191<75`e2;94?=zj=n?n7?54;294~"68oh14k94$951>f1f3-;;4h4;5ca8L=0a3g;9=>4=2d:8m=1a2900e56?:188m=>62900ch=6:188yg2c>80:694?:1y'55`e21l<7)682;a4e>"681o188ll;I:5b>h6:891>?k6;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7`3?=93>1<7>t$02ef?>a?2.3;?4l6b9'55>b2=?io6F76g9m57742;8nm6g77g83>>o?090;66g78083>>ib;00;66sm4e4a>4<3290;w)??fc8;b2=#0>81o;m4$02;a?22jj1C4;h4n0027?45mk1b4:h50;9j<=6=831b45?50;9la6?=831vn9j9d;390?6=8r.:5<5<o:k4>:583>5}#99li65h8;%:46?e1k2.:<5k544``?M>1n2d:><=523gg?l>0n3:17d670;29?l>?93:17bk<9;29?xd3l>;1=7:50;2x 46aj32m;6*7738`2f=#992n69;mc:J;2c=i9;;86?1/4:<5c7a8 46?m3>>nn5G87d8j446;389ik5f86d94?=n01:1<75f89394?=hm:31<75rb5f41?7=<3:10g`972900e56>:188k`5>2900qo:k7682>1<729q/==hm:9d4?!>0:3i=o6*>09g900dd3A2=j6`>201967`63`25;h:;4?6=3`23=7>5;ng0=?6=3th?h9k51;694?6|,8:mn76i7:&;37j1/==6j:57ag>N?>o1e=??<:30e6>o??o0;66g78183>>o?080;66aj3883>>{e50;9j<=7=831di>750;9~f1b2:3;187>50z&24cd=0o=0(59=:b4`?!770l0?9om4H94e?k759:09>k:4i95e>5<5<=`03-2<>7m9c:&24=c=<7d68f;29?l>?83:17d671;29?jc413:17pl;d4495?2=83:p(<>ib;:e3>"??;0h:n5+11:f>13ek2B3:k5a1330>74a>2c3;k4?::k;<5<722c34<4?::mf7<<722wi8i;7:087>5<7s-;;jo47f69'<24=k?i0(<>7e;66ff=O0?l0b<<>3;01b2=n0>l1<75f89294?=n01;1<75`e2;94?=zj=n>m7?54;294~"68oh14k94$951>f0d3-;;4h4;5ca8L=0a3g;9=>4=2g:8m=1a2900e56?:188m=>62900ch=6:188yg2c=j0:694?:1y'55`e21l<7)682;a5g>"681o188ll;I:5b>h6:891>?h6;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7`0c=93>1<7>t$02ef?>a?2.3;?4l6b9'55>b2=?io6F76g9m57742;8mm6g77g83>>o?090;66g78083>>ib;00;66sm4e43>4<3290;w)??fc8;b2=#0>81o;m4$02;a?22jj1C4;h4n0027?45nk1b4:h50;9j<=6=831b45?50;9la6?=831vn9j94;390?6=8r.:5<5<o:;4>:583>5}#99li65h8;%:46?e1k2.:<5k544``?M>1n2d:><=523dg?l>0n3:17d670;29?l>?93:17bk<9;29?xd3l?21=7:50;2x 46aj32m;6*7738`2f=#992n69;mc:J;2c=i9;;86?1/4:<5dgg8 46?m3>>nn5G87d8j446;389jk5f86d94?=n01:1<75f89394?=n0181<75f89194?=hm:31<75rb5f32?7=<3:10g`972900e56>:188k`5>2900qo:k1b82>1<729q/==hm:9d4?!>0:3i=o6*>09g900dd3-ljo7?50;9j<=7=831di>750;9~f1b5<3;187>50z&24cd=0o=0(59=:b4`?!770l0?9om4$gc`>45d12B3:k5a1330>757:2c3;k4?::k;<5<722c34<4?::mf7<<722wi8i<9:087>5<7s-;;jo47f69'<24=k?i0(<>7e;66ff=#nhi1=>j=;I:5b>h6:891>>><;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7`7>=93>1<7>t$02ef?>a?2.3;?4l6b9'55>b2=?io6*iab827a7<@15<5<o>l4>:583>5}#99li65h8;%:46?e1k2.:<5k544``?!`fk3;8h<5G87d8j446;388<85f86d94?=n01:1<75f89394?=hm:31<75rb5f1g?7=<3:10g`9N?>o1e=??<:3132>o??o0;66g78183>>o?080;66aj3883>>{e?83:17d671;29?jc413:17pl;d2295?2=83:p(<>ib;:e3>"??;0h:n5+11:f>13ek2.mmn4>3bd8L=0a3g;9=>4=31:8m=1a2900e56?:188m=>62900ch=6:188yg2c;;0:694?:1y'55`e21l<7)682;a5g>"681o188ll;%dbg?74ko1C4;h4n0027?44801b4:h50;9j<=6=831b45?50;9la6?=831vn9j?9;390?6=8r.:1/4:<5c7a8 46?m3>>nn5+f`a956eb3A2=j6`>2019666e3`25;h:;4?6=3`23=7>5;ng0=?6=3th?h=j51;694?6|,8:mn76i7:&;37j1/==6j:57ag>"aij0:?nj4H94e?k759:09?=m4i95e>5<5<=`03-2<>7m9c:&24=c=<3;004a=n0>l1<75f89294?=n01;1<75`e2;94?=zj=n:=7?54;294~"68oh14k94$951>f0d3-;;4h4;5ca8 cgd289ho6F76g9m57742;9;i6g77g83>>o?090;66g78083>>ib;00;66sm4e30>4<3290;w)??fc8;b2=#0>81o;m4$02;a?22jj1/jlm512a`?M>1n2d:><=5222e?l>0n3:17d670;29?l>?93:17bk<9;29?xd3l8?1=7:50;2x 46aj32m;6*7738`2f=#992n69;mc:&eef<6;jh0D58i;o3156<5;8:0e59i:188m=>72900e56>:188k`5>2900qo:k1682>1<729q/==hm:9d4?!>0:3i=o6*>09g900dd3-ljo7?50;9j<=7=831di>750;9~f1b613;187>50z&24cd=0o=0(59=:b4`?!770l0?9om4$gc`>45di2B3:k5a1330>756:2c3;k4?::k;<5<722c34<4?::mf7<<722wi8i?m:087>5<7s-;;jo47f69'<24=k?i0(<>7e;66ff=#nhi1=>mn;I:5b>h6:891>>?<;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7`4`=93>1<7>t$02ef?>a?2.3;?4l6b9'55>b2=?io6*iab827f?<@15<5<o><4>:583>5}#99li65h8;%:46?e1k2.:<5k544``?!`fk3;8o55G87d8j446;388=85f86d94?=n01:1<75f89394?=hm:31<75rb5f17?7=<3:10g`9N?>o1e=??<:3122>o??o0;66g78183>>o?080;66aj3883>>{e86<48:183!77nk03j:5+8609g5><,8:3i7::bb9K<3`50;9j<=7=831b45<50;9j<=5=831b45:50;9la6?=831vn9k;6;392?6=8r.3;?48cc9'55>b2=?io6*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?44911b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl;e2;95?0=83:p(59=:6aa?!770l0?9om4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=::;27d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5`72?7=<3:10g`99;%33<`<3=ki0(kol:00gf>N?>o1e=??<:312e>o??o0;66g78183>>o?080;66aj3883>>{e<,8:3i7::bb9'bde=9:o97E69f:l2645=::;i7d68f;29?l>?83:17d671;29?jc413:17pl;c`495?2=83:p(<>ib;:e3>"??;0hj55+11:f>13ek2.mmn4>3d08L=0a3g;9=>4=30a8m=1a2900e56?:188m=>62900ch=6:188yg2c?l0:694?:1y'55`e21l<7)682;ae<>"681o188ll;%dbg?74mo1C4;h4n0027?449m1b4:h50;9j<=6=831b45?50;9la6?=831vn9j70;390?6=8r.:e:k;3c<722c34=4?::k;<4<722en?44?::a0a>5280?6=4?{%33bg1/4:<5cg:8 46?m3>>nn5+f`a956ca3A2=j6`>2019667a3`25;h:;4?6=3`23=7>5;ng0=?6=3th?h5:51;694?6|,8:mn76i7:&;37"aij0:?hk4H94e?k759:09??>4i95e>5<5<=`03-2<>7mi8:&24=c=<3;0064=n0>l1<75f89294?=n01;1<75`e2;94?=zj=n3m7?54;294~"68oh14k94$951>f`?3-;;4h4;5ca8 cgd289n=6F76g9m57742;99>6g77g83>>o?090;66g78083>>ib;00;66sm4e:`>4<3290;w)??fc8;b2=#0>81ok64$02;a?22jj1/jlm512g2?M>1n2d:><=52200?l>0n3:17d670;29?l>?93:17bk<9;29?xd3lmh1=7:50;2x 46aj32m;6*7738`b==#992n69;mc:&eef<6;ml0D58i;o3156<5;;>0e59i:188m=>72900e56>:188k`5>2900qo:kde82>1<729q/==hm:9d4?!>0:3im46*>09g900dd3-ljo7?50;9j<=7=831di>750;9~f1bcn3;187>50z&24cd=0o=0(59=:bd;?!770l0?9om4$gc`>45cn2B3:k5a1330>755>2c3;k4?::k;<5<722c34<4?::mf7<<722wi8ik>:087>5<7s-;;jo47f69'<24=ko20(<>7e;66ff=#nhi1=>jj;I:5b>h6:891>><8;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7``5=93>1<7>t$02ef?>a?2.3;?4lf99'55>b2=?io6*iab827ac<@15<5<oh<4>:583>5}#99li65h8;%:46?ea02.:<5k544``?!`fk3;8n?5G87d8j446;388>45f86d94?=n01:1<75f89394?=hm:31<75rb5fg7?7=<3:10g`9N?>o1e=??<:311e>o??o0;66g78183>>o?080;66aj3883>>{e6<4;:183!77nk03j:5+8609gc><,8:3i7::bb9'bde=9:237E69f:l2645=::8i7d68f;29?l>?83:17d671;29?jc413:17pl;de595?2=83:p(<>ib;:e3>"??;0hj55+11:f>13ek2.mmn4>3ef8L=0a3g;9=>4=33a8m=1a2900e56?:188m=>62900ch=6:188yg2cl00:694?:1y'55`e21l<7)682;ae<>"681o188ll;%dbg?74lm1C4;h4n0027?44:m1b4:h50;9j<=6=831b45?50;9la6?=831vn9jm1;390?6=8r.:1/4:<5cg:8 46?m3>>nn5G87d8j446;388>k5f86d94?=n01:1<75f89394?=hm:31<75rb5fa1?7=<3:10g`9N?>o1e=??<:3104>o??o0;66g78183>>o?080;66aj3883>>{e<,8:3i7::bb9'bde=9:o;7E69f:l2645=::9:7d68f;29?l>?83:17d671;29?jc413:17pl;db795?2=83:p(<>ib;:e3>"??;0hj55+11:f>13ek2.mmn4>3c38L=0a3g;9=>4=3208m=1a2900e56?:188m=>62900ch=6:188yg2ck>0:694?:1y'55`e21l<7)682;ae<>"681o188ll;%dbg?740>1C4;h4n0027?44;:1b4:h50;9j<=6=831b45?50;9la6?=831vn9jl9;390?6=8r.:1/4:<5cg:8 46?m3>>nn5+f`a956>?3A2=j6`>201966523`25;h:;4?6=3`23=7>5;ng0=?6=3th?hnj51;694?6|,8:mn76i7:&;37"aij0:?584H94e?k759:09?>84i95e>5<5<=`03-2<>7mi8:&24=c=<3;0072=n0>l1<75f89294?=n01;1<75`e2;94?=zj=ni57?54;294~"68oh14k94$951>f`?3-;;4h4;5ca8 cgd289i=6F76g9m57742;9846g77g83>>o?090;66g78083>>ib;00;66sm4e`a>4<3290;w)??fc8;b2=#0>81ok64$02;a?22jj1/jlm512:4?M>1n2d:><=5221:?l>0n3:17d670;29?l>?93:17bk<9;29?xd3lkn1=7:50;2x 46aj32m;6*7738`b==#992n69;mc:&eef<6;mh0D58i;o3156<5;:k0e59i:188m=>72900e56>:188k`5>2900qo:kbg82>1<729q/==hm:9d4?!>0:3im46*>09g900dd3-ljo7?<8b9K<3`50;9j<=7=831di>750;9~f1bd93;187>50z&24cd=0o=0(59=:bd;?!770l0?9om4$gc`>45cj2B3:k5a1330>754k2c3;k4?::k;<5<722c34<4?::mf7<<722wi8im<:087>5<7s-;;jo47f69'<24=ko20(<>7e;66ff=#nhi1=>69;I:5b>h6:891>>=k;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7g6c=93<1<7>t$02ef?>a?2.3;?4ka`9'55>b2=h<:6F76g9m57742;98i6g77g83>>o?090;66g78083>>o?0;0;66g78283>>ib;00;66sm4b0e>4<3290;w)??fc8;b2=#0>81o;m4$02;a?2e??1C4;h4n0027?44;o1b4:h50;9j<=6=831b45?50;9la6?=831vn9m<1;390?6=8r.:5<5<h?>4>:583>5}#99li65h8;%:46?e1k2.:<5k54c55?M>1n2d:><=52262?l>0n3:17d670;29?l>?93:17bk<9;29?xd3k:?1=7:50;2x 46aj32m;6*7738`2f=#992n69l86:J;2c=i9;;86?=;2:k;3c<722c34=4?::k;<4<722en?44?::a0f50280?6=4?{%33bg1/4:<5c6c8 46?m3>i;;5G87d8j446;3888>5f86d94?=n01:1<75f89394?=hm:31<75rb5a0=?7=<3:10g`9<0D58i;o3156<5;=>0e59i:188m=>72900e56>:188k`5>2900qo:l3c82>1<729q/==hm:9d4?!>0:3i09g90g113A2=j6`>201966223`25;h:;4?6=3`23=7>5;ng0=?6=3th?o>j51;694?6|,8:mn76i7:&;37N?>o1e=??<:3172>o??o0;66g78183>>o?080;66aj3883>>{e6<4;:183!77nk03j:5+8609g2g<,8:3i7:m779K<3`50;9j<=7=831di>750;9~f1e6?3;187>50z&24cd=0o=0(59=:b5b?!770l0?n:84H94e?k759:09?964i95e>5<5<=`03-2<>7m8a:&24=c=27d68f;29?l>?83:17d671;29?jc413:17pl;c0`95?2=83:p(<>ib;:e3>"??;0h:n5+11:f>1d0>2B3:k5a1330>753i2c3;k4?::k;<5<722c34<4?::mf7<<722wi8n?k:087>5<7s-;;jo47f69'<24=k?i0(<>7e;6a33=O0?l0b<<>3;000g=n0>l1<75f89294?=n01;1<75`e2;94?=zj=i:j7?54;294~"68oh14k94$951>f0d3-;;4h4;b648L=0a3g;9=>4=35a8m=1a2900e56?:188m=>62900ch=6:188yg2d:80:694?:1y'55`e21l<7)682;a5g>"681o18o99;I:5b>h6:891>>:k;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7g75=93>1<7>t$02ef?>a?2.3;?4l6b9'55>b2=h<:6F76g9m57742;9?i6g77g83>>o?090;66g78083>>ib;00;66sm4b06>4<3290;w)??fc8;b2=#0>81o;m4$02;a?2e??1C4;h4n0027?445<5<h>l4>:583>5}#99li65h8;%:46?e1k2.:<5k54c55?M>1n2d:><=52272?l>0n3:17d670;29?l>?93:17bk<9;29?xd3k;i1=7:50;2x 46aj32m;6*7738`2f=#992n69l86:J;2c=i9;;86?=:2:k;3c<722c34=4?::k;<4<722en?44?::a0f4b280?6=4?{%33bg1/4:<5c7a8 46?m3>i;;5G87d8j446;3889>5f86d94?=n01:1<75f89394?=hm:31<75rb42a`?7=<3:10g`9N?>o1e=??<:3160>o??o0;66g78183>>o?080;66aj3883>>{e=9hm6<4;:183!77nk03j:5+8609g3e<,8:3i7::bb9K<3`50;9j<=7=831di>750;9~f06d93;187>50z&24cd=0o=0(59=:b4`?!770l0?9om4H94e?k759:09?884i95e>5<5<=`03-2<>7m9c:&24=c=<?83:17d671;29?jc413:17pl:0b795?2=83:p(<>ib;:e3>"??;0h:n5+11:f>13ek2B3:k5a1330>75202c3;k4?::k;<5<722c34<4?::mf7<<722wi9=m8:087>5<7s-;;jo47f69'<24=k?i0(<>7e;66ff=O0?l0b<<>3;001<=n0>l1<75f89294?=n01;1<75`e2;94?=zj<:h57?54;294~"68oh14k94$951>f0d3-;;4h4;5ca8L=0a3g;9=>4=34c8m=1a2900e56?:188m=>62900ch=6:188yg37kk0:694?:1y'55`e21l<7)682;a5g>"681o188ll;I:5b>h6:891>>;m;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`64g1=93>1<7>t$02ef?>a?2.3;?4l6b9'55>b2=?io6F76g9m57742;9>o6g77g83>>o?090;66g78083>>ib;00;66sm51`:>4<3290;w)??fc8;b2=#0>81o;m4$02;a?22jj1C4;h4n0027?44=m1b4:h50;9j<=6=831b45?50;9la6?=831vn8>mb;390?6=8r.:5<5<i?h4>:683>5}#99li65h8;%:46?eei2.:<5k544``?M>1n2d:><=5227e?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;b5695?1=83:p(<>ib;:e3>"??;0h<55+11:f>13ek2B3:k5a1330>75182c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a0g2?280<6=4?{%33bg1/4:<5c378 46?m3>>nn5G87d8j446;388:<5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=h=57?54;294~"68oh14k94$951>fba3-;;4h4;5ca8 cgd288n>6F76g9m57742;9=>6g77g83>>o?090;66g78083>>ib;00;66sm4d73>4<1290;w)682;5`f>"681o188ll;%33bg<68<80(<>m0;33ec=#002186F76g9m57742;9=?6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c6`e7<62?0;6=u+11da>=`03-2<>7mlf:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;e2095?0=83:p(59=:6aa?!770l0?9om4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=::<>7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb5`73?7=>3:10g`9N?>o1e=??<:3152>o??o0;66g78183>>o?080;66g78383>>o?0:0;66aj3883>>{e<,8:3i7::bb9K<3`50;9j<=7=831di>750;9~f1eb;3;187>50z&24cd=0o=0(59=:bd;?!770l0?9om4$gc`>427;2B3:k5a1330>75102c3;k4?::k;<5<722c34<4?::mf7<<722wi8nk::087>5<7s-;;jo47f69'<24=ko20(<>7e;66ff=#nhi1=9><;I:5b>h6:891>>86;h:4b?6=3`23<7>5;h:;5?6=3fo857>5;|`7g`1=93>1<7>t$02ef?>a?2.3;?4lf99'55>b2=?io6*iab82054<@15<5<hi44>:583>5}#99li65h8;%:46?ea02.:<5k544``?!`fk3;?0g`9N?>o1e=??<:315g>o??o0;66g78183>>o?080;66aj3883>>{e<,8:3i7::bb9'bde=9=::7E69f:l2645=::?83:17d671;29?jc413:17pl<51395?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=::>i68:91<75rb2736?7=>3:1<=4:&24cd=99?97)??b1824d`<,133695G87d8j446;388:k5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`0155=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891>>9?;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f637<3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd4=9?1=7850;2x =152>ii7)??8d80672<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=52251?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj:?;:7?56;294~"??;0645<2.:1=O0?l0b<<>3;0036=n<1=1<75f43:94?=n<><1<75f110b>5<0(<>ib;3317=#99h;6<>nf:&;==<33A2=j6`>201966133`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>:i6;392?6=8r.3;?48cc9'55>b2:8986*>0g`955353-;;n=4>0`d8 =??2=1C4;h4n0027?44?<1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl<4g595?0=83:p(59=:6aa?!770l08>?:4$02ef?77=;1/==l?:02bb>"?110?7E69f:l2645=::==7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb26e3:1<=4:&24cd=99?97)??b1824d`<,133695G87d8j446;388;:5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`00c?=93<1<7>t$951>2ee3-;;4h4<2368 46aj3;;9?5+11`3>46fn2.3554;;I:5b>h6:891>>97;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f62ai3;1:7>50z&;37<0kk1/==6j:2010>"68oh1==;=;%33f5<68hl0(577:59K<3`<3;29?xd3?mi1=7:50;2x 46aj32m;6*7738a=0=#992n69;mc:J;2c=i9;;86?=8a:k;3c<722c34=4?::k;<4<722en?44?::a0`5f280=6=4?{%:46?1dj2.:<5k544``?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86?=8b:k7<2<722c?>54?::k733<722c:7e;66ff=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4=36a8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e50;9j<=7=831b45<50;9j<=5=831di>750;9~f1bfn3;1:7>50z&24cd=0o=0(59=:bae?!770l0?9om4H94e?k759:09?:k4i95e>5<5<5<=`03-2<>7mlf:&24=c=<?83:17d671;29?l>?:3:17d673;29?jc413:17pl;7e:95?1=83:p(<>ib;:e3>"??;0h??5+11:f>13ek2B3:k5a1330>75?82c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a02b1280<6=4?{%33bg1/4:<5c208 46?m3>>nn5G87d8j446;3884<5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=o>>7?54;294~"68oh14k94$951>a073-;;4h4;5ca8L=0a3g;9=>4=3908m=1a2900e56?:188m=>62900ch=6:188yg2a?;0:6;4?:1y'<24=?j?0(<>7e;6e7c=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd6k891=7850;2x =152>ii7)??8d82fc3<,8:mn7??539'55d728:jj6*79987?M>1n2d:><=522:7?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj=ij47?56;294~"68oh14k94$951>fea3-;;4h4;5ca8L=0a3g;9=>4=3978m=1a2900e56?:188m=>62900e56=:188m=>42900ch=6:188yg53nk0:6;4?:1y'<24=?jh0(<>7e;1161=#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4=3948m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;=lh6<49:183!>0:3=hn6*>09g977433-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:31;3>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?55:=1/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi8hk7:085>5<7s-2<>79l5:&24=c=<1:&;==<33A2=j6`>201966>>3`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn>m=0;396?6=8r.:<@1o:7E69f:l2645=::2j7d68f;29?jc413:17pl;6df95?4=83:p(<>ib;:e3>"??;0n=h5+11:f>13ek2B3i<5G87d8j446;3884o5f86d94?=hm:31<75rb33f4?7=:3:10g`9;I:5b>h6:891>>6l;h:4b?6=3fo857>5;|`1427=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;:>i6F7e09K<3`750;9~f123l3;1>7>50z&24cd=0o=0(59=:d3f?!770l0?8>94H9g2?M>1n2d:><=522:f?l>0n3:17bk<9;29?xd3<=h1=7<50;2x 46aj32m;6*7738f5`=#992n69:<7:J;a4=O0?l0b<<>3;00l1<75`e2;94?=zj=>?57?52;294~"68oh14k94$951>`7b3-;;4h4;4258L=c63A2=j6`>201966?73`25;ng0=?6=3th?94m51;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44181b4:h50;9la6?=831vn9;6a;396?6=8r.:ib;:e3>"??;0n=h5+11:f>13?>2B3i<5G87d8j446;3885>5f86d94?=hm:31<75rb56f0g`9;I:5b>h6:891>>7;;h:4b?6=3fo857>5;|`70`0=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2=>j;6F7e09K<3`750;9~f12b<3;1>7>50z&24cd=0o=0(59=:d3f?!770l0?8l94H9g2?M>1n2d:><=522;5?l>0n3:17bk<9;29?xd33;00=2=n0>l1<75`e2;94?=zj=>n<7?52;294~"68oh14k94$951>`7b3-;;4h4;4`58L=c63A2=j6`>201966??3`25;ng0=?6=3th?8ik51;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44101b4:h50;9la6?=831vn9:ia;396?6=8r.:ib;:e3>"??;0n=h5+11:f>12f?2B3i<5G87d8j446;3885o5f86d94?=hm:31<75rb56e2?7=:3:10g`9;I:5b>h6:891>>7l;h:4b?6=3fo857>5;|`70c2=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2=>j;6F7e09K<3`750;9~f12a:3;1>7>50z&24cd=0o=0(59=:d3f?!770l0?8l94H9g2?M>1n2d:><=522;f?l>0n3:17bk<9;29?xd33;00=c=n0>l1<75`e2;94?=zj=>ni7?52;294~"68oh14k94$951>`7b3-;;4h4;4`58L=c63A2=j6`>201966g73`25;ng0=?6=3th?8hm51;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44i81b4:h50;9la6?=831vn9:ja;396?6=8r.:ib;:e3>"??;0n=h5+11:f>150n2B3i<5G87d8j446;388m>5f86d94?=hm:31<75rb51a2?7=:3:10g`9l0D5k>;I:5b>h6:891>>o;;h:4b?6=3fo857>5;|`75<6=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2=;750;9~f161l3;1>7>50z&24cd=0o=0(59=:d3f?!770l08jk64H9g2?M>1n2d:><=522c5?l>0n3:17bk<9;29?xd5;<91=7<50;2x 46aj32m;6*7738f5`=#992n6?=>0:J;a4=O0?l0b<<>3;00e2=n0>l1<75`e2;94?=zj;9>97?52;294~"68oh14k94$951>`7b3-;;4h4=3028L=c63A2=j6`>201966g?3`25;ng0=?6=3th9?8951;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44i01b4:h50;9la6?=831vn?=:9;396?6=8r.:ib;:e3>"??;0n=h5+11:f>75682B3i<5G87d8j446;388mo5f86d94?=hm:31<75rb316`?7=:3:10g`9;I:5b>h6:891>>ol;h:4b?6=3fo857>5;|`170`=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;9:<6F7e09K<3`750;9~f75193;1>7>50z&24cd=0o=0(59=:d3f?!770l09?<>4H9g2?M>1n2d:><=522cf?l>0n3:17bk<9;29?xd5;?91=7<50;2x 46aj32m;6*7738f5`=#992n6?=>0:J;a4=O0?l0b<<>3;00ec=n0>l1<75`e2;94?=zj;9?;7?52;294~"68oh14k94$951>`7b3-;;4h4=3028L=c63A2=j6`>201966d73`25;ng0=?6=3th9?9751;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44j81b4:h50;9la6?=831vn?=;b;396?6=8r.:ib;:e3>"??;0n=h5+11:f>75682B3i<5G87d8j446;388n>5f86d94?=hm:31<75rb317b?7=:3:10g`9;I:5b>h6:891>>l;;h:4b?6=3fo857>5;|`1707=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;9:<6F7e09K<3`750;9~f72793;1>7>50z&24cd=0o=0(59=:d3f?!770l09?hh4H9g2?M>1n2d:><=522`5?l>0n3:17bk<9;29?xd5<991=7<50;2x 46aj32m;6*7738f5`=#992n6?=jf:J;a4=O0?l0b<<>3;00f2=n0>l1<75`e2;94?=zj;>;97?52;294~"68oh14k94$951>`7b3-;;4h4=3dd8L=c63A2=j6`>201966d?3`25;ng0=?6=3th9>o<51;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44j01b4:h50;9la6?=831vn?ib;:e3>"??;0n=h5+11:f>74f82B3i<5G87d8j446;388no5f86d94?=hm:31<75rb3d4a?7=:3:10g`9;I:5b>h6:891>>ll;h:4b?6=3fo857>5;|`1b2e=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;o=46F7e09K<3`750;9~f7`0i3;1>7>50z&24cd=0o=0(59=:d3f?!770l09i;64H9g2?M>1n2d:><=522`f?l>0n3:17bk<9;29?xd5n>21=7<50;2x 46aj32m;6*7738f5`=#992n6?k98:J;a4=O0?l0b<<>3;00fc=n0>l1<75`e2;94?=zj;l<:7?52;294~"68oh14k94$951>`7b3-;;4h4=e7:8L=c63A2=j6`>201966e73`25;ng0=?6=3th9j::51;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44k81b4:h50;9la6?=831vn?h82;396?6=8r.:<@1o:7E69f:l2645=::i97d68f;29?jc413:17pl=f6295?4=83:p(<>ib;:e3>"??;0n=h5+11:f>7c102B3i<5G87d8j446;388o>5f86d94?=hm:31<75rb3d:4?7=:3:10g`9;I:5b>h6:891>>m;;h:4b?6=3fo857>5;|`1b=c=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;o=46F7e09K<3`750;9~f7`?k3;1>7>50z&24cd=0o=0(59=:d3f?!770l09i;64H9g2?M>1n2d:><=522a5?l>0n3:17bk<9;29?xd5n1k1=7<50;2x 46aj32m;6*7738f5`=#992n6?k98:J;a4=O0?l0b<<>3;00g2=n0>l1<75`e2;94?=zj;l347?52;294~"68oh14k94$951>`7b3-;;4h4=e7:8L=c63A2=j6`>201966e?3`25;ng0=?6=3th9j5851;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44k01b4:h50;9la6?=831vn?h74;396?6=8r.:<@1o:7E69f:l2645=::ij7d68f;29?jc413:17pl=f9095?4=83:p(<>ib;:e3>"??;0n=h5+11:f>7c102B3i<5G87d8j446;388oo5f86d94?=hm:31<75rb3d;4?7=:3:10g`9;I:5b>h6:891>>ml;h:4b?6=3fo857>5;|`1f`6=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;khm6F7e09K<3`750;9~f7dcm3;1>7>50z&24cd=0o=0(59=:d3f?!770l09mno4H9g2?M>1n2d:><=522af?l>0n3:17bk<9;29?xd5jmi1=7<50;2x 46aj32m;6*7738f5`=#992n6?ola:J;a4=O0?l0b<<>3;00gc=n0>l1<75`e2;94?=zj;hom7?52;294~"68oh14k94$951>`7b3-;;4h4=abc8L=c63A2=j6`>201966b73`25;ng0=?6=3th9ni651;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44l81b4:h50;9la6?=831vn?lk6;396?6=8r.:ib;:e3>"??;0n=h5+11:f>7gdi2B3i<5G87d8j446;388h>5f86d94?=hm:31<75rb3`g6?7=:3:10g`9;I:5b>h6:891>>j;;h:4b?6=3fo857>5;|`1fc4=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;khm6F7e09K<3`750;9~f7da83;1>7>50z&24cd=0o=0(59=:d3f?!770l09mno4H9g2?M>1n2d:><=522f5?l>0n3:17bk<9;29?xd5jlo1=7<50;2x 46aj32m;6*7738f5`=#992n6?ola:J;a4=O0?l0b<<>3;00`2=n0>l1<75`e2;94?=zj;hno7?52;294~"68oh14k94$951>`7b3-;;4h4=abc8L=c63A2=j6`>201966b?3`25;ng0=?6=3th9nho51;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44l01b4:h50;9la6?=831vn?lj8;396?6=8r.:ib;:e3>"??;0n=h5+11:f>7gdi2B3i<5G87d8j446;388ho5f86d94?=hm:31<75rb3`f0?7=:3:10g`9;I:5b>h6:891>>jl;h:4b?6=3fo857>5;|`1f`4=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;khm6F7e09K<3`750;9~f7>3:3;1>7>50z&24cd=0o=0(59=:d3f?!770l09;?m4H9g2?M>1n2d:><=522ff?l>0n3:17bk<9;29?xd50=:1=7<50;2x 46aj32m;6*7738f5`=#992n6?9=c:J;a4=O0?l0b<<>3;00`c=n0>l1<75`e2;94?=zj;28i7?52;294~"68oh14k94$951>`7b3-;;4h4=73a8L=c63A2=j6`>201966c73`25;ng0=?6=3th94>m51;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44m81b4:h50;9la6?=831vn?6ib;:e3>"??;0n=h5+11:f>715k2B3i<5G87d8j446;388i>5f86d94?=hm:31<75rb3:02?7=:3:10g`9;I:5b>h6:891>>k;;h:4b?6=3fo857>5;|`1<62=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;=9o6F7e09K<3`750;9~f7>2<3;1>7>50z&24cd=0o=0(59=:d3f?!770l09;?m4H9g2?M>1n2d:><=522g5?l>0n3:17bk<9;29?xd50<81=7<50;2x 46aj32m;6*7738f5`=#992n6?9=c:J;a4=O0?l0b<<>3;00a2=n0>l1<75`e2;94?=zj;2><7?52;294~"68oh14k94$951>`7b3-;;4h4=73a8L=c63A2=j6`>201966c?3`25;ng0=?6=3th949k51;094?6|,8:mn76i7:&;37N?m81C4;h4n0027?44m01b4:h50;9la6?=831vn?6;c;396?6=8r.:ib;:e3>"??;0n=h5+11:f>715k2B3i<5G87d8j446;388io5f86d94?=hm:31<75rb3:70g`9;I:5b>h6:891>>kl;h:4b?6=3fo857>5;|`1<10=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2;=9o6F7e09K<3`750;9~f7>3<3;1>7>50z&24cd=0o=0(59=:d3f?!770l09;?m4H9g2?M>1n2d:><=522gf?l>0n3:17bk<9;29?xd6ll21=7<50;2x 46aj32m;6*7738f5`=#992n62:J;a4=O0?l0b<<>3;00ac=n0>l1<75`e2;94?=zj;:jo7?52;294~"68oh14k94$951>`7b3-;;4h4=05g8L=c63A2=j6`>201966`73`25;ng0=?6=3th9>N?m81C4;h4n0027?44n81b4:h50;9la6?=831vn><93;396?6=8r.:ib;:e3>"??;0n=h5+11:f>743=2B3i<5G87d8j446;388j>5f86d94?=hm:31<75rb3073?7=:3:10g`9;I:5b>h6:891>>h;;h:4b?6=3fo857>5;|`00c`=9381<7>t$02ef?>a?2.3;?4j1d9'55>b2:8986F7e09K<3`750;9~f1d0:3;187>50z&24cd=1<<0(<>7e;66ff=O0?l0b<<>3;00b3=n0>l1<75f89294?=n995<i;>4>:683>5}#99li65h8;%:46?bak2.:<5k544``?M>1n2d:><=522d4?l>0n3:17d670;29?l>?93:17d672;29?l>?;3:17d674;29?jc413:17pl;8`295?2=83:p(<>ib;;62>"681o188ll;I:5b>h6:891>>h7;h:4b?6=3`23<7>5;h3321<722ei:44?::a0=g2280<6=4?{%33bg1/4:<5d`:8 46?m3>>nn5G87d8j446;388j45f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj=2j:7?57;294~"68oh14k94$951>f6b3-;;4h4;5ca8L=0a3g;9=>4=3gc8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo=ka982>3<729q/4:<57b`8 46?m39ih55+11da>462:2.:511ce?!>>03>0D58i;o3156<5;oh0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3ec5>4<1290;w)682;5`f>"681o1?oj7;%33bg<68<80(<>m0;33ec=#002186F76g9m57742;9mo6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1gf<<62?0;6=u+86093fd<,8:3i7=md99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:09?kj4i5:4>5<5<5;h62e?6=3f;;?>4?::a7ad0280=6=4?{%:46?1dj2.:<5k53cf;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86?=ie:k7<2<722c?>54?::k733<722c:7e;1a`==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4=3gd8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;mh86<49:183!>0:3=hn6*>09g97gb?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:3634>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?5el11/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi?ioi:085>5<7s-2<>79lb:&24=c=;kn37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>727:2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m39ih55+11da>462:2.:511ce?!>>03>0D58i;o3156<5<990e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm3eca>4<1290;w)682;5`f>"681o1?oj7;%33bg<68<80(<>m0;33ec=#002186F76g9m57742;>;86g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c1ge<<62?0;6=u+86093fd<,8:3i7=md99'55`e28:>>6*>0c2955ga3-2247:4H94e?k759:098=;4i5:4>5<5<5;h62e?6=3f;;?>4?::a7ag4280=6=4?{%:46?1dj2.:<5k53cf;?!77nk0:<8<4$02a4?77io1/44654:J;2c=i9;;86?:?6:k7<2<722c?>54?::k733<722c:7e;1a`==#99li6<>:2:&24g6=99km7)668;68L=0a3g;9=>4=4158m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e;mho6<49:183!>0:3=hn6*>09g97gb?3-;;jo4>0408 46e83;;mk5+88:90>N?>o1e=??<:363<>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?5el11/==hm:0266>"68k:1==oi;%::5<:m7>5;n3376<722wi?k=m:085>5<7s-2<>79lb:&24=c=;kn37)??fc82404<,8:i<7??ag9'<<>=<2B3:k5a1330>727i2c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m39ih55+11da>462:2.:511ce?!>>03>0D58i;o3156<5<9h0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1eg7>4<4290;w)??fc8;b2=#0>81hl=4$02;a?7c9;1C4;h4n0027?438j1b4:h50;9j<=6=831di>750;9~f4bb=3;1?7>50z&24cd=0o=0(59=:ec0?!770l0:h<<4H94e?k759:098=j4i95e>5<5<:283>5}#99li65h8;%:46?bf;2.:<5k51e31?M>1n2d:><=5252f?l>0n3:17d670;29?jc413:17pl>14195?4=83:p(<>ib;:;1>"681o1=n<;;%:fe?>?12B3:k5a1330>727n2c3;h4?::ma2<<722wi=<;;:080>5<7s-;;jo4>0c68 46?m3;h>95+8dc9a6?<@15ii7)??8d8212`<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:3625>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::3A2=j6`>201961753`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn95+8dc9<=?<@15<:283>5}#99li6<>m4:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:3620>o??l0;66g87383>M>b821dn;750;9~f4>493;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4=4078m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9>9:6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:9f<=O0?l0b<<>3;0753=n<1=1<75f43:94?=n<><1<75f110b>5<N?>o1e=??<:3623>o??l0;66am6883>>{e98>n6<4<:183!77nk0:4=40:8m=1b2900e:9=:18K<`6<3fh=57>5;|`2<66=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86?:>9:k7<2<722c?>54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=5253b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8;?m7?52;294~"68oh145;4$02;a?7d:=1/4ho589;8L=0a3g;9=>4=40`8m=1b2900co86:188yg764?:1y'55`e28:i86*>09g95f433-2nm7k<9:J;2c=i9;;86?:>c:k;3`<722c<;?4?:I:f4>=hj?31<75rb0:1b?7=>3:15<5<5;h62e?6=3f;;?>4?::a524a280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/4465b89K<3`<3;29?xd69==1=7<50;2x 46aj32396*>09g95f433-2nm7679:J;2c=i9;;86?:>f:k;3`<722ei:44?::a542?28086=4?{%33bg<68k>0(<>7e;3`61=#0lk1i>74H94e?k759:098?>4i95f>5<=96=4G8d28?jd113:17pl>83g95?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742;>9=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c346`<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247l6;I:5b>h6:891>9<=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f473<3;1>7>50z&24cd=01?0(<>7e;3`61=#0lk14574H94e?k759:098?=4i95f>5<46e<2.:<5k51b07?!>bi3o856F76g9m57742;>986g77d83>>o0?;0;6E6j0:9lf3?=831vn<6=d;392?6=8r.3;?48cc9'55>b28?0g`955353-;;n=4>0`d8 =??2k30D58i;o3156<5<;?0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm160g>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#0021n45G87d8j446;38?>;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`253c=9381<7>t$02ef?>?=2.:<5k51b07?!>bi32356F76g9m57742;>9;6g77d83>>ie>00;66sm104e>4<4290;w)??fc824g2<,8:3i7?l259'<`g=m:30D58i;o3156<5<;20e59j:188m215290C4h>4;n`5=?6=3th:48k51;494?6|,1=96:mm;%33<`<6=>l0(<>ib;3317=#99h;6<>nf:&;==72512c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03h27E69f:l2645=:=8j7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb035f?7=:3:10g`9<=3<,8:3i7?l259'<`g=0130D58i;o3156<5<;h0e59j:188kg0>2900qo?>6b82>6<729q/==hm:02a0>"681o1=n<;;%:fe?c412B3:k5a1330>725k2c3;h4?::k437<72A2n<65`b7;94?=zj82>h7?56;294~"??;0430n2.:g?<@15<:m7>5;n3376<722wi=:;m:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?43:l1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>17:95?4=83:p(<>ib;:;1>"681o1=n<;;%:fe?>?12B3:k5a1330>725n2c3;h4?::ma2<<722wi=<86:080>5<7s-;;jo4>0c68 46?m3;h>95+8dc9a6?<@15ii7)??8d8212`<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:3605>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::3A2=j6`>201961553`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn95+8dc9<=?<@15<:283>5}#99li6<>m4:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:3600>o??l0;66g87383>M>b821dn;750;9~f4>2j3;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4=4278m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9>?26<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:9f<=O0?l0b<<>3;0773=n<1=1<75f43:94?=n<><1<75f110b>5<N?>o1e=??<:3603>o??l0;66am6883>>{e98<86<4<:183!77nk0:4=42:8m=1b2900e:9=:18K<`6<3fh=57>5;|`2<0g=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86?:<9:k7<2<722c?>54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=5251b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8;>j7?52;294~"68oh145;4$02;a?7d:=1/4ho589;8L=0a3g;9=>4=42`8m=1b2900co86:188yg76>90:6>4?:1y'55`e28:i86*>09g95f433-2nm7k<9:J;2c=i9;;86?:=hj?31<75rb0:7b?7=>3:1j4i5:4>5<5<5;h62e?6=3f;;?>4?::a522c280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/4465b89K<3`<3;29?xd6909g95f433-2nm7679:J;2c=i9;;86?:0(<>7e;3`61=#0lk1i>74H94e?k759:0989>4i95f>5<=96=4G8d28?jd113:17pl>85095?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742;>?=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3407<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247l6;I:5b>h6:891>9:=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f47213;1>7>50z&24cd=01?0(<>7e;3`61=#0lk14574H94e?k759:0989=4i95f>5<46e<2.:<5k51b07?!>bi3o856F76g9m57742;>?86g77d83>>o0?;0;6E6j0:9lf3?=831vn<6<7;392?6=8r.3;?48cc9'55>b28?0g`955353-;;n=4>0`d8 =??2k30D58i;o3156<5<=?0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1614>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#0021n45G87d8j446;38?8;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`2500=9381<7>t$02ef?>?=2.:<5k51b07?!>bi32356F76g9m57742;>?;6g77d83>>ie>00;66sm1074>4<4290;w)??fc824g2<,8:3i7?l259'<`g=m:30D58i;o3156<5<=20e59j:188m215290C4h>4;n`5=?6=3th:4?m51;494?6|,1=96:mm;%33<`<6=>l0(<>ib;3317=#99h;6<>nf:&;==72312c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03h27E69f:l2645=:=>j7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0375?7=:3:10g`9<=3<,8:3i7?l259'<`g=0130D58i;o3156<5<=h0e59j:188kg0>2900qo?>4382>6<729q/==hm:02a0>"681o1=n<;;%:fe?c412B3:k5a1330>723k2c3;h4?::k437<72A2n<65`b7;94?=zj82957?56;294~"??;0430n2.:g?<@15<:m7>5;n3376<722wi=:5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?43=831b8:850;9j554f2900e9?n:188k464;3:17pl>18695?4=83:p(<>ib;:;1>"681o1=n<;;%:fe?>?12B3:k5a1330>723n2c3;h4?::ma2<<722wi=<7::080>5<7s-;;jo4>0c68 46?m3;h>95+8dc9a6?<@151=7850;2x =152>ii7)??8d8212`<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:3665>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::3A2=j6`>201961353`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn95+8dc9<=?<@15<:283>5}#99li6<>m4:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:3660>o??l0;66g87383>M>b821dn;750;9~f4>3;3;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4=4478m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9>>86<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:9f<=O0?l0b<<>3;0713=n<1=1<75f43:94?=n<><1<75f110b>5<N?>o1e=??<:3663>o??l0;66am6883>>{e982m6<4<:183!77nk0:4=44:8m=1b2900e:9=:18K<`6<3fh=57>5;|`2<17=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86?::9:k7<2<722c?>54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=5257b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8;3n7?52;294~"68oh145;4$02;a?7d:=1/4ho589;8L=0a3g;9=>4=44`8m=1b2900co86:188yg760j0:6>4?:1y'55`e28:i86*>09g95f433-2nm7k<9:J;2c=i9;;86?::c:k;3`<722c<;?4?:I:f4>=hj?31<75rb0:74?7=>3:15<5<5;h62e?6=3f;;?>4?::a5227280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/4465b89K<3`<3;29?xd69121=7<50;2x 46aj32396*>09g95f433-2nm7679:J;2c=i9;;86?::f:k;3`<722ei:44?::a54>>28086=4?{%33bg<68k>0(<>7e;3`61=#0lk1i>74H94e?k759:098;>4i95f>5<=96=4G8d28?jd113:17pl>82d95?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742;>==6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c347c<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247l6;I:5b>h6:891>98=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f47?=3;1>7>50z&24cd=01?0(<>7e;3`61=#0lk14574H94e?k759:098;=4i95f>5<46e<2.:<5k51b07?!>bi3o856F76g9m57742;>=86g77d83>>o0?;0;6E6j0:9lf3?=831vn<6b28?0g`955353-;;n=4>0`d8 =??2k30D58i;o3156<5a;29?j77;:0;66sm161f>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#0021n45G87d8j446;38?:;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`25d`=9381<7>t$02ef?>?=2.:<5k51b07?!>bi32356F76g9m57742;>=;6g77d83>>ie>00;66sm10`3>4<4290;w)??fc824g2<,8:3i7?l259'<`g=m:30D58i;o3156<54;n`5=?6=3th:4>j51;494?6|,1=96:mm;%33<`<6=>l0(<>ib;3317=#99h;6<>nf:&;==72112c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03h27E69f:l2645=:=>i68:91<75rb03bg?7=:3:10g`9<=3<,8:3i7?l259'<`g=0130D58i;o3156<52900qo?>ae82>6<729q/==hm:02a0>"681o1=n<;;%:fe?c412B3:k5a1330>721k2c3;h4?::k437<72A2n<65`b7;94?=zj828o7?56;294~"??;0430n2.:g?<@15<:m7>5;n3376<722wi=:=l:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?43>l1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>1`;95?4=83:p(<>ib;:;1>"681o1=n<;;%:fe?>?12B3:k5a1330>721n2c3;h4?::ma2<<722wi=5<7s-;;jo4>0c68 46?m3;h>95+8dc9a6?<@15ii7)??8d8212`<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:3645>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::3A2=j6`>201961153`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn95+8dc9<=?<@15<:283>5}#99li6<>m4:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:3640>o??l0;66g87383>M>b821dn;750;9~f4>4i3;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4=4678m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9>9j6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:9f<=O0?l0b<<>3;0733=n<1=1<75f43:94?=n<><1<75f110b>5<N?>o1e=??<:3643>o??l0;66am6883>>{e98k?6<4<:183!77nk0:4=46:8m=1b2900e:9=:18K<`6<3fh=57>5;|`2<6?=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86?:89:k7<2<722c?>54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=5255b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8;j<7?52;294~"68oh145;4$02;a?7d:=1/4ho589;8L=0a3g;9=>4=46`8m=1b2900co86:188yg76i80:6>4?:1y'55`e28:i86*>09g95f433-2nm7k<9:J;2c=i9;;86?:8c:k;3`<722c<;?4?:I:f4>=hj?31<75rb0:03:15<5<5;h62e?6=3f;;?>4?::a525?280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/4465b89K<3`<3;29?xd690n1=7<50;2x 46aj32396*>09g95f433-2nm7679:J;2c=i9;;86?:8f:k;3`<722ei:44?::a54?b28086=4?{%33bg<68k>0(<>7e;3`61=#0lk1i>74H94e?k759:0985>4i95f>5<=96=4G8d28?jd113:17pl>82495?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742;>3=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3473<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247l6;I:5b>h6:891>96=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f47>i3;1>7>50z&24cd=01?0(<>7e;3`61=#0lk14574H94e?k759:0985=4i95f>5<46e<2.:<5k51b07?!>bi3o856F76g9m57742;>386g77d83>>o0?;0;6E6j0:9lf3?=831vn<6<5;392?6=8r.3;?48cc9'55>b28?0g`955353-;;n=4>0`d8 =??2k30D58i;o3156<5<1?0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1616>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#0021n45G87d8j446;38?4;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`25<1=9381<7>t$02ef?>?=2.:<5k51b07?!>bi32356F76g9m57742;>3;6g77d83>>ie>00;66sm10;;>4<4290;w)??fc824g2<,8:3i7?l259'<`g=m:30D58i;o3156<5<120e59j:188m215290C4h>4;n`5=?6=3th:4>:51;494?6|,1=96:mm;%33<`<6=>l0(<>ib;3317=#99h;6<>nf:&;==72?12c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03h27E69f:l2645=:=2j7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb03;6?7=:3:10g`9<=3<,8:3i7?l259'<`g=0130D58i;o3156<5<1h0e59j:188kg0>2900qo?>8282>6<729q/==hm:02a0>"681o1=n<;;%:fe?c412B3:k5a1330>72?k2c3;h4?::k437<72A2n<65`b7;94?=zj828?7?56;294~"??;0430n2.:g?<@15<:m7>5;n3376<722wi=:=<:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?430l1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>1e795?4=83:p(<>ib;:;1>"681o1=n<;;%:fe?>?12B3:k5a1330>72?n2c3;h4?::ma2<<722wi=5<7s-;;jo4>0c68 46?m3;h>95+8dc9a6?<@15ii7)??8d8212`<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:36:5>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::3A2=j6`>201961?53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn95+8dc9<=?<@15<4>:283>5}#99li6<>m4:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:36:0>o??l0;66g87383>M>b821dn;750;9~f4>2>3;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4=4878m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9>??6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:9f<=O0?l0b<<>3;07=3=n<1=1<75f43:94?=n<><1<75f110b>5<N?>o1e=??<:36:3>o??l0;66am6883>>{e98n;6<4<:183!77nk0:4=48:8m=1b2900e:9=:18K<`6<3fh=57>5;|`2<03=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86?:69:k7<2<722c?>54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=525;b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8;ho7?52;294~"68oh145;4$02;a?7d:=1/4ho589;8L=0a3g;9=>4=48`8m=1b2900co86:188yg76km0:6>4?:1y'55`e28:i86*>09g95f433-2nm7k<9:J;2c=i9;;86?:6c:k;3`<722c<;?4?:I:f4>=hj?31<75rb0:60?7=>3:15<5<5;h62e?6=3f;;?>4?::a5235280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/4465b89K<3`<3;29?xd69j31=7<50;2x 46aj32396*>09g95f433-2nm7679:J;2c=i9;;86?:6f:k;3`<722ei:44?::a54ef28086=4?{%33bg<68k>0(<>7e;3`61=#0lk1i>74H94e?k759:098l>4i95f>5<=96=4G8d28?jd113:17pl>84195?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742;>j=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3414<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247l6;I:5b>h6:891>9o=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f47d>3;1>7>50z&24cd=01?0(<>7e;3`61=#0lk14574H94e?k759:098l=4i95f>5<46e<2.:<5k51b07?!>bi3o856F76g9m57742;>j86g77d83>>o0?;0;6E6j0:9lf3?=831vn<6:2;392?6=8r.3;?48cc9'55>b28?0g`955353-;;n=4>0`d8 =??2k30D58i;o3156<5a;29?j77;:0;66sm1673>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#0021n45G87d8j446;38?m;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`25c6=9381<7>t$02ef?>?=2.:<5k51b07?!>bi32356F76g9m57742;>j;6g77d83>>ie>00;66sm10d2>4<4290;w)??fc824g2<,8:3i7?l259'<`g=m:30D58i;o3156<54;n`5=?6=3th:48?51;494?6|,1=96:mm;%33<`<6=>l0(<>ib;3317=#99h;6<>nf:&;==72f12c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03h27E69f:l2645=:=kj7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb03f`?7=:3:10g`9<=3<,8:3i7?l259'<`g=0130D58i;o3156<52900qo?>ed82>6<729q/==hm:02a0>"681o1=n<;;%:fe?c412B3:k5a1330>72fk2c3;h4?::k437<72A2n<65`b7;94?=zj82><7?56;294~"??;0430n2.:g?<@15<:m7>5;n3376<722wi=::j:085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?43il1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>1dc95?4=83:p(<>ib;:;1>"681o1=n<;;%:fe?>?12B3:k5a1330>72fn2c3;h4?::ma2<<722wi=5<7s-;;jo4>0c68 46?m3;h>95+8dc9a6?<@15ii7)??8d8212`<,8:mn7??539'55d728:jj6*7998a=>N?>o1e=??<:36a5>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:783>5}#0>81;nl4$02;a?72?o1/==hm:0266>"68k:1==oi;%::3A2=j6`>201961d53`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn95+8dc9<=?<@15<:283>5}#99li6<>m4:&24=c=9j8?7)6ja;g0=>N?>o1e=??<:36a0>o??l0;66g87383>M>b821dn;750;9~f4>3l3;1:7>50z&;37<0kk1/==6j:074b>"68oh1==;=;%33f5<68hl0(577:c;8L=0a3g;9=>4=4c78m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9>>i6<49:183!>0:3=hn6*>09g9501a3-;;jo4>0408 46e83;;mk5+88:9f<=O0?l0b<<>3;07f3=n<1=1<75f43:94?=n<><1<75f110b>5<N?>o1e=??<:36a3>o??l0;66am6883>>{e98o>6<4<:183!77nk0:4=4c:8m=1b2900e:9=:18K<`6<3fh=57>5;|`2<1e=93<1<7>t$951>2ee3-;;4h4>56d8 46aj3;;9?5+11`3>46fn2.3554m9:J;2c=i9;;86?:m9:k7<2<722c?>54?::k733<722c:7e;363c=#99li6<>:2:&24g6=99km7)668;`:?M>1n2d:><=525`b?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8;n=7?52;294~"68oh145;4$02;a?7d:=1/4ho589;8L=0a3g;9=>4=4c`8m=1b2900co86:188yg76m;0:6>4?:1y'55`e28:i86*>09g95f433-2nm7k<9:J;2c=i9;;86?:mc:k;3`<722c<;?4?:I:f4>=hj?31<75rb0:7f?7=>3:15<5<5;h62e?6=3f;;?>4?::a522>280=6=4?{%:46?1dj2.:<5k5145e?!77nk0:<8<4$02a4?77io1/4465b89K<3`<3;29?xd69mo1=7<50;2x 46aj32396*>09g95f433-2nm7679:J;2c=i9;;86?:mf:k;3`<722ei:44?::a54ba28086=4?{%33bg<68k>0(<>7e;3`61=#0lk1i>74H94e?k759:098n>4i95f>5<=96=4G8d28?jd113:17pl>85c95?0=83:p(59=:6aa?!770l0:9:h4$02ef?77=;1/==l?:02bb>"?110i56F76g9m57742;>h=6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c340=<62?0;6=u+86093fd<,8:3i7?:7g9'55`e28:>>6*>0c2955ga3-2247l6;I:5b>h6:891>9m=;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f47cj3;1>7>50z&24cd=01?0(<>7e;3`61=#0lk14574H94e?k759:098n=4i95f>5<46e<2.:<5k51b07?!>bi3o856F76g9m57742;>h86g77d83>>o0?;0;6E6j0:9lf3?=831vn<6;9;392?6=8r.3;?48cc9'55>b28?0g`955353-;;n=4>0`d8 =??2k30D58i;o3156<5a;29?j77;:0;66sm1664>4<1290;w)682;5`f>"681o1=89i;%33bg<68<80(<>m0;33ec=#0021n45G87d8j446;38?o;5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`25a>=9381<7>t$02ef?>?=2.:<5k51b07?!>bi32356F76g9m57742;>h;6g77d83>>ie>00;66sm10f:>4<4290;w)??fc824g2<,8:3i7?l259'<`g=m:30D58i;o3156<54;n`5=?6=3th:49651;494?6|,1=96:mm;%33<`<6=>l0(<>ib;3317=#99h;6<>nf:&;==72d12c?4:4?::k76=<722c?;;4?::k247g=831b83<729q/4:<57b`8 46?m3;>;k5+11da>462:2.:511ce?!>>03h27E69f:l2645=:=ij7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb03`7?7=:3:10g`9<=3<,8:3i7?l259'<`g=0130D58i;o3156<52900qo?>c582>6<729q/==hm:02a0>"681o1=n<;;%:fe?c412B3:k5a1330>72dk2c3;h4?::k437<72A2n<65`b7;94?=zj82?97?56;294~"??;0430n2.:g?<@15<:m7>5;n3376<722wi=::::085>5<7s-2<>79lb:&24=c=9<=m7)??fc82404<,8:i<7??ag9'<<>=j01C4;h4n0027?43kl1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>cb595?dd290;w)??fc82414<,8:3i7?lc79m0f4=9;1e8n=5139m23?=82d=oh4?;%5:5?77n11/;4<511d;?!77>90?=l5+1142>17f3-;;9h4>0`d8 47a;3;:j?5+10d7>47a:2.35=474:&;=4<112.35?4nc:&;=6<6j81/44:5a29'<<3=99?0(579:6;:?!>>?3>i33j7)66b;30?!>>k3;?7)66d;g8 =?b2;:0(57i:438 =g72;<0(5o>:0:8 =g52;=0(5o<:g9'439'e83;n<6*7b082g7=#0k81o<5+8c195fd<,1h?6<:>;%:a1?7d;2.3n;4j5:&;f2<6=:1/4o651b68 =d>2>h87)6ma;116>"?jk0?2.3nk4>419':0g4?!>d:3>2:6*7c2815<=#0j>1=594$9a6>2113-2h:7<>5:&;g2<6:=1/4n651d:8 =e>2k>0(5mn:6;;?!>dj3;h96*7cb82<`=#0jn1=h=4$9af>4g23-2hj7l<;%:g4?7en2.3h<4>429'c<3=oi6*7d48b4>"?l?0jn6*7d681=>"?l109=6*7d88:?!>ci330(<>62;;8 46>;330(<>81;13b>"68>81==6:;%:gf?d1?2B3:k5U3`29g~bd2mn1=:6516a952?=9>n1=:o515d951c=9<:1=:l515f9yk759:098nh4i027=?6=3`>9j7>5;h5;`?6=3`;;9;4?::k770<722c:<9o50;9j55322900e:6m:188m0e62900e8m?:188m0da290/jl655b78jcg02;10e8lj:18'bd>==j?0bko8:298m476;3:1(ko7:0323>hai>0;76g>10094?"ai10:=<94ngc4>4=5$gc;>476?2dmm:4=;:k2546=83.mm54>1058jcg02:10e?7=:18'bd>=:0l0bko8:198m7?6290/jl6528d8jcg02810e?7?:18'bd>=:0l0bko8:398m7>a290/jl6528d8jcg02:10e?7n:18'bd>=:0l0bko8:598m7?>290/jl6528d8jcg02<10e?77:18'bd>=:0l0bko8:798m7?0290/jl6528d8jcg02>10e?79:18'bd>=:0l0bko8:998m7?2290/jl6528d8jcg02010e?7;:188m7?42900e?6j:188m7>c2900e?ml:18'bd>=:m30bko8:198m7ee290/jl652e;8jcg02810e?mn:18'bd>=:m30bko8:398m7e>290/jl652e;8jcg02:10e?j;:18'bd>=:m30bko8:598m7b4290/jl652e;8jcg02<10e?j=:18'bd>=:m30bko8:798m7b6290/jl652e;8jcg02>10e?j?:18'bd>=:m30bko8:998m7ea290/jl652e;8jcg02010e?mj:188m7ec2900e?m7:188m7e02900e89<:188m0152900e89?:188m00a2900e88j:188m00c2900e88l:188m00e2900e88n:188m00>2900e887:188m0002900e88::188m0032900e88<:188m0052900e88>:18'bd>==080bko8:028?l3183:1(ko7:4;1?k`f?3;:76g:5g83>!`f03?2>6`ia6826>=n=54i47g>5<#nh2194<4ngc4>42<3`?>o7>5$gc;>0?53glj;7?:;:k63<<72-lj47;62:lee2<6>21b9:650;&ee=<21;1ejl951698m010290/jl655808jcg028207d;86;29 cg?2<397chn7;3:?>o2?<0;6)hn8;7:6>hai>0:m65f56694?"ai10>5?5af`595g=9o4?:%db:2dmm:4>e:9j10g=83.mm54:939mbd1=9o10e8j>:188m0b72900e8mi:18'bd>==m?0bko8:398m0eb290/jl655e78jcg02:10eo69;81<7*ia98257150;&ee=<69;=0bko8:298m06a2900e8>j:188m06d2900e8>m:188m06f2900e8>6:188m06?2900e8>8:188m0612900e8>::188m0632900e8><:188m0662900e8>?:188m1`a2900e9hj:188m1`c290/jl6553g8jcg028:07d:ic;29 cg?2<8n7chn7;32?>o3nk0;6)hn8;71a>hai>0:>65f4gc94?"ai10>>h5af`5956==84?:%db6:9j142=83.mm54:2d9mbd1=9>10e8?<:18'bd>==;o0bko8:0:8?l36:3:1(ko7:40f?k`f?3;276g:1083>!`f03?9i6`ia682e>=n=8:1<7*ia9866`=inh=1=o54i42g>5<#nh219?k4ngc4>4e<3`?;>7>5$gc;>04b3glj;7?k;:k7b2<72-lj47;=e:lee2<6m21b8k850;&ee=<2:l1ejl951g98k37a2900c;?j:188k37d2900c;?m:188k37f2900c;?6:188k37?2900c;?8:188k3712900c;?::188k3732900c;?<:188k3762900c;??:188k36a2900c;>j:188k36c290/jl6562g8jcg028:07b8?c;29 cg?2?9n7chn7;32?>i18k0;6)hn8;40a>hai>0:>65`61c94?"ai10=?h5af`5956=84?:%db6:9l272=83.mm5493d9mbd1=9>10c;<<:18'bd>=>:o0bko8:0:8?j05:3:1(ko7:71f?k`f?3;276a92083>!`f03<8i6`ia682e>=h>;:1<7*ia9857`=inh=1=o54o73g>5<#nh21:>k4ngc4>4e<3f<:>7>5$gc;>35b3glj;7?k;:m542<72-lj478:18'bd>=>m20bko8:298k3c32900c;k<:188k3c5290/jl656d:8jcg02;10c;k>:18'bd>=>l20bko8:298k3>32900c;6<:188k3>62900c;6?:188k31a2900c;9j:188k31c2900c;9l:188k31e2900c;9n:188k31>2900c;97:188k3112900c;9::188k3132900c;9<:188k315290/jl656`18jcg028:07b881;29 cg?2?k87chn7;32?>i1?90;6)hn8;4b7>hai>0:>65`67d94?"ai10=m>5af`5956=6:9l2=?=83.mm549a29mbd1=9>10c;67:18'bd>=>h90bko8:0:8?j0??3:1(ko7:7c0?k`f?3;276a98783>!`f03=h>1?1<7*ia985e6=inh=1=o54o7:1>5<#nh21:l=4ngc4>4e<3f<<;7>5$gc;>3g43glj;7?k;:m52f<72-lj478n3:lee2<6m21d:;l50;&ee=<1i:1ejl951g98yg7dkh0:6om50;2x 46aj3;;8?5+11:f>4ed>2d?o?4>2:l7g6<6:2d=:44?;o4`a?6<,>3:6<>i8:&4=7<68o20(<>90;62e>"68?;18"69o>1="?1:0j7)664;c8 =?22h1/4485a:&;=2d:&;=f<6m2.35i4>7:&;=`<5:2.35k4;c:&;e5<502.3m<4>a:&;e7<492.3m>4>5:&;e1<002.3m84m;%:b2?763-2j;7?m;%:b3-2j57?>0:&;ed<69<1/4ll5f69'e833?7)6m1;fa?!>e:32m7)6m3;337>"?j=0:=i5+8c79f5=#0k<1485+8c5955c<,1h36ih4$9`:><1<,1hj6<>=;%:af?d63-2io7oi;%:a`?76k2.3nh463:&;fc<69k1/4n>5999'28:m7)6la;4b?!>dj3;;m6*7cb8260=#0jn1=>74$9af>`5<,1im6<>?;%:g4?d13-2o=7h6;%:g6?`?3-2o?7hj;%:g0?7792.3h84m7:&;`3<282.3h:4=b:&;`=<6n2.3h446;%:ge??<,8:2>774$02:7??<,8:<=7=?f:&2424=992>7)6kb;`53>N?>o1Q?l>5czf`>ab=9>21=:m516;952b=9>k1=9h515g9506=9>h1=9j5}o3156<5;9;29?l25n3:17d97d;29?l77=?0;66g;3483>>o68=k1<75f1176>5<2i6=44i4a2>5<5<#nh219n;4ngc4>7=54i0327?6=,ok367:lee2<732c:=<<50;&ee=<698=0bko8:098m47693:1(ko7:0323>hai>0976g>10294?"ai10:=<94ngc4>6=5<#nh21>4h4ngc4>4=5<#nh21>4h4ngc4>6=5<#nh21>4h4ngc4>0=5<#nh21>4h4ngc4>2=5<#nh21>4h4ngc4><=5<5<5<#nh21>i74ngc4>4=5<#nh21>i74ngc4>6=5<#nh21>i74ngc4>0=5<#nh21>i74ngc4>2=5<#nh21>i74ngc4><=5<5<5<5<5<5<5<5<6=44i447>5<5<4;h754?6=,ok3687=;odb3?7632c>9k4?:%db:2dmm:4>2:9j10c=83.mm54:939mbd1=9:10e8;k:18'bd>==080bko8:068?l32k3:1(ko7:4;1?k`f?3;>76g:7883>!`f03?2>6`ia6822>=n=>21<7*ia986=7=inh=1=:54i454>5<#nh2194<4ngc4>4><3`?<:7>5$gc;>0?53glj;7?6;:k630<72-lj47;62:lee2<6i21b9::50;&ee=<21;1ejl951c98m016290/jl655808jcg028i07d;96;29 cg?2<397chn7;3g?>o2=k0;6)hn8;7:6>hai>0:i65f54c94?"ai10>5?5af`595c=5<5<#nh219i;4ngc4>6=5$gc;>475?2dmm:4?;:k2574=83.mm54>1358jcg02810eo69;:1<7*ia98257154i42e>5<5<5<5<5<6=44i427>5<5<5<5<#nh219?k4ngc4>46<3`>mo7>5$gc;>04b3glj;7?>;:k7bg<72-lj47;=e:lee2<6:21b8ko50;&ee=<2:l1ejl951298m1`>290/jl6553g8jcg028>07d:i8;29 cg?2<8n7chn7;36?>o29<0;6)hn8;71a>hai>0::65f50694?"ai10>>h5af`5952=32c>=<4?:%dba:9j146=83.mm54:2d9mbd1=9k10e8>k:18'bd>==;o0bko8:0a8?l37:3:1(ko7:40f?k`f?3;o76g;f683>!`f03?9i6`ia682a>=n5<5<5<5<5<6=44o737>5<5<5<5<5<#nh21:>k4ngc4>44<3f<;m7>5$gc;>35b3glj;7?<;:m54<<72-lj478i1::0;6)hn8;40a>hai>0:465`63094?"ai10=?h5af`595<=c:9l244=83.mm5493d9mbd1=9m10c;>8:18'bd>=>:o0bko8:0g8?j07>3:1(ko7:71f?k`f?3;m76a9d583>>i1l:0;66a9d383>>i1l80;66a9e583>>i1m:0;66a9e383>>i1m80;66a98583>>i10:0;66a98083>>i1090;66a97g83>>i1?l0;66a97e83>>i1?j0;66a97c83>>i1?h0;66a97883>>i1?10;66a97783>>i1?<0;66a97583>>i1?:0;66a97383>>i1?80;66a97183>!`f03=h>?l1<7*ia985e6=inh=1=>54o74f>5<#nh21:l=4ngc4>42<3f<=h7>5$gc;>3g43glj;7?:;:m521d:5750;&ee=<1i:1ejl951698k3>?290/jl656`18jcg028207b877;29 cg?2?k87chn7;3:?>i10?0;6)hn8;4b7>hai>0:m65`69794?"ai10=m>5af`595g=e:9l23d=83.mm549a29mbd1=9o10qo;;3782>ge=83:p(<>ib;3307=#992n68:=c:l7g7<>3g>h?774n74:>5=i>jo1<6*890824c><,>396<>i8:&2436=<8k0(<>91;62e>"68>:3k0(57<:`9'<<2=i2.3584n;%::2?g<,13<6l5+88;9e>"?1h0j7)66b;c8 =?d2h1/44j5a:&;=`d=#0h;1m6*7a38b?!>f;3k0(5o;:`9'"?ih0j7)6nb;c8 =gd2h1/4lj5a:&;e`d=#0k;1m6*7b38b?!>e;3k0(5l;:`9'"?jh0j7)6mb;c8 =dd2h1/4oj5a:&;f`d=#0j;1m6*7c38b?!>d;3k0(5m;:`9'"?kh0j7)6lb;c8 =ed2h1/4nj5a:&;g`d=#0m;1m6*7d38b?!>c;3k0(5j;:`9'"?lh027)??938:?!771:027)??708a22=#99=96<>75:&;`g>1C4;h4Z2c3>f}ck3no6<97:05`>41>28=o6<9n:06e>42b28?;6<9m:06g>xh6:891>9j>;h330<<722c?>k4?::k47chn7;18?l769:0;6)hn8;3252=inh=1<65f1031>5<#nh21=1ejl952:9j5477290/jl651034?k`f?3907d<62;29 cg?2;3m7chn7;28?l4>93:1(ko7:3;e?k`f?3;07d<60;29 cg?2;3m7chn7;08?l4?n3:1(ko7:3;e?k`f?3907d<6a;29 cg?2;3m7chn7;68?l4>13:1(ko7:3;e?k`f?3?07d<68;29 cg?2;3m7chn7;48?l4>?3:1(ko7:3;e?k`f?3=07d<66;29 cg?2;3m7chn7;:8?l4>=3:1(ko7:3;e?k`f?3307d<64;29 cg?2;3m7chn7;c8?l4>;3:17d<7e;29?l4?l3:17do2??0;6)hn8;7:6>hai>0:565f56794?"ai10>5?5af`595d=:;4?:%db:2dmm:4>d:9j10d=83.mm54:939mbd1=9l10e8;n:18'bd>==080bko8:0d8?l3c93:17d;k0;29?l3dn3:17d;le;29 cg?27chn7;18?l76::0;6)hn8;3262=inh=1<65f1001>5<#nh21=<<8;odb3?7<3`;:><4?:%db1ejl952:9j5447290/jl651004?k`f?3907d;?f;29?l37m3:17d;?c;29?l37j3:17d;?a;29?l3713:17d;?8;29?l37?3:17d;?6;29?l37=3:17d;?4;29?l37;3:17d;?1;29?l3783:17d:if;29?l2am3:17d:id;29?l2ak3:17d:ib;29?l2ai3:17d:i9;29?l2a03:17d;>5;29?l36<3:17d;>3;29 cg?2<8n7chn7;3;?>o29;0;6)hn8;71a>hai>0:565f50394?"ai10>>h5af`595d=d:9j0c1=83.mm54:2d9mbd1=9l10e9h9:18'bd>==;o0bko8:0d8?j06n3:17b8>e;29?j06k3:17b8>b;29?j06i3:17b8>9;29?j0603:17b8>7;29?j06>3:17b8>5;29?j06<3:17b8>3;29?j0693:17b8>0;29?j07n3:17b8?e;29?j07l3:17b8?c;29?j07j3:17b8?a;29?j0713:17b8?8;29?j05=3:17b8=4;29?j05;3:1(ko7:71f?k`f?3;376a92383>!`f03<8i6`ia682=>=h>;;1<7*ia9857`=inh=1=l54o703>5<#nh21:>k4ngc4>4d<3f<:h7>5$gc;>35b3glj;7?l;:m557<72-lj478i10>0;6)hn8;4b7>hai>0:565`69494?"ai10=m>5af`595d=6=4+f`:92d5d:9l23e=83.mm549a29mbd1=9l10c;8m:18'bd>=>h90bko8:0d8?xd2<:91=7ll:183!77nk0:<9<4$02;a?33:j1e8n<59:l7g6<>3g<=57>4n7af>5=#?0;1==h7;%5:6?77n11/==8?:53b?!77>80?=l5+117f>46fn2.:=k=510d1?!76n=0:=k<4$9;3>d=#00;1m6*7938b?!>>;3k0(57;:`9'<<3=i2.35;4n;%::3?g<,1326l5+88c9e>"?1k0j7)66c;c8 =?c2h1/44k5a:&;=cd=#0h81m6*7a28b?!>f<3k0(5o::`9'"?ik0j7)6nc;c8 =gc2h1/4lk5a:&;ecd=#0k81m6*7b28b?!>e<3k0(5l::`9'"?jk0j7)6mc;c8 =dc2h1/4ok5a:&;fcd=#0j81m6*7c28b?!>d<3k0(5m::`9'"?kk0j7)6lc;c8 =ec2h1/4nk5a:&;gcd=#0m81m6*7d28b?!>c<3k0(5j::`9'"6808156*>0819=>"68>;1n;94$0246?770<1/4il5b758L=0a3S9j<7mtdb8g`?7003;72c:2c:<9750;9j07`=831b;5j50;9j55312900e9=::188m463i3:17d??5483>>o00k0;66g:c083>>o2k90;66g:bg83>>o2jl0;6)hn8;7`1>hai>0876g>10194?"ai10:=<94ngc4>5=7>5$gc;>476?2dmm:4>;:k2547=83.mm54>1058jcg02;10e0;29 cg?28;:;6`ia680?>o51;0;6)hn8;0:b>hai>0;76g=9083>!`f0382j6`ia682?>o5190;6)hn8;0:b>hai>0976g=8g83>!`f0382j6`ia680?>o51h0;6)hn8;0:b>hai>0?76g=9883>!`f0382j6`ia686?>o5110;6)hn8;0:b>hai>0=76g=9683>!`f0382j6`ia684?>o51?0;6)hn8;0:b>hai>0376g=9483>!`f0382j6`ia68:?>o51=0;6)hn8;0:b>hai>0j76g=9283>>o50l0;66g=8e83>>o5kj0;6)hn8;0g=>hai>0;76g=cc83>!`f038o56`ia682?>o5kh0;6)hn8;0g=>hai>0976g=c883>!`f038o56`ia680?>o5l=0;6)hn8;0g=>hai>0?76g=d283>!`f038o56`ia686?>o5l;0;6)hn8;0g=>hai>0=76g=d083>!`f038o56`ia684?>o5l90;6)hn8;0g=>hai>0376g=cg83>!`f038o56`ia68:?>o5kl0;6)hn8;0g=>hai>0j76g=ce83>>o5k10;66g=c683>>o2?:0;66g:7383>>o2?90;66g:6g83>>o2>l0;66g:6e83>>o2>j0;66g:6c83>>o2>h0;66g:6883>>o2>10;66g:6683>>o2><0;66g:6583>>o2>:0;66g:6383>>o2>80;66g:6183>>o2=o0;66g:5d83>>o2=m0;66g:5b83>>o2?00;66g:7983>>o2?>0;6)hn8;7:6>hai>0:465f56494?"ai10>5?5af`595<=6=4+f`:91<4;<4?:%db:2dmm:4>c:9j130=83.mm54:939mbd1=9m10e8;m:18'bd>==080bko8:0g8?l32i3:1(ko7:4;1?k`f?3;m76g:d083>>o2l90;66g:cg83>>o2kl0;6)hn8;7g1>hai>0876g>13194?"ai10:=?94ngc4>5=7>5$gc;>475?2dmm:4>;:k2577=83.mm54>1358jcg02;10eo28o0;66g:0d83>>o28j0;66g:0c83>>o28h0;66g:0883>>o2810;66g:0683>>o28?0;66g:0483>>o28=0;66g:0283>>o2880;66g:0183>>o3no0;66g;fd83>>o3nm0;66g;fb83>>o3nk0;66g;f`83>>o3n00;66g;f983>>o29<0;66g:1583>>o29:0;6)hn8;71a>hai>0:465f50094?"ai10>>h5af`595<=c:9j154=83.mm54:2d9mbd1=9m10e9h8:18'bd>==;o0bko8:0g8?l2a>3:1(ko7:40f?k`f?3;m76a91g83>>i19l0;66a91b83>>i19k0;66a91`83>>i1900;66a91983>>i19>0;66a91783>>i19<0;66a91583>>i19:0;66a91083>>i1990;66a90g83>>i18l0;66a90e83>>i18j0;66a90c83>>i18h0;66a90883>>i1810;66a92483>>i1:=0;66a92283>!`f03<8i6`ia682<>=h>;81<7*ia9857`=inh=1=454o702>5<#nh21:>k4ngc4>4g<3f<9<7>5$gc;>35b3glj;7?m;:m55a<72-lj478i1l=0;66a9d283>>i1l;0;66a9d083>>i1m=0;66a9e283>>i1m;0;66a9e083>>i10=0;66a98283>>i1080;66a98183>>i1?o0;66a97d83>>i1?m0;66a97b83>>i1?k0;66a97`83>>i1?00;66a97983>>i1??0;66a97483>>i1?=0;66a97283>>i1?;0;66a97083>>i1?90;66a96g83>>i1>l0;66a96e83>>i10h0;66a98883>>i1010;6)hn8;4b7>hai>0:465`69594?"ai10=m>5af`595<=c:9l221=83.mm549a29mbd1=9m10c;8l:18'bd>=>h90bko8:0g8?j01j3:1(ko7:7c0?k`f?3;m76sm5513>40g`955253-;;4h4:43a8j1e5201e8n=59:l52<<73g4$6;2>46a02.<5?4>0g:8 46183>:m6*>073904g<,8:>i7??ag9'54`428;m>6*>1g6954`53-22<7o4$9;2>d=#0081m6*7928b?!>><3k0(57::`9'<<0=i2.35:4n;%::=?g<,13j6l5+88`9e>"?1j0j7)66d;c8 =?b2h1/44h5a:&;e5d=#0h91m6*7a58b?!>f=3k0(5o9:`9'"?ij0j7)6nd;c8 =gb2h1/4lh5a:&;f5d=#0k91m6*7b58b?!>e=3k0(5l9:`9'"?jj0j7)6md;c8 =db2h1/4oh5a:&;g5d=#0j91m6*7c58b?!>d=3k0(5m9:`9'"?kj0j7)6ld;c8 =eb2h1/4nh5a:&;`5d=#0m91m6*7d58b?!>c=3k0(5j9:`9'<=#9938645+1152>g003-;;;?4>0978 =be2k<<7E69f:X0e579823f<6?00:;i4>7`820c<67c820a2900e9c2900e<>:6;29?l24=3:17d??4`83>>o68o85af`597>=n98;86=4+f`:954703glj;7>4;h3257<72-lj47?>169mbd1=921b=:18'bd>=98;<7chn7;08?l76990;6)hn8;3252=inh=1?65f28094?"ai1095k5af`594>=n:0;1<7*ia981=c=inh=1=65f28294?"ai1095k5af`596>=n:1l1<7*ia981=c=inh=1?65f28c94?"ai1095k5af`590>=n:031<7*ia981=c=inh=1965f28:94?"ai1095k5af`592>=n:0=1<7*ia981=c=inh=1;65f28494?"ai1095k5af`59<>=n:0?1<7*ia981=c=inh=1565f28694?"ai1095k5af`59e>=n:091<75f29g94?=n:1n1<75f2ba94?"ai109h45af`594>=n:jh1<7*ia981`<=inh=1=65f2bc94?"ai109h45af`596>=n:j31<7*ia981`<=inh=1?65f2e694?"ai109h45af`590>=n:m91<7*ia981`<=inh=1965f2e094?"ai109h45af`592>=n:m;1<7*ia981`<=inh=1;65f2e294?"ai109h45af`59<>=n:jl1<7*ia981`<=inh=1565f2bg94?"ai109h45af`59e>=n:jn1<75f2b:94?=n:j=1<75f56194?=n=>81<75f56294?=n=?l1<75f57g94?=n=?n1<75f57a94?=n=?h1<75f57c94?=n=?31<75f57:94?=n=?=1<75f57794?=n=?>1<75f57194?=n=?81<75f57394?=n=?:1<75f54d94?=n=21<75f56594?"ai10>5?5af`595==;94?:%db:2dmm:4>b:9j127=83.mm54:939mbd1=9j10e889:18'bd>==080bko8:0f8?l32j3:1(ko7:4;1?k`f?3;n76g:5`83>!`f03?2>6`ia682b>=n=m;1<75f5e294?=n=jl1<75f5bg94?"ai10>h85af`597>=n98886=4+f`:954403glj;7>4;h3267<72-lj47?>269mbd1=921b=<<>:18'bd>=988<7chn7;08?l76:90;6)hn8;3262=inh=1?65f51d94?=n=9o1<75f51a94?=n=9h1<75f51c94?=n=931<75f51:94?=n=9=1<75f51494?=n=9?1<75f51694?=n=991<75f51394?=n=9:1<75f4gd94?=n1<75f50194?"ai10>>h5af`595====4?:%dbb:9j15b=83.mm54:2d9mbd1=9j10e8>=:18'bd>==;o0bko8:0f8?l2a?3:1(ko7:40f?k`f?3;n76g;f783>!`f03?9i6`ia682b>=h>8l1<75`60g94?=h>8i1<75`60`94?=h>8k1<75`60;94?=h>821<75`60594?=h>8<1<75`60794?=h>8>1<75`60194?=h>8;1<75`60294?=h>9l1<75`61g94?=h>9n1<75`61a94?=h>9h1<75`61c94?=h>931<75`61:94?=h>;?1<75`63694?=h>;91<7*ia9857`=inh=1=554o701>5<#nh21:>k4ngc4>4?<3f<9=7>5$gc;>35b3glj;7?n;:m565<72-lj478i18?0;6)hn8;40a>hai>0:j65`6e694?=h>m91<75`6e094?=h>m;1<75`6d694?=h>l91<75`6d094?=h>l;1<75`69694?=h>191<75`69394?=h>1:1<75`66d94?=h>>o1<75`66f94?=h>>i1<75`66`94?=h>>k1<75`66;94?=h>>21<75`66494?=h>>?1<75`66694?=h>>91<75`66094?=h>>;1<75`66294?=h>?l1<75`67g94?=h>?n1<75`69c94?=h>131<75`69:94?"ai10=m>5af`595==b:9l2=4=83.mm549a29mbd1=9j10c;98:18'bd>=>h90bko8:0f8?j01k3:1(ko7:7c0?k`f?3;n76a96c83>!`f03=zj<>9h7?5bb83>5}#99li6<>;2:&24=c===8h7c:l2;;8j1e4201e:;750:l5g`<73-=2=7??f99'3<4=99l37)??61875d=#99<:69?n;%331`<68hl0(d=#0091m6*7958b?!>>=3k0(579:`9'<<1=i2.3544n;%::e?g<,13i6l5+88a9e>"?1m0j7)66e;c8 =?a2h1/4l>5a:&;e47o4$9c0>d=#0h>1m6*7a48b?!>f>3k0(5o8:`9'=i2.3m44n;%:be?g<,1ki6l5+8`a9e>"?im0j7)6ne;c8 =ga2h1/4o>5a:&;f47o4$9`0>d=#0k>1m6*7b48b?!>e>3k0(5l8:`9'=i2.3n44n;%:ae?g<,1hi6l5+8ca9e>"?jm0j7)6me;c8 =da2h1/4n>5a:&;g47o4$9a0>d=#0j>1m6*7c48b?!>d>3k0(5m8:`9'=i2.3o44n;%:`e?g<,1ii6l5+8ba9e>"?km0j7)6le;c8 =ea2h1/4i>5a:&;`47o4$9f0>d=#0m>1m6*7d48b?!>c>3k0(5j8:`9'=i2.3h446;%:ge??<,8:2>774$02:7??<,8:<=7l97:&2424=992>7)6kb;`53>N?>o1Q?l>5czf`>ab=9>21=:m516;952b=9>k1=9h515g9506=9>h1=9j5}o3156<50e<>;9;29?l25n3:17d97d;29?l77=?0;66g;3483>>o68=k1<75f1176>5<2i6=44i4a2>5<5<54i0327?6=,ok367:lee2<732c:=<<50;&ee=<698=0bko8:098m47693:1(ko7:0323>hai>0976g>10294?"ai10:=<94ngc4>6=5<#nh21>4h4ngc4>4=5<#nh21>4h4ngc4>6=5<#nh21>4h4ngc4>0=5<#nh21>4h4ngc4>2=5<#nh21>4h4ngc4><=5<5<5<#nh21>i74ngc4>4=5<#nh21>i74ngc4>6=5<#nh21>i74ngc4>0=5<#nh21>i74ngc4>2=5<#nh21>i74ngc4><=5<5<5<5<5<5<5<5<6=44i447>5<5<5<5<5<5<32c>;84?:%db:2dmm:4>a:9j122=83.mm54:939mbd1=9k10e89>:18'bd>==080bko8:0a8?l31>3:1(ko7:4;1?k`f?3;o76g:5c83>!`f03?2>6`ia682a>=n=5<5<54i0317?6=,ok36hai>0976g>13294?"ai10:=?94ngc4>6=5<5<5<5<5<5<5<5<5<5<5<6=44i437>5<32c>=<4?:%dba:9j146=83.mm54:2d9mbd1=9k10e8>k:18'bd>==;o0bko8:0a8?l37:3:1(ko7:40f?k`f?3;o76g;f683>!`f03?9i6`ia682a>=n5<5<5<5<5<6=44o737>5<5<5<5<5<5<5<5<#nh21:>k4ngc4>4><3f<9>7>5$gc;>35b3glj;7?6;:m564<72-lj47850;&ee=<1;l1ejl951c98k37c290/jl6562g8jcg028i07b8>2;29 cg?2?9n7chn7;3g?>i18>0;6)hn8;40a>hai>0:i65`61494?"ai10=?h5af`595c=5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<32e=4;4?:%dba:9l2=3=83.mm549a29mbd1=9k10c;6=:18'bd>=>h90bko8:0a8?j00?3:1(ko7:7c0?k`f?3;o76a96b83>!`f03=h>?h1<7*ia985e6=inh=1=k54}c6aga<62ki1<7>t$02ef?77<;1/==6j:5``7>h3k;027c:l3;;8j30>291e:nk50:&4=4<68o20(:7=:02e<>"68?:185a:&;=47o4$9;0>d=#00>1m6*7948b?!>>>3k0(578:`9'<"?1l0j7)66f;c8 =g72h1/4l?5a:&;e7d=#0h?1m6*7a78b?!>f?3k0(5o7:`9'"?il0j7)6nf;c8 =d72h1/4o?5a:&;f7d=#0k?1m6*7b78b?!>e?3k0(5l7:`9'"?jl0j7)6mf;c8 =e72h1/4n?5a:&;g7d=#0j?1m6*7c78b?!>d?3k0(5m7:`9'"?kl0j7)6lf;c8 =b72h1/4i?5a:&;`7d=#0m?1m6*7d78b?!>c?3k0(5j7:`9'3-;;5>46;%3334<48o1/==9=:02;1>"?lk0i::5G87d8^6g72jqoo7jk:05;>41d28=26<9k:05b>42a28>n6<;?:05a>42c2td:><=525f6?l77<00;66g;2g83>>o00m0;66g>04494?=n<:?1<75f116b>5<97>5;h5;f?6=3`?h=7>5;h7`4?6=3`?ij7>5;h7aa?6=,ok368m:;odb3?5<3`;:=>4?:%db1ejl950:9j5475290/jl651034?k`f?3;07d?>1083>!`f03;:=:5af`596>=n98;;6=4+f`:954703glj;7=4;h0:6?6=,ok36?7i;odb3?6<3`82=7>5$gc;>7?a3glj;7?4;h0:4?6=,ok36?7i;odb3?4<3`83j7>5$gc;>7?a3glj;7=4;h0:e?6=,ok36?7i;odb3?2<3`8257>5$gc;>7?a3glj;7;4;h0:5$gc;>7?a3glj;794;h0:2?6=,ok36?7i;odb3?><3`8297>5$gc;>7?a3glj;774;h0:0?6=,ok36?7i;odb3?g<3`82?7>5;h0;a?6=3`83h7>5;h0`g?6=,ok36?j6;odb3?6<3`8hn7>5$gc;>7b>3glj;7?4;h0`e?6=,ok36?j6;odb3?4<3`8h57>5$gc;>7b>3glj;7=4;h0g0?6=,ok36?j6;odb3?2<3`8o?7>5$gc;>7b>3glj;7;4;h0g6?6=,ok36?j6;odb3?0<3`8o=7>5$gc;>7b>3glj;794;h0g4?6=,ok36?j6;odb3?><3`8hj7>5$gc;>7b>3glj;774;h0`a?6=,ok36?j6;odb3?g<3`8hh7>5;h0`5;h747?6=3`?<>7>5;h744?6=3`?=j7>5;h75a?6=3`?=h7>5;h75g?6=3`?=n7>5;h75e?6=3`?=57>5;h755;h751?6=3`?=87>5;h757?6=3`?=>7>5;h755?6=3`?=<7>5;h76b?6=3`?>i7>5;h76`?6=3`?>o7>5;h74=?6=3`?<47>5;h743?6=,ok3687=;odb3?7?32c>;;4?:%db:2dmm:4>9:9j123=83.mm54:939mbd1=9h10e89;:18'bd>==080bko8:0`8?l3093:1(ko7:4;1?k`f?3;h76g:6783>!`f03?2>6`ia682`>=n=5<#nh2194<4ngc4>4`<3`?o=7>5;h7g4?6=3`?hj7>5;h7`a?6=,ok368j:;odb3?5<3`;:>>4?:%db1ejl950:9j5445290/jl651004?k`f?3;07d?>2083>!`f03;:>:5af`596>=n988;6=4+f`:954403glj;7=4;h73b?6=3`?;i7>5;h73g?6=3`?;n7>5;h73e?6=3`?;57>5;h735;h732?6=3`?;97>5;h730?6=3`?;?7>5;h735?6=3`?;<7>5;h6eb?6=3`>mi7>5;h6e`?6=3`>mo7>5;h6ef?6=3`>mm7>5;h6e=?6=3`>m47>5;h721?6=3`?:87>5;h727?6=,ok368=?4?:%db9:9j147=83.mm54:2d9mbd1=9h10e8??:18'bd>==;o0bko8:0`8?l37l3:1(ko7:40f?k`f?3;h76g:0383>!`f03?9i6`ia682`>=n5<#nh219?k4ngc4>4`<3f<:j7>5;n42a?6=3f<:o7>5;n42f?6=3f<:m7>5;n42=?6=3f<:47>5;n423?6=3f<::7>5;n421?6=3f<:87>5;n427?6=3f<:=7>5;n424?6=3f<;j7>5;n43a?6=3f<;h7>5;n43g?6=3f<;n7>5;n43e?6=3f<;57>5;n435;n410?6=3f<9?7>5;n416?6=3f<9=7>5;n414?6=,ok36;=j;odb3?7e32e==i4?:%dbc:9l244=83.mm5493d9mbd1=9m10c;>8:18'bd>=>:o0bko8:0g8?j07>3:1(ko7:71f?k`f?3;m76a9d583>>i1l:0;66a9d383>>i1l80;66a9e583>>i1m:0;66a9e383>>i1m80;66a98583>>i10:0;66a98083>>i1090;66a97g83>>i1?l0;66a97e83>>i1?j0;66a97c83>>i1?h0;66a97883>>i1?10;66a97783>>i1?<0;66a97583>>i1?:0;66a97383>>i1?80;66a97183>>i1>o0;66a96d83>>i1>m0;66a98`83>>i1000;66a98983>>i10>0;66a98783>>i10<0;66a98383>>i1?>0;66a96b83>>i1>k0;66sm4ca4>40g`955253-;;4h4;bb18j1e5201e8n=59:l52<<73g4$6;2>46a02.<5?4>0g:8 46183>:m6*>073904g<,8:>i7??ag9'54`428;m>6*>1g6954`53-22<7o4$9;2>d=#0081m6*7928b?!>><3k0(57::`9'<<0=i2.35:4n;%::=?g<,13j6l5+88`9e>"?1j0j7)66d;c8 =?b2h1/44h5a:&;e5d=#0h91m6*7a58b?!>f=3k0(5o9:`9'"?ij0j7)6nd;c8 =gb2h1/4lh5a:&;f5d=#0k91m6*7b58b?!>e=3k0(5l9:`9'"?jj0j7)6md;c8 =db2h1/4oh5a:&;g5d=#0j91m6*7c58b?!>d=3k0(5m9:`9'"?kj0j7)6ld;c8 =eb2h1/4nh5a:&;`5d=#0m91m6*7d58b?!>c=3k0(5j9:`9'<=#9938645+1152>66a3-;;;?4>0978 =be2k<<7E69f:X0e579823f<6?00:;i4>7`820c<67c820a2900e9c2900e<>:6;29?l24=3:17d??4`83>>o68o85af`597>=n98;86=4+f`:954703glj;7>4;h3257<72-lj47?>169mbd1=921b=:18'bd>=98;<7chn7;08?l76990;6)hn8;3252=inh=1?65f28094?"ai1095k5af`594>=n:0;1<7*ia981=c=inh=1=65f28294?"ai1095k5af`596>=n:1l1<7*ia981=c=inh=1?65f28c94?"ai1095k5af`590>=n:031<7*ia981=c=inh=1965f28:94?"ai1095k5af`592>=n:0=1<7*ia981=c=inh=1;65f28494?"ai1095k5af`59<>=n:0?1<7*ia981=c=inh=1565f28694?"ai1095k5af`59e>=n:091<75f29g94?=n:1n1<75f2ba94?"ai109h45af`594>=n:jh1<7*ia981`<=inh=1=65f2bc94?"ai109h45af`596>=n:j31<7*ia981`<=inh=1?65f2e694?"ai109h45af`590>=n:m91<7*ia981`<=inh=1965f2e094?"ai109h45af`592>=n:m;1<7*ia981`<=inh=1;65f2e294?"ai109h45af`59<>=n:jl1<7*ia981`<=inh=1565f2bg94?"ai109h45af`59e>=n:jn1<75f2b:94?=n:j=1<75f56194?=n=>81<75f56294?=n=?l1<75f57g94?=n=?n1<75f57a94?=n=?h1<75f57c94?=n=?31<75f57:94?=n=?=1<75f57794?=n=?>1<75f57194?=n=?81<75f57394?=n=?:1<75f54d94?=n=21<75f56594?"ai10>5?5af`595==;94?:%db:2dmm:4>b:9j127=83.mm54:939mbd1=9j10e889:18'bd>==080bko8:0f8?l32j3:1(ko7:4;1?k`f?3;n76g:5`83>!`f03?2>6`ia682b>=n=m;1<75f5e294?=n=jl1<75f5bg94?"ai10>h85af`597>=n98886=4+f`:954403glj;7>4;h3267<72-lj47?>269mbd1=921b=<<>:18'bd>=988<7chn7;08?l76:90;6)hn8;3262=inh=1?65f51d94?=n=9o1<75f51a94?=n=9h1<75f51c94?=n=931<75f51:94?=n=9=1<75f51494?=n=9?1<75f51694?=n=991<75f51394?=n=9:1<75f4gd94?=n1<75f50194?"ai10>>h5af`595====4?:%dbb:9j15b=83.mm54:2d9mbd1=9j10e8>=:18'bd>==;o0bko8:0f8?l2a?3:1(ko7:40f?k`f?3;n76g;f783>!`f03?9i6`ia682b>=h>8l1<75`60g94?=h>8i1<75`60`94?=h>8k1<75`60;94?=h>821<75`60594?=h>8<1<75`60794?=h>8>1<75`60194?=h>8;1<75`60294?=h>9l1<75`61g94?=h>9n1<75`61a94?=h>9h1<75`61c94?=h>931<75`61:94?=h>;?1<75`63694?=h>;91<7*ia9857`=inh=1=554o701>5<#nh21:>k4ngc4>4?<3f<9=7>5$gc;>35b3glj;7?n;:m565<72-lj478i18?0;6)hn8;40a>hai>0:j65`6e694?=h>m91<75`6e094?=h>m;1<7*ia985`==inh=1?65`6d694?=h>l91<75`6d094?=h>l;1<75`69694?=h>191<75`69394?=h>1:1<75`66d94?=h>>o1<75`66f94?=h>>i1<75`66`94?=h>>k1<75`66;94?=h>>21<75`66494?=h>>?1<75`66694?=h>>91<75`66094?=h>>;1<75`66294?=h>?l1<75`67g94?=h>?n1<75`69c94?=h>131<75`69:94?=h>1=1<75`69494?=h>1?1<75`69094?=h>>=1<75`67a94?=h>?h1<75rb5``0?7=jj0;6=u+11da>463:2.:<5k54ca0?k2d:330b9m<:89m23?=82d=oh4?;%5:5?77n11/;4<511d;?!77>90?=l5+1142>17f3-;;9h4>0`d8 47a;3;:j?5+10d7>47a:2.35=4n;%::5?g<,1396l5+8819e>"?1=0j7)665;c8 =?12h1/4495a:&;=<d=#00i1m6*79e8b?!>>m3k0(57i:`9'"?i<0j7)6n6;c8 =g02h1/4l65a:&;e<d=#0hi1m6*7ae8b?!>fm3k0(5oi:`9'"?j<0j7)6m6;c8 =d02h1/4o65a:&;f<d=#0ki1m6*7be8b?!>em3k0(5li:`9'"?k<0j7)6l6;c8 =e02h1/4n65a:&;g<d=#0ji1m6*7ce8b?!>dm3k0(5mi:`9'"?l<0j7)6k6;c8 =b02h1/4i65a:&;`<<>3-2om774$02:6??<,8:2?774$0245?57n2.:<:<511:6?!>cj3h=;6F76g9Y7d6=krnh6ij516:952e=9>31=:j516c951`=9=o1=8>516`951b=ug;9=>4=4e58m46313:17d:=f;29?l1?l3:17d??5783>>o3;<0;66g>05c94?=n99?>6=44i6:a>5<5<5<#nh219n;4ngc4>6=5$gc;>476?2dmm:4?;:k2544=83.mm54>1058jcg02810e1;29 cg?28;:;6`ia681?>o698:1<7*ia98254154i3;1>5<#nh21>4h4ngc4>5=5<#nh21>4h4ngc4>7=54i3;b>5<#nh21>4h4ngc4>1=5<#nh21>4h4ngc4>3=5<#nh21>4h4ngc4>==6=4+f`:96<`5<#nh21>4h4ngc4>d=5<5<#nh21>i74ngc4>5=5<#nh21>i74ngc4>7=54i3f7>5<#nh21>i74ngc4>1=5<#nh21>i74ngc4>3=5<#nh21>i74ngc4>==5<#nh21>i74ngc4>d=5<5<5<5<5<5<5<5<5<5<5<5<5<5<#nh2194<4ngc4>4><3`?<:7>5$gc;>0?53glj;7?6;:k630<72-lj47;62:lee2<6i21b9::50;&ee=<21;1ejl951c98m016290/jl655808jcg028i07d;96;29 cg?2<397chn7;3g?>o2=k0;6)hn8;7:6>hai>0:i65f54c94?"ai10>5?5af`595c=5<5<#nh219i;4ngc4>6=5$gc;>475?2dmm:4?;:k2574=83.mm54>1358jcg02810eo69;:1<7*ia98257154i42e>5<5<5<5<5<6=44i427>5<5<5<5<5<5<5<5<#nh219?k4ngc4>4><3`?:>7>5$gc;>04b3glj;7?6;:k654<72-lj47;=e:lee2<6i21b9<>50;&ee=<2:l1ejl951c98m06c290/jl6553g8jcg028i07d;?2;29 cg?2<8n7chn7;3g?>o3n>0;6)hn8;71a>hai>0:i65f4g494?"ai10>>h5af`595c=5<5<5<5<5<5<5<5<5<5<5<6=44o707>5<32e=><4?:%dba:9l276=83.mm5493d9mbd1=9k10c;?k:18'bd>=>:o0bko8:0a8?j06:3:1(ko7:71f?k`f?3;o76a90683>!`f03<8i6`ia682a>=h>9<1<7*ia9857`=inh=1=k54o7f7>5<5<54o7g7>5<5<5<5<5<5<5<5<5<6=44o757>5<5<5<5<5<5<5<6=44o7:1>5<5<t$02ef?77<;1/==6j:5``7>h3k;027c:l3;;8j30>291e:nk50:&4=4<68o20(:7=:02e<>"68?:185a:&;=47o4$9;0>d=#00>1m6*7948b?!>>>3k0(578:`9'<"?1l0j7)66f;c8 =g72h1/4l?5a:&;e7d=#0h?1m6*7a78b?!>f?3k0(5o7:`9'"?il0j7)6nf;c8 =d72h1/4o?5a:&;f7d=#0k?1m6*7b78b?!>e?3k0(5l7:`9'"?jl0j7)6mf;c8 =e72h1/4n?5a:&;g7d=#0j?1m6*7c78b?!>d?3k0(5m7:`9'"?kl0j7)6lf;c8 =b72h1/4i?5a:&;`7d=#0m?1m6*7d78b?!>c?3k0(5j7:`9'3-;;5>46;%3334<48o1/==9=:02;1>"?lk0i::5G87d8^6g72jqoo7jk:05;>41d28=26<9k:05b>42a28>n6<;?:05a>42c2td:><=525f;?l77<00;66g;2g83>>o00m0;66g>04494?=n<:?1<75f116b>5<97>5;h5;f?6=3`?h=7>5;h7`4?6=3`?ij7>5;h7aa?6=,ok368m:;odb3?5<3`;:=>4?:%db1ejl950:9j5475290/jl651034?k`f?3;07d?>1083>!`f03;:=:5af`596>=n98;;6=4+f`:954703glj;7=4;h0:6?6=,ok36?7i;odb3?6<3`82=7>5$gc;>7?a3glj;7?4;h0:4?6=,ok36?7i;odb3?4<3`83j7>5$gc;>7?a3glj;7=4;h0:e?6=,ok36?7i;odb3?2<3`8257>5$gc;>7?a3glj;7;4;h0:5$gc;>7?a3glj;794;h0:2?6=,ok36?7i;odb3?><3`8297>5$gc;>7?a3glj;774;h0:0?6=,ok36?7i;odb3?g<3`82?7>5;h0;a?6=3`83h7>5;h0`g?6=,ok36?j6;odb3?6<3`8hn7>5$gc;>7b>3glj;7?4;h0`e?6=,ok36?j6;odb3?4<3`8h57>5$gc;>7b>3glj;7=4;h0g0?6=,ok36?j6;odb3?2<3`8o?7>5$gc;>7b>3glj;7;4;h0g6?6=,ok36?j6;odb3?0<3`8o=7>5$gc;>7b>3glj;794;h0g4?6=,ok36?j6;odb3?><3`8hj7>5$gc;>7b>3glj;774;h0`a?6=,ok36?j6;odb3?g<3`8hh7>5;h0`5;h747?6=3`?<>7>5;h744?6=3`?=j7>5;h75a?6=3`?=h7>5;h75g?6=3`?=n7>5;h75e?6=3`?=57>5;h755;h751?6=3`?=87>5;h757?6=3`?=>7>5;h755?6=3`?=<7>5;h76b?6=3`?>i7>5;h76`?6=3`?>o7>5;h74=?6=3`?<47>5;h743?6=,ok3687=;odb3?7?32c>;;4?:%db:2dmm:4>9:9j123=83.mm54:939mbd1=9h10e89;:18'bd>==080bko8:0`8?l3093:1(ko7:4;1?k`f?3;h76g:6783>!`f03?2>6`ia682`>=n=5<#nh2194<4ngc4>4`<3`?o=7>5;h7g4?6=3`?hj7>5;h7`a?6=,ok368j:;odb3?5<3`;:>>4?:%db1ejl950:9j5445290/jl651004?k`f?3;07d?>2083>!`f03;:>:5af`596>=n988;6=4+f`:954403glj;7=4;h73b?6=3`?;i7>5;h73g?6=3`?;n7>5;h73e?6=3`?;57>5;h735;h732?6=3`?;97>5;h730?6=3`?;?7>5;h735?6=3`?;<7>5;h6eb?6=3`>mi7>5;h6e`?6=3`>mo7>5;h6ef?6=3`>mm7>5;h6e=?6=3`>m47>5;h721?6=3`?:87>5;h727?6=,ok368=?4?:%db9:9j147=83.mm54:2d9mbd1=9h10e8??:18'bd>==;o0bko8:0`8?l37l3:1(ko7:40f?k`f?3;h76g:0383>!`f03?9i6`ia682`>=n5<#nh219?k4ngc4>4`<3f<:j7>5;n42a?6=3f<:o7>5;n42f?6=3f<:m7>5;n42=?6=3f<:47>5;n423?6=3f<::7>5;n421?6=3f<:87>5;n427?6=3f<:=7>5;n424?6=3f<;j7>5;n43a?6=3f<;h7>5;n43g?6=3f<;n7>5;n43e?6=3f<;57>5;n435;n410?6=3f<9?7>5$gc;>35b3glj;7?7;:m567<72-lj478d;29 cg?2?9n7chn7;3`?>i19;0;6)hn8;40a>hai>0:h65`61594?"ai10=?h5af`595`=5;n4g6?6=3f5$gc;>3b?3glj;7=4;n4f0?6=3f5;n4f6?6=3f5;n4;0?6=3f<3?7>5;n4;5?6=3f<3<7>5;n44b?6=3f<5;n44`?6=3f<5;n44f?6=3f<5;n44=?6=3f<<47>5;n442?6=3f<<97>5;n440?6=3f<5;n446?6=3f<<=7>5;n444?6=3f<=j7>5;n45a?6=3f<=h7>5;n4;e?6=3f<357>5;n4;5;n4;2?6=3f<397>5;n4;6?6=3f<<;7>5;n45g?6=3f<=n7>5;|`7g31=937e;6`23=i?31<6`9cd83?!1>93;;j55+780955`?3-;;8;4>0c78 46183>:m6*>073904g<,8:>i7??ag9'54`428;m>6*>1g6955203-22<7o4$9;2>d=#0081m6*7928b?!>>13k0(57n:`9'<"?i90j7)6n1;c8 =g52h1/4l=5a:&;e1d=#0h=1m6*7a98b?!>f13k0(5on:`9'"?j90j7)6m1;c8 =d52h1/4o=5a:&;f1d=#0k=1m6*7b98b?!>c13=0(5jn:69'55?52>1/==7<:69'81;13b>N?>o1Q?l>5czf5>a1=9:?1=>851209567=9:91=>:5ed8fg?ce2ll1qc?=12810a?;7>5;h5;a?6=3`;;844?::k4b;29 cg?28;:h6`ia683?>o698k1<7*ia98254b=:kh0bko8:098m7gc290/jl652c`8jcg02;10e?l8:18'bd>=:kh0bko8:298m7d1290/jl652c`8jcg02=10e?l::18'bd>=:kh0bko8:498m7d3290/jl652c`8jcg02?10e?l<:18'bd>=:kh0bko8:698m7d5290/jl652c`8jcg02110e?l>:188m7d72900e?ol:188m7ge2900e8j6:18'bd>==mh0bko8:198m0b?290/jl655e`8jcg02810e8o<:18'bd>==k80bko8:198m0g5290/jl655c08jcg02810e8o>:18'bd>==k80bko8:398m0g7290/jl655c08jcg02:10e87i:18'bd>==k80bko8:598m0?b290/jl655c08jcg02<10e8om:18'bd>==k80bko8:798m0gf290/jl655c08jcg02>10e8o6:18'bd>==k80bko8:998m0g?290/jl655c08jcg02010e8o8:18'bd>==k80bko8:`98m0g1290/jl655c08jcg02k10e8o::18'bd>==k80bko8:b98m0g3290/jl655c08jcg02m10e87k:18'bd>==k80bko8:d98m0?d290/jl655c08jcg02o10e8=i:18'bd>===o0bko8:198m05b290/jl6555g8jcg02810e8=k:18'bd>===o0bko8:398m05d290/jl6555g8jcg02:10e8=m:18'bd>===o0bko8:598m05f290/jl6555g8jcg02<10e8:8:18'bd>===o0bko8:798m021290/jl6555g8jcg02>10e8:::18'bd>===o0bko8:998m023290/jl6555g8jcg02010e8:<:18'bd>===o0bko8:`98m025290/jl6555g8jcg02k10e8:>:18'bd>===o0bko8:b98m027290/jl6555g8jcg02m10e8=6:18'bd>===o0bko8:d98m05?290/jl6555g8jcg02o10e?k6:18'bd>=:o?0bko8:198m7c?290/jl652g78jcg02810e?k8:18'bd>=:o?0bko8:398m7`6290/jl652g78jcg02:10e?h?:18'bd>=:o?0bko8:598m7ca290/jl652g78jcg02<10e?kj:18'bd>=:o?0bko8:798m7cc290/jl652g78jcg02>10e?kl:18'bd>=:o?0bko8:998m7ce2900e?kn:188m7c12900e?k::188m0e>290/jl655b`8jcg02910e8m7:18'bd>==jh0bko8:098k32a2900c;:j:188k32c2900c;:l:188k32e2900c;:n:188k330290/jl6564g8jcg02?10c;;9:18'bd>=>=>=>=>290/jl6564g8jcg02l10c;:7:18'bd>=>:188k3d72900c;oi:188k3dd2900c;lm:188k3df2900c;l6:188k3d?2900c;l8:188k3d12900c;l::188k3gb2900c;ok:188yg24k=0:6om50;2x 46aj3;;8?5+11:f>150n2d?o?46;o6`7??"0180:46a02.:<;>540c8 46193>:m6*>04g955ga3-;:j>4>0558 47a<3;:j?5+8829e>"?180j7)662;c8 =?42h1/44:5a:&;=0d=#0031m6*79`8b?!>>j3k0(57l:`9'<"?i;0j7)6n3;c8 =g32h1/4l;5a:&;e3d=#0h31m6*7a`8b?!>fj3k0(5ol:`9'"?j;0j7)6m3;c8 =d32h1/4o;5a:&;f3d=#0k31m6*7b`8b?!>ej3k0(5ll:`9'"?k;0j7)6l3;c8 =e32h1/4n;5a:&;g3d=#0j31m6*7c`8b?!>dj3k0(5ml:`9'"?l;0j7)6k3;c8 =b32h1/4i;5a:&;`3d=#0m3156*7d`8:?!771;027)??928:?!77?80846?=2.3ho4m669K<3`41?28=h6<96:05g>41f28>m6<:j:073>41e28>o6p`>201961bf3`;;844?::k76c<722c<4i4?::k2400=831b8>;50;9j552f2900e<>:5;29?l1?j3:17d;l1;29?l3d83:17d;mf;29?l3em3:1(ko7:4a6?k`f?3907d?>1283>!`f03;:=:5af`594>=n98;96=4+f`:954703glj;7?4;h3254<72-lj47?>169mbd1=:21b==98;<7chn7;18?l4>:3:1(ko7:3;e?k`f?3:07d<61;29 cg?2;3m7chn7;38?l4>83:1(ko7:3;e?k`f?3807d<7f;29 cg?2;3m7chn7;18?l4>i3:1(ko7:3;e?k`f?3>07d<69;29 cg?2;3m7chn7;78?l4>03:1(ko7:3;e?k`f?3<07d<67;29 cg?2;3m7chn7;58?l4>>3:1(ko7:3;e?k`f?3207d<65;29 cg?2;3m7chn7;;8?l4><3:1(ko7:3;e?k`f?3k07d<63;29?l4?m3:17d<7d;29?l4dk3:1(ko7:3f:?k`f?3:07d07d5<#nh21=<<8;odb3?7<3`;:><4?:%db1ejl952:9j5447290/jl651004?k`f?3907d;?f;29?l37m3:17d;?c;29?l37j3:17d;?a;29?l3713:17d;?8;29?l37?3:17d;?6;29?l37=3:17d;?4;29?l37;3:17d;?1;29?l3783:17d:if;29?l2am3:17d:id;29?l2ak3:17d:ib;29?l2ai3:17d:i9;29?l2a03:17d;>5;29?l36<3:17d;>3;29 cg?2<8n7chn7;3;?>o29;0;6)hn8;71a>hai>0:565f50394?"ai10>>h5af`595d=d:9j0c1=83.mm54:2d9mbd1=9l10e9h9:18'bd>==;o0bko8:0d8?j06n3:17b8>e;29?j06k3:17b8>b;29?j06i3:17b8>9;29?j0603:17b8>7;29?j06>3:17b8>5;29?j06<3:17b8>3;29?j0693:17b8>0;29?j07n3:17b8?e;29?j07l3:17b8?c;29?j07j3:17b8?a;29?j0713:17b8?8;29?j05=3:17b8=4;29?j05;3:17b8=2;29?j0593:17b8=0;29?j06l3:17b8>2;29?j07?3:17b8?6;29?j0c<3:17b8k3;29?j0c:3:17b8k1;29?j0b<3:17b8j3;29?j0b:3:17b8j1;29?j0?<3:17b873;29?j0?93:17b870;29?j00n3:17b88e;29?j00l3:17b88c;29?j00j3:17b88a;29?j0013:17b888;29?j00>3:17b885;29?j00<3:17b883;29?j00:3:17b881;29?j0083:17b89f;29?j01m3:17b89d;29?j0?i3:17b879;29?j0?03:1(ko7:7c0?k`f?3;376a98683>!`f03=h>1<1<7*ia985e6=inh=1=l54o7:6>5<#nh21:l=4ngc4>4d<3f<3>7>5$gc;>3g43glj;7?l;:m532<72-lj478n3:lee2<6l21d:;m50;&ee=<1i:1ejl951d98k30e290/jl656`18jcg028l07pl:2`695?1=83:p(<>ib;:e3>"??;0hn>5+11:f>13ej2B3:k5a1330>72cj2c3;k4?::k;<5<722c34<4?::k;<7<722c34>4?::k;<1<722en?44?::a17g5280<6=4?{%33bg1/4:<5dg58 46?m3>>no5G87d8j446;38?hn5f86d94?=n01:1<75f89394?=n0181<75f89194?=n01>1<75`e2;94?=zj<8j?7?57;294~"68oh14k94$951>a`e3-;;4h4;5c`8L=0a3g;9=>4=4ef8m=1a2900e56?:188m=>62900e56=:188m=>42900e56;:188k`5>2900qo;=a482>2<729q/==hm:9d4?!>0:3hhn6*>09g900de3A2=j6`>201961bb3`25;h:;4?6=3`23=7>5;h:;6?6=3`23?7>5;h:;0?6=3fo857>5;|`2ggg=9331<7>t$02ef?770o1/==6j:0aa=>"?110=7E69f:l2645=:=nm7d:77;29?l2503:17d:>a;29?l46m3:17d<=1;29?l45j3:17d<<4;29?j77;:0;66a>02694?=zj8iin7?56;294~"??;04ee12.:N?>o1e=??<:36f4>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:883>5}#99li6<>7f:&24=c=9koj7)668;48L=0a3g;9=>4=4d38m1>02900e9<7:188m17f2900e??j:188m7462900e?<3;29?j77;=0;66sm1cgf>4<>290;w)??fc824=`<,8:3i7?mee9'<<>=>2B3:k5a1330>72b:2c?4:4?::k76=<722c?=l4?::k15`<722c9><4?::k16g<722c9?94?::m2465=831d===;:188yg7emo0:6;4?:1y'<24=?j?0(<>7e;3aaa=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd6kk;1=7750;2x 46aj3;;4k5+11:f>4ee82.35549;I:5b>h6:891>9k;;h6;3?6=3`>947>5;h62e?6=3`8:i7>5;h015?6=3`89n7>5;h000?6=3f;;?>4?::m2462=831vnb28ii<6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>72b=2c?4:4?::k76=<722c?;;4?::k247g=831b8<<729q/==hm:02;b>"681o1=nl9;%::5<5<5<h6:891>9k8;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4ee<3;157>50z&24cd=992m7)??8d82gg5<,1336;5G87d8j446;38?i55f49594?=n<;21<75f40c94?=n:8o1<75f23394?=n:;h1<75f22694?=h99986=44o0200?6=3th:oo;51;494?6|,1=96:m:;%33<`<6kk90(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi=no7:08:>5<7s-;;jo4>09d8 46?m3;hm:5+88:92>N?>o1e=??<:36fe>o30>0;66g;2983>>o39h0;66g=1d83>>o5:80;66g=2c83>>o5;=0;66a>02194?=h999?6=44}c3`e<<62?0;6=u+86093f3<,8:3i7?la69'55`e28:>>6*>0c29747<,133695G87d8j446;38?io5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`2gdc=9331<7>t$02ef?770o1/==6j:0ab`>"?110=7E69f:l2645=:=oh7d:77;29?l2503:17d:>a;29?l46m3:17d<=1;29?l45j3:17d<<4;29?j77;:0;66a>02694?=zj8ijj7?56;294~"??;04efl2.:N?>o1e=??<:36f`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:883>5}#99li6<>7f:&24=c=9jkj7)668;48L=0a3g;9=>4=4dg8m1>02900e9<7:188m17f2900e??j:188m7462900e?<3;29?j77;=0;66sm1bc`>4<1290;w)682;5`1>"681o1=non;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:=om7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0ab1?7=13:10g`955>a3-;;4h4>c`68 =??2?1C4;h4n0027?43n91b85950;9j07>=831b8??50;9j67d=831b>>:50;9l55542900c<><4;29?xd6kh<1=7850;2x =152>i>7)??8d82gd2<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4=4g38m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9jk96<46:183!77nk0:<5h4$02;a?7di81/44656:J;2c=i9;;86?:i2:k7<2<722c?>54?::k75d<722c9=h4?::k164<722c9>o4?::k171<722e:<>=50;9l55532900qo?la282>3<729q/4:<57b78 46?m3;hm<5+11da>462:2.:53038 =??2=1C4;h4n0027?43n:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>c8;95??=83:p(<>ib;33201961`33`>3;7>5;h61:m7>5;h02a?6=3`89=7>5;h01f?6=3`8887>5;n3376<722e:<>:50;9~f4e>i3;1:7>50z&;37<0k<1/==6j:0a:<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?:i5:k7<2<722c?>54?::k733<722c:09g95f?b3-224784H94e?k759:098k84i5:4>5<5<5<5<5;n3371<722wi=no?:085>5<7s-2<>79l5:&24=c=9j3n7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201961`03`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn3=O0?l0b<<>3;07b==n<1=1<75f43:94?=n<8k1<75f20g94?=n:;;1<75f23`94?=n::>1<75`1110>5<5;|`2gt$951>2e23-;;4h4>c8`8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:098k74i5:4>5<5<5;h62e?6=3f;;?>4?::a5f?128026=4?{%33bg<681l0(<>7e;3`=0=#0021:6F76g9m57742;>mm6g;8683>>o3:10;66g;1`83>>o59l0;66g=2083>>o5:k0;66g=3583>>i68:91<75`1117>5<:783>5}#0>81;n;4$02;a?7d1<1/==hm:0266>"68k:1?1=O0?l0b<<>3;07bg=n<1=1<75f43:94?=n<><1<75f110b>5<b28i2>6*79985?M>1n2d:><=525d`?l2??3:17d:=8;29?l26i3:17d<>e;29?l4593:17d<=b;29?l44<3:17b??3283>>i68:>1<75rb0a:0?7=>3:1mh6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3`46?n2.:<5k51b::?!>>03<0D58i;o3156<5:188m74e2900e?=;:188k464;3:17b??3583>>{e9j2i6<49:183!>0:3=h96*>09g95f>>3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=525de?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8i2<7?59;294~"68oh1==6i;%33<`<6k1l0(577:79K<3`?l50;9j662=831d===<:188k464<3:17pl>c8395?0=83:p(59=:6a6?!770l0:o5h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<5=9;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1b:g>4<>290;w)??fc824=`<,8:3i7?l8b9'<<>=>2B3:k5a1330>737:2c?4:4?::k76=<722c?=l4?::k15`<722c9><4?::k16g<722c9?94?::m2465=831d===;:188yg7d0l0:6;4?:1y'<24=?j?0(<>7e;3`:2:&24g6=;8;0(577:59K<3`<3;29?xd6k1=1=7750;2x 46aj3;;4k5+11:f>4e?>2.35549;I:5b>h6:891>8>;;h6;3?6=3`>947>5;h62e?6=3`8:i7>5;h015?6=3`89n7>5;h000?6=3f;;?>4?::m2462=831vnb28i3:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>737=2c?4:4?::k76=<722c?;;4?::k247g=831b8<<729q/==hm:02;b>"681o1=n6<;%::5<5<5<2280=6=4?{%:46?1d=2.:<5k51b:0?!77nk0:<8<4$02a4?5692.3554;;I:5b>h6:891>8>8;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4e0j3;157>50z&24cd=992m7)??8d82g2g<,1336;5G87d8j446;38><55f49594?=n<;21<75f40c94?=n:8o1<75f23394?=n:;h1<75f22694?=h99986=44o0200?6=3th:o:m51;494?6|,1=96:m:;%33<`<6k>k0(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi=n6>:08:>5<7s-;;jo4>09d8 46?m3;h4=5+88:92>N?>o1e=??<:373e>o30>0;66g;2983>>o39h0;66g=1d83>>o5:80;66g=2c83>>o5;=0;66a>02194?=h999?6=44}c3`<7<62?0;6=u+86093f3<,8:3i7?l819'55`e28:>>6*>0c29747<,133695G87d8j446;38>5<5;|`2g2c=9331<7>t$02ef?770o1/==6j:0a4`>"?110=7E69f:l2645=:<:h7d:77;29?l2503:17d:>a;29?l46m3:17d<=1;29?l45j3:17d<<4;29?j77;:0;66a>02694?=zj8i4e0l2.:N?>o1e=??<:373`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:883>5}#99li6<>7f:&24=c=9j=:7)668;48L=0a3g;9=>4=51g8m1>02900e9<7:188m17f2900e??j:188m7462900e?<3;29?j77;=0;66sm1b50>4<1290;w)682;5`1>"681o1=n9>;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:<:m7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0a40g`955>a3-;;4h4>c658 =??2?1C4;h4n0027?42991b85950;9j07>=831b8??50;9j67d=831b>>:50;9l55542900c<><4;29?xd6k>31=7850;2x =152>i>7)??8d82g21<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4=5038m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9j=>6<46:183!77nk0:<5h4$02;a?7d?=1/44656:J;2c=i9;;86?;>2:k7<2<722c?>54?::k75d<722c9=h4?::k164<722c9>o4?::k171<722e:<>=50;9l55532900qo?l7782>3<729q/4:<57b78 46?m3;h;95+11da>462:2.:53038 =??2=1C4;h4n0027?429:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>c7;95??=83:p(<>ib;33201960733`>3;7>5;h61:m7>5;h02a?6=3`89=7>5;h01f?6=3`8887>5;n3376<722e:<>:50;9~f4e1i3;1:7>50z&;37<0k<1/==6j:0a5<>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?;>5:k7<2<722c?>54?::k733<722c:o0:644?:1y'55`e28:3j6*>09g95f0b3-224784H94e?k759:099<84i5:4>5<5<5<5<5;n3371<722wi=n9?:085>5<7s-2<>79l5:&24=c=9j1:&;==<33A2=j6`>201960703`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn3=O0?l0b<<>3;065==n<1=1<75f43:94?=n<8k1<75f20g94?=n:;;1<75f23`94?=n::>1<75`1110>5<5;|`2g3b=93<1<7>t$951>2e23-;;4h4>c7`8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:099<74i5:4>5<5<5;h62e?6=3f;;?>4?::a5f0128026=4?{%33bg<681l0(<>7e;3`20=#0021:6F76g9m57742;?:m6g;8683>>o3:10;66g;1`83>>o59l0;66g=2083>>o5:k0;66g=3583>>i68:91<75`1117>5<:783>5}#0>81;n;4$02;a?7d><1/==hm:0266>"68k:1?1=O0?l0b<<>3;065g=n<1=1<75f43:94?=n<><1<75f110b>5<b28i=>6*79985?M>1n2d:><=5243`?l2??3:17d:=8;29?l26i3:17d<>e;29?l4593:17d<=b;29?l44<3:17b??3283>>i68:>1<75rb0a50?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c3`1d<6200;6=u+11da>46?n2.:<5k51b7:?!>>03<0D58i;o3156<5=8o0e968:188m14?2900e9?n:188m77b2900e?<>:188m74e2900e?=;:188k464;3:17b??3583>>{e9j?i6<49:183!>0:3=h96*>09g95f3>3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5243e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8i=<7?59;294~"68oh1==6i;%33<`<6k?l50;9j662=831d===<:188k464<3:17pl>c7395?0=83:p(59=:6a6?!770l0:o8h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<5=;;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1b7g>4<>290;w)??fc824=`<,8:3i7?l5b9'<<>=>2B3:k5a1330>735:2c?4:4?::k76=<722c?=l4?::k15`<722c9><4?::k16g<722c9?94?::m2465=831d===;:188yg7d=l0:6;4?:1y'<24=?j?0(<>7e;3`1f=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd6k<=1=7750;2x 46aj3;;4k5+11:f>4e2>2.35549;I:5b>h6:891>8<;;h6;3?6=3`>947>5;h62e?6=3`8:i7>5;h015?6=3`89n7>5;h000?6=3f;;?>4?::m2462=831vnb28i>:6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>735=2c?4:4?::k76=<722c?;;4?::k247g=831b8<<729q/==hm:02;b>"681o1=n;<;%::5<5<5<h6:891>8<8;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f4e3j3;157>50z&24cd=992m7)??8d82g1g<,1336;5G87d8j446;38>>55f49594?=n<;21<75f40c94?=n:8o1<75f23394?=n:;h1<75f22694?=h99986=44o0200?6=3th:o9m51;494?6|,1=96:m:;%33<`<6k=k0(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi=n;>:08:>5<7s-;;jo4>09d8 46?m3;h9=5+88:92>N?>o1e=??<:371e>o30>0;66g;2983>>o39h0;66g=1d83>>o5:80;66g=2c83>>o5;=0;66a>02194?=h999?6=44}c3`17<62?0;6=u+86093f3<,8:3i7?l519'55`e28:>>6*>0c29747<,133695G87d8j446;38>>o5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`2g1c=9331<7>t$02ef?770o1/==6j:0a7`>"?110=7E69f:l2645=:<8h7d:77;29?l2503:17d:>a;29?l46m3:17d<=1;29?l45j3:17d<<4;29?j77;:0;66a>02694?=zj8i?j7?56;294~"??;04e3l2.:N?>o1e=??<:371`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:883>5}#99li6<>7f:&24=c=9j><7)668;48L=0a3g;9=>4=53g8m1>02900e9<7:188m17f2900e??j:188m7462900e?<3;29?j77;=0;66sm1b6:>4<1290;w)682;5`1>"681o1=n:8;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:<8m7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb0a71?7=13:10g`955>a3-;;4h4>c568 =??2?1C4;h4n0027?42;91b85950;9j07>=831b8??50;9j67d=831b>>:50;9l55542900c<><4;29?xd6k=<1=7850;2x =152>i>7)??8d82g12<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4=5238m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e9j9h6<46:183!77nk0:<5h4$02;a?7d;k1/44656:J;2c=i9;;86?;<2:k7<2<722c?>54?::k75d<722c9=h4?::k164<722c9>o4?::k171<722e:<>=50;9l55532900qo?l3e82>3<729q/4:<57b78 46?m3;h?o5+11da>462:2.:53038 =??2=1C4;h4n0027?42;:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl>c5095??=83:p(<>ib;33201960533`>3;7>5;h61:m7>5;h02a?6=3`89=7>5;h01f?6=3`8887>5;n3376<722e:<>:50;9~f4e3;3;1:7>50z&;37<0k<1/==6j:0a75>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?;<5:k7<2<722c?>54?::k733<722c:09g95f5b3-224784H94e?k759:099>84i5:4>5<5<5<5<5;n3371<722wi=n:?:085>5<7s-2<>79l5:&24=c=9j9n7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201960503`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn<4$9;;>3=O0?l0b<<>3;067==n<1=1<75f43:94?=n<8k1<75f20g94?=n:;;1<75f23`94?=n::>1<75`1110>5<5;|`2g62=93<1<7>t$951>2e23-;;4h4>c208 46aj3;;9?5+11`3>6763-2247:4H94e?k759:099>74i5:4>5<5<5;h62e?6=3f;;?>4?::a5f5>28026=4?{%33bg<681l0(<>7e;3`7==#0021:6F76g9m57742;?8m6g;8683>>o3:10;66g;1`83>>o59l0;66g=2083>>o5:k0;66g=3583>>i68:91<75`1117>5<:783>5}#0>81;n;4$02;a?7d;11/==hm:0266>"68k:1?1=O0?l0b<<>3;067g=n<1=1<75f43:94?=n<><1<75f110b>5<851;;94?6|,8:mn7??8g9'55>b28i896*79985?M>1n2d:><=5241`?l2??3:17d:=8;29?l26i3:17d<>e;29?l4593:17d<=b;29?l44<3:17b??3283>>i68:>1<75rb0a03?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c7177<6200;6=u+11da>46?n2.:<5k5502a?!>>03<0D58i;o3156<5=:o0e968:188m14?2900e9?n:188m77b2900e?<>:188m74e2900e?=;:188k464;3:17b??3583>>{e=83>6<49:183!>0:3=h96*>09g9146e3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5241e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj8i8<7?59;294~"68oh1==6i;%33<`<6k;l0(577:79K<3`?l50;9j662=831d===<:188k464<3:17pl>c2395?0=83:p(59=:6a6?!770l0:o?h4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<5==;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm1b0g>4<>290;w)??fc824=`<,8:3i7?l2b9'<<>=>2B3:k5a1330>733:2c?4:4?::k76=<722c?=l4?::k15`<722c9><4?::k16g<722c9?94?::m2465=831d===;:188yg7d:l0:6;4?:1y'<24=?j?0(<>7e;3`6f=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd2::91=7750;2x 46aj3;;4k5+11:f>077j2.35549;I:5b>h6:891>8:;;h6;3?6=3`>947>5;h62e?6=3`8:i7>5;h015?6=3`89n7>5;h000?6=3f;;?>4?::m2462=831vn8?66;392?6=8r.3;?48c49'55>b2<;;n6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>733=2c?4:4?::k76=<722c?;;4?::k247g=831b8<<729q/==hm:02;b>"681o19<>m;%::5<5<5<h6:891>8:8;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f044m3;157>50z&24cd=992m7)??8d8655d<,1336;5G87d8j446;38>855f49594?=n<;21<75f40c94?=n:8o1<75f23394?=n:;h1<75f22694?=h99986=44o0200?6=3th>=l?51;494?6|,1=96:m:;%33<`<299h0(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi9?=l:08:>5<7s-;;jo4>09d8 46?m3?:N?>o1e=??<:377e>o30>0;66g;2983>>o39h0;66g=1d83>>o5:80;66g=2c83>>o5;=0;66a>02194?=h999?6=44}c72=c<62?0;6=u+86093f3<,8:3i7;>0c9'55`e28:>>6*>0c29747<,133695G87d8j446;38>8o5f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`666b=9331<7>t$02ef?770o1/==6j:433f>"?110=7E69f:l2645=:<>h7d:77;29?l2503:17d:>a;29?l46m3:17d<=1;29?l45j3:17d<<4;29?j77;:0;66a>02694?=zj<;j<7?56;294~"??;0077j2.:N?>o1e=??<:377`>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:883>5}#99li6<>7f:&24=c==8:i7)668;48L=0a3g;9=>4=55g8m1>02900e9<7:188m17f2900e??j:188m7462900e?<3;29?j77;=0;66sm50c1>4<1290;w)682;5`1>"681o19<>m;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:<>m7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb4074?7=13:10g`955>a3-;;4h4:11`8 =??2?1C4;h4n0027?42=91b85950;9j07>=831b8??50;9j67d=831b>>:50;9l55542900c<><4;29?xd29h91=7850;2x =152>i>7)??8d8655d<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4=5438m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e=;9?6<46:183!77nk0:<5h4$02;a?368k1/44656:J;2c=i9;;86?;:2:k7<2<722c?>54?::k75d<722c9=h4?::k164<722c9>o4?::k171<722e:<>=50;9l55532900qo;>9682>3<729q/4:<57b78 46?m3?:462:2.:53038 =??2=1C4;h4n0027?42=:1b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:25395??=83:p(<>ib;33201960333`>3;7>5;h61:m7>5;h02a?6=3`89=7>5;h01f?6=3`8887>5;n3376<722e:<>:50;9~f07f<3;1:7>50z&;37<0k<1/==6j:433f>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?;:5:k7<2<722c?>54?::k733<722c:09g9146e3-224784H94e?k759:099884i5:4>5<5<5<5<5;n3371<722wi95<7s-2<>79l5:&24=c==8:i7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201960303`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn8<<5;39=?6=8r.:==l4$9;;>3=O0?l0b<<>3;061==n<1=1<75f43:94?=n<8k1<75f20g94?=n:;;1<75f23`94?=n::>1<75`1110>5<5;|`65<>=93<1<7>t$951>2e23-;;4h4:11`8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:099874i5:4>5<5<5;h62e?6=3f;;?>4?::a175128026=4?{%33bg<681l0(<>7e;724g=#0021:6F76g9m57742;?>m6g;8683>>o3:10;66g;1`83>>o59l0;66g=2083>>o5:k0;66g=3583>>i68:91<75`1117>5<:783>5}#0>81;n;4$02;a?368k1/==hm:0266>"68k:1?1=O0?l0b<<>3;061g=n<1=1<75f43:94?=n<><1<75f110b>5<>>751;;94?6|,8:mn7??8g9'55>b2<;;n6*79985?M>1n2d:><=5247`?l2??3:17d:=8;29?l26i3:17d<>e;29?l4593:17d<=b;29?l44<3:17b??3283>>i68:>1<75rb43:g?7=>3:1h6g;8683>>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c7172<6200;6=u+11da>46?n2.:<5k5502a?!>>03<0D58i;o3156<5=:188m74e2900e?=;:188k464;3:17b??3583>>{e=83j6<49:183!>0:3=h96*>09g9146e3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5247e?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<8847?59;294~"68oh1==6i;%33<`<299h0(577:79K<3`?l50;9j662=831d===<:188k464<3:17pl:18`95?0=83:p(59=:6a6?!770l0>==l4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<5=?;0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm5367>4<>290;w)??fc824=`<,8:3i7;>0c9'<<>=>2B3:k5a1330>731:2c?4:4?::k76=<722c?=l4?::k15`<722c9><4?::k16g<722c9?94?::m2465=831d===;:188yg36i>0:6;4?:1y'<24=?j?0(<>7e;724g=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd2::k1=7750;2x 46aj3;;4k5+11:f>077j2.35549;I:5b>h6:891>88;;h6;3?6=3`>947>5;h62e?6=3`8:i7>5;h015?6=3`89n7>5;h000?6=3f;;?>4?::m2462=831vn8<;3;39=?6=8r.:==l4$9;;>3=O0?l0b<<>3;0620=n<1=1<75f43:94?=n<8k1<75f20g94?=n:;;1<75f23`94?=n::>1<75`1110>5<5;|`65d0=93<1<7>t$951>2e23-;;4h4:11`8 46aj3;;9?5+11`3>6763-2247:4H94e?k759:099;84i5:4>5<5<5;h62e?6=3f;;?>4?::a172b28026=4?{%33bg<681l0(<>7e;724g=#0021:6F76g9m57742;?=;6g;8683>>o3:10;66g;1`83>>o59l0;66g=2083>>o5:k0;66g=3583>>i68:91<75`1117>5<:783>5}#0>81;n;4$02;a?368k1/==hm:0266>"68k:1?1=O0?l0b<<>3;062==n<1=1<75f43:94?=n<><1<75f110b>5<>9m51;;94?6|,8:mn7??8g9'55>b2<;;n6*79985?M>1n2d:><=5244:?l2??3:17d:=8;29?l26i3:17d<>e;29?l4593:17d<=b;29?l44<3:17b??3283>>i68:>1<75rb43bb?7=>3:1>o3:10;66g;7783>>o68;k1<75f40c94?=h99986=44}c710a<6200;6=u+11da>46?n2.:<5k5502a?!>>03<0D58i;o3156<5=?h0e968:188m14?2900e9?n:188m77b2900e?<>:188m74e2900e?=;:188k464;3:17b??3583>>{e=8h;6<49:183!>0:3=h96*>09g9146e3-;;jo4>0408 46e839:=6*79987?M>1n2d:><=5244`?l2??3:17d:=8;29?l20>3:17d??2`83>>o39h0;66a>02194?=zj<8?j7?59;294~"68oh1==6i;%33<`<299h0(577:79K<3`?l50;9j662=831d===<:188k464<3:17pl:1c095?0=83:p(59=:6a6?!770l0>==l4$02ef?77=;1/==l?:232?!>>03>0D58i;o3156<5=?o0e968:188m14?2900e999:188m465i3:17d:>a;29?j77;:0;66sm5373>4<>290;w)??fc824=`<,8:3i7;>0c9'<<>=>2B3:k5a1330>731n2c?4:4?::k76=<722c?=l4?::k15`<722c9><4?::k16g<722c9?94?::m2465=831d===;:188yg36j:0:6;4?:1y'<24=?j?0(<>7e;724g=#99li6<>:2:&24g6=;8;0(577:59K<3`<3;29?xd2:<91=7750;2x 46aj3;;4k5+11:f>077j2.35549;I:5b>h6:891>89>;h6;3?6=3`>947>5;h62e?6=3`8:i7>5;h015?6=3`89n7>5;h000?6=3f;;?>4?::m2462=831vn8?m6;392?6=8r.3;?48c49'55>b2<;;n6*>0g`955353-;;n=4<109'<<>=<2B3:k5a1330>730:2c?4:4?::k76=<722c?;;4?::k247g=831b8<<729q/==hm:02;b>"681o19<>m;%::5<5<5<h6:891>89;;h6;3?6=3`>947>5;h642?6=3`;;>l4?::k75d<722e:<>=50;9~f042:3;157>50z&24cd=992m7)??8d8655d<,1336;5G87d8j446;38>;85f49594?=n<;21<75f40c94?=n:8o1<75f23394?=n:;h1<75f22694?=h99986=44o0200?6=3th>=o;51;494?6|,1=96:m:;%33<`<299h0(<>ib;3317=#99h;6>?>;%::5<:m7>5;n3376<722wi9?:::08:>5<7s-;;jo4>09d8 46?m3?:N?>o1e=??<:3743>o30>0;66g;2983>>o39h0;66g=1d83>>o5:80;66g=2c83>>o5;=0;66a>02194?=h999?6=44}c72e=<62?0;6=u+86093f3<,8:3i7;>0c9'55`e28:>>6*>0c29747<,133695G87d8j446;38>;55f49594?=n<;21<75f46494?=n998j6=44i53b>5<5;|`6610=9331<7>t$02ef?770o1/==6j:433f>"?110=7E69f:l2645=:<=27d:77;29?l2503:17d:>a;29?l46m3:17d<=1;29?l45j3:17d<<4;29?j77;:0;66a>02694?=zj<;j57?56;294~"??;0077j2.:N?>o1e=??<:374e>o30>0;66g;2983>>o3??0;66g>03c94?=n<8k1<75`1110>5<:883>5}#99li6<>7f:&24=c==8:i7)668;48L=0a3g;9=>4=56`8m1>02900e9<7:188m17f2900e??j:188m7462900e?<3;29?j77;=0;66sm50c`>4<1290;w)682;5`1>"681o19<>m;%33bg<68<80(<>m0;125>"?110?7E69f:l2645=:<=h7d:77;29?l2503:17d:86;29?l77:h0;66g;1`83>>i68:91<75rb4073?7=13:10g`955>a3-;;4h4:11`8 =??2?1C4;h4n0027?42?m1b85950;9j07>=831b8??50;9j67d=831b>>:50;9l55542900c<><4;29?xd29hk1=7850;2x =152>i>7)??8d8655d<,8:mn7??539'55d72:;:7)668;68L=0a3g;9=>4=56g8m1>02900e9<7:188m1112900e<>=a;29?l26i3:17b??3283>>{e=;>36<46:183!77nk0:<5h4$02;a?368k1/44656:J;2c=i9;;86?;8f:k7<2<722c?>54?::k75d<722c9=h4?::k164<722c9>o4?::k171<722e:<>=50;9l55532900qo;>ac82>3<729q/4:<57b78 46?m3?:462:2.:53038 =??2=1C4;h4n0027?42091b85950;9j07>=831b8:850;9j554f2900e9?n:188k464;3:17pl:25c95??=83:p(<>ib;33201960>63`>3;7>5;h61:m7>5;h02a?6=3`89=7>5;h01f?6=3`8887>5;n3376<722e:<>:50;9~f07fl3;1:7>50z&;37<0k<1/==6j:433f>"68oh1==;=;%33f5<4981/44654:J;2c=i9;;86?;72:k7<2<722c?>54?::k733<722c:09g9146e3-224784H94e?k759:0995=4i5:4>5<5<5<5<5;n3371<722wi95<7s-2<>79l5:&24=c==8:i7)??fc82404<,8:i<7=>1:&;==<33A2=j6`>201960>33`>3;7>5;h61<:7>5;h336d<722c?=l4?::m2465=831vn9<7:082>5<7s-;;jo47ec9'<`?=0oo0(5kn:9::?!>a;3oj46F76g9m57742;?396a>09f94?=zj8;9j7?51;294~"68oh1?474$9g:>=`b3-2m?7k74:J;2c=i9;;86?;76:)f``<722wi=<=8:082>5<7s-;;jo4<989'<`?=0oo0(5h<:d:4?M>1n2d:><=524:4?.ccm3:17pl>12:95?7=83:p(<>ib;1:=>"?m003jh5+8g19a=?<@1<#lnn6=44}c327<<6280;6=u+11da>6?>3-2n576ie:&;b650z&24cd=;030(5k6:9df?!>a;3o3j6F76g9m57742;?3m6%jdd83>>{e989i6<4>:183!77nk08545+8d;9h6:891>86m;*gga?6=3th:=>m51;394?6|,8:mn7=69:&;a<4=59a8/`bb2900qo?>3e82>4<729q/==hm:2;:?!>b132mi6*7f28f=<=O0?l0b<<>3;060g`97j2B3:k5a1330>73?m2!nhh4?::a545a280:6=4?{%33bg<4101/4h758gg8 =`42l3n7E69f:l2645=:<2m7&kke;29?xd69::1=7?50;2x 46aj39256*7e88;b`=#0o91il>4H94e?k759:0994>4+dff>5<:083>5}#99li6>76;%:f=?>am2.3j>4ja39K<3`21ln7)6i3;gb0>N?>o1e=??<:37:6>-bll0;66sm1010>4<6290;w)??fc80=<=#0l314kk4$9d0>`g03A2=j6`>201960?43"ooi7>5;|`2562=93;1<7>t$02ef?5>12.3i447fd9'0'hjj:188yg76;?0:6<4?:1y'55`e2:327)6j9;:ea>"?n:0n8=5G87d8j446;38>585$eeg94?=zj8;<<7?51;294~"68oh1?474$9g:>=`b3-2m?7knc:J;2c=i9;;86?;66:)f``<722wi=<97:082>5<7s-;;jo4<989'<`?=0oo0(5h<:dcb?M>1n2d:><=524;4?.ccm3:17pl>16;95?7=83:p(<>ib;1:=>"?m003jh5+8g19ad0<@1<#lnn6=44}c323d<6280;6=u+11da>6?>3-2n576ie:&;b650z&24cd=;030(5k6:9df?!>a;3oj=6F76g9m57742;?2m6%jdd83>>{e98=h6<4>:183!77nk08545+8d;9h6:891>87m;*gga?6=3th:=:j51;394?6|,8:mn7=69:&;a<4=58a8/`bb2900qo?>7d82>4<729q/==hm:2;:?!>b132mi6*7f28f=d=O0?l0b<<>3;06=a=,mmo1<75rb034b?7=93:10g`9702B3:k5a1330>73>m2!nhh4?::a54>7280:6=4?{%33bg<4101/4h758gg8 =`42lk27E69f:l2645=:<3m7&kke;29?xd69>;1=7?50;2x 46aj39256*7e88;b`=#0o91i4>4H94e?k759:099l>4+dff>5<:083>5}#99li6>76;%:f=?>am2.3j>4j8b9K<3`21ln7)6i3;g;e>N?>o1e=??<:37b6>-bll0;66sm1057>4<6290;w)??fc80=<=#0l314kk4$9d0>`>?3A2=j6`>201960g43"ooi7>5;|`2523=93;1<7>t$02ef?5>12.3i447fd9'0'hjj:188yg76?>0:6<4?:1y'55`e2:327)6j9;:ea>"?n:0n4>5G87d8j446;38>m85$eeg94?=zj8;i=7?51;294~"68oh1?474$9g:>=`b3-2m?7k;5:J;2c=i9;;86?;n6:)f``<722wi=5<7s-;;jo4<989'<`?=0oo0(5h<:d65?M>1n2d:><=524c4?.ccm3:17pl>1cc95?7=83:p(<>ib;1:=>"?m003jh5+8g19a11<@1<#lnn6=44}c32fg<6280;6=u+11da>6?>3-2n576ie:&;b650z&24cd=;030(5k6:9df?!>a;3o?56F76g9m57742;?jm6%jdd83>>{e98ho6<4>:183!77nk08545+8d;9h6:891>8om;*gga?6=3th:=ok51;394?6|,8:mn7=69:&;a<4=5`a8/`bb2900qo?>bg82>4<729q/==hm:2;:?!>b132mi6*7f28f0a=O0?l0b<<>3;06ea=,mmo1<75rb03`4?7=93:10g`9773fm2!nhh4?::a54e6280:6=4?{%33bg<4101/4h758gg8 =`42l>m7E69f:l2645=:4H94e?k759:099o>4+dff>5<4>:083>5}#99li6>76;%:f=?>am2.3j>4j509K<3`21ln7)6i3;g67>N?>o1e=??<:37a6>-bll0;66sm10`6>4<6290;w)??fc80=<=#0l314kk4$9d0>`333A2=j6`>201960d43"ooi7>5;|`25g0=93;1<7>t$02ef?5>12.3i447fd9'0'hjj:188yg76j10:6<4?:1y'55`e2:327)6j9;:ea>"?n:0n9;5G87d8j446;38>n85$eeg94?=zj>8>6<4>:183!77nk08545+8d;9;I:5b>h6:891>8l9;*gga?6=3th<>>4>:083>5}#99li6>76;%:f=?>am2d:<:l5519m0fd=82.3j>4j6g9K<3`5<7s-;;jo4<989'<`?=0oo0b<>8b;73?k2dj3:0(5h<:d4g?M>1n2d:><=524`;?.ccm3:17pl82082>4<729q/==hm:2;:?!>b132mi6`>06`915=i3;06f<=,mmo1<75rb603>4<6290;w)??fc80=<=#0l314kk4n024f?373g>hn7>4$9d0>`0f3A2=j6`>201960df3"ooi7>5;|`45c<6280;6=u+11da>6?>3-2n576ie:l242d==91e8nl50:&;b601C4;h4n0027?42jk1 iik50;9~f24b280:6=4?{%33bg<4101/4h758gg8j460j3?;7c:lb;28 =`42l<37E69f:l2645=:h68>h19=5a4b`94>"?n:0n::5G87d8j446;38>ni5$eeg94?=zj>8h6<4>:183!77nk08545+8d;9h6:891>8lj;*gga?6=3th<>o4>:083>5}#99li6>76;%:f=?>am2d:<:l5519m0fd=82.3j>4j609K<3`5<7s-;;jo4<989'<`?=0oo0b<>8b;73?k2dj3:0(5h<:d43?M>1n2d:><=524a3?.ccm3:17pl82882>4<729q/==hm:2;:?!>b132mi6`>06`915=i3;06g4=,mmo1<75rb60;>4<6290;w)??fc80=<=#0l314kk4n024f?373g>hn7>4$9d0>`2e3A2=j6`>201960e53"ooi7>5;|`462<6280;6=u+11da>6?>3-2n576ie:l242d==91e8nl50:&;b6h68>h19=5a4b`94>"?n:0nm85G87d8j446;38>o85$eeg94?=zj8:3m7?51;294~"68oh1i?=4$9g:>=`b3g==n764n024f?373-2nm7k<9:&;b6h0>k037c??7c864>"?mh0n?45+8g19a<1<@15;|`24cg=93;1<7>t$02ef?c5;2.3i447fd9m33d=02d:<:l5519'<`g=m:30(5h<:d61?M>1n2d:><=524a;?l77?j0;66sm11:5>4<6290;w)??fc8f66=#0l314kk4n64a>==i99=i68>4$9gb>`5>3-2m?7k89:J;2c=i9;;86?;l9:k242e=831vn5kk:082>5<7s-;;jo4j229'<`?=0oo0b:8m:99m551e28>0(5kn:d1:?!>a;3o?86F76g9m57742;?hm6g>06a94?=zj8:3;7?51;294~"68oh14hl4$9g:>=`b3g==n764$9gb>=>>3-2m?7k62:J;2c=i9;;86?;lb:m24=b=831vn<>92;395?6=8r.:21ln7c99b;:8 =cf21227)6i3;g75>N?>o1e=??<:37`g>i681n1<75rb7db>4<6290;w)??fc8f66=#0l314kk4n024f?373g>hn7>4$9gb>`5>3-2m?7k85:J;2c=i9;;86?;ld:k242e=831vn;h7:082>5<7s-;;jo4j229'<`?=0oo0b<>8b;73?k2dj3:0(5kn:d1:?!>a;3o<:6F76g9m57742;?hi6g>06a94?=zj?l<6<4>:183!77nk0n>>5+8d;973dn2c:<:m50;9~f264280:6=4?{%33bgN?>o1e=??<:37g4>o68>i1<75rb621>4<6290;w)??fc8f66=#0l314kk4n024f?373g>hn7>4$9gb>`5>3-2m?7k8d:J;2c=i9;;86?;k1:k242e=831vn:>>:082>5<7s-;;jo4j229'<`?=0oo0b<>8b;73?k2dj3:0(5kn:d1:?!>a;3o6g>06a94?=zj>:;6<4>:183!77nk0n>>5+8d;973c;2c:<:m50;9~f3`a280:6=4?{%33bgN?>o1e=??<:37g0>o68>i1<75rb7df>4<6290;w)??fc8f66=#0l314kk4n024f?373g>hn7>4$9gb>`5>3-2m?7k72:J;2c=i9;;86?;k5:k242e=831vn;hk:082>5<7s-;;jo4j229'<`?=0oo0b<>8b;73?k2dj3:0(5kn:d1:?!>a;3o>;6F76g9m57742;?o:6g>06a94?=zj?lh6<4>:183!77nk0n>>5+8d;973c?2c:<:m50;9~f3`e280:6=4?{%33bgN?>o1e=??<:37g<>o68>i1<75rb7d5>4<6290;w)??fc8f66=#0l314kk4n024f?373g>hn7>4$9gb>`5>3-2m?7k:b:J;2c=i9;;86?;k9:k242e=831vn:?<:082>5<7s-;;jo4j229'<`?=0oo0b<>8b;73?!>bi3o856*7f28f1a=O0?l0b<<>3;06`d=n99=h6=44}c525?7=93:10g`9a75<,1o265hj;o333g<282.3il4j389'8c;29?xd0;80:6<4?:1y'55`e2l887)6j9;:ea>h68>h19=5+8dc9a6?<,1l86h8=;I:5b>h6:891>8jl;h333f<722wi;?h51;394?6|,8:mn7k=3:&;a<N?>o1e=??<:37g`>o68>i1<75rb635>4<6290;w)??fc8f66=#0l314kk4n024f?373-2nm7k<9:&;b650z&24cd=m;90(5k6:9df?k77?k0><6*7e`8f7<=#0o91i::4H94e?k759:099ih4i024g?6=3th<=l4>:083>5}#99li6h<<;%:f=?>am2d:<:l5519'<`g=m:30(5h<:d50?M>1n2d:><=524g3?l77?j0;66sm70a95?7=83:p(<>ib;g17>"?m003jh5a115a>06<,1oj6h=6;%:e7?c4m2B3:k5a1330>73b92c:<:m50;9~f203280:6=4?{%33bg"?n:0n:85G87d8j446;38>i?5f115`>5<=`b3g;;;o4:0:&;ad4=5d18m460k3:17pl>09;95?7=83:p(<>ib;3377=#992n64<3s-;;jo477c9K55`23S;;j94>{0251?{i9;;86?;j5:k45<<622c<<:4>::k0bgg=931d;"?110?7):la;`53>"680;1?5<5<>o68?>1<75`1110>5<53z\45<=:;m9<6967;<1g72<39h1v:>8:180[17?278h>9549;896b4?3;;?85rs2dae?6=;rT8joo4=2f03?5f;278h>953`58yv16j3:1>vP81c9>7a5028:8?6srb0a1f?7=?<0:659t$02ef?>0j2B:6p`>201960c03`=;;7?5;h1ef=<622c>>8851;9j0g2d2800e8?j7;39?l36m?0:66g:1d795?=n=8o?6<44i43f7?7=3`?:i?4>::k65`7=931b9dd82>>o29mn1=75f50f`>4<=i751;9j14b?2800e8?k7;39?l36l?0:66g:1e795?=n=8n?6<44i43g7?7=3`?:h?4>::k65a7=931b9cd82>>o29jn1=75f50a`>4<=n751;9j34?=931b9=>9:088m067=3;17d;?0282>>o28981=75f5122>4<mj;4>::k65f6=931b9be82>>o29ki1=75f50`a>4<=n651;9j14e02800e8?l6;39?l36k<0:66g:1b695?=n=8i86<44i43`6?7=3`?:o<4>::k65g>=931b94882>>i29=21=75`5064>4<=9:51;9l14242800c8?;1;39?j36<90:66a:12d95?=h=89n6<44o430`?7=3f?:?n4>::m656d=931d9<=n:088k07413;17b;>3982>>i29:<1=75`5016>4<=><51;9l14562800c8?<0;39?j36:o0:66a:13g95?=h=88o6<44o431f?7=3f?:>l4>::m657?=931d9<<7:088k075?3;17b;>2782>>i29;?1=75`5007>4<=9h51;9l142b2800c8?;d;39?j3696<44o4303?7=3f?:>n4>::m6577=931d9<09g9146e3g89<7>4n30b>4=i;8>1<6`<1d83?k20m3:0b:8n:19m=07=92dn?;4?;o336f<73g>;h7>4n532>5=#<9o1i?o4$026a?77io1/?l?54008L=0a3S9j<7lt1gg9b1<6?>0:;84i2;d2>41328==6k=5f18211>o39m0;66g>04a94?=n99?i6=44i50;>5<:7>5;h615?6=3`>:o7>5;h63g?6=3`>9?7>5;h62f?6=3`;;984?::k240b=831b?=83.mm54<329mbd1==21b?<950;&ee=<4;:1ejl956:9j740=83.mm54<329mbd1=?21b??o50;&ee=<4;:1ejl958:9j77?=83.mm54<329mbd1=121b??650;&ee=<4;:1ejl95a:9j771=83.mm54<329mbd1=j21b??850;&ee=<4;:1ejl95c:9j773=83.mm54<329mbd1=l21b???50;&ee=<4;:1ejl95e:9j74`=83.mm54<329mbd1=n21b?<;50;&ee=<4;:1ejl951198m674290/jl653218jcg028;07dh?b;29?l`7i3:17dh?9;29?l`703:17dh?7;29?l`7>3:17dh?5;29?l`7<3:17dh?2;29?l`793:17dh?0;29?lcan3:17dkie;29?lcal3:17dkic;29?lcaj3:17dkia;29?lca13:17dki7;29?lca>3:17dki5;29?lca<3:17dki3;29?lca:3:17dki1;29?lca83:17dkjf;29?lcbm3:17dkjc;29?lcbj3:17dkja;29?lcb13:17dkj8;29?lcb?3:17dkj6;29?lcb=3:17dkj4;29?lcb;3:17dh>0;29?l`7n3:17dh?e;29?l`7l3:17dh?c;29?l`7;3:17dki8;29?lcbl3:17dkj2;29?lcb93:17d=k0;29 cg?2=:97chn7;28?l5dn3:1(ko7:521?k`f?3;07d=le;29 cg?2=:97chn7;08?l5dl3:1(ko7:521?k`f?3907d=lc;29 cg?2=:97chn7;68?l5dj3:1(ko7:521?k`f?3?07d=la;29 cg?2=:97chn7;48?l5d13:1(ko7:521?k`f?3=07d=l7;29 cg?2=:97chn7;:8?l5d>3:1(ko7:521?k`f?3307d=l5;29 cg?2=:97chn7;c8?l5d<3:1(ko7:521?k`f?3h07d=l3;29 cg?2=:97chn7;a8?l5d:3:1(ko7:521?k`f?3n07d=l1;29 cg?2=:97chn7;g8?l5d83:1(ko7:521?k`f?3l07d=mf;29 cg?2=:97chn7;33?>o4jl0;6)hn8;636>hai>0:=65f3ca94?"ai10?=;odb3?7332c8n44?:%db5:9j7g>=83.mm54;039mbd1=9?10e>l8:18'bd>=<980bko8:058?l5e>3:1(ko7:521?k`f?3;376g!`f03>;>6`ia682=>=n;k>1<7*ia98747=inh=1=l54i2`0>5<#nh218=<4ngc4>4d<3`9i=7>5$gc;>1653glj;7?l;:k0f5<72-lj47:?2:lee2<6l21b?lh50;&ee=<38;1ejl951d98m6gb290/jl654108jcg028l07d=nd;29 cg?2=:97chn7;03?>o4ij0;6)hn8;636>hai>09=65f3``94?"ai10?=;odb3?4332c8m54?:%db=83.mm54;039mbd1=:?10e>j8:18'bd>=<980bko8:358?l5c>3:1(ko7:521?k`f?38376g!`f03>;>6`ia681=>=n;m81<7*ia98747=inh=1>l54i2a;>5<#nh218=<4ngc4>7d<3`9ih7>5$gc;>1653glj;783:1(ko7:5ca?k`f?3;07d:7f;29 cg?2=ki7chn7;08?l2?m3:1(ko7:5ca?k`f?3907d:7d;29 cg?2=ki7chn7;68?l2?k3:1(ko7:5ca?k`f?3?07d:7b;29 cg?2=ki7chn7;48?l2?i3:1(ko7:5ca?k`f?3=07d:n2;29 cg?2=ki7chn7;:8?l2f83:1(ko7:5ca?k`f?3307d:6d;29 cg?2=ki7chn7;c8?l2>k3:1(ko7:5ca?k`f?3h07d:69;29 cg?2=ki7chn7;a8?l2>=3:1(ko7:5ca?k`f?3n07d:64;29 cg?2=ki7chn7;g8?l2>;3:1(ko7:5ca?k`f?3l07d:79;29 cg?2=ki7chn7;33?>o3010;6)hn8;6bf>hai>0:=65f23;94?"ai1099?5af`594>=n:;21<7*ia98117=inh=1=65f23594?"ai1099?5af`596>=n:;<1<7*ia98117=inh=1?65f23794?"ai1099?5af`590>=n:;>1<7*ia98117=inh=1965f23194?"ai1099?5af`592>=n:;81<7*ia98117=inh=1;65f25:94?"ai1099?5af`59<>=n::i1<7*ia98117=inh=1565f22`94?"ai1099?5af`59e>=n::21<7*ia98117=inh=1n65f22494?"ai1099?5af`59g>=n::?1<7*ia98117=inh=1h65f22694?"ai1099?5af`59a>=n:;h1<7*ia98117=inh=1j65f23394?"ai1099?5af`5955=97>5;h160?6=3`9>?7>5;h166?6=3`9>=7>5;h164?6=3`9?j7>5;h16a?6=3`9>h7>5;h16g?6=3`9>n7>5;h16e?6=3`9>57>5;h16;7>5;h17a?6=3`9?h7>5;n624?6=3f>;j7>5;n14g?6=3f95;n14e?6=3f9<57>5;n145;n142?6=3f9<97>5;n1;0?6=3f93?7>5;n1;6?6=3f93=7>5;n1;4?6=3f95;n14a?6=3f95;n140?6=3f95;ng;7?6=3fo3>7>5;ng;5?6=3fo3<7>5;ng4b?6=3fo5;ng4`?6=3fo5;ng4e?6=3fo<57>5;ng45;ng42?6=3fo<97>5;ng40?6=3fo5;ng46?6=3fo<=7>5;ng5b?6=3fo=i7>5;ng5`?6=3fo=o7>5;ng5f?6=3fo=m7>5;ng5=?6=3fo=47>5;ng53?6=3fo=:7>5;ng50?6=3fo=?7>5;ng56?6=3fo==7>5;ng54?6=3fo>m7>5;ng66?6=3fo?n7>5;ng77?6=3fo8j7>5;ngb1?6=3fo2o7>5;ng:2?6=3fo3h7>5;ng;1?6=3fo5;ng44?6=3fo=97>5;ng0a?6=3fo8h7>5;nd5`?6=,ok36k7l;odb3?6<3fl=o7>5$gc;>c?d3glj;7?4;nd5f?6=,ok36k7l;odb3?4<3fl=m7>5$gc;>c?d3glj;7=4;nd5=?6=,ok36k7l;odb3?2<3fl=47>5$gc;>c?d3glj;7;4;nd53?6=,ok36k7l;odb3?0<3fl=:7>5$gc;>c?d3glj;794;nd50?6=,ok36k7l;odb3?><3fl=?7>5$gc;>c?d3glj;774;nd56?6=,ok36k7l;odb3?g<3fl==7>5$gc;>c?d3glj;7l4;nd54?6=,ok36k7l;odb3?e<3fl>j7>5$gc;>c?d3glj;7j4;nd6a?6=,ok36k7l;odb3?c<3fl>h7>5$gc;>c?d3glj;7h4;nd6g?6=,ok36k7l;odb3?7732em9o4?:%dbk2dmm:4>1:9lb0?=83.mm54i9b9mbd1=9;10ck;7:18'bd>=n0i0bko8:018?j`2?3:1(ko7:g;`?k`f?3;?76ai5783>!`f03l2o6`ia6821>=hn5<#nh21j4m4ngc4>41<3fl>?7>5$gc;>c?d3glj;7?7;:me17<72-lj47h6c:lee2<6121dj8?50;&ee=iahai>0:h65`f5a94?"ai10m5n5af`595`=i6=4+f`:9bk2dmm:4=1:9lb1>=83.mm54i9b9mbd1=:;10ck:8:18'bd>=n0i0bko8:318?j`3>3:1(ko7:g;`?k`f?38?76ai4483>!`f03l2o6`ia6811>=hn>81<7*ia98e=f=inh=1>;54og52>5<#nh21j4m4ngc4>71<3fl<<7>5$gc;>c?d3glj;7<7;:me2c<72-lj47h6c:lee2<5121dj;k50;&ee=iahai>09h65`f5694?"ai10m5n5af`596`=86=4+f`:9b5;n;2f?6=3f3:m7>5;n;2=?6=3f3:47>5;n;22?6=3f3:97>5;n;20?6=3f3:?7>5;n;26?6=3f3:=7>5;n;24?6=3f3;j7>5;n;3a?6=3f3;h7>5;n;3f?6=3f3;m7>5;n;3=?6=3f3;47>5;n;33?6=3f3;:7>5;n;31?6=3f3;87>5;n;37?6=3f3;>7>5;n;17?6=3f39>7>5;n;15?6=3f39<7>5;n;2b?6=3f3:i7>5;n;23?6=3f3;o7>5;n;35?6=3f3;<7>5;|q442<72;8;<724a<39l169<>k:026<>;299n1==;6;<724a<3:9169<>k:026e>;299n18?<4=433`?26l27>==j5117`?8368m0:<8l4=433`?77=?169<>k:53`?8368m0?>>52502g>462=27>==j5117g?8368m0n>n52502g>`4e34?:146c2l8270;>0e8f63=:=8:o697>;<724a<319169<>k:5:e?8368m0?4h52502g>1>c34?:146c2=2i70;>0e87k:5;g?8368m0?5n52502g>1?>34?:146c2=3?70;>0e87=6=:=8:o6966;<724a<3011v>hm8;296~X4nk2018??d;61<>{t=;?=6=4={_7113=:=8:o69<>;|q7f1e=838pR9l;c:?655b=<8h0q~;>e683>45|V<;n;63:11f97a6<5<;;h7=lf:?655b=;jo018??d;1``>;299n1?nm4=433`?5dj27>==j53bc89077l39h563:11f97f1<5<;;h7=l6:?655b=;j?018??d;1`0>;299n1?n=4=433`?5d:27>==j53b389077l39h<63:11f97g`<5<;;h7=me:p14c12909wS;>e79>146c2:hh7p}:1d794?4|V<;n963:11f97gdvP:1d189077l39i56s|50g1>5<5sW?:i?52502g>6d?3ty>=h?50;0xZ07b927>==j53c58yv36m90;6?uQ50g3?8368m08n;5rs43gb?6=:rT>=ih4=433`?5e=2wx9k:2`7?xu29mn1<7;299n1?o=4}r72`f<72;qU9{t=8nj6=4={_72`d=:=8:o6>oi;|q65a?=838pR8?k9:?655b=;ho0q~;>d983>7}Y=8n370;>0e80ea=z{<;o;7>52z\65a1<5<;;h7=nc:p14b12909wS;>d79>146c2:ki7p}:1e794?4|V<;o963:11f97dgvP:1e189077l39j46s|50f1>5<5sW?:h?52502g>6b?3ty>=i?50;0xZ07c927>==j53e58yv36l90;6?uQ50f3?8368m08h;5rs43`b?6=:rT>=nh4=433`?5c<2wx9k:2f1?xu29jn1<7;299n1?n64}r72gf<72;qU9{t=8ij6=4={_72gd=:=8:o6>o8;|q65f?=838pR8?l9:?655b=;h90q~9>9;290~X090169<>k:d0;?8368m0n>:52502g>`423ty><=850;7xZ067>27>==j523;89077l389463:11f9671<5<;;h7<=6:p15622909wS;?049>146c2;8>7p}:01194?4|V<:;?63:11f9672vP:01389077l389>6s|5123>5<5sW?;<=52502g>72?3ty?jkh50;0xZ1`an27>==j522a8yv2anl0;6?uQ4gdf?8368m09?o5rs5de`?6=:rT?jkj4=433`?4402wx8khl:181[2anj169<>k:315?xu3noh1<7;299n1>>;4}r6ebd<72;qU8khn;<724a<5;=1v9hi8;296~X3no2018??d;01f>{t;|q7bc0=838pR9hi6:?655b=:8o0q~;>c183>7}Y=8i;70;>0e8013=z{<;ij7>52z\65g`<5<;;h7=:5:p14db2909wS;>bd9>146c2:??7p}:1cf94?4|V<;ih63:11f9705vP:1c`89077l39>=6s|50`b>5<5sW?:nl52502g>6373ty>=o750;0xZ07e127>==j535d8yv36k10;6?uQ50a;?8368m089h5rs43`3?6=:rT>=n94=433`?52l2wx9k:27`?xu29j?1<7;299n1?8l4}r72g1<72;qU9{t=8i96=4={_72g7=:=8:o6>;7;|q65f7=838pR8?l1:?655b=;<=0q~;>b983>7}Y=8h370;>0e800`=z{<;i;7>52z\65g1<5<;;h7=;d:p142f2909wS;>4`9>146c2ovP:15589077l3l=m6s|5065>5<5sW?:8;52502g>c0>3ty>=9;50;0xZ073=27>==j5f7:8yv36<=0;6?uQ5067?8368m0m::5rs4377?6=:rT>=9=4=433`?`1>2wx9<:>:181[36<8169<>k:g47?xu29=:1<7;299n1j;=4}r727c<72;qU9<=i;<724a;1v8?{t=89o6=4={_727a=:=8:o6k8?;|q656e=838pR8?3c83>7}Y=89i70;>0e8e1`=z{<;8m7>52z\656g<5<;;h7h:d:p145>2909wS;>389>146c2o?h7p}:12:94?4|V<;8463:11f9b0dvP:12789077l3l>46s|5017>5<5sW?:?952502g>c303ty>=>=50;0xZ074;27>==j5f448yv36;;0;6?uQ5011?8368m0m985rs4305?6=:rT>=>?4=433`?`2<2wx9<=?:181[36;9169<>k:g70?xu29;l1<7;299n1j8<4}r726`<72;qU9<{t=88i6=4={_726g=:=8:o6k:j;|q657g=838pR8?=a:?655b=n=n0q~;>2883>7}Y=88270;>0e8e0f=z{<;947>52z\657><5<;;h7h;b:p14402909wS;>269>146c2o>j7p}:13494?4|V<;9:63:11f9b1?84?:3y]144234?:vP:13689077l3l?;6s|5000>5<5sW?:>>52502g>c213ty>=?<50;0xZ075:27>==j5f578yv36=9k4=433`?`092wx9<:k:181[36k:g53?xu29=i1<7;299n1j;h4}r720g<72;qU9<:m;<724al1v8?;2;296~X29=8018??d;d51>{t=89<6=4={_7272=:=8:o6k;n;|q657e=838pR8?=c:?655b=n=l0q~;>2083>7}Y=88:70;>0e8e01=z{<;9<7>52z\6576<5<;;h7h;3:p1471290:w0;>0e805a=z{<;:97>51z?655b=;8i0q~;>1583>4}:=8:o6>?m;|q6545=83;p18??d;12e>{t=8;96=4>{<724a<4901v8?>1;295~;299n1?<64}r7255<728q69<>k:234?xu299l1<7?t=433`?56>2wx9l5rs432a?6=9r7>==j533;8yv369m0;664?3ty>=5<6s4?:146c2:8:7p}:10:94?7|5<;;h7=>f:p1470290:w0;>0e8050=z{<;;i7>51z?655b=;890qpl>c3c95?35280=8v*>0g`9<2d<@8:m96T>0g695~771<0vb<<>3;06a<=n?9=1=75f5375>4<=5>51;9j141a2800e8?8e;39?l36?m0:66g:16a95?=n=8=i6<44i434e?7=3`?:;44>::k652>=931b9<98:088m070>3;17d;>7482>>o29>>1=75f5050>4<7?5;h7234<622c>=:>51;9j140a2800e8?9e;39?l36>m0:66g:17a95?=n=8::k653>=931b9<88:088m071>3;17d;>6482>>o29?>1=75f5040>4<;26<44i5fea?7=3`>oji4>::k7`cd=931b8ihn:088m1ba13;17d:kf982>>o3lo=1=75f4ed5>4<::k6501=931b9<;9:088m072=3;17d;>5582>>o29<91=75f5041>4<=8h51;9j143b2800e8?:d;39?l36=j0:66g:14`95?=n=8?96<44i4365?7=3f>m?h4>::m7b6b=931d8k=l:088k1`4j3;17b:i3`82>>i3n:31=75`4g1;>4<;51;9l0c532800c9h<3;39?j2a;;0:66a;f2395?=e=8296=4>5`83>5}#99li6:8l;%33<`<299h0b?;o12a?7h>=80:7ck<6;28j465k3;0b9>k:19m047=82.?o?:cy2b`c7=9>>1=:85f28e4?72<3we=??<:37fe>o39l0;66g>04:94?=n99?26=44i503>5<m7>5;h616?6=3`>:h7>5;h331f<722c:<8l50;9j07>=831b==;9:188m1462900e9?l:188m16d2900e9<<:188m17e2900e<>:5;29?l77=m0;66g<1e83>!`f0398?6`ia683?>o49j0;6)hn8;107>hai>0:76g<1c83>!`f0398?6`ia681?>o49h0;6)hn8;107>hai>0876g<1883>!`f0398?6`ia687?>o4910;6)hn8;107>hai>0>76g<1683>!`f0398?6`ia685?>o49?0;6)hn8;107>hai>0<76g<2`83>!`f0398?6`ia68;?>o4:00;6)hn8;107>hai>0276g<2983>!`f0398?6`ia68b?>o4:>0;6)hn8;107>hai>0i76g<2783>!`f0398?6`ia68`?>o4:<0;6)hn8;107>hai>0o76g<2083>!`f0398?6`ia68f?>o49o0;6)hn8;107>hai>0m76g<1483>!`f0398?6`ia6824>=n;891<7*ia98076=inh=1=<54ig2a>5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<6=44idg7>5<5<5<5<5<5<5<#nh218=<4ngc4>5=5<#nh218=<4ngc4>7=54i2a`>5<#nh218=<4ngc4>1=5<#nh218=<4ngc4>3=5<#nh218=<4ngc4>==5<#nh218=<4ngc4>d=5<#nh218=<4ngc4>f=5<#nh218=<4ngc4>`=5<#nh218=<4ngc4>46<3`9ii7>5$gc;>1653glj;7?>;:k0ff<72-lj47:?2:lee2<6:21b?ol50;&ee=<38;1ejl951298m6df290/jl654108jcg028>07d=m9;29 cg?2=:97chn7;36?>o4j10;6)hn8;636>hai>0::65f3c594?"ai10?=;odb3?7>32c8n94?:%dba:9j7g5=83.mm54;039mbd1=9k10e>l>:18'bd>=<980bko8:0a8?l5e83:1(ko7:521?k`f?3;o76g!`f03>;>6`ia682a>=n;ho1<7*ia98747=inh=1=k54i2cg>5<#nh218=<4ngc4>76<3`9jo7>5$gc;>1653glj;7<>;:k0eg<72-lj47:?2:lee2<5:21b?lo50;&ee=<38;1ejl952298m6g>290/jl654108jcg02;>07d=n8;29 cg?2=:97chn7;06?>o4l10;6)hn8;636>hai>09:65f3e594?"ai10?=;odb3?4>32c8h?4?:%db=83.mm54;039mbd1=:k10e>lk:18'bd>=<980bko8:3a8?l5e:3:1(ko7:521?k`f?38o76g!`f03>;>6`ia681a>=n;h91<7*ia98747=inh=1>k54id0`>5<#nh21i>:4ngc4>5=5<#nh21i>:4ngc4>7=54id0;>5<#nh21i>:4ngc4>1=5<#nh21i>:4ngc4>3=6=4+f`:9a625<#nh218ll4ngc4>5=5<#nh218ll4ngc4>7=54i5:g>5<#nh218ll4ngc4>1=5<#nh218ll4ngc4>3=5<#nh218ll4ngc4>==5<#nh218ll4ngc4>d=5<#nh218ll4ngc4>f=6=4+f`:90dd5<#nh218ll4ngc4>`=5<#nh218ll4ngc4>46<3`>347>5$gc;>1ge3glj;7?>;:k16<<72-lj47<:2:lee2<732c9>54?:%db;:k162<72-lj47<:2:lee2<532c9>;4?:%db94?:%db?4?:%dbo4?:%db;::188m6332900e>;<:188m6352900e>;>:188m6372900e>:i:188m63b2900e>;k:188m63d2900e>;m:188m63f2900e>;6:188m63?2900e>;8:188m62b2900e>:k:188k1772900c9>i:188k61d2900c>9m:188k61f2900c>96:188k61?2900c>98:188k6112900c>9::188k6>32900c>6<:188k6>52900c>6>:188k6>72900c>9i:188k61b2900c>9k:188k6132900c>9<:188k`>42900ch6=:188k`>62900ch6?:188k`1a2900ch9j:188k`1c2900ch9l:188k`1f2900ch96:188k`1?2900ch98:188k`112900ch9::188k`132900ch9<:188k`152900ch9>:188k`0a2900ch8j:188k`0c2900ch8l:188k`0e2900ch8n:188k`0>2900ch87:188k`002900ch89:188k`032900ch8<:188k`052900ch8>:188k`072900ch;n:188k`35290/jl65ee48jcg02;807bk;b;29 cg?2ln=7chn7;00?>ib<:0;6)hn8;gg2>hai>09865`e2d94?"ai10nh;5af`5960=6=4+f`:9aa02dmm:4=8:9la=b=83.mm54jd79mbd1=:010ch6::18'bd>=mm<0bko8:3c8?jc0j3:1(ko7:df5?k`f?38i76aj7183>!`f03oo:6`ia681g>=hm??1<7*ia98f`3=inh=1>i54od1f>5<#nh21ii84ngc4>7c<3fo8h7>5$gc;>`b13glj;74?::me27<722em:<4?::me25<722em9k4?::me1`<722em9i4?::me1f<722em9o4?::me1<<722em954?::me12<722em9;4?::me10<722em994?::me16<722em9?4?::me14<722em9=4?::me0`<722em8i4?::me0f<722em8o4?::me0d<722em844?::me0=<722em8:4?::me03<722em884?::me37<722em;<4?::me35<722em:k4?::me2`<722em:84?::me1d<722em8k4?::me01<722em8>4?::m:5a<722e2=n4?::m:5g<722e2=l4?::m:5<<722e2=54?::m:53<722e2=84?::m:51<722e2=>4?::m:57<722e2=<4?::m:55<722e2?4?::m:64<722e2>=4?::m:5c<722e2=h4?::m:52<722e2:9:?65=4=<;:018?72;331d=:=82969<=;<72<7<68:6:?65=4=99?>70;>838240b<5<;3>7k=c:?65=4=m;h018?72;g1e>;29181i?74=43;6?c5>27>=5<54838907?:3>2<63:19090=`<5<;3>7:7e:?65=4=<1n018?72;6;g>;2918185l4=43;6?2?i27>=5<54`08907?:3>j<63:190907:6c:?65=4=<03018?72;6:1>;2918184:4=43;6?2>;27>=5<549;8907?:3>346s|5375>5<1sW?99;5250:1>17c34?:4?4;209>14>52=;h70;>838766=:=82969?m;|q0bg>=838pR>hm8:?65=4=<;20q~;>8083>45|V<;3=63:19097a6<5<;3>7=lf:?65=4=;jo018?72;1``>;29181?nm4=43;6?5dj27>=5<53bc8907?:39h563:19097f1<5<;3>7=l6:?65=4=;j?018?72;1`0>;29181?n=4=43;6?5d:27>=5<53b38907?:39h<63:19097g`<5<;3>7=me:p14>72909wS;>819>14>52:hh7p}:16d94?4|V<;vP:16f8907?:39i56s|505`>5<5sW?:;n5250:1>6d?3ty>=:l50;0xZ070j27>=5<53c58yv36?h0;6?uQ505b?8360;08n;5rs434=?6=:rT>=:74=43;6?5e=2wx9<97:181[36?1169<6=:2`7?xu29>=1<7;29181?o=4}r7233<72;qU9<99;<72<7<4j81v8?85;296~X29>?018?72;1a4>{t=8=?6=4={_7231=:=8296>oi;|q6525=838pR8?83:?65=4=;ho0q~;>7383>7}Y=8=970;>8380ea=z{<;<=7>52z\6527<5<;3>7=nc:p14172909wS;>719>14>52:ki7p}:17d94?4|V<;=j63:19097dgvP:17f8907?:39j46s|504`>5<5sW?::n5250:1>6b?3ty>=;l50;0xZ071j27>=5<53e58yv36>h0;6?uQ504b?8360;08h;5rs435=?6=:rT>=;74=43;6?5c<2wx9<87:181[36>1169<6=:2f1?xu29?=1<7;29181?n64}r7223<72;qU9<89;<72<7<4jm1v8?95;296~X29??018?72;1a6>{t=8o8;|q6535=838pR8?93:?65=4=;h90q~9>9;290~X090169<6=:d0;?8360;0n>:5250:1>`423ty?hkk50;7xZ1bam27>=5<523;8907?:389463:1909671<5<;3>7<=6:p0a`c2909wS:kfe9>14>52;8>7p};dg`94?4|V=nmn63:1909672ojl4?:3y]0a`f34?:4?4=229~w1ba13:1>vP;dg;8907?:389>6s|4ed;>5<5sW>oj55250:1>72?3ty?hk950;0xZ1ba?27>=5<522a8yv2cn?0;6?uQ4ed5?8360;09?o5rs5fe1?6=:rT?hk;4=43;6?4402wx8ih;:181[2cn=169<6=:315?xu3lo91<7;29181>>;4}r6gb7<72;qU8ih=;<72<7<5;=1v9ji0;296~X3lo:018?72;01f>{t;|q7``c=838pR9jje:?65=4=:8o0q~;>5`83>7}Y=8?j70;>838013=z{<;>57>52z\650?<5<;3>7=:5:p143?2909wS;>599>14>52:??7p}:14594?4|V<;>;63:1909705vP:1478907?:39>=6s|5077>5<5sW?:995250:1>6373ty>=8=50;0xZ072;27>=5<535d8yv36>;0;6?uQ5041?8360;089h5rs4355?6=:rT>=;?4=43;6?52l2wx9<8?:181[36>9169<6=:27`?xu29;29181?8l4}r721`<72;qU9<;j;<72<7<4=h1v8?:d;296~X29{t=8?h6=4={_721f=:=8296>;7;|q650d=838pR8?:b:?65=4=;<=0q~;>5383>7}Y=8?970;>83800`=z{<;>=7>52z\6507<5<;3>7=;d:p0c5b2909wS:i3d9>14>52l?97p};f2f94?4|V=l8h63:1909a1dm?n4?:3y]0c5d34?:4?4j429~w1`4j3:1>vP;f2`8907?:3o8j6s|4g1b>5<5sW>m?l5250:1>`g23ty?j>750;0xZ1`4127>=5<5e8a8yv2a;10;6?uQ4g1;?8360;0n5;5rs5d03?6=:rT?j>94=43;6?c?l2wx8k=9:181[2a;?169<6=:d:6?xu3n:?1<7;29181i:l4}r6e71<72;qU8k=;;<72<7{t8c83>4}:=8296>?k;|q65=g=83;p18?72;12g>{t=8226=4>{<72<7<49k1v8?78;295~;29181?=5<53048yv361=0;664f3ty>=4=50;3x907?:39956s|50;1>5<6s4?:4?4<299~w07>93:1=v3:190977114>52:8=7p}:19d94?7|5<;3>7==5:p14>b290:w0;>838064=z{<;3h7>51z?65=4=;8l0q~;>8b83>4}:=8296>?:;|q65=5=83;p18?72;127>{zj8i957?54;091~"68oh14:l4H02e1>\68o>1=v??818~j446;38>io5f71595?=n9=?i6<44o066a?7=3f;?:=4>::`2004=838m6=4?{%33bg<3k>1/==6j:0665>`3;j0::6*:e38;b3=#46a02.?;94m669'023=j?=0("680<1;o64$64f>g003-=<<7??f99'0fb=99h:7c:;7;18j121281ejl;50:&70c<6<01/4ik511d;?M>1n2P8m=4>{0de>xh6:891>8kl;h336`<722c:<:650;9j55gc2900e<>nc;29?l2?93:17d??ac83>>o3090;66g>06494?=n<1?1<75f49094?=n99??6=44i0205?6=3`>?47>5;h60`?6=3`>3?7>5;h3331<722c:<>>50;9j0=0=831b4::50;9j55gf2900e<>85;29?l2?<3:17d685;29?l77i00;66g86e83>>i3:m0;66a;2b83>>i3<=0;66a;2`83>>i3:k0;66a>07594?=h99=<6=44o50:>5<>6=44o55:>5<9i7>5;n:e0?6=3f;;5k4?::m24k3:17b??9c83>>i680k1<75`11;:>5<5;|`24=7=83>1<7>t$02ef?5>l2d>i=4>;%7f5?77n11/4hm57c:8 47783=i46F76g9m57742;?nh6g77d83>>i2ll0;66a7e683>>i68?31<75rs624>5<1sW=;;63>4409554b34;?9?4>04689422:3;;?<521571>15c34;?9?4>0228yv73=k0;6?uQ157a?8770803;h5rs066a?6=:rT:88k4=0666?24j2wx=98?:181[73>916=9;=:9d7?xu6<<91<70g78^46a<3;p==6;:|l2645=:>i6<<=1=75m157;>5<5290;w)??fc80=g=#992n6<::1:J;2c=i9;;86?;jf:k;3`<722ei:44?::p513c2909wS?;5e9>513?21=n7p}>44594?4|V8>>;63>44:9f3?460m3we=??<:37e4>o08>0:66g>44`95?=n9=?<6<44o066`?7=3f;?984>::m2002=931d=9;i:088f421;3:1:>4?:1y'55`e2okn7)??8d820075=i99;86=5afgf96>haj<0;7chm7;28j465:3;0bkh::09mbgd=82d:<<>50:leea<53g;;<<4=;oda7?6han:0:7chi6;28j466<390b<>>d;:8jcdf291e==<8:19mbg>=82dmn;4?;o335`<63gli87>4$55;>46e92.mo>48b99'bad=99l37)hm9;33b==#no81;o64$gd7>46a02.:<==511d;?!778j046a02.:<=k57c:8 465;3=i46*id5824c><,oo>6<>i8:&245g=?k20(<>?9;5a<>"68;21==h7;%de3?77n11/jk>511d;?!`d83;;j55+1124>2d?3-;;0g:8 cec28:m46*idd824c><,oi26<>i8:&eaa<0j11/jhm511d;?!778;0:0g:8 467>3=i46*id984f==#99;26<>i8:&245b=?k20(<>>b;33b==#<>?189>4$0215?77n11/jo>57c:8 cdc28:m46*>03293g><,oi96<>i8:&ea7<68o20(kk6:02e<>"ak?0:2d?3-;;=;4>449'bc?=99l37)??01824c><,on<6<>i8:d71a<7&<1m8;m50/78b10529$>7k:;3;28b10029$>7k::8;2-1>`3=0099=5i44g94>`3>:0;7k:98;28b133291m8;j50:d241>=8'::7k::3;2-1>h3>?0:7c??27854>h3=;0j7c::7;c8j106281e9ih51:l2443=m91e89<51d9m03d=92d?9n4n;%dg7?233-lh=764$gge>12<,8::m784$gg7>==#nol1>h5+fd5901=#nm<146*icb870>"68821=12<,8::=794$gga>==#nmi196*id3870>"anh0?86*;4b87?!`en3>?7)hja;78 466k320(km7:99'b`5==2.mo84;4:&e`d<3<2.?8l4>489'bc>=02.mi=4;4:&eff==#nm?196*ibd870>"ak=0?86*ic686?!`bm3>?7)hlf;:8 cc?2=>0(kk>:568 c`e2=>0(kh>:49'551528:396F76g9Y7d6=`b=ug;9=>4=5g38m15a2900e<>88;29?l2d>3:17d7;a;29?l2dk3:17d:;d;29?l23j3:17d??5583>>o68>31<75f76394?=n<:21<75f1157>5<26=44i6:e>5<5;h67=?6=3`;;;84?::k7fg<72-lj47:l0:lee2<732c?nl4?:%db;:k7f<<72-lj47:l0:lee2<532c?n54?:%db4?:%db32c?hk4?:%dblb;29?j77kh0;66a>0b;94?=h99i36=44o02`3?6=3f;;o;4?::m24f3=831d==m<:188k46d:3:17b??c083>>i68j:1<75`11`e>5<5;n33fa<722e:ma;29?j77l=0;66a>0e194?=h99n96=44o02g5?6=3f;;h=4?::m24f`=831d==mj:188k46d<3:17b??b883>>i68k21<75`5d`94?=h=lk1<75`5d;94?=h=l21<75`5d594?=h=l<1<75`5g194?=h=o81<75`5g394?=h=o:1<75`5dd94?=h=lo1<75`5df94?=h=li1<75`5d794?=h=l>1<75rb024b?6=<3:10g`9709194?4=83:p(<>ib;1:a>"2m80:2d?3A2=j6`>201960`43`25;n`5=?6=3ty<<:4?:0cxZ26034;?:>4;c79>51042=ih70?;6282402<58>=?7981:?2035=;6on63>47190ag<58>=?7:k9:?2035=;6n=63>47190`6<58>=?7:kf:?2035=;60m2wx=9;k:181[73=m16=98<:563?xu6<;6{t9=<>6=4={<3726<3;o16==6<:c4:?xu61<70:6=4?:1yK576e3-;;jo4;279K55d13Ah=46`>201960`33th?;n4>:183>5}O9;:h7)??fc873g=O99h=7El98:l2645=:7pl>05g95?6=83:pD<o7E??b79Kf3>290:<;u252c6>1>034;o?>4;d29>5a5b2=n870?k4887`6=:9m>i69j<;<3g0f<3l:16=i:k:5f0?87c521e6e>1b434;o9=4;d29>5a362=n870?k3587`6=:9m9>69j<;<3g73<3l:16=i=8:5f0?87c;10?h>521e1:>1b434;o?l4;d29>5a5e2=n870?k3b87`6=:9m9o69j<;<3g7c<3l:16=i:?:5f0?87c<80?h>521e61>1b434;o8>4;d29>5a232=n870?k4487`6=:9m>=69j<;<3g02<3l:16=i:7:5f0?87c523cg1>1b4349h984;d29>7f332=n870=l5287`6=:;j?969j<;<1`1`<3l:16?n;k:5f0?85d=j0?h>523b7a>1b4349h9l4;d29>7f3>2=n870=l5987`6=:;j?<69j<;<1`13<3l:16?n;>:5f0?820?o0?>95246`1>14334><8n4;259>03e62=n870;>518f62=:=8?;6h<:;<724f52217e>1b434>?8:4;d29>01212=n870:;4d8761=:<=>?69<;;<66=3<3l:16887::5f0?8221m0?>95244;0>14334>?h>4;d29>01b52=n870:;d087`6=:<=n;69j<;<67gc<3l:1689jl:5f0?823lk0?h>5245fb>1b434>?h44;d29>01b?2=n870:;d687`6=:<=n=69j<;<67`0<3l:1689j;:5f0?823nk0?>95245ag>14334>84<4;d29>06g52=n870:>7d87`6=:<98m69j<;<6340<3:=168=?n:507?85an00?>952432;>1>034>8=:4;869>770?2l8>70==668f60=:::9=69<;;<0021<3:=16>>=k:5f0?844;l0?h>52221e>1b434888=4;d29>66262=n870<<4387`6=:::>869j<;<0001<3l:16>>:::5f0?844;10?h>52221:>1b43488?l4;d29>665e2=n870<<3b87`6=:::lh69<;;<0743<3:=16>>hj:5f0?844no0?h>5223cg>1433489n:4;259>67ga2=n870<=b187`6=::o>969j<;<0e04<3l:16>k:?:5f0?84a;o0?h>522g1f>1b4348m?i4;d29>6c5d2=n870j69j<;<0e0<<3l:16>k:7:5f0?84a<>0?h>522g65>1b4348m884;d29>6c232=n870k`42348im94;d29>6gg42=n870o7i:5f0?84e1l0?h>522ccg>1b4348imn4;d29>6gge2=n870oo8:5f0?84ei?0?h>522cc6>1b4348ij>4;259>6g?d2=8?705>9:5f0?84?8<0?h>522927>1b43483<>4;d29>6=652=n870<70087`6=::1:;69j<;<0;4c<3l:16>5>j:5f0?84?8m0?h>52292`>1b434836=6f2=n870<70887`6=::1:369j<;<0;42<3l:16>5;::507?840nl0?>95226gf>14334835n4;1b9>6=?d2l8>709>9;:4a>;3?1218i=4=5561?2c;27?m:l54e1891d0:323<63;bbf93=b<5=hh;797d:?7ff2=?1n019lla;5;`>;3k?=1;5k4=51`0?1?l27??n:579`894eei3>:m63>bd`904g<58hnn7<>e:?2f`c=<8k01;6kk=18:m63>c``904g<58ij97:>a:?2gd4=<8k01;6k0l18;3>:m63>c9c904g<58i2<7:>a:?2g=b=<8k01;6k1>18:m63>c60904g<58i<47:>a:?2g23=<8k01;6k?l18:m63>c4c904g<58i=<7:>a:?2g0b=<8k01;6k<>18:m63>c5:904g<58i?97:>a:?2g6e=<8k01;6k:l187540c894e4>3>:m63:220964c<58i8<7:>a:?2g7b=<8k018<<3;02a>;2::h1>>>m520g89044l38:i63:22d964c<5<8?<7<>e:?6662=:8o018<;1;02a>;2:=81>>>8520g89044138:i63:225964c<5<8847<>e:?666g=:;;01;6k;h1;<74=0a1e?1612wx?kl7:18ged}:=:k>69<7;<70<6<3:1169>o9:50;?8349k0?>5525203>14?34?8><4;299>16452=8370;<22876==:=:8?69<7;<7060<3:1169><9:50;?834900?>552523b>14?34?8=n4;299>167c2=8370;<1d876==:=:;m69<7;<70g=<3:1169>m6:50;?834l=0?>55252fe>14?34?8i?4;299>16c42=8370;69<7;<70a3<3:1169>k8:50;?834kh0?>55252aa>14?34?8on4;299>16ec2=8370;j>:50;?834l;0?>55252f0>14?34?8h84;299>16b12=8370;jn:50;?834lk0?>55252f`>14?34?8hi4;299>16bb2=8370;k7:50;?833800?>552552b>14?34??=84;299>11472=8370;;22876==:==8?69<7;<7760<3:11699<9:50;?833:>0?>552550;>14?34??116d2=8370;;0e876==:==:n69<7;<774c<3:11699??:50;?833980?>5525531>14?34??=>4;299>11732=8370;;17876==:==;<69<7;<775=<3:11699?6:50;?8339h0?>552553a>14?34??=n4;299>117c2=8370;;1d876==:==;m69<7;<7764<3:11699<=:50;?87cm;0?>5522426>14?34;onn4;299>5ab?2=8370?kbe876==:9mih69<7;<3g``<3:116=ijl:50;?87clm0?>5521efe>14?34;oi=4;299>5ac62=8370?kbd876==:9mi969<7;<3gfc<3:116=im<:50;?87ck=0?>5521eaa>14?34;oh=4;299>5aec2=8370?kd2876==:9mn:69<7;<3g`7<3:116=ij9:50;?87cl=0?>5521ef6>14?34;oh:4;299>5ab>2=8370=i92876==:;o3969<7;<1e=5<3:116?k6i:50;?85a0l0?>5523g:g>14?349m4n4;299>7c>e2=8370=i8`876==:;o2269<7;<1e<=<3:116?k68:50;?85a0<0?>5523g:7>14?349m4>4;299>7c>52=8370=i80876==:;o2;69<7;<1e3c<3:116?k9j:50;?85a?m0?>5523g5`>14?349m544;299>7c??2=8370=i96876==:;o3=69<7;<1e=0<3:116?k7;:50;?85a180?>5523g:5>14?349m;o4;299>7c1f2=8370=i58876==:;o:269<7;<1e4c<3:116?k>;:50;?85a:;0?>5523g0`>14?349m=l4;299>7c2f2=8370=j01876==:;mlm69<7;<1gb`<3:116?ihk:50;?85cnj0?>5523d2;>14?349n<:4;299>7`612=8370=j04876==:;l:?69<7;<1f46<3:116?h>=:50;?85b880?>5523eda>14?349ojl4;299>7c5d2=8370=ka6876==:;mk>69<7;<1gf=<3:116?il9:50;?85cj=0?>5523e`1>14?349on=4;299>7agb2=8370=kab876==:;mkj69<7;<1ge1<3:116?io=:50;?85cl>0?>5523ef5>14?349oh84;299>7ab32=8370=kd2876==:;mn969<7;<1g`c<3:116?ijj:50;?85clm0?>5523ef`>14?349oho4;299>7abf2=8370=kd8876==:;mn369<7;<1g`4<3:116?ij?:50;?85c:l0?>5523e0g>14?349o>n4;299>7a4e2=8370=k2`876==:;m8269<7;<1g73<3:116?i=::50;?85c;=0?>5523e10>14?349o??4;299>7a562=8370=k31876==:;m8m69<7;<1g6=<3:116?i<8:50;?85bnk0?>5523ddb>14?349nj44;299>7``?2=8370=jf6876==:;ll=69<7;<1e46<3:116?k>=:50;?85a880?>5523g23>14?349njk4;299>7``b2=8370=jfe876==:;llh69<7;<1fb0<3:116?hh;:50;?85bm90?>5523egb>14?349oi44;299>7`c62=8370=kbd876==:;mhh69<7;<1f4<<3:116?hj9:50;?85bl=0?>5523df0>14?349nh?4;299>7`b62=8370=jd1876==:;lim69<7;<1fg`<3:116?hmk:50;?85bkj0?>5523daa>14?349no44;299>7`e?2=8370=jc6876==:;li=69<7;<1fg0<3:116?hm;:50;?85bk:0?>5523da1>14?349no<4;299>7`e72=8370=jdd876==:;lno69<7;<1f`f<3:116?hjm:50;?85blh0?>5523df:>14?349nh84;299>7`ef2=8370=jbg876==:;lhn69<7;<1g33<3:116?i9::50;?85c0m0?>5523e:`>14?349o584;299>7a?32=8370=k92876==:;m3969<7;<1g=4<3:116?i7?:50;?85c0o0?>5523e:f>14?349o4o4;299>7a>f2=8370=jdg876==:;lk0?>5523d4b>14?349n:44;299>7`0?2=8370=j66876==:;l<=69<7;<1f20<3:116?h8;:50;?85b>:0?>5523d42>14?349n:=4;299>7`3a2=8370=j5d876==:;l?o69<7;<1f1f<3:116?h;m:50;?85b=h0?>5523d7:>14?349n954;299>7`122=8370=j75876==:;l=869<7;<1f37<3:116?h9>:50;?85b?90?>5523d4g>14?349n:?4;299>7`302=8370=j57876==:;l8n69<7;<1f6a<3:116?h5523d0:>14?349n>54;299>7`402=8370=j27876==:;l8>69<7;<1f61<3:116?h<<:50;?85b:;0?>5523d02>14?349n?84;299>7`532=8370=j32876==:;l9969<7;<1f74<3:116?h=?:50;?85b:o0?>5523d0a>14?349n;i4;299>7`1d2=8370=j7c876==:;l=j69<7;<371<016=989:50;?873>>0?>5525264>14?34?88;4;299>16322=8370;<55876==:=:>;69<7;<707c<3:1169>9k:50;?834?:0?>5525251>14?34?8;<4;299>16172=8370;<6g876==:=:8l:50;?834?k0?>552525b>14?34?8;44;299>161?2=8370;<76876==:=:==69<7;<7030<3:1169>9;:50;?834>k0?>552524b>14?34?88k4;299>162b2=8370;<4c876==:=:>j69<7;<6ge3<3:1168ohj:50;?82enm0?>5524cd`>14?34>ijo4;299>0`4a2=8370:j35876==:5524cd1>14?34>n8:4;299>0`5e2=8370:l46876==:5524cg;>14?34>ii:4;299>0gc12=8370:l42876==:=;k:69<7;<7376<3:1169===:50;?837;80?>5525113>14?34?;>k4;299>154b2=8370:la6876==:69<7;<6`e6<3:1168no>:50;?82d1o0?>5524b;g>14?34>hh:4;299>0a>22=8370:k82876==:5524b0a>14?34>h>44;299>0f412=8370:l25876==:5524b3b>14?34>h=54;299>0f712=8370:l15876==:5524b17>14?34>h??4;299>0f572=8370:l29876==:5524bab>14?34>ho44;299>0fe?2=8370:lc6876==:5524ba1>14?34>hh;4;299>0fb22=8370:ld5876==::50;?82dl90?>5524ba`>14?34>ho<4;299>0fe72=8370:je6876==:5524dg2>14?34>ni=4;299>0`ba2=8370:jdd876==:5524df;>14?34>nh:4;299>0`b12=8370:jd4876==:5524df3>14?34>nih4;299>0`cc2=8370:jeb876==:5524dfb>14?34>nok4;299>15df2=8370;?b9876==:=9h=69<7;<73gd<3:1169=m7:50;?837k?0?>55251a7>14?34?;o?4;299>15e72=8370;?bd876==:=9hh69<7;<73ac<3:1169=kj:50;?837mm0?>55251d4>14?34?;j;4;299>15`22=8370;?f5876==:=9l869<7;<73b7<3:1169=h>:50;?837n90?>5524eg6>14?34?;5k4;299>14652=8370:ke5876==:=98<69<7;<6`=3<3:1168ol::50;?82elk0?>5524c`7>14?34>h:84;299>14662=8370;>01876==:=9lm69<7;<73b`<3:1169=hk:50;?8371>0?>55251;5>14?34?;584;299>15?42=8370;?93876==:=93:69<7;<73=5<3:1169=6i:50;?8370l0?>55251:g>14?34?;4n4;299>15>e2=8370;?8`876==:=93n69<7;<73=a<3:1169=7l:50;?8371k0?>55251;b>14?34?;544;299>15??2=8370;?95876==:=92269<7;<73<=<3:1168i6m:50;?82c000?>5524e01>14?34>o>=4;299>0a7b2=8370:k1`876==:5524e33>14?34>o0a6d2=8370:k0`876==:5524e0a>14?34>o>44;299>0a402=8370:k24876==:::50;?837>;0?>552517b>14?34?;944;299>153?2=8370;?57876==:=9?>69<7;<7311<3:1169=;<:50;?837=;0?>5525172>14?34?;9=4;299>152a2=8370;?4d876==:=9>o69<7;<7324<3:1169=8?:50;?837=o0?>552517f>14?34?;9i4;299>153d2=8370;?5c876==:=9?<69<7;<730f<3:1169=:m:50;?837?90?>552514e>14?34?;:h4;299>150c2=8370;?6b876==:=95524e44>14?34>o:84;299>0a042=8370:k5g876==:0?>5524e76>14?34>o9>4;299>0a362=8370:k4g876==:o69<7;<6g33<3:1168i9;:50;?82c?;0?>5524e53>14?34>o:h4;299>0a0d2=8370:k6`876==:5524g04>14?34>m>84;299>0c432=8370:i22876==:5524g3f>14?34>m=i4;299>0c7d2=8370:i1`876==:5524g36>14?34>m=94;299>0c742=8370:i13876==:5524g0a>14?34>m>l4;299>0c4>2=8370:i27876==:i:50;?82b:10?>5524d04>14?34>n>84;299>0`432=8370:j22876==:5524d3f>14?34>n=i4;299>0`7d2=8370:j1`876==:5524d36>14?34>n=94;299>0`742=8370:j13876==:5524d0a>14?34>n>l4;299>0`4>2=8370:j27876==:i:50;?82dmj0?>5524bgb>14?34>hi54;299>0fc12=8370:le5876==:5524g52>14?34>m;=4;299>0c0a2=8370:i6d876==:h0?>5524g4;>14?34>m::4;299>0c012=8370:i64876==:80?>5524g43>14?34>m9k4;299>0c1>2=8370:i79876==:5524g50>14?34>m:44;299>0ce62=8370:ic1876==:5524g`3>14?34>mmk4;299>0cgb2=8370:iae876==:5524gc;>14?34>mm:4;299>0cg22=8370:ia5876==::50;?82ai90?>5524g;e>14?34>m5h4;299>0c?c2=8370:i9b876==:5524g`6>14?34>mn94;299>0cd62=8370:ia7876==:552537a>14?34?99n4;299>173c2=8370=mk:50;?847k>0?>55221`f>14?348;o84;299>65e32=8370=mm:50;?87a>90?>5521g7e>14?34;m9:4;299>5c3b2=8370?i59876==:9o>m69<7;<3e13<3:116=k;::50;?87a==0?>5521g7:>14?34;m9i4;299>5c3d2=8370?i87876==:9o2>69<7;<3e<1<3:116=k6<:50;?87a0;0?>5521g:2>14?34;m4l4;299>5c>>2=8370=69<7;<0301<3:116>=:<:50;?847<;0?>5522162>14?348;8=4;299>655a2=8370==m:50;?847;00?>552211;>14?348;?:4;299>65512=8370===:50;?847;80?>5522113>14?348;8i4;299>652d2=8370j69<7;<030<<3:116>=:7:50;?847<<0?>552211b>14?348;>k4;299>654b2=8370?ie5876==:9oo869<7;<3ea4<3:116=kk?:50;?87alo0?>5521gff>14?34;mhi4;299>5cbd2=8370?idc876==:9onj69<7;<3e`<<3:116=kj7:50;?87al?0?>5521gf6>14?34;mh94;299>5cb42=8370?id3876==:9on:69<7;<3e`5<3:116=kmi:50;?87akl0?>5521gag>14?34;mil4;299>5cc>2=8370?ie9876==:9oo<69<7;<3ea3<3:116=kk::50;?87am;0?>5521gf4>14?34;mon4;299>5cee2=8370=h=:50;?847n80?>55221d3>14?348;j44;299>65`?2=8370<>b7876==::8h>69<7;<02f6<3:116>55220`3>14?348:mk4;299>64gb2=8370<>ae876==::8kh69<7;<02eg<3:116>55220c4>14?348:m;4;299>64g22=8370<>a5876==::8k869<7;<02e7<3:116>:50;?846i90?>55220;e>14?348:nn4;299>64de2=8370<>b`876==::8h269<7;<02f=<3:116>55220c:>14?348:5h4;299>64?c2=8370<>52876==::8?969<7;<0215<3:116><:i:50;?846552206g>14?348:8n4;299>642e2=8370<>4`876==::8>269<7;<020=<3:116><:8:50;?846<<0?>5522067>14?348:8>4;299>64252=8370<>40876==::8>;69<7;<027c<3:116><=j:50;?846;m0?>552201`>14?348:944;299>643?2=8370<>56876==::8?=69<7;<0210<3:116><;;:50;?846=80?>5522065>14?348:?o4;299>645f2=8370=;m:50;?847i00?>55221cb>14?348;m54;299>65g02=8370552233;>14?3489=44;299>67702=8370<=17876==::;;>69<7;<0155<3:116>?>i:50;?8458l0?>552232g>14?3489676e2=8370<=0`876==::8lm69<7;<02bf<3:116>5522321>14?3489<=4;299>64`c2=8370=7j:50;?8471m0?>55221;`>14?348;5o4;299>65?72=8370=7<:50;?847180?>55221:f>14?34>?:44;299>010?2=8370:;66876==:<=k:69<7;<67=`<3:116897k:50;?8231j0?>55245;a>14?34>?m94;299>001c2=8370::7d876==:<<=m69<7;<66<5<3:116886>:50;?8220;0?>55244:0>14?34>>494;299>000c2=8370::6d876==:<<:50;?822?;0?>5524450>14?34>>;94;299>00042=8370::51876==:<55245:7>14?34>?484;299>01>12=8370:;86876==:<=2369<7;<67<<<3:116896n:50;?8230k0?>5524551>14?34>?;>4;299>01132=8370:;74876==:<===69<7;<6732<3:1168997:50;?823?00?>5524277>14?34>8:n4;299>060e2=8370:<6`876==:<:<269<7;<602=<3:1168>88:50;?824?=0?>5524250>14?34>8;?4;299>06162=8370:<71876==:<:8k:50;?824>?0?>5524246>14?34>?:<4;299>01072=8370:;5g876==:<=?n69<7;<66ec<3:11688oj:50;?822im0?>55244c`>14?34>>m>4;299>00542=8370::33876==:<<9:69<7;<6675<3:11688552441a>14?34>>?l4;299>005>2=8370::39876==:<<9<69<7;<6673<3:11688=::50;?822;=0?>552440g>14?34>>>n4;299>006e2=8370:;08876==:<=;:69<7;<6755<3:11689>i:50;?8238l0?>552452g>14?34>?016e2=8370:;0`876==:<=:369<7;<6742<3:11689<>:50;?823:00?>552450;>14?34>?>:4;299>01412=8370:;24876==:<=8?69<7;<6766<3:11689<=:50;?823:90?>552453e>14?34>8hi4;299>52302=8370?857876==:91?269<7;<3;1=<3:116=o;i:50;?87e=l0?>5521c7g>14?34;i9n4;299>5g3e2=8370?m5`876==:9k?269<7;<3a1=<3:116=o;9:50;?87e=<0?>5521c77>14?34;i9>4;299>5g352=8370?m50876==:9k?;69<7;<3a0c<3:116=o:j:50;?87e5521c6a>14?34;i8l4;299>5g2>2=8370?m49876==:9k><69<7;<3a03<3:116=o:::50;?87e<=0?>5521c60>14?34;i8=4;299>5ge52=8370?mc0876==:9ki;69<7;<3afc<3:116=olj:50;?87ejm0?>5521c``>14?34;ino4;299>5gdf2=8370?mb8876==:9kh<69<7;<3af3<3:116=ol::50;?87ej=0?>5521c`0>14?34;in?4;299>5gd62=8370?mb1876==:9kkm69<7;<3ae`<3:116=ool:50;?87eik0?>5521ccb>14?34;im44;299>5gg?2=8370?ma6876==:9kk=69<7;<3ae0<3:116=oo;:50;?87ei:0?>5521cc2>14?34;im=4;299>5g?a2=8370?m9d876==:9k3o69<7;<3a=d<3:116=o76:50;?87e110?>5521c;4>14?34;i5;4;299>5g?32=8370?m92876==:9k3969<7;<3a=4<3:116=o7?:50;?87e0o0?>5521c:f>14?34;i4i4;299>5g>d2=8370?m8c876==:9k2269<7;<3a<=<3:116=o68:50;?87e0?0?>5521c:6>14?34;i494;299>5g>42=8370?m83876==:9k2:69<7;<3a<5<3:116=o9j:50;?87e?m0?>5521c5`>14?34;i;o4;299>5g1f2=8370?m78876==:9k=369<7;<3a30<3:116=o9;:50;?87e?:0?>5521c52>14?34;i;=4;299>5g0a2=8370?m6d876==:9kh0?>5521c4:>14?34;i:54;299>5g012=8370?m64876==:9k80?>5521c43>14?34;i9:4;299>5g2d2=8370?m3g876==:9kh369<7;<3aea<3:116=oo=:50;?87e1<0?>5521c:b>14?34;i;k4;299>5g152=8370?m66876==:9k9n69<7;<3a7g<3:116=:h>:50;?870n90?>55216ge>14?34;52cc2=8370?8eb876==:9>oi69<7;<34ad<3:116=:k6:50;?870m10?>55216g5>14?34;52c32=8370?8e2876==:9>o969<7;<34a4<3:116=:k?:50;?870lm0?>55216f`>14?34;52b>2=8370?8d9876==:9>n<69<7;<34`3<3:116=:j::50;?870l=0?>55216f0>14?34;52b62=8370?8d1876==:9>in69<7;<34ga<3:116=:ml:50;?870kk0?>55216ab>14?34;52e?2=8370?8c6876==:9>i=69<7;<34g0<3:116=:h9:50;?870n<0?>55216d7>14?34;4;299>52`52=8370?8e6876==:9>nj69<7;<34gc<3:116=:m;:50;?870jo0?>5521``b>14?34;jn44;299>5dd?2=8370?nb6876==:9hh=69<7;<3bf0<3:116=ll;:50;?87fij0?>5521`ca>14?34;jml4;299>5dg>2=8370?na9876==:9hk<69<7;<3be3<3:116=l:n:50;?87f<00?>5521`6;>14?34;j8:4;299>5d212=8370?n44876==:9h9n69<7;<3b7a<3:116=l=l:50;?87f;k0?>5521`1b>14?34;j?44;299>5d312=8370?n54876==:9h??69<7;<3b16<3:116=l;=:50;?87f=80?>5521`05>14?34;j>84;299>5d432=8370?n22876==:9h8969<7;<3b64<3:116=l?n:50;?87f900?>5521`3;>14?34;j=:4;299>5d712=8370?n14876==:9h9969<7;<3b74<3:116=l=?:50;?87f:o0?>5521`0f>14?34;j>i4;299>5d6b2=8370?n0e876==:9h:h69<7;<3b4g<3:116=l>n:50;?87f800?>5521`21>14?34;j<<4;299>5d672=8370?6fg876==:90ln69<7;<3:ba<3:116=4jj:50;?87>lm0?>55218f`>14?34;2ho4;299>5ko0?>55218af>14?34;2oi4;299>5m<0?>55218a5>14?34;2o84;299>5j00?>55218`;>14?34;2n:4;299>51o0?>55218;f>14?34;25i4;299>5180?>55218cf>14?34;2mi4;299>5000?>55218:;>14?34;24:4;299>5<>12=8370?684876==:90=n69<7;<3:3a<3:116=49l:50;?87>?k0?>552185b>14?34;2;44;299>5<3f2=8370?658876==:90?369<7;<3:12<3:116=4;9:50;?87>=<0?>552186f>14?34;28i4;299>5<2d2=8370?64c876==:90>j69<7;<3:0<<3:116=489:50;?87>><0?>5521847>14?34;2:>4;299>5<052=8370?660876==:909=69<7;<3:70<3:116=4=;:50;?87>;:0?>5521811>14?34;2?<4;299>5<4f2=8370?628876==:908369<7;<3:62<3:116=4<9:50;?87>:<0?>5521861>14?34;28<4;299>5<272=8370?63g876==:909n69<7;<3:7a<3:116=4?=:50;?87>980?>5521833>14?34;25<6b2=8370?60e876==:90:=69<7;<3:40<3:116=4>;:50;?87>8:0?>5521821>14?34;2<<4;299>5<7b2=8370?61e876==:90;h69<7;<3:5g<3:116=4?n:50;?87>900?>55219db>14?34;3j44;299>5=`?2=8370?7f6876==:91l=69<7;<3;b0<3:116=5k=:50;?87?m80?>55219g3>14?34;3hk4;299>5=bb2=8370?7de876==:91ij69<7;<3;g<<3:116=5m7:50;?87?k>0?>55219a5>14?34;3o84;299>5=db2=8370?7be876==:91hh69<7;<3;fg<3:116=5ln:50;?87?j00?>55219f5>14?34;3h84;299>5=b32=8370?7d2876==:91n969<7;<3;`4<3:116=5o9:50;?87?i<0?>55219c7>14?34;3m>4;299>5=g52=8370?7a0876==:913j69<7;<3;=<<3:116=577:50;?87?1>0?>55219;5>14?34;3584;299>5=d52=8370?7b0876==:91h;69<7;<3;ec<3:116=5oj:50;?87?im0?>55219:1>14?34;34<4;299>5=>72=8370?77g876==:91=n69<7;<3;3a<3:116=599:50;?87??<0?>5521957>14?34;3;>4;299>5=152=8370?770876==:912n69<7;<3;55219:b>14?34;3444;299>5d?b2=8370?n9e876==:9h3h69<7;<3b=g<3:116=l7n:50;?87f100?>5521`;1>14?34;j5<4;299>5d?72=8370?n8g876==:9h2n69<7;<3b5521`5;>14?34;j;:4;299>5d112=8370?n74876==:9hk0?>5521`4b>14?34;j:44;299>5d>12=8370?n84876==:9h2?69<7;<3b<6<3:116=l6=:50;?87f080?>55218d5>14?34;2j84;299>5<`32=8370?6f2876==:90l969<7;<3:b4<3:116=49=:50;?87>?80?>5521853>14?34;2:k4;299>5<0b2=8370?66e876==:9h<969<7;<3b24<3:116=l8?:50;?87f=o0?>5521`7f>14?34;j9i4;299>5=0f2=8370?768876==:91<369<7;<3;22<3:116=589:50;?87?><0?>55219gf>14?34;3ii4;299>5=cd2=8370?7ec876==:91oj69<7;<3;a<<3:116855240`1>14?34>:n<4;299>04gb2=8370:>ab876==:<8km69<7;<62fd<3:116855240`g>14?34>:nh4;299>04da2=8370:>c1876==:<8>j69<7;<620<<3:1168<:8:50;?8265524066>14?34>:894;299>04242=8370:>43876==:<8>:69<7;<6205<3:1168<=i:50;?826;l0?>552401`>14?34>:?o4;299>045f2=8370:>38876==:<89369<7;<6272<3:1168<=9:50;?826;<0?>5524017>14?34>:?>4;299>04372=8370:>4g876==:<8>n69<7;<620a<3:1168<:l:50;?826552406;>14?34>:?i4;299>04552=8370:>30876==:<8lh69<7;<62bg<3:116855240d;>14?34>:j:4;299>07632=8370:=02876==:<;:969<7;<6144<3:1168?>?:50;?826no0?>55240df>14?34>:ji4;299>04`12=8370:>f4876==:<;?969<7;<61=6<3:1168?<8:50;?825:?0?>5524306>14?34>9>94;299>07442=8370:=23876==:<;8m69<7;<616`<3:1168?552430a>14?34>9>l4;299>074>2=8370:=29876==:<;8:69<7;<6165<3:1168?:6:50;?825<10?>5524364>14?34>98;4;299>07222=8370:=45876==:<;?:69<7;<6115<3:1168?:i:50;?825552436g>14?34>98n4;299>072e2=8370:=4`876==:<;>869<7;<6107<3:1168?78:50;?8251?0?>552432f>14?34>9076d2=8370:=09876==:<;:<69<7;<6140<3:1168?>9:50;?826k=0?>552434b>14?34>9:44;299>070?2=8370:=66876==:<;<=69<7;<6120<3:1168?9=:50;?825?80?>5524353>14?34>9:k4;299>070b2=8370:=6e876==:<;:0?>55243:b>14?34>9444;299>07>?2=8370:=86876==:<;2=69<7;<61<0<3:1168?7=:50;?825180?>55243;3>14?34>94k4;299>07>b2=8370:=8e876==:<;2h69<7;<6155240f`>14?34>:ho4;299>04bf2=8370:>d8876==:<8n369<7;<62`2<3:116855240g1>14?34>:i<4;299>04c72=8370:>dg876==:<8nn69<7;<62`a<3:1168552432e>14?34>8=>4;299>06752=8370:<10876==:<:;;69<7;<604c<3:1168>>j:50;?8248m0?>552422`>14?34>8884;299>062>2=8370:<49876==:<:;o69<7;<605f<3:1168>?m:50;?8249>0?>5524237>14?34>8=84;299>06712=8370:<2e876==:<:8h69<7;<606g<3:1168>552420;>14?34>8>:4;299>06412=8370:=eg876==:<:>?69<7;<6006<3:1168>:=:50;?824<80?>5524263>14?34>8?k4;299>065b2=8370:<3e876==:<;lm69<7;<61b`<3:1168?hk:50;?825nj0?>55243da>14?34>9jl4;299>07`>2=8370:=f9876==:9ll269<7;<3e50<3:116=k?;:50;?87a9:0?>5521g31>14?34;m=<4;299>5c772=8370?i0g876==:9o:n69<7;<3e5a<3:116=k?l:50;?87a9k0?>5521g3b>14?34;m=44;299>5c7?2=8370?i16876==:9o;=69<7;<3e4a<3:116=k>l:50;?87a;<0?>5521g17>14?34;m?>4;299>5c552=8370?i30876==:9o9;69<7;<3e7a<3:116=k=l:50;?87a;k0?>5521g1b>14?34;m?44;299>5c5?2=8370?i36876==:9o9=69<7;<3e6c<3:116=k5521dga>14?34;nil4;299>5`c>2=8370?je9876==:9lo<69<7;<3fb1<3:116=hh<:50;?87bn;0?>5521dd2>14?34;nj=4;299>5`ca2=8370?jed876==:9loo69<7;<3fa3<3:116=hk::50;?87bn<0?>5521dd4>14?34;?no4;299>51df2=8370?;b8876==:9=l869<7;<37b7<3:116=9h>:50;?873n90?>55215ge>14?34;?ih4;299>51`e2=8370?;f`876==:9=l269<7;<37b=<3:116=9h8:50;?873n?0?>55215d6>14?34;?j94;299>51cc2=8370?;eb876==:9=n869<7;<37`7<3:116=9j>:50;?873l90?>55215ae>14?34;?oh4;299>51be2=8370?;d`876==:9=n269<7;<37`=<3:116=9j8:50;?873l?0?>55215f6>14?34;?h94;299>51ec2=8370?;cb876==:9<8869<7;<3667<3:116=8<>:50;?872:90?>552143e>14?34;>=h4;299>504e2=8370?:2`876==:9<8269<7;<366=<3:116=8<8:50;?872:?0?>5521406>14?34;>>94;299>507c2=8370?:1b876==:9<>0?>5521445>14?34;>:84;299>50152=8370?:70876==:9<=;69<7;<362c<3:116=88j:50;?872>m0?>552144`>14?34;>:o4;299>50032=8370?:62876==:9<=869<7;<3606<3:116=8:=:50;?872<80?>5521463>14?34;>?k4;299>505b2=8370?:3e876==:9<9h69<7;<1734<3:116?99?:50;?853>o0?>552354f>14?3499:54;299>77002=8370=6f1876==:;0om69<7;<1:a`<3:116?4kk:50;?85>mj0?>55238ga>14?3492il4;299>72=8370=:8`876==:;<2269<7;<16<=<3:116?87=:50;?852180?>55234;3>14?349>4k4;299>70>b2=8370=:8e876==:;<2h69<7;<165523467>14?349>8>4;299>70252=8370=:40876==:;<>;69<7;<167c<3:116?8=j:50;?852;m0?>552341`>14?349?944;299>713?2=8370=;56876==:;=?=69<7;<1714<3:116?9;?:50;?853552356f>14?349>>=4;299>707a2=8370=;40876==:;=>;69<7;<177c<3:116?9=j:50;?85>=90?>552386e>14?34928h4;299>7<2c2=8370=64b876==:;0>i69<7;<1:0d<3:116?4:6:50;?85><90?>552381e>14?3492?h4;299>7<5c2=8370=63b876==:;09i69<7;<1:7d<3:116?4=6:50;?85>;90?>552380e>14?3492>h4;299>7<4c2=8370=62b876==:;08i69<7;<1:6d<3:116?4<6:50;?85>:90?>552383e>14?3492=h4;299>7<7c2=8370=61b876==:;0;i69<7;<1:5d<3:116?4?6:50;?85>990?>552382e>14?34927<6c2=8370=60b876==:;0:i69<7;<1:4d<3:116?4>6:50;?85>890?>55239de>14?3493jh4;299>7=`c2=8370=7fb876==:;1li69<7;<1;bd<3:116?5h6:50;?85>m90?>55238fe>14?3492hh4;299>7l90?>55238ae>14?3492oh4;299>7k90?>55238`e>14?3492nh4;299>7j90?>55238ce>14?3492mh4;299>7i90?>55238;e>14?34925h4;299>7190?>55238:e>14?34924h4;299>7<>c2=8370=68b876==:;02i69<7;<1:090?>552385e>14?3492;h4;299>7<1c2=8370=67b876==:;0=i69<7;<1:3d<3:116?496:50;?85>?90?>552384e>14?3492:h4;299>7<0c2=8370=66b876==:;0>90?>552387e>14?34929h4;299>7<3c2=8370=65b876==:;0?i69<7;<1:1d<3:116?4;6:50;?85?n90?>55239ge>14?3493ih4;299>7=cc2=8370=7eb876==:;1oi69<7;<1;ad<3:116?5k6:50;?85?=90?>552396e>14?34938h4;299>7=2c2=8370=74b876==:;1>i69<7;<1;0d<3:116?5:6:50;?85?<90?>552391e>14?3493?h4;299>7=5c2=8370=73b876==:;19i69<7;<1;7d<3:116?5=6:50;?85?;90?>552390e>14?3493>h4;299>7=4c2=8370=72b876==:;18i69<7;<1;6d<3:116?5<6:50;?85?:90?>552393e>14?3493=h4;299>7=7c2=8370=71b876==:;1;i69<7;<1;5d<3:116?5?6:50;?85?990?>552392e>14?34937=6c2=8370=70b876==:;1:i69<7;<1;4d<3:116?5>6:50;?85?890?>55236de>14?34972`c2=8370=8fb876==:;>li69<7;<14bd<3:116?:h6:50;?85?m90?>55239fe>14?3493hh4;299>7=bc2=8370=7db876==:;1ni69<7;<1;`d<3:116?5j6:50;?85?l90?>55239ae>14?3493oh4;299>7=ec2=8370=7cb876==:;1ii69<7;<1;gd<3:116?5m6:50;?85?k90?>55239`e>14?3493nh4;299>7=dc2=8370=7bb876==:;1hi69<7;<1;fd<3:116?5l6:50;?85?j90?>55239ce>14?3493mh4;299>7=gc2=8370=7ab876==:;1ki69<7;<1;ed<3:116?5o6:50;?85?i90?>55239;e>14?34935h4;299>7=?c2=8370=79b876==:;13i69<7;<1;=d<3:116?576:50;?85?190?>55239:e>14?34934h4;299>7=>c2=8370=78b876==:;12i69<7;<1;552395e>14?3493;h4;299>7=1c2=8370=77b876==:;1=i69<7;<1;3d<3:116?596:50;?85??90?>552394e>14?3493:h4;299>7=0c2=8370=76b876==:;190?>552397e>14?34939h4;299>7=3c2=8370=75b876==:;1?i69<7;<1;1d<3:116?5;6:50;?850n90?>55236ge>14?34972cc2=8370=8eb876==:;>oi69<7;<14ad<3:116?:k6:50;?850=90?>552366e>14?349<8h4;299>722c2=8370=84b876==:;>>i69<7;<140d<3:116?::6:50;?850<90?>552361e>14?349725c2=8370=83b876==:;>9i69<7;<147d<3:116?:=6:50;?850;90?>552360e>14?349<>h4;299>724c2=8370=82b876==:;>8i69<7;<146d<3:116?:<6:50;?850:90?>552363e>14?349<=h4;299>727c2=8370=81b876==:;>;i69<7;<145d<3:116?:?6:50;?850890?>55237de>14?349=jh4;299>73`c2=8370=9fb876==:;?li69<7;<15bd<3:116?;h6:50;?850m90?>55236fe>14?34972bc2=8370=8db876==:;>ni69<7;<14`d<3:116?:j6:50;?850990?>552362e>14?349<726c2=8370=80b876==:;>:i69<7;<144d<3:116?:>6:50;?850l90?>55236ae>14?34972ec2=8370=8cb876==:;>ii69<7;<14gd<3:116?:m6:50;?850k90?>55236`e>14?34972dc2=8370=8bb876==:;>hi69<7;<14fd<3:116?:l6:50;?850j90?>55236ce>14?34972gc2=8370=8ab876==:;>ki69<7;<14ed<3:116?:o6:50;?850i90?>55236;e>14?349<5h4;299>72?c2=8370=89b876==:;>3i69<7;<14=d<3:116?:76:50;?850190?>55236:e>14?349<4h4;299>72>c2=8370=88b876==:;>2i69<7;<14552365e>14?349<;h4;299>721c2=8370=87b876==:;>=i69<7;<143d<3:116?:96:50;?850?90?>552364e>14?349<:h4;299>720c2=8370=86b876==:;>90?>552367e>14?349<9h4;299>723c2=8370=85b876==:;>?i69<7;<141d<3:116?:;6:50;?851n90?>55237ge>14?349=ih4;299>73cc2=8370=9eb876==:;?oi69<7;<15ad<3:116?;k6:50;?851=90?>552376e>14?349=8h4;299>732c2=8370=94b876==:;?>i69<7;<150d<3:116?;:6:50;?851<90?>552371e>14?349=?h4;299>735c2=8370=93b876==:;?9i69<7;<157d<3:116?;=6:50;?851;90?>552370e>14?349=>h4;299>734c2=8370=92b876==:;?8i69<7;<156d<3:116?;<6:50;?851:90?>552373e>14?349==h4;299>737c2=8370=91b876==:;?;i69<7;<155d<3:116?;?6:50;?851990?>552372e>14?349=736c2=8370=90b876==:;?:i69<7;<154d<3:116?;>6:50;?851890?>55234de>14?349>jh4;299>70`c2=8370=:fb876==:;55237fe>14?349=hh4;299>73bc2=8370=9db876==:;?ni69<7;<15`d<3:116?;j6:50;?851l90?>55237ae>14?349=oh4;299>73ec2=8370=9cb876==:;?ii69<7;<15gd<3:116?;m6:50;?851k90?>55237`e>14?349=nh4;299>73dc2=8370=9bb876==:;?hi69<7;<15fd<3:116?;l6:50;?851j90?>55237ce>14?349=mh4;299>73gc2=8370=9ab876==:;?ki69<7;<15ed<3:116?;o6:50;?851i90?>55237;e>14?349=5h4;299>73?c2=8370=99b876==:;?3i69<7;<15=d<3:116?;76:50;?851190?>55237:e>14?349=4h4;299>73>c2=8370=98b876==:;?2i69<7;<15552375e>14?349=;h4;299>731c2=8370=97b876==:;?=i69<7;<153d<3:116?;96:50;?851?90?>552374e>14?349=:h4;299>730c2=8370=96b876==:;?90?>552377e>14?349=9h4;299>733c2=8370=95b876==:;??i69<7;<151d<3:116?;;6:50;?852n90?>55234ge>14?349>ih4;299>70cc2=8370=:eb876==:;;0?>5523`42>14?349j:=4;299>7d3a2=8370=n5d876==:;h?o69<7;<1b1f<3:116?l;m:50;?85f=;0?>5523`72>14?349j9=4;299>7d2a2=8370=n4d876==:;h>o69<7;<1b0f<3:116?l:m:50;?85f<;0?>5523`62>14?349j8=4;299>7d5a2=8370=n3d876==:;h9o69<7;<1b7f<3:116?l=m:50;?85f;;0?>5523`12>14?349j?=4;299>7d4a2=8370=n2d876==:;h8o69<7;<1b6f<3:116?l5523`02>14?349j>=4;299>7d7a2=8370=n1d876==:;h;o69<7;<1b5f<3:116?l?m:50;?85f9;0?>5523`32>14?349j==4;299>7d6a2=8370=n0d876==:;h:o69<7;<1b4f<3:116?l>m:50;?85fn;0?>5523`d2>14?349jj=4;299>7dca2=8370=ned876==:;hoo69<7;<1baf<3:116?lkm:50;?85fm;0?>5523`g2>14?349ji=4;299>7dba2=8370=ndd876==:;hno69<7;<1b`f<3:116?ljm:50;?85fl;0?>5523`f2>14?349jh=4;299>7dea2=8370=ncd876==:;hio69<7;<1bgf<3:116?lmm:50;?85fk;0?>5523`a2>14?349jo=4;299>7dda2=8370=nbd876==:;hho69<7;<1bff<3:116?llm:50;?85fj;0?>5523``2>14?349jn=4;299>7dga2=8370=nad876==:;hko69<7;<1bef<3:116?lom:50;?85fi;0?>5523`c2>14?349jm=4;299>7d?a2=8370=n9d876==:;h3o69<7;<1b=f<3:116?l7m:50;?85f1;0?>5523`;2>14?349j5=4;299>7d>a2=8370=n8d876==:;h2o69<7;<1b5523`:2>14?349j4=4;299>7d1a2=8370=n7d876==:;h=o69<7;<1b3f<3:116?l9m:50;?85f?;0?>5523`52>14?349j;=4;299>7d0a2=8370=n6d876==:;h5523`22>14?349j<=4;299>7<`a2=8370=6fd876==:;0lo69<7;<1:bf<3:116?4hm:50;?852l10?>55234f4>14?349>h;4;299>70c72=8370=:dg876==:;55234fb>14?349>h44;299>70b22=8370=:d5876==:;55234a6>14?349>o94;299>70e42=8370=:c3876==:;55234`b>14?349>m94;299>70g42=8370=:a3876==:;55234c;>14?349>m:4;299>70g12=8370=:a4876==:;55222;6>14?34885;4;299>66?c2=8370<<9d876==:::3m69<7;<00e5<3:116>>o>:50;?844i;0?>55222c0>14?3488m94;299>66?02=8370<<99876==:::3269<7;<00=d<3:116>>7m:50;?8441j0?>552252`>14?348?=84;299>61712=8370<;16876==::=;369<7;<01g2<3:116>?m7:50;?845k00?>55223ab>14?3489h?4;299>67b62=8370<=d1876==::::j69<7;<0042<3:116>>>9:50;?8448<0?>5522227>14?348866c12=8370<>kn:50;?844mk0?>55222g`>14?3488ii4;299>66b12=8370<>jn:50;?844lk0?>55222f`>14?3488hi4;299>66ed2=8370<>ll:50;?844ml0?>55223gg>14?3489ih4;299>67ca2=8370<=f1876==::;l:69<7;<01b7<3:116>?h<:50;?845n=0?>55223fa>14?3489hn4;299>67bc2=8370<=dd876==::;nm69<7;<01a5<3:116>?k>:50;?845m;0?>5522301>14?3489?l4;299>675>2=8370<=39876==::;9<69<7;<0173<3:116>?=::50;?845<;0?>5522362>14?34898=4;299>675a2=8370<=3d876==::;9o69<7;<017f<3:116>?=m:50;?845;=0?>5522310>14?34895?4;299>67?62=8370<=91876==::;2m69<7;<013=<3:116>?98:50;?845??0?>5522356>14?34899;4>11f89742=3;:477l279>8:5102g?845=:0:==j4=3066?768m16>?;>:033`>;5:<:1=<>k;<01<=<3:116>?68:50;?8450?0?>55223:6>14?3489494;299>67>42=8370<=83876==::;2:69<7;<012=<3:116>98;:50;?843>:0?>5522541>14?348?:<4;299>61072=8370<;5g876==::=?n69<7;<071a<3:116>979:50;?8431<0?>55225;7>14?348?5>4;299>61>b2=8370<;8e876==::=2h69<7;<0796n:50;?843000?>55225:;>14?348?4:4;299>611b2=8370<;7e876==::==h69<7;<073g<3:116>99n:50;?843?00?>552255;>14?348?;:4;299>61`d2=8370<;fc876==::=lj69<7;<07b<<3:116>9h7:50;?843n;0?>55225d2>14?348?j=4;299>61ca2=8370<;ed876==::=98l:50;?843>k0?>552254b>14?348?h54;299>61b02=8370<;d7876==::=n>69<7;<07`1<3:116>9mj:50;?843km0?>55225a`>14?348?oo4;299>61c?2=8370<;e6876==::=o=69<7;<07a0<3:116>9k;:50;?843m:0?>55225g1>14?348?i<4;299>61d12=8370<;b4876==::=h?69<7;<07f6<3:116>9oj:50;?843im0?>55225c`>14?348?mo4;299>61gf2=8370<;a8876==::=k369<7;<07e2<3:116>9m9:50;?843k<0?>55225a7>14?348?o>4;299>61e52=8370<;c0876==::=i;69<7;<07fc<3:116>97j:50;?8431m0?>55225;`>14?348?5o4;299>62442=8370<823876==::>8:69<7;<0465<3:116>:?i:50;?8409l0?>552263g>14?348<=n4;299>63232=8370<942876==::?>969<7;<0504<3:116>8jl:50;?842lk0?>55224fb>14?348>h44;299>63gd2=8370<9ac876==::?kj69<7;<05e<<3:116>8j;:50;?842l:0?>55224f1>14?348>h<4;299>60b72=8370<:cg876==::8?>:50;?842990?>552242e>14?348>63ed2=8370<9cc876==::?ij69<7;<05g<<3:116>;m7:50;?841k>0?>55227a5>14?348=o84;299>63132=8370<972876==::?=969<7;<0534<3:116>;9?:50;?841>o0?>552274f>14?348=:i4;299>63>32=8370<982876==::?2969<7;<05<4<3:116>;6?:50;?841?o0?>552275f>14?348=;i4;299>63dd2=8370<9bc876==::?hj69<7;<05f<<3:116>;l7:50;?841j>0?>55227`5>14?348=n84;299>63g32=8370<9a2876==::?k969<7;<05e4<3:116>;o?:50;?8411o0?>55227;f>14?348=5i4;299>63032=8370<962876==::?<969<7;<0524<3:116>;8?:50;?841=o0?>552277f>14?348=9i4;299>63?32=8370<992876==::?3969<7;<05=4<3:116>;7?:50;?8410o0?>55227:f>14?348=4i4;299>636d2=8370<90c876==::?:j69<7;<054<<3:116>;>7:50;?8418>0?>5522725>14?348=<84;299>637d2=8370<91c876==::?;j69<7;<055<<3:116>;?7:50;?8419>0?>5522735>14?348==84;299>634d2=8370<92c876==::?8j69<7;<056<<3:116>;<7:50;?841:>0?>5522705>14?348=>84;299>635d2=8370<93c876==::?9j69<7;<057<<3:116>;=7:50;?841;>0?>5522715>14?348=?84;299>63332=8370<952876==::??969<7;<0514<3:116>;;?:50;?841552276f>14?348=8i4;299>60`d2=8370<:fc876==::8h7:50;?842n>0?>55224d5>14?348>j84;299>60g32=8370<:a2876==::8o?:50;?8421o0?>55224;f>14?348>5i4;299>60cd2=8370<:ec876==::8k7:50;?842m>0?>55224g5>14?348>i84;299>60e32=8370<:c2876==::8m?:50;?842jo0?>55224`f>14?348>ni4;299>60d32=8370<:b2876==::8l?:50;?842io0?>55224cf>14?348>mi4;299>5`512=8370?j34876==:9l9?69<7;<3f76<3:116=h==:50;?87b;80?>5521d13>14?34;n>k4;299>5`4b2=8370?j2e876==:9l8969<7;<3f64<3:116=h?n:50;?87b9j0?>5521d3g>14?34;n=o4;299>5`7a2=8370?j21876==:9l;n69<7;<3`52<3:116=im?:50;?87ck<0?>5521ea4>14?34;oo44;299>5aeb2=8370?kd`876==:;ln<69<7;<70<5<3:1169>;9:50;?87d9;0?>5521gga>14?348:9l4;299>00062=8370::5g876==:<=3m69<7;<673d<3:116?8>?:50;?853n=0?>55222ab>14?3488o54;299>666?2=8370<=e2876==:9jhn69<7;<3`fc<3:1168n:>:50;?835=l0?>5524541>14?34>8;84;299>01332=8370:>c0876==:<;oo69<7;<01fa<3:116>?mm:50;?845<:0?>55240c1>14?34>:m>4;299>04g32=8370:>a4876==:<8k=69<7;<62e2<3:116855240cb>14?34>:mo4;299>0`5a2=8370:759876==::8l969<7;<02b6<3:116>55220d5>14?348:j:4;299>64`?2=8370<>f8876==::8lj69<7;<02bg<3:116>=6<:50;?8470=0?>55221:6>14?348;4;4;299>65>02=8370=6m:50;?8470j0?>55242a;>14?34>8on4;299>06eb2=8370:j9:50;?824l10?>55242fb>14?34>8hn4;299>06ef2=8370:?f0876==:<9lh69<7;<6242<3:1168<>n:50;?8268k0?>552402`>14?34>:046b2=8370:>0g876==:<8;;69<7;<63b7<3:1168=h<:50;?827n=0?>55241d6>14?34>;j;4;299>05`02=8370:?f9876==:<9l269<7;<63bd<3:1168=hm:50;?827nm0?>55241df>14?34>;jk4;299>04672=8370:>00876==:<8:969<7;<6246<3:1168<>;:50;?8268<0?>5524025>14?34>:<54;299>046>2=8370:jc`876==:5524efb>14?34>ohn4;299>0abb2=8370:ke1876==:5524ef5>14?34>oh54;299>0agb2=8370:kb1876==:5524ea5>14?34>oo54;299>0aef2=8370:kcb876==:5524e`f>14?34>oo=4;299>0ae52=8370=ke0876==:;mo969<7;<1ga6<3:116?ik;:50;?85cm<0?>5523eg5>14?34>n8;4;299>0`5>2=8370:j51876==:=:50;?8528:0?>5523427>14?349><84;299>70612=8370=;f4876==:;=l=69<7;<17b2<3:116?9h7:50;?853n00?>55235db>14?34>n?l4;299>0`542=8370:i73876==:9j;869<7;<17bg<3:116?9hl:50;?853nm0?>5524dg;>14?349om54;299>7ag12=8370=kb8876==:;mh<69<7;<1gf0<3:116?il<:50;?85cj80?>5523ece>14?349omi4;299>7age2=8370=ka8876==:;mk869<7;<1gfc<3:116?ilk:50;?85a<00?>5523g1a>14?349m9;4;299>5=552=8370?833876==:919:69<7;<3474<3:116=5=?:50;?870;90?>552190e>14?34;<>k4;299>5=4b2=8370?82d876==:918o69<7;<346a<3:116=5;j:50;?870=j0?>552197g>14?34;<9o4;299>5=3d2=8370?85`876==:91?i69<7;<341<<3:116=5;n:50;?870=10?>552196e>14?34;<8i4;299>5=252=8370?843876==:919<69<7;<3472<3:116=5552190:>14?34;<>o4;299>5=232=8370?845876==:91>869<7;<3406<3:116=5:>:50;?870<80?>5521963>14?34;<8=4;299>5=5a2=8370?83g876==:919n69<7;<347`<3:116=5=k:50;?870;m0?>552191`>14?34;5=5e2=8370?83c876==:919j69<7;<347d<3:116=5=6:50;?870;00?>552191;>14?34;5=512=8370?837876==:919>69<7;<3470<3:116=5=;:50;?870;=0?>5521910>14?34;4;299>5=302=8370?854876==:91?=69<7;<3411<3:116=5;::50;?870=:0?>5521977>14?34;<9?4;299>5=342=8370?850876==:91?969<7;<3415<3:116=5;>:50;?8705521973>14?34;<8h4;299>5=2b2=8370?84b876==:91>o69<7;<340g<3:116=5:l:50;?870552196a>14?34;<844;299>5=2f2=8370?849876==:91>269<7;<3402<3:116=5:7:50;?8705521966>14?34;<884;299>5fe02=8m70?lc68770=:9jij6914a34???>4;349>11572=8m70;;318770=:==8o6914a34>io:4;349>0ge32=8m70:mc58770=:>0??:5242a7>14a34>8o94;349>5fdf2=8370?lbc876==:9koi69<7;<3aa`<3:116=oki:50;?87dj80?>5521b`1>14?34;hn:4;299>5fd?2=8370?lb5876==:9jh>69<7;<3`e=<3:116=no6:50;?87dil0?>5521bce>14?34;hmo4;299>5fgd2=8370?la4876==:9jk=69<7;<3`e7<3:116=no<:50;?87d100?>5521b;b>14?34;h5k4;299>5fg72=8370?l9b876==:9j3o69<7;<3`=3<3:116=n78:50;?87d1:0?>5521b;7>14?34;h4l4;299>5f>e2=8370?l91876==:9j3:69<7;<3`0?>5521b:;>14?34;h494;299>5f>22=8370?l7c876==:9j=h69<7;<3`<4<3:116=n6=:50;?87d?l0?>5521b5e>14?34;h;?4;299>5f142=8370?l79876==:9j=269<7;<3`30<3:116=n99:50;?87d>00?>5521b4b>14?34;h:k4;299>5f172=8370?l6b876==:9j:0?>5521b47>14?34;h9l4;299>5f3e2=8370?l61876==:9j<:69<7;<3`1a<3:116=n;j:50;?87d=>0?>5521b7;>14?34;h994;299>5f322=8370?l4c876==:9j>h69<7;<3`14<3:116=n;=:50;?87d5521b6e>14?34;h854;299>5f2>2=8370?l44876==:9j>=69<7;<3`7f<3:116=n=k:50;?87d<;0?>5521b60>14?34;h?k4;299>5f272=8370?l32876==:9j9?69<7;<3`7<<3:116=n=n:50;?87d;?0?>5521b14>14?34?9??4;299>14?22=8370?l31876==:9j9:69<7;<3`6a<3:116=n55250;5>14?34?9?o4;299>14?b2=8370;=3d876==:=8k:69<7;<717f<3:1169<7i:50;?835;m0?>55250c3>14?34?9?k4;299>14g52=8370;=41876==:=8k869<7;<7171<3:1169<78:50;?835<80?>55250c7>14?34?98?4;299>14g22=8370;=34876==:=83369<7;<7173<3:1169<76:50;?835;00?>55250;`>14?34?9?:4;299>14?f2=8370;=39876==:=83i69<7;<7101<3:11695525360>14?34?:m;4;299>172b2=8370;>b0876==:=;>h69<7;<72ec<3:1169?:k:50;?836j90?>552536e>14?34?:n?4;299>17372=8370;>b2876==:=;?869<7;<72f3<3:1169?;>:50;?836j=0?>5525371>14?34?:n84;299>17222=8370;>a9876==:=;>=69<7;<72e<<3:1169?:6:50;?836ij0?>5525364>14?34?:ml4;299>172?2=8370;>ac876==:=;>j69<7;<72ea<3:1169?:m:50;?836il0?>5521b0a>6`e027:o?o53g`;?xu2;991<727>?=:5e2;8yv348<0;69u252c6>17f34?84>4;1`9>16g12=;j70;<078f7<=z{<9j97>52cy>16g228:8?63>cb79<=2<5<98o7672:?6760=018018==c;:4b>;58k914:h4=32a6?>?:279f539<=4<58l?o7671:?710?=018019=ib;:4b>;6?j8145>4=2d`4?>0n27:in>5892894c>i324c29<=7<58>j47671:?07g1=01;01>=k9;:;6>;4;0?145?4=21:7?>?9279?n<5890897408323>63=5119<=6<5<8jh7670:?115>=0>l019;:7;:;5>;5;j:145?4=410?:27>?>k58908940d:32l018;6m0i145<4=0g`6?>?:279a:?6776=<8k018==1;62e>;2;;818??:540c89055=3>:m63:334904g<5<9:57:>a:?674g=<8k018=>c;62e>;2;8n18?3;63:31:9a6?16>42===70;<038f7<=z{<93?7>511y>16>428:8?635768f:?0f0`=01901>l:3;:;7>;6kj?145=4=2`6f?>0n278n895892896d2=323?63=7673:?6756=018018;5=9=145=4=2dab?>0n278jn<586d8yv2ak;0;65u252c5>1>034>mo?4>02189057i32;4kk3145=4}r70e3<72:;p18=n6;3376=:=:9h659i;<7073=>734;m8l47819>5c2d212;70::588;<4=:<:li656?;<34g7:9:3?87bk9034?521d;b>=>734;?n=47819>51g?21=m70=;<10=0>m=:9:2?845?9034>522420>=>6348=j:47839>63b?212;70<8058;3c=::>:=659i;<044=:>n:95e?8408j03;k52262f>=1a348<==477g9>627521=m70<8018;<7=::?lm656>;<6612>m?:95e?834;1034<52521f>=>734;=o?47809>65d0212970?i498;<7=:<:?8656<;<0164=>6348;ni47839>5c2b212970:=9`8;<4=z{=l>;7>53z?674d=<1=019h99;3376=:9kli656?;|q6747=83;ohv3:30`9555434;=5o477g9>53gc212;70?99b8;3c=:9?kn656?;<35e0=>734;=m:477g9>53d>212;70?9a98;3c=:9?hj656?;<35e<=1a34;=mo477g9>53dc21=m70?9ab8;3c=:9?hn656?;<35=a=>734;=5k477g9>53d6212;70?9a18;3c=:9?h9656?;<35e4=>734;=m>477g9>53d2212;70?9a58;3c=:9?h=656?;<07609=>7348?>;477g9>614d21=m70<;2e8;3c=::=9>656?;<07629=>7348?>5477g9>615721=m70<;308;3c=::=9<656?;<076<9==:95e?843;:03;k52251;>=1a34?8?n47819>165121=m70;<2b8;<5=::9h2656>;<03f7=lm:95e?847j<03;k521g6b>=>634;m8<47819>5c2d21=m70?i458;3c=:9o>=659i;<3e00=>434;=o547829>53e1212970?9c`8;<6=:9?ih656<;<35`75217f5>=>434;=hl47809>53b?212870?9db8;3c=:9?nn656<;<35a7=>434;=il47809>53c?212870?9eb8;3c=:9?on656<;<35b7=>634;=jl47829>53`?21=m70?9fb8;<6=:9?ln656>;<3447?:95e?8708=034>521605>=>434;<>l47839>5261212870?80`8;<4=:9>:3656<;<344fj:9:0?8709;034<521633>=>434;<=9477g9>5271212:70?81`8;<6=:9>;3659i;<345f521603>=1a34;<>947829>5=20212;70?m9b8;3c=:9>i:656>;<3a7a521c54>=>734;3>o47809>7c`6212:70=if38;<4=:;ol8656>;<1eb1=>6349mo947809>7ce>212:70=icc8;<4=:;oin656>;<1egc=>6349mh947809>7cb1212:70=id98;<4=:;ono656>;<1e``=>734>::h477g9>0417212;70:=9d8;<4=:<;k;659i;<600f=>734>9h?47819>5`e721=m70?j9`8;<4=:9=h8656?;<37e==1a34;?m?477g9>51g621=m70?;a18;3c=:9=3m659i;<37=`=1a34;?5l477g9>51?>21=m70?;998;3c=:9=3=659i;<37=2=>63498nl47839>76`d212970=;<10f`?:9:1?854k9034<523521>=>73498o?47839>7163212970=7:9:1?854k1034<52352b>=>73498ol47839>716d212970==>73498h?47839>7173212970=;<10`3=>63498hn47839>717d212970=;<10a5=>73498i947839>7143212970==>73498in47839>714d212970==>73498j947839>7153212970=;<10b=l;:9:2?8541=034<5232;f>=>734985547829>76g7212;70=<9`8;<4=:;:k9656>;<10=fo;:95e?854i?034=5232cf>=1a3498m547819>76d721=m70=>m=:95e?845?9034<522573>=1a348?9?477g9>615f212870<;3d8;<5=::=>j656?;<070`9=l:9:3?843=>7348?9947809>612721=m70<;478;<7=::=>9656=;<0701;h7:9:3?841nj03;k5227df>=1a348=jl47819>63`5212;70<9e`8;<4=::?n<656=;<05a5;k;:9:2?841lj03;k5227ff>=>6348=hl47809>63c5212;70<8028;<4=::?o3659i;<05af;h;:9:2?841n9034<5227g5>=>6348=ih47819>17c0212870;=f08;<6=:=;l8656<;<71ac5253``>=>434?9h>47829>17c5212870;=e58;<6=:=;oj656<;<71a`=>734;=4447819>53>?212;70?9868;<5=:9?2=656?;<35<0=>734;=4<47819>53>7212;70?97g8;<5=:9?=n656?;<353a=>734;=;547819>5310212;70?9778;<5=:9?=>656?;<3531=>734;=;o47819>5317212;70=id48;3c=:;on<656>;<1eg3=>6349mi=477g9>7cc6212:70=ie28;3c=:;oo?656>;<1ea3=>6349min477g9>7ccc212:70=if18;3c=::=;m659i;<07649?m:95e?8439m034<522626>=>7348<<:47819>626>212;70<80c8;<5=::>:o656?;<044c:?>:9:3?8409:034=522622>=>734?9hl477g9>7g4?212:70=m248;<4=:=;kj656>;<1a75=1a349i>4477g9>7g7c212970=m1b8;<1=:;k;i656;;<1a52?:9:7?85fn:0349523c0e>=>5349i>i47839>7g4e212970=m268;<7=:;k8?656=;<1a76:9:1?85e:;034?523c17>=>5349i??47839>7g41212970=m228;<7=:;k8:656=;<1a43::9:0?85e88034>523`de>=>4349jjh47829>7d`c212870=nfb8;<6=:;hli656<;<1bbd523`d4>=>4349i>=47829>7g7a212870:=d78;<5=:<52521f>=>634>:;8477g9>040?212:70:=e18;<1=:<8<8656>;<623d:j:9:2?825mj034?5221`4>=>734;m8547819>063421=m70<=208;3c=:<8=9656=;<622d=>634;no?47819>65dc212?70?i4d8;<1=:;k:8656?;<1bb0=1a349i=447809>7g7f212870=icb8;3c=:;oio656>;<71bd=>634?9nh47809>17e7212:70;=c58;<4=:=;i=656>;<71g7=>634?9h<47809>17ec212:70;=d48;<4=:=;n<656>;<1a51=>3349i7g6a212?70=m0c8;<4=:;k:h656;;<1a4=6:9:7?835j?0:<;:4=40g`?77>=169?hk:9:3?835j=0349521ba4>7ea34;hol4=cg9~w1`203:1?v3:33290=1<5=l5g`d212;7p}:30094?73nr7>??>51110?847kk0?4:521g7`>1>034;=5o47819>53?d212;70?9a48;<5=:9?k=656?;<35e2=>734;=mo47819>53gd212;70?99e8;<5=:9?3n656?;<35=c=>734;=m>47819>53g3212;70<;248;<5=::=8j656?;<076g9=;:9:1?843:?034=52250`>=>7348?>i47819>6152212970<;268;<5=::=8n656?;<076c9=9:9:1?843:1034=522513>=>7348??<47819>6150212970<;288;<5=::=99656?;<07769=7:9:2?834;j034<525215>=>634?8>n47809>53e721=m70?9c98;<7=:9?i=659i;<35gd=1a34;=h947839>53b121=m70?9d`8;<6=:9?n3659i;<35`f5217g3>=1a34;=i947809>53c121=m70?9e`8;<7=:9?o3659i;<35af=1a34;=j947839>53`121=m70?9f`8;<7=:9?l3656?;<35bf=>734;<<947839>524121=m70?82`8;3c=:9>:=659i;<344d7:95e?8708j034<52162f>=1a34;<=?47829>527721=m70?8158;<4=:9>;=659i;<345d=1a34;<>?47809>5247212;70?8258;3c=:91><656>;<3a=f:9:3?87e;m03;k521c61>=>434;5g1021=m70?72c8;<5=:;ol:656?;<1eb7=>7349mj;47819>7ce4212;70=ic58;<5=:;oi2656?;<1egg=>7349mh<47819>7cb5212;70=id58;<5=:;on=656?;<1e`==>734>;5?47809>0401212:70:>6d8;<5=:<8=;659i;<61=`=1a34>9i947819>07b0212970:=d38;<7=:9=h;659i;<37e=5232db>=>43498nl47829>76`d212:70=?:95e?854k9034>523521>=>63498o?47809>7163212870=7:9:0?854k1034>52352b>=>63498ol47809>716d212870==>43498h?47829>7173212:70=52353b>=>43498hn47829>717d212:70=523501>=>63498i947809>7143212870=52350b>=>63498in47809>714d212870==>43498j947829>7153212:70=l;:9:1?8541=034?5232;f>=>634985547809>76g7212:70=<9`8;<6=:;:k9656=;<10=fo;:9:1?854i?034<5232cf>=>63498m547809>76d7212;70=9;?:9:0?843=;034<52251b>=1a348??h47839>612f21=m70<;4d8;<7=::=9h656<;<070f9:7:95e?843==03;k522563>=>7348?8;47829>612521=m70<;458;<7=::?l3656>;<05bf;hj:9:3?841nh03;k5227d1>=1a348=il477g9>63b021=m70<9e18;<5=::?o?656=;<05`f;jj:95e?841lh034?5227g1>=1a348<<>477g9>63c?212;70<9eb8;3c=::?l?659i;<05b5;k9:9:0?841ml034<5253g4>=>534?9j<47839>17`4212970;=eg8;<7=:=;l<656=;<71b0=>534?9i947839>17cf212970;=ed8;<7=:=;oh656=;<3503;k5217:6>=1a34;=4>477g9>53>621=m70?97g8;3c=:9?=o659i;<353d=1a34;=;?477g9>531e21=m70=id48;<5=:;on<656=;<1eg3=1a349mi=47819>7cc621=m70=ie28;<5=:;oo?659i;<1ea3=1a349min47819>7ccc21=m70=if18;<5=:<8<9656>;<623<9<>:95e?8439m03;k522626>=>5348<<:47839>626>212970<80c8;<7=::>:o656=;<044c:?>:9:1?8409:034?522623>=>4348=jk47829>17bf212;70=m1d8;<5=:<;n=656>;<61e3=7:9:7?834;l0349524056>=>734>::547829>07c7212870:<4d8;<5=:<;oh656>;<6237522501>461<278n=>734>9i?47829>07?>212970;=b68;<4=:=;h3656>;<71``7b734;hol4=d19~w1`213:1?v3:33390=1<5=l<87??329>5g`c212;7p}:30194?eas4?8><4>0218976dk3>3;63>f4f90=1<58l01<8m7;:4b>;6>k214:h4=04a=?>0n27::oo586d8940ej326ca9<=7<58l01<8nf;:4b>;6>k:14:h4=04a5?>0n27::o<586d8940e;326c69<2`<58l018=;2;:<145:4=411f?>0n27>?>o58928940d9323<63>6bf9<=7<58=9;7670:?2<11=01801;6?j;145<4=0`0`?>?927:n9<58928941cn323=63>b659<=7<5829n7673:?74;6?827:8l:58928942f;323<63>4`09<=6<58>j=7670:?20d6=01:01<:6f;:;4>;6<0o145>4=06:`?>?827:84m58928942>j323<63>48c9<=6<58>257670:?20<>=01:01<:66;:;4>;6<0=145>4=262?8278?o858928965ai32=ie;:4b>;4;ko145>4=2634?>?8278?n>589089627:323>63<3b09<=5<5:>;8768f:?07f2=01801>:?6;:;6>;4;j<145?4=263?8278?n6589289627i32;o7670:?07fe=01:01>:?e;:;4>;4;jo145=4=2624?>?9278?i>589089626:32:87670:?07a2=01801>:>6;:;4>;4;m<145>4=260?8278?i6589289626i32:o768f:?07ac=01:01>:>e;:4b>;4;l:145>4=2614?>?8278?h<589089625:323>63<3d69<=5<5:>98768f:?07`0=01801>:=6;:;6>;4;l2145?4=261?8278?ho589289625i329o7670:?07`c=01:01>:=e;:;4>;4;o:145=4=2604?>?9278?k<589089624:32887670:?07c0=01801>:<6;:;4>;4;o2145>4=21a0?>?;278?4:586d8965>m323?63<38:9<2`<5:9j<7672:?07l01>=n2;:;4>;4;0i14:h4=21b0?>?;278?l8586d8965fm323<63<3`:9<2`<5:9i<7672:?07dg=0>l01>=m2;:4b>;4;hi145>4=3664?>?827988<589189724i323=63=42g9<=7<5;>?m7671:?101c=01:01?:;5<=i145?4=367?927988:5890897238323?63=4549<2`<5;>?>7670:?1012=01:01?;?1;:;4>;5=9:145>4=36eb?>?82798kk58928972al323<63=6g59<=6<5;7671:?12`g=01:01?8k7;:;5>;5>l:145<4=34f0?>0n279:im58928970cm323>63=6ec9<2`<5;7672:?1355=01:01?8j8;:;5>;5>li145>4=34e0?>?8279:k>586d8970b>32=01;01<876;:;5>;6>1>145?4=04;6?>?927::5>589389400m323=63>66a9<=7<58<<57671:?2221=01;01<885;:;5>;6>>9145?4=0445?>?927:::>58938914c=323<63=40d9<=7<5;>9<7671:?104d=01;01?:>c;:;5>;5?9?14:h4=3533?>0n279;=7586d89717j32l01?9>3;:4b>;5?9:145>4=34eb?>?:27>?>6589289054m32987671:?76;6kjk1>i?4}r6e1d<72:q69><=:5:4?82a?<0:<>=4=0`ea?>?82wx9>?;:18f0~;2;;81===<;<3501<87d;3321=:9?3>6<>94:?22<0=9953?>28:=863>68c9550334;=4h4>0768940?n3;;:95217;3>461<27::4?51147?8711;0:<;:4=04:7?77>=16=;7;:0250>;6>j:145>4=04`0n27::n858928940di326ba9<=6<58768f:?22a6=01:01<8k4;:4b>;6>m<145<4=04ge?>?:27::i658908940ck323>63>6eg9<=4<587672:?22`6=01801<8j4;:;6>;6>l<145>4=04fe?>0n27::h658928940bk323<63>6dg9<=6<58768f:?22c6=01:01<8i4;:;4>;6>o<145<4=04ee?>0n27::k658908940ak323<63>6gg9<=4<58=;>768f:?2356=01801<9?4;:;4>;6?;<145>4=051e?>?827:;=8589289417i3271:9<=6<58=;o7670:?235c=01:01<9>2;:4b>;6?8:145>4=0520?>?827:;<8589189416i3270:9<=7<58=:o7670:?234c=01901<9=2;:4b>;6?;:145?4=0510?>?827:499586d894d>k323<63>7b39<2`<58h8h7673:?2f14=01801<9kf;:4b>;6j>=145<4=0:1f?>0n27?<4o5893896260323=63<3c49<=7<5:9mm7670:?07gg=01;01>=ic;:;4>;4;ki145?4=21ea?>?8278?ok5893896278323?63<3b29<=6<5:>;>768f:?07f4=01:01>:?4;:;4>;4;j>145>4=2632?>?8278?n85891896270323=63<3b:9<=4<5:>;m7673:?07fg=01901>:?c;:;5>;4;ji145<4=263a?>?9278?nk589389626832:>7671:?07a4=01;01>:>4;:4b>;4;m>145?4=2622?>0n278?i8586d896240323=63<3e:9<=7<5:>:m7670:?07ae=01;01>:>c;:;4>;4;mo145?4=262a?>?8278?h>5893896258323?63<3d09<=6<5:>9>768f:?07`2=01:01>:=4;:;4>;4;l<145>4=2612?>?8278?h65891896250323=63<3dc9<=4<5:>9m7673:?07`e=01901>:=c;:;5>;4;lo145<4=261a?>?9278?k>5893896248328>7671:?07c2=01;01>:<4;:4b>;4;o<145?4=2602?>0n278?k6586d8965e<32=01:01>=n0;:4b>;4;0k145>4=21b6?>0n278?4m58938965f<323<63<3`49<=5<5:9ji7672:?07d>=01801>=m0;:;7>;4;hk145>4=21a6?>?;278?lm5891897228323>63=4409<=4<5;>8m7670:?106c=0>l01?:;a;:;6>;5<=o145=4=360g?>0n27989m5890897230323?63=4469<=6<5;>?<7671:?1010=01:01?:;2;:;5>;5<=>145?4=3735?>0n2799=>586d8972an32mh768f:?12c1=01;01?8i2;:;6>;5>lk145<4=34g3?>?;279:h>58938970b<323<63=6ea9<=7<5;;5?99145<4=34f?:279:hm58908970a<323>63=6g29<=4<5;;6>1214:h4=04;2?>0n27::5:586d8940?:326929<2`<58<l01<889;:4b>;6>>=14:h4=0441?>0n27:::=586d894009326629<2`<5=8o9768f:?104`=01:01?:=0;:4b>;5<8h145>4=362g?>0n279;=:589389717>323=63=71:9<=7<5;=;m7671:?135e=01;01?9?e;:;5>;5?8:145?4=3526?>?9279;=>58938970an323<63;5<;>145>4=50:e?>?;27:on952e0894edi38o>6s|4g7a>5<4s4?8>>4;869>0c1128:8?63>bgd9<=65217a3>=>634;=o547819>53e1212:70?9c`8;<5=:9?ih656>;<35`7=>734;=hl477g9>53b?212;70?9db8;<5=:9?nn656?;<35a7=>534;=il47829>53c?212970?9eb8;<4=:9?on656=;<35b7=>434;=jl47809>53`?212:70?9fb8;3c=:9?ln656<;<3447?:9:2?8708=03;k521605>=>634;<>l47809>5261212:70?80`8;<5=:9>:3656>;<344fj:9:2?8709;034=521633>=>634;<=947829>5271212;70?81`8;<5=:9>;3656<;<345f=>434;<>947809>05?f21=m70=;j7:95e?8408=034?522625>=>5348<<547839>626f212970<80b8;<7=::>:n656=;<0455:?=:9:1?8408903;k5227de>=1a34>9h947839>07?f212970?lc681`6=:9jij6?j<;|q7b0e=839p18==4;6;3>;3n>=1===<;<3`456;29<`}:=:8?6<><3:?22f6=01801<8l8;:;5>;6>j<145=4=04`e?>?927::nm58908940c:323=63>6e29<=5<58;6>m2145?4=04gg?>?;27::ik58938940b:323<63>6d29<=7<58;6>l2145?4=04fg?>?;27::hk58938940a:323<63>6g29<=7<58;6>o2145=4=04eg?>?927::kk589289417:323<63>7129<=5<58=;87671:?2370=01801<9=a;:;7>;6?9<145<4=053e?>?:27:;=6589089417k323>63>71g9<=4<58=:>7672:?2346=01801<9>4;:;6>;6?8<145<4=052e?>?:27:;<6589089416k323>63>70g9<=4<58=9>7672:?2376=01801<9=4;:;6>;3808145>4=5352?>?;27?=;k5893891708323=63;28g9<2`<5=8j<7672:?771e=0>l019;3:l>145?4=50g3?>0n27?>i<589389626032l01>=ic;:;7>;4;ki14:h4=21ea?>?:278?ok586d896278323=63<3b29<2`<5:>;>7673:?07f4=0>l01>:?4;:;5>;4;j>14:h4=2632?>?9278?n8589289627032;m7672:?07fg=0>l01>:?c;:4b>;4;ji14:h4=263a?>?:278?nk5892896268323<63<3e29<2`<5:>:>7672:?07a4=01:01>:>4;:;7>;4;m>145>4=2622?>?:278?i8589389624032:m7672:?07ae=0>l01>:>c;:;7>;4;mo14:h4=262a?>?:278?h>586d896258323=63<3d09<2`<5:>9>7673:?07`2=0>l01>:=4;:;5>;4;l<14:h4=2612?>?9278?h65892896250329m7672:?07`e=0>l01>:=c;:4b>;4;lo14:h4=261a?>?:278?k>5892896248323<63<3g09<2`<5:>8>7672:?07c2=01:01>:<4;:;7>;4;o<145>4=2602?>?:278?k658938914c>32=01>019;3;=o14:h4=50fg?>?827?=:<589189171i323?63;2d:9<=7<5=;==7671:?752>=01;019;3:0k14:h4=0a`3?4c<27:ono52e68yv2a=m0;6>u25206>1>034>m;54>021894e79323<6s|5234>5<48r7>??;51110?85an803;k523gd1>=1a349mj>477g9>7c`321=m70=if48;3c=:;ol=659i;<1eg6=1a349moh477g9>7cea21=m70=id18;3c=:;on:659i;<1e`7=1a349mhi477g9>7cbb21=m70=ied8;3c=:;oi;656?;<1eg4l8:95e?854l0034=5232;6>=1a349mh:477g9>7ce?21=m70=idb8;3c=:;k;n656>;<1efc=>734?9mk47839>7g7f212;70=icb8;<5=:;oio659i;<71f5=>7349i7g6d212;70=m088;<5=:9ji<6?m6;<3`gd<5k01v9h:e;297~;2;;<18594=5d4=?77;:16=n>=:9:3?xu2;821<79t=4112?77;:16=;m>:95e?871km03;k521604>=>634;5fe02;ij70?lc`81gd=z{=l?97>53z?674?=<1=019h:f;3376=:9kl<656?;|q675d=83=p18=>9;3376=:9?i:656>;<35ga521ba4>7ee34;hol4=cc9~w1`3>3:1?v3:30c90=1<5=l=<7??329>5f64212;7p}:31a94?5|5<9:m7??329>5fe02;ih70?lc`81gf=z{=l>>7>52z?674e=<1=019h9d;3376=z{<9;h7>589y>167d28:8?63l;9;:;4>;4j=2145>4=2`73?>?8278n985892896d3=323<63l;1;:4b>;4j:l14:h4=2`0a?>0n278n>j586d896d4k32l01>l<8;:4b>;4j:=14:h4=2`02?>?8278n9h586d896d3m32l01>l<5;:4b>;2:hh145>4=2`6`?>?9278n875890896d2n323=63l:7;:;6>;4j0n278n8?5892890578323=63:2`f9<=2<5;?;;7671:?66`1=01:018;2:o9145>4=40fb?>?827>>k958928904a=323<63:2ca9<=6<5<8o?7670:?66`4=01:018;2:lk145>4=40fa?>?827>>hm58928904c132l01>l<0;:;5>;4j;o145?4=2`1g?>?9278n?o5893896d51323=63l>7;:;4>;4j9:145>4=2ce7?>?8278n?h5892896d5l323<63l<3;:;4>;4j:;145>4=2`16?>?8278n>:5892896d4:323<63l?6;:;4>;4j9?145>4=2`35?>?8278mkh5892896gam323<63oia;:;4>;4io3145>4=2ce?8278mk95892896d58323<63;4j9914:h4=2`30?>0n278mk;586d896ga>32=99;<71bd=>534?9o>47839>17e2212970;=c08;<7=:=;i<656=;<71g<=>534?9h947839>17b1212970;=fg8;3c=:;k;86<>94:?0f46=997g6028:=863:2c59<2`<5<8i4768f:?66ac=01:018;2:on145?4=40a0?>?92wx8k;<:1818349m0?4:524g4f>464;2wx9>>j:18;g~;2;8n1===<;<1a0f=1a349i85477g9>7g2021=m70=m478;3c=:;k>>659i;<1a01=>7349i?k47819>7g5b212;70=m3e8;<5=:;k9h656?;<1a7g=>7349i?:47819>7g5121=m70=m4g8;<5=:;k>n656?;<1a0a=>734?9mo477g9>7g3c212970=m588;<6=:;k?m656=;<1a16523c74>=>4349i9847819>7g06212:70=m508;<4=:=::;659i;<71ea8>8:9:1?835m>03;k5253d2>=1a34?9j>477g9>17ca21=m70;=f68;3c=:=;l>659i;<71ff=1a34?9il477g9>17cb21=m70;=eb8;3c=:=;n2656>;<1a6==>5349i>h47839>7g4d212970=m2`8;<7=:;k82656=;<1a5a=1a349i<=477g9>7d`421=m70=m2g8;3c=:;k8o659i;<1a6g=1a349i?<477g9>7g4521=m70=m358;3c=:;k99659i;<1a63=1a349i<8477g9>7g6621=m70=nfg8;3c=:;hln659i;<1bba=1a349jj4477g9>7d`?21=m70=nf68;3c=:;k8;659i;<1a5c=>7349i076896ga<3;;:95253c4>461<278n<7586d896d6i32l018;2:kk14:h4=40aa?>0n27>>n>586d8904d<32768f:?66f>=0>l018;2:jl14:h4=40g5?>0n27>>nj586d8904c=32l01>l>5;:4b>;4j8;14:h4=2`26?>0n278n=k586d896d7n32=0>l01>l?9;:4b>;2:k=145>4=40a?827>>ik586d8904cn323<63:2gg9<2`<5<8i8768f:p0c332909w0;<1d87<2=:<3:p166a2908=v3:30g95554349i9i477g9>7g3>212;70=m5g8;3c=:;k?8656?;<3`g003;k523c76>=>5349i:<47829>7g36212970;<018;<5=:=;ko656<;<0642=1a34?9i5477g9>17`121=m70;=f58;3c=:=;hi659i;<71`7=1a34?9i>477g9>17c>21=m70;=ee8;3c=:=;oi659i;<1efc=169?l::95e?835jm03;k5253`e>=1a34?9o>477g9>17e221=m70;=c08;3c=:=;i<659i;<71g<=1a34?9h9477g9>17b121=m70;=fg8;<7=:=;lo659i;<71f7<68?>0q~:i5483>7}:=:;m6968;<6e35<68:90q~;<1183>65|5<9:j7??329>7g3c212;70=m588;<4=:;k?m656?;<1a16=>6349i98477g9>7g06212970=m508;3c=:=::;656<;<71ea8>8:95e?835j0034=5253d3>=>734?9j?47819>17c?212;70;=f78;<5=:=;l?656?;<71fg=>734?9ho47819>17c4212;70;=e88;<5=:=;oo656?;<71ag=1a34?9i8477g9>17c121=m70;=f`8;<5=:=;h>656>;<71fa=>634?9o<47809>17e0212:70;=c88;<4=:=;in656>;<71`5=>634?9jn4>0768904e;3216e?2=2<70;=b88f7<=z{<9j47>52z?67f>=999870:n988;<4=z{<92<7>52z?67f?=<1=018{t=:h86=4={<70g<<68:9019o64;:;7>{t=:3i6=4={<70`1<30>169?j=:d1:?xu2;ko1<7>il5e2;8yv34k80;6?u252fe>464;27?55658918yv341o0;6?u252g1>1>034?9i=4j389~w05d:3:1>v3:3d09555434>25:47829~w05f83:1>v3:3d190=1<5<8n=7k<9:p16e42909w0;;2:l31i>74}r70g0<72;q69>k::0207>;31m9145=4}r70e6<72;q69>k9:5:4?835mk0n?45rs41`2?6=:r7>?h851110?82>mh034>5rs41b0?6=:r7>?h954958904bl3o856s|52a4>5<5s4?8i:4>021891?a1323?6s|52:5>5<5s4?8ol4;869>17ee2k<27p}:3`;94?4|5<9hm7??329>0<2121287p}:39594?4|5<9hn7:77:?66`>=m:30q~;7}:=:ii6<><3:?7e50=0190q~;<8983>7}:=:ih6968;<71b5ol:181834km0:<>=4=5c2`?>?;2wx9>6n:181834kl0?4:5253d7>`5>3ty>?lj50;0x905dm3;;?>524`0g>=>43ty>?5l50;0x905dn3>3;63:2g49a6?16ea28:8?63;a2c9<=516b72=2<70;=f98a2<=z{<9jj7>52z?67a6=999870:n4`8;<6=z{<93h7>52z?67a7=<1=018{t=:h;6=4={<70`4<68:9019o:9;:;7>{t=:2n6=4={<70`7<30>169?l=:c4:?xu2;k;1<7>o;5e2;8yv34j;0;6?u252f0>464;27?m5:58918yv34180;6?u252f6>1>034?9ni4j389~w05e<3:1>v3:3e79555434>jm<47829~w05>:3:1>v3:3e490=1<5<8ij7k<9:p16d22909w0;;2:j91i>74}r70f2<72;q69>j7:0207>;3ij3145=4}r70=0<72;q69>j6:5:4?835k<0n?45rs41a?i751110?82fl<034>5rs41:2?6=:r7>?io54958904d?3o856s|52`:>5<5s4?8hl4>021891gb9323?6s|52;4>5<5s4?8ho4;869>17e>2l927p}:3cc94?4|5<9on7??329>0dcc21287p}:38:94?4|5<9oo7:77:?66fe=m:30q~;7}:=:nh6<><3:?7ec?=0190q~;<9883>7}:=:no6968;<71g`lk:181834ll0:<>=4=5`25?>?;2wx9>7l:181834m90?4:5253f7>`5>3ty>?oh50;0x905b83;;?>524846>=>43ty>?4j50;0x905b93>3;63:2e49a6?16c628:8?63;9679<=516g02=2<70;<058;<7=:=;h;6h=6;|q2``2=83;o?v3:3`59020<5<9n47:86:?1153=<><01>h?9;642>;4n9l18:84=2d30?20>278j?<5464896`5k3><:63<01>m:1;610>;4lh=18:84=2fb1?20>278ho65464896be>3><:637:86:?0`g6=<><01>jne;642>;4lhi18:84=2fbe?20>278hl:5464896bf:3><:63<01>jk4;642>;4lm918:84=2fg6?20>278hih5464896bcm3><:63<01>jka;642>;4lm318:84=2fg278hi?5464896bc83><:6303c896bb13;;>l523dg2>111349onh4;779>7add2===70=j088733=:;ln=6999;<1f`1<3??16?hj<:555?85bl;0?;;523df2>111349nh=4;779>7`ea2===70=jcd8733=:;lio6999;<1fgf<3??16?hmm:555?85bk00?;;523da;>111349no:4;779>7`e12===70=jc48733=:;li?6999;<1fg6<3??16?hm=:555?85bk80?;;523da3>111349nhh4;779>7`bc2===70=jdb8733=:;lni6999;<1f`d<3??16?hj6:555?85bl<0?;;523dab>111349nnk4;779>7`db2===70=k8e8733=:;m2h6999;<1g=0<3??16?i7;:555?85c1:0?;;523e;1>111349o5<4;779>7a?72===70=k8g8733=:;m2n6999;<1g11134?8?k4;779>161c28:9m63:3619020<5<9<>7:86:?6727=<><018=80;642>;2;?l18:84=415a?20>27>?;j546489051k3><:63:36`9020<5<9<018=88;642>;2;>=18:84=4142?20>27>?:;546489050<3><:63:37`9020<5<9=m7:86:?671`=<><018=;e;642>;3lh<18:84=5g1b?20>27?i>:5464891da>3><:63;bg79020<5=hm87:86:?7fc5=<><019li2;642>;3m==18:84=5g0f?20>27?o995464891dbk3;;>l524cga>465i27?nho5110b?82em00:;3jl<1==:555?82dl>0?;;524bae>11134>hoh4;779>0fec2===70:lcc8733=:0?;;524ba5>11134>ho84;779>0fe32===70:lc28733=:11134>hh?4;779>0fb62===70:ld18733=:;28o=1==i5;336d=:=9l?6<>=a:?64c5=998j70;?f38247g<5<:m=7??2`9>15`72===70:ke48733=:=93m6999;<6ga1<3??168n79:555?82ej<0?;;524cfa>11134>in94;779>0f0228:9m63;d309020<5=n9<7:86:?7`4c=<><019j>a;642>;3l8218:84=5f22?20>27?h<:5464891b6:3><:63;d029020<5=n;i7:86:?7`5e=<><019j?a;642>;3l9218:84=5f05?20>27?h?h5464891b5l3><:63;d3`9020<5=n957:86:?7`71=<><019j=5;642>;3l8n18:84=5f33?20>27?h=;5464891b1?3><:63;d779020<5=n=?7:86:?7`0`=<><019j:d;642>;3l27?h895464891b2=3><:63;d419020<5=n>=7:86:?7`1`=<><019j;d;642>;3l><18:84=5f40?20>27?h:<5464891b083><:63;d7g9020<5=n=o7:86:?7`3g=<><019j92;642>;3l=i18:84=5f7e?20>27?jn<5464891`d=3><:63=0b49020<5;:hh7??2`9>65e02===70?i568733=:9o?n6<>=a:?2b0>=<><01;6n1?18:84=0d;0?20>27:j5=5464894`?:3><:63>f939020<5;:m97:86:?14c2=<><01?>i3;642>;58o818:84=32e5?20>279546489762k3><:63=04f9020<5;:>n7:86:?14d?=<><01?>na;642>;58h218:84=32b3?20>279<:63=1ba9020<5;;hm7:86:?164>=<><01?<>9;642>;5:8=18:84=3022?20>279><;54648912f93><:63;48g9020<5=>2h7:86:?70<019:6b;642>;318:84=5757?20>27?98>54648913293><:63;5409020<5=?>?7:86:?71=3=998j70:<558733=:<6:555?823980?;;524533>11134>?016b2===70:;0e8733=:<=:h6999;<674g<3??1689>n:555?823810?;;524524>11134>?><4;779>014>2===70:;298733=:<=8<6999;<6763<3??1689<::555?823:=0?;;524500>11134>?>?4;779>01472===70:;1g8733=:9kk=6999;<3ae0<3??16=oo;:555?87ei:0?;;521cc2>11134;im=4;779>5g?a2===70?m9d8733=:9k3o6999;<3a=d<3??16=o76:555?87e110?;;521c;4>11134;i5;4;779>5g?32===70?m928733=:9k396999;<3a=4<3??16=o7?:555?87e0o0?;;521c:f>11134;i4i4;779>5g>d2===70?m8c8733=:9k226999;<3a<=<3??16=o68:555?87e0?0?;;521c:6>11134;i494;779>5g>42===70?m838733=:9k2:6999;<3a<5<3??16=o9j:555?87e?m0?;;521c5`>11134;i;o4;779>5g1f2===70?m788733=:9k=36999;<3a30<3??16=o9;:555?87e?:0?;;521c52>11134;i;=4;779>5g0a2===70?m6d8733=:9kh0?;;521c4:>11134;i:54;779>5g012===70?m648733=:9k80?;;521c43>11134;i9:4;779>5g2d2===70?m3g8733=:9kh36999;<3aea<3??16=oo=:555?87e1<0?;;521c:b>11134;i;k4;779>5g152===70?m668733=:9k9n6999;<3a7g<3??16=ik;:d1:?87?;;0::021e>;6?:;1===a:?237`=998j70?72d8247g<58=9i7??2`9>5=4c28:9m63>73f9554f34;39h4>03c89412k3;;>l52197g>465i27:;8l5110b?87?=j0:;6?<31==m6<>=a:?231b=998j70?7438247g<58=?>7??2`9>5=5028:9m63>7259554f34;3>n4>03c89415k3;;>l52190:>465i27:;?l5110b?87?<=0:;6?=91==;6<>=a:?2316=998j70?73g8247g<58=8j7??2`9>5=5b28:9m63>72g9554f34;3?i4>03c89414l3;;>l52191`>465i27:;>m5110b?87?;k0:;6?:k1===a:?236>=998j70?7378247g<58=8:7??2`9>5=5228:9m63>7279554f34;3?94>03c89414<3;;>l521910>465i27:;>=5110b?87?=>0:;6?<>1===a:?2304=998j70?7528247g<58=>=7??2`9>5=3528:9m63>7429554f34;39<4>03c89413n3;;>l521973>465i27:;9k5110b?87?;6?=h1==i6<>=a:?231?=998j70?74`8247g<58=?47??2`9>5=2>28:9m63>7559554f34;3854>03c89413>3;;>l521966>465i27:;9;5110b?xu2;h=1<7=t=41b3?77;:1699034>5rs41f?h651110?8348?03;k525227>=1a3ty?j:o50;1x902713>3;6317f34??11722=;j70;;21875d=:==8869?n;<7761<39h1699<::53b?833:?0?=l525504>17f34??>54;1`9>116e2=;j70;;0b875d=:==:o69?n;<774`<39h1699>i:53b?833990?=l525532>17f34??=?4;1`9>11742=;j70;;15875d=:==;=69?n;<7752<39h1699?7:53b?833900?=l52553b>17f34??=o4;1`9>117d2=;j70;;1e875d=:==;n69?n;<775c<39h1699<>:53b?833:;0?=l52522b>`5>3ty>?h750;ab833800:<>=4=414`?2??27>?;o549589130l3>3;63;37790=1<58hij7:77:?2f;6j:h18594=05g`?2??27:;oh5495894ge<3>3;63>a`490=1<58k?97:77:?2e6?=<1=01;6i;;18594=0c21?2??27:m?j5495894g713>3;63>9gf90=1<583o57:77:?2=fb=<1=01<7j5;6;3>;61j;18594=0;a1?2??27:54j5495894?>93>3;63>9`;90=1<583397:77:?2=2?=<1=01<7:5;6;3>;61=318594=0;55?2??27:5>?5495894?5=3>3;63>92f90=1<583;h7:77:?2=57=<1=01<7>9;6;3>;60o?18594=0:g`?2??27:4n;5495894>e13>3;63>8e390=1<582j=7:77:?2<<3=<1=01<6nd;6;3>;60>n18594=0:45?2??27:4575495894g>13>3;63>a9f90=1<58k<97:77:?2e3?=<1=01;61o;18594=0;5`?2??27:m8j5495894>1=3>3;63>8d;90=1<5=:i=7672:?7650=<1=019<93;6;3>;3:1918594=53g1?2??27?>o;589089156=3>3;63;33490=1<5=98h7:77:?76c>=<1=01;41=318594=2;0=?2??2785?75495896?613>3;63<91;90=1<5:2m57:77:?0=a?=<1=01>7l9;6;3>;41k318594=2;b=?2??2785475495896??13>3;63<96;90=1<5:3=57:77:?0=0?=<1=01>6j9;6;3>;40=318594=2:0=?2??2784?75495896>613>3;63<81;90=1<5:=m57:77:?06l9;6;3>;40k318594=2:b=?2??2784475495896>?13>3;63<86;90=1<5:2=57:77:?0<0?=<1=01>9j9;6;3>;4?=318594=250=?2??278;?754958961613>3;63<6g;90=1<5:=o57:77:?035?=<1=01>9l9;6;3>;4?k318594=25b=?2??278;4754958961?13>3;63<76;90=1<5:==57:77:?030?=<1=01>8j9;6;3>;4>=318594=240=?2??278:?754958960613>3;63<61;90=1<5:?m57:77:?02a?=<1=01>8l9;6;3>;4>k318594=24b=?2??278:4754958960?13>3;63<66;90=1<5:<=57:77:?020?=<1=01>;j9;6;3>;4il5495896g5j3>3;63okb;6;3>;4ijh18594=2caf?2??278mll5495896g>j3>3;637ib;6;3>;5;l<18594=3007?2??27988j54958972>;3>3;63=49590=1<5;><;7:77:?10c>=<1=01?:je;6;3>;53;63=4c190=1<5;>j;7:77:?10g`=<1=01?:6b;6;3>;5>=;18594=37g=?2??279:l754958973dl3>3;63=51g90=1<5;;5>k?18594=34:`?2??279:8j54958970?l3>3;63=61790=1<5;<:97:77:?1273=<1=01?8<5;6;3>;5>=n18594=37e1?2??27994j54958973b=3>3;63=5cf90=1<5;?jh7:77:?677b=01:01;58oh14:h4=50g6?>0n27:8:h5893894c>>323=63>e8f9<=6<58><<7674:?20=c=01;019<6a;:;0>;60;318594=0:71?2??2wx8k6::1808338h0?4:523d74>1>034>m5o4>0218yv34n=0;6n6t=463e?77;:169>8m:5:4?847k:0?4:521g77>1>034>>;h4;869>06012=2<70?mc187<2=:9k3o6968;<3a3=<30>16=o=j:5:4?870m90?4:5216a7>1>034;jn84;869>5dg02=2<70?n4787<2=:9h9j6968;<3b17<30>16=l<=:5:4?87f9?0?4:521`0f>1>034;j5<`b2=2<70?6d`87<2=:90in6968;<3:a3<30>16=4m=:5:4?87>j?0?4:5218;f>1>034;25?4;869>516=4:n:5:4?87>>;0?4:521811>1>034;2>;4;869>5<5b2=2<70?60d87<2=:90:96968;<3:5d<30>16=5h9:5:4?87?ll0?4:5219a5>1>034;3nl4;869>5=b52=2<70?7a387<2=:913=6968;<3;e`<30>16=59j:5:4?87??;0?4:5219:b>1>034;j5l4;869>5d>b2=2<70?n7787<2=:9h16=4h=:5:4?87>>l0?4:521`7f>1>034;3:;4;869>5=cf2=2<70:?b98;<7=:<8i?6968;<6121<30>168?6;:5:4?826l?0?4:5243`5>=>534>8>:4;869>07ca2=2<70:<3d87<2=:<;l26968;<1:0d<30>16?4=n:5:4?85>:h0?4:52383b>1>034927=`f2=2<70=6d`87<2=:;0ij6968;<1:fd<30>16?4on:5:4?85>1h0?4:5238:b>1>03492;l4;869>7<0f2=2<70=65`87<2=:;1oj6968;<1;0d<30>16?5=n:5:4?85?:h0?4:52393b>1>0349372`f2=2<70=7d`87<2=:;1ij6968;<1;fd<30>16?5on:5:4?85?1h0?4:5239:b>1>03493;l4;869>7=0f2=2<70=75`87<2=:;>oj6968;<140d<30>16?:=n:5:4?850:h0?4:52363b>1>0349=jl4;869>72bf2=2<70=80`87<2=:;>ij6968;<14fd<30>16?:on:5:4?8501h0?4:5236:b>1>0349<;l4;869>720f2=2<70=85`87<2=:;?oj6968;<150d<30>16?;=n:5:4?851:h0?4:52373b>1>0349=70`f2=2<70=9d`87<2=:;?ij6968;<15fd<30>16?;on:5:4?8511h0?4:5237:b>1>0349=;l4;869>730f2=2<70=95`87<2=:;16?l:l:5:4?85f;j0?4:523`0`>1>0349j=n4;869>7d6d2=2<70=neb87<2=:;hnh6968;<1bgf<30>16?lll:5:4?85fij0?4:523`;`>1>0349j4n4;869>7d1d2=2<70=n6b87<2=:;0lh6968;<00a2<30>16>?=;:5:4?843=l0?4:5225;7>1>0348?454;869>611?2=2<70<;f887<2=::=om6968;<072g<30>16>9j::5:4?843kj0?4:5225g1>1>0348?n94;869>61g?2=2<70<;c187<2=::=3h6968;<0507<30>16>8jn:5:4?841ih0?4:5224af>1>0348>63e12=2<70<96d87<2=::?=n6968;<05f3<30>16>;7j:5:4?841=l0?4:5227:f>1>0348=<;4;869>63712=2<70<92787<2=::?9=6968;<050`<30>16>8h9:5:4?8421l0?4:5224g5>1>0348>nh4;869>60gb2=2<70;<2c8;<5=:9o2o659i;<03bf=>634;?;i47859>51?321=m70?72b87<2=:91>36968;|q7b<6=839p18:>5;6;3>;4m?818594=5db2?77;:1v8=if;29g=}:==;>6<><3:?6722=<1=01?>l4;6;3>;6n3;63>b8g90=1<58h<57:77:?2f31=<1=01<9j1;6;3>;6?jl18594=0ca2?2??27:ml65495894g3?3>3;63>a2`90=1<58k>?7:77:?2e75=<1=017;6;3>;6i;l18594=0c3f?2??27:5kh5495894?cj3>3;63>9bd90=1<583n;7:77:?2=f5=<1=01<7m7;6;3>;610l18594=0;:7?2??27:5ll5495894???3>3;63>96`90=1<583>;7:77:?2=1d=<1=01<793;6;3>;61:918594=0;13?2??27:5>h5495894?7n3>3;63>91190=1<583:n7:77:?2;60j=18594=0:af?2??27:4i=5495894>f;3>3;63>88590=1<582jj7:77:?2<2`=<1=01<683;6;3>;601h18594=0c:f?2??27:m5h5495894g0?3>3;63>a7`90=1<58k3?7:77:?2=c5=<1=01<79f;6;3>;6i63;21790=1<5=8=n7:77:?76=d=<1=019?kd;6;3>;3:k=145<4=5120?2??27???6549589154n3>3;63;2gc90=1<58om97:77:?0=1d=<1=01>7;41;h18594=2;2f?2??2785=l5495896>aj3>3;63<9e`90=1<5:3hn7:77:?0=gd=<1=01>7nb;6;3>;410h18594=2;;f?2??2785:l5495896?1j3>3;63<94`90=1<5:2nn7:77:?0<1d=<1=01>6;40;h18594=2:2f?2??2784=l54958961aj3>3;63<8e`90=1<5:2hn7:77:?06nb;6;3>;400h18594=2:;f?2??2784:l5495896>1j3>3;63<84`90=1<5:=nn7:77:?031d=<1=01>9;4?;h18594=252f?2??278:kl54958961cj3>3;63<71`90=1<5:=hn7:77:?03gd=<1=01>9nb;6;3>;4?0h18594=25;f?2??278;:l549589611j3>3;63<74`90=1<5:8;4>;h18594=242f?2??278:=l54958963aj3>3;63<6e`90=1<5:8nb;6;3>;4>0h18594=24;f?2??278::l549589601j3>3;63<64`90=1<5:?nn7:77:?0e0b=<1=01>o;d;6;3>;4i:n18594=2c1`?2??278m3;63omd;6;3>;4ihn18594=2c:`?2??278m5j5495896g0l3>3;63=<1=01?<;5<3;63=4gc90=1<5;>m<7:77:?103e=<1=01?:k6;6;3>;53;63=4b390=1<5;>2h7:77:?1215=<1=01?;kb;6;3>;5>hh18594=37`b?2??2799<>54958970d?3>3;63=67d90=1<5;<;5>3;63=63590=1<5;<8;7:77:?121`=<1=01?;i7;6;3>;5=0l18594=37f3?2??2799oh54958973fn3>3;63>f8c9<2`<5;;;5768f:?20=7=01;01;6m0l145?4=064a?>?<27:84;586d894>4?3>3;63>85;90=1m5>4?:2y>11472=2<70=j6e87<2=:<3:p1165290h;v3:4329555434?8;84;869>65e22=2<70?i5787<2=:<<2;6968;<602`<30>16=om=:5:4?87e1o0?4:521c5b>1>034;i;?4;869>52c52=2<70?8d`87<2=:9hh<6968;<3be<<30>16=l:7:5:4?87f;j0?4:521`77>1>034;j>94;869>5d7?2=2<70?n3187<2=:9h:h6968;<3b45<30>16=4jl:5:4?87>l90?4:5218g;>1>034;2o94;869>516=467:5:4?87>?j0?4:52187;>1>034;28n4;869>5<032=2<70?63587<2=:90836968;<3:05<30>16=4??:5:4?87>8=0?4:52183`>1>034;3j54;869>5=c72=2<70?7c987<2=:91hh6968;<3;`1<30>16=5o;:5:4?87?110?4:5219`3>1>034;34=4;869>5=132=2<70?78b87<2=:9h3h6968;<3b=5<30>16=l97:5:4?87f>j0?4:521`:7>1>034;2j94;869>5<172=2<70?n6187<2=:91<36968;<3;af<30>168=ln:9:1?8258>0?4:52434`>1>034>94n4;869>04bb2=2<70:=b98;<7=:<:;=6968;<606<<30>168>:?:5:4?825nk0?4:52386`>1>03492?n4;869>7<4d2=2<70=61b87<2=:;0:h6968;<1;bf<30>16?4jl:5:4?85>kj0?4:5238``>1>03492mn4;869>716?4;l:5:4?85?mj0?4:52396`>1>03493?n4;869>7=4d2=2<70=71b87<2=:;1:h6968;<14bf<30>16?5jl:5:4?85?kj0?4:5239``>1>03493mn4;869>7=?d2=2<70=78b87<2=:;1=h6968;<1;2f<30>16?5;l:5:4?850mj0?4:52366`>1>0349724d2=2<70=81b87<2=:;?lh6968;<14`f<30>16?:>l:5:4?850kj0?4:5236``>1>034972?d2=2<70=88b87<2=:;>=h6968;<142f<30>16?:;l:5:4?851mj0?4:52376`>1>0349=?n4;869>734d2=2<70=91b87<2=:;?:h6968;<16bf<30>16?;jl:5:4?851kj0?4:5237``>1>0349=mn4;869>73?d2=2<70=98b87<2=:;?=h6968;<152f<30>16?;;l:5:4?852mj0?4:523`7f>1>0349j8h4;869>7d5b2=2<70=n2d87<2=:;h;n6968;<1b4`<30>16?lkj:5:4?85fll0?4:523`af>1>0349jnh4;869>7dgb2=2<70=n9d87<2=:;h2n6968;<1b3`<30>16?l8j:5:4?85>nl0?4:5222g:>1>03489?n4;869>61072=2<70<;9787<2=::=2j6968;<073d<30>16>9hm:5:4?843n80?4:52254g>1>0348?h:4;869>61eb2=2<70<;e587<2=::=h=6968;<07ed<30>16>9m=:5:4?8431l0?4:522767>1>0348>hn4;869>63gd2=2<70<:d187<2=::<;:6968;<05g=<30>16>;9?:5:4?841090?4:5227`;>1>0348=m=4;869>63072=2<70<99187<2=::?:36968;<055=<30>16>;<7:5:4?841;10?4:522773>1>0348>j54;869>60g72=2<70<:e987<2=::16=k7k:95e?8468j03;k5215:1>=>634;nm<47809>5`>d212;70?;6g8;<1=:9=2<659i;<3;07<30>16=5:n:5:4?xu3n0>1<7=t=4617?2??278i:>5495891`e<3;;?>5rs4637?6=joq699<<:0207>;2;><18594=32aa?2??27:j9h54958913?93>3;63;37d90=1<58h?<7:77:?2fd6=<1=01;6j>l18594=05f7?2??27:;h95495894ge03>3;63>a`c90=1<58k?57:77:?2e6b=<1=01;6i;?18594=0c2=?2??27:m>?5495894g7l3>3;63>a1390=1<583oh7:77:?2=a7=<1=01<7j9;6;3>;61j?18594=0;a=?2??27:5l?5495894?>=3>3;63>9`f90=1<583357:77:?2=2b=<1=01<7:9;6;3>;61=n18594=0;51?2??27:5>;5495894?513>3;63>95390=1<583:=7:77:?2=53=<1=01<7>d;6;3>;60o318594=0:f5?2??27:4n75495894>el3>3;63>8e790=1<582j97:77:?2<;601;18594=0:41?2??27:45j5495894g>l3>3;63>a8390=1<58k<57:77:?2e3b=<1=01;61o?18594=0;45?2??27:m;?5495894>113>3;63>8df90=1<5=:in7672:?765e=<1=019<9d;6;3>;3:1n18594=53gb?2??27?>o7589089156j3>3;63;33c90=1<5=9?=7:77:?76ce=<1=01>7;d;6;3>;41:n18594=2;1`?2??27853;63<8gf90=1<5:3oh7:77:?0=fb=<1=01>7md;6;3>;41hn18594=2;:`?2??27855j5495896?0l3>3;63<97f90=1<5:3>h7:77:?0<`b=<1=01>6;d;6;3>;40:n18594=2:1`?2??27847l3>3;63<7gf90=1<5:2oh7:77:?06md;6;3>;40hn18594=2::`?2??27845j5495896>0l3>3;63<87f90=1<5:2>h7:77:?03`b=<1=01>9;d;6;3>;4?:n18594=251`?2??278;3;63<7ef90=1<5:=;h7:77:?03fb=<1=01>9md;6;3>;4?hn18594=25:`?2??278;5j549589610l3>3;63<77f90=1<5:=>h7:77:?02`b=<1=01>8;d;6;3>;4>:n18594=241`?2??278:3;63<5gf90=1<5:8md;6;3>;4>hn18594=24:`?2??278:5j549589600l3>3;63<67f90=1<5:<>h7:77:?01`b=<1=01>o:f;6;3>;4i=l18594=2c0b?2??278m?h5495896g6n3>3;63olf;6;3>;4ikl18594=2cbb?2??278m4h5495896g?n3>3;63;5::n18594=3655?2??27985l549589720j3>3;63=4ga90=1<5;>m>7:77:?103c=<1=01?:k8;6;3>;53;63=6b;90=1<5;<<=7:77:?12=7=<1=01?8m9;6;3>;5>h;18594=3455?2??279:4?54958970713>3;63=60;90=1<5;<957:77:?126?=<1=01?8:1;6;3>;5=o318594=37b5?2??2799h754958973d93>3;63=5c390=1<58l2i768f:?155b=0>l01<:73;:;5>;6mh9145?4=0g;a?>?827:8:?58968942?03285d90=1<582?n7:77:p0c?22908w0;;2587<2=:;l=:6968;<6ef0<68:90q~;;0583>gd|5<>987??329>16102=2<70168>9?:5:4?87e<:0?4:521cc2>1>034;i;n4;869>5g>f2=2<70?8e587<2=:9>l96968;<3bf<<30>16=lom:5:4?87f1>034;j9;4;869>5d412=2<70?n1`87<2=:9h996968;<3b4`<30>16=l>=:5:4?87>ll0?4:5218f1>1>034;2il4;869>516=4oj:5:4?87>0h0?4:52185f>1>034;29l4;869>5<2b2=2<70?66787<2=:909=6968;<3:6d<30>16=4:=:5:4?87>9;0?4:521825>1>034;2=h4;869>5=`f2=2<70?7e387<2=:91ij6968;<3;f`<30>16=5j9:5:4?87?i?0?4:5219;b>1>034;3n?4;869>5=>52=2<70?77787<2=:912n6968;<3b=`<30>16=l7=:5:4?87f?h0?4:521`4f>1>034;j4;4;869>5<`12=2<70?67387<2=:9h<96968;<3;2d<30>16=5kj:5:4?827jj034?52432g>1>034>9:h4;869>07>b2=2<70:>e187<2=:<;hj656=;<605f<30>168>1>034928h4;869>7<5b2=2<70=62d87<2=:;0;n6968;<1:4`<30>16?5hj:5:4?85>ll0?4:5238af>1>03492nh4;869>716?48j:5:4?85>=l0?4:5239gf>1>034938h4;869>7=5b2=2<70=72d87<2=:;1;n6968;<1;4`<30>16?:hj:5:4?85?ll0?4:5239af>1>03493nh4;869>7=gb2=2<70=79d87<2=:;12n6968;<1;3`<30>16?58j:5:4?85?=l0?4:5236gf>1>0349<8h4;869>725b2=2<70=82d87<2=:;>;n6968;<15b`<30>16?:jj:5:4?8508l0?4:5236af>1>034972gb2=2<70=89d87<2=:;>2n6968;<143`<30>16?:8j:5:4?850=l0?4:5237gf>1>0349=8h4;869>735b2=2<70=92d87<2=:;?;n6968;<154`<30>16?8hj:5:4?851ll0?4:5237af>1>0349=nh4;869>73gb2=2<70=99d87<2=:;?2n6968;<153`<30>16?;8j:5:4?851=l0?4:5234gf>1>0349j:=4;869>7d372=2<70=n4187<2=:;h9;6968;<1b65<30>16?l??:5:4?85fn90?4:523`g3>1>0349jh=4;869>7de72=2<70=nb187<2=:;hk;6968;<1b=5<30>16?l6?:5:4?85f?90?4:523`23>1>03488io4;869>675b2=2<70<;6387<2=::=2h6968;<073f<30>16>9k9:5:4?843ij0?4:5225a7>1>0348>h?4;869>63ef2=2<70<97387<2=::?296968;<05fd<30>16>;o=:5:4?841>;0?4:5227;1>1>0348=637f2=2<70<92`87<2=::?9j6968;<0517<30>16>8hn:5:4?842i;0?4:5224gb>1>0348>o?4;869>60d52=2<70?i9g8;3c=::8:n659i;<37<1=>334;?44477g9>5=3f2=2<70?74b87<2=z{=l2:7>53z?6073=<1=01>k82;6;3>;3nk<1===<;|q6053=832iw0;;2482465<5<9<47:77:?14f1=<1=01;3=1918594=5145?2??27:n9:5495894df;3>3;63>b6f90=1<58h297:77:?23`3=<1=01<9i3;6;3>;6ikk18594=0cbg?2??27?3;63;27d90=1<5=83j7:77:?75`7=<1=019;3;8n18594=511g?2??27??9=54958914am3>3;63<95d90=1<5:38j7:77:?0=7`=<1=01>7>f;6;3>;419l18594=2:eb?2??2785ih5495896?dn3>3;63<9cd90=1<5:3jj7:77:?0=<`=<1=01>77f;6;3>;41>l18594=2;5b?2??27858h5495896>bn3>3;63<85d90=1<5:28j7:77:?0<7`=<1=01>6>f;6;3>;409l18594=25eb?2??2784ih5495896>dn3>3;63<8cd90=1<5:2jj7:77:?0<<`=<1=01>67f;6;3>;40>l18594=2:5b?2??27848h54958961bn3>3;63<75d90=1<5:=8j7:77:?037`=<1=01>9>f;6;3>;4>ol18594=25gb?2??278;=h54958961dn3>3;63<7cd90=1<5:=jj7:77:?03<`=<1=01>97f;6;3>;4?>l18594=255b?2??278;8h54958960bn3>3;63<65d90=1<5:<8j7:77:?027`=<1=01>8>f;6;3>;4>9l18594=27eb?2??278:ih54958960dn3>3;63<6cd90=1<5:87f;6;3>;4>>l18594=245b?2??278:8h54958963bn3>3;63=7:77:?0e17=<1=01>o<1;6;3>;4i;;18594=2c25?2??278mk?5495896gb93>3;63on1;6;3>;4i0;18594=2c;5?2??278m:?5495896g793>3;63=3da90=1<5;88j7:77:?1035=<1=01?:7d;6;3>;5<>n18594=36f3?2??2798lj54958972d=3>3;63=5e190=1<5;;5>kh18594=34b7?2??279:;=54958970>;3>3;63=61`90=1<5;<:n7:77:?127d=<1=01?8;5><918594=37ef?2??2799l=54958973bj3>3;63=5b190=1<5;?i?7:77:?2bd6=0>l01???f;:4b>;6<1?145?4=0gb3?>?927:i4<589289420;323863>49c9<2`<582>n7:77:?2<1b=<1=0q~:i9683>6}:==8=6968;<1f36<30>168kl8:0207>{t==:=6=479z?6070=999870;<7887<2=::9io6968;<3e1`<30>16886;:5:4?824?;0?4:521c66>1>034;im94;869>5g1b2=2<70?ma387<2=:9>o=6968;<34b1<30>168=lj:9:1?825?90?4:5243;3>1>034>:i?4;869>07dd212970:<2e87<2=:<:>?6968;<61bc<30>16?4;?:5:4?85><90?4:523813>1>03492>=4;869>7<772=2<70=60187<2=:;0o;6968;<1:`5<30>16?4m?:5:4?85>j90?4:5238c3>1>034925=4;869>7<>72=2<70=67187<2=:;0<;6968;<1;b5<30>16?5;?:5:4?85?<90?4:523913>1>03493>=4;869>7=772=2<70=70187<2=:;1o;6968;<1;`5<30>16?5m?:5:4?85?j90?4:5239c3>1>034935=4;869>7=>72=2<70=77187<2=:;1<;6968;<14b5<30>16?:;?:5:4?850<90?4:523613>1>0349<>=4;869>72672=2<70=8e187<2=:;>;;6968;<14`5<30>16?:m?:5:4?850j90?4:5236c3>1>0349<5=4;869>72>72=2<70=87187<2=:;><;6968;<15b5<30>16?;;?:5:4?851<90?4:523713>1>0349=>=4;869>73772=2<70=90187<2=:;?o;6968;<15`5<30>16?;m?:5:4?851j90?4:5237c3>1>0349=5=4;869>73>72=2<70=97187<2=:;?<;6968;<16b5<30>16?l8=:5:4?85f=;0?4:523`61>1>0349j??4;869>7d452=2<70=n1387<2=:;hl96968;<1ba7<30>16?lj=:5:4?85fk;0?4:523``1>1>0349jm?4;869>7d?52=2<70=n8387<2=:;h=96968;<1b47<30>16>>kk:5:4?845<90?4:522547>1>0348?4h4;869>611b2=2<70<;e987<2=::=kn6968;<07g3<30>16>8j;:5:4?841kj0?4:522757>1>0348=494;869>63dd2=2<70<9a587<2=::?16>;>l:5:4?8419j0?4:52270`>1>0348=?n4;869>63332=2<70<:fb87<2=::16>8m;:5:4?842j=0?4:521gc2>=1a348:==477g9>0401212?70?ja98;<4=:9=2=656?;<3f=1=1a34;39n4;869>5=2b2=2<7p};f8:94?5|5<>9;7:77:?0a22=<1=019hm8;3376=z{<>;;7>517y>114028:8?63:36c90=1<5=9;6j1:18594=0`b`?2??27:;h654958941a=3>3;63;0cd9<=4<5=8<=7:77:?76<7=<1=019?j3;6;3>;5:=;18594=0db6?>0n279=>323<63>e8f9<=7<58><97674:?20=e=0>l01<6:d;6;3>;60<:18594}r6e=<<72:q699<7:5:4?85b?<0?4:524g`:>464;2wx99>7:1823~;2<;21===<;<703g<30>168>9;:5:4?87e<>0?4:521cc5>1>034;i4<4;869>5gd?2=2<70?8e887<2=:9>l=6968;<63g51>034898?4;869>5cg421=m70<>138;3c=:9lkj656>;<3f===1a34;39h4;869>5=362=2<7p};f6`94?5|5<>;n7:77:?0a0>=<1=019h6c;3376=z{<9nm7>517y>116e28:8?63:37a90=1<5=9=;7:77:?2f1>=<1=01;6j1818594=0`0b?2??27:;ho54958941d=3>3;63;0c09<=4<5=8=97:77:?76=3=<1=019?k7;6;3>;5::?18594=0d;a?>0n279e8d9<=6<58><;7674:?74c6=0>l01<6=d;6;3>;60<818594}r6e3f<72:q699>l:5:4?85b=00?4:524g;g>464;2wx9>km:1822~;2<9i1===<;<702a<30>168>87:5:4?87e<00?4:521cc;>1>034;i4>4;869>5g2d2=2<70?8ec87<2=:9>i=6968;<63f61>03489?;4;869>5c?>21=m70<>098;3c=:9lk:656?;<3f1>034;39>4;869~w1`0l3:1?v3:41f90=1<5:o>m7:77:?7b02189051m3>3;63;37;90=1<58h?m7:77:?2fd?=<1=01;6j<=18594=05fg?2??27:;n954958916e<323>63;27590=1<5=83;7:77:?75a?=<1=01?<<7;6;3>;6nh>14:h4=3327?>0n27:il=5892894c?m323=63>46;9<=2<58>2<768f:?2<7`=<1=01<6:4;6;3>{t16?h;m:5:4?82a1o0:<>=4}r70aa<72816=o:m:5:4?87eih0?4:521c:6>1>034;i:=4;869>52cc2=2<70?8c987<2=:<9h>656=;<612=<30>168?67:5:4?826lh0?4:52231;>1>034;mm8477g9>647321=m70?ja48;<5=:9l3;656>;<373d:9:2?87?;90?4:521976>1>03ty?j:h50;1x9027n3>3;63464;27>?:>549589151j3>3;63>b5f90=1<58hjn7:77:?2f=0=<1=01;6?lo18594=05`=?2??27?3;63;29;90=1<5=;on7:77:?166?=<1=01;598?14:h4=0gb3?>?827:i4<589389420j323863>4809<2`<5828=7:77:?2<00=<1=0q~:i8183>6}:==;;6968;<1f1a<30>168ko>:0207>{t=:om6=4>6z?6046=999870;<7087<2=:<:16=ool:5:4?87e0>0?4:521c41>1>034;52ef2=2<70:?b68;<7=:<;168=1a348:=;477g9>5`g?212970?j958;<4=:9==h656<;<37=60?4:5rs5d;5?6=;r7>83;63;f`0955543ty>?k>50;fx902693;;?>525251>1>034?88h4;869>5=3?2=2<70?m4g87<2=:9kkn6968;<3a<=<30>16=o8<:5:4?870n90?4:5216aa>1>034;mm5477g9>647021=m70?73287<2=z{=l3>7>53z?6044=<1=01>k:f;6;3>;3nh91===<;|q67c7=83np18:>2;3376=:=:=86968;<700c<30>16=5;6:5:4?87e=90?4:521cce>1>034;i444;869>5g032=2<70?8f087<2=:9>ih6968;<3ee<8<=5495896c183>3;63;f`6955543ty>?k<50;;x9026;3;;?>521c72>1>034;in=4;869>5g>e2=2<70?m6487<2=:9>io6968;<3eed8<:5495896c193>3;63;f`7955543ty>?k=50;;x9026<3;;?>521c71>1>034;in<4;869>5g>d2=2<70?m6787<2=:9>in6968;<3e=hn:95e?87?;?0?4:5rs5d;2?6=;r7>8<85495896c1;3>3;63;f`5955543ty>?k;50;;x9026>3;;?>521c70>1>034;in?4;869>5g>c2=2<70?m6987<2=:9>n;6968;<3e=hj:95e?87?;10?4:5rs5d;3?6=;r7>8<95495896c1<3>3;63;f`:955543ty>?k850;;x9026?3;;?>521c77>1>034;in>4;869>5g>b2=2<70?m6887<2=:9>n:6968;<3e=5=hi:95e?87?;00?4:5rs5d;8<65495896c1=3>3;63;f`;955543ty>?k950;;x902603;;?>521c76>1>034;in94;869>5g>a2=2<70?m6`87<2=:9>n96968;<3e=4<>?:95e?87?;h0?4:5rs5d;=?6=;r7>8<75495896c1>3>3;63;f`c955543ty>?k650;;x902613;;?>521c75>1>034;in84;869>5g?72=2<70?m6c87<2=:9>n86968;<3e=7<>>:95e?87?;k0?4:5rs5d;e?6=;r7>83;63;f``955543ty>?k750;;x9026i3;;?>521c7;>1>034;in;4;869>5g?62=2<70?m6b87<2=:9>n?6968;<3e=6<>=:95e?87?;j0?4:5rs5d;f?6=;r7>83;63;f`a955543ty>?ko50;;x9026j3;;?>521c7:>1>034;in:4;869>5g?52=2<70?m6e87<2=:9>n>6968;<3e=1<><:95e?87?;m0?4:5rs5d;g?6=;r7>83;63;f`f955543ty>?kl50;;x9026k3;;?>521c7b>1>034;in44;869>5g?42=2<70?m6d87<2=:9>n=6968;<3e=0<>;:95e?87?;l0?4:5rs5d;`?6=;r7>83;63;f`g955543ty>?km50;;x9026l3;;?>521c7a>1>034;inl4;869>5g?32=2<70?m6g87<2=:9>n<6968;<3e=3<>::95e?87?;o0?4:5rs5d;a?6=;r7>83;63;f`d955543ty>?kj50;;x9026m3;;?>521c7`>1>034;ino4;869>5g?12=2<70?m7187<2=:9>n36968;<3e=2<>9:95e?87?<90?4:5rs5d;b?6=;r7>83;63;fc2955543ty>?kk50;;x9026n3;;?>521c7g>1>034;inn4;869>5g?02=2<70?m7087<2=:9>n26968;<3e==<>8:95e?87?<80?4:5rs5d:5?6=;r7>8??5495896c1m3>3;63;fc0955543ty>8=>50;;x902593;;?>521c7f>1>034;ini4;869>5g??2=2<70?m7287<2=:9>ni6968;<3e=g<>n:95e?87?<:0?4:5rs5d:6?6=;r7>8?<5495896c1n3>3;63;fc1955543ty>8=?50;;x9025:3;;?>521c7e>1>034;inh4;869>5g?>2=2<70?m7587<2=:9>nh6968;<3e=f<>m:95e?87?<=0?4:5rs0f:6?6=1r7:hh<5495894b>j32d8;9a6?<58nh=7670:?2`f0=01:01;6ljk145>4=0f`b?>?827:hil58938yv73>?0;611134;oon4;779>5abb2===70?kdb8733=:9mno6999;<3g`c<3??16=ik?:555?87cm80?;;521e`f>11134;oo?4;779>5ada2===70?kc28733=:9mi?6999;<3ggg<3??16=ij?:555?87ckm0?;;521ef0>11134;oh<4;779>5ab52===70?kd78733=:9mn?6999;<3g`0<3??16=ij8:555?87cl00?;;521545>464;27:hh=586d89057>323<63:31c9<=6<5<9;87671:?0g`>=01>01>mke;:4b>;4mm2145?4=0g51?>0n27:i;h586d894c0832e639<2`<58o<>768f:?2a25=0>l01;6m>?14:h4=0g42?>0n27:hkm586d894c0?32dgf9<2`<58o=:768f:?2`cc=0>l01;6lol14:h4=0g50n27:i=>586d894c1132e139<2`<58o=m768f:?2a54=0>l01;6m9914:h4=0g5g?>0n27:i=:586d894c7=32m97;:;5>;4k?2145?4=2a5=?>?9278o;o5893896e1j323=63m91;:;5>;4k?8145?4=2a57?>?9278o;:586d894c1m32f659<=6<5;:n:7670:?7060=01:019:<2;:;4>;3<:>14:h4=30:b?>?8279>4l58928974>l32?92;:;4>;5lkk145>4=3c2g?>?8278oko586d894`0:32l01?k>f;:4b>;5i1;14:h4=5d76?>?827:i9=5892894c3j323<63>e5a9<=6<58o?h7670:?2a1c=01:01;6m<:145>4=0g65?>?827:i8<5892894bbi323<63>e419<=6<58nnn7670:?2a12=01:01;6m=?145>4=0ff`?>?827:i985892894bbm323<63>e559<=6<58nnj7670:?2a1>=01:01;6m=3145>4=0fe5?>?827:i9o5892894ba:323<63:2479<2`<5<8>;7670:?71d4=0>l01?:?b;:4b>;38ll14:h4=52e4?>?827:85k586d8942?n323<63>4829<=6<58>2=768f:?20<4=01:01<:63;:4b>;6<0>145>4=06:1?>?827:85958928942?0323<63>49;9<=6<58>3m7670:?20=d=01:01<:7c;:;4>;6<1n145>4=0gbf?>?827:il75892894cf>323<63>e`69<=6<58oj>7670:?2ad6=01:01;6m0=145>4=0g:1?>?827:i4=5892894c>9323<63>e9d9<=6<58o3h7670:?2a=d=01:01;3=<=145=4=31`4?>?;27??n=586d894cd<323<63<1b79<=4<5;o:h7672:?1e2`=018019?84;:4b>;39?214:h4=50f4?>?827?=;=589289170i323<63;b0f9<=6<5;n8o768f:?1=l01<8l2;:;7>;58k=14:h4=0d70n27??8=5893897459323=63;1609<2`<5=;=m768f:?76`>=0>l01;6?;27963=25d9<2`<58>jn768f:?20db=0>l01?8k5;:4b>;58kn145>4=0d7a?>?8278;3:mn145=4=22f0n278l01?jm7;:4b>;5i8314:h4=56`0?>?827?8nl58928912dk323<63;4cf9<=6<5;99:7670:?1763=01:01?=<4;:;4>;5;;=145>4=56aa?>?8279??658928912en323<63=33;9<=6<5=>h<7670:?177g=01:019;60;:;4>;5;o3145>4=56`5?>?8279??l58928912d:323<63=33a9<=6<5=>h?7670:?177b=01:019:l5;:;4>;5;;o145>4=56`2?>?8279??h58928912d?323<63=3229<=6<5=>h47670:?1767=01:019:la;:;4>;5;:9145>4=56`=?>?8279?><58928913?n323<63=3g:9<=6<5=?2>7670:?17cd=01:019;61;:;4>;5;ok145>4=0f`5?>?;27:hn85891894bd0323?63>dbc9<=5<58nhj7673:?6701=01;019;90;:;6>;5;j3145<4=0fgf?>0n279<8858908977d=323>63<0`:9<2`<5;n;>768f:?1=32=0>l01?h:a;:;5>;5n?9279jhk586d897dek323=63=bcg9<=7<5;hh<7671:?1g1>=0>l01?6>e;:;5>;50;:145?4=3:16?>?92794lo586d897`ak32=0>l01?hi7;:4b>;5no<14:h4=3de1?>0n279jk:586d897`a;32l01?hjf;:4b>;5nln14:h4=2237?>0n278<=<586d89667932l01?hid;:4b>;5no314:h4=3a62?>0n279o8;586d897e2<32=768f:?1g06=0>l01?m;f;:4b>;5k=o14:h4=3a7`?>0n279o9m586d897e3j32l01?m:d;:4b>;5k0n279o8o586d897e2132;768f:?1g05=0>l01?6m8;:4b>;50k=14:h4=3:a2?>0n2794o:586d897>e;32l01?6nf;:4b>;50ho14:h4=3:b`?>0n2794lm586d897>fj32l01?6md;:4b>;50ki14:h4=3:af?>0n2794oo586d897>e132768f:?05f5=01:01?k>b;:;4>;5i>n145>4=41;6?>0n27?98;586d8975em32=01801?hmd;:;7>;5k8l145=4=3:;5?>?;279jok5891897e58323?63=8909<=5<5;lij7673:?1g77=01901?673;:;7>;5nj:145=4=3a16?>?;27945:5891897`d9323?63=c319<=5<5;2397673:?1bf4=01901?m=4;:;7>;501<145=4=3d`7?>?;279o?;5891897>??323?63=fb69<=5<5;i9:7673:?1<=>=01901?hl5;:;7>;5k;=145=4=3:;=?>?;279jo;5891897e6?323?63=86;9<=5<5;li:7673:?1g4>=01901?68a;:;7>;5nk=145=4=3a2=?>?;2794:l5891897`e0323?63=c0c9<=5<5;2b;:;7>;50>n145=4=3dae?>?;279o0m323?63=fc`9<=5<5;i:h7673:?1<2`=01901?hmc;:;7>;5k8o145=4=3:;4?>?;279>nm586d894ed9323<63;4719<2`<5=i?>768f:?660`=0>l019=86;:;4>;5:=>145>4=22ba?>0n279h=6586d897?1i32cb29<=6<5=h?:768f:?7gd4=01:019mn0;:;4>;3lhl145>4=5ab0?>?827?ol65892894bb<32dd79<2`<58nn:768f:p5ac02909w0?ke3875d=:9mo36h=6;|q2``4=83;3;v3>dd09555434;oi>47819>1661212:70;<0`8;<4=:=::?656?;<1`a==>734;n:k47819>5`17212;70?j708;<5=:9l=9656?;<3f36=>734;ojn47819>5`10212;70?kfe8;<5=:9l<=656?;<3gb`=>734;n<=47819>5`0>212;70?j008;<5=:9l=>734;n<947819>5`62212;70=l5g8;3c=:;j<>659i;<1`23103;k523b4:>=1a349h:l477g9>7f0e21=m70=l6b8;3c=:;j:95e?85d>;03;k523b40>=1a349h:947819>5`0b212;70?i768;<4=::9o=656>;<6773=>634895o47809>67?c212:70=?108;<4=::j;<0;ga=>6349hjl47819>5c15212;70h?i:9:3?84f08034=524g61>=>634;n8>47809>5`2e212:70?j4b8;<4=:9l>o656>;<3f0`=>634;n9?47809>5acf212:70?j528;<4=:9moi656>;<3f01=>634;n8;47809>5acb212:70?j468;<4=:9mom656>;<3f0==>634;n8l47809>5a`5212:70;=548;<5=:=;?<656>;<66e79>m:9:3?827mo034=5241d3>=>634;?4h47819>51>a212:70?;918;<4=:9=3:656?;<37=7=>634;?4:47809>51>?212:70?;888;<4=:9=2j656>;<37=>534;nm447839>5`g1212970?ja58;<7=:9lk9656=;<3fe5034?521d;6>=>534;n5>47839>5`?6212970?j8g8;<7=:9l2o656=;<3f03495222a3>=>334>8o>47819>5`e3212:70=>c48;<6=::l;o656<;<0b3c1034=5243g3>=>634>::>477g9>041f21=m70:m1e8;<6=::m9h656?;<0:=`034<521g6;>=>634>89>47859>6746212?70:>738;<5=:<8521da1>=>3348;mk47829>677b212870<=4g8;<5=:9=ki656?;<37ea;j::9:3?847jm034>521g6f>=>4349;i947809>75cb212:70;<0g034o7:9:2?825ll034>5231g;>=>7349;i447819>166?212;70;<038;<5=:=:9?656>;<121cil8:9:3?84f90034=5245a7>=>634>?oo47809>01ed212:70:;be8;<4=:::8=656>;<0070>=;:9:2?844:>034<5245`f>=>63488>547809>01da212:70<<288;<4=:<=i;656>;<006d=>63488>o47809>01e5212:70<<2b8;<4=:<=i8656>;<006a=>63488>k47809>01e0212:70<<318;<4=:<=i3656>;<0074=>63488??47809>00>a212:70<;<00bg:9:2?844nh034<525274>=>734>>:=47809>66e>212:70656<;<13e=i>=:9:1?84>>=034?522g7b>=>5348m9n47839>6c3b212970om?:9:1?84d<1034?52293f>=>53483>=47839>6=45212970<7a`8;<7=::olh656?;<0ebgkhn:9:3?84an1034=522gd4>=>7348mj;47819>6c`2212;70kh>:9:3?84an9034=522gge>=>7348mii47819>7564212;70=?038;<5=:;9::656?;<1345khi:9:3?84anl034=522gdg>=>7348mj447819>6f31212;70n;>:9:3?84d=9034=522b6e>=>7348h8h47819>6f2c212;70i656?;<0`0dn:6:9:3?84d<>034=522b7g>=>7348h9n47819>6f3e212;70n;8:9:3?84d=:034=5229`;>=>73483n:47819>6=d1212;70<7b58;<5=::1h8656?;<0;f75l>:9:3?84?j9034=5229ce>=>73483mh47819>6=gc212;70<7ab8;<5=::1ki656?;<0;e<5li:9:3?84?jl034=5229`g>=>73483nn47819>6=de212;70<7b`8;<5=::1h2656?;<0;f0=1a348j;i477g9>16>5212;70::548;<5=:::hn656?;<0ef1n?9:9:0?84??1034>522g`g>=>3348h=k47859>6=>6212?70kli:9:7?84d:803495229:0>=>3348mo=47859>6f45212?70<7858;<1=::oi:656;;<0`6656::9:7?84ak;0349522b07>=>334834;47859>6ce4212?70n<9:9:7?84?010349522ga6>=>3348h>:47859>6=>>212?70kl9:9:7?84d91034952295b>=>3348mn:47859>6f7>212?70<77c8;<1=::oh3656;;<0`5d59l:9:7?84aj00349522b3a>=>33483;i47859>6cdf212?70n?k:9:7?84??o0349522g``>=>3348h=h47859>6=>7212?70<=cb8;<4=:9ji:656<;<6726=>534898947839>75gb212;70=>634>omk47809>0fg3212:70:la98;<4=:9mo?656?;<3ga06}::<:>6<><3:?66dc=01801?;?8;:;4>{t9kom6=4=ez?2`04=0>l01;6l>818?:4=0f6`?>0n27:h;6586d894b1j32d7a9<2`<58n=h768f:?2`3c=0>l01;6l>:14:h4=0f45?>0n27:h8=586d894b2<32d479<2`<58n>:768f:?2`01=0>l01;6l<314:h4=0f6e?>0n27:h8l586d894b2k32d4g9<2`<58n>j768f:?2`36=0>l01;6l?814:h4=0f57?>0n27:h;:586d894b1=32d749<2`<58n=;768f:?2`3?=0>l01;6l0h145>4=56:2?>?927?8l;5893894bd9323=63>db49<=7<58nh47671:?2`fg=01;01;6lmh145<4=5657?>?927:hh6586d894dbn3;;?>5rs0f:g?6=;r7:h8<5892894bek3;;?>521e;;>=>73ty:h8<50;1x94b2:3o8563>d219550334;o;?47eg9~w4b4;3:1?v3>d219f3?<58n8i7:=4:?2`2b=<;>0q~?k1283>7}:9m=96o86;<3gff<30>1v4=0fa`?77;:16=i77:95e?xu6lk51147?87c?m03ik5rs0f0a?6=;r7:h>k5b7;894b313>9863>d9:90725a1c2k<270?kbe87<2=z{8ni>7>53z?2`3>=01:01=839p1;6l=31==8;;<3g<=g0>34;oon4;869~w4be=3:1?v3>d7`9<=6<58no47??329>5a?021287p}>d7`94?5|58n=n7k<9:?2`1d=9953z?2`1d=j?301;6l1i18?:4}r3g6d<72;q6=i6m:c4:?87cl10?4:5rs0fa2?6=;r7:h;m5892894bck3;;?>521e;4>=>53ty:h;m50;1x94b1k3o8563>d5a9550334;o4n47eg9~w4b3k3:1?v3>d5a9f3?<58n?h7:=4:?2`=b=<;>0q~?k2e83>7}:9m2h6o86;<3g`f<30>1v4=0fg`?77;:16=i78:9:2?xu6l?n1<7=t=0f5`?c4127:h9j51147?87c0m03ik5rs0f7`?6=;r7:h9j5b7;894b3m3>9863>d9g9072h4?:3y>5a>c2k<270?kde87<2=z{8ni47>53z?2`3c=01:01;6l=o1==8;;<3g<`g0>34;ohh4;869~w4be13:1?v3>d7d9<=6<58noj7??329>5a?0212;7p}>d7d94?5|58n=j7k<9:?2`1`=9953z?2`1`=j?301;6l0:18?:4}r3g75<72;q6=i6i:c4:?87clo0?4:5rs0fae?6=;r7:h:>5892894bb83;;?>521e;7>=>33ty:h:>50;1x94b083o8563>d429550334;o5=47eg9~w4b283:1?v3>d429f3?<58n>=7:=4:?2`<7=<;>0q~?k3083>7}:9m3;6o86;<3ga5<30>1v;145>4=0ff5?77;:16=i7;:9:1?xu6l>;1<7=t=0f45?c4127:h8?51147?87c1803ik5rs0f65?6=;r7:h8?5b7;894b4<3>9863>d6190725a?62k<270?ke087<2=z{8n2h7>53z?2`05=01:01;6l:>1==8;;<3g361n;74=0f01?25<27:h::54368yv7c9<0;6?u21e50>g0>34;onh4;869~w4b>m3:1?v3>d469<=6<58nij7??329>5a?3212:7p}>d4694?5|58n>87k<9:?2`63=9953z?2`63=j?301;6l>?18?:4}r3g53<72;q6=i9;:c4:?87cjo0?4:5rs0f:b?6=<32db29555434;oo<47839~w4b2=3:1?v3>d479a6?<58n8:7??659>5a1221om7p}>d2494?5|58n8:7l99:?2`61=<;>01{t9m;<6=4={<3g30016=im>:95e?xu6lh:1<7=t=0f62?>?827:hn<51110?87c1=034=5rs0f62?6=;r7:h885e2;894b4?3;;:9521e55>=ca3ty:h>950;1x94b4?3h=563>d2:9072<58n<;7:=4:p5a7?2909w0?k778a2<=:9mi96968;|q2`d7=839p1;6lj91===<;<3g=674=0f0=16=i98:9ge?xu6l:21<7=t=0f075436894b003>986s|1e3:>5<5s4;o;:4m689>5ae42=2<7p}>d`094?5|58n>47670:?2`f2=999870?k928;<1=z{8n>47>53z?2`0>=m:301;6l:k18?:4=0f4=?25<2wx=i?n:18187c?10i:4521ea7>1>03ty:hl=50;6x94b21323<63>d819<=4<58nh97??329>5ae121297p}>d4;94?5|58n>57k<9:?2`6g=9953z?2`6g=j?301;6l>k18?:4}r3g5g<72;q6=i96:c4:?87ck?03;k5rs0fb0?6=;323=63>db59555434;oo547839~w4b2i3:1?v3>d4c9a6?<58n8n7??659>5a1f21om7p}>d2`94?5|58n8n7l99:?2`6e=<;>01{t9m;h6=4={<3g3d016=im7:95e?xu6lh?1<7:t=0f6f?>?827:h4=586d894bd13;;?>521eab>=>53ty:h8l50;1x94b2j3o8563>d2a9550334;o;o47eg9~w4b4k3:1?v3>d2a9f3?<58n8h7:=4:?2`2e=<;>0q~?k1e83>7}:9m=i6o86;<3ggd4=0f`f?77;:16=i79:9:7?xu6lj51147?87c?j03ik5rs0f0`?6=;r7:h>j5b7;894b4n3>9863>d6g90725a1d2k<270?kcc87<2=z{8nj47>53z?2`0c=01:01;6l:l1==8;;<3g3`g0>34;ooi4;869~w4bf13:18v3>d4d9<=6<58n2:7673:?2`fc=999870?kcg8;<7=z{8n>j7>53z?2`0`=m:301;6l=;18?:4=0f;4?25<2wx=i<>:18187c?o0i:4521eae>=1a3ty:hlo50;1x94b18323<63>de29555434;o5;47839~w4b183:1?v3>d729a6?<58n?=7??659>5a>721om7p}>d5394?5|58n?=7l99:?2`14=<;>01{t9m896=4={<3g<5016=ij?:5:4?xu6lhh1<7=t=0f55?>?827:hi?51110?87c1?034<5rs0f55?6=;r7:h;?5e2;894b3:3;;:9521e:2>=ca3ty:h9<50;1x94b3:3h=563>d519072<58n3>7:=4:p5a442909w0?k808a2<=:9mn:6968;|q2`de=839p1;6lm81===<;<3g=374=0f77?77>=16=i6=:9ge?xu6l=91<7=t=0f77?d1127:h9:5436894b?;3>986s|1e07>5<5s4;o4?4m689>5ab52=2<7p}>d`f94?5|58n=?7670:?2`a5=999870?k978;<5=z{8n=?7>53z?2`35=m:301;6l=?18?:4=0f;0?25<2wx=i<::18187c0:0i:4521ef0>1>03ty:hlk50;1x94b1<323<63>de69555434;o5847859~w4b1<3:1?v3>d769a6?<58n?97??659>5a>321om7p}>d5794?5|58n?97l99:?2`10=<;>01{t9m8=6=4={<3g<1016=ij;:5:4?xu6lhl1<7=t=0f51?>?827:hi;51110?87c1<034>5rs0f51?6=;r7:h;;5e2;894b3>3;;:9521e:6>=ca3ty:h9850;1x94b3>3h=563>d559072<58n3:7:=4:p5a402909w0?k848a2<=:9mn>6968;|q2`g6=839p1;6lm<1===<;<3g=074=0f73?77>=16=i69:9ge?xu6l==1<7=t=0f73?d1127:h965436894b??3>986s|1e0;>5<5s4;o4;4m689>5ab12=2<7p}>dc394?5|58n=;7670:?2`a1=999870?k948;<7=z{8n=;7>53z?2`31=m:301=839p1;6l=k18?:4=0f;=?25<2wx=i<6:18187c0>0i:4521ef4>1>03ty:ho=50;1x94b11323<63>de;9555434;o58477g9~w4b113:1?v3>d7;9a6?<58n?m7??659>5a>>21om7p}>d5c94?4|58n?m7l99:?2`=g=<;>0q~?k2c83>7}:9m226o86;<3g`<<30>1v4=0f:1?>?827:hio51110?87clk034>5rs0f5e?6=:r7:h;o5e2;894b?i32nj6s|1e0`>5<5s4;o4l4m689>5abe212;7p}>d8c94?7es4;onn4;1`9>5ab?2=;j70?kbe875d=:9mih69?n;<3g``<39h16=ijl:53b?87clm0?=l521efe>17f34;oi=4;1`9>5ac62=;j70?kbd875d=:9mi969?n;<3gfc<39h16=im<:53b?87ck=0?=l521eaa>17f34;oh=4;1`9>5aec2=;j70?kd2875d=:9mn:69?n;<3g`7<39h16=ij9:53b?87cl=0?=l521ef6>17f34;oh:4;1`9>5ab>2=;j70?k9c8f7<=z{:h3o7>52z?0f1e=01;01{t;k>h6=4={<1a0f?927:on9569;8yv5e`5>34?9io47839~w6df03:1>v3l>6;:;7>;6n=?1i>74}r1a53<72;q6?o?9:d1:?835mk034>5rs352f?6=:r78n97589389715;3;;?>5rs2`;e?6=:r78n975890894ed?3<346s|3c4`>5<5s49i8447829>61452k<27p}7}:;k>3656>;<0467<68:90q~=m8883>7}:;k>3656=;<3`g2<10>1v>l9b;296~;4j=2145=4=3615?c412wx?o:7:18185e<10n?45253g0>=>53ty9;<750;0x96d3?323=63=733955543ty8n5650;0x96d3?323>63>cb592=07g20212870<;1e8f7<=z{:h?;7>52z?0f11=m:3018{t:>;36=4={<1a03:{t;k2<6=4={<1a03?;2799=?5e2;8yv5e`5>34?9i=47839~w716?3:1>v33:1>v3l;5;g0=>;2:mh145<4}r1a<0<72;q6?o:;:9:2?87dkh0=;=5rs2`70?6=:r78n9:5e2;8904c>323?6s|3c:7>5<5s49i8>47809>5fef2?7}:;k>9656>;<045`<68:90q~=m8283>7}:;k>9656=;<3`g2<1?>1v>l97;296~;4j=8145=4=36eb?c412wx?o:=:18185e<;0n?45253f1>=>53ty8n5<50;0x96d39323=63>cbc923c7g262l9270;=d18;<6=z{:h3=7>52z?0f6`=01;01{t;k9m6=4={<1a7c?927:ono569c8yv5e;l0;6?u23c1f>`5>34?9on47829~w6d0n3:1>v3l;6kjk1:564}r1a7f<72;q6?o=l:d1:?835k>034>5rs2`4`?6=:r78n>l5893894edi3<3;6s|3c1a>5<5s49i?o4j389>17e221287p}1<0q~=m3`83>7}:;k9j6h=6;<71g6l8b;296~;4j:3145?4=0a`e?0?=2wx?o=6:18185e;00n?45253a2>=>43ty8n:o50;0x96d40323=63>cbc92=47g5?2l9270;=bg8;<6=z{:h<57>52z?0f61=01;01{t;k9<6=4={<1a72?9279;21<7?:27:on9567a8yv5e>?0;6?u23c15>=>4348?jh4j389~w6d4>3:1>v3l;f;g0=>;2:k?145=4}r1a36<72;q6?o:j:9:2?87dk>0=;?5rs2`7a?6=:r78n9k5e2;8904a>323>6s|3c51>5<5s49i8i47809>5fe02?=:7p}7}:;k>i656>;<3`g2<1?91v>l;b;296~;4j=h1i>74=40e6?>?:2wx?o9?:18185e<9034<521ba4>30a3ty8n9>50;0x96d383o8563:2g29<=47g52212:70?lc6852`=z{:h897>52z?0f63=m:3018{t:>;?6=4={<71eg:?l:0207>{t;k?;2798kj5e2;8yv35ik0;6?u253ca>`5>34?9n447839~w412?3:1>v3>c0a9<2c<58=>;7??329~w=cc2908w0?l1b8a2<=:0ln1==9l;_:f`>{t9>?=6=4={<3`64{t992=6=4<{<3`64016==69:024g>X681<0q~::8483>7}:9j;j659j;<66<0<68:90q~??f`83>6}:9j;j6o86;<33bd<68>i0R<>ia:p65gc2909w0?l1g8;3`=::9ko6<><3:p55>e2908w0?l1g8a2<=:992i6<>8c:\24=d5f4421=n70?i8`824655f442k<270??8`8242e5<5s49m5>4;869>7ab028:8?6s|3g51>5<4s49m5>4>021894239323<63;9679<=47c?52=2<70=kd7824657c?528:8?63>4529<=6<5=3=97672:p7ae32909w0=i9187<2=:;mn>6<><3:p7c0a2908w0=i9182465<58>8i7670:?7f47=0180q~=kc283>7}:;o2m6968;<1g`1<68:90q~=i6d83>6}:;o2m6<><3:?206b=01:019l?5;:;6>{t;mi96=4={<1e<`<30>16?ij<:0207>{t;o;3io3145<4}r1gg4<72;q6?k6k:5:4?85cl;0:<>=4}r1e2f<72:q6?k6k:0207>;6<:k145>4=5cf`?>?:2wx?imi:18185a0j0?4:523efe>464;2wx?k8m:18085a0j0:<>=4=060=?>?827?mh?58908yv5ckl0;6?u23g:a>1>0349ohh4>0218yv5a>h0;6>u23g:a>464;27:8>65892891gc=323>6s|3eag>5<5s49m4l4;869>7abc28:8?6s|3g4:>5<4s49m4l4>02189424?323<63;ab;9<=47c>>2=2<70=kdb824657c>>28:8?63>4249<=6<5=kii7672:p7aee2909w0=i8987<2=:;mni6<><3:p7c002908w0=i8982465<58>897670:?7eg7=0180q~=kc`83>7}:;o2<6968;<1g`d<68:90q~=i6783>6}:;o2<6<><3:?2062=01:019on1;:;6>{t;mi26=4={<1e<0<30>16?ij6:0207>{t;o;3i1>145<4}r1gg=<72;q6?k6;:5:4?85cl10:<>=4}r1e26<72:q6?k6;:0207>;6<::145>4=5c52?>?:2wx?im8:18185a0:0?4:523ef2>464;2wx?k8=:18085a0:0:<>=4=067b?>?827?m8758908yv5ck90;6?u23g:1>1>0349oh=4>0218yv5a>80;6>u23g:1>464;27:89k5892891g3i323>6s|3e3g>5<4s49m4<4;869>7ab02=2<70=k2d824657c>628:8?63>45f9<=6<5=k8m7672:p7a7d2908w0=i8187<2=:;mn=6968;<1g6a<68:90q~=i5g83>6}:;o2;6<><3:?201e=01:019o=d;:;6>{t;m;i6=4<{<1e3c<30>16?ij::5:4?85c:j0:<>=4}r1e1`<72:q6?k9i:0207>;6<=h145>4=5c2`?>?<2wx?i?n:18085a?l0?4:523ef7>1>0349o>o4>0218yv5a=m0;6>u23g5f>464;27:89o5892891g6;323>6s|3e3:>5<4s49m;i4;869>7ab42=2<70=k2`824657c1c28:8?63>4559<=6<5=k;:7672:p7a7?2908w0=i7b87<2=:;mn96968;<1g6<<68:90q~=i5c83>6}:;o=h6<><3:?206e=01:0197;6;:;6>{t;m8=6=4<{<1e=<<30>16?iji:5:4?85c;?0:<>=4}r1e3<<72:q6?k76:0207>;6<=3145>4=5;e=?>?:2wx?i<::18085a110?4:523eff>1>0349o?84>0218yv5a?10;6>u23g;;>464;27:8965892891?bi323>6s|3e07>5<4s49m5:4;869>7abc2=2<70=k35824657c?028:8?63>4549<=6<5=3o?7672:p7a442908w0=i9787<2=:;mnh6968;<1g76<68:90q~=i7783>6}:;o3=6<><3:?2013=01:0197m9;:;6>{t;m896=4<{<1e=0<30>16?ijm:5:4?85c;;0:<>=4}r1e30<72:q6?k7::0207>;6<=>145>4=5;b=?>?:2wx?i<>:18085a1=0?4:523efb>1>0349o?<4>0218yv5a?=0;6>u23g;7>464;27:89=5892891?>?323>6s|3e03>5<4s49m5<4;869>7ab>2=2<70=k31824654?:2y>7c?628:8?63>4509<=6<5=3347672:p7a7a2908w0=i8787<2=:;mn36968;<1g6c<68:90q~=i7183>6}:;o2=6<><3:?206`=01:0197:9;:;6>{t;m;n6=4<{<1e3g<30>16?ij>:5:4?85c:10:<>=4}r1e20<72:q6?k9m:0207>;6<:9145>4=5c:0?>?:2wx?i?8:18085a?h0?4:523ef3>1>0349o>:4>0218yv5a=h0;6>u23g5b>464;27:8>?5892891g>1323<6s|3eg3>5<5s49m944;869>7c302l927p}57??329>514a212970;;2`8;<7=:9j;3656>;<6eg2k66;290~;4k:314hh4=2`f4?>?8278ii951110?85bl103;k5rs2a3b?6=:r78o>75436896e7n3h=56s|3d`5>5<5s49h?44m689>7fee21=m7p}01v>km5;296~;4k:21n;74=2a`e?>0n2wx;=950;7g6~;4k9l18i=4=2a3f?2c;278o=754e1896e7?3>o?63lif;6g7>;4jon18i=4=2`ef?2c;278nk754e1896da=3>o?63ljd;6g7>;4jlh18i=4=2`f=?2c;278nh954e1896db=3>o?63m>9;6g7>;4k8=18i=4=2a21?2c;278o<=54e1896e693>o?6301>lj2;610>;6o?63;7cc90a5<5==i57:k3:?73g>=;3?k<18i=4=55a1?2c;27?;o:54e18911e:3>o?63;6509072<5=<;?7:=4:?736?=;3?:?18i=4=5507?2c;27?;>?54e18911383>9863;73a9072<5==:?7:k3:?7347=;3?9n18i=4=553f?2c;27?;3>9863;5da9072<5=?ij7:=4:?72ag=;3>m>18i=4=54g6?2c;27?:i>54e18910dm3>o?63;6ba90a5<5==;3>j>18i=4=54`6?2c;27?:ho54e18910b03>o?63;6d490a5<5=;3>mo18i=4=54gg?2c;27?:i854e18910bm3>9863;6b39072<5=018?:0;62a>;29<:1==;7;<7215<68<3018?:0;614>;29<:1==;n;<7215<3:;169<;?:53g?836=90:<8m4=4364?77=k169<;?:0262>;29<:18;29<:1?i>4=4364?5dn27>=8>53bg89072839hh63:14297fe<5<;><7=lb:?6506=;jk018?:0;1`=>;29<:1?n94=4364?5d>27>=8>53b789072839h863:14297f5<5<;><7=l2:?6506=;j;018?:0;1`4>;29<:1?oh4=4364?5em27>=8>53ca89072839in63:14297gg<5<;><7=m9:?6506=;k2018?:0;1a3>;29<:1?o84=4364?5e=27>=8>53c689072839i?63:14297g7<5<;><7=m0:?6506=;hl018?:0;1ba>;29<:1?lj4=4364?5fk27>=8>53``89072839jm63:14297d?<5<;><7=n8:?6506=;m2018?:0;1g3>;29<:1?i84=4364?5c<27>=8>53e089072839h463:14297gb<5<;><7=m2:?6506=;h=018?:0;1b7>;29<:1i?m4=4364?c5j27>=8>5e3c8907283o9563:1429a7><5<;><7k=6:?6506=<0;018?:0;6:4>;29<:185h4=4364?2?m27>=8>549f8907283>3o63:14290=d<5<;><7:7a:?6506=;29<:184j4=4364?2>k27>=8>548;8907283>2963:14290<2<5<;><7:63:?6506=<13018?:0;6;<>;29<:1>?74=433g?26m27>==m5117;?8368j0:<874=433g?25827>==m5117b?8368j0?>?52502`>17c34?:04a89077k3;;9o52502`>462>27>==m540a89077k3>9?63:11a9553234?:04f89077k39:h63:11a97a6<5<;;o7=lf:?655e=;jo018??c;1``>;299i1?nm4=433g?5dj27>==m53bc89077k39h563:11a97f1<5<;;o7=l6:?655e=;j?018??c;1`0>;299i1?n=4=433g?5d:27>==m53b389077k39h<63:11a97g`<5<;;o7=me:?655e=;ki018??c;1af>;299i1?oo4=433g?5e127>==m53c:89077k39i;63:11a97g0<5<;;o7=m5:?655e=;k>018??c;1a7>;299i1?o?4=433g?5e827>==m53`d89077k39ji63:11a97db<5<;;o7=nc:?655e=;hh018??c;1be>;299i1?l74=433g?5f027>==m53e:89077k39o;63:11a97a0<5<;;o7=k4:?655e=;m8018??c;1`<>;299i1?oj4=433g?5e:27>==m53`589077k39j?63:11a9a7e<5<;;o7k=b:?655e=m;k018??c;g1=>;299i1i?64=433g?c5?27>==m5e3489077k3>2=63:11a90<6<5<;;o7:7f:?655e=<1o018??c;6;`>;299i185m4=433g?2?j27>==m549c89077k3>j>63:11a90d6<5<;;o7:6d:?655e=<0i018??c;6:=>;299i184;4=433g?2><27>==m548189077k3>3563:11a90=><5<;;o7<=9:?15ab=;59m318i=4=33g3?2c;279=i;54e18977c;3>o?63=1e390a5<5;;hj7:k3:?15`7=<;>01??le;610>;58?o18i=4=325g?2c;279<;o54e18976103>o?63=07490a5<5;:=87:k3:?1434=90;6g7>;58>818?:4=326b?25<27?89:54e18913>;3>o?63;4bf90a5<5=92>7:k3:?77<6=;3;1i18i=4=51;e?2c;27??5654e18915?>3>o?63;39690a5<5=93>7:k3:?77<0=<;>019=71;610>;3;k918i=4=51a5?2c;27??lh54e18915fl3>o?63;3``90a5<5=9j57:k3:?77d1=;3;h918i=4=51a3?25<27??l<543689170m3>9863;1839072<5=;;391?18i=4=53;3?2c;27?=5754e18917?j3>o?63;19f90a5<5=:>o7:k3:?740>=:6;6g7>;38<>18i=4=5266?2c;27?<8>54e189163m3>o?63;05a90a5<5=:?m7:k3:?741>=;6;6g7>;38=818i=4=5274?2c;27?<>k54e189164k3>o?63;02c90a5<5=:847:k3:?7460=<4;6g7>;38:818i=4=5204?2c;27?<;o54e18916103>o?63;07490a5<5=:=87:k3:?7434=90;6g7>;389863;03d9072<5:lmi7:k3:?0bcb=hic;6g7>;4noh18i=4=2dee?2c;278jk754e1894c003>9863>e469072<5:88i7:=4:?0673=<;>01><98;62a>;4:?21==;7;<112=<68<301><98;614>;4:?21==;n;<112=<3:;16??87:53g?855>10:<8m4=205;4:?218??4=205;6540`8964103;;9852334;>462l278>;653e289641039hj63<27:97fc<5:8=47=ld:?063>=;ji01><98;1`f>;4:?21?no4=205;653b589641039h:63<27:97f3<5:8=47=l4:?063>=;j901><98;1`6>;4:?21?n?4=205;653cd89641039ii63<27:97ge<5:8=47=mb:?063>=;kk01><98;1a=>;4:?21?o64=205;653c489641039i963<27:97g2<5:8=47=m3:?063>=;k;01><98;1a4>;4:?21?lh4=205;653`f89641039jo63<27:97dd<5:8=47=na:?063>=;h301><98;1b<>;4:?21?i64=205;653e489641039o863<27:97a4<5:8=47=l8:?063>=;kn01><98;1a6>;4:?21?l94=205;65e3a8964103o9n63<27:9a7g<5:8=47k=9:?063>=m;201><98;g13>;4:?21i?84=2059278>;654828964103>3j63<27:90=c<5:8=47:7d:?063>=<1i01><98;6;f>;4:?2185o4=205;654`28964103>2h63<27:90=<0?01><98;6:0>;4:?2184=4=205;6549:896410389563<27:967><5:8=47<=7:?063>=:;<01><98;011>;4:?21>?:4=205;6523089641038?463<27:966e<5:8=;7:>e:?0631=99?370==668240?<5:8=;7:=0:?0631=99?j70==668767=:;;<<69?k;<1122<68<97;331g=:;;<<6<>:6:?0631=<;;01><97;617>;4:?=1==;:;<1122<68<97;12`>;4:?=1?;9530c89641?39:563<275974><5:8=;7=>7:?0631=;8<01><97;11e>;4:?=1??74=2053?5c8278>;953bd89641?39hi63<27597fb<5:8=;7=lc:?0631=;jh01><97;1`e>;4:?=1?n74=2053?5d?278>;953b489641?39h963<27597f2<5:8=;7=l3:?0631=;j801><97;1`5>;4:?=1?n>4=2053?5en278>;953cg89641?39io63<27597gd<5:8=;7=ma:?0631=;k301><97;1a<>;4:?=1?o94=2053?5e>278>;953c789641?39i863<27597g5<5:8=;7=m1:?0631=;k:01><97;1bb>;4:?=1?lk4=2053?5fl278>;953`a89641?39jn63<27597dg<5:8=;7=n9:?0631=;h201><97;1g<>;4:?=1?i94=2053?5c>278>;953e689641?39o>63<27597f><5:8=;7=md:?0631=;k801><97;1b3>;4:?=1?l=4=2053?c5k278>;95e3`89641?3o9m63<2759a7?<5:8=;7k=8:?0631=m;=01><97;g12>;4:?=184?4=2053?2>8278>;9549d89641?3>3i63<27590=b<5:8=;7:7c:?0631=<1h01><97;6;e>;4:?=18l<4=2053?2f8278>;9548f89641?3>2o63<2759001><97;6:7>;4:?=18574=2053?2?0279?>854e18975ak3>o?63=2`f90a5<5;?9=7:=4:?1144=<;>01?;85;610>;5=?218?:4=3756?25<27998954368973>83>9863=5959072<5;??97:=4:?1166=;5n:k18i=4=3ge3?2c;279ik;54e1897ca;3>o?63=eg290a5<5;oni7:k3:?1a`e=;5n8;18?:4=3gf7?25<279jh>540g897`b83;;95522gg3>4621279jh>5432897`b83;;9l522gg3>145348mi=4;1e9>6cc728:>o63=fd29553e348mi=4>044897`b83>9=63=fd29075<5;ln<7:>b:?1b`6=99?>70d:?1b`6=;8i01?hj0;12f>;5nl:1?530:897`b839o<63=fd297f`<5;ln<7=le:?1b`6=;jn01?hj0;1`g>;5nl:1?nl4=3df4?5di279jh>53b;897`b839h;63=fd297f0<5;ln<7=l5:?1b`6=;j>01?hj0;1`7>;5nl:1?n<4=3df4?5d9279jh>53b2897`b839ij63=fd297gc<5;ln<7=mc:?1b`6=;kh01?hj0;1ae>;5nl:1?o74=3df4?5e0279jh>53c5897`b839i:63=fd297g3<5;ln<7=m4:?1b`6=;k901?hj0;1a5>;5nl:1?o>4=3df4?5fn279jh>53`g897`b839jh63=fd297de<5;ln<7=nb:?1b`6=;hk01?hj0;1b=>;5nl:1?l64=3df4?5c0279jh>53e5897`b839o:63=fd297a2<5;ln<7=k2:?1b`6=;j201?hj0;1a`>;5nl:1?o<4=3df4?5f?279jh>53`1897`b83o9o63=fd29a7d<5;ln<7k=a:?1b`6=m;301?hj0;g1<>;5nl:1i?94=3df4?c5>279jh>5483897`b83>2<63=fd290=`<5;ln<7:7e:?1b`6=<1n01?hj0;6;g>;5nl:185l4=3df4?2?i279jh>54`0897`b83>j<63=fd290;5nl:184:4=3df4?2>;279jh>549;897`b83>3463=fd2967?<5;h2o7:k3:?1f0?=;5j54e1897d3m3>o?63=b5a90a5<5;h01?m;5k:k1==;7;<0`7d<68<301?m;5k:k1==;n;<0`7d<3:;16>n=n:53g?84d;h0:<8m4=3a0e?77=k16>n=n:0262>;5k:k18??4=3a0e?25;279o>o540`897e4i3;;98522b1b>462l279o>o530f897e4i39:o63=c2c974d<5;i8m7=>a:?1g6g=;8301?m;5k:k1?i>4=3a0e?5dn279o>o53bg897e4i39hh63=c2c97fe<5;i8m7=lb:?1g6g=;jk01?m;5k:k1?n94=3a0e?5d>279o>o53b7897e4i39h863=c2c97f5<5;i8m7=l2:?1g6g=;j;01?m;5k:k1?oh4=3a0e?5em279o>o53ca897e4i39in63=c2c97gg<5;i8m7=m9:?1g6g=;k201?m;5k:k1?o84=3a0e?5e=279o>o53c6897e4i39i?63=c2c97g7<5;i8m7=m0:?1g6g=;hl01?m;5k:k1?lj4=3a0e?5fk279o>o53``897e4i39jm63=c2c97d?<5;i8m7=n8:?1g6g=;m201?m;5k:k1?i84=3a0e?5c<279o>o53e0897e4i39h463=c2c97gb<5;i8m7=m2:?1g6g=;h=01?m;5k:k1i?m4=3a0e?c5j279o>o5e3c897e4i3o9563=c2c9a7><5;i8m7k=7:?1g6g=m;<01?m;5k:k184>4=3a0e?2?n279o>o549g897e4i3>3h63=c2c90=e<5;i8m7:7b:?1g6g=<1k01?m;5k:k18l>4=3a0e?2>l279o>o548a897e4i3>2563=c2c90<3<5;i8m7:64:?1g6g=<0901?m;5k:k18564=3a0e?451279;kk54e18971ej3>o?63=7c;90a5<5;=i;7:k3:?13g2=;5?k:18i=4=35ba?2c;279;i;54368971f?3>9863=88a904c<5;22o7??599>6=?d28:>563=88a9076<5;22o7??5`9>6=?d2=8970<79b875a=::13h6<>:c:?1<;500i1==;:;<0;=f<68;500i1?>k39:563=88a974><5;22o7=k0:?1<;500i1?nj4=3::g?5dk27944m53b`897>>k39hm63=88a97f?<5;22o7=l7:?1<;500i1?n:4=3::g?5d;27944m53b0897>>k39h=63=88a97f6<5;22o7=mf:?1<;500i1?ol4=3::g?5ei27944m53c;897>>k39i463=88a97g1<5;22o7=m6:?1<;500i1?o=4=3::g?5e927944m53c2897>>k39jj63=88a97dc<5;22o7=nd:?1<;500i1?lo4=3::g?5f127944m53`:897>>k39o463=88a97a1<5;22o7=k6:?1<01?66c;1g6>;500i1?n64=3::g?5el27944m53c0897>>k39j;63=88a97d5<5;22o7k=c:?1<;500i1i?74=3::g?c5027944m5e35897>>k3o9:63=88a90<7<5;22o7:60:?1<;500i185j4=3::g?2?k27944m549`897>>k3>3m63=88a90d4<5;22o7:n0:?1<;500i18474=3::g?2>=27944m5486897>>k3>2?63=88a90=?<5;22o7:78:?1<;6lo918?:4=624>=1b34=:h768e:?73=1=;3i>k18i=4=0a`3?77<016=nm8:0262>;6kj=1==:n;<3`g2<68;6kj=19ok4=0a`3?769:16=nm8:0326>;6kj=1=;<3`g2<698:01;6kj=19;>4=0a`3?32n27:on9554g894ed?3?>h63>cb5910e<58ih;7;89:?2gf1==>201;6kj=19:84=0a`3?30=27:on95566894ed?3?<=63>cb59130<58ih;7;:b:?2gf1==;6kj=19nk4=0a`3?76::16=nm8:0316>;6kj=1=<<>;<3`g2<69;:01;6kj=18km4=0a`3?2aj27:on954gc894ed?3>m563>cb590c><58ih;7;>5:?2gf1==8>01;6kj=19<<4=0a`3?36927:on95502894ed?3?;h63>cb59154<58ih;7:i7:?2gf1=:6:?2gfg=99>j70?lc`82403<58ihm7;mf:?2gfg==ko012:?2gfg=98;:70?lc`82546<58ihm7;91:?2gfg==?:01;6kjk198k4=0a`e?32l27:ono554a894edi3?<563>cbc912><58ihm7;87:?2gfg==><01;6kjk19::4=0a`e?30927:ono5574894edi3?>n63>cbc910g<58ihm7;lf:?2gfg==jo01;6kjk18ko4=0a`e?2a127:ono54g:894edi3?:963>cbc9142<58ihm7;>3:?2gfg==8801;6kjk19<>4=0a`e?37l27:ono5510894edi3>m;63>cbc90c0<5<>8:7??579>115128:>963:42491gc<5<>8:7;87:?6060==><018:<6;741>;2<:<19::4=4602?30927>8>8557489024>3?>n63:424910g<5<>8:7;le:?6060=988870;;3782574<5<>8:7?>209>115128;9<63:4219553134???>4>04789024;3?ii63:4219121<5<>8?7;86:?6065==>?018:<3;740>;2<:919:?4=4607?31>27>8>=554`89024;3?>m63:42191fc<5<>8?7?>229>115428;9>63:4219544634???>4>1328902483;;9;525513>462=27>8>>55cg8902483?<;63:4229120<5<>8<7;85:?6066==>>018:<0;745>;2<::19;84=4604?32j27>8>>554c8902483?hi63:4229544434???=4>1308902483;:><525513>475827>8?j51175?833:m0:<8;4=461`?3em27>8?j556589025l3?<:63:43f9123<5<>9h7;84:?607b==>;018:=d;752>;2<;n198l4=461`?32i27>8?j55bg89025l3;:>>52550g>475:27>8?j51002?833:m0:=?>4=5```?77<0168omk:0262>;3jjn1==:n;<6aga<68;3jjn1=0:?7ffb==>=019lld;742>;3jjn19:;4=5```?3dm27?nnj5501891ddl3?:>63;bbf9147<5=hhh7;>0:?7ffb==9n019lld;736>;3jjn18k94=5```?2a>27?nn95116:?82ek>0:<884=5``3?77;3jj=19ok4=5``3?769:168om8:0326>;3jj=1=;<6ag2<698:019ll7;727>;3jj=19<<4=5``3?36927?nn95502891dd?3?;h63;bb59154<5=hh;7:i7:?7ff1=:6:?7ff2=99>j70:mc582403<5=hh87;me:?7ff2=98;870:mc582544<5=hh87?>109>0ge328;:<63;bb69145<5=hh87;>2:?7ff2==8;019ll4;724>;3jj>19=j4=5``0?37:27?nn:54g5891dd<3>m:63;bbc9552>34>iol4>044891ddi3;;8l524cab>462=27?nno55cg891ddi3;:=>524cab>476:27?nno51032?82ekh0:=<>4=5``e?36;27?nno5500891ddi3?:=63;bbc9146<5=hhm7;?d:?7ffg==98019lla;6e3>;3jjk18k84=5a53?77=>168n88:027=>;3k?=1==:m;<6`22<68a:?7g31=:hl019m97;0ba>;3k?=19i74=5a53?3c027?o;955`1891e1?3?j>63;c7591d7<5=i=;7;n0:?7g31==0l019m97;7:a>;3k?=19>h4=5a53?34m27?o;9552f891e1?3?8o63;c75916d<5=i=;7;;3k?=199;4=5a53?33<27?o;95551891e1?3??>63;c759117<5=i=;7;;0:?7g31==:3019m97;70<>;3k?=1>h74=5a53?4b027?o;955b;891e1?3?h463;3b69552>34>8o94>0448915d<3;;8l5242a7>462=27??n:55cg8915d<3;:>>5242a7>475:27??n:51002?824k=0:=?>4=0aae?46m27:ooo5233894eei389n63>ccc9662<58hnn7<=1:?2f`d=:;h01;6jlo1>cc3964c<58ii=7<=1:?2gg7=:;h01;6kk=1>cc6964c<58ii87<=1:?2gg2=:;h01;6kh21>c`g964c<58iji7<=1:?2gdc=:;h01;6khh1>c`7964c<58ij97<=1:?2gd3=:;h01;6kh81>c8;964c<58i257<=1:?2g;6k0l1>n388863>c8a964c<58i2o7<=1:?2g;6k0<1>>388863>c81964c<58i2?7<=1:?2g<5=:;h01;6k1k1>c82964c<58i2<7<=1:?2g<6=:;h01;6k1n1>c95964c<58i3;7<=1:?2g=1=:;h01;6k1>1>c6`964c<58i;6k1;1>c6g964c<58i;6k>81>c6:964c<58i<47<=1:?2g2>=:;h01;6k>?1>c7;964c<58i=57<=1:?2g3?=:;h01;6k?l1>c7a964c<58i=o7<=1:?2g3e=:;h01;6k?<1>388863>c71964c<58i=?7<=1:?2g35=:;h01;6kc72964c<58i=<7<=1:?2g36=:;h01;6kc45964c<58i>;7<=1:?2g01=:;h01;6k<>1>c5`964c<58i?n7<=1:?2g1d=:;h01;6k<;1>c5g964c<58i?i7<=1:?2g1c=:;h01;6k=21>c57964c<58i?97<=1:?2g13=:;h01;6k:i1>m523`894e4k388863>c50964c<58i?>7<=1:?2g14=:;h01;6k:l1>h523`894e4n388863>c21964c<58i8?7<=1:?2g65=:;h01;6k:31>7523`894e41388863>c24964c<58i8:7<=1:?2g60=:;h01;2::81>??4=4006?45j27>>><5226894e4838:i63>c229677<58i8<7<=b:?2g66=::>01;6k;n1>??4=0a1`?45j27:o?j522689044;389=63:221967d<5<88?7<<4:?666d=:;;018<;2::h1>>:4=400a?45927>>>k523`89044m388863:22a9677<5<88o7<=b:?666e=::>018<;2::n1>?l4=400`?44<27>>>h523389044n389n63:22d9662<5<8?<7<=1:?6616=:;h018<;0;000>;2::>1>??4=4000?45j27>>>:5226890439389=63:253967d<5<8?=7<<4:?6614=:;;018<;2;01f>;2:=81>>:4=4001?45927>>>;523`89044=388863:2249677<5<88:7<=b:?6660=::>018<<9;015>;2::31>?l4=400=?44<27>>>9523389044?389n63:2259662<5<8847<=1:?666>=:;h018<<8;000>;2:=>1>>9:523`89043<388863:22c964c<5<88m7<=b:?666g=::>018<;3;02a>;2:=91>??4=4077?45j27>>9=522689043m38:i63:25g9677<5<8?i7<=b:?661c=::>018<;c;02a>;2:=i1>??4=407g?45j27>>9m522689043l38:i63:25f9677<5<8?h7<=b:?661b=::>018<;f;02a>;2:=l1>??4=407b?45j27>>9h522689042838:i63:2429677<5<8><7<=b:?6606=::>018<:3;02a>;2:<91>??4=4067?45j27>>8=522689042938:i63:2439677<5<8>=7<=b:?6607=::>018<:2;02a>;2:<81>??4=4066?45j27>>8<522689043=38:i63:2579677<5<8?97<=b:?6613=::>018<;6;02a>;2:=<1>??4=4072?45j27>>98522689043138:i63:25;9677<5<8?57<=b:?661?=::>018<;7;02a>;2:==1>??4=4073?45j27>>99522689043038:i63:25:9677<5<8?47<=b:?661>=::>018<;a;02a>;2:=k1>??4=407e?45j27>>9o522689043j38:i63:25`9677<5<8?n7<=b:?661d=::>01;6k;h1;=94=0a1e?17?27:o?75715894e503=;;6s|3d;0>5<3s49h?;47eg9>7f6e28:=8637}:;j9=6o86;<1`g=k62;290~;4k:?14hh4=2a3=?77>=16?hj<:0207>;4jml145=4}r1`42<72:q6?n=::507?85d800?>9523b24>g0>3ty8io<50;0x96e4=3h=5637f5321om70=l0682432<5:oo>7??329>7gba21297p}01>m?5;`5=>{t;lh:6=4={<1`71016?nm::95e?xu4m0:1<7:t=2a07?>bn278o=;51147?85bl80:<>=4=2`gb?>?92wx?n><:18085d;:0?>9523b26>143349h<>4m689~w6ce83:1>v3a290?w0=l338;ac=:;j:86<>94:?0aa6=999870=mdg8;3c=z{:i;=7>53z?0g64=<;>01>m?3;610>;4k9;1n;74}r1fec<72;q6?n==:c4:?85dk:03;k5rs2g;a?6=?58dd896e793;;:9523dae>464;278nih58928yv5eno0;6>u23b12>143349h<<4;259>7g`a2k<27p}l0q~=j8e83>1}:;j9;65ki;<1abc<68?>01>kle;3376=:;knn656;;|q0fcb=839p1>m<0;610>;4jol18?:4=2`e`?d112wx?hok:18185d;90i:4523ba2>=1a3ty8i5m50;6x96e5n32nj63021896dcm323?6s|3cda>5<4s49h>k4;259>7g`c2=8?70=mfc8a2<=z{:ojo7>52z?0g7`=j?301>ml0;:4b>{t;l2i6=4;{<1`6`;4mji1===<;<1a``li9;297~;4k;o18?:4=2`ef?25<278nk75b7;8yv5bik0;6?u23b0f>g0>349hnk477g9~w6c?i3:18v37`ee28:8?637f4c2=8?70=mf88761=:;kl>6o86;|q0adg=838p1>m=d;`5=>;4kko14:h4}r1f<=<72=q6?n5<5s49h>o4m689>7fdd21=m7p}01v>kn7;296~;4k;k1n;74=2aae?>0n2wx?h69:18785d:003ik523cd2>461<278in951110?85elm03495rs2`fb?6=;r78o?75436896da93>98637f4>2k<270=ld88;3c=z{:o397>54z?0g7>=0ll01>ljf;3321=:;li=6<><3:?0fab=0190q~=mee83>6}:;j8369<;;<1aac<3:=16?okk:c4:?xu4mh?1<7=ca349iii4>076896cd=3;;?>523cfg>=>53ty8nhl50;1x96e5?3>9863p1>m=6;:fb>;4jlh1==8;;<1fg1<68:901>lkd;:;5>{t;ko26=4<{<1`63<3:=16?okm:507?85em00i:45rs2gb7?6=:r78o?85b7;896ec>325<3s49h>847eg9>7gc>28:=8637}:;j8>6o86;<1``0k71;290~;4k;>14hh4=2`f3?77>=16?hm=:0207>;4jmn145>4}r1aa0<72:q6?n<;:507?85em>0?>9523cg6>g0>3ty8il?50;0x96e5<3h=5637f4421om70=me482432<5:oh=7??329>7gbd212?7p}01>lj3;`5=>{t;lk;6=4={<1`66016?nj>:95e?xu4m>l1<7:t=2a16?>bn278nh=51147?85bk90:<>=4=2`gf?>?;2wx?n?k:18085d:;0?>9523cg0>143349h=i4m689~w6c>n3:1>v394:?0aac=999870=mdb8;<6=z{:i:n7>53z?0g17=<;>01>m>d;610>;4k8h1n;74}r1ffa<72;q6?n:>:c4:?85dl:03;k5rs2g:g?6=58dd896e6j3;;:9523dfg>464;278nil58968yv5d900;6>u23b63>143349h=o4;259>7f7>2k<27p}l0q~=j9c83>1}:;j9m65ki;<1`5<<68?>01>kkc;3376=:;kni656>;|q0g41=839p1>m;4k8318?:4=2a23?d112wx?hlm:18185d;o0i:4523bf3>=1a3ty8i4o50;6x96e4m32nj63021896dcj323>6s|3b36>5<4s49h?h4;259>7f702=8?70=l148a2<=z{:oim7>52z?0g6c=j?301>mlf;:4b>{t;l326=4;{<1`7a;4mmk1===<;<1a`fm>3;297~;4k:n18?:4=2a21?25<278o<=5b7;8yv5bj00;6?u23b1g>g0>349hoh477g9~w6c>03:18v37`b>28:8?637f5d2=8?70=l128761=:;j;:6o86;|q0ag>=838p1>m;4kjn14:h4}r1f=2<72=q6?n=m:9ge?85d980:<;:4=2gg1?77;:16?ojl:9:2?xu4k9n1<7=t=2a0f?25<278o5<5s49h?o4m689>7fed21=m7p}01v>km4;296~;4k:=1n;74=2a`=?>0n2wx?h66:18785d:j03ik523cd4>461<278ioh51110?85elk034=5rs2`f6?6=;r78o?m5436896da?3>98637f4d2k<270=lbe8;3c=z{:i:j7>53z?0g77=0ll01>lj2;3321=:;j8;6h=6;|q0am=1;`5=>;4kkh14:h4}r1e4d<72;q6?k>6:5:4?85a8l0n?45rs2d3=?6=;;q6?k>6:0207>;4kmk145:4=2a;`?>?8278o5k5892896ef<32mkd;:;5>;4l8814:h4=2f3g?>?:278h<>5891896`6<32j>4;:;6>;4n;l145=4=2aeb?>?8278oh:5892896`5=32l01>h>e;:;0>;4n;:145>4=2d25?>?8278j9m5891896`3l323=637673:?0`51=0>l01>mj1;:;4>;4n=:14:h4=2d1a?>?9278j>>586d896e>=323<63mm4;:;7>;4khn145>4=2ab4?>?8278o4h5892896ef032m62;:;4>;4ll<18594}r1e55<72;q6?k>i:5:4?85a900n?45rs2d3b?6=;mq6?k>i:0207>;4k1>14:h4=2a;1?>0n278o58586d896e??32l01>m7b;:4b>;4k1i14:h4=2a4`?>0n278o:k586d896e0n32l01>m73;:4b>;4kmk14:h4=2ab2?>0n278j?o5892896ecl323?63mie;:;7>;4kl:145=4=2f20?>?;278okm5892896ean323>63h=b;:;6>;4n8i145?4=2d2a?>?8278j?>5891896`6:323>63h?7;:;5>;4n=i145?4=2d67?>?8278h=<586d896b7=323<63h;3;:;0>;4n==145>4=2d1a?>0n278j><5892896`4=32l01>h?d;:;4>;4n9i145?4=2ab1?>0n278o4<5890896bb=3>3;6s|3g26>5<5s49m<94;869>7c6?2l927p}021896`20323<63mn4;:;4>;4l=3145<4=2f73?>?:278oij586d896b6:323<63mie;:;5>;4kl:145<4=2f20?>?9278okm5893896ef:323?63h>c;:;4>;4n8n145?4=2d2a?>?9278j=k5892896`6:323<63h?7;:;4>;4n=i145<4=2d67?>?;278h=<5892896b7=323?63h;1;:;4>;4n=9145<4=2d1a?>?:278j><5896896`4=323=63mid;:;6>;4khi145>4=2ab`?>?9278ol>5893896e>n323=63m6c;:;4>;4k0814:h4=2ff5?2??2wx?k<<:18185a:;0?4:523g0a>`5>3ty8j?<50;1285a:;0:<>=4=2age?>?8278ol:5893896ef>323=63mkd;:;4>;4l88145?4=2f24?>0n278j<:5892896`2<323<63l01>h=f;:;4>;4koi145=4=2aeb?>?9278oik5893896`5=323>63l01>h=0;:4b>;4n9h14:h4=2d25?>?9278j<65892896`7>32?768f:?0`57=0>l01>h;0;:;4>;4n=914:h4=2d1a?>?;278j>>5892896`4:32mnd;:;6>;4kh:145<4=2a:b?>?:278j=j5893896ef0323863=99h=c;6;3>;4n:=1i>74=2d0f?2??2wx?k=4=646>=1b3ty8j3;63523bfb>=>5349hm;47819>7c56212?70=i2`8;<4=:;jno656=;<1g57=>6349o=947819>7f`d21=m70=i278;<4=:;jo?659i;<1`=g523g0:>=1a349m=n47859>7c4621=m70=i0c8;<5=:;o:n656>;<1e57=>5349m9847839>7a65212970=k048;<7=:;m:=656?;<1g42:95e?85a<803;k523g61>=>7349m??47839>7c52212870=i368;3c=:;j3=656>;<1`f1=>7349o7fg2212;70=l938;<4=z{:l?n7>53z?0b1g=<1=01>h:5;g0=>;4n<<18594}r1e0d<72;q6?k:n:0207>;0>>03;h5rs2a75495896e0;3h=56s|3ed3>5<2s49n<=4>021896`3<323?63<7671:?0g32=01;0q~=l4683>7}:;mlm6968;<1`3701v>jjf;291~;4lol1===<;<1e01=>73ty8o9850;0x96bam3>3;637a`b28:8?63m92;:;4>{t;j>>6=4={<1gba<30>16?n9?:c4:?xu4lln1<7;t=2fe`?77;:16?k:;:9:1?85dih03;k523g72>=>7349h:<47819~w6e3<3:1>v3w0=kfb82465<5:l?8768f:?0gdg=01:01>h:1;:4b>;4k?:145>4}r1`15<72;q6?h>7:5:4?85d?j0i:45rs2fe=?6==r78i=651110?85a<=034=523bcb>=>6349m9<47839>7f0c212;7p}0}:;l:<6<><3:?0b13=01901>mna;:;6>;4n<;145?4=2a5g?>?82wx?n:j:18185b8?0?4:523b5b>g0>3ty8hk950;;x96c7>3;;?>523g66>=>5349m>;47829>7fg521=m70=l9`8;<5=:;j3:659i;<1`2g5<3s49n<84>021896ef132p1>k?4;3376=:;jk2656?;<1e14464;278ol75893896`28323<637`652=2<70=l778a2<=z{:nm?7>55z?0a54=999870=i448;<4=:;jkj656<;<1e15464;278j9;5892896efi323863jib;3376=:;o>>659i;<1e0`03;k523bc`>=1a349m?;47829~w6e3:3:1>v3m6a;:;5>;4k0;145>4=2a6b?>?8278j?95892896`4<32<6h=6;<1e0<<30>1v>h0m2wx?n8;:18185d?:03ik523b47>`5>3ty8o8;50;0x96e0;3>98634?:2y>7f1521om70=l5482432<5:i=?7k<9:p7f332908w0=l738761=:;j?>69<;;<1`1101v>m92;297~;4k>;14hh4=2a60?77>=16?n8=:d1:?xu4k<91<7=t=2a45?25<278o8:5436896e2;3h=56s|3b42>5<4s49h;=47eg9>7f3428:=8637f172=8?70=l528761=:;j?96o86;|q0g36=839p1>m9f;:fb>;4k<81==8;;<1`25m:e;297~;4k?l18?:4=2a66?25<278o8k5b7;8yv5d>m0;6>u23b5`>=ca349h9h4>076896e1l3o856s|3b7g>5<4s49h;n4;259>7f3b2=8?70=l5e8a2<=z{:i=o7>53z?0g2d=0ll01>m:d;3321=:;jm8b;610>;4k461<278o;l5e2;8yv5d=k0;6>u23b5b>143349h9n4;259>7f3e2k<27p}m7>53z?0g2?=<;>01>m:b;610>;4k9523b7b>143349h944m689~w6e103:1?v357??659>7f0?2l927p}01>m:8;`5=>{t;j<<6=4<{<1`33;4k?=1i>74}r1`12<72:q6?n99:507?85d=10?>9523b74>g0>3ty8o;850;1x96e0=32nj633:1?v3;7:=4:?0g00=j?30q~=l6483>6}:;j=?65ki;<1`13<68?>01>m95;g0=>{t;j?:6=4<{<1`31<3:=16?n;9:507?85d=80i:45rs2a6b?6=;r78o;k58dd896e293;;:9523b7e>`5>3ty8jol50;3g1~;4k?o18?:4=3470?20>279:9=546489703:3><:63=6539020<5;?oo7:86:?11ad=<><01?;ka;642>;5=m318:84=34bg?20>279:ll54648970fi3><:63=6`;9020<5;?o87:86:?11a5=<><01?;k2;642>;5=m;18:84=37g4?20>2799nh54648973dm3><:63=5bf9020<5;?:=7:86:?1146=<><01?;?f;642>;5=9o18:84=34`g?20>279:nl54648970di3><:63=6b;9020<5;<01?8l6;642>;5>j?18:84=3440?20>279::=546489700:3><:63=6639020<5;<<<7:86:?123`=<><01?89e;642>;5>?n18:84=34;0?20>279:5=54648970?:3><:63=6939020<5;<3<7:86:?122`=<><01?88e;642>;5>>n18:84=34ag?20>279:ol54648970ei3><:63=6c;9020<5;<01?8m6;642>;5>k?18:84=34b0?20>279:l=54648970f:3><:63=6`39020<5;<01?86e;642>;5>0n18:84=3450?20>279:;=546489701:3><:63=6739020<5;<=<7:86:?120`=<><01?8:e;642>;5>279:4=54648970>:3><:63=6839020<5;<2<7:86:?12=`=<><01?87e;642>;5>1n18:84=343g?20>279:=l546489707i3><:63=61;9020<5;<;47:86:?1251=<><01?8?6;642>;5>9?18:84=342g?20>279:<:63=60;9020<5;<:47:86:?1241=<><01?8>6;642>;5>8?18:84=341g?20>279:?l546489705i3><:63=63;9020<5;<947:86:?1271=<><01?8=6;642>;48l?18:84=22fe?20>278<:63<1519020<5:;?>7:86:?0517=<><01>?;c;642>;49=h18:84=237e?20>278=9754648967303><:63<1559020<5:;?:7:86:?0513=<><01>?;0;642>;49:l18:84=2330?20>278===546489677:3><:63<1139020<5:;;<7:86:?055e=<><01>??b;642>;499k18:84=233=?20>278==6546489677?3><:63<1149020<5:;;97:86:?04c`=<><01>>ie;642>;49hl18:84=23ba?20>278=lj54648967fk3><:63<1``9020<5:;jm7:86:?05d?=<><01>?n8;642>;49k=18:84=23a2?20>278=o;54648967e<3><:63<1c19020<5:;i>7:86:?05g7=<><01>?m0;642>;49h=18:84=3f0a?20>279h9<5464897b413><:63=d8a9020<5;n2n7:86:?1`<01?j69;642>;5lh>18:84=3fb7?20>279hl<5464897bf93><:63=d`29020<5;n2j7:86:?1`<01?j6d;642>;5l0218:84=3f:3?20>279h8m5464897b2j3><:63=d4c9020<5;n>57:86:?1`0>=<><01?j94;642>;5l?918:84=3f56?20>279h;?5464897b183><:63=d4d9020<5;n>i7:86:?1`0b=<><01?j:7;642>;5l<<18:84=3g33?20>279i=85464897c7=3><:63=e169020<5;o;?7:86:?1a54=<><01?k?1;642>;5m9:18:84=3g3b?20>279i=k5464897c7l3><:63=e1a9020<5;o;n7:86:?1a5g=<><01?k?9;642>;5m9218:84=3feb?20>2795l>5464897?f<3><:63=98`9020<5;3mi7:86:?1=cb=<><01?7ic;642>;51oh18:84=3c32?20>279m=;5464897g7<3><:63=a119020<5;k;>7:86:?1e57=<><01?o?0;642>;51ol18:84=3;ee?20>2795k75464897?em3><:63=9cf9020<5;3io7:86:?1=gd=<><01?7ma;642>;51j<18:84=3;`1?20>2795n:5464897?d;3><:63=9b09020<5;3h=7:86:?1=f6=<><01?7mf;642>;51k318:84=3;a279m;75464897g103><:63=a759020<5;k=:7:86:?1e33=<><01?o94;642>;5i?918:84=3c56?20>279m:?5464897g083><:63=a7d9020<5;k=i7:86:?1e3b=<><01?o9c;642>;5i?h18:84=3c5e?20>279m;?5464894c5:3><:63>dd19a6?<5=hji7670:?14g4=0>l01;6n>>145:4=32f7?>?<27?=;8586d8916bm323863>e`:9<=2<58>j;768f:?1b`?=01:01?hjc;:;4>;5nlk145>4=3dff?>?8278<<=586d89667i32l01>>?f;:4b>;488:14:h4=223a?>0n278<=6586d89667l32>>2;:4b>;489>14:h4=2233?>0n278<=;586d897`1>323=63=f7c9<=7<5;l=87671:?1b36=01;01?h92;:;5>;5n?2145?4=3d5g?>?9279j;k5893897`2:323=63=f469<=7<5;l>:7671:?1g15=01:01?m;6;:;4>;5k=>145>4=3a71?>?8279o;j586d897e1<32l01?m99;:4b>;5k?k14:h4=3a50n279o;<586d897e1?32;5k0n279o8h586d897dd0323=63=bba9<=7<5;hh:7671:?1ff4=01;01?ll4;:;5>;5jjk145?4=3``a?>?9279ni>5893897de<323=63=bc49<=7<5;hi47671:?1;50h<145>4=3:b3?>?82794nh586d897>d>32768f:?1l01?6lb;:4b>;50ji14:h4=3:`e?>0n2794n:586d897>d132;50j:14:h4=3:`7?>0n2794n?586d897>5i323=63=83g9<=7<5;2947671:?1<72=01;01?6=6;:;5>;50;i145?4=3:04?>?92794><5893897>6>323=63=80:9<=7<5;2:m7671:?0g`0=01>019l;b;:;0>;6k8=18:84=41;4?20>27>?885110b?87d9;0?;;521gga>465i279=8o5110b?822>80?;;52447e>465i27?84h546489120i3><:63<5129020<5:>m87:86:?17fg=<><01?=l8;336d=::::36999;<01a6<3??16?<:j:95e?84ci?03;k522`2;>=1a34>9h;47859>0g2c212?70:=eb8;3c=::o?3656>;<0afd5?l:9:2?824k10:27??nk54648915c83><:63;3e09020<5=9o87:86:?77a0=<><019=k8;642>;3;mk18:84=51gg?20>27??no54648916a93;;>l5241d`>11134>:<:4;779>046f2===70:>0c8733=:<8:h6999;<624a<3??168<>j:555?8268o0?;;524033>11134>;j?4;779>05`42===70:?f58733=:<9l>6999;<63b3<3??168=h8:555?827n10?;;5241d:>11134>;jl4;779>05`e2===70:?fe8733=:<9ln6999;<63bc<3??168<>?:555?826880?;;524021>11134>:<>4;779>04632===70:>048733=:<8:=6999;<624=<3??168<>6:555?85cm80?;;524d65>11134>n?44;779>0`372===70:j338733=:<659i;<1644<3??16?8>=:555?8528:0?;;523427>111349><84;779>70612===70=;f48733=:;=l=6999;<17b2<3??16?9h7:555?853n00?;;5235db>11134>n?l4;779>0`542===70?l128733=:;=li6999;<17bf<3??16?9hk:555?85ci10?;;523ec5>111349on44;779>7ad02===70=kb48733=:;mh86999;<1gf4<3??16?ioi:555?85cim0?;;523eca>111349om44;779>7ag42===70=kbg8733=:;mho6999;<1e0<<3??16?k=m:555?85a=?0?;;5rs2f0g?6=;r78hl95495896efl3o8563:m63a:?0`g0=<8k01>jm4;62e>;4lk818:m63a:?0`d4=<8k01>mif;g0=>;4lh218:m63a:?0`g7=<8k01>jnf;62e>;4lhn18:m6s|3e;;>5<5s49om:4>0218926121=n7p}=m:301>jn6;6;3>{t;m3<6=4={<1ge0<68:901:>::95f?xu4l=?1<7=t=2fa5e2;896be13>3;6s|3ec2>5<5s49on54>0218927721=n7p}jm7;6;3>{t;mk;6=4={<1gf3<68:901:>i:95f?xu4l=91<7=t=2fa0?2??278o4k5e2;896be=3>3;6s|3e;e>5<5s49on94>0218926b21=n7p}7:77:?0gjm3;6;3>{t;m3n6=4={<1gf7<68:901:>k:95f?xu4l=;1<7=t=2fa4?2??278o475e2;896be93>3;6s|3e;g>5<5s49on=4>0218926d21=n7p}jnf;6;3>{t;m3h6=4={<1ge`<68:901:>m:95f?xu4l:l1<7=t=2fbg?2??278o4>5e2;896bfl3>3;6s|3e;a>5<5s49omn4>0218926f21=n7p}jnb;6;3>{t;m3j6=4={<1ged<68:901:>6:95f?xu4l:n1<7=t=2fb0?2??278olk5e2;896bf13>3;6s|3e;:>5<5s49om94>0218926?21=n7p}7:77:?0gjn3;6;3>{t;m3=6=4={<1ge7<68:901:>;:95f?xu4kmi1<7?>{<1g`2<39h16?ij9:53b?85cl<0?=l523ef7>17f349oh>4;1`9>7ab52=;j70=kdg875d=:;mnn69?n;<1g`a<39h16?ijl:53b?85clk0?=l523efb>17f349oh44;1`9>7ab?2=;j70=kd0875d=:;mn;69?n;<1``a52z?0`7b=<1=01::;:c4:?xuf>?0;6?u23e0`>1>034=?=7l99:pe31=838p1>j=b;6;3>;0;l0i:45rs`4;>5<5s49o>l4;869>36d=j?30q~o99;296~;4l;318594=61;>g0>3tyj:l4?:3y>7a512=2<70992;`5=>{ti?h1<7=54958923>2k<27p}n6d83>7}:;m996968;<562?d112wxm;h50;0x96b493>3;638528a2<=z{h=;6=4={<1g75<30>16;8>5b7;8yvg093:1>v3>o6o86;|qb36<72;q6?i<7:5:4?813i3h=56s|a6694?4|5:n9;7:77:?47001v>mjf;296~;4moh18594=2a;e?c412wx?nh6:1825~;4moh18:m63a:?0b55=<8k01>h?2;62e>;4n9;18:m63a:?0ac3=<8k01>ki4;62e>;4kok1i>74}r1fad<72;q6?hhm:0207>;0<103;h5rs2afa?6=:r78iko5495896e?13o856s|3dg:>5<5s49njl4>0218922221=n7p}=m:30q~=je983>7}:;ll26<><3:?407mjc;296~;4mo218594=2a;3?c412wx?hk8:18185bn10:<>=4=61e>=1b3ty8ohl50;0x96ca?3>3;637``028:8?6383b8;3`=z{:inm7>52z?0ac0=<1=01>m75;g0=>{t;lo>6=4={<1fb3<68:901:=6:95f?xu4ko21<7464;27<:>477d9~w6ea?3:1>v37k<9:p7``52909w0=i0382465<5><;659j;|q0gc0=838p1>h?1;6;3>;4k1;1i>74}r1fb4<72;q6?k>>:0207>;0=m03;h5rs2ae1?6=:r78j=>5495896e?83o856s|3dd3>5<5s49m<=4>0218923f21=n7p}7}:;llm6<><3:?412mi3;296~;4moo18594=2a4a?c412wx?hkj:18185bnl0:<>=4=677>=1b3ty8ok<50;0x96cal3>3;637``c28:8?638508;3`=z{:im=7>52z?0ace=<1=01>m7c;g0=>{t;loh6=4={<1fbf<68:901::j:95f?xu4ko:1<7464;27<8o477d9~w6eb13:1>v39=659j;|q0`3c=838p1>kj0;6;3>;4n<21i>74}r1fa5<728;p1>kj0;3376=:?=21;:<4=666>21534=?>7982:?47c<0?;16;>m57608925>2>=970993;546>;0>90<;?5274f9324<5>?j6:9=;<563?10:27<9948739>307=?>801::j:651?813j3=<>638378437=z{:n?n7>52z?0``g=<1=01>mm2;g0=>{t;mo36=4={<1gad<68:901:=<:95f?xu4l=k1<70;6?u23eg:>464;27v3768f:?0g`>=01:01>mj6;:;4>;4n8l145>4=2d3f?>?;278j<75890896`70323>637671:?7fg6=0>l01>mid;:;4>;4n:3145>4=2aa5?>?8278ih=586d896ef?323>6s|3e6;>5<4s49onh4;869>7a2>2l9270=kbg87<2=z{:n;<7>55z?0`gc=<8k01>jmc;62e>;4l9;1i>74=2fab?26i278hoj540c8yv5cjk0;6?u23e`f>464;27<=8477d9~w6b3>3:1?v37}:;mhh6<><3:?451j;c;296~;4m9318594=2aa=?c412wx?h>6:18e85b800:<>=4=2d22?>?;278h:<5892896eb>32=01;01>mj2;:;4>;4m9h145>4=5`a4?>?9278okj5893896`41323=637}:;ln=6968;<1`gdj?3;2964}:;ln=69?n;<1f`1<39h16?hj<:53b?85bl;0?=l523df2>17f349nh=4;1`9>7`ea2=;j70=jcd875d=:;lio69?n;<1fgf<39h16?hmm:53b?85bk00?=l523da;>17f349no:4;1`9>7`e12=;j70=jc4875d=:;li?69?n;<1fg6<39h16?hm=:53b?85bk80?=l523da3>17f349nhh4;1`9>7`bc2=;j70=jdb875d=:;lni69?n;<1f`d<39h16?hj6:53b?85bl<0?=l523dab>17f349nnk4;1`9>7`db2=;j70=jd98;<6=:;m:36o86;|q0`34=838p1>kk4;6;3>;4kj21i>74}r1g24<72;q6?hj<:5:4?85dk>0n?45rs2f54?6=:r78ii<5495896ed=3o856s|3e7e>5<5s49nh<4;869>7fe32l927p}7}:;lim6968;<1`g7j:c;296~;4mjo18594=2a`5?c412wx?i;m:18185bkm0?4:523ba3>`5>3ty8h8o50;0x96cdk3>3;637`ee2=2<70=lbd8f7<=z{:n>;7>52z?0af?=<1=01>mmc;g0=>{t;m?=6=4={<1fg=<30>16?nln:d1:?xu4l1>0349hh54j389~w6b2;3:1>v3kl3;6;3>;4km?1i>74}r1g15<72;q6?hm=:5:4?85dl=0n?45rs2f7b?6=:r78in?5495896ec93o856s|3e6f>5<5s49no=4;869>7fe12l927p}7}:;lno6968;<1``7j9a;296~;4mmi18594=2ag4?c412wx?i86:18185blk0?4:523bae>`5>3ty8h;650;0x96cci3>3;637`b>2=2<70=lce8f7<=z{:n=:7>52z?0aa3=<1=01>mlc;g0=>{t;m<86=4={<1fgd<30>16?nm6:d1:?xu4l<21<71>0349hno4j389~w6c0m3:1?v37f4721=m7p}6}:;m==69?n;<1g30<39h16?njj:d1:?xu4l>>1<7:t=2f42?77;:16?k?9:9:3?85dj?03;k523g1e>=1a3ty8h>650;0x96b0=3>3;634?:5y>7a1228:8?631}:;m2o6968;<1f6f<68:901>j78;:;4>;4khn14:h4}r1g4c<72mq6?i6k:53b?85c0j0?=l523e;6>17f349o594;1`9>7a?42=;j70=k93875d=:;m3:69?n;<1g=5<39h16?i6i:53b?85c0l0?=l523e:a>17f349o4l4;1`9>7a772l927p}7a>?21=m7p};|q0`2>=838p1>j7c;3376=:;m2=656>;|q0a42=83>p1>j65;6;3>;4m;31===<;<1g<20349523b;e>=1a3ty8h5?50;0x96b><3;;?>523e:4>=>43ty8i<<50;6x96b>;3>3;637a>?212?7p}7a>?21287p}7:77:?0a70=999870=l9e8;3c=:;m2>656;;|q0`2`=838p1>j62;3376=:;m2>656>;|q0a46=83>p1>j61;6;3>;4m;?1===<;<1g<0o1<7=>63ty8h:j50;0x96b>83;;?>523e:6>=1a3ty8i=k50;6x96b?n3>3;637a>0212;7p}7a>021=m7p}j7e;3376=:;m23656>;|q0a5e=83>p1>j7b;6;3>;4m;;1===<;<1`e`k1<7;51110?85c0?034?523b;`>=>63ty8h:950;0x96b?i3;;?>523e:5>=1a3ty8h;j50;0x96ccn3>3;637`ba2=;j70=k0b8f7<=z{:ooj7>514y>7`ba28:8?63h>4;:;5>;4k0h145>4=2d10?>?8278jl01>mj2;:;6>;4kk2145=4=2aee?>?<278h=k5893896`4132m63;:4b>{t;jo<6=4=5z?0a3`=<8k01>k9e;62e>;4m?i18:m63a:?0a30=<8k01>k95;62e>;4m?>18:m63i7:>a:?0a0b=<8k01>k:c;62e>;4m:m63a:?0a25=<8k01>k82;62e>;4m>;18:m63:7:>a:?0a2b=<8k01>k8c;62e>;4m>h18464;278o5o58928yv5b464;278o5758928yv5b464;278o5658928yv5b464;278o5958928yv5b<00;6?u23d4b>464;278o5858928yv5b<10;6?u23d4:>464;278o5;58928yv5b<>0;6?u23d4;>464;278o5=58928yv5b464;278o5<58928yv5b<<0;6?u23d45>464;278o5?58928yv5b<=0;6?u23d46>464;278o5>58928yv5b<:0;6?u23d47>464;278o:h58928yv5b<;0;6?u23d40>464;278o:k58928yv5b<90;6?u23d42>464;278o:j58928yv5b;o0;6?u23d43>464;278o5m58928yv5b;l0;6?u23d7e>464;278o5l58928yv5b;m0;6?u23d7f>464;278o5:58928yv5b;j0;6?u23d7g>464;278o5o58938yv5b;k0;6?u23d7`>464;278o5758938yv5b;h0;6?u23d7a>464;278o5658938yv5b;00;6?u23d7b>464;278o5958938yv5b;10;6?u23d7:>464;278o5858938yv5b;>0;6?u23d7;>464;278o5;58938yv5b=<0;6?u23d56>464;278o5=58938yv5b==0;6?u23d57>464;278o5<58938yv5b=:0;6?u23d50>464;278o5?58938yv5b=;0;6?u23d51>464;278o5>58938yv5b=80;6?u23d52>464;278o:h58938yv5b=90;6?u23d53>464;278o:k58938yv5b464;278o:j58938yv5b464;278o5m58938yv5b<80;6?u23d74>464;278o5l58938yv5b;?0;6?u23d75>464;278o5:58938yv5ai?0;6?u23d0f>1>034;ijl4j389~w6eb=3:1=;u23d0f>17f349n>i4;1`9>7`4d2=;j70=j2`875d=:;l8269?n;<1f6=<39h16?h<8:53b?85b:?0?=l523d06>17f349n>94;1`9>7`442=;j70=j23875d=:;l8:69?n;<1f70<39h16?h=;:53b?85b;:0?=l523d11>17f349n?<4;1`9>7`572=;j70=j2g875d=:;l8i69?n;<1`a3k>8;293~;4m;o1===<;<1`<`=>5349o84477g9>7c5a212;7p}2}:;l8o6<><3:?0g=b=0>l01>h>6;:;5>;4kk<145<4=2f22?>?9278h99586d896`4n323=6s|3gc7>5<5s49n>n4;869>5g`?2l927p}7}:;l826968;<3`4dhn1;296~;4m;218594=0a3=?c412wx?ko?:18185b:>0?4:521b2;>`5>3ty8j4h50;0x96c5>3>3;63>c159a6?7`422=2<70?l078f7<=z{:l2h7>52z?0a72=<1=01{t;o3h6=4={<1f66<30>16=n>;:d1:?xu4n0h1<71>034;ij:4j389~w6`fm3:1>v37k<9:p7cgc2909w0=j3587<2=:9j::6h=6;|q0a4`=838p1>k<4;3376=:;j3n656;;|q0bde=838p1>k<3;6;3>;6k9:1i>74}r1f5`<72;q6?h=<:0207>;4k0n145:4}r1eeg<72;q6?h==:5:4?87eno0n?45rs2g2`?6=:r78i><51110?85d1h034>5rs2dbe?6=:r78i>?5495894dam3o856s|3d3`>5<5s49n?<4>021896e>?325<5s49n?=4;869>5g`c2l927p}7f?7212?7p}7}:;l8m6<><3:?0g=`=01>0q~=ia683>7}:;l8i6968;<3abgk>9;296~;4m;h1===<;<1`e`hm3;296~;4m>n18594=0a3b?c412wx?h96:18185b?m0:<>=4=2aa6?>?;2wx?kl=:18185b?j0?4:521b2f>`5>3ty8i:650;0x96c0k3;;?>523bce>=>43ty8jo?50;0x96c0j3>3;63>c1f9a6?7`1e28:8?637`1f2=2<70?l0b8f7<=z{:o<:7>52z?0a2g=999870=lag8;<1=z{8>>i7>52z?200g=0>o0151gdx9422i3h=563=fec907><5;lon7:=8:?1bae=<;201?hkd;61<>;5nmo18?64=3dgb?250279ji6543:897`c13>9463<19c907><5:;357:=8:?05=>=<;201>?77;61<>;491<18?64=23;1?250278=5:543:8967?;3>9463<180907><5:;2=7:=8:?05<6=<;201>?7f;61<>;491o18?64=23;`?250278=5m543:8967?j3>9463<190907><5:;3=7:=8:?04a1=<;201>>k6;61<>;48m?18?64=22g0?2502789463<0e2907><5::hj7:=8:?04fc=<;201>>ld;61<>;48ji18?64=22`f?2502789463<0eg907><5::oh7:=8:?04ae=<;201>>kb;61<>;48mk18?64=22g=?2502789463<0b:907><5::h;7:=8:?057>=<;201>?=7;61<>;49;<18?64=2311?250278=?:543:89675;3>9463<130907><5:;9=7:=8:?0566=<;201>?=f;61<>;49;o18?64=231`?250278=?m543:89675j3>9463<13c907><5:;957:=8:?0576=<;201>?>f;61<>;48l?18?64=22fe?2502789463<201907><5:8:>7:=8:?064d=<;201><>a;61<>;4:8318?64=202<9543:89646>3>9463<207907><5:8:87:=8:?0647=<;201><>0;61<>;5mmh18?64=3gge?250279ii7543:897cc?3>9463=ee4907><5;oo97:=8:?1aa2=<;201?kk3;61<>;5mm818?64=3gg5?250279ii>543:897cdn3>9463=ebg907><5;on>7:=8:?1a`7=<;201?kj0;61<>;5mml18?64=3gga?250279iij543:897cck3>9463=ee:907><5;ohh7:=8:?1afe=<;201>?;4;61<>;49=918?64=2376?250278=9?543:89673k3>9463<15`907><5:;?m7:=8:?051?=<;201>?;8;61<>;49==18?64=2372?250278=9;543:8967383>9463<12d907><5:;h<7:=8:?0552=<;201>??3;61<>;499818?64=2335?250278==>543:89677k3>9463<11`907><5:;;m7:=8:?055?=<;201>??8;61<>;499=18?64=2332?250278==;543:8966an3>9463<0gg907><5:;o;7:=8:?05a0=<;201>?kf;61<>;49mo18?64=23g`?250278=im543:8967cj3>9463<1ec907><5:;o57:=8:?05a>=<;201>?k5;61<>;49m>18?64=23f1?250278=h:543:8967bj3>9463<1dc907><5:;n57:=8:?05`>=<;201>?j7;61<>;49l<18?64=23bb?250278=lk543:8967fl3>9463<1`a907><5:;jn7:=8:?05dg=<;201>?n9;61<>;49h218?64=23a3?250278=o8543:8967e=3>9463<1c6907><5:;i?7:=8:?05g4=<;201>?m1;61<>;49k:18?64=23b3?250278<;;543:897bb:3>9463=dd3907><5;nn<7:=8:?1`a`=<;201?jke;61<>;5lmn18?64=3fgg?250279hil543:897bbi3>9463=dd;907><5;nn47:=8:?1``1=<;201?jj6;61<>;5ll?18?64=3ff0?250279hh=543:897bci3>9463=de;907><5;n8=7:=8:?1`66=<;201?j=f;61<>;5l;o18?64=3f1g?250279h?l543:897b5i3>9463=d3;907><5;n947:=8:?1`71=<;201?j=6;61<>;5l;?18?64=3f10?250279h?=543:897b403>9463=d25907><5;n8:7:=8:?1`63=<;201?j<4;61<>;5l:918?64=3f06?250279h?j543:897b5:3>9463=d33907><5;n3<7:=8:?1`2`=<;201?j8e;61<>;5l>n18?64=3f4g?250279h:l543:897b0i3>9463=d6;907><5;n347:=8:?1`=1=<;201?j76;61<>;5l1?18?64=3f;0?250279h5=543:897b?:3>9463=d93907><5;n<47:=8:?1`21=<;201?j;5l=818?64=3f0=?250279o>o543:897c2n3>9463=e4g907><5;o=;7:=8:?1a30=<;201?k95;61<>;5m?>18?64=3g57?250279i;<543:897c193>9463=e72907><5;o>h7:=8:?1a0e=<;201?l;5j:i18?64=3`0f?250279n>7543:897d403>9463=b25907><5;h8:7:=8:?1f63=<;201?l<4;61<>;5j:918?64=3`06?250279n>?543:897d483>9463=b56907><5;h??7:=8:?1f14=<;201?l;1;61<>;5j=:18?64=3`0b?250279n>k543:897d4i3>9463=b3d907><5;h9i7:=8:?1`;5l0k18?64=3f:=?250279hl:543:897bf;3>9463=d`0907><5;nj=7:=8:?1`d6=<;201?j6f;61<>;5l0o18?64=3f:`?250279h46543:897b>?3>9463=e0:907><5;n>o7:=8:?1`0d=<;201?j:a;61<>;5l<318?64=3f69463=d70907><5;n==7:=8:?1`36=<;201?j:f;61<>;5l3>9463=e3d907><5;o9i7:=8:?1a61=<;201?k<6;61<>;5m:?18?64=3g00?250279i>=543:897c4:3>9463=e23907><5;o8<7:=8:?1a7b=<;201?k=c;61<>;5m9=18?64=3g32?250279i=;543:897c7<3>9463=e11907><5;o;>7:=8:?1a57=<;201?k?0;61<>;5m9l18?64=3g3a?250279i=j543:897c7k3>9463=e1`907><5;o;m7:=8:?1a5?=<;201?k?8;61<>;5lol18?64=3aab?250279m9:543:897g3;3>9463=a50907><5;k?=7:=8:?1e16=<;201?o;5i:o18?64=3c0`?250279m9m543:897g3j3>9463=a5c907><5;k?57:=8:?1e1>=<;201?o;7;61<>;5i=<18?64=3c71?250279m>m543:897g4j3>9463=981907><5;32>7:=8:?1=<7=<;201?760;61<>;511o18?64=3;;`?25027955m543:897??j3>9463=99c907><5;3357:=8:?1==>=<;201?777;61<>;511<18?64=3;;1?25027954o543:897?>13>9463=98:907><5;32;7:=8:?1=<0=<;201?765;61<>;510>18?64=3;;b?25027955:543:897??;3>9463=9d0907><5;3n=7:=8:?1=`6=<;201?7kf;61<>;51mo18?64=3;g`?2502795im543:897?cj3>9463=9dc907><5;3n57:=8:?1=`>=<;201?7j7;61<>;51l<18?64=3;f1?2502795h:543:897?b;3>9463=9ec907><5;3o57:=8:?1=d6=<;201?7n4;61<>;510h18?64=3::g?250279mn?543:897gd83>9463=ab;907><5;kh47:=8:?1ef1=<;201?ol6;61<>;5ij?18?64=3c`0?250279mn=543:897gd:3>9463=acd907><5;kii7:=8:?13<`=<;201?96e;61<>;5?0n18?64=35:f?250279;4o543:8971>13>9463=78:907><5;=2;7:=8:?13<0=<;201?965;61<>;5?0>18?64=35:7?250279;4<543:8971f>3>9463=7`7907><5;=j87:=8:?13d5=<;201?9n2;61<>;5?h;18?64=35b4?250279;4m543:8971>93>9463=782907><5;3mi7:=8:?1=cb=<;201?7ic;61<>;51oh18?64=3c32?250279m=;543:897g7<3>9463=a11907><5;k;>7:=8:?1e57=<;201?o?0;61<>;51ol18?64=3;ee?2502795k7543:897g0i3>9463=9cg907><5;3ih7:=8:?1=ge=<;201?7mb;61<>;51kk18?64=3;`2?2502795n;543:897?d<3>9463=9b1907><5;3h>7:=8:?1=f7=<;201?7l0;61<>;51kl18?64=3;a=?2502795o6543:897g>93>9463=a82907><5;k257:=8:?1e<>=<;201?o67;61<>;5i0<18?64=3c:1?250279m4:543:897g>;3>9463=a80907><5;k3j7:=8:?1e=c=<;201?o99;61<>;5i?218?64=3c53?250279m;8543:897g1=3>9463=a76907><5;k=?7:=8:?1e34=<;201?o81;61<>;5i>:18?64=3c5b?250279m;k543:897g1l3>9463=a7a907><5;k=n7:=8:?1e3g=<;201?o91;61<>;51;;18?64=2354?250279ho6543:897g6i3>9463<0`f907><5:;j97:=8:?1`51=<;201?jid;61<>;51?318?64=3c6b?250279;?:543:89715=3>9463=734907><5;=9;7:=8:?137>=<;201?9=9;61<>;5?;k18?64=351f?2502wx=9;::181873=?03;h521b0;>422=2wx?kln:181873=?0i:4521b05>6`ei2wx=9;;:181873=003;h521b0;>422<2wx8?650;1x9422k3244`94?5|58>>o7l99:?2g7?=9=?i70?l298200d51012=2<70?;6682465510128:9m63>4759554f34;?:?4j389~w050n3:1>v3:35590=1<5<98<7k<9:p501129098v3:355904g<5<9?:7:>a:?671d=<8k018=;a;62e>;3jmk18>8l540c89042k3>:m63:24f904g<58>in7:>a:?20gg=<8k01<:m9;62e>;4==>18:m63<552904g<5:?8j7:>a:?016c=<8k01>;;4=:i18:m63<444904g<5:?9<7:>a:?014`=<8k01?9=3;62e>;5?;818540c89716n3>:m63=70g904g<5;=:h7:>a:?134e=<8k018=72;g0=>{t=:>>6=4;{<7002<68:9018=<0;:4b>;2;;o14:h4=411e?>?92wx9>9j:181834`5>3ty>?9:50;7x9053>3;;?>52520e>=1a34?8;n477g9>164b212:70;<2`8;<6=z{<9>=7>52z?6703=<1=018==e;g0=>{t=:986=4<{<7010<39h169>;;:53b?834;=0n?45rs4167?6=;r7>?8;51110?834;9034<5253ff>=>33ty>?8>50;0x9052<3>3;63:36a9a6?163328:8?63:33d9<=7<5<8om7672:p16262909w0;<4187<2=:=:8o6h=6;|q676?=838p18=;0;62e>;2;:k1i>74}r7005<72:?:0207>;2;:8145<4=411e?>0n27>?9=586d8904e?323>6s|520:>5<5s4?8?k4;869>164e2l927p}:32d94?0|5<98j7??329>0f2121=m70:ma68;<1=:?>k5e2;8yv34?m0;6?9t=414`?77;:16=9=1a34;?8;477g9>512221=m70?;458;3c=:9=>8659i;<3707:95e?873<903;k52151e>=1a34;??h477g9>515c21=m70?;3c8;3c=:9=9j659i;<377<03;k521515>=1a34;??8477g9>515321=m70?;328;3c=:9=99659i;<3775=1a34;?8n477g9>512e21=m70?;4`8;3c=:9=><659i;<377f:95e?87dk:034?524c`3>=>534;h5f73212:70?lc08;<4=z{<9897>512y>16142=;j70;<73875d=:=:=:69?n;<7035<39h169>8i:53b?834>l0?=l52524g>17f34?8:n4;1`9>161e2=;j70;<7`875d=:=:=269?n;<703=<39h169>98:53b?834??0?=l525256>17f34?8;94;1`9>160e2=;j70;<6`875d=:=:9=6h=6;|q6736=838p18=83;3376=:=;ln656=;|q670`=838p18=82;3376=:=;li656=;|q670c=838p18=81;3376=:=;l<656>;|q670b=838p18=80;3376=:=;l>656>;|q670e=838p18=9f;3376=:=;l8656>;|q670d=838p18=9e;3376=:=;l:656>;|q670g=838p18=9d;3376=:=;om656>;|q670?=838p18=9c;3376=:=;o<656>;|q673?=838p18=8b;3376=:=;on656>;|q673>=838p18=8a;3376=:=;oh656>;|q6731=838p18=89;3376=:=;oj656>;|q6730=838p18=88;3376=:=;o?656>;|q6733=838p18=87;3376=:=;o9656>;|q6732=83>p18=86;3376=:=:8n656?;<706d9l:9:3?835lh034<52520f>=>534?8>l47859~w051:3:1>v3:3669555434?9h>47809~w05193:1>v3:37`9555434?9nn47809~w05203:1>v3:37c9555434?9n547839~w054j3:1?v3:35d904g<5<9?i7:>a:?676e=m:30q~;<4e83>7}:=:>m6<><3:?66cc=01;0q~;<4b83>7}:=:>n6<><3:?66cd=01;0q~:<1683>1}:=:>i6968;<6052<68:9018=<0;:;6>;4nln145<4}r700<<72;q69>:m:0207>;2;::145=4}r614=<72=q69>:n:5:4?825810:<>=4=411b?>?:278jhm58968yv34<10;6?u2526b>464;27>??h58918yv20i00;6?u246:7>1b434>v3;7969072<5==3?7l99:p02?>2909w0:88582432<5==257k<9:p02>32909w0:8858a2<=:<>2<69<;;|q6454=832p19969;:4b>;3?h3145?4=55`3?>?927?;;8586d89110>323=63;e3690=1<5=2=97k<9:?2g7d==9:97p};e7594?74s4><5447819>02g>212;70:8c68;<7=:<><=656?;<64335247;6>=1a34>ni>4>021891`5<3>3;63;a1`9<=6<5=33m7672:?7f50=018019l?9;:4b>;3j8>145<4=5402?>?927?n=:5893891>ak324?:9y>02?>212:70:8a88;<7=:<>i<656<;<6423`5>34;h>o4:0118yv2b>10;6<=t=55:=?>?:27?;l7586d8911d?323863;7749<=4<5==<:768f:?724>=;3>0<14:h4=5gf0?77;:168k<::5:4?82><0034?524c31>=>534>2::47839>0g7221=m70:9368;<4=:;<6;ba5<5s4><4>4;259>02>52k<27p};78:94?4|5==3?7??659>02??2l927p}:01294?>|5==24768f:?73d>=01;0199l6;:;7>;3???14:h4=5541?>?927?i?<5495891>1;3o8563>c3`915673ty?i;;50;3782011034=5246c;>=>734>0202212;70:8748;<5=:69j<;<6531<3l:168;7<:95e?82bm80:<>=4=5d16?2??27?j:>5495891?2j323<63;9``9<=4<5=kni7672:?7ec7=0>l019oic;:;6>;3>:>145?4=5cfg?>?927?58=5891891>a1325<5547809>02g?212970:8c68;3c=:<><>656>;<6430=0n?4521b0a>06792wx8h89:1822~;3?02145<4=55b0n27?;n9589289111=323>63;7679<2`<5=<::7:k3:?7223=;3ml81===<;<6e66<30>168k9>:5:4?82>nl034=5248;:>=>534>jjl47839>0d`c21=m70:m098;<7=:656>;<6e04=>534>m;?4;869~w11f?3:1>v3;79090a5<5==j;7k<9:p02>62909w0:8838761=:<>2:6o86;|q73<1=838p19972;3321=:<>3<6h=6;|q7bcc=832p19967;:4b>;3?h=145?4=55`2?>0n27?;;:586d89110<323=63;e3290=1<5=2==7k<9:?2g7d=<5:47819>02g0212;70:8c78;<5=:<>5247;2>=1a34>nhk4>021891`583>3;63;f7g90=1<5=3nn7670:?7ea0=018019ok9;:4b>;3il>145<4=5;4e?>?;27?:><5893891gc<323=63;8g59<2`<5=3oj768f:p0c`a2903w0:8968;<4=:<>k<656=;<64g31>034>3:?4j389>5f4e2=lmj6s|4d47>5<6;r7?;4958908911f?32l0198>4;6g7>;3>>918i=4=54:6?>0n27?ih>51110?82a:80?4:524g4e>1>034>2j<47819>0dc5212970:6bc8;<7=:659i;<6bb5=1a3ty?;l850;0x911?93>o?63;7`49a6?<4=4?:3y>02>62=8?70:8818a2<=z{==2:7>52z?73=7=995az?73<0=0>l0199n6;:;5>;3?j?145?4=5557?>0n27?;:=5893891c6m3>3;63;84d9a6?<5=ki47672:?7<62=01>01517y>02?1212;70:8a78;<5=:<>i>656=;<64265244g0>=1a34>=;=4;d29>03>a21=m70:jde82465<5=l:i7:77:?7b3e=<1=019om8;:;5>;3ik314:h4=55e2?>0n27?mnm5890891d6<323863;6229<=7<5=3i:7672:?7l019ol0;:4b>;31o<145<4}r6eba<720q68:79:9:2?820i?034?5246a6>=>434><:>47809>0214212970:j1g87<2=:<1<;6h=6;<6;70{t4z?73<0=0180199n6;:4b>;3?j?145:4=5557?>?:27?;:=586d89106:3>o?63;66390a5<5=<2<768f:?7aac=999870:i1g87<2=:>>0349524`ag>=1a34>jh547839>0356212:70:nc98;<4=:<1l>659i;<6:gf95246;0>g0>3ty?;4;50;0x911?83;;:95246;6>`5>3ty?jko50;cx911>=32l019982;:;5>;3m8i18594=5:6`?c4127?m4j5890891>4:323863>c3`90c`f3ty?i8h50;348201<034=5246c6>=>734>0205212;70:8738;<5=::95e?821>l0?h>5247:g>=1a34>nho4>021891`6k3>3;63;f7c90=1<5=k2h7671:?7e;3ioi145:4=541a?>?927?54:5890891>a:32d}:<>3>656>;<64e0;034<524651>=>534>n=i4;869>0=3b2l9270:nac8;<7=:<198656;;<3`6g<3noh0q~:j6183>41|5==297672:?73d3=0>l0199l5;:;4>;3??8145<4=5546?>0n27?:<>54e18913dk3>o?63;5d09<2`<5=<=j7:k3:?72=c=0>l019kkc;3376=:168lom:9:2?82fij034<5246d7>=1a34>i<547859>034a212:70:6a78;<7=:<1l8659i;<6bf15<5s4><5>4;259>02?52k<27p};7`094?4|5==2?7??659>02g52l927p};fg594?g|5==j>768f:?73g7=01;0199l4;:4b>;3??l14:h4=554a?>?927?i<75495891>213o8563;a729<=4<5=29i7674:?2g7d=02d6212;70:8c58;<5=:<>l:5f0?822k10?h>5244ff>=1a34>=:o4;d29>03>f21=m70:jd982465<5=l:57:77:?7b31=<1=0199jc;:4b>;3i>9145=4=5c54?>?927?m;?5893891gb<323863;63`9<=7<5=3>;7672:?7<`e=0>l019o99;:4b>;3i;;145?4}r6eb=<72kq68:o=:9:2?820j8034?5246a7>=>634><:k47809>021b212970:j1`87<2=:<1?i6h=6;<6b361`a02wx8h;k:1823~;3?h8145<4=55a5?>0n27?;n:589089111n323>63;76g9<2`<5=<;h7:k3:?71f?=;3>?i18i=4=54;f?>0n27?ii751110?82a9h0?4:524g4;>1>034>j;>47839>0d11212:70:n768;3c=:<>on659i;<6bb50<034?5249ge>=1a34>jm;47839>0d7721287p};7c294?4|5==2>7:k3:?73g6=m:30q~:89083>7}:<>3969<;;<64=401v99n1;296~;3?081==8;;<64e4?927?;n=589389111m32;30>=1i>74=5:60?>?<2wx8h;n:182<~;3?h;145>4=55a4?>?827?;n=589089111m323<63;76f9<=6<5=<;m7:k3:?71f0=;3>?318i=4=54;0n27?ii851110?82a9>0?4:524g46>1>034>j4847819>0d2c212:70:n508;<6=:;656>;<6;67=>534>2=<477g9>0d3e212970:n3b8;<4=z{=lm:7>5az?73d7=01;0199m0;:;6>;3?j9145=4=555a?>?927?;:j5890891c603>3;63;86:9a6?<5=k>?7672:?7<03=01>01n7>516y>02g6212970:8b18;3c=:<>i8656;;<642`5244a4>1b434>>hi477g9>030f2=n870:9888;3c=:<3:?7b4>=<1=019h96;6;3>;3?lk14:h4=5c;g?>?827?m8=5893891g2<323=63;ae:9<=2<5=<9m7671:?7=44=0>l019o:c;:4b>;3i?2145<4=5c1a?>?92wx8:oi:181820180?h>5246ce>`5>3ty?;4>50;0x911>93>9863;7829f3?02?628:=863;7`29a6?mj>4?:cy>02g721=m70:8ag8;<4=:<>i9656<;<642a74134?:0=122l9270:n298;<7=:<1?9659i;|q7a0>=83;3w0:8a18;<5=:<>km656?;<64g71b434>>o94;d29>00bf21=m70:96687`6=:5;6;3>;3n?918594=5c1?927?m?75893891>6m32=019019om3;:;0>;3i0n27?m>m5890891g5k323=6s|4gd7>502ga212970:8c28;3c=:<>;<643f:52502`>74034>3;;4j389>0d53212970:7528;3c=z{=o>57>516y>02g7212970:8ag8;3c=:<>i8656?;<642a5244a6>1b434>>ho477g9>030?2=n870:9868;3c=:6<><3:?7b40=<1=019h94;6;3>;31m?145?4=5c00?>?927?m>;5893891>5832l019o;3i:2145?4=5c`6?>?:2wx8:oj:181820190?h>5246cf>`5>3ty?;5h50;0x911>83>9863;79d9f3?<5k4?:3y>02?728:=863;78d9a6?mj<4?:by>02?a21=m70:8ad8;<4=:<>i9659i;<642f74334?:0=142l9270:n108;<7=:<1?;659i;<6b4a3m656?;<64e`j034=52465a>=>734>=<;4;d29>00e52=n870::d98;3c=:69j<;<65<1;3n8918594=5d55?2??27?m=l5893891>b8323>63;a1;9<=6<5=k;i768f:?7e47=0>l019o82;:;7>;308k14:h4=5411?>?927?45<5891891?7l32kn656=;<64g71>034?:9=4=249>146d2;8>70:7758f7<=:3m656=;<64e`j034?52465a>=1a34>=<:4;d29>00e42=n870::d88;3c=:;3n8>18594=5d56?2??27?m<75893891g6i323=63;80a9<2`<5=<9:7671:?7=5c=0>l0197n8;:;7>;3ih<145:4=5c5?927?m?<586d891g5m323>63;a0d9<=702>a2=n870:8ae8f7<=z{==3i7>52z?73=`=<;>01997e;`5=>{t<>3n6=4={<6401996e;g0=>{t=1a34><;l47809>0`762=2<70;>518167=:=8:h6?<=;<6;23=>33ty?i8:50;3b8201l034=5246cg>=>734>020e212;70:87`8;<5=::0?h>5247:1>=1a34>nh=4>021891`693>3;63;f4d90=1<5=3>n7671:?7<2e=01>0196k8;:;6>;314=5;6a?>0n27?5;j586d891>6>32?7672:?7l019om3;:;5>;3i?:2wx8kh?:18`8201l034<5246cg>=>534>020e212:70:87`8;<7=:l:300?82??;0n?4524`27>=>534>38k477g9>0d67212?7p};e4794?7fs4><5h47839>02gc21=m70:8c08;<1=:<>::5f0?822k80?h>5244f4>=1a34>=:94;d29>03>421=m70:jd082465<5=l:>7:77:?7b36=<1=0197ie;:;5>;30mo145<4=5;eg?>?827?m=?586d891g7<32;311=145=4=5cb2?>?927?m;65896891g68323>6s|46c`>5<5s4><4h4;d29>02gd2l927p};79f94?4|5==3i7:=4:?73=b=j?30q~:89e83>7}:<>2n6<>94:?73d}:<>3o659i;<64efh03;k52465:>=>634>n>i4;869>14372;9h70;>0b817f=:<1=;6h=6;<6;0a3o656?;<64efh034=52465:>=>734>=>=4;d29>00b42=n870::e`8;3c=:;3n;n18594=5d4c1323>63;9d49<=7<5=2;i7672:?7=`2=01;019olc;:;7>;31o?145?4=5474?>?927?5hm5890891>>9323>63;91c9<2`<5=k8o7674:p156c290hw0:89e8;<4=:<>kh656=;<64g41>034?:9=4=499>146d2;>370:7708f7<=:<0l<656=;<6;0`81<7?6{<64=a=>534><;4477g9>03462=n870::d587`6=:<=4=5d1a?2??27?j:75495891?a9323=63;8849<=4<5=2on7672:?7=``=01:0197i4;:4b>;31o=14:h4=5c7a?>?827?4<<5890891?1j323=63;6539<=7<5=3;n768f:?7ef4=0190q~:8ac83>7}:<>2o69j<;<64egn:18f8201j03;k5246ca>=>634>020>21=m70:8798;<4=:l:31;?82?>l0n?4524827>=>434>2nn47809>0=35212870:7358;<5=:<1>>656;;|q7a3`=83;3w0:89b8;<5=:<>ki656?;<64g51b434>>h<4;d29>00c?21=m70:97e87`6=:;3n><18594=5;30?>0n27?4i:5890891?ek323<63;8149<=4<5=kn87673:?7=a0=01>0197nf;:;0>;3>:o145?4=5;a2?>?;27?4565896891g5932386s|512a>5<5n47809>02ge212970:8c18;<4=:<><2656>;<643=75e34>3:k4j389>0<62212870:7528;<6=:<19>656?;<6;023h656=;<64eg0034?52465;>=1a34>==k4;d29>00b52=n870::e88;3c=:;3n;i18594=5d43?2??27?5=;586d891>c>323>63;9e69<=4<5=2;m7672:?7=`5=019019ok8;:;7>;31j>145<4=540b?>?927?45o5891891g5m323863;9ba9<=7<5=3n<7671:p02gf2909w0:88b87`6=:<>kj6h=6;|q73=d=838p1997c;610>;3?1h1n;74}r64=g<72;q68:6l:0250>;3?0h1i>74}r734=<72lq68:7m:95e?820ih034<5246`e>=>634><:5477g9>0210212:70:j2887<2=:=8?;6?=:;<724f<5;<16858l:d1:?82>8;034>524973>=>434>3??47819>0=5a212?70:69`82432n:i4?:0;x911>j323<63;7`c9<=6<5==ij7672:?733>=01:019987;:;4>;3>8i18i=4=57`b?2c;27?9h8586d89100j3>o?63;68`9<2`<5=on57??329>0c4>2=2<70:i7587<2=:<0:9659i;<6;`4524`21>=>634>24h47859>035d212:70:7848;<6=:<0kj659i;<6:=11j034>5rs423=?6=mr7?;4l58938911fi323>63;7cd9<=5<5===47671:?7321=018019k=a;6;3>;29<:1>>84=433g?44>27?4;j5e2;891?7;323?63;9`a9<=7<5=2>=7673:?7<65=01:0196;1;:;0>{t8z?73;3?kl145:4=555?:27?;:9586d89106l3>o?63;5e290a5<5=?n;768f:?722e=;3mlk1===<;<6e6d<30>168k9::5:4?82>8:03;k5249f1>=>534>2mn47819>02`a21=m70:nf18;<6=:;<6:=c034>5248`b>=1a34>2m;47829~w11f<3:1>v3;79`90a5<5==j87k<9:p021a2909w0:88c8761=:<>=m6o86;|q733j6h=6;|q6452=83op1996a;:4b>;3?h>145?4=55a`?>?;27?;;9586d891109323=63;e0`90=1<5<;><7<=b:?655e=:;h019698;g0=>;30oo145<4=5;7e?>?927?49<5891891>5m323<63;82`9<=2n:44?:0:x911>i323<63;7`69<=6<5==ih7674:?7331=01:019981;:;4>;3>8318i=4=57``?2c;27?9h:586d8910003>o?63;6859<2`<5=oom7??329>0c7e2=2<70:i6887<2=:<1ln659i;<6;<4=1a34>i=947829>0<2d212?70:9398;<4=:<022659i;<6:12?927?;l:58908911en32;299i1>>:4=5:5f?c4127?5=?5890891??j323=63;85d9<=5<5=28<7670:?7<6b=01>0q~:j6b83>4?|5==2m7672:?73d2=0>l0199mf;:;4>;3??=145<4=5545?>0n27?:o?63;5d79<2`<5=<l019kj5;3376=:1684>>:95e?82?ko03;k5248:a>=>734>0g6?212870:67d8;<1=:;<6;<6>j034>5248;a>=>534>25n47839~w11f;3:1>v3;76d90a5<5==j?7k<9:p02?32909w0:87g82432<5==287k<9:p0ccb290hw0:8958;3c=:<>k8656>;<64fa:95e?820?9034<524d2e>1>034?:9=4=1d9>146d2;;n70:75`8f7<=:<1>o656<;<6;11=>734><;=47819>03642=n870::bg87`6=:1684>8:9:3?82f0<034<524`;a>=>434>j;<47839>0d>72l9270:7ed8;3c=:<1;?659i;<6567=>334>39=47859>0=36212?70:7538;<1=:<1>m656;;<6;16=>334>j5:47809>0=4e212:70:7338;<7=:<198656=;<6;71=>534>39947839>0=4b212970:n8`8;<4=:<0=h656<;<6;6d524966>=>434>38:47829>0=26212870:73e8;<6=:<192656<;<6;7263;7cf9<=7<5====7671:?7326=018019k>0;6;3>;29<:1>??4=433g?45927?48m5e2;891>a9323>63;85g9<=5<5=2>97670:?7<6?=01>0q~:j5d83>6b|5==287672:?73d5=0>l0199md;:;6>;3??;145<4=5544?>0n27?:=k54e18913di3>o?63;5d29<2`<5=<=h7:k3:?72=e=0>l019klf;3376=::95e?82>9:034=52483;>=>734>2=l47819>0<7>212;70:n8b8;<4=:;<6b<`=>434>2::47829>034c212:70:6468;3c=:<18h659i;<6;6a=>534>3>k47839>0=37212:70:7508;<4=:<1?9656>;<6;0c=>634>38?47809>0d?2212970:n978;<4=:<18i659i;<6;77=>634>3?847809>0=32212:70:7558;<4=:<18n656>;<6;6d524967>=>434>38;47829>0=27212870:73b8;<6=:<193656<;<6;73j:181820j:0i:4524`5b>1433ty><=;50;7x911em32512y>02db212;70:91`87`6=:;3n;=18594=5;52?>?:27?5;6586d891?0i323863;62;9<=7<5=k2;7670:?7=32=01;0196if;:4b>;31k2145<4=5c1g?>?:27?;57589689112>323863;79c9<=2<5==>;7670:p1561290=>334;h>o4:0148yv2b>k0;6?:27?:47586d891cb?3;;?>524g0;>1>034>i:<477g9>0=ed21=m70:6d48;<5=:<0=9656?;<6:569h03;k52483:>=1a34>3ol47819>0`2d212?70:j4d8;<5=:<0=2659i;<6b34=1a34>=?l47809>0<11212870:j428;<5=:<0:;659i;<6b7==>534><9;47829>02>f212870:8568;3c=z{==in7>52z?73ge=<;>0199mb;`5=>{t<>i<6=4={<64ff<68?>0199l7;g0=>{t<>hj6=4={<64fg<3:=168:ln:c4:?xu3?j<1<7=168:m9:d1:?xu3?k31<7461<27?;n;5e2;8yv20j10;6?u246`:>14334>v3;7c;9550334>v3;7c:9072<5==i;7l99:p02e42909w0:8b982432<5==h?7k<9:p02d12909w0:8b68761=:<>h=6o86;|q73f4=838p199m7;3321=:<>i96h=6;|q73g3=838p199m6;610>;3?k?1n;74}r64g4<72;q68:l9:0250>;3?j;1i>74}r64f1<72;q68:l::507?820j=0i:45rs55`4?6=:r7?;o;51147?820k90n?45rs55a6?6=:r7?;o:54368911e:3h=56s|46`e>5<5s4>0768911en3o856s|46`g>5<5s4>0768911el3o856s|4655>5<5s4><9<4;d29>02112l927p};74294?4|5==>=7:=4:?7306=j?30q~:86783>7}:<>?:6<>94:?7330=m:30q~:85083>7}:<>?:6o86;<6411<3:=1v9985;296~;3?<:18i=4=5541?c412wx8::i:181820=90?>952466e>g0>3ty?;;;50;0x911283;;:9524646>`5>3ty?;::50;0x9113n3>o?63;7669a6?<8h4?:3y>022a2=8?70:84d8a2<=z{===87>52z?731`=9952z?731c={t<>>o6=4={<640`<3:=168::k:c4:?xu3??91<7=168:8<:d1:?xu3?>81<790;6?u2466g>14334><:=4m689~w111:3:1>v3;75f9550334><:?4j389~w110m3:1>v3;77290a5<5==?m6o86;|q733`=838p19990;3321=:<>;3?>n1i>74}r641`<72;q68:;i:507?820=l0i:45rs555a?6=:r7?;8h51147?820>l0n?45rs554g?6=:r7?;8k54e189110k3o856s|467g>5<5s4><9h4;259>023c2k<27p};77f94?4|5==>i7??659>020c2l927p};76`94?4|5==>h7:k3:?732d=m:30q~:85b83>7}:<>?o69<;;<641f01v999c;296~;3?952467a>g0>3ty?;;l50;0x9112k3;;:952464a>`5>3ty?;:750;0x9112j3>o?63;76;9a6?<9l4?:3y>023e2=8?70:85`8a2<=z{===m7>52z?730d=9952z?730g={t<>?26=4={<641d<3:=168:;6:c4:?xu3??31<7=168:86:d1:?xu3?>=1<714334><954m689~w11103:1>v3;74;9550334><:54j389~w11093:1>v3;74:90a5<5==<=7k<9:p022d2909w0:8598761=:<>>h6o86;|q7331=838p199:8;3321=:<><<6h=6;|q7326=838p199;c;6g7>;3?>:1i>74}r6424<72;q68::l:0250>;3??;1i>74}r657d<72;q68;;n:9ge?821;h0n?45rs542e?6=:r7?:8o543689106i3h=56s|4dc1>5<5s4>=9l4m689>0<1721297p};62;94?5|5=<>576jf:?724g=9953z?720?=<;>0198>a;610>;3>821n;74}r6fe4<72;q68;;6:c4:?82>>h03495rs5403?6=;r7?:8958dd8910603;;:9524714>`5>3ty?:<950;1x9102?3>9863;60:9072<5=<:;7l99:p0`?a2909w0:9568a2<=:;3>8=1==8;;<65736;297~;3><<18?:4=5423?25<27?:<85b7;8yv2b1l0;6?u24775>g0>34>i03522l927p};60794?5|5=<>97:=4:?7240=<;>0198>5;`5=>{t0168lhi:9:7?xu3>:>1<7=t=5460?>bn27?:<;51147?821;=0n?45rs5420?6=;r7?:8:543689106=3>9863;6069f3?n5n4?:3y>03332k<270:nf28;<1=z{=<8?7>53z?7205=0ll0198>4;3321=:;3>8>18?:4=5427?d112wx8h7m:181821=:0i:4524`g4>=>33ty?:><50;1x9102:32nj63;6019550334>=??4j389~w106:3:1?v3;6409072<5=<:?7:=4:?7244=j?30q~:j9`83>7}:<;14hh4=5426?77>=168;=>:d1:?xu3>8;1<7=t=5465?25<27?:<<54368910693h=56s|4d;:>5<5s4>=9<4m689>0dea212?7p};62294?5|5=<><76jf:?7247=9953z?7206=<;>0198>1;610>;3>8:1n;74}r6f==<72;q68;;?:c4:?82fj003495rs541b?6=;r7?:9h58dd8910683;;:952470e>`5>3ty?:=h50;1x9103n3>9863;6029072<5=<;j7l99:p0`?02909w0:94g8a2<=:;3>9l1==8;;<656`=o18?:4=543b?25<27?:=j5b7;8yv2b1?0;6?u2476f>g0>34>j5h47829~w105k3:1?v3;65a9<``<5=<;h7??659>034d2l927p};61a94?5|5=0198?c;`5=>{t0168l98:9:7?xu3>;h1<7=t=547f?>bn27?:=m51147?821:k0n?45rs543f?6=;r7?:9l543689107k3>9863;61`9f3?n5>4?:3y>032e2k<270:n608;<6=z{=<9m7>53z?721g=0ll0198?b;3321=:;3>9h18?:4=543e?d112wx8h7=:181821=>43ty?:?750;1x9103132nj63;61c9550334>=>44j389~w10713:1?v3;65;9072<5=<;m7:=4:?725?=j?30q~:j9083>7}:26o86;<6b05=214hh4=543=?77>=168;<7:d1:?xu3>921<7=t=5475<5s4>=854m689>0d5221287p};63594?5|5==9953z?7211=<;>0198?8;610>;3>9=1n;74}r6f5rs5412?6=;r7?:9858dd89107?3;;:9524705>`5>3ty?:=850;1x9103>3>9863;6159072<5=<;:7l99:p0`>b2909w0:9478a2<=:;3>9<1==8;;<6560=?18?:4=5432?25<27?:=;5b7;8yv2b0m0;6?u24766>g0>34>j03432l927p};61694?5|5=0198?4;`5=>{t0168l>>:9:7?xu3>;91<7=t=5477?>bn27?:=:51147?821::0n?45rs5415?6=;r7?:9=543689107<3>9863;6339f3?n4o4?:3y>03242k<270:65d8;<1=z{=53z?7237=0ll0198=1;3321=::6h=6;|q7276=839p19891;610>;3>;;18?:4=5414?d112wx8ho6:181821>80i:45248d7>=>33ty?:9>50;1x9101832nj63;6329550334>=8=4j389~w106n3:1?v3;6729072<5=<9<7:=4:?724`=j?30q~:ja983>7}:=168;=i:d1:?xu3>8o1<7=t=546b?25<27?:5<5s4>=9k4m689>0i76jf:?724c=9953z?720c=<;>0198>e;610>;3>8n1n;74}r6fe3<72;q68;;j:c4:?82>j;034?5rs540`?6=;r7?:8j58dd89106l3;;:952471g>`5>3ty?:9863;60f9072<5=<:o7l99:p0`g22909w0:95e8a2<=:<0k9656=;|q726e=839p198:c;:fb>;3>8i1==8;;<657fb;297~;3>g0>34>25<47839~w104j3:1?v3;64`9<``<5=<:n7??659>035e2l927p};60;94?5|5=<>n7:=4:?724d=<;>0198>9;`5=>{t016846>:9:1?xu3>:21<7=t=546bn27?:<751147?821;10n?45rs543a?6=;r7?:8654368910613>9863;61g9f3?nm=4?:3y>033?2k<270:64g8;<7=z{=<9h7>53z?721b=0ll0198?e;3321=:;3>9o18?:4=5437?d112wx8h7::181821=>63ty?:?<50;1x9103:32nj63;6119550334>=>?4j389~w1c?i3:1>v3;6509f3?<5=k<<7673:p15eb290=w0:8408;ac=:=9om6<><3:?7=75=01:0196j1;:;4>;3018145<4=42af?>?82wx8:=6:181820<80?>952461:>g0>3ty>022e21om70:83882432<5<:ni7??329>02c621=m70:6228;<7=:<08;656>;<6;3c=>73ty?;>950;1x9113j3>9863;72;9072<5==8;7l99:p15c62909w0:84c8a2<=:<08;656<;|q64fe=83np199;a;:fb>;3?:=1==8;;<73aa<68:90199j1;:;5>;318h145<4=5:4e?>0n27?4ij589289115?32l01968b;:4b>;28k=145>4}r6470<72:q68::n:507?820;>0?>9524616>g0>3ty>50;0x9113i3h=563;90`9<=50218911cn323<63;86c9<=4<5=3:i768f:?7=4b=0180196kc;:;4>;3?;=145<4=5:4a?>?827?5l018>lb;:;4>{t<>986=4<{<640<<3:=168:=::507?820;:0i:45rs42gb?6=:r7?;975b7;891?6l323?6s|51f6>5<6;r7?;9658dd89114;3;;:95251d5>464;27?;ih5893891151323=63;90a9<=6<5=23h7670:?7<=`=0>l019660;:;4>;318o145>4=5:ge?>?827?;?95891891>0m323863;90d9<=5<5=h:n7671:?7<2d=01;019664;:;7>;300?145:4=42`=?>?82wx8:=>:180820<10?>9524610>14334>v3;75:9f3?<5=3:o7674:p15b3290iw0:8468;ac=:<>9:6<>94:?64c3=999870:8288;<1=:<>8i656?;<6;<`:m034=5249f4>=>734>34l47839>15e0212;7p};72294?5|5==?;7:=4:?7367=<;>0199<0;`5=>{t=9no6=4={<640201684bn27?;>>51147?820;o0n?45rs551b?6=;r7?;9854368911483>9863;73d9f3?02212k<270:62c8;<6=z{<:o?7>5dz?7366=;28o>1===<;<646<5249:a>=>634>34i47809>0<4e212;70:62d8;<4=:<1n>656?;<6;<=i1<7=t=550b?>?827?h5;51110?82c0?034=5rs550a?6=;r7?;9;58dd89115n3;;:952461f>`5>3ty?;?k50;1x9113=3>9863;73d9072<5==9i7l99:p15be2909w0:8448a2<=:<082656;;|q64a4=83lp199=f;6g7>;3?:o14:h4=42e7?77;:168:<6:9:3?820:k03;k5249:f>=>534>34o47819>0=>c212970:6288;<5=:<08i656=;<6:6a034?5249:;>=>534?;o>47819~w1b0j3:1?v3;72g9<=6<5=n3?7??329>0a>3212;7p};72f94?5|5==?876jf:?737c=9953z?7312=<;>0199=e;610>;3?;n1n;74}r73`d<72;q68::;:c4:?82>:1034>5rs42g5?6=nr7?;?k54e189114l322>547819>024>212870:82c8;<7=:<12n656>;<6;:j034=52480f>=>734>3h=47819>0=>2212970:8e28;3c=:=9i:656?;|q7`2g=839p199;3l1;1===<;<6g<7=168:=l:d1:?xu3?;i1<7=t=5577?25<27?;?j543689115k3h=56s|51f:>5<5s4><8>4m689>0<4021287p}:0e294?76s4><>i4;d29>025d21=m70;?f082465<5=39;7671:?7=7>=0180199=9;:;6>;3?;h145?4=5:;a?>?827?45j5896891?51323=63;93a9<2`<5=39i768f:?7;301<145>4=55f7?>?827>u2461`>=>734>o;k4>021891b?8323<6s|461a>5<4s4><8=47eg9>024d28:=863;72`9a6?02272k<270:6278;<5=z{<:hj7>519y>024d2=n870:83c8;3c=:=9l;6<><3:?73`3=01:0197=6;:;5>;31;=145>4=5;1?927?45k586d891?6k323>63;8939<=2<5=3:n7670:?7<2g=01;01967f;:;4>;300:145=4=5;2`?>?827?5?o5892891?5k323=63;93g9<=4<5=238768f:?7<`5=01:019676;:;6>;3?;=145?4=55f7?>?:27>u2461a>=>734>o;i4>021891b0m323<6s|51c1>5<2s4><=o47eg9>15df28:8?63;8d39<2`<5=k;j7670:?64gd=0>l0q~:81283>7}:<>;i69<;;<645601v8>nc;296~;3?8h1n;74=5:f4?>?92wx9=o>:185820:<03ik524630>461<27>=>734?;n4477g9~w11693:1?v3;7379072<5==:?7:=4:?7347=j?30q~;?b483>7}:<>8>6o86;<6;``n0;292~;3?;>14hh4=5525?77>=169=l9:0207>;30mn14:h4=5;5f?>?827>u24607>14334><=<4;259>026a2k<27p}:0c694?4|5==987l99:?7=01;0q~;?a`83>3}:<>8865ki;<644c<68?>018>la;3376=:<1nh659i;<6:b05<5s4><>>4m689>0=be212:7p}:0`;94?0|5==9>76jf:?735b=99l9;:4b>{t<>:i6=4<{<6467<3:=168:>k:507?8208k0i:45rs42a6?6=:r7?;?<5b7;891>c1323=6s|51c;>5<1s4><><47eg9>026e28:=863:0b49555434>3h:477g9>053z?7377=<;>0199?b;610>;3?9k1n;74}r73f4<72;q68:<>:c4:?82?l?034<5rs552=?6=;r7?;?>58dd89117i3;;:952463:>`5>3ty?;=750;1x911583>9863;71c9072<5==;57l99:p15d72909w0:8218a2<=:<1n?656>;|q64d1=83;28j>1===<;<6;`0io034>5251a6>=1a3ty?;<650;1x9116n32nj63;71;9550334><=54j389~w11703:1?v3;70d9072<5==;57:=4:?735>=j?30q~;?ag83>7}:<>;m6o86;<6;`7n6;292~;3?9318i=4=5520n27>=>434?;o>477g9~w061>3:18v3;70:9<=6<5<:=h7??329>0<>f212:70:70e8;<4=z{==:;7>53z?734c=0ll0199?8;3321=:<>;<6h=6;|q7351=839p199>e;610>;3?9218?:4=5533?d112wx9=oj:1818209l0i:45249f2>=>73ty>o?63;7059<2`<5<:h<7??329>0=b721=m70:68d8;<6=:=9i:659i;|q6433=83>p199>7;:;4>;28?i1===<;<6:0<6:9:2?xu3?8<1<7=t=552`?>bn27?;=951147?8209?0n?45rs5532?6=;r7?;9863;7149f3?027c2k<270:7cg8;<5=z{<:j87>56z?7351=6;:4b>;28ko1===<;<6;g0524926>=>634>j5;47859~w116=3:1?v3;70c9<``<5==;:7??659>02722l927p}:0``94?4|5==:m7l99:?7<`4=01;0q~;?a283>3}:<>:=69j<;<6450;30l914:h4=5;7g?>?;27>:0;69u24636>=>734?;:l4>021891g09323863;7gd9<=5>i>4?:3y>00`f21om70::e28f7<=z{=?ho7>52z?71cg=<;>019;lc;`5=>{t0168:h9:9:2?xu28>l1<7=t=57f7?>?827><4951110?82fj1034>5rs57f6?6=;r7?9k758dd8913dk3;;:95244g1>`5>3ty?9nl50;1x913a13>9863;5ba9072<5=?hn7l99:p0`>72909w0::f88a2<=:<>l?656>;|q642c=839p19;j2;:;4>;280<1===<;<6beg=1688k>:d1:?xu3=j31<7=t=57e5<5s4>>j54m689>02`5212:7p}:06f94?5|5=?n=7670:?64<3=999870:n9e8;<6=z{=?oj7>53z?71c0=0ll019;l9;3321=:<=839p19;i6;610>;3=j318?:4=57`=>63ty><:l50;1x913cn323<63:0819555434>j;;47829~w13cm3:1?v3;5g79<``<5=?h47??659>00bb2l927p};5b594?5|5=?m97:=4:?71f>=<;>019;l7;`5=>{t0168:kl:9:1?xu28>k1<7=t=57ga?>?827><4<51110?82f>9034>5rs57g`?6=;r7?9k:58dd8913d?3;;:95244fg>`5>3ty?9n850;1x913a<3>9863;5b59072<5=?h:7l99:p0`1e2909w0::f58a2<=:<>oj656=;|q642?=839p19;kd;:;4>;280;1===<;<6b16=1688jl:d1:?xu3=j?1<7=t=57e7?25<27?9n854368913d=3h=56s|4d5b>5<5s4>>j>4m689>0=45212:7p}:06:94?5|5=?oo7670:?64<6=999870:n508;<1=z{=?on7>53z?71c4=0ll019;l5;3321=:<;3=j?18?:4=57`0?d112wx8h96:181822n;0i:4524903>=>63ty><:950;1x913cj323<63:09d9555434>j?947829~w13ci3:1?v3;5g39<``<5=?h87??659>00bf2l927p};5b194?5|5=?m=7:=4:?71f2=<;>019;l3;`5=>{t01685?j:9:2?xu28><1<7=t=57ge?>?827><5k51110?82f:1034>5rs57g=?6=;r7?9k>58dd8913d;3;;:95244f:>`5>3ty?9n<50;1x913a83>9863;5b19072<5=?h>7l99:p0`102909w0::f18a2<=:<1;h656>;|q6423=839p19;k9;:;4>;281n1===<;<6b5<=1688j7:d1:?xu3=j;1<7=t=57fb?25<27?9n<54368913d93h=56s|4d55>5<5s4>>ik4m689>0=7f212:7p}:06694?5|5=?o47670:?64=e=999870:n088;3c=z{=?o;7>53z?71`c=0ll019;l1;3321=:<;3=j;18?:4=57`4?d112wx8h9::181822ml0i:452493;>=>63ty><:=50;1x913c?323<63:09`9555434>2jn477g9~w13c>3:1?v3;5df9<``<5=?h<7??659>00b12l927p};5e694?5|5=?nh7:=4:?71f6=<;>019;k4;`5=>{t01685?9:9:2?xu28>81<7=t=57g2?>?827><5o51110?82>=h03;k5rs57ff?6=;r7?:=<58dd8913c<3;;:95244ga>`5>3ty?9i=50;1x9107:3>9863;5e69072<5=?o?7l99:p0`>>2909w0:9038a2<=:<1;:656<;|q64=1=839p19;jb;:;4>;280o1===<;<6:ac9;14hh4=57g7?77>=1688kn:d1:?xu3=m81<7=t=5435?25<27?9i=54368913c:3h=56s|4d:;>5<5s4>=<<4m689>0=6c21287p}:09494?5|5=?nm7670:?6453z?7256=0ll019;k2;3321=:<;3=m818?:4=57g5?d112wx8h68:181821890i:452492:>=>43ty><5;50;1x913b1323<63:08a9555434>2o947859~w13b03:1?v3;5gd9<``<5=?o=7??659>00c?2l927p};5e294?5|5=?mj7:=4:?71a7=<;>019;k0;`5=>{t01685>::9:0?xu281>1<7=t=57f?827><4l51110?82>j903495rs57f3?6=;r7?9kk58dd8913c83;;:95244g4>`5>3ty?9nh50;1x913am3>9863;5e29072<5=?hj7l99:p0`>22909w0::fd8a2<=:<1::656=;|q64=5=839p19;j7;:;4>;280k1===<;<6:e5=1688k9:d1:?xu3=jo1<7=t=57e`?25<27?9nh54368913dm3h=56s|4d:7>5<5s4>>ji4m689>02`d212:7p}:09094?5|5=?n:7670:?6453z?71ce=0ll019;le;3321=:<6h=6;|q71fb=839p19;ic;610>;3=jo18?:4=57``?d112wx8h6<:181822nj0i:45246db>=>63ty><5?50;1x913b=323<63:08:9555434>2;k47859~w13b<3:1?v3;5g`9<``<5=?hh7??659>00c32l927p};5bc94?5|5=?mn7:=4:?71fb=<;>019;la;`5=>{t0168:h7:9:2?xu281:1<7=t=57f0?>?827><4:51110?82>`5>3ty?9oh50;1x913a?3>9863;5bc9072<5=?ij7l99:p0`1b2909w0::f68a2<=:<>l;656>;|q642e=839p19;j0;:;4>;28131===<;<6b=1688j::d1:?xu3m>91<70;68u247d`>=ca34>hok4>0218911a=32;3?o?145?4}r6`f3<72>q68;hm:9ge?821lh0:<;:4=5a`a?77;:168n:i:9:3?820n:03;k524e45>=>734>h>n47819~w10c03:1?v3;6g`9072<5==j?30q~;?1e83>7}:ok14hh4=54g=168nmk:0207>;3k=l14:h4=55e5?>0n27?h;:5892891e5i323<6s|47f7>5<4s4>=jl4;259>03b?2=8?70:9d58a2<=z{<::o7>52z?72cg=j?30199i1;:;5>{t;3kjh1===<;<6`149034=524b04>=>73ty?:i<50;1x910a03>9863;6e69072<5=7l99:p157f2909w0:9f98a2<=:<>oo656>;|q7gg4=83=p198i7;:fb>;3>m81==8;;<6`gd<68:90199jb;:4b>;3k<;14:h4=5f6a?>?827?o?;58928yv21l90;6>u247d4>14334>=h?4;259>03b72k<27p}:00;94?4|5=2}:019ml9;3376=:<>o<659i;<6`159863;6bg9f3?03`12k<270:8e68;<5=z{=ii<7>57z?72c3=0ll0198le;3321=:<3:?7g06=0>l0196=1;:4b>;3l4=5a15?>?82wx8;ml:180821n<0?>95247af>14334>=on4m689~w066?3:1>v3;6g79f3?<5=29=7671:p0fga29094:?7gf1=999870:l4g8;<4=:<1;m659i;<6g1=jk1<7=t=54e0?25<27?:nm54368910di3h=56s|5135>5<5s4>=j94m689>0=7a212:7p};c`g94?1|5=<7670:?7<4b=0>l019j:6;:;4>;3k8n145>4}r65g=<72:q68;h<:507?821kh0?>95247a;>g0>3ty><<;50;0x910a;3h=563;80f9<=7hmi4?:6y>03`521om70:9c982432<5=ih97??329>0f36212:70:71c8;3c=:o818?:4=54`g0>34>3=o47809~w1efk3:14v3;6g39<``<5=0fe328:8?63;b8d9<2`<5=2:5768f:?7`04=01:019m>9;:4b>;3k?=1>lj4}r65g1<72:q68;h>:507?821k?0?>95247a7>g0>3ty><<=50;0x910a93h=563;80;9<=7hmo4?:9y>03`721om70:9c582432<5=ih?7??329>0g?b21=m70:7168;3c=:j81<7=t=54e4?25<27?:n:54368910d:3h=56s|5131>5<5s4>=j=4m689>0=70212:7p};c`c94?>|5=l019j;e;:;4>;3k8?14:h4=5a53?4e>2wx8;kn:180821mo0?>95247a1>14334>=il4m689~w06693:1>v3;6dd9f3?<5=2:97671:p0fda2903w0:8048;ac=:94:?7ga0=999870:m9b8;3c=:<1;8659i;<6g32>09n85rs54f9863;6d:9f3?;4?:3y>02622k<270:7128;<5=z{=iii7>58z?7352=0ll0198j8;3321=:6<><3:?7fl0196?f;:4b>;3l>?145>4=5a0f?>0n27?o;952c68yv21m?0;6>u24627>14334>=i54;259>03c12k<27p}:03794?4|5==;87l99:?7<5`=01:0q~:lbe83>=}:<>:865ki;<65a3<68?>019mk4;3376=:659i;<6;4g7d43ty?:h:50;1x9117;3>9863;6d49072<5=;3>l>1==8;;<6``6<68:9019ln4;:4b>;309=14:h4=5f45?>?827?o>9586d891e1?38i>6s|47g1>5<4s4><03c32=8?70:9e38a2<=z{<:9?7>52z?7354=j?30196?7;:;4>{t;3km81===<;<6ae6<:95e?82c>o034=524b16>=>73ty?:h>50;1x911793>9863;6d09072<5=;3>l:1==8;;<6``4<68:9019ln2;:;4>;3?oh14:h4=5f5`?>?827?o>=58928yv21ll0;6>u24623>14334>=i=4;259>03bb2k<27p}:03394?4|5==;<7l99:?73cd=01;0q~:lb883>2}:019mk0;3376=:9863;6ea9f3?=4?:3y>03`a2k<270:8f88;<4=z{=ii47>57z?72cc=0ll0198kc;3321=:<3:?7fd6=01:0199i7;:4b>;3l?3145>4=5a1b?>?82wx8;j9:180821nl0?>95247f`>14334>=h;4m689~w066n3:1>v3;6gg9f3?<5==m;7671:p0fd3290>w0:9f88;ac=:94:?7gf7=999870:8eg8;3c=:;3>m<18?:4=54`5?d112wx9=?m:181821n00i:45246ge>=>63ty?:hm50;1x910bm32nj63;6b39550334>=ii4j389~w06683:1>v3;6dg9f3?<5==mh7671:p03?>2909w0:9b88;ac=:;3>>31n;74}r6fg7<72;q68;l6:c4:?82>?j034<5rs46b`?6=0r7?:475892891>1i323<63;96;9<=5<5=3;3?1k145>4=4602?0?02wx8;77:180821j103ik52475:>461<27?:465e2;8yv21?>0;6>u247`;>14334>=;44;259>03102k<27p};eb394?4|5=d}:>1034=52471:>=>534>38947839>02>>212;70:8578;<5=:<>?<656=;<7773<10>1v9866;297~;3>k<14hh4=5443?77>=168;79:d1:?xu3>><1<7=t=54a2?25<27?::9543689100>3h=56s|4d`e>5<5s4>=n;4m689>0g7021297p}:4`c94?1|5=<2:7670:?7<31=01:019l>6;:;7>;3j8?145>4=5403?>?:27?49>589089024>3<3:6s|47;6>5<4s4>=n847eg9>031128:=863;6879a6?=;84?:2y>03d22=8?70:9778761=:6o86;|q7agc=838p198m5;`5=>;3j9h145<4}r77e<<72>q68;7::9:3?82?><034=524c2b>=>434>i<447819>0351212970:73d8;<7=:==9=6;6:;|q72<2=839p198m4;:fb>;3>>?1==8;;<65=1k>18?:4=5441?25<27?:::5b7;8yv2bjm0;6?u247`7>g0>34>jjk47839~w02f03:1;v3;6869<=6<5=2=87670:?7ecc=019019oid;:;4>;3>:?145<4=5:0g?>?:27>8>856908yv211:0;6>u247`0>=ca34>=;94>0768910>;3o856s|4750>5<4s4>=n>4;259>03132=8?70:9728a2<=z{=oio7>52z?72g5=j?3019oi3;:;6>{t==k<6=48{<65=6524`d2>=>734>=?947839>0=5f212970;;378532=z{=<2>7>53z?72g4=0ll019883;3321=:;3>>918?:4=5446?d112wx8hlm:181821j;0i:4524`g4>=>53ty>8l850;5x910>:323<63;8709<=6<5=kn:7673:?7e`3=01:0198<3;:;6>;30:2145<4=4602?01k2wx8;7>:180821j803ik524751>461<27?:4?5e2;8yv21?80;6>u247`2>14334>=;?4;259>03162k<27p};ecc94?4|5=2}:=>534>3?;47839>11512?53z?72g6=<;>019881;610>;3>>:1n;74}r6ff<<72;q68;l?:c4:?82fko034?5rs46b0?6=>r7?:4>5892891>18323<63;abg9<=5<5=khh7670:?7267=018018:<3;4;<>{t;3>1l1i>74}r652c<72:q68;oi:507?821?90?>952474e>g0>3ty?io650;0x910fn3h=563;ab19<=54?:7y>03>a212;70:75g8;<5=:`5>3ty?:;k50;1x910fm3>9863;67d9072<5=<=i7l99:p0`d02909w0:9ad8a2<=:;304=5cbf?>?<27?:?h5890891ge<323=63:42192=0=4i4?:2y>03gc21om70:96d82432<5=<3h7k<9:p030d2908w0:9ae8761=:01v9km6;296~;3>hn1n;74=5cb=?>?:2wx99o>:1858210m034=52497g>=>734>j5i47859>034b212970:na68;<4=:==986;6:;|q72=d=839p198nb;:fb>;3>?i1==8;;<65hh18?:4=545g?25<27?:;l5b7;8yv2bj=0;6?u247ca>g0>34>j;847809~w02>n3:19v3;69`9<=6<5=2>n7670:?7e20=01>0198=c;:;6>;2<:91:5<4}r65k0:<;:4=54;e?c412wx8;8n:180821ih0?>952474a>14334>=:l4m689~w1ce;3:1>v3;6`c9f3?<5=k=n7672:p11?b290=w0:98`8;<5=:<1?2656?;<6b250034<525510>3103ty?:5750;1x910f132nj63;67c9550334>=444j389~w10113:1?v3;6`;9072<5=<=m7:=4:?723?=j?30q~:jb383>7}:13145>4=5:4?827?m8=589689105i323>63;a4a9<=7<5<>8?789c:p03>?2908w0:9a98;ac=:94:?72=>=m:30q~:96983>6}:58928yv331j0;6;u247:;>=>734>3;:47819>0d2b212870:n508;<5=:k1v9877;297~;3>h=14hh4=545=168;68:d1:?xu3>?=1<7=t=54b3?25<27?:;6543689101?3h=56s|4d`3>5<5s4>=m:4m689>0d5421297p}:48`94?1|5=<3;7670:?7<20=01:019o<4;:;0>;3>;2145<4=5c0`?>?927?49958908902483<346s|47:5>5<4s4>=m;47eg9>030028:=863;6949a6?=:;4?:2y>03g12=8?70:9668761=:;3i;<145<4}r77=d<72>q68;69:9:3?82??<034=524`0;>=>334>=>:47839>0d4a212:70:7448;<7=:==9;6;68;|q72=3=839p198n5;:fb>;3>?<1==8;;<65<0h?18?:4=5452?25<27?:;;5b7;8yv2bil0;6?u247c6>g0>34>j=:47839~w02>13:1;v3;6979<=6<5=2<87670:?7e4?=01>0198=6;:;6>;3i;8145?4=5:75?>?:27>8>>56948yv210=0;6>u247c7>=ca34>=:84>0768910?<3o856s|4747>5<4s4>=m94;259>03022=8?70:9658a2<=z{=ojh7>52z?72d2=j?3019o>1;:;0>{t==336=49{<65<1524706>=>534>3?k47839>11572?2>7p};69194?5|5=53z?72d5=<;>019894;610>;3>?91n;74}r6fef<72;q68;o<:c4:?82f8=03495rs46:3?6=>r7?:5=5892891>0:323=63;9ga9<=5<5=<987672:?7<6b=018018:<0;4;6>{t;3>181i>74}r65<5<72:q68;o=:507?821>:0?>95247:3>g0>3ty?ill50;0x910f:3h=563;97f9<=203>5212;70:7678;<4=:<0?j656<;<656658dd8910?83;;:95247c3>`5>3ty?::h50;1x910d83>9863;6929072<5=<2909w0:9c18a2<=:<0l<656;;|q60g2=83;30>;145?4=5;fb?>?;27?:9?5890891>41323>63:422923e=5k4?:2y>03da21om70:97g82432<5=<2j7k<9:p031b2908w0:9bg8761=:01v9kl8;296~;3>kl1n;74=5;f1?>?;2wx99l<:1848211o034=524953>=>734>2i947839>0327212970:6ee8;3c=:<19<656=;<7775<1>k1v986e;297~;3>ko14hh4=544a?77>=168;7j:d1:?xu3>>n1<7=t=54aa?25<27?::k543689100l3h=56s|4da4>5<5s4>=nh4m689>0;3>:l145<4=5:7g?>?927?5h>589089025l3<346s|47;g>5<4s4>=ni47eg9>031c28:=863;68f9a6?=;n4?:2y>03dc2=8?70:97e8761=:;31k;145=4}r77f4<72?q68;7k:9:3?82?>l034=52471f>=>534>38o47809>053z?72ge=0ll01988c;3321=:;3>>i18?:4=544f?d112wx8hm::181821jj0i:45248c2>=>43ty>8o>50;4x910>k323<63;87f9<=6<5=<8h7672:?7<1g=01;0197n0;:;4>;2<;n1:584}r65=g<72:q68;lm:9ge?821?k0:<;:4=54:f?c412wx8;9n:180821jk0?>952475a>14334>=;l4m689~w1cd<3:1>v3;6c`9f3?<5=32<7673:p11ga290=w0:99c8;<5=:<10o034=52550g>3>23ty?:4o50;1x910ei32nj63;66c9550334>=5l4j389~w10003:1?v3;6cc9072<5=<=j?30q~:jc283>7}:0k145>4=5:5f?>?827?:>l5890891>30323863;96d9<=6<5<>9h7872:p03?02908w0:9b68;ac=:94:?72<1=m:30q~:96e83>6}:=>734>3:547819>035?212970:7428;<1=:<0>o656?;<776a<1?>1v987c;297~;3>hi14hh4=545`?77>=168;6l:d1:?xu3>?81<7=t=54bg?25<27?:;j543689101:3h=56s|4d`6>5<5s4>=mn4m689>0d>a21297p}:4`294?1|5=<3o7670:?7<0e=01:019o68;:;6>;3i1o145?4=541`?>?:27?4>?589689025l3<=o6s|47:2>5<4s4>=m<47eg9>030528:=863;6939a6?nml4?:3y>03g62k<270:n718;<7=z{=nj;7>52z?7`d0=<1=019jn8;g0=>{t;<64a3=>734>02`6212;70:8f28;<5=:<>l>656?;<64b2=>734>3=847819>0=70212;70:7188;<5=:<1;i656?;<6;5a=1a34>30=6b21=m70:7138;3c=:<1::659i;<6a1d=1a34>0g2a212:70:m4d8;<4=:=656>;<6`7`034<524bc3>=>534>omk47839>0fg3212970:la98;<7=z{=h2<7>52z?7fcc=<1=019l64;g0=>{t17f34>imh4j389~w1dai3:1;v3;bgg9555434>im447819>0g?3212;70:mce81`4=:;<6ag1<5l8168omn:3f2?xu3j1l1<7464;27?nl75896891d><323?63;b819<=6<5=hhh7;3jjk1>i>4}r6a<`<72;q68ohl:5:4?82e1;0n?45rs5`e524c;7>=>534>i5?47809>0g?4212970:mce81gc=:0;6lu24cda>464;27?nl75890891d><323=63;b809<=6<5=h2?7671:?7f<7=0>l019lld;0`a>;3jj=1>nk4=5``0?4dm27?nno52bg8yv2b;90;69u24d0e>1>034>n?=4j389>0`552=2<70:j3287<2=z{=o9j7>56ey>0`4a28:8?63;b7:9<=6<5==om7672:?7=0`=0>l0197:d;:;5>;3j:l145?4=5`bf?>?927?n;:5892891>d=323=63;8b:9<=5<5=2o<7671:?7;30m=145?4=5:g`?>?927?4io5893891>ck323=63;8ed9<=7<5=2n=7671:?7<`5=01;019k;f;:;6>;31<814:h4=5;`5?>0n27?5n65892891?1n32l019o?7;:4b>;3i8>14:h4=5`7f?>?:27?ml=5890891gf<323<63;a`09<=4<5=k2?7670:?7e<7=01;019o>6;:4b>;3ihl145<4=5cba?>?927?5;?5892891?1;323=63;9d09<2`<5=3n4768f:?7e6`=01:019o;3;:;5>;3ij?145>4=5c`3?>?827?mi?5892891gc;323=63;aef9<=6<5=koj7671:?7e`?=01:019ojb;:;5>;3io?145>4=5ce3?>?927?n=?5892891d7;323=63;b1f9<=6<5=h;j7671:?7e72=0>l019omc;:;6>;3ikh145?4=5c;6?>?:27?m:75893891g4932:7671:?7e32=018019o93;:;5>;3m=;145>4=5g71?>?827?i>>586d891g4?323<63;a3`9<=6<5=k:i768f:?7=1>=0>l019m94;:;5>;3j?h145>4=5g0`?>0n27>>97671:?6601=0>l019l;d;:;7>;31o:145<4=5;e`?>?:27?m=o5890891?>;323<63;9449<=6<5=3387670:?7=d3=01:0197m5;:;4>;31k>145?4=5;b0?>?927?55=5893891?28323<63;8df9<=2<5<89?7673:?7g1d=01;0199kd;:4b>;3013145:4=5;:1?>?827?inl549589042n323=63;b509<=7<5=h??7671:?7f12=01;019l99;:;4>{t6=4;{<6f71<30>168h=7:d1:?82b;00?4:524d1b>1>03ty?i>:50;7b82b;=0:<>=4=55ge?>?827?58h5893891?2l323<63;9ef9<=6<5==hi7672:?73`g=01;0199jc;:;5>;3j=?14:h4=5`6b?>?827?i>65896891>d0323=63;8b;9<=7<5=3hi768f:?7=3`=01;019o79;:;7>;3i>l145>4=5`7f?>?827?ml:586d891g>;323?63;9739<=5<5=3=>7671:?7=`?=0>l019o;3i=;14:h4=5c`1?>?;27?mn85892891gc9323?63;ae09<=7<5=koh7673:?7eac=01;019oj9;:;7>;3ilk145?4=5ce1?>?;27?mk85893891d79323?63;b109<=7<5=h;h7673:?7f5c=01;019k;1;:;5>;3?lo145<4=55e4?>?:27?;k<58908911a<323>63;7g49<=4<5==m47672:?73cg=0180199ic;:;6>;308>145<4=5:22?>?:27?4<65890891>6i323>63;80a9<=4<5=2:i7672:?7<76=0180196=2;:;6>;309?14:h4=5:3=?>0n27?4=j586d891>6932l019o=b;:4b>;3i8o145>4=5:1?927?5965890891c4l323>63;9e19<=6<5=3nm7670:?7=23=01:019o64;:;4>;3i=k145>4=5`7`?>?927?5k>5892891?al323<63;a1c9<=6<5=32?7671:?7=00=01;019774;:;5>;31h?145?4=5;a1?>?927?5o:586d891?f<32<768f:?7<`b=0>l0199kd;:;4>;301314:h4=5;:1?>?92wx8o6l:18182en?0?4:524c;:>`5>3ty?nlh50;4x91da>3>:m63;bg7904g<5=hm87:>a:?7fc5=<8k019li2;62e>;3jk;1i>74}r6ab4<72?q68oh9:0207>;3j03145>4=5`a5?>0n27:on=586d894e68323<63>cb39<=2i4o4?:3y>0g`22=2<70:m998f7<=z{=hm<7>56z?7fc3=999870:m988;<1=:?3o856s|4cge>5<0s4>ij94>021891d>1323?63;b8:9<=5<5=h2;7670:?2fcb=01;01;6kjk1>494}r6a<<<72;q68oh<:5:4?82e1?0n?45rs5`fa?6=0r7?nk=51110?82e10034?524c;;>=>534>i5;47809>0g?0212970?mfb8;<4=:9ji<6?79;<3`gd<51?1v9l78;296~;3jo818594=5`:1?c412wx8okk:18:82en;0:<>=4=5`:=?>?927?n465893891d>>323<63;b859<=7<5=h29768f:?2fcd=01;01;6kjk1>4;4}r6f0=<72:q68h:8:5:4?82b1>03ty?i9950;7682b<>0:<>=4=5:f3?>?:27?;io586d891?dl323=63;9e`9<2`<5=3oh768f:?7a07=01:019l;3j?827?4n75891891c31323863;e5d9<=5<5=3?9768f:?7=a2=01;019787;:;7>;3i>l14:h4=5;e?827?m=;586d891g6:32l019o=0;:4b>;31?8145>4=5;f=?>?927?m9?5893891gd>32;3j98145>4=5`3a?>?827?i9>5891891c39323?63;e569<=6<5==h5768f:?7<7>=01:018<>6;:;6>;31=2145>4=403f?>?:27>>63;a809<=4<5=3no7670:?7e<3=01:019o;b;:;4>;3j=n145>4=5c;e?>?827?5;:5892891gd0323<63;ae69<=6<5=kn<7670:?7e`e=01:019oi8;:;4>;3j9>145>4=5`24?>?827?58=586d891?a8323863;9gf9<=2<5=k;m7674:?7=<2=01:0197:7;:;4>;311?145>4=5;b2?>?827?5o85892891?e;32768f:?7e7e=019019o>f;:;7>;31ji145=4=5;65?>?827?4hj589089045;323=63;a2:9<=5<5==oh7671:?7<=?=018019765;:;6>;3i8n145>4=5`76?>?827?n9=5892891d3<323<63;e409<=6n?n4?:2y>0`5e2=2<70:j448f7<=:=6968;|q7a6d=83?nw0:j3c82465<5=2n;7673:?73ag=01;0197:f;:;4>;31?927?n9;5893891d2n32;31j;145>4=5;`a?>?:27?5n6586d891?1n323>63;9639<=6<5=k35768f:?7=cg=01:019o?7;:;4>;3i8>145>4=5`7f?>?927?ml=5893891gf:32;3ihl145?4=5cba?>?827?5;?586d891?1;323<63;9d09<=6<5=3n57672:?7=`>=01:019o;3i=9145>4=5c`1?>0n27?mn9586d891gc932;3ilh145>4=5ce1?>0n27?mk95892891d7932;3iki145?4=5caf?>?827?m5<5893891g01323<63;a239<=6<5=k>;7670:?7e00=01:019o94;:;5>;3i?9145>4=5g75?>?:27?i9;58908911d1323=63;83:9<=4<5<8::7673:?7=1>=01;019k;2:9h145=4=402`?>?:27?n9j5890891?a8323=63;9gf9<=7<5=k;m7671:?7=<5=0>l0197:6;:4b>;311>14:h4=5;b1?>0n27?5o;586d891?e<323<63;9`69<=6<5=33?7670:?7e7e=0>l019o>f;:4b>;31<;145?4=5:f`?>?;27>>?=5890891g4032d;:;5>;3mjk18594=5g66?>?92wx8n:7:18182d<>0?4:524b6b>`5>3ty?o9950;3:82d<>0:<>=4=5a60?>?827?o885892891e20323<63;c4c9<=6<5=i>o7670:?7g0c=01:019m90;:;4>;3k?814:h4=5`ba?>?:27?o9;586d891df>323<63;b`59<=6<5=h3>7673:?7f=5=01>019l71;:;6>;3jk9145?4=5`;4?>?927?n:h5892891dfk323<63;bc39<=7<5=h3:7674:?7f=2=01>019m;a;:;4>;3k=8145?4}r6a3`<72;q68okl:5:4?82e0?0n?45rs5`a6?6=0r7?nhm540c891dbj3>:m63;bdc904g<5=hn57:>a:?7f`>=<8k019lj7;62e>;3jl<18=4=5a54?>?;27?nh:5891891d?>325<5s4>iio4;869>0g>32l927p};bd394?3|5=hnn7??329>0f3b212870:me48;3c=:=4=5a6g?>?;27?n5=586d891db<323=63;b949<=5<5=h387673:p0g1e2909w0:me887<2=:=1a34>i48477g9~w1d0i3:1>v3;bd:90=1<5=h3=7k<9:p0gbb2903w0:me982465<5=i>47673:?7f=4=018019l73;:;6>;3j1;14:h4=5`f1?>?827?n595893891d?=323<6s|4c5:>5<5s4>ii:4;869>0g>72l927p};bef94??|5=hn;7??329>0f31212870:m838;<4=:;<6a<4=>734>i4947819~w1d003:1>v3;bd490=1<5=h87673:?7f=4=01:019l73;:;4>;3j1;145>4=5`;4?>?827?n:h586d891db<323<63;b949<=7<5=h387671:p0f23290?w0:l4287<2=:168n:::d1:?xu3k=91<7?m{<6`06<68:9019m:4;:;6>;3k<<145<4=5a6?:27?o8o5890891e2k323>63;c4g9<=4<5=i=<7672:?7g34=01;019lne;:;5>;3k=?145>4=5`b2?>?927?nl75893891df?323=63;b8;9<2`<5=h24768f:?7f<2=0>l019l62;:4b>;3j0<14:h4=5`a7?>0n27?n4=586d891d>?32;3k=8145<4}r6a1a<72;q69?o>:5:4?820k10n?45rs5`6a?6=:r7>>l?540c891d1<3o856s|53c2>5>l?51110?82?>103;k524950>=1a34>3:4477g9>0=0f21=m70:76g8;3c=:<1>03;k52494g>=1a34>39h477g9>0=1221=m70:76d8;3c=:<1?m659i;<6:gg=03;k524951>=1a34>39o477g9>0=1121=m70:7618;3c=:<1<9659i;<6;1f:95e?82??103;k524942>=1a34>39l477g9>0=1721=m70:7768;3c=:<1<=659i;<6;1<?=034<524940>=1a34>2;:47809>0<1>212:70:n998;3c=:o659i;<6b14>1034<524`ag>=>634>jh447809>0dc2212:70:nf08;<4=:;<6a4<=1a34>=?5477g9>035e21=m70:93b8;3c=:=1a34>=>>477g9>034321=m70:9248;3c=:=1a34>=>o477g9>034d21=m70:92d8;3c=::95e?821;;03;k524710>=1a34>=?9477g9>035221=m70:9378;3c=:034?5248f;>=1a34>38>477g9>0=2?21=m70:7308;3c=:<18m659i;<6;b5=>734>2hl47839>0<>a212:70:64e8;<4=:<0=m656>;<6:e5=>734>jn947819>0de7212;70:n5b8;<5=:=>334>3?o47819>0=5a212;70:7448;<5=:<1><656?;<6;04=>734>2i=47819>0=5f212;70:73d8;<5=:<1>?656?;<6;03=>734>3?;47819>02>>21=m70:8578;3c=:<>2j659i;<6412:<034<524801>=>534>39:47839>0fg?21=m70;=a48;<4=z{=h=47>522y>15542=;j70;?33875d=:=99:69?n;<7375<39h169=17f34>h>o4;1`9>0f4>2=;j70:l27875d=:17f34>h=l4;1`9>0f7?2=;j70:l17875d=:17f34>h?94;1`9>0f552=;j70:l31875d=:?:53b?837no0?=l5251df>17f34?;ji4;1`9>0f21212870:m648;<1=:;3m=h145=4=55`a?>?927?n<7586d891d1:323=63;b719<=4<5=o8:7672:?7a6>=01;019k;d;:;6>;3m=o145=4=5g76?>?:27?i9:5893891>b1323>63;8dc9<=7<5=3:87670:?7a67=0180196?5;:;4>;3093145>4=5:3`?>?827?4019l;3n=;145<4=5`0a?>?<27?n:=58928904f<323863:2`09<=2<5<8j?7674:p0f?e2906<><3:?7a6c=01;0197=5;:;4>;31;8145:4=5ab2?>?827>>l;58928yv37:j0;6:9:1?82>80034>524905>=>434>n8o47819>02eb212;70:m638;3c=:=1a34>n8947829>0=31212970:7e`8;<7=:<0;>656=;<6f75::9:1?82?80034?52492g>=>534>3=<47839>02`a212:70:8c98;<5=:<1o=656>;<66f`524c50>=>534?9m947829>17g5212870;=a28;<6=z{=i2m7>58z?6467=<1=019mn3;3376=:034=524bc7>=1a34?9m8477g9~w065j3:1=iu25112>464;27?59?5891891?71323863;8349<=4<5=o?n7674:?73fc=0>l019l>9;:;5>;3j?8145:4=5`57?>?927?i>85892891c4?323<63;e5a9<=6<5=o?h7671:?7a14=01:0196j4;:;5>;318?145=4=5g04?>?:27?;kh58908911d0323=63;8d49<=5<5=?ii7670:?7f6b=018019h;1;:;5>;3m=9145<4=5`0a?>?:27?n:=58918904f<323>63:2`09<=7<5<8j?7672:p0f?>290<3:?7a6c=0>l0197=5;:;7>;31;8145?4=5ab6?>0n27>>l;58908yv37:h0;68003;k524905>=>634>n8o477g9>0<60212970:m638;<7=:=>734>n8<477g9>0=c>212:70:7578;<4=:<1oj656<;<6:50:9:2?820k1034?5249g5>=>734>>nh477g9>0g5c212:70:j428;3c=:;<6a36=>63ty?o4650;:x9065n3>3;63;c8d9555434>n?h47819>0<42212970:6238;3c=:<1?<659i;<6`e5;3193145<4=5:12?>?827?i9l5890891d61323<63;b709<=6<5=h=?7670:?7a60=01;019k<7;:;6>;3m=i145<4=5g7`?>?;27?i9<5893891>bi32=0190196j6;:4b>;3=ko145?4=5`0`?>?827?j9?5892891c3;323?63;b2g9<=6<5=h;2:h9145>4}r6`=2<72>q69==4=5;11?>0n27?5?<5891891>2?323=63;c8g9<=6<5<8j97673:p154?290:5v3:03g9555434>28<477g9>0<6>212;70:7278;3c=:i656>;<6a27=>434>n8447809>0`2d212:70:j418;<1=:<1oj656?;<6:50:95e?820k103495249g5>=>534>>nh47839>0g5c21=m70:j428;<4=:=1a3ty?ol650;0x91ef?3>3;63;c`:9a6?i8=4?:3;x91ef?3>:m63;c`7904g<5=ij?7:>a:?7gd7=<8k019m6f;62e>;3k0n18:m63;d6d904g<5=na:?7`=d=<8k019j79;62e>;3lmk18:m63;dd0904g<5=no<7:>a:?7`a4=<8k019jk4;62e>;3lm<18:m63;dc0904g<5=ni87:>a:?7`g0=<8k019jl4;62e>;3lj<18:m63;dbg904g<5=ni47:>a:?7`gg=<8k019jmc;62e>;3lko185<5s4>hm84;869>0fg12l927p};c`694?4|5=ij?7:77:?7gd2=m:30q~:la383>7}:`5>3ty?oi650;0x91ec?3>3;63;7bd9a6?i9=4?:3y>0fb02=;j70:m508f7<=z{=io;7>57z?7ga1=999870:m558;3c=:<1h3659i;<6;fg=>63ty?h5850;0x91b?=3>3;63;d949a6?o494?:3y>0a>42=2<70:k858f7<=z{=n3>7>52z?7`=7=<1=019j72;g0=>{t168i6?:d1:?xu3l>o1<71>034>h>h4j389~w1e7j3:1?v3;c3f9555434;ijl47809>0f4b21=m7p};c3a94?4|5=i9n7:77:?7g7e=m:30q~:l0`83>1}:<3:?2fc?=01;019m=c;:4b>;3k?=19lo4}r6`6d<72;q68n<6:5:4?82d:h0n?45rs5a3=?6==1a34>h::4:a89~w1e5?3:1>v3;c3490=1<5=i9;7k<9:p0f6?290?w0:l2782465<58hm:7671:?7g71=0>l019m97;7b<>{t6=4={<6`61<30>168n<::d1:?xu3k9=1<7:t=5a10?77;:16=n>n:9:2?82d:<03;k524b44>0g03ty?o?=50;0x91e5:3>3;63;c319a6?h<;4?:5y>0f4528:8?63>c1;9<=7<5=i9?768f:?7g31==h<0q~:l2083>7}::95e?82d>>0>m85rs5a2b?6=:r7?o5<3s4>h=h4>021894e7?323=63;c0d9<2`<5=i=;7;n4:p0f7c2909w0:l1b87<2=:p19m>c;3376=:9j:=656>;<6`5a464;27:o=;5893891e6j32h=44?:3y>0f7?2=2<70:l188f7<=z{=i;=7>59z?7g4>=999870:l618;3c=:7ed34>io94=cb9>0gef2;ih7p};c0594?4|5=i::7:77:?7g41=m:30q~:l0183>g}:<3:?7g0c=0>l019l6e;:;4>;6k99145?4=5a23?>?827:on95280894edi382>63;bbf96fd<5=hh;7{t6=4={<6`51<30>168n?::d1:?xu3jol1<7lt=5a20?77;:168n;l:95e?82e1m034=521cd4>=>634>h=847819>5fe02;3:70?lc`81=4=:m5495891e4l3o856s|4b30>5h?n4>021891e2i327671:?7g6b=01:01;6kjk1>4>4=5```?4d127?nn952b;891dd<38h563;bbc96f?h?o4?:3y>0f5f2=2<70:l3c8f7<=z{=i:>7>5bz?7g6g=999870:l598;3c=:094k521bab>7>a34>ioi4=d59>0ge02;n?70:mc581`1=:;3k:31i>74}r6`54<72kq68n=7:0207>;3k<<14:h4=5`b1?>?827:o=>5893891e41323<63>cb596;3jj>1>i=4=5``e?4c;2wx8n=8:18182d;?0?4:524b14>`5>3ty?o<>50;`x91e4>3;;?>524b77>=1a34>im947819>5g`a212:70:l368;<5=:9ji<6?76;<3`gd<510168omk:3f1?82ek>09h?524ca7>7b534>iol4=d39~w1e4=3:1>v3;c2690=1<5=i897k<9:p0f6a2908w0:l3582465<5=hj?768f:?7g63=0>l0q~:l3283>7}:?5e2;8yv2d8m0;6>u24b13>464;27?nl?586d891e49325<5s4>h>54;869>0f4a2l927p};c1a94?5|5=i947??329>0gg721=m70:l2g8;3c=z{=n2h7>52z?7gf`=<1=0199i5;g0=>{t168:h<:d1:?xu3l0h1<71>034>03:1>v3;cbc90=1<5==nn7k<9:p0a?02909w0:lc887<2=:<>o<6h=6;|q7`<0=838p19ml8;6;3>;30;;1i>74}r6g=0<72;q68nm8:5:4?82?9o0n?45rs5f:0?6=:r7?on85495891>6l3o856s|4e;0>5<5s4>ho84;869>0=7e2l927p};d8094?4|5=ih87:77:?7<4?=m:30q~:k9083>7}:`5>3ty?hl:50;0x91ec=3>3;63;81d9a6?om>4?:3y>0fb32=2<70:70c8f7<=z{=nj>7>52z?7ga5=<1=0196?7;g0=>{t1685><:d1:?xu3lh:1<71>034>m3:1>v3;cba90=1<5==m;7k<9:p0a?f2909w0:lc087<2=:<>om6h=6;|q7`=`=838p19ml0;6;3>;3?on1i>74}r6`e<<72=q68nm?:0207>;3?on14:h4=5f7f?>0n27?:hj586d8yv35100;6>u24dg4>1>034>2;<4j389>0`c?2=2<7p};b5;94?41s4>ni:4;1`9>0`c12=;j70:je5875d=::53b?82bm90?=l524dfe>17f34>nhh4;1`9>0`bc2=;j70:jdb875d=:0?=l524df5>17f34>nh84;1`9>0`b32=;j70:jd2875d=:17f34>nin4;1`9>0`ce2=;j70:je`875d=:`5>34>nol4;1`9>0`ee2=;j70:jcb875d=:1>034>i=3:1>v3;ed190=1<5=h;?7k<9:p17?32909w0:je387<2=:;3ilh1i>74}r71=7<72;q68hk?:5:4?82flo0n?45rs40:5?6=:r7?iih5495891gc;3o856s|53;3>5<5s4>nhh4;869>0de02l927p}:29d94?4|5=ooh7:77:?7egd=m:30q~;=8d83>7}:`5>3ty>>5o50;0x91cc03>3;63;a719a6?0`b02=2<70:n578f7<=z{<8347>52z?7aa0=<1=019o;3;g0=>{t=;2<6=4={<6f`0<30>168l=8:d1:?xu2:1<1<71>034>j=h4j389~w04?<3:1>v3;ee090=1<5=k;o7k<9:p17>42909w0:jd087<2=:<0lm6h=6;|q66=4=838p19kk0;6;3>;31<>1i>74}r71e5<72;q68hkj:5:4?82>n;0n?45rs40:b?6=:r7?ihj5495891?b03o856s|53;f>5<5s4>nin4;869>07}:`5>3ty>>4950;0x91cci3>3;63;9429a6?0`ea2=2<70:n908f7<=z{<:in7>52z?64gg=<1=018>mb;g0=>{t17f34?;o54;1`9>15e12=;j70;?c5875d=:=9i969?n;<73g5<39h169=lj:53b?837jj0?=l525021>1>034>oi94>0218911b>323<63;b749<2`15d?2=2<70;?b88f7<=z{<:i;7>52z?64g0=<1=018>m7;g0=>{t=9ii6=4={<73gd<30>169=mm:d1:?xu28j31<70;6?u251a5>1>034?;o:4j389~w06d=3:1>v3:0b690=1<5<:h97k<9:p15e42909w0;?c387<2=:=9i86h=6;|q64f7=838p18>l0;6;3>;28j;1i>74}r73fc<72;q69=lj:5:4?837jo0n?45rs42a`?6=:r7>5<5s4?;ik4;869>0=>52l927p};b7794?e|5<:nj7:>a:?64`c=<8k018>jd;62e>;28o=18:m63:0g1904g<5<:m>7:>a:?64c7=<8k018>i0;62e>;3j??1i>74}r73af<72;q69=kj:5:4?82?090n?45rs42ff?6=:r7>0k3o856s|51gb>5<5s4?;j:4;869>0=?12l927p}:0d;94?4|5<:m:7:77:?7<<7=m:30q~;?e983>7}:=9l>6968;<6;j7;296~;28o>18594=5:;`5>3ty>3;63;8979a6?15`62=2<70:7828f7<=z{<:n>7>52z?64c6=<1=019671;g0=>{t=;2:6=4={<6ga0<30>1684:=:d1:?xu3ll?1<76t=5ff1?77;:168o;;:9:2?82><;034>5249`;>=>734>3no477g9>0=df212;70:79c8;<4=:;|q7g<3=838p18>6f;6;3>;3?mn1i>74}r73=c<72:q69=7i:0207>;3j??14:h4=5`70n2wx8o?l:1818368;0?;;524c3g>`5>3ty?n;o50;0x9077:3>:m63;b7`9a6?5249g4>=>634>i8847819>0g0321=m70:7c98;3c=:<1ij659i;<6f0<=>634>i8i477g9>0=cc212:70:8de8;<6=:<122656>;<6;6<1>034>h5;4>021891>78323>63;8109<2`<5==n:768f:p0g002908mv3:035904g<5<:2;7:>a:?64<0=<8k018>65;62e>;280918<4?540c8906>83>:m63:09d904g<5<:3i7:>a:?64=b=<8k018>7c;62e>;281h18<4k540c8906>l3>:m63:08a904g<5<:2n7:>a:?6469;62e>;280218<57540c8906?03>:m63:070904g<5<:>m7:>a:?640?=<8k018>:8;62e>;28<<18<8:540c89062;3>:m63:040904g<5<:>=7:>a:?6406=<8k018>;f;62e>;28=o18<;?540c8906183>:m63:04d904g<5<:>i7:>a:?640b=<8k018>:c;62e>;28<9m540c89063j3>:m63:062904g<5<:=j7:>a:?643c=<8k018>9d;62e>;28?i18<;o540c891d103o8563;e2d904g<5=2>47:>a:p15402908w0;?2682465<5=h?j768f:?7f1c=0>l0q~:k8d83>7}:`5>3ty?no;50;3082ej<0:<>=4=5`:`?>?927?;h75893891d>j323=63;b8a9<=7<5=h2i7671:?7f<`=01;019ln0;:;5>;3jh;145?4=5`b6?>?927?nl=5893891df<323=63;b`79<=7<5=2;>7670:?7f0g=01;019lm7;:4b>;3j=l145>4=5`7a?>?827?o>k586d8yv2e?>0;6?u24cfa>1>034>i5l4j389~w1dcj3:18v3;be`9555434>0g3f21=m70:8cb8;3c=z{=i3m7>53z?7fg2=<1=0199la;g0=>;3j<8145=4}r6af3<72;q68ol;:53b?82ej>0n?45rs5`a0?6=?r7?no:51110?820m0034=524b65>=>734>imn477g9>0g3f212;70:8cb8;<5=:2659i;|q7g16=838p19m95;6;3>;3jh=1i>74}r6`20<72:q68n8::0207>;3k?=1=<7}:=8::6968;<6;f7ic;297<}:=8::6<><3:?7=fb=01>0197kb;:;5>;31mn145?4=5;71?>?827?5:95890891g>i322;:;4>;3j=k145=4=5;:3?>0n27?54?586d891?2132l0197n9;:4b>;31h814:h4=5ca5?>0n27?mlj586d891?1=32l019ol6;:;5>;3im?14:h4=5cg6?>0n27?mh?586d891gcm32l019oi6;:4b>;3j9?14:h4=5`36?>0n27?n768f:?7e7b=0>l019o=a;:4b>;3iko14:h4=5cae?>0n27?m5:586d891g0032l019o:5;:4b>;3i?<14:h4=5c56?>0n27?5i65893891?ci323=63;a8d9550334>j=o4>0768yv2cij0;6?u25023>1>034>3n<4j389~w06aj3:149u25023>464;27?5i<5892891?c8323<63;a559<=6<5=k=i768f:?7e14=0>l019o;4;:;5>;3i=314:h4=5;`f?>?827?5::5892891?2i323=63;96:9<=6<5=k<=7670:?7=``=01;0197ic;:;5>;3i93145?4=5c:`?>?827?m4k5890891?>1323<63;a9f9<=4<5=3?57670:?7==g=01:0197nb;:;4>;3i83145>4=5c2e?>?:27?mll5892891gfk323>63;9749<=7<5=3=m7673:?7=`3=01;0197j6;:;6>;3i<;14:h4=5c74?>?:27?mno5893891gdn323?63;ae49<=7<5=kon7673:?7e`4=01;019oj7;:;7>;3ilo145?4=5ce7?>?;27?mko5893891gan323?63;b149<=7<5=h;n7673:?7f44=01;019l>7;:;7>;31kh145>4=5c1?827?m?75890891ge0323<63;ac;9<=7<5=k<>7672:?7e25=01:019o84;:;4>;3i><145>4=5c43?>?927?m>:5892891g4=323>63;a419<=6<5=k>87672:?7e36=01:019o91;:;6>;31l9145>4=5c7b?>?:27?5;95892891gdk323<63;ae:9<=6<5=kn87670:?7ec6=01:019oic;:;4>;3j92145>4=5`20?>?827?5;l5891891?a=323?63;a109<=5<5=k;j7673:?7=a0=01:01977e;:;4>;31=i145>4=5;4a?>?827?54h5892891?fn323<63;9b69<=5<5=3;31==145<4=5;;=?>?:27?5lo5890891?ei323>63;9e:9<=2<5=3om7673:?7e<0=0>l01977f;:4b>;31=n14:h4=5;4b?>0n27?5l>586d891?e832;31o9145?4=5c34?>?927?m=j5893891gf>32n768f:?7e3>=0>l019o=1;:4b>;3i;o14:h4=5c0g?>0n27?mo85892891gf1323<63;a7`9<=6<5=k>i7670:?7e65=01:019o=6;:;4>;3i8=145>4=5;5g?>?927?5k85893891g7;32;3ij9145>4=5;:f?>0n27?54m586d891g0k323<63;a6f9<2`omo4?:3y>15`a2=2<70:7ae8f7<=z{<:mm7>586y>15`a28:8?63;a579<=7<5=3o>7671:?7=a6=0>l019o;7;:4b>;3i?o145>4=5c76?>?827?m9:5892891g31323<63;9b`9<2`<5=3<8768f:?7=0g=018019789;:;6>;3i>o145>4=5;fb?>?:27?5km5890891g71323>63;a8f9<2`<5=k2i7670:?7=l01976d;:;4>;3i1n145=4=5;7=?>0n27?55o586d891?fj32l019onc;:;4>;31?<14:h4=5;5e?>0n27?5h;5892891?b>32;3ijk14:h4=5c`b?>0n27?mi8586d891gcj32l019oi3;:4b>;3iok14:h4=5ceb?>0n27?n=8586d891d7j32l019o=8;:4b>;3i;3145>4=5ca0n27?mo75890891g0:32;3i:?145>4=5c67?>0n27?m8:5892891g1832;31?=14:h4=5c`g?>0n27?mi6586d891gb<32=0>l019l>4;:4b>;31?h145:4=5;e1?>?<27?m=<5896891g7n323863;9e49<=7<5=33i7671:?7=1e=01;01978e;:;5>;310l145?4=5;bb?>?927?5n:5892891?0i32;31hk145?4=5;ae?>?927?5i65891891?ci323863;a859<2`<5=k2:7672:?7==`=0180197;d;:;6>;31>l145<4=5;b4?>?:27?5o>5890891?0k323<63;9829<=7<5=k3j7671:?7=0e=0180197i3;:;6>;3i9:145<4=5c3`?>?:27?ml85892891ge;323<63;a4`9<=6<5=k=47670:?7e77=01:019o=e;:;4>;3i:i145>4=5ca2?>0n27?ml7586d891g1j32l019o>7;:4b>;31?i145>4=5;e2?>?827?m==5893891g68323=63;9df9<=4<5=3n<768f:?7=a`=018019ol1;3321=:<03i656?;<6:=flk034=5248f1>=1a34>2h=47809>0d0b212:70:6738;<4=:<0?n656?;<6:3<=>634>j;=47809>0d1621=m70:n7d8;<6=:<0l?656?;<6b44j:9:3?82f1l03;k5248;4>=>734>25<47819>0d?7212;70:6588;<5=:<0>m656?;<6:<=:9:3?82>i0034=5248c1>=>734>j=l477g9>0dd6212;70:nab8;3c=:<0<>656?;<6:23>h034=5248g6>=1a34>2i;47819>0d2b21=m70:n418;3c=:=>734>jh;47829>0dbf212970:ndc8;<5=:034=524`gg>=>734>jih47829>0d`5212970:nf28;<5=:=>734>i<;47829>0g6f212970:m0c8;<5=:034=5248`:>=>734>2n?47819>0d4c212;70:n288;3c=:=1a34>j;9477g9>0d10212;70:n3`8;<5=:659i;<6b1<?034=524`42>=1a34>2i>477g9>0d2a212:70:66c8;<7=:<0l>656=;<6b47i:9:1?82>l?03;k5248a7>=>634>2;;477g9>0d>021=m70:6d98;<7=:<0nj656?;<6:3fn:034=524`23>=>734>j0dd2212;70:n6`8;<5=:>j03;k5248d5>=1a34>j<>47819>0d77212;70:6ee8;<5=:<0nm656?;<6be5:9:3?82f9j034<524`a1>=>734>jo>47809>0d7c21=m7p};d`;94?4|5<:mh7:77:?732|5<:mh7??329>0d2221=m70:n468;<4=:9656>;<6b01?;03;k524866>=>634>2;5477g9>0<1721=m70:n9`8;<4=:=>634>25447829>00h034>5248:3>=1a34>2mo47829>0m>034=524`62>=>434>jol47819>0deb212:70:ncg8;<4=:=>634>ji:47809>0dcb212;70:nf38;<4=:;<6bbd=>734>i0g6e212:70:m138;<5=:;<6a52j803;k524`0b>=>734>jnl47819>0d1221=m70:n798;<5=:k>03;k524`6e>=>734>24h477g9>0<2d21=m70:67d8;3c=:<03m659i;<6:ec?h034<524855>=>734>j4:47819>0<20212;70:6888;<5=:<0kj656?;<6:fdlh03;k524`;5>=>734>25=47819>0d>a21=m70:65b8;3c=:<0l8659i;<6b45k:95e?82fj<03;k524`4b>=1a34>j9i477g9>0d5521=m70:n248;3c=:<0oo656>;<6be5=1a34>25o47809>0;|q7gce=839p18>67;6;3>;3l;81===<;<6g66:95e?xu3kok1<7=t=42:1?2??27?h<4=5495891b6i3;;?>524e3a>=1a3ty?ok950;1x906>:3>3;63;d0:9555434>o=4477g9~w1ea>3:1?v3:08390=1<5=n::7??329>0a7021=m7p};cg794?5|5<:2<7:77:?7`42=999870:k148;3c=z{=im87>53z?64=`=<1=019j>2;3376=:7e;6;3>;3l8:1===<;<6g54i:95e?xu3ko;1<7=t=42;g?2??27?h=m51110?82c8m03;k5rs5ae4?6=;r7><5l5495891b7i3;;?>524e2a>=1a3ty?ohh50;1x906?i3>3;63;d1:9555434>o<4477g9~w1b7<3:1?v3:08g90=1<5=n8=7??329>0a5521=m7p};d1194?5|5<:2h7:77:?7`7`=999870:k318;3c=z{=n;>7>53z?646b;6;3>;3l;h1===<;<6g6f<465495891b5=3;;?>524e05>=1a3ty?okj50;1x906><3>3;63;d0f9555434>o>9477g9~w1ea13:1?v3:09;90=1<5=n;;7??329>0a7d21=m7p};cdg94?5|5<:347:77:?7`53=999870:k078;3c=z{<:<=7>53z?64=>=999870:n7e8;<6=:<656?;|q7`=e=838p19j7b;6;3>;3l1i1i>74}r6g<=<72oq68i6m:0207>;3?l;145>4=5:;5?>?;27?45l5890891>0n32;3?l9145=4=5`52?>?927?4565891891>><32f2909w0:k8887<2=:o>659i;<6;<4=>634>34947809>0=>1212:70:8e28;<4=::m63;d0:904g<5=n::7:>a:?7`42=<8k019j>2;62e>;3l8:18:m63;d1:904g<5=n8=7:>a:?7`7`=<8k019j=d;62e>;3l;h18:m63;d0f904g<5=n;;7:>a:?7`53=<8k0199j6;:;7>;3?l=145<4=55ff?>?:27?n>h5e2;891>7?323>63;81`9<=4<5=2;j7672:?7<45=0180196?3;:;6>;3lh2145:4}r6g64<72;q68i5<5s4>o=l4;869>0a7e2l927p};d0;94?4|5=n:47:77:?7`4?=m:30q~:k1683>7}:5;296~;3l8>18594=5f21?c412wx8i?<:18182c9;0?4:524e30>`5>3ty?h3;63;d039a6?o0a6b2=2<70:k0g8f7<=z{=n;h7>52z?7`5e=<1=019j?d;g0=>{t168i>m:d1:?xu3l931<71>034>o??4j389~w1b483:1>v3;d3d90=1<5=n8<7k<9:p0a4b2909w0:k2e87<2=:;3l;i1i>74}r6g6d<72;q68i<6:5:4?82c:h0n?45rs5f15<5s4>o>84;869>0a412l927p};d3694?4|5=n:h7:77:?7`72=m:30q~:k1b83>7}:;0?4:5253c6>`5>3ty><;<50;0x9061:3;;?>524904>=1a3ty?h9?50;6x9062i3>3;63;d759555434>o:5477g9>0a44212;7p}:05094?4|5<:>m7??329>0de5212?7p};d5294?2|5<:>57:77:?7`33=999870:k678;3c=::9;3376=:;|q7`6`=83>p18>:8;6;3>;3l?91===<;<6g21903;k524e3a>=>73ty><>k50;0x9062>3;;?>524`51>=>63ty?h>m50;6x9062=3>3;63;d4f9555434>o9h477g9>0a7>212;7p}:02f94?4|5<:>97??329>0d0e212:7p};d2`94?2|5<:>87:77:?7`0d=999870:k5b8;3c=::4;3376=:;|q7`6g=83>p18>:3;6;3>;3l<31===<;<6g1d=>73ty><>o50;0x9062:3;;?>524`10>=>63ty?h>650;6x906293>3;63;d479555434>o9;477g9>0a76212;7p}:02;94?4|5<:>=7??329>0d41212:7p};d2594?2|5<:><7:77:?7`05=999870:k558;3c=:=838p18>:0;3376=:;|q7`60=83>p18>;f;6;3>;3l<;1===<;<6g17k:9:3?xu28:=1<7i:95e?xu3l:?1<7:t=427a?2??27?h9h51110?82c=903;k524e2a>=>73ty><>850;0x9063m3;;?>524`21>=1a3ty?h>:50;6x9063l3>3;63;d5f9555434>o8h477g9>0a6>212;7p}:02794?4|5<:?h7??329>0<0e21=m7p};d5;94?2|5<:==7:77:?7`20=999870:k768;3c=:91;3376=:<0l>659i;|q7`1>=83>p18>90;6;3>;3l>>1===<;<6g30=>73ty><9650;0x9062n3;;?>5248f5>=>53ty?h9850;6x9062m3>3;63;d629555434>o;<477g9>0a4d212;7p}:05594?4|5<:>i7??329>0h7:77:?7`3c=999870:k6g8;3c=::d;3376=:<03m656=;|q7`12=83>p18>:c;6;3>;3l?i1===<;<6g2ak03;k524e05>=>73ty><9:50;0x9062j3;;?>52485f>=>53ty?h9<50;6x9062?3>3;63;d709555434>o:4477g9>0a43212;7p}:05194?4|5<:>;7??329>0<2d21297p};d2g94?`|5<:?o7:77:?7`1e=999870:8d58;<5=:=>734>47819>02b6212;70:m748;<5=:<9m51110?82f0m03;k5rs5f07?6=nr7><9l5495891b3i3;;?>5246f7>=1a34>h5>477g9>02b221=m70:8d68;3c=:<>n9659i;<64`5=1a34>o8o47819>0a61212;70:8d98;3c=:<>n=659i;|q6462=838p18>;b;3376=:80;6;3>;3kli1===<;<6`aa99;290~;28>:1===<;<6:ga?h034?5rs5aga?6=;r7><;h5495891ebi3;;?>524bga>=>73ty><;650;0x9061n3;;?>5248ca>=>63ty?oij50;1x9061m3>3;63;cd:9555434>hi447819~w061?3:18v3:07g9555434>25447809>0=76212:70:8188;<5=z{=ioo7>53z?643b=<1=019mj6;3376=:9c;6;3>;3kl>1===<;<6`a051110?82dm8034=5rs5`ge?6==r7?nio51110?87dk:034<521b2a>=>434;h=947819>5fe621297p};c5a94?2|5=i?o7??329>5fe4212;70?l118;<4=:9ji:659i;|q7`3>=838p19j97;6;3>;3l?21i>74}r64gg<72;;3l??18:m63;d4`904g<5=n>57:>a:?7`01=<8k019j:5;62e>;3l<918:m63;d64904g<5=n<87:>a:?7`24=<8k019j80;62e>;3l?o18:m63;d5a904g<5=n?m7:>a:?7f;3j0i145<4=5`:a?>?:27?n4h5890891df8323>63;b`39<=4<5=hj>7672:?7fd5=018019ln4;:;6>;3jh?145<4=5:36?>?:27?;nm5e2;891d3m323>63;c2g9<=7o:;4?:3y>0a022=2<70:k678f7<=z{=n=87>52z?7`35=<1=019j94;g0=>{t168i8?:d1:?xu3l1>034>o9n4j389~w1b2i3:1>v3;d4;90=1<5=n>m7k<9:p0a3?2909w0:k5687<2=:;3l<<1i>74}r6g11<72;q68i;<:5:4?82c==0n?45rs5f66?6=:r7?h8?5495891b2:3o856s|4e73>5<5s4>o8k4;869>0a372l927p};d5g94?4|5=n?h7:77:?7`1c=m:30q~:k7683>7}:>18594=5f41?c412wx8i9<:18182c?;0?4:524e50>`5>3ty?h:?50;0x91b083>3;63;d639a6?o:k4?:3y>0a0b2=2<70:k6g8f7<=z{=n=h7>52z?7`3e=<1=019j9d;g0=>{t168i8m:d1:?xu3l?31<780;6?u24e6`>1>034>o:<4j389~w1b3j3:1>v3;d5c90=1<5=n?n7k<9:p0g2d290?8v3;f3:904g<5=l9;7:>a:?7b73=<8k019h=4;62e>;3n;918:m63;f0d904g<5=l:i7:>a:?7b4b=<8k019h>c;62e>;3n8k18:m63;f04904g<5=l:97:>a:?7b42=<8k019h>3;62e>;3n8818:m63;f3a904g<5=l9n7:>a:?7b7g=<8k019h=9;62e>;3n;<18540c891`7n3>:m63;e3:904g<5=o9;7:>a:?7a73=<8k019k=4;62e>;3m;918:m63;e0d904g<5=o:i7:>a:?7a4b=<8k019k>c;62e>;3m8k18:m63;e04904g<5=o:97:>a:?7a42=<8k019k>3;62e>;3m8818:m63;e3a904g<5=o9n7:>a:?7a7g=<8k019k=9;62e>;3m;<18540c891c7n3>:m63:142904d<5<;;o7:>b:?7f1b=m:30152z?7b7>=999870;=238;3c=z{=l;:7>52z?7b71=999870;=208;3c=z{=l;87>52z?7b73=999870;=1g8;3c=z{=l;?7>52z?7b72=999870;=1d8;3c=z{=l;>7>52z?7b75=999870;=1`8;3c=z{=l;=7>52z?7b74=999870;=188;3c=z{=l;<7>52z?7b77=999870;=198;3c=z{=omj7>52z?7b76=999870;=168;3c=z{=omi7>52z?7b4`=999870;=158;3c=z{=omh7>52z?7b4c=999870;=128;3c=z{=omo7>52z?7b4b=999870;=138;3c=z{=omn7>52z?7b4e=999870;=108;3c=z{=om57>52z?7b4g=999870;=0d8;3c=z{=om47>52z?7b4?=999870;=0b8;3c=z{=om;7>52z?7b4>=999870;=308;3c=z{=om:7>52z?7b41=999870;=318;3c=z{=om97>52z?7b40=999870;=2g8;3c=z{=om87>52z?7b43=999870;=2d8;3c=z{=om?7>52z?7b42=999870;=2e8;3c=z{=om>7>52z?7b45=999870;=2b8;3c=z{=om=7>52z?7b44=999870;=288;3c=z{=om<7>52z?7b47=999870;=1c8;3c=z{=l;i7>52z?7b7c=999870;=2c8;3c=z{=l;h7>52z?7b7b=999870;=2`8;3c=z{=l;o7>52z?7b7e=999870;=298;3c=z{=l;n7>52z?7b7d=999870;=268;3c=z{=l;m7>52z?7b7g=999870;=278;<6=z{=l;57>59z?7b7?=999870;=248;3c=:=;;=656?;<714c=1a34?9==477g9>174421=m7p};f1:94?g|5=l9:7??329>1743212870;=248;<5=:=;;=656>;<714c=>734?9==47819>1744212;7p};f1794?46s4>m=o4>021890450323<63:2069<=6<5<89j7670:?6674=01:018<=7;:;4>;2:89145>4=401a?>?827>>??589289045>323>63:2009<=6<5<89h7670:?664`=01:018<>1;:;4>;2:;i145>4=402a?>?827>>>?589289045j323<63:20:9<=6<5<88<7670:?667g=01:018<>7;:;4>;2:;>14:h4=403a?>?827>>?7589289046i323<63:21a9<=6<5<8:n7670:?664?=01:018<=5;:;5>;2:9l145?4=4014?>?927>><>58938yv2bnh0;6>9t=5d24?77;:168l>m:95e?82>n803;k52487a>=1a34>2jh477g9>0=>534?9=>47839>174b212970;=208;<7=:=;8=659i;<7157=>534?9>n47839>177b212970;=308;<7=:=;8i656=;<715==>534?9>947809>176b212970;=288;<7=:=;;j656=;<714f=1a34>j4n477g9>1742212870;=0g8;<6=:=;8;656<;<71551=034<524874>=>634>24847809>0;<6:<2j1034<524`0`>=>734>j=k47819>052dy>0c6a28:8?63:23:9<=7<5<8:87671:?667`=01;018<=2;:;5>;2:;=145?4=4027?>?927>>?k5893890459323=63:2349<=6<5<8:>7671:?667b=01;018<>f;:;5>;2:8;145?4=401g?>?927>>;2:8=145?4=4010?>?827>>=k5893890451323=63:20c9<=7<5<8;o7671:?664d=01;018<>9;:;5>;2:;?145<4=403b?>?:27>>?>5890890468323>63;a9:9<=7<5=k2>7671:?7=<2=0>l0197:7;:4b>;311?14:h4=5;b2?>0n27?5o8586d891?>>323<63;9959<=6<5=3j47670:?7=g>=01:0197lc;:;6>;31<;14:h4}r6gb`<72:q68h<7:0207>;3m9n145>4=0a1e?2cnl1v9jid;297~;3m;=1===<;<6f4a{t;6k;k18ihm;|q7`cg=839p19k=4;3376=:6}:<3:?7a5e=0180153z?7a74=999870:j0b8;<4=:9j8j69ji8:p0a`02908w0:j2082465<5=o;o768f:?2g7g=0`6d212;70?l2`87`c0oj84?:2y>0`7a28:8?63;e1;9<=2<58i9m7:kf49~w1ba<3:1?v3;e0g9555434>n<447829>5f4f2=nm86s|4ed0>5<4s4>n=i4>021891c71323>63>c3c90a`43ty?hk<50;1x91c6k3;;?>524d2:>=>634;h>l4;dg08yv2cn90;6>u24d3b>464;27?i=7586d894e5i3>oj=5rs5ffb?6=;r7?i<751110?82b80034=521b0b>1bbn2wx8ikj:18082b910:<>=4=5g3?<27:o?o54egf?xu3lln1<77:9:0?xu3lli1<77:9:1?xu3llh1<77:9:2?xu3llk1<77:95e?xu3ll31<77:9:3?xu3ll21<7m:9:7?xu3ll=1<7m:9:0?xu3m9?1<7m:9:1?xu3m9>1<7m:9:2?xu3m991<7m:95e?xu3m981<7m:9:3?xu3m9;1<7n:9:7?xu3m9:1<7n:9:0?xu3lol1<7n:9:1?xu3loi1<7n:9:2?xu3lo;1<7n:95e?xu3ll<1<7n:9:3?xu3kln1<7:m63;cd4904g<5=in87:>a:?7g`4=<8k019mj0;62e>;3?lo145:4=55e4?>?<27?;k<58968911a<323863;7g49<=2<5==m47674:?73cg=01>0199ic;:;0>;308>145:4=5:22?>?<27?4<65896891>6i323863;80a9<=2<5=2:i7674:?7<76=01>0196=2;:;0>;3k?>145:4=5`65?>?;27?n9=5e2;891d3032386s|4bga>5<5s4>hil4;869>0fce2l927p};cd;94?4|5=in47:77:?7g`?=m:30q~:le683>7}:18594=5af1?c412wx8nk<:18182dm;0?4:524bg0>`5>3ty?oh?50;0x91eb83>3;63;cd39a6?mo>4?:3y>0ce52=2<70:ic58f7<=z{=lh:7>52z?7bf3=<1=019hl7;g0=>{t6=47{<6eg0<68:9019h;4;:;4>;3nj>14:h4=5d74?>0n27?j9<586d890570323=63:3109<=4<5=lh;768f:p0c24290?a:?7b3`=<8k019h9e;62e>;3n?n18:m63;f7:904g<5=l=;7:>a:?7b30=<8k019h95;62e>;3n?>18:m63;f72904g<5=l>j7:>a:?7b2?=<8k019h88;62e>;3n>=18:m63;f61904g<5=l=57:>a:?7bf7=<8k019hl0;62e>;3nkl18:m63;fc2904g<5=ljj7:>a:?7bdc=<8k019hnd;62e>;3nhi18:m63;f`:904g<5=lj;7:>a:?7bd3=<8k019hn4;62e>;3nh918:m63;f8d904g<5=l2i7:>a:?7b;3nk3183>:m63;fc7904g<5=li87:>a:?7bg7=<8k019hn6;62e>;3n0h18:m6s|4g75>5<50r7?j:?51110?82>=1a34>2j447809>0d61212:70:n128;<4=:<03<656>;<6:1<i0034<524``2>=>634>2:847809>0de>212:70:nd48;<4=:;<6baa=>634>2n447809>0d4c212:70:nbd8;<4=:;<6b7d?034<524g63>=>634>2h>47809>0;<6b0dm:9:3?87d9=034>521b3;>=>434?8<547839>1665212:70:ic68;<5=:;<6b5a1===<;<3`42=4=0a3b?>?82wx8kmm:18182ak90?4:52490`>`5>3ty?jom50;0x91`d83;;?>521b2f>=>73ty?jno50;0x91`en3>3;63;83`9a6?mno4?:3y>0cda28:8?63>c1f9<=6mo44?:5y>0cdb2=2<70:6008;<6=:<1ln656<;<6;6d?:27?4985e2;8yv2am=0;6>u24g`1>1>034>3jk47839>0=232l927p};fd094?5|5=li<7:77:?7{t1685hl:9:1?82?;l0n?45rs5df4?6=;r7?jlk5495891>ai323>63;82a9a6?mhk4?:2y>0cgc2=2<70:7f88;<7=:<19j6h=6;|q7bac=839p19hnc;6;3>;30o2145<4=5:0=>534>3?;4j389~w1`ck3:1?v3;f`c90=1<5=2897k<9:?76}:=5e2;891>a;323>6s|4gf:>5<4s4>mm:4;869>0=552l9270:7f38;<7=z{=lo;7>53z?7bd3=<1=0196<0;g0=>;30ll145<4}r6e`3<72:q68ko;:5:4?82?:l0n?45249g`>=>53ty?ji;50;1x91`f;3>3;63;8479a6?<5=3:>7672:p0cb32908w0:ia387<2=:<1??6h=6;<6:5458908yv2al;0;6>u24gc3>1>034>39?4j389>0<6a21297p};fe394?5|5=l2j7:77:?7<07=m:30197?e;:;6>{t1685;?:d1:?82>8m034?5rs5d`b?6=;r7?j4j5495891>3n3o8563;91a9<=4moh4?:2y>0c?d2=2<70:7438f7<=:<1li656=;|q7b`e=839p19hm9;6;3>;30=o1i>74=5;3f?>?:2wx8kkm:18082aj10?4:52496g>`5>34>2v3;fc590=1<5=2?o7k<9:p0cc>2909w0:ib787<2=:<1>i6h=6;|q7b`>=838p19hm5;6;3>;30=k1i>74}r6ea2<72;q68kl;:5:4?82?<00n?45rs5df2?6=:r7?jo?5495891>303o856s|4gg0>5<5s4>mm;4;869>0=242l927p};fe:94?4|5=l2n7:77:?7<67=m:30q~:ice83>7}:;<7215<3::169<>l:502?835=>0n?4525311>17f34?:584;1`9>17542=;j70;>97875d=:=;9i69?n;<72=`<39h169?=j:53b?836i80?=l52531`>17f34?:5k4;1`9>175c2=;j70;>a1875d=:=;9m69?n;<72e7<39h169?:?:53b?836i:0?=l525317>17f34?:5:4;1`9>17262=;j70;>a5875d=:=;>969?n;<72e0<39h169?=::53b?836110?=l525315>17f34?:544;1`9>175>2=;j70;>9b875d=:=;9<69?n;<72=d<39h169?=7:53b?8361k0?=l525367>17f34?:m:4;1`9>175f2=;j70;=42875d=:=8k=69?n;<710`<39h169:53b?83517f34?98i4;1`9>14d72=;j70;=4g875d=:=8h969?n;<7115<39h16917f34?99<4;1`9>14d32=;j70;=53875d=:=8h>69?n;<7100<39h16917f34?9844;1`9>14gd2=;j70;=46875d=:=8kj69?n;<710=<39h16917f34?98o4;1`9>14gb2=;j70?l2c86600<58i9m7;=579~w04003:19v3:142974b<5<;><7=>c:?6506=;8h018?:0;12e>;2:;81i>74}r7132<72;q69<;?:23:?835:80n?45rs4041?6=:r7>=8>530:89046n3o856s|5357>5<5s4?:9=4<169>177b2l927p}:26194?4|5<;><7=>6:?664g=m:30q~;=7383>7}:=8?;6>5525334>`5>3ty>>;h50;0x90728399;63:2069a6?14372:8=70;=128f7<=z{<8=h7>52z?6506=;;?018<>2;g0=>{t=;:d1:?xu2:?k1<7>=k5e2;8yv35>00;6?u25073>67234?9v3:1429745<5<88=7k<9:p142f2909w0;>518e4g=:9j8i68?;a:p142>2909w0;>518e4d=:9j8i68?;9:p142?2909w0;>518e4<=:9j8i68?;8:p14202909w0;>518e4==:9j8i68?;7:p14212909w0;>518e42=:9j8i68?;6:p14222909w0;>518e43=:9j8i68?;5:p14232909w0;>518e40=:9j8i68?;4:p14242909w0;>518e41=:9j8i68?;3:p14262909w0;>518e47=:9j8i68?;1:p14272909w0;>518e44=:9j8i68?;0:p145a2909w0;>518e45=:9j8i68?518fbc=:9j8i68?518fb`=:9j8i68?518fba=:9j8i68?518fbf=:9j8i68?518fbg=:9j8i68?2909w0;>518fbd=:9j8i68?<9:p145?2909w0;>518fb<=:9j8i68?<8:p14512909w0;>518fb2=:9j8i68?<6:p14522909w0;>518fb3=:9j8i68?<5:p14532909w0;>518fb0=:9j8i68?<4:p14542909w0;>518fb1=:9j8i68?<3:p14552909w0;>518fb6=:9j8i68?<2:p14562909w0;>518fb7=:9j8i68?<1:p14572909w0;>518fb4=:9j8i68?<0:p144a2909w0;>518fb5=:9j8i68?=f:p144b2909w0;>518fac=:9j8i68?=e:p144c2909w0;>518fa`=:9j8i68?=d:p144e2909w0;>518faf=:9j8i68?=b:p144f2909w0;>518fag=:9j8i68?=a:p144>2909w0;>518fad=:9j8i68?=9:p144?2909w0;>518fa<=:9j8i68?=8:p14402909w0;>518fa==:9j8i68?=7:p14412909w0;>518fa2=:9j8i68?=6:p14422909w0;>518fa3=:9j8i68?=5:p14432909w0;>518fa0=:9j8i68?=4:p14442909w0;>518fa1=:9j8i68?=3:p14452909w0;>518fa6=:9j8i68?=2:p142a2909w0;>518e55=:9j8i68?;f:p142b2909w0;>518e4c=:9j8i68?;e:p142c2909w0;>518e4`=:9j8i68?;d:p142d2909w0;>518e4a=:9j8i68?;c:p142e2909w0;>518e4f=:9j8i68?;b:p14252909w0;>518e46=:9j8i68?;2:p14502909w0;>518fb==:9j8i68?<7:p144d2909w0;>518faa=:9j8i68?=c:p14462909w0;>518fa7=:9j8i68?=1:p14472909w0;>518fa4=:9j8i68?=0:p143f2909w0;>51803f=:9j8j68?:a:p143>2909w0;>51803g=:9j8j68?:9:p143?2909w0;>51803d=:9j8j68?:8:p14302909w0;>51803<=:9j8j68?:7:p14312909w0;>51803==:9j8j68?:6:p14322909w0;>518032=:9j8j68?:5:p14332909w0;>518033=:9j8j68?:4:p14342909w0;>518030=:9j8j68?:3:p14052909w0;>5180<1=:9j8j68?92:p14062909w0;>5180<6=:9j8j68?91:p14072909w0;>5180<7=:9j8j68?90:p143a2909w0;>5180<4=:9j8j68?:f:p143b2909w0;>5180<5=:9j8j68?:e:p143c2909w0;>51803c=:9j8j68?:d:p143d2909w0;>51803`=:9j8j68?:c:p143e2909w0;>51803a=:9j8j68?:b:p14352909w0;>518031=:9j8j68?:2:p14362909w0;>518036=:9j8j68?:1:p14>62909w0;>518f<6=:9j8j68?71:p14>72909w0;>518f<7=:9j8j68?70:p141a2909w0;>518f<4=:9j8j68?8f:p141b2909w0;>518f<5=:9j8j68?8e:p141c2909w0;>518f3c=:9j8j68?8d:p141d2909w0;>518f3`=:9j8j68?8c:p141e2909w0;>518f3a=:9j8j68?8b:p141f2909w0;>518f3f=:9j8j68?8a:p141>2909w0;>518f3d=:9j8j68?89:p141?2909w0;>518f3<=:9j8j68?88:p14102909w0;>518f3==:9j8j68?87:p14112909w0;>518f32=:9j8j68?86:p14122909w0;>518f33=:9j8j68?85:p14132909w0;>518f30=:9j8j68?84:p14142909w0;>518f31=:9j8j68?83:p14152909w0;>518f36=:9j8j68?82:p14162909w0;>518f37=:9j8j68?81:p14172909w0;>518f34=:9j8j68?80:p140a2909w0;>518f2c=:9j8j68?9f:p140b2909w0;>518f2`=:9j8j68?9e:p140c2909w0;>518f2a=:9j8j68?9d:p140d2909w0;>518f2f=:9j8j68?9c:p140e2909w0;>518f2g=:9j8j68?9b:p140f2909w0;>518f2d=:9j8j68?9a:p140>2909w0;>518f2<=:9j8j68?99:p140?2909w0;>518f2==:9j8j68?98:p14002909w0;>518f22=:9j8j68?97:p14012909w0;>518f23=:9j8j68?96:p14022909w0;>518f21=:9j8j68?95:p14032909w0;>518f26=:9j8j68?94:p14042909w0;>518f27=:9j8j68?93:p17642909w0;>518f24=:=;>86968;|q6654=838p18?:0;g54>;2:=>18594}r7144<72;q69<;?:d7b?835=8>5e4089043l3>3;6s|50df>5<5s4?:9=4j4c9>172b2=2<7p}:1gf94?4|5<;><7k;3:?661`=<1=0q~;>fb83>7}:=8?;6h=i;<7115<30>1v8?ib;296~;29<:1il;4=4065?2??2wx91>03ty>=k750;0x907283o2:63:24190=114372l2o70;=4487<2=z{<;m;7>52z?6506=m1?018<;6;6;3>{t=8l=6=4={<7215>9654958yv36n:0;6?u25073>`0234?9844;869~w07a:3:1>v3:1429a6c<5<8?m7:77:p14`62909w0;>518f7a=:=;>i6968;|q6631=838p18??c;12g>;2:::1i>74}r7123<72;q69<>l:23a?835:o0n?45rs4051?6=:r7>==m530c89045m3o856s|5347>5<5s4?:174c2l927p}:27194?4|5<;;o7=>8:?667e=m:30q~;=6383>7}:=8:h6>?8;<716<l52530a>`5>3ty>>:k50;0x9077k399563:23c9a6?146d2:8370;=298f7<=z{<852z?655e=;;=018<=7;g0=>{t=;=i6=4={<724f<4:?169?<9:d1:?xu2:>k1<7>?;5e2;8yv35?00;6?u2502`>64634?9>94j389~w040>3:1>v3:11a974`<5<89<7k<9:p170e2909w0;>0b8050=:=;;;6h=6;|q6636=838p18??c;127>;2:9l1i>74}r72g5<72;q69<>l:25`?87d:k0>=n>4}r72fc<72;q69<>l:25a?87d:k0>=oh4}r72f`<72;q69<>l:25b?87d:k0>=ok4}r72fa<72;q69<>l:25:?87d:k0>=oj4}r72ff<72;q69<>l:25;?87d:k0>=om4}r72fg<72;q69<>l:254?87d:k0>=ol4}r72fd<72;q69<>l:255?87d:k0>=oo4}r72f<<72;q69<>l:256?87d:k0>=o74}r72g=<72;q69<>l:2:7?87d:k0>=n64}r72g2<72;q69<>l:2:0?87d:k0>=n94}r72g3<72;q69<>l:2:1?87d:k0>=n84}r72g0<72;q69<>l:2:2?87d:k0>=n;4}r72g1<72;q69<>l:2:3?87d:k0>=n:4}r72g6<72;q69<>l:25e?87d:k0>=n=4}r72g7<72;q69<>l:25f?87d:k0>=n<4}r72g4<72;q69<>l:25g?87d:k0>=n?4}r72f=<72;q69<>l:257?87d:k0>=o64}r72f2<72;q69<>l:250?87d:k0>=o94}r72a2<72;q69<>l:d:0?87d:k0>=h94}r72a3<72;q69<>l:d:1?87d:k0>=h84}r72a0<72;q69<>l:d:2?87d:k0>=h;4}r72a1<72;q69<>l:d:3?87d:k0>=h:4}r72a6<72;q69<>l:d5e?87d:k0>=h=4}r72a7<72;q69<>l:d5f?87d:k0>=h<4}r72a4<72;q69<>l:d5g?87d:k0>=h?4}r72a5<72;q69<>l:d5`?87d:k0>=h>4}r72`c<72;q69<>l:d5b?87d:k0>=ih4}r72``<72;q69<>l:d5:?87d:k0>=ik4}r72`a<72;q69<>l:d5;?87d:k0>=ij4}r72`f<72;q69<>l:d54?87d:k0>=im4}r72`g<72;q69<>l:d55?87d:k0>=il4}r72`d<72;q69<>l:d56?87d:k0>=io4}r72`<<72;q69<>l:d57?87d:k0>=i74}r72`=<72;q69<>l:d50?87d:k0>=i64}r72`2<72;q69<>l:d51?87d:k0>=i94}r72`3<72;q69<>l:d52?87d:k0>=i84}r72`0<72;q69<>l:d4e?87d:k0>=i;4}r72`1<72;q69<>l:d4f?87d:k0>=i:4}r72`6<72;q69<>l:d4g?87d:k0>=i=4}r72`7<72;q69<>l:d4`?87d:k0>=i<4}r72`4<72;q69<>l:d4a?87d:k0>=i?4}r72`5<72;q69<>l:d4b?87d:k0>=i>4}r72gc<72;q69<>l:d4:?87d:k0>=nh4}r72g`<72;q69<>l:d4;?87d:k0>=nk4}r72ga<72;q69<>l:d44?87d:k0>=nj4}r72gf<72;q69<>l:d45?87d:k0>=nm4}r72gg<72;q69<>l:d47?87d:k0>=nl4}r72gd<72;q69<>l:d40?87d:k0>=no4}r72g<<72;q69<>l:d41?87d:k0>=n74}r72b5<72;q69<>l:d42?835;;0?4:5rs43fb?6=:r7>==m5e7289044;3>3;6s|50gf>5<5s4?:175e2=2<7p}:1df94?4|5<;;o7k:2:?666e=<1=0q~;>eb83>7}:=8:h6h:m;<717a<30>1v8?jb;296~;299i1i9=4=400a?2??2wx91>03ty>>=750;0x9077k3oj963:25290=1146d2l3h70;=4087<2=z{<8;;7>52z?655e=m0<018<;2;6;3>{t=;:=6=4={<724f>>;54958yv358=0;6?u2502`>`1e34?9?;4;869~w07an3:1>v3:11a9a26<5<88;7:77:p14`32909w0;>0b8f20=:=;936968;|q65`?=838p18??c;g0a>;2::318594}r72a=<72;q69<>l:d1g?835;h0?4:5rs4060?6=>8l546489042k3><:63:24f9020<5<8>97k<9:p173?2909w0;=5c82465<5<8>o7:77:p173>2909w0;=5b82465<5<8>h7:77:p173f2909w0;=5e82465<5<8>j7672:p65d62908w0lf;296~;58jl1===<;<71e`le;297~;58jo1===<;<03f6=l=:9:7?xu58k21<7464;27965ec2=;j70ld;3376=::9h8656>;<1a6cl7;296~;58j=1===<;<1a6amc;292~;58ko18:m63=0b:904g<5;:ih7k<9:p65db2908w06}::9i>6<><3:?1641=<1=01?>m5;:;4>{t:9i:6=4<{<03g1<68:901?<>6;6;3>;4j;=145=4}r03g5<72:q6>=m<:0207>;5:8?18594=2`10?>?;2wx>=m7:1811~;58j21===<;<03b=<30>16>=k<:9:3?847nk034<5221db>=>6348;ji47809>65`d212:70;<0245<>>:9:2?8468;034<522020>=>6348:<947809>6462212:70<>078;<4=::8:3656>;<0242<>6:9:2?8468h034<52202a>=>6348:646c212:70<>0d8;<4=::8:m656>;<0255:9:2?8469:034<522031>=>6348:=947809>6472212:70<>178;<4=::8;<656>;<025=5221g5>=>53ty9:m63=0b`904g<5;:i;7k<9:p65ef290>w0kf;:;4>;4j:9145=4}r03g<<72?q6>=mm:0207>;58m=145>4=32gf?>?82795c072=2<70?i5g87<2=:9o>:6h=6;|q2b36=838p1;|q2b0`=839p19656?;<3e040:<>=4=0d4=?>?<27:j::586d894`0:323>6s|1g6a>5<4s4;m9h4;1`9>5c3?2=;j70?i4b8f7<=z{8l>i7>53z?2b0c=999870?i438;<4=:;k;?656=;|q2b0>=838p1;6n<<18:m63>f5g9a6?5c2a28:8?63=0`d9<=6<5:h;n7672:p5c342908w0?i5782465<5;:j47:77:?0f5>=0180q~?i5383>6}:9o?>6<><3:?14d1=<1=01>l?3;:;0>{t9o?:6=4<{<3e11<68:901?>n6;6;3>;4io?145:4}r3e1<<72;?p1=>634;m4i47809>5c>a212:70?i918;<4=:9o3:656>;<3e=7=>634;m5;47809>5c?0212:70?i988;<4=:9o33656>;<3e=d=>634;m5h47809>5c?a212:70?ia18;<4=:9ok:656>;<3ee7=>634;mm;47809>5cg0212:70?ia98;<4=:9ok2656>;<3eed:m63>f5:9a6?5c3c28:8?63>f7:9<=7<58l=o7671:?2b26=01:01>l>9;:;6>{t9o?j6=49{<3e1f<68:901;6n?2145>4=0d5g?>?827:j;85892894`08325<5s4;m4;4;869>5c0d2l927p}>f6:94?1|58l3:7:>a:?2b=3=<8k01;6n19185<1s4;m4;4>021894`0i323<63>f7a9<=5<58l<57672:?140>=01;01{t9o<<6=4={<3e<0<30>16=k87:d1:?xu6n>l1<79t=0d;1?77;:16=k9n:9:2?87a>1034?521g4`>=>534;m;447809>653?212?70?ieb8;<5=z{8l=97>52z?2b=2=<1=01{t9o=n6=4<{<3e<1<68:901;6n?<145?4}r3e21<72;q6=k6<:5:4?87a>o0n?45rs0d4`?6=0034?521g45>=>334;m:k47819~w4`1;3:1>v3>f9090=1<58l=i7k<9:p5c1d290>w0?i8382465<58l=57671:?2b30=01901;6n?l145<4}r3e27<72;q6=k6>:5:4?87a>m0n?45rs0d4f?6=>r7:j5?51110?87a>0034=521g45>=>534;m:h47819>5c0a212:70?i6e8;3c=z{8lnh7>52z?2b=g=<1=01{t9o2<6=4={<3e<1<7=t=0d;=?20>27:j:85892894`0?3o856s|1g52>5<4s4;m444;1`9>5c152l9270?ieb8;3c=z{8l357>52z?2b=?=999870?i778;3c=z{;:9:7>52z?1411=<1=01{t9o=86=4=1z?1411=<8k01?>;6;62e>;58=>18:m63=052904g<5;:8j7:>a:?146c=<8k01?>;58:i187540c8976403>:m63=025904g<5;:8:7:>a:?1463=<8k01?><4;62e>;58:918?540c8976483>:m63=05f904g<5;:?o7:>a:?141d=<8k01?>;a;62e>;58=318:m63=03d904g<5;:9i7:>a:?2b22=m:30q~7}::9><6<><3:?2b26=0180q~7}::9>=6968;<3e=g?5;296~;58=<1===<;<3e=f=3;296~;58=>18594=0d:=><:181847<=0:<>=4=0d:f?>?<2wx>=<=:181847<:0?4:521g;4>`5>3ty9<=<50;0x9763;3;;?>521g;;>=>33ty93;63>f849a6?652528:8?63>f859<=2=4?:3y>65262=2<70?i948f7<=z{;:;<7>52z?1417=999870?i978;<1=z{;::j7>52z?1416=<1=01{t9olm6=4={<0305<68:901{t:9;n6=4={<037c<30>16=k7<:d1:?xu6noo1<7u2211f>464;27:j4=5896894`08323?6s|213`>5<5s48;?i4;869>5c?62l927p}>fga94?4|5;:8h7??329>5c?5212?7p}=00`94?4|5;:8o7:77:?2b<6=m:30q~?ifc83>7}::99h6<><3:?2b<7=01>0q~7}::99i6968;<3e>8;296~;58:318594=0d;f?c412wx=kh7:181847;00:<>=4=0d;b?>?<2wx>=?8:181847;10?4:521gcb>`5>3ty:jk950;0x976403;;?>521g:a>=>33ty9<<850;0x9764?3>3;63>f`;9a6?655028:8?63>f`c9<=265512=2<70?ia98f7<=z{8lm97>52z?1460=999870?ia88;<1=z{;::87>52z?1463=<1=01{t9ol?6=4<{<0370<68:901;6n>:145?4}r0356<72;q6>==;:5:4?87ai?0n?45rs0de7?6=:r79<>:51110?87ai>03495rs3226?6=:r79<>=5495894`f=3o856s|1gd1>5<5s48;?>4>021894`f>32386s|2132>5<5s48;??4;869>5cg32l927p}>fg394?4|5;:8>7??329>5cg2212?7p}=00294?4|5;:8=7:77:?2b7}::99:6<><3:?2bd2=01>0q~7}::99;6968;<3e<`=d;296~;58=n18594=0db7?c412wx>=>k:181847=4=0d;a?>?<2wx>=`5>3ty9<=m50;0x9763k3;;?>521gc0>=>33ty93;63>f`39a6?652e28:8?63>f`09<=2<58l<<7674:p654f2909w0;a;3376=:9ok:656;;|q147?=838p1?>;9;6;3>;6n0l1i>74}r034<<72;q6>=:6:0207>;6nh:145:4}r036=<72;q6>=:7:5:4?87a1l0n?45rs323l3o856s|2124>5<5s48;884>021894`>m32386s|2107>5<5s48;?l4;869>5c?f2l927p}=01694?4|5;:8m7??329>5c?c212?7p}=00;94?4|5;:9j7:77:?2b=b=m:30q~?if883>7}::98m6<><3:?2b0q~7}::98n6968;<3e18594=0df7?77;:16?o>::9:7?xu6n>?1<7<>{<3ea1<39h16=kk<:53b?87am80?=l521gg3>17f34;mhk4;1`9>5cbb2=;j70?ide875d=:9onh69?n;<3e`g<39h16=kjn:53b?87al00?=l521gf;>17f34;mh;4;1`9>5cb22=;j70?id5875d=:9on869?n;<3e`7<39h16=kj>:53b?87al90?=l521gae>17f34;moh4;1`9>5cec2=;j70?ie`875d=:9oo269?n;<3ea=<39h16=kk8:53b?87am?0?=l521gg6>17f34;mi?4;1`9>5cb02=;j70?icb875d=:9oii69?n;<3e331===<;<1a43>:9:7?xu6nkl1<7=t=0df5?2??27:jh>51110?85fno03495rs0daa?6=;r7:jh>5495894`cn3;;?>523`df>=>33ty:joj50;1x94`cn3>3;63>feg95554349jji47859~w4`ek3:1?v3>feg90=1<58loh7??329>7d`d212?7p}>fc`94?5|58loh7:77:?2bae=999870=nfc8;<1=z{8lim7>53z?2bae=<1=01;6nmk1===<;<1bb<03495rs0da1?6=;r7:ji65495894`c>3;;?>523c03>=>33ty:jo:50;1x94`c>3>3;63>fe795554349i=k47859~w4`e;3:1?v3>fe790=1<58lo87??329>7g7c21287p}>fc094?5|58lo87:77:?2ba5=999870?i478;<5=z{8li=7>53z?2ba5=<1=01;6nm;1===<;<1a5g5rs0db`?6=;r7:jnh5495894`dm3;;?>523`d0>=>43ty:jlm50;1x94`dm3>3;63>fbf9555434;m8947819~w4`di3:1?v3>fbf90=1<58lnm7??329>7g7>212;7p}>fb;94?5|58lnm7:77:?2b`?=999870?i448;<4=z{8lh47>53z?2b`?=<1=01;6nl=1===<;<1a54j:9:3?xu6nj?1<7=t=0df2?2??27:jh;51110?85e8k034=5rs0d`0?6=;r7:jh;5495894`b:3;;?>523c2;>=>73ty:jn?50;1x94`b:3>3;63>fe595554349i<>47829~w4`e>3:1?v3>fe590=1<58lho7??329>7d`221287p}>f``94?5|58lho7:77:?2bfd=999870;=a98;<6=z{8hno7>52z?2bfd=<1=0152z?14c3=<1=01?>kb;g0=>{t:9o<6=48{<03b0<39h16>=h;:53b?847n:0?=l5221d1>17f348;j<4;1`9>65`72=;j7056z?14c3=9998705<0s48;j94>0218976b1323=63=0e59<=4<5;:on7672:?14`>=01;01??l7;:;0>;594}r03`1<72;q6>=h<:5:4?847l<0n?45rs32f`?6=;r79=>63ty93;63=0eg9a6?65`528:8?63=0e:9<=4<5;:o97674:?14ac=01:0q~7}::9l:6968;<03`ajb;291~;58o;1===<;<03`==j::9:0?847lm034<5221ff>=>53ty93;63=0ea9a6?65`728:8?63=0e:9<=6<5;:o97672:?14ab=01:01?>ke;:;5>;58mi14:h4}r021f<72;q6>=h6:5:4?847lo0n?45rs32e2?6=:r795<49r79m034?52174;>=>534;=:>47839>533b212970?9588;<7=:9???656=;<350c=>534;=?o47839>5351212970?9308;<7=:9?8h656=;<3562=>534;==>47839>536b212970?9088;<7=:9?:?656=;<36bc=>534;>io47839>50c1212970?:e08;<7=:9=>534;>o>47839>50db212970?:b88;<7=:9=>534;>5o47839>50?1212970?:908;<7=:9<2h656=;<36<22793o856s|21g3>5<4s48;j54;1`9>65c62l9270<>5c8;3c=z{;:m47>52z?14c>=99987052z?15g0=<1=01???b;g0=>{t:9o96=4=1z?15g0=<8k01??m5;62e>;59k918:m63=1`d904g<5;;ji7:>a:?15db=<8k01??nc;62e>;59hh18:m63=1`4904g<5;;j97:>a:?15d2=<8k01??n3;62e>;59h818540c8977>n3>:m63=1ca904g<5;;in7:>a:?15gg=<8k01??m9;62e>;59k218:m63=18g904g<5;;2h7:>a:?14`5=m:30q~<>7483>7}::8h=6<><3:?14a`=0180q~<>9583>7}::8h>6968;<024d<9=:181846j:0:<>=4=333e?>?<2wx><7>:181846j;0?4:522025>`5>3ty9=:?50;0x977e:3;;?>522024>=>33ty9=4>50;0x977e93>3;63=1179a6?64d628:8?63=1149<=264d72=2<70<>058f7<=z{;;=j7>52z?15g6=999870<>048;<1=z{;;3i7>52z?15d`=<1=01???3;g0=>{t:8{t:82o6=4={<02e`<30>16><>=:d1:?xu59?n1<7<><:9:7?xu591i1<7j0;6>u220cg>464;279==<58968976cn323?6s|20:a>5<5s48:mn4;869>64672l927p}=17`94?4|5;;jo7??329>6466212?7p}=19c94?4|5;;jn7:77:?14c`=m:30q~<>6`83>7}::8ki6<><3:?1556=01>0q~<>8883>7}::8kj6968;<03b`<88:181846i10:<>=4=32ea?>?<2wx><69:181846i>0?4:52203:>`5>3ty9=;850;0x977f?3;;?>5221db>=>33ty9=5;50;0x977f>3>3;63=10:9a6?64g128:8?63=10;9<=264g22=2<70<>168f7<=z{;;=87>52z?15d3=999870<>198;<1=z{;;3?7>52z?15d2=<1=01??>6;g0=>{t:8<86=4<{<02e1<68:901??>7;:;0>;58ml145?4}r02<7<72;q6>5<5s48:m?4>02189776=32386s|20:3>5<5s48:m<4;869>64742l927p}=17294?4|5;;j=7??329>6473212?7p}=16d94?4|5;;j<7:77:?155>=m:30q~<>5g83>7}::8k;6<><3:?1545=01>0q~<>7d83>7}::83m6968;<03ba<9l:181846jj0:<>=4=32e`?>?<2wx><7m:181846jk0?4:522032>`5>3ty9=:l50;0x977ej3;;?>522031>=>33ty9=4o50;0x977ei3>3;63=1029a6?64df28:8?63=1039<=2<5;:oj7674:p64?>2909w0<>b887<2=::8:m6h=6;|q152?=838p1??m9;3376=::8;;656;;|q15<>=838p1??m8;6;3>;599o1i>74}r023=<72;q6>;599l145:4}r02=2<72;q6>5<5s48:n94>02189777l32386s|20;0>5<5s48:m44;869>646>2l927p}=16194?4|5;;j57??329>646d212?7p}=19:94?4|5;;2i7:77:?14ce=m:30q~<>6983>7}::83n6<><3:?155?=01>0q~<>7e83>7}::83o6968;<03bg1<7<>{<0216<39h16><;=:53b?846=90?=l52206e>17f348:8h4;1`9>642c2=;j70<>4b875d=::8>i69?n;<020d<39h16><:6:53b?846<10?=l522064>17f348:884;1`9>64232=;j70<>42875d=::8>969?n;<0204<39h16><:?:53b?846;o0?=l52201f>17f348:?i4;1`9>645d2=;j70<>58875d=::8?369?n;<0212<39h16><;9:53b?846=<0?=l522077>17f348:9<4;1`9>64212=;j70<>3c875d=::89j69?n;<03a0:9:3?xu59;o1<7=t=3364?2??279=9h51110?835ko034=5rs331`?6=;r79=9h549589773m3;;?>5253ag>=>73ty9=?m50;1x9773m3>3;63=15f9555434?9ol47819~w775j3:1?v3=15f90=1<5;;?o7??329>17e?212;7p}=13c94?5|5;;?o7:77:?151d=999870;=c78;<5=z{;;957>53z?151d=<1=01??;a;3376=:=;i?656?;|q157>=839p1??;a;6;3>;59=31===<;<71g75253`b>=>73ty9=?=50;1x9773=3>3;63=1569555434?9n947829~w775:3:1?v3=15690=1<5;;??7??329>17`b21287p}=13394?5|5;;??7:77:?1514=999870;=fc8;<6=z{;;9<7>53z?1514=<1=01??;1;3376=:;k89656>;|q154`=839p1??;1;6;3>;59=:1===<;<1a71e;297~;59=:18594=330b?77;:16?o==:9:2?xu598n1<7=t=330b?2??279=>k51110?85e:?034<5rs332g?6=;r79=>k549589774l3;;?>523c00>=>63ty9=3;63=12a95554349i><47809~w77413:1?v3=12a90=1<5;;>57??329>7g54212:7p}=12:94?5|5;;>57:77:?150>=999870=m308;<4=z{;;8;7>53z?150>=<1=01??:7;3376=:;k8m656>;|q1560=839p1??:7;6;3>;59<<1===<;<1a6a1<7=t=3361?2??279=8:51110?835lo034>5rs3307?6=;r79=8:54958977293;;?>5221`6>=>63ty9=>>50;1x977293>3;63=15495554349i>:47809~w775=3:1?v3=15490=1<5;;8n7??329>7g43212:7p}=10c94?5|5;;8n7:77:?156g=999870;=b98;<6=z{8hn47>52z?156g=<1=01{t:9kn6=4={<03ea<30>16>=oi:d1:?xu581>0348;9?4j389~w762k3:1>8u2217`>464;27:j::5893894`?k323>63>f9`9<=4<58l3i7672:?2b=b=01801;6n0:145<4=0d:5?>?:27:j4<5890894`>;323>63>f869<=4<58l297672:?2b<0=01801;6n03145<4=0d:?:27:j4o5890894`>j323>63>f8a9<=4<58l2h7672:?2b;6nh:145<4=0db5?>?:27:jl<5890894`f<323>63>f`19<=4<58lj97672:?2bd0=01801;6nh2145<4=0db=?>?:27:jlo5890894`0?323863=0`d9<2`<5;:>:7671:p652a2909w0;6n1i145>4=0d;f?>?827:j5k5892894`?l323<63>f9d9<=6<58l2<7670:?2b<7=01:01;6n09145>4=0d:0?>?827:j4;5892894`>>323<63>f859<=6<58l257670:?2b<>=01:01;6n0h145>4=0d:g?>?827:j4j5892894`>m323<63>f8d9<=6<58lj<7670:?2bd7=01:01;6nh>145>4=0db7?>?827:jl;5892894`f>323<63>f`59<=6<58lj47670:?2bd?=01:01;6n12145>4=0d43?>?;279<865890894`bk323?63=0449<=64?:3y>653e2=2<7057>52z?140d=<8k01?>:a;g0=>{t:9?i6=4;{<031g<68:901?>:4;:4b>;58<:14:h4=3266?>0n2wx>=om:181847i00?4:5221c`>`5>3ty:j8>50;:x976f13>:m63=0`c90=1<58l:a;:4b>;58<:145?4=3266?>?9279=o>:555?847i90?;;5221;e>111348;5h4;779>65?c2===70=6k:555?8470o0?;;5221;1>111348;5>4;779>65?62===70=a:?14=2=<><01?>75;642>;581<18:84=32;3?20>279<5654648976?13><:63=09c9020<5;:3n7:86:?14=e=<><0q~2}::9kj6<><3:?1402=01;01?>:a;:;4>;58<:145<4=3266?>?:279<86586d8976fk325<3s48;m54;1`9>65g02=;j70n8;3376=::9=n656?;<033c=6?:95e?84708034?5221:1>=>73ty952215f>=1a348;4<47819>65>5212:7p}=0`194?5|5;:j:7??329>651a21=m7052z?164e=<1=01?<>e;g0=>{t:8i?6=4={<015f<39h16>{<015f<68:901<89c;:;7>;6>?=145=4=0456?>?;27::8j5891894020323?63>6419<=5<58;6>:l145=4=040e?>?;27::>;5891894048323?63>63`9<=5<58<9:7673:?2277=01901<8>c;:;7>;6>8=145=4=0426?>?;27::=j5891894070323?63>6119<=5<58?mi7673:?21c?=01901<;i4;:;7>;6=ll145=4=07fe?>?;27:9h;58918943b8323?63>5e`9<=5<58?o:7673:?21a7=01901<;lc;:;7>;6=j=145=4=07`6?>?;27:9oj58918943e0323?63>5c19<=5<58?ji7673:?21d?=01901<;n4;:;7>;6=0l145=4=07:e?>?;27:94;58918943>8323?63>59`9<=5<58?3:7673:?21=7=0190q~<>c183>7}::8ii6968;<02g4<3:?14`5=01;01?>ib;:;6>;58ok145<4=32e`?>?:27963=0gd9<=4<5;;;<7672:?1557=01801???2;:;6>;5999145<4=3330?>?:279==;589089777>323>63=11:9<=4<5;;;;7672:?155?=01801???a;:;6>;599h145<4=333g?>?:279==j589089777m323>63=11d9<=4<5;;:<7672:?1547=01801??>3;:;6>;5988145<4=3320?>?:279=<;589089776>323>63=1059<=4<5;;:47672:?154?=01801?>j6;:;0>;5:8o14:h4=33`1?>?92wx>`5>3ty9=nm50;0:846kj0:<>=4=32f=?>?:27963=0g`9<=6<5;:mm7670:?14cb=01:01?>ic;:;4>;58oo145>4=32eb?>?8279==>5892897779323<63=1109<=6<5;;;?7670:?1552=01:01???5;:;4>;599<145>4=333?8279==95892897771323<63=11c9<=6<5;;;n7670:?155e=01:01???d;:;4>;599o145>4=333b?>?8279=<>5892897769323<63=1019<=6<5;;:>7670:?1542=01:01??>5;:;4>;598<145>4=3323?>?8279=<65892897761323<63=0g59<=6<5;:n:7673:?15f1=01801??:b;:;7>;59j?145>4}r02g7<72;q6>5<3s48:ol4>0218977d;328;62e>;5:8318594=32f=?c41279=n=58928977d1324?|5;8:47??329>67772===70<=0g8733=::;:n6999;<014a<3??16>?>l:555?8458k0?;;52232b>111348:jk4;779>64`d2===70<>fd8733=::;::6999;<0147<3??16>?>?:555?846nm0?;;5220d1>465i279=k=54648977a<3><:63=1g79020<5;;m:7:86:?15c1=<><01??i8;642>;59o318:84=33ee?20>279=kl54648yv45900;6:u2233:>464;279=n=58938977d1323<63=1cd9<=4<5;;h=7672:?15f1=0>l01?<>b;:4b>{t:8i=6=4;{<0152<39h16>??9:53b?8459<0?=l5220a4>`5>3ty9><:50;4x9746?3;;?>5220gg>=>7348:ih47839>64ca21=m70<>f18;<7=::8l:656?;|q1645=83>p1?<>6;3376=::8oo659i;<02b5:9:2?xu5:881<7=t=3021?77;:16>3;63=1g`955543tyi:?4?:3y>64cf2=8?70<>de8a2<=z{0?n6=4={<02ad016>bn279=ij51147?846nh0:<>=4}r02`g<72:q6>95220fa>g0>3tyi:<4?:3y>64c>2k<270<>f`87<2=z{;;m57>54z?15`>=0ll01??kb;3321=::;:m6968;<02b<<68:90q~<>d883>6}::8o369<;;<02`g<3:=16>90;6?u220g;>g0>348:j44;869~w77a03:1?v3=1d59<``<5;;o57??659>64`?28:8?6s|20f4>5<4s48:i:4;259>64b>2=8?70<>d68a2<=z{k?m6=4={<02a2016>bn279=i951147?8458l0?4:5220d4>464;2wx>95220f4>143348:h84m689~wg3b2909w0<>e78a2<=::8l<6968;|q15c0=839p1??j5;:fb>;59m?1==8;;<02b3<68:90q~<>d283>6}::8o>69<;;<02`0<3:=16>g0>348:j;4;869~w77a=3:18v3=1d69<``<5;;o?7??659>676c2=2<70<>f48246564c32=8?70<>d28761=::8n:6o86;|qa1f<72;q6>1>0348:j94>0218yv46ko0;6>u220g0>143348:h<4;259>64ea2k<27p}m5c83>7}::8o86o86;<02b1<30>1v??i3;290~;59l814hh4=33`b?77>=16>?>m:5:4?846n:0:<>=4}r02g`<72:q6>95220af>g0>3tyi9l4?:3y>64c52k<270<>f287<2=z{;;oj7>53z?15`7=0ll01??le;3321=::8o;6h=6;|qa1<<72;q6>:c4:?846n;0?4:5rs303=?6=;r79><>51110?846nj0?4:5220ge>=>43ty9>=650;1x9747n3;;?>5220df>1>0348:ii47859~w747?3:1?v3=21g955543489<<4;869>64`721287p}=21494?5|5;8;h7??329>64`c2=2<70<>ee8;<4=z{;8;97>53z?165e=999870<=0387<2=::8om656>;|q1652=839p1?5rs33eg?6=:r79=km51110?846mo034?5rs33ea?6=:r79=kk51110?846mm034>5rs3035?6=:r79>=?51110?846n9034<5rs3036?6=:r79>=<51110?846mo034=5rs3034?6=:r79>=>51110?846ml034=5rs33e`?6=:r79=kj51110?846mm034?5rs32;g?6=;r79<:l58dd8976f93>3;63=09a955543ty2:54?:3y>651e2=8?70016>=6l:5:4?xu581h1<7=t=324e?>bn279<;k51147?8470k0:<>=4}r032f<72:q6>=9n:507?847>l0?>952214`>g0>3ty2::4?:3y>651f2k<27054z?142?=0ll01?>9c;3321=::9k;6968;<036}::9=269<;;<032f<3:=16>=8n:c4:?xu>>?0;6?u2215:>g0>348;4l4;869~w76?13:1?v3=06:9<``<5;:=m7??659>65>>28:8?6s|214;>5<4s48;;54;259>650f2=8?706=4={<033=016>=66:5:4?xu58121<7:t=3243?>bn279<;651147?8471o0?4:5221:;>464;2wx>=89:180847?>0?>952214;>143348;:;4m689~w<032909w086;:fb>;58?<1==8;;<03<2<68:90q~6}::9==69<;;<0323<3:=16>=8;:c4:?xu>>:0;6?u22155>g0>348;4:4;869~w76?>3:18v3=0679<``<5;:=87??659>65?b2=2<7065122=8?70=9::c4:?8470?0?4:5rs32;1?6=1>0348;484>0218yv47>90;6>u22157>143348;:?4;259>65072k<27p}66083>7}::9=?6o86;<03<0<30>1v?>74;290~;58>914hh4=3254?77>=16>=7l:5:4?8470=0:<>=4}r031c<72:q6>=9<:507?847>90?>952217e>g0>3ty2:=4?:3y>65142k<27053z?1424=0ll01?>:f;3321=::9=:6h=6;|q:1c<72;q6>=9=:c4:?8470:0?4:5rs32:e?6=;r79=>43ty9<4750;1x976f83;;?>5221:e>1>0348;;h47859~w76>03:1?v3=08d95554348;5?4;869>65>621287p}=08594?5|5;:2i7??329>65>b2=2<7053z?14;|q14<3=839p1?>6c;3376=::93:6968;<033c73;297~;580h18594=32;7?77;:16>=9>:95e?xu580>1<7=t=32:f?77;:16>=7?:5:4?8470;034?5rs32:4?6=:r79<4>51110?8470;034>5rs32;`?6=:r79<5j51110?84709034?5rs32;b?6=:r79<5h51110?847?l034>5rs32:6?6=:r79<4<51110?84708034<5rs32:7?6=:r79<4=51110?84709034=5rs32:5?6=:r79<4?51110?847?o034=5rs32;a?6=:r79<5k51110?847?l034?5rs561`?6=:r7?8;754958912483o856s|4512>5<3s4>?:44;1`9>010?2=;j70:;66875d=:<=996h=6;|q7030=839p19:99;3376=:<=9;659i;<67e310:<>=4=5604?>?827?8?h58928912f>323<6s|450a>5<5s4>?::4;869>014b2l927p};47694?3|5=>=;7??329>0157212:70:;2g8;3c=:<=8n659i;<67e3:18a823i80:<>=4=5604?>?:27?8l=586d89125n323=63;43g9<=6<5=>j9768f:?702d=018019:<6;:;6>;3<:8145=4=5600?>?827?8;=58908yv23190;6?u245;f>1>034>?594j389~w12>=3:19v3;48g904g<5=>2h7:>a:?70;3<0<1i>74}r67=d<72:q6897j:0207>;3<0>145?4=56b4?>?92wx896i:1818231m0?4:5245;0>`5>3ty?84750;6x912>l3;;?>5245;0>=>634>?5947859>01g721287p};49g94?4|5=>2o7:77:?70<4=m:30q~:;9983>0}:<=3h6<><3:?70<4=018019:63;:;7>;3<0>145=4=56b4?>?:2wx896k:1818231k0?4:5245;2>`5>3ty?84950;7x912>j3;;?>5245;1>=>634>?5>47839>01?3212970:;908;3c=z{=>j97>52z?70d2=<1=019:n5;g0=>{t<=k?6=47{<67e1<68:9019:n3;:;6>;34=564f?>?;27?8>8586d89124:323>63;4269<=5<5=>=?7673:p00312902w0::7e875d=:<<=n69?n;<663c<39h16886?:53b?822080?=l5244:1>17f34>>4>4;1`9>00>32=;j70::568f7<=z{=?<97>52z?712b=999870::6e87<2=z{=?<:7>52z?712c=999870::6d87<2=z{=?<;7>52z?712`=999870::6g87<2=z{=?<47>52z?71=6=999870::7187<2=z{=?<57>52z?71=7=999870::7087<2=z{=?52z?71=4=999870::7387<2=z{=?52z?71=5=999870::7287<2=z{=?52z?71=2=999870::7587<2=z{=?>87>59z?713b=<8k019;9e;62e>;3=?l18:m63;561904g<5=?<87:>a:?7103=m:30q~::6483>7}:<<<3:?716`=0190q~::6783>7}:<<<3:?7116=01;0q~::6683>7}:<<<3:?716`=01;0q~::6983>7}:<<=;6<><3:?7116=0190q~::6883>7}:<<=:6<><3:?716`=0180q~::6`83>7}:<<=96<><3:?7116=0180q~::6c83>7}:<<=86<><3:?716`=01>0q~::6b83>7}:<<=?6<><3:?7116=01>0q~::6583>7}:<<<86968;<6603:0:<>=4=576=?>?<27?99<589289133>323<63;5709<2`<5:km97672:?7136=019019;:5;:;5>{t<<>h6=4={<6615<30>1688:=:d1:?xu3=h91<7ot=5764?26i27?98?540c89132:3>:m63;541904g<5=?397:>a:?71d5=999870::5`8;<6=:<<>=656>;<661f524461>=1a34>>8947819>002421=m70::448;<5=:<<>=659i;<661f:5:4?822<:0n?45rs577=>634>>8?47809>0023212:70::428;<5=:<<>>656>;<6603=>734>>8=477g9~w133m3:1>v3;54090=1<5=??87k<9:p002>290hw0::5382465<5=?>m7671:?7117=018019;;2;:;6>;3==>14:h4=5777?>?927?99;589089133>323>63;54a9<=7<5=?>i7673:?716`=0>l019;;0;:;4>{t<<>m6=4={<6616<30>1688:::d1:?xu3==k1<77t=5767?77;:1688;n:9:1?822<8034=524461>=>434>>8>47839>002221=m70::478;<1=:<464;27?8:=54958yv23;:0;64u245:7>17f34>?484;1`9>01>12=;j70:;86875d=:<=2369?n;<67<<<39h16896n:53b?8230k0?=l524517>`5>3ty?8:m50;0x912?<3;;?>524551>1>03ty?8:k50;1x912?=3>3;63;4949555434>?;94;869~w120n3:1?v3;49490=1<5=>3;7??329>01122=2<7p};49294?5|5=>3;7:77:?70=>=999870:;7787<2=z{=>3=7>53z?70=>=<1=019:79;3376=:<==<6968;|q70=4=839p19:79;6;3>;3<1k1===<;<673=<30>1v9:73;297~;3<1k18594=56;f?77;:168996:5:4?xu3<0l1<76t=56;f?2??27?8l=58928912f=323?63;48d9555434>?;o47809>0151212870:;338;<1=:<=k;656;;|q7063=833p19:82;62e>;3<>9183>:m63;465904g<5=><47:>a:?702?=<8k019:<6;g0=>{t<={t<={t<={t<={t<={t<={t<==;6=4={<673=<68:9019=l4;726>{t<==:6=4={<673<<68:9019=l4;727>{t<==j6=4;{<6011<30>16899n:0207>;3<>h145>4=5142?>?92wx8>;;:181824==0:<>=4=5142?>0n2wx8>;=:1825~;3;?i18:m63;37:904g<5=9=;7:>a:?7722=<8k019=83;62e>;3;>818540c89151n3>:m63;37g904g<5=9=h7:>a:?7730=<8k019=95;62e>;3;<91i>74}r601g<72;q68>8l:0207>;38m:0207>;38n:0207>;386:0207>;387:0207>;388:0207>;39;:0207>;39<:0207>;39=:0207>;39>:0207>;39?:0207>;38i:0207>;38j:0207>;38k:0207>;389:0207>;38::0207>;314:h4}r670f<72;q689;>:9ge?8235<5s4>?9<4m689>015b212;7p};45c94?5|5=>><76jf:?7011=99?:7>53z?7006=<;>019:;7;610>;3<=<1n;74}r677d<72;q689;?:c4:?823<:034=5rs5673;;:952456:>`5>3ty?89:50;1x9123n3>9863;4549072<5=>?87l99:p015>2909w0:;4g8a2<=:<=>9656?;|q7000=83;3<=>1==8;;<671`<68:9019:;3<=;145=4=5661?>?<2wx89=7:181823=>73ty?88j50;0x912193>3;63;42g9a6??9?4?:4y>01062=;j70:;61875d=:<=?m69?n;<671`<39h1689;<:d1:?xu3<<31<7;t=5655?77;:1689=k:95e?823<8034=524576>=>734>?8i477g9~w122k3:1>v3;47290=1<5=>??7k<9:p013?290>w0:;6182465<5=>8h7670:?7017=01;019::5;:;5>;3<=h14:h4}r671g<72;q689;i:5:4?823<;0n?45rs5663?6==r7?88h51110?823;m034<524562>=>534>?9847829>012>21=m7p};44c94?4|5=>>i7:77:?706`=m:30q~::9c83>7}:<=>53ty?94750;1x913>n32nj63;5849550334>>5l4j389~w13>=3:1?v3;58d9072<5=?2:7:=4:?71<3=j?30q~::8`83>7}:<<3m6o86;<66=7=168877:d1:?xu3=091<7=t=57:a?25<27?94;54368913>;3h=56s|44::>5<5s4>>5h4m689>00?621297p};5`694?3|5=?2h76jf:?71<5=997}:<<3o6o86;<66=5:186822io0?=l5244cf>17f34>>mi4;1`9>00gd2=;j70::a38f7<=z{=?j;7>54z?71d`=999870::8e8;3c=:<<2n659i;<66=f=4=57;`?>?827?95k58928913>i325<5s4>>mi4;869>00?62l927p};5`794?2|5=?jh7??329>00>c212:70::8d8;<4=:<<33659i;|q71d>=838p19;nc;6;3>;3=0:1i>74}r66<2<72;q688o<:5:4?8220l0n?45rs56f3?6=:r7?9=?58dd8912b03o856s|45f0>5<5s4>><<4;259>01b42k<27p};4`g94?4|5=?;=7l99:?70fg=0190q~:;e483>6}:<<:;65ki;<67`6<68?>019:j6;g0=>{t<=n96=4<{<6645<3:=1689j<:507?823l;0i:45rs56b`?6=:r7?9=>5b7;8912d1323?6s|45g0>5<4s4>?jk47eg9>01b528:=863;4d69a6??h<4?:2y>01`a2=8?70:;d38761=:<=n:6o86;|q70de=838p19:if;`5=>;395245f2>14334>?h=4m689~w12fj3:1>v3;4gg9f3?<5=>h;7673:p01ba2908w0:;fe8;ac=:<=n;6<>94:?70`6=m:30q~:;cg83>6}:<=lo69<;;<67`5<3:=1689mi:c4:?xu3u245d`>=ca34>?ok4>0768912cm3o856s|45f`>5<4s4>?jn4;259>01ea2=8?70:;db8a2<=z{=>j57>52z?70ce=j?3019:l5;:;7>{t<=l26=4<{<664d;374}r67`g<72:q688>n:507?823lj0?>95245fa>g0>3ty?8o950;0x9137i3h=563;4b19<=5?j:4?:2y>006>21om70:;dc82432<5=>m47k<9:p01bf2908w0::088761=:<=ni69<;;<67`d01v9:m6;296~;3=931n;74=56`6?>?;2wx89h::1808228103ik5245fb>461<27?8k85e2;8yv23l00;6>u2442;>14334>?hl4;259>01b>2k<27p};4c794?4|5=?;47l99:?70f7=0190q~:;f283>6}:<<:<65ki;<67`<<68?>019:i4;g0=>{t<=n36=4<{<6642<3:=1689j6:507?823l10i:45rs56a0?6=:r7?9=95b7;8912d8323?6s|45d2>5<4s4>><;47eg9>01b?28:=863;4g09a6??h:4?:2y>00612=8?70:;d98761=:<=n<6o86;|q70g5=838p19;?6;`5=>;3::9ge?823l>0:<;:4=56e4?c412wx89j9:1808228<0?>95245f4>14334>?h;4m689~w12e:3:1>v3;5179f3?<5=>ii7673:p01cc2908w0::058;ac=:<=n=6<>94:?70`c=m:30q~:;d483>6}:<<:?69<;;<67`3<3:=1689j::c4:?xu3u24420>=ca34>?h84>0768912bk3o856s|45f7>5<4s4>><>4;259>01b22=8?70:;d58a2<=z{=>i<7>52z?7155=j?3019:lc;:;7>{t<=o26=4<{<6647;374}r67ga<72:q688>=:507?823l=0?>95245ag>g0>3ty?8lh50;0x9137:3h=563;4b`9<=5>01`e21om70:;ce82432<5=?9o7??329>01df212;7p};4`:94?4|5=>mn7l99:?70f2=0190q~::2383>7}:<<986968;<67gd2;297~;3=:91===<;<67ffu24411>464;27?8om58938912b>325<5s4>>?<4;869>01e?2l927p};50294?5|5=?8=7??329>01dd21=m70:;e58;3c=z{=?:j7>52z?7166=<1=019:l7;g0=>{t<<:m6=4<{<6675<68:9019:mc;:;4>;3=1a3ty?93;63;4b79a6?>004b28:8?63;4c`9<=5<5=>oi768f:p004e2909w0::3c87<2=:<=i86h=6;|q714d=839p19;=4=56af?>?927?8k6586d8yv22:00;6?u2441:>1>034>?o<4j389~w13613:1?v3;52;9555434>?no477g9>01`121=m7p};53:94?4|5=?847:77:?70f6=m:30q~::1983>6}:<<936<><3:?70gd=01:019:i4;:4b>{t<<8<6=4={<6672<30>1689li:d1:?xu3=8=1<7=t=5703?77;:1689ln:9:7?823n;03;k5rs5712?6=:r7?9>854958912em3o856s|4435>5<4s4>>?;4>0218912ei323?63;4g29<2`>>84?:3y>00522=2<70:;be8f7<=z{=?:97>53z?7163=999870:;b`8;<7=:<=on659i;|q7172=838p19;<4;6;3>;374}r6651<72:q688=;:0207>;30n2wx88<<:181822:m0?4:5245aa>`5>3ty?9<=50;1x9135l3;;?>5245`b>=1a34>?il477g9~w136k3:1>v3;53a90=1<5=>h87k<9:p01d?290:>v3;51`90=1<5=>io7k<9:?70f2=018019:lb;:;6>;3?:27?8ok58908912en323>63;4b29<=4<5=>h=7672:?70f4=018019:l3;:;6>;3?:27?8n958908912d0323>63;4bc9<=4<5=>h57672:p006e290=w0::0c82465<5=>2:7672:?7005=01:019;7e;:;7>;3=h8145?4=5661?>?:2wx8>hk:1868241>03ik52452:>464;27??k8586d8915cn323=63;3b696fe85?4?:3y>06?02=8?70:<938a2<=z{=9h57>52z?77<1=j?3019:?9;6;3>{t<=:=6=49{<60e47=:0250>;3<8;1===<;<60b3k=:9:0?824k=09oo5rs51:4?6=;r7??l?54368915>:3>9863;3829f3?8ho4?:3y>06g62k<270:;1087<2=z{=>;97>57z?77d6=0ll019=60;3321=:<=;;6<><3:?77`>=0>l019=i7;:;5>;3;l9145?4=51`0?4di2wx8>6j:180824i90?>95242;3>14334>84h4m689~w15c13:1>v3;3`29f3?<5=>:<7:77:p01632903w0:<9g8;ac=:<:2n6<>94:?705`=999870:;<60b5k<:9:1?824k=09o45rs51;g?6=;r7??4h54368915?m3>9863;39a9f3?8h:4?:3y>06?a2k<270:;0g87<2=z{=>;?7>58z?77<3:?77c1=0>l019=j2;:;5>;3;ll145=4=51e4?>?827??n:52e68yv240h0;6>u242;f>14334>84n4;259>06>f2k<27p};3e794?4|5=92i7l99:?705c=<1=0q~:;0383><}:<:3o65ki;<60019:?d;3376=:<:o=659i;<60b<km:9:7?824m8034?5242d7>=1a34>8o94=d29~w15?03:1?v3;38f9072<5=93m7:=4:?77=>=j?30q~:7}:<:3o6o86;<674a<30>1v9:?1;29<~;3;0i14hh4=51;=1689>l:0207>;3;l>145>4=51e=?>?927??hl58938915a;323?63;3b696a484;4?:2y>06?d2=8?70:<898761=:<:2=6o86;|q77a7=838p19=6c;`5=>;3<9i18594}r6745<720q68>7m:9ge?8240?0:<;:4=563f?77;:168>kk:9:3?824n0034=5242ga>=>534>8j>47809>06`3212970:53z?77019=76;610>;3;1>1n;74}r60gc<72;q68>7m:c4:?8238k0?4:5rs51eb?6=1r7??4o58dd8915?<3;;:952452b>464;27??k7586d8915bj323?63;3d39<=7<5=9m?768f:?77c2=019019=l4;0g4>{t<:296=4<{<60=d<3:=168>6;:507?8240;0i:45rs51``?6=:r7??4o5b7;89127i3>3;6s|42df>585447eg9>06>528:=863;41:9555434>8j447859>06ce212;70:g0>34>?<54;869~w15><3:1?v3;3849<``<5=93=7??659>06?22l927p};3b594?4|5=92:7l99:?7051=<1=0q~:6}:<:h365ki;<6764<30>168>mn:0207>{t1>>1<7v3;3c:9f3?<5=9hm7:77:p06bd290?w0:94:?707?=<1=019=kc;3376=z{=9i=7>53z?77f4=<;>019=m3;610>;3;k;1n;74}r;47?6=:r7??n<5b7;8915ck3>3;6s|42fb>5<3s4>8o<47eg9>06d628:=863;43:90=1<5=9om7??329~w15fn3:1?v3;3b39072<5=9i=7:=4:?77d`=j?30q~782;296~;3;j;1n;74=51ge?2??2wx8>j7:187824k903ik5242ce>461<27?8?954958915c03;;?>5rs51b`?6=;r7??n>54368915fn3>9863;3`f9f3?52z?77f6=j?3019=k8;6;3>{t<:n=6=4;{<60fcok:0250>;3<;<18594=51g2?77;:1v9=nb;297~;3;kl18?:4=51b`?25<27??ll5b7;8yv?083:1>v3;3cd9f3?<5=9o:7:77:p06b3290?w0:94:?7073=<1=019=k4;3376=z{=9j57>53z?77gc=<;>019=nb;610>;3;h31n;74}r;5b?6=:r7??ok5b7;8915c<3>3;6s|42f1>5<3s4>8ni47eg9>06g>28:=863;43690=1<5=9o>7??329~w15f?3:1?v3;3cf9072<5=9j57:=4:?77d1=j?30q~79e;296~;3;kn1n;74=51g6?2??2wx8>j?:187824jj03ik5242c4>461<27?8?=54958915c83;;?>5rs51b1?6=;r7??om54368915f?3>9863;3`79f3?52z?77ge=j?3019=k0;6;3>{t<:in6=4;{<60fgo::0250>;3<;818594=51`a?77;:1v9=n3;297~;3;kh18?:4=51b1?25<27??l=5b7;8yv?1k3:1>v3;3c`9f3?<5=9hi7:77:p06ed290?w0:94:?7076=<1=019=lc;3376=z{=9j>7>53z?77gg=<;>019=n3;610>;3;h81n;74}r;5f?6=:r7??oo5b7;8915dk3>3;6s|42`6>5<4s4>8n:47eg9>06g528:=863;3c49a6?52z?77g1=j?3019=l8;6;3>{t<:lj6=4l{<674<<39h1689?>:53b?823990?=l52452e>17f34>?016c2=;j70:;0b875d=:<=:i69?n;<674d<39h1689>7:53b?8238>0?=l5242da>`5>3ty??km50;5x9127?3;;?>5242d:>=>434>8io477g9>06c521=m70:659i;<60g1<5kl1v9:>2;2952}:<=8:69?n;<676<<39h1689<7:53b?823:>0?=l524505>17f34>?>84;1`9>01432=;j70:;22875d=:<=8969?n;<6765<39h1689?i:53b?8239:0n?45242a;>17f34>8on4;1`9>06eb2=;j70:j9:53b?824l10?=l5242fb>17f34>8hn4;1`9>06ef2=;j7p};40794?2|5=>9=7??329>06`1212:70:p19:=9;3376=:<:l=656=;<60a7m;:3;2?xu3<8n1<7:t=561k7:9:3?824m:03;k5242a7>7?73ty?85242ge>=>534>8j=47829>06c4212;70::n7>55z?7070=999870:m;:3;b?xu3<8k1<7;t=5611?77;:168>k9:9:3?824m8034=5242d7>=>734>8o94=989~w12613:1?v3;4369555434>8i9477g9>06e32;337p};40:94?3|5=>9?7??329>06cc21=m70:;<60g1<51>1v9:>7;291~;3<;81===<;<60a4h<:9:1?824n=03495242a7>7?13ty?8<850;6x912583;;?>5242d6>=>534>8hk47819>06e32;3>7p};3b:94?5|5=>:j7:77:?77f>=999870::87>54z?704`=999870:656?;<60g1<51=1v9=ke;297~;3;mn18594=51ef?>?;27??ih5e2;8yv24lm0;6?u242fg>464;27>>l658908yv7fn90;6>u217;a>=>634;i;84>021894079325<5s4;=5o47839>5gda28:8?6s|1c30>5<5s4;=5o47829>5g?f28:8?6s|1``a>5<4s4;=5o47859>5g5e28:8?63>5969<2`53?e2l9270;=a88;<5=z{8=m;7>53z?22db=01;01;60;31===<;|q2<46=839p1<8nd;:;6>;69j>1;:<4=0:71?77;:1vhn145=4=0`f4?>?<27:nnj5896894e?m3;;?>5rs0a1a?6=bbd9<=2<58i9i7??329~w40fl3:1>v3>6`f9a6?<5<8j57672:p5d`e2908w0?99b8;<4=:9k296<><3:?226>=0>l0q~?nc383>7}:9?3h656=;<3a0=<68:90q~?m1d83>7}:9?3h656<;<3ae2<68:90q~?nc783>6}:9?3h656;;<3a7c<68:901<;79;:4b>{t9?3h6=4={<35=f?927:=9;5760894>5l3;;?>5rs0:2f?6=;r7::lk58908947d?3=<>63>840955543ty:olm50;6x940fm323?63>be49<=5<58hh87673:?2gde=99987p}>c5a94?2|58;6k=i1===<;|q22dc=838p1<8ne;g0=>;6>1i14:h4}r1a52171g>=1a3ty:mn=50;0x940f=323>63>b5;955543ty:nb`:955543ty:mi?50;1x940f=323863>b5a9555434;>4h477g9~w40f=3:1>v3>6`79a6?<58<3h7670:p52`>2908w0?9b68;<4=:98>36:9=;<3;6`<68:90q~?71b83>6}:9?h<656=;<32gd<0?;16=5;<:0207>{t9jkm6=4;{<35f2521bce>464;2wx=n:i:187871j>0349521cg5>=>434;ih>47829>5f2a28:8?6s|17`4>5<5s4;=n:4j389>53>c21=m7p}6}:9?k=656>;<3a<1<68:901<8;2;:4b>{t9hi?6=4={<35e3{t9k8;6=4={<35e3{t9hnj6=4<{<35e3;6=0914:h4}r35e3<72;q6=;o9:d1:?8711<034=5rs05ee?6=;r7::o6589389473j3=<>63>83d955543ty:463>1bf9324<582>87??329~w4ee:3:18v3>6c:9<=5<58hn<7672:?2ffb=01801>7>54z?22g>=01>01;6jjl145<4=0a66?77;:1v<8m8;296~;6>k21i>74=04:1?>0n2wx?o6i:1818711<0i:4523c23>=>53ty:mkk50;1x940f?323=63>b979555434;=8:477g9~w4gd=3:1>v3>6`59<=4<58h?n7??329~w4d593:1>v3>6`59<=5<58hjm7??329~w4gcj3:1?v3>6`59<=2<58h=<7??329>50??21=m7p}>6`594?4|586}:9?h2656>;<320`<0?;16=5=?:0207>{t91;n6=4<{<35f<=4}r3`f0<72=q6=;l6:9:0?87em<034?521cf1>=>534;hn84>0218yv7d=<0;69u217`:>=>334;ii:47839>5gb3212970?l548246553d>2l9270?9978;3c=z{:h2<7>52z?22<0=j?301>l>7;:;6>{t9hlm6=4<{<35e=;6>=i14:h4}r3bg2<72;q6=;o7:9:1?87e=4}r3a67<72;q6=;o7:9:0?87eik0:<>=4}r3b`f<72:q6=;o7:9:7?87e>80:<>=4=07:`?>0n2wx=;o7:181871i10n?45217;4>=>73ty:;km50;1x940ei323=63>1439324<5828=7??329~w4>6n3:1?v3>6cc9<=4<58;o?7982:?2<00=99987p}>cc:94?2|58;6kk21===<;|q2g0>=83>p1<8ma;:;0>;6jl;145<4=0``a?>?:27:o8651110?xu6>kk1<7g0>349i=o47839~w4d783:1?v3>6`;9<=7<58h3;7??329>533621=m7p}>ab:94?4|58b3194?4|58aef94?5|5852z?22d?=m:301<868;:;4>{t9>lo6=4<{<35fg=4}r3;65<72:q6=;lm:9:1?876l?0<;?521974>464;2wx=nlm:187871jk034>521cg7>=>534;ih<47839>5fde28:8?6s|1b7a>5<3s4;=no47859>5gc1212970?md28;<7=:9j?i6<><3:p53de2909w0?9bc8f7<=:9?33659i;|q0f<4=838p1<868;`5=>;4j8i145<4}r3a44<72;q6=;on:9:2?87e010:<>=4}r3bg<<72;q6=;on:9:1?87e=4}r3a60<72;q6=;on:9:0?87eil0:<>=4}r3b``<72:q6=;on:9:7?87e>:0:<>=4=07b3?>0n2wx=;on:181871ih0n?45217;:>=>73ty:;kk50;1x940ek323<63>1919324<5828?7??329~w4e2m3:18v3>6ca9<=4<58ho57674:?2ff1=01>0152z?22ge=01901<6:8;3376=z{852z?22ge=m:301<869;:4b>{t;k386=4={<35=<0169?h6:9:1?xu6j981<7?927:n5751110?xu6ijk1<7?:27:n8>51110?xu6j;<1<7?;27:nlh51110?xu6iml1<7=t=04bf?>?<27:n;:51110?872ij03;k5rs04bf?6=:r7::ll5e2;8940>i323<6s|16de>5<4s4;=ni47819>54??2>=970?7358246553dc212970?mc88;<1=:9knh656;;<3`24<68:90q~?72383>7}:9?ho656<;<3;1<<68:90q~?9be83>7}:9?ho6h=6;<35=dl64;296~;6>0k1n;74=2`2`?>?92wx=lh>:180871ij034<521c5;>464;27::=8586d8yv7e;>0;6?u217c`>=>534;io=4>0218yv7e9=0;6?u217c`>=>434;i5i4>0218yv7fm;0;6>u217c`>=>334;i?h4>0218943ej325<5s4;=mn4j389>7d`1212;7p}>81094?5|58801<6=c;3376=z{82:=7>53z?22gc=01801;60=21===<;|q2g<7=83>p1<8me;:;7>;6jl?145:4=0`g6?>?<27:o4?51110?xu6k:;1<7:t=04aa?>?<27:nh95896894dc<323863>c23955543ty::ok50;0x940em3o856353?c212:70?m7882465<58<;n768f:p5g5?2909w0?99e8;<7=:9ki:6<><3:p5g722909w0?99e8;<6=:9k3n6<><3:p5dcc2908w0?99e8;<1=:9k<<6<><3:?21c4=0>l0q~?99e83>7}:9?3o6h=6;<1a41hl145?4=036e?10:27:4>951110?xu60881<7=t=04bb?>?:27:=im5760894>313;;?>5rs0a:0?6=323863>bb69<=2<58i287??329~w4e4<3:18v3>6`d9<=2<58hn=7674:?2ffc=01>0152z?22d`=m:301>l?4;:;6>{t9hl86=4<{<35=`;6>8:14:h4}r3a7<<72;q6=;7j:9:1?87ek;0:<>=4}r3a53<72;q6=;7j:9:0?87e1o0:<>=4}r3bb=<72:q6=;7j:9:7?87e?;0:<>=4=041=?>0n2wx=;7j:1818711l0n?45217:f>=>73ty:4<650;1x940e8323=63>14f9324<582?>7??329~w4>6;3:1?v3>6c29<=4<58;oj7982:?2<1g=99987p}>c8594?2|5801;6k0=1===<;|q2g61=83>p1<8m0;:;0>;6jl<145:4=0`g7?>?<27:o>951110?xu6>k:1<7g0>349i<547829~w4ga<3:1?v3>68d9<=7<58h537221=m7p}>aca94?4|58<2j7672:?2f16=99987p}>b0594?4|58<2j7673:?2fd6=99987p}>b1194?5|58<2j7674:?2f2`=999870?9578;3c=z{8<2j7>52z?22<`=m:301<87f;:;4>{t91886=4<{<35f4=4}r3;51<72:q6=;l>:9:1?876m;0<;?52196a>464;2wx=n7n:187871j8034>521cg3>=>634;ioi47809>5f?f28:8?6s|1b1b>5<3s4;=n<47859>5gc5212:70?mcg8;<4=:9j9j6<><3:p53d62909w0?9b08f7<=:9?2m659i;|q0fd2=838p1<87f;`5=>;4j9h145=4}r3bb0<72:q6=;o?:9:2?87e?j0:<>=4=042e?>0n2wx=llk:181871i9034?521c60>464;2wx=o?7:181871i9034>521cc2>464;2wx=o>j:180871i90349521c:b>464;27::8l586d8yv71i90;6?u217c3>`5>34;=5=47819~w4>5<3:1?v3>6c09<=7<58;=?7982:?2<0g=99987p}>80794?5|587672:?25`3=?>801<6;c;3376=z{8i2h7>54z?22g4=01901;6jm8145?4=0a:`?77;:1vk8145:4=0`f3?>?927:ni:5893894e4l3;;?>5rs04a6?6=:r7::o<5e2;8940>8325<5s4;=5=4m689>7g6b21287p}>ag494?5|5852z?22d7=0180152z?22d7=0190153z?22d7=01>01;6>0;145>4}r3;60<72:q6=;l<:9:2?876>?0<;?52197a>464;2wx=5?9:180871j:034?5210g;>21534;38i4>0218yv7di90;69u217`0>=>434;ih;47809>5ge3212:70?la18246553d4212?70?me08;<4=:9kin656>;<3`05<68:90q~?9b283>7}:9?h86h=6;<35=4ln6;296~;6>0;1n;74=2`25?>?;2wx=lh8:180871i;034<521c5f>464;27::?:586d8yv7fjo0;6?u217c1>=>534;i884>0218yv7e9k0;6?u217c1>=>434;im94>0218yv7e:=0;6>u217c1>=>334;im?4>02189401=325<5s4;=m?4j389>53?5212;7p}>83494?5|58801<6:c;3376=z{82:;7>53z?22g2=01801;60=o1===<;|q2gd5=83>p1<8m4;:;7>;6jl>145?4=0`g5?>?927:ol=51110?xu6k=91<7:t=04a0?>?<27:nh85893894dc;323=63>c51955543ty::o:50;0x940e<3o8563>6809<2`53?52k<270=m158;<6=z{8km57>53z?22d5=01;01;6j=<1===<;|q2f4e=838p1<8n3;:;7>;6jh?1===<;|q2f7`=839p1<8n3;:;0>;6jhn1===<;<352dh91i>74=04:7?>?82wx=5<8:180871j<034<52104`>21534;39i4>0218yv7?900;6>u217`6>=>534;:ih48739>5=3728:8?6s|1bc5>5<3s4;=n847829>5gc7212870?mce8;<6=:9jk=6<><3:p5f21290?w0?9b48;<1=:9ko9656<;<3agc{t9?h>6=4={<35f0?927:n5?51110?871;:03;k5rs0c`5?6=:r7::l:5890894d3?3;;?>5rs0`2`?6=:r7::l:5891894df>3;;?>5rs0`0e?6=;r7::l:5896894de03;;?>52174e>=1a3ty::l:50;0x940f<3o8563>6869<=654?:2y>53d1212:70?>6g8437=:91?n6<><3:p5=7f2908w0?9b78;<7=:98l:6:9=;<3;14<68:90q~?la883>1}:9?h=656<;<3aa0=4}r3`0<<72=q6=;l9:9:7?87em>034>521cf7>=>434;h844>0218yv71j?0;6?u217`5>`5>34;=59477g9~w6df13:1>v3>6869f3?<5:h:57673:p52>?2909w0?85687<2=:9>l:6<><3:p5ac2290:h>u21674>465i27:;885110b?87?=00:11134;i9n4;779>5g3e2===70?m5`8733=:9k?26999;<3a1=<3??16=o;9:555?87e=<0?;;521c77>11134;i9>4;779>5g352===70?m508733=:9k?;6999;<3a0c<3??16=o:j:555?87e11134;i8l4;779>5g2>2===70?m498733=:9k><6999;<3a03<3??16=o:::555?87e<=0?;;521c60>11134;i8=4;779>5ge52===70?mc08733=:9ki;6999;<3afc<3??16=olj:555?87ejm0?;;521c``>11134;ino4;779>5gdf2===70?mb88733=:9kh<6999;<3af3<3??16=ol::555?87ej=0?;;521c`0>11134;in?4;779>5gd62===70?mb18733=:9kkm6999;<3ae`<3??16=ool:555?87eik0?;;521ccb>11134;im44;779>5gg?2===70?ma68733=:<8>j6999;<620<<3??168<:8:555?82611134>:894;779>04242===70:>438733=:<8>:6999;<6205<3??168<=i:555?826;l0?;;52401`>11134>:?o4;779>045f2===70:>388733=:<8936999;<6272<3??168<=9:555?826;<0?;;524017>11134>:?>4;779>04372===70:>4g8733=:<8>n6999;<620a<3??168<:l:555?82611134>:?i4;779>04552===70:>308733=:<;:n6999;<614a<3??168?>l:555?8258>0?;;524326>11134>9<;4;779>04e32===70:=0g87<2=:<:;o6999;<605f<3??168>?m:555?8249=0?;;524236>11134>8=;4;779>07ca2===70?jf88733=:9ll<6999;<3666<68;k01<;=2;336d=:9<8:6<>=a:?2176=998j70?:1g8247g<58?:i7??2`9>504e28:9m63>53c9554f34;>>44>03c8943503;;>l521404>465i27:9?85110b?872:<0:;6=8i1==9kd;336d=:;>nh6<>=a:?03ad=998j70=8d`8247g<5:=o57??2`9>727728:9m63<71d9554f349<

    03c89617l3;;>l52362`>465i278;=l5110b?8508h0:;4?jl1==9ld;336d=:;>ih6<>=a:?03fd=998j70=8c`8247g<5:=h57??2`9>72e728:9m63<7cd9554f34903c8961el3;;>l5236``>465i278;ol5110b?850jh0:;4?hl1==9nd;336d=:;>kh6<>=a:?03dd=998j70=8a`8247g<5:=j57??2`9>72g728:9m63<78d9554f349<5h4>03c8961>l3;;>l5236;`>465i278;4l5110b?8501h0:;4?1l1==97d;336d=:;>2h6<>=a:?03=d=998j70=88`8247g<5:=357??2`9>72>728:9m63<76d9554f349<;h4>03c89610l3;;>l52365`>465i278;:l5110b?850?h0:;4??l1==99d;336d=:;>=a:?033d=998j70=86`8247g<5:==57??2`9>720728:9m63<74d9554f349<9h4>03c89612l3;;>l52367`>465i278;8l5110b?850=h0:;4>ll1==8jd;336d=:;?oh6<>=a:?02`d=998j70=9e`8247g<5:733728:9m63<65d9554f349=8h4>03c89603l3;;>l52376`>465i278:9l5110b?851;4>:l1==8=a:?026d=998j70=93`8247g<5:<857??2`9>735728:9m63<63d9554f349=>h4>03c89605l3;;>l52370`>465i278:?l5110b?851:h0:;4>8l1==8>d;336d=:;?;h6<>=a:?024d=998j70=91`8247g<5:<:57??2`9>737728:9m63<61d9554f349=

    03c89607l3;;>l52372`>465i278:=l5110b?8518h0:?:021e>;4=ol1==;id;336d=:;=a:?01cd=998j70=:f`8247g<5:?m57??2`9>73c728:9m63<6ed9554f349=hh4>03c8960cl3;;>l5237f`>465i278:il5110b?851lh0:;4>jl1==8ld;336d=:;?ih6<>=a:?02fd=998j70=9c`8247g<5:73e728:9m63<6cd9554f349=nh4>03c8960el3;;>l5237``>465i278:ol5110b?851jh0:;4>hl1==8nd;336d=:;?kh6<>=a:?02dd=998j70=9a`8247g<5:73g728:9m63<68d9554f349=5h4>03c8960>l3;;>l5237;`>465i278:4l5110b?8511h0:;4>1l1==87d;336d=:;?2h6<>=a:?02=d=998j70=98`8247g<5:<357??2`9>73>728:9m63<66d9554f349=;h4>03c89600l3;;>l52375`>465i278::l5110b?851?h0:;4>?l1==89d;336d=:;?=a:?023d=998j70=96`8247g<5:<=57??2`9>730728:9m63<64d9554f349=9h4>03c89602l3;;>l52377`>465i278:8l5110b?851=h0:;4=ll1==;jd;336d=:;=a:?01`d=998j70=:e`8247g<5:?n57??2`9>7d0528:9m6303c896g2n3;;>l523`7f>465i278m8j5110b?85f=j0:;4i<;1==o;f;336d=:;h>n6<>=a:?0e1b=998j70=n4b8247g<5:k?n7??2`9>7d2528:9m6303c896g4n3;;>l523`1f>465i278m>j5110b?85f;j0:;4i:;1==o=f;336d=:;h8n6<>=a:?0e7b=998j70=n2b8247g<5:k9n7??2`9>7d4528:9m63=4>03c896g6n3;;>l523`3f>465i278m;4i8;1==o?f;336d=:;h:n6<>=a:?0e5b=998j70=n0b8247g<5:k;n7??2`9>7d`528:9m6303c896gbn3;;>l523`gf>465i278mhj5110b?85fmj0:;4il;1==okf;336d=:;hnn6<>=a:?0eab=998j70=ndb8247g<5:kon7??2`9>7db528:9m6303c896gdn3;;>l523`af>465i278mnj5110b?85fkj0:;4ij;1==omf;336d=:;hhn6<>=a:?0egb=998j70=nbb8247g<5:kin7??2`9>7dd528:9m6303c896gfn3;;>l523`cf>465i278mlj5110b?85fij0:;4ih;1==o6f;336d=:;h3n6<>=a:?0e7d?528:9m6303c896g?n3;;>l523`:f>465i278m5j5110b?85f0j0:;4i1;1==o8f;336d=:;h=n6<>=a:?0e2b=998j70=n7b8247g<5:k7d1528:9m6303c896g1n3;;>l523`4f>465i278m;j5110b?85f>j0:=:021e>;4i9;1==7if;336d=:;0ln6<>=a:?0=cb=998j70=6fb8247g<5:3mn7??2`9>715>2===70?ke48f7<=z{8=3;7>52z?2300=<1=01<9i0;3376=z{82?:7>512y>5=3>2=;j70?759875d=:91><6h=6;<3;12<39h16=5;9:53b?87?=<0?=l521977>17f34;39>4;1`9>5=352=;j70?750875d=:91?;69?n;<3;0`<39h16=5:k:53b?87?17f34;38l4;1`9>5=2>2=;j70?749875d=:91>>69?n;|q2f17=838:w0?m5g875d=:9k?n69?n;<3a1a<39h16=o;l:53b?87e=k0?=l521c7b>17f34;i944;1`9>5g3?2=;j70?m57875d=:9k?>69?n;<3a11<39h16=o;<:53b?87e=;0?=l521c72>17f34;i9=4;1`9>5g2a2=;j70?m4d875d=:9k>o69?n;<3a0g<39h16=o:n:53b?87e<00?=l521c6;>17f34;i8:4;1`9>5g212=;j70?m44875d=:9k>?69?n;<3a06<39h16=o:?:53b?87ek;0?=l521ca2>17f34;io=4;1`9>5gda2=;j70?m438f7<=z{8ko57>52z?2f0`=999870?97`8;<7=z{8ko47>52z?2f0c=999870?9798;<7=z{8ko;7>52z?2f0b=999870?9778;<7=z{8ko:7>52z?2f0e=999870?9758;<7=z{8ko97>52z?2f0d=999870?9738;<7=z{8ko87>52z?2f0g=999870?98c8;<7=z{8ko?7>52z?2f0?=999870?9888;<7=z{8ko>7>52z?2f0>=999870?9868;<7=z{8ko<7>52z?2f00=999870?9848;<7=z{8khj7>52z?2f03=999870?9828;<7=z{8khi7>52z?2f02=999870?9808;<7=z{8khh7>52z?2f05=999870?97g8;<7=z{8kho7>52z?2f04=999870?97e8;<7=z{8khn7>52z?2f07=999870?97c8;<7=z{8h2n7>520y>5gdb2=;j70?mbe875d=:9khh69?n;<3afg<39h16=oln:53b?87ej00?=l521c`4>17f34;in;4;1`9>5gd22=;j70?mb5875d=:9kh869?n;<3af7<39h16=ol>:53b?87ej90?=l521cce>17f34;imh4;1`9>5ggd2=;j70?mac875d=:9kkj69?n;<3ae<<39h16=oo7:53b?87ei>0?=l521cc5>17f34;im84;1`9>5gg32=;j70?ma2875d=:9kk:69?n;<3ae5<39h16=o7i:53b?87e1l0?=l521c;g>17f34;i5l4;1`9>5g?d2l927p}>b2794?4|58hii7??329>531f212:7p}>b2694?4|58hih7??329>531?212:7p}>b2194?4|58hio7??329>5311212:7p}>b2094?4|58hin7??329>5313212:7p}>b2394?4|58him7??329>5315212:7p}>b2294?4|58hi57??329>53>e212:7p}>b3g94?4|58hi;7??329>53>>212:7p}>b3f94?4|58hi:7??329>53>0212:7p}>b3a94?4|58hi97??329>53>2212:7p}>b3`94?4|58hi87??329>53>4212:7p}>b3c94?4|58hi?7??329>53>6212:7p}>b3;94?4|58hi>7??329>531a212:7p}>b3:94?4|58hi=7??329>531c212:7p}>b3594?4|58hi<7??329>531e212:7p}>b6494?46s4;i544;1`9>5g??2=;j70?m96875d=:9k3=69?n;<3a=1<39h16=o7<:53b?87e1;0?=l521c;2>17f34;i5=4;1`9>5g>a2=;j70?m8d875d=:9k2o69?n;<3a17f34;i4:4;1`9>5g>12=;j70?m84875d=:9k2?69?n;<3a<6<39h16=o6=:53b?87e080?=l521c:3>17f34;i;h4;1`9>5g1c2=;j70?m7b875d=:9k=i69?n;<3a3d<39h16=o96:53b?87e?10?=l521c56>17f34;i;:4j389~w4d6:3:1>v3>b8;9555434;=;l47829~w4d693:1>v3>b8:9555434;=;547829~w4d683:1>v3>b859555434;=;;47829~w4d7n3:1>v3>b849555434;=;947829~w4d7l3:1>v3>b869555434;=;?47829~w4d7k3:1>v3>b819555434;=4o47829~w4d7j3:1>v3>b809555434;=4447829~w4d7i3:1>v3>b839555434;=4:47829~w4d713:1>v3>b829555434;=4847829~w4d703:1>v3>b9d9555434;=4>47829~w4d7?3:1>v3>b9g9555434;=4<47829~w4d7>3:1>v3>b9f9555434;=;k47829~w4d7=3:1>v3>b9a9555434;=;i47829~w4d7<3:1>v3>b9`9555434;=;o47829~w4d4k3:1>17f34;i;>4;1`9>5g162=;j70?m71875d=:9kj0?=l521c4a>17f34;i:l4;1`9>5g0>2=;j70?m69875d=:9k<=69?n;<3a20<39h16=o8;:53b?87e>:0?=l521c41>17f34;i:<4;1`9>5g072=;j70?m56875d=:9k>h69?n;<3a7c<39h16=ol7:53b?87eim0?=l521cc1>17f34;i584;1`9>5g>f2=;j70?m7g875d=:9k=969?n;<3a22<39h16=o=j:53b?87e;k0?=l521c1g>`5>3ty:mhh50;1x94d0<3;;?>5214d`>=1a34;=;l47859~w4gbm3:1?v3>b619555434;>j:477g9>531?212?7p}>ada94?5|58h<=7??329>50cc21=m70?9778;<1=z{8knn7>53z?2f26=999870?:e98;3c=:9?=?656;;|q2e`g=839p1=>33ty:mh850;1x94d1j3;;?>5214ae>=1a34;=4847859~w4gb=3:1?v3>b7c9555434;>ol477g9>53>4212?7p}>ad694?5|58h=57??329>50e221=m70?9808;<1=z{8kn?7>53z?2f3>=999870?:c18;3c=:9?=m656;;|q2e`7=839p117f34;52cd2=;j70?8ec875d=:9>oj69?n;<34a<<39h16=:k7:53b?870m?0?=l5216g6>17f34;52c42=;j70?8e3875d=:9>o:69?n;<34a5<39h16=:jk:53b?870lo0n?45rs05;2?6=:r7:;hh51110?871=8034>5rs05;1?6=:r7:;hk51110?8715rs05;0?6=:r7:;hj51110?871<>034>5rs05;7?6=:r7:;hm51110?871<;034>5rs05;6?6=:r7:;hl51110?871;m034>5rs05;5?6=:r7:;ho51110?871;1034>5rs05;4?6=:r7:;h751110?871;:034>5rs054b?6=:r7:;h651110?871:l034>5rs054`?6=:r7:;h851110?871:=034>5rs054g?6=:r7:;h;51110?8719o034>5rs054f?6=:r7:;h:51110?8719h034>5rs054e?6=:r7:;h=51110?8719<034>5rs054=?6=:r7:;h<51110?87199034>5rs0545rs0543?6=:r7:;h>51110?8718?034>5rs0542?6=:r7:;ij51110?87188034>5rs05`4?6=:8q6=:jl:53b?870lk0?=l5216f:>17f34;52b02=;j70?8d7875d=:9>n>69?n;<34`1<39h16=:j<:53b?870l;0?=l5216f2>17f34;52eb2=;j70?8ce875d=:9>ih69?n;<34gg<39h16=:mn:53b?870k00?=l5216a;>17f34;52e12=;j70?8c4875d=:9>l=69?n;<34b0<39h16=:h;:53b?870n:0?=l5216d1>17f34;52bf2=;j70?8cg875d=:9>i?69?n;<34fc<39h16=:m>:d1:?xu6?>?1<7>1<781<7;1<7:1<7:9:0?xu6???1<71<7o1<791<7:m63>ac5904g<58ki:7:>a:?2eg3=<8k01;6?;k1i>74}r3bf6<72;q6=lln:0207>;6jml14:h4}r3bf7<72=q6=ll6:0207>;6jl914:h4=0`g3?>0n27:nik586d8yv7fj80;6?u21``;>464;27:nih58928yv7fj90;6nu21``4>464;27:ni85892894db8323<63>bd39<=6<58hn>7670:?2f`2=01:01;6jl<145>4=0`f3?>?827:ni65892894dc1323<63>be`955033ty:mlh50;fx94ge>3;;?>521cf5>=1a34;ii=477g9>5gc621=m70?me38;3c=:9ko?659i;<3aa003;k521cf;>=1a34;ih4477g9>5gbd21=m70?mde8;3c=z{8kji7>56z?2eg3=999870?me28;<5=:9kn<656?;<3a``=>53ty:;?;50;:x94gfk3>:m63>a``904g<58kjm7:>a:?2ed?=<8k01;6ih=18464;27:nnm586d8yv7fi=0;69u21`ca>464;27:ni>586d894dd=32bb`9<2`4?:3y>5dgf28:8?63>bba9<=65dg>28:8?63>bb69<=6<58hhh7670:?2ffc=01:01;6jm;145>4=0`g6?>?827:ni=5892894dc<323<63>bb49<=6<58hh;7670:?2ff>=01:01{t9hk:6=4k{<3be=<68:901;6jjn14:h4=0``a?>0n27:nnh586d894dc932be09<2`<58ho?768f:?2fa2=0>l01;6jj=14:h4=0``0n27:nn7586d8yv7fi90;68u21`c4>464;27:ni>5892894dd=323<63>bbc9<2`<58hhn7672:p5d?a2908w0?na782465<58hhn7670:?2ffe=0180q~?82083>2}:9h>j69?n;<3b0<<39h16=l:7:53b?87f<>0?=l521`65>17f34;j884;1`9>52452l927p}>a5694?4|58k?m7??329>532d212:7p}>a5194?4|58k?57??329>532d21297p}>a5094?4|58k?47??329>532e21=m7p}>a5394?2|58k?;7??329>532>212;70?94`8;<5=:9?>i656?;|q2e16=83>p12659i;<350dk540c894g4l3>:m63>a2a904g<58k8n7:>a:?2e6g=<8k01;6?;:1i>74}r3b7=<72;q6=l=j:0207>;6>==145?4}r3b72<72;q6=l=k:0207>;6>==145<4}r3b73<72;q6=l=l:0207>;6>=<14:h4}r3b70<72=q6=l=m:0207>;6>=>145>4=0471?>?827::9858928yv7f;=0;69u21`1b>464;27::9:586d89403=323=63>6549<=74?:2y>5d5>28:8?63>6579<2`<5869?n;<3b11<39h16=l;<:53b?87f=;0?=l521`72>17f34;<>94j389~w4g283:1>v3>a449555434;=9<47809~w4g3n3:1>v3>a479555434;=9<47839~w4g3m3:1>v3>a469555434;=9=477g9~w4g3l3:18v3>a419555434;=8h47819>532a212;70?9518;<5=z{8k?o7>54z?2e04=999870?94d8;3c=:9?>m656>;<3515:m63>a31904g<58k9>7:>a:?2e77=<8k01<9>c;g0=>{t9h8;6=4={<3b63<68:901<8{t9h;m6=4={<3b60<68:901<8{t9h;n6=4={<3b61<68:901<8{t9h;o6=4;{<3b66<68:901<8;6>:h145>4=040g?>?82wx=l?l:18787f:;0:<>=4=040e?>0n27::>l589389404k323=6s|1`3a>5<4s4;j><4>02189404j3262a9<=45d7f2=;j70?n18875d=:9h;369?n;<3b52<39h16=l?9:53b?87f9<0?=l52163b>`5>3ty:m<:50;0x94g6i3;;?>52171;>=>63ty:m<=50;0x94g613;;?>52171;>=>53ty:m<<50;0x94g603;;?>521714>=1a3ty:m521716>=>734;=?;47819>5350212;7p}>a0294?2|58k::7??329>535221=m70?9378;<4=:9?9<656>;|q2e5`=839p15;3376=:9?9=659i;<3572d;293~;6i:818>540c894g5n3>:m63>a3g904g<58k9h7:>a:?234c=m:30q~?n2b83>7}:9h996<><3:?2214=01;0q~?n2c83>7}:9h9:6<><3:?2214=0180q~?n2`83>7}:9h9;6<><3:?2217=0>l0q~?n2883>1}:9h8m6<><3:?226`=01:01<8;0;:;4>;6>=;145>4}r3b6=<72=q6=l;6>:l14:h4=0474?>?927::9?58938yv7f:>0;6>u21`0g>464;27::9>586d894039323>6s|1634>5<0s4;j5d6c2=;j70?n0b875d=:9h:i69?n;<3b4d<39h16=l>6:53b?870910n?45rs0c3=>734;=??47819~w4g7<3:18v3>a1c9555434;=?=477g9>5356212:70?9338;<4=z{8k;?7>53z?2e5?=999870?9308;3c=:9?99656=;|q2343=83=p1;6i9;18:m63>9gf904g<58=::7k<9:p5<`d2909w0?n0382465<58<9i7671:p5<`e2909w0?n0082465<58<9i7672:p5<`f2909w0?n0182465<58<9h768f:p5<`>290?w0?6fg82465<58<9n7670:?227e=01:01<8=d;:;4>{t90l36=4;{<3:b`<68:901<8=b;:4b>;6>;i145?4=041`?>?92wx=4h8:18087>nm0:<>=4=041g?>0n27::?j58908yv70980;6:u218ff>17f34;2hi4;1`9>5=>73ty:5i:50;6x94?ci3;;?>52173`>=1a34;==i47809>537b212:7p}>9e194?5|583o57??329>537c21=m70?91d8;<7=z{8=;j7>57z?2=a4=<8k01<7k1;62e>;61m:18:m63>7029a6?560c9<=7560c9<=4560;9<2`56059<=6<58<:47670:?224?=01:0q~?6c983>1}:90in6<><3:?2241=0>l01<8>8;:;5>;6>83145?4}r3:g2<72:q6=4mk:0207>;6>8214:h4=042=?>?:2wx=:?<:18487>mh0?=l5218g:>17f34;2i54;1`9>569?n;<3451=>634;=>>47809~w4?cn3:1?v3>9d79555434;=>?477g9>534421297p}>71f94?1|583h:7:>a:?2=f3=<8k01<7l4;62e>;61j9185<5s4;2o;4>02189406=323=6s|18`e>5<5s4;2o84>02189406=323>6s|18`f>5<5s4;2o94>02189406<325<3s4;2o>4>02189406:323<63>6019<=6<58<:87670:p5768f:?2245=01;01<8>4;:;5>{t90hi6=4<{<3:g4<68:901<8>3;:4b>;6>8>145<4}r344g<72>q6=4ln:53b?87>j00?=l5218`;>17f34;2n:4;1`9>5:h6h=6;|q2=g2=838p1<7ma;3376=:9?;;656>;|q2=g5=838p1<7m9;3376=:9?;;656=;|q2=g4=838p1<7m8;3376=:9?:m659i;|q2=g7=83>p1<7m7;3376=:9?:o656?;<354`i:9:3?xu61k:1<7:t=0;a2?77;:16=;>k:95e?8718l034<52172e>=>63ty:5lh50;1x94?e=3;;?>52172f>=1a34;=9`0904g<583j=7:>a:?2=d6=<8k01<76f;62e>;610o181j0;6?u218c1>464;27::=858938yv7>1k0;6?u218c2>464;27::=858908yv7>1h0;6?u218c3>464;27::=;586d8yv7>100;69u218;e>464;27::==589289407<323<63>6179<=656119<2`<58<;87671:?2253=01;0q~?69683>6}:903o6<><3:?2252=0>l01<8?5;:;6>{t9>:>6=48{<3:=3<39h16=47::53b?87>1=0?=l5218;0>17f34;25?4;1`9>552z?2=<0=999870?9008;<4=z{833j7>52z?2=<3=999870?9008;<7=z{833i7>52z?2=<2=999870?9018;3c=z{833h7>54z?2=<5=999870?:fd8;<5=:9=>53ty:;=750;5x94?fm3>:m63>9`f904g<583jo7:>a:?2=dd=<8k01<7na;62e>;61h318il0:<>=4=043f?>?92wx=4o8:18187>im0:<>=4=043f?>?:2wx=4o9:18187>ij0:<>=4=043e?>0n2wx=4o::18787>ik0:<>=4=043?827::=7589289407i323<6s|18c7>5<3s4;2ml4>0218940703261;9<=7<58<;m7671:p52}:902j69?n;<3:<<<39h16=467:53b?87>0>0?=l5218:5>17f34;2484;1`9>52632l927p}>99694?4|5833m7??329>50`d212:7p}>99194?4|583357??329>50`d21297p}>99094?4|583347??329>50`e21=m7p}>99394?2|5833;7??329>50`>212;70?:f`8;<5=:9p1<776;3376=:9l1<7=t=0;;1?77;:16=8hn:95e?872nk034?5rs0535?6=?r7:5:k540c894?0l3>:m63>96a904g<583a:?2=2g=<8k01<789;62e>;6?981i>74}r3:3=<72;q6=49j:0207>;6=o=145?4}r3:32<72;q6=49k:0207>;6=o=145<4}r3:33<72;q6=49l:0207>;6=o<14:h4}r3:30<72=q6=49m:0207>;6=o>145>4=07e1?>?827:9k858928yv7>?=0;69u2185b>464;27:9k:586d8943a=323=63>5g49<=74?:2y>5<1>28:8?63>5g79<2`<58?m:7672:p53`c290=?0?=l521876>17f34;=jh4j389~w4?2<3:1>v3>94c9555434;>i547809~w4?2;3:1>v3>94;9555434;>i547839~w4?2:3:1>v3>94:9555434;>i:477g9~w4?293:18v3>9459555434;>i847819>50c1212;70?:e68;<5=z{83><7>54z?2=00=999870?:e48;3c=:9;<36a2oh1<79t=0;7a?26i27:59j540c894?3k3>:m63>95`904g<583?m7:>a:?2=1?=<8k01<8ic;g0=>{t90>36=4={<3:0`<68:901<;j3;:;5>{t90><6=4={<3:0a<68:901<;j3;:;6>{t90>=6=4={<3:0f<68:901<;j2;:4b>{t90>>6=4;{<3:0g<68:901<;j0;:;4>;6=l;145>4=07f6?>?82wx=4:;:18787>=4=07f4?>0n27:9h?58938943b:323=6s|1860>5<4s4;2844>0218943b9325d09<=45<012=;j70?664875d=:90>80?=l521623>`5>3ty:5;>50;0x94?1>3;;?>5214gg>=>63ty:58h50;0x94?1=3;;?>5214gg>=>53ty:58k50;0x94?1<3;;?>5214g`>=1a3ty:58j50;6x94?1;3;;?>5214gb>=>734;>io47819>50cd212;7p}>94a94?2|583=>7??329>50cf21=m70?:ec8;<4=:9;|q2=0d=839p1<791;3376=:9:540c894?4;3>:m63>920904g<5838=7:>a:?22c>=m:30q~?63183>7}:909=6<><3:?21a?=01;0q~?62g83>7}:909>6<><3:?21a?=0180q~?62d83>7}:909?6<><3:?21a>=0>l0q~?62e83>1}:90986<><3:?21a0=01:01<;k7;:;4>;6=m2145>4}r3:6f<72=q6=4==:0207>;6=m<14:h4=07g3?>?927:9i658938yv7>:k0;6>u21812>464;27:9i9586d8943c0323>6s|17d6>5<0s4;2>l4;1`9>5<4>2=;j70?629875d=:908<69?n;<3:63<39h16=4<::53b?871n?0n?45rs0;10?6=:r7:5?o51110?872l=034<5rs0;17?6=:r7:5?751110?872l=034?5rs0;16?6=:r7:5?651110?872l:03;k5rs0;15?6==>734;>h>47819~w4?583:18v3>9349555434;>h<477g9>50b5212:70?:d28;<4=z{83:j7>53z?2=73=999870?:d38;3c=:9;61=;18h540c894?4m3>:m63>92f904g<58290?w0?63g82465<58?on7670:?21ae=01:01<;kd;:;4>{t90936=4;{<3:7`<68:901<;kb;:4b>;6=mi145?4=07g`?>?92wx=4=8:18087>;m0:<>=4=07gg?>0n27:9ij58908yv71n80;6:u21831>17f34;2=<4;1`9>5<772=;j70?60g875d=:90:n69?n;<3:4a<39h16=;h=:d1:?xu619i1<7=>73ty:5=650;6x94?7m3;;?>5214a4>=1a34;>o547809>50e>212:7p}>91594?5|583;h7??329>50e?21=m70?:c88;<7=z{857z?2=50=<8k01<7?5;62e>;619>18:m63>6g29a6?5<6128:8?63>5b79<=75<6228:8?63>5b79<=45<6328:8?63>5b69<2`5<6428:8?63>5b09<=6<58?h?7670:?21f2=01:0q~?7fb83>1}:90:96<><3:?21f4=0>l01<;l3;:;5>;6=j>145?4}r3;bg<72:q6=4>>:0207>;6=j914:h4=07`0?>?:2wx=;h<:18487>9l0?=l52183g>17f34;2=n4;1`9>5<7e2=;j70?61`875d=:90;269?n;<35b18;296~;618o1===<;<36gc7;296~;618n1===<;<36gc6;296~;618i1===<;<36g`5;290~;618h1===<;<36gf=>634;>oh47809~w4?6;3:1?v3>90;9555434;>oi477g9>50eb21297p}>6df94?1|582mm7:>a:?2;60o=185<5s4;3jl4>0218943d8323=6s|19d0>5<5s4;3j44>0218943d8323>6s|19d1>5<5s4;3j54>0218943en325<3s4;3j:4>0218943el323<63>5cg9<=6<58?ij7670:p5=`7290?w0?7f782465<58?ih768f:?21gc=01;01<;mf;:;5>{t91om6=4<{<3;b0<68:901<;me;:4b>;6=kl145<4}r35ag<72>q6=5k=:53b?87?m80?=l5219g3>17f34;3hk4;1`9>5=bb2=;j70?7de875d=:9?oh6h=6;|q2;|q2659i;|q2p1<6kf;3376=:9=>63ty:4i950;1x94>cl3;;?>5214`7>=1a34;>n847839~w40b?3:1;v3>8bc904g<582h57:>a:?2=<8k01<6l7;62e>;60j<18464;27:9lm58938yv7?k:0;6?u219a:>464;27:9lm58908yv7?k;0;6?u219a;>464;27:9ll586d8yv7?k80;69u219a4>464;27:9l758928943fi323<63>5``9<=65=e128:8?63>5`;9<2`<58?jm7671:?21dd=01;0q~?7bg83>6}:91i>6<><3:?21dg=0>l01<;nb;:;6>{t9?o>6=48{<3;f`<39h16=5lk:53b?87?jj0?=l5219`a>17f34;3nl4;1`9>5=d>2=;j70?9e78f7<=z{82i47>52z?252z?252z?254z?2656?;<36e3=>53ty::h750;5x94>c>3>:m63>8e7904g<582o87:>a:?2;60m;18=4=07a5?>?92wx=5mi:18187?l<0:<>=4=07a5?>?:2wx=5mj:18187?l=0:<>=4=07a4?>0n2wx=5mk:18787?l:0:<>=4=07ba?>?827:9lh58928943e8323<6s|19a`>5<3s4;3h?4>0218943fm325`d9<=7<58?i<7671:p5=ee2908w0?7d082465<58?jj768f:?21g6=0180q~?9e083>2}:91k=69?n;<3;e0<39h16=5o;:53b?87?i:0?=l5219c1>17f34;3m<4;1`9>53c52l927p}>8`294?4|582j:7??329>50?c212:7p}>88d94?4|582j97??329>50?c21297p}>88g94?4|582j87??329>50?d21=m7p}>88f94?2|582j?7??329>50?f212;70?:9c8;<5=:9<3h656?;|q2<p1<6n2;3376=:9<3j659i;<36=g>13>:m63>88:904g<5822;7:>a:?2<<0=<8k01<665;62e>;6>l:1i>74}r3;=1<72;q6=57n:0207>;6=02145?4}r3;=6<72;q6=576:0207>;6=02145<4}r3;=7<72;q6=577:0207>;6=0=14:h4}r3;=4<72=q6=578:0207>;6=0?145>4=07:2?>?827:94958928yv7?190;69u219;5>464;27:94;586d8943>>323=63>5859<=75=?228:8?63>5849<2`<58?2;7672:p53c429017f34;=i94j389~w4>fk3:1>v3>8c09555434;>m?47809~w4>fj3:1>v3>8c39555434;>m?47839~w4>fi3:1>v3>8c29555434;>m<477g9~w4>f13:18v3>8`d9555434;>5k47819>50g7212;70?:a08;<5=z{82j47>54z?2;<36e4:9:1?xu6>mh1<79t=0:;6?26i27:45?540c894>?83>:m63>86d904g<582a:?2<2b=<8k01<8kc;g0=>{t91=h6=4={<3;<7<68:901<;7e;:;5>{t91=i6=4={<3;<4<68:901<;7e;:;6>{t91=j6=4={<3;<5<68:901<;7d;:4b>{t91=26=4;{<3;3c<68:901<;7b;:;4>;6=1i145>4=07;`?>?82wx=597:18787??l0:<>=4=07;f?>0n27:95m58938943?l323=6s|1954>5<4s4;3;i4>0218943?k3259f9<=45=112=;j70?774875d=:91=?69?n;<3;36<39h16=59=:53b?87??80?=l5217fb>`5>3ty:4:>50;0x94>0>3;;?>5214::>=>63ty:4;h50;0x94>0=3;;?>5214::>=>53ty:4;k50;0x94>0<3;;?>5214:;>=1a3ty:4;j50;6x94>0;3;;?>5214:5>=>734;>4:47819>50>?212;7p}>87a94?2|582<>7??329>50>121=m70?:868;<4=:9<23656>;|q2<3d=839p1<681;3376=:9<2<659i;<36<=?j3>:m63>89c904g<582357:>a:?22ac=m:30q~?78983>7}:912n6<><3:?21<5=01;0q~?78683>7}:912o6<><3:?21<5=0180q~?78783>7}:912h6<><3:?21<4=0>l0q~?78483>1}:912i6<><3:?21<6=01:01<;61;:;4>;6=08145>4}r3;<1<72=q6=56n:0207>;6=0:14:h4=07:5?>?927:94<58938yv7?0:0;6>u219::>464;27:94?586d8943>:323>6s|17f4>5<0s4;j5h4;1`9>5d?c2=;j70?n9b875d=:9h3i69?n;<3b=d<39h16=l76:53b?871l10n?45rs0c:o034<5rs0c:3?6=:r7:m4j51110?871>o034?5rs0c:2?6=:r7:m4m51110?871>l03;k5rs0c:1?6=j034=52174g>=>734;=:h47819~w4g><3:18v3>a8c9555434;=:n477g9>530c212:70?96d8;<4=z{8k2?7>53z?2e;6i0;18:m63>a9f904g<58d2909w0?n9382465<58<=m7671:p5d>e2909w0?n9082465<58<=m7672:p5d>f2909w0?n9182465<58<=5768f:p5d>>290?w0?n8g82465<58<=;7670:?223>=01:01<899;:;4>{t9h236=4;{<3b<`<68:901<897;:4b>;6>?2145?4=045=?>?92wx=l68:18087f0m0:<>=4=0450n27::;758908yv71l80;6:u21`5b>17f34;j;44;1`9>5d1?2=;j70?n76875d=:9h==69?n;<3b30<39h16=;j=:d1:?xu6i>>1<791<781<7;1<7:t=0c43?77;:16=;;k:9:3?871=l034=52177e>=>73ty:m:>50;6x94g0>3;;?>52177g>=1a34;=9h47809>533a212:7p}>a7d94?5|58k<97??329>533b21=m70?95g8;<7=z{857z?2e3c=<8k01;6i?i18:m63>6e29a6?5d0b28:8?63>64`9<=75d0c28:8?63>64`9<=45d0d28:8?63>64c9<2`5d0e28:8?63>64:9<=6<58<>57670:?220g=01:0q~?n6583>1}:9h<3:?220>=0>l01<8:9;:;5>;6>;6><314:h4=046e?>?:2wx=;j<:18487f0?0?=l521`:6>17f34;j494;1`9>5d>42=;j70?n83875d=:9h2:69?n;<35`11===<;<3521=034=5rs0c4g?6=;03;k521740>=>634;=:947809~w4g0j3:1?v3>a939555434;=:>477g9>530321297p}>6b;94?1|583m:7:>a:?2=c3=<8k01<7i4;62e>;61o9185<5s4;2j;4>021894051323=6s|18ge>5<5s4;2j84>021894051323>6s|18gf>5<5s4;2j94>021894050325<3s4;2j>4>02189405>323<63>6359<=6<58<947670:p5{t90oi6=4<{<3:b4<68:901<8=7;:4b>;6>;2145<4}r35g2<72>q6=49=:53b?87>?80?=l521853>17f34;2:k4;1`9>5<0b2=;j70?66e875d=:9?i36h=6;|q2=3e=838p1<782;3376=:9;|q2=3d=838p1<781;3376=:9p1<79f;3376=:9:9:3?xu61?21<7:t=0;5a?77;:16=8ki:95e?872n9034<5214d2>=>63ty:5;950;1x94?1l3;;?>5214d3>=1a34;>j<47839~w40dj3:1;v3>a70904g<58k==7:>a:?2e36=<8k01;6i464;27::8858938yv7f=k0;6?u21`42>464;27::8858908yv7f=h0;6?u21`43>464;27::8;586d8yv7f=00;69u21`7e>464;27::8=589289402<323<63>6479<=65d3b28:8?63>6419<2`<58<>87671:?2203=01;0q~?n5683>6}:9h?o6<><3:?2202=0>l01<8:5;:;6>{t9?hm6=48{<3;2d<39h16=586:53b?87?>10?=l521944>17f34;3:;4;1`9>5=022=;j70?9c18f7<=z{82=87>52z?2<3g=999870?:858;<4=z{82=?7>52z?2<3?=999870?:858;<7=z{82=>7>52z?2<3>=999870?:828;3c=z{82==7>54z?2<31=999870?:808;<5=:9<29656?;<36<6=>53ty::n;50;5x94>bm3>:m63>8df904g<582no7:>a:?2<`d=<8k01<6ja;62e>;60l318=4=07af?>?92wx=5k8:18187?mm0:<>=4=07af?>?:2wx=5k9:18187?mj0:<>=4=07ae?>0n2wx=5k::18787?mk0:<>=4=07a?827:9o758928943ei323<6s|19g7>5<3s4;3il4>0218943e0325c;9<=7<58?im7671:p5=c42908w0?7e882465<58?i5768f:?21gg=0180q~:>b783>6}:<8ko6968;<62fa<68:9019?6d;:;6>{t<;:m6=4>9z?75db=<><019?m0;642>;39k818:84=53a5?20>27?=lk54648917fk3><:63;1`d9020<5=;im7:86:?75gd=<><019?mc;642>;39kn18:84=53aa?20>27?=oh54648917d83><:63;21d9555434>:m?4>03c8917f;3><:63;1`69020<5=;j97:86:?75d0=<><019?n7;642>;39h218:84=53b=?20>27?=lo54648917fj3><:6s|40cg>5<5s4>:mi4>0218917>l323<6s|40`7>5<4s4>:n=4;869>04de28:8?63;18g9<=7:n=4?:3y>04d728:8?63;18g9<=6:n84?:2y>04d52=2<70:>bb82465<5=;2j7672:p04d52909w0:>b382465<5=;2j7670:p04d02908w0:>b087<2=:<8hn6<><3:?75d6=0190q~:>b083>7}:<8h:6<><3:?75d6=01;0q~:>b983>6}:<8kn6968;<62fc<68:9019?6d;:;5>{t<8kn6=4={<62e`<68:9019?6d;:4b>{t<8h26=4<{<62ef<30>168;390l145?4}r62ef<72;q68;390l14:h4}r62f6<72:q68=4=53b5?>?82wx8=4=53b5?>0n2wx8464;27?=4>586d8yv26i:0;69u240`a>1>034>:;k4>0768917>:32nj63;1`1955543ty?=l:50;6x917ek3>3;63;1939550334>:5>47eg9>04g328:8?6s|40c6>5<3s4>:ni4;869>04>428:=863;1869<``<5=;j97??329~w17f?3:18v3;1cg90=1<5=;3;7??659>04?121om70:>a682465:m44?:5y>04da2=2<70:>8c82432<5=;2476jf:?75d?=99987p};1``94?5|5=;h<7:77:?7553z?752c=99908;ac=:<83;6h=6;|q752c=839p19?8e;`5=>;39>l18?:4=53:6?25<2wxn9?50;0x917>93h=563;1`090=1:;k4?:2y>041a2k<270:>808761=:<83869<;;|qa07<72;q68<7=:c4:?826i:0?4:5rs53;5?6=;r7?=5?5b7;8917?;3>9863;186907252z?75<5=j?3019?n4;6;3>{t<8286=4<{<62<60168<6::507?8261<0?>95rsc67>5<5s4>:594m689>04g22=2<7p};1`494?5|5=;397??659>04?221om70:>a782465:484?:2y>04>22k<270:>868761=:<83=69<;;|qa00<72;q68<7::c4:?826i?0?4:5rs53;3?6=;r7?=595b7;8917?13>9863;185907252z?75<0=j?3019?n7;6;3>{t<8k36=4<{<62<<<68?>019?67;:fb>;39h21===<;|q75=?=839p19?79;`5=>;391h18?:4=53:?3h=563;1`:90=1:4o4?:2y>04>e2k<270:>8e8761=:<83269<;;|qa0=<72;q68<77:c4:?826i00?4:5rs53be?6=;r7?=5j51147?8261003ik5240cb>464;2wxn9o50;0x917?l3h=563;18c907252z?75{tj=h1<7u241:5>=ca34>:8l4;869>046>28:8?6s|98494?4|5=:3:7:=4:?740e=j?30q~767;296~;381<1n;74=533=?2??2wx8<>7:1878270<03ik52417`>461<27?=9754958917703;;?>5rs5269863;04:9f3?52z?74=3=j?3019??8;6;3>{t<8:=6=4;{<63<6;39==18594=5332?77;:1v9>:6;297~;381918?:4=526<3:1>v3;0919f3?<5=;;:7:77:p0462290?w0:?838;ac=:<9?=6<>94:?7510=<1=019??5;3376=z{=:>87>53z?74=4=<;>019>:6;610>;38<>1n;74}r;:7?6=:r7?<5<5b7;89177=3>3;6s|4027>5<3s4>;4<47eg9>053328:=863;15790=1<5=;;87??329~w162:3:1?v3;0939072<5=:>87:=4:?7404=j?30q~762;296~;381;1n;74=5330?2??2wx8<><:1878270903ik524171>461<27?=9:549589177;3;;?>5rs5264?6=;r7?<5>543689162:3>9863;0429f3?52z?74=6=j?3019??3;6;3>{t<8:96=4;{<633c;39=918594=5336?77;:1v9>;e;297~;38>l18?:4=5264?25<27?<9k5b7;8yv?>83:1>v3;06d9f3?<5=;;>7:77:p0466290?w0:?7d8;ac=:<9>n6<>94:?7514=<1=019??1;3376=z{=:?o7>53z?742c=<;>019>;e;610>;38=i1n;74}r;;b?6=:r7?<:k5b7;8917793>3;6s|4023>5<3s4>;;i47eg9>052d28:=863;15390=1<5=;;<7??329~w163i3:1?v3;06f9072<5=:?o7:=4:?741g=j?30q~77e;296~;38>n1n;74=5334?2??2wx8=hi:187827?j03ik52416b>461<27?=9>54958916an3;;?>5rs5279863;05:9f3?52z?742e=j?3019>if;6;3>{t<9ln6=4;{<633g;39:l18594=52ea?77;:1v9>;6;297~;38>h18?:4=527v3;06`9f3?<5=:mi7:77:p05`c290?w0:?7`8;ac=:<9>=6<>94:?756c=<1=019>id;3376=z{=:?>7>53z?742g=<;>019>;6;610>;38=81n;74}r;;f?6=:r7?<:o5b7;8916al3>3;6s|41da>5<3s4>;;547eg9>052528:=863;12a90=1<5=:mn7??329~w16383:1?v3;06:9072<5=:?>7:=4:?7416=j?30q~77a;296~;38>21n;74=52ef?2??2wx8=hn:187827?>03ik524163>461<27?=>l54958916ai3;;?>5rs520a?6=;r7?<:954368916383>9863;02g9f3?52z?7421=j?3019>ia;6;3>{t<9l26=4;{<6333;39:k18594=52e=?77;:1v9><18?:4=520a?25<27?<>m5b7;8yv??03:1>v3;0649f3?<5=:m57:77:p05`?290?w0:?748;ac=:<99h6<>94:?756?=<1=019>i8;3376=z{=:8m7>53z?7423=<;>019>;38:k1n;74}r;;3?6=:r7?<:;5b7;8916a03>3;6s|41d4>5<3s4>;;947eg9>055f28:=863;12:90=1<5=:m;7??329~w16403:1?v3;0669072<5=:8m7:=4:?746>=j?30q~775;296~;38>>1n;74=52e3?2??2wx8=h9:187827?:03ik52411;>461<27?=>954958916a>3;;?>5rs5202?6=;r7?<:=54368916403>9863;0249f3?52z?7425=j?3019>i6;6;3>{t<9l>6=4;{<6337;39:<18594=52e1?77;:1v9><4;297~;38>818?:4=5202?25<27?<>:5b7;8yv??;3:1>v3;0609f3?<5=:m97:77:p05`3290?w0:?708;ac=:<99?6<>94:?7563=<1=019>i4;3376=z{=:8>7>53z?7427=<;>019><4;610>;38:81n;74}r;;6?6=:r7?<:?5b7;8916a<3>3;6s|41d0>5<3s4>;;=47eg9>055528:=863;12690=1<5=:m?7??329~w16483:1?v3;0629072<5=:8>7:=4:?7466=j?30q~771;296~;38>:1n;74=52e7?2??2wx8=h=:187827>o03ik524113>461<27?=>=54958916a:3;;?>5rs525e?6=;r7?<;h54368916483>9863;07c9f3?52z?743`=j?3019>i2;6;3>{t<8;;6=4;{<63<`;39<:18594=5324?77;:1v9>98;297~;381o18?:4=525e?25<27?<;65b7;8yv?0n3:1>v3;09g9f3?<5=;:<7:77:p046a290?w0:?8e8;ac=:<9<36<>94:?751`=<1=019??f;3376=z{=:=:7>53z?74=b=<;>019>98;610>;38?<1n;74}r;4a?6=:r7?<5j5b7;89177n3>3;6s|402f>5<3s4>;4n47eg9>050128:=863;15g90=1<5=;;i7??329~w161<3:1?v3;09a9072<5=:=:7:=4:?7432=j?30q~78d;296~;381i1n;74=533a?2??2wx8<>k:1878270k03ik524147>461<27?=9j549589177l3;;?>5rs5256?6=;r7?<5l543689161<3>9863;0709f3?52z?74=d=j?3019??d;6;3>{t<8:h6=4;{<63;39=i18594=533g?77;:1v9>90;297~;381k18?:4=5256?25<27?<;>5b7;8yv?0j3:1>v3;09c9f3?<5=;;o7:77:p046e290?w0:?888;ac=:<9<;6<>94:?751d=<1=019??b;3376=z{=:>i7>53z?74=?=<;>019>90;610>;383;6s|402b>5<3s4>;4547eg9>053b28:=863;15:90=1<5=;;m7??329~w162i3:1?v3;09:9072<5=:>i7:=4:?740g=j?30q~789;296~;38121n;74=533e?2??2wx8<>8:1878270=03ik52417b>461<27?=>j549589177?3;;?>5rs5270?6=;r7?<5:543689162i3>9863;0569f3?52z?74=2=j?3019??7;6;3>{t<9lh6=4;{<633<;39:818594=52eg?77;:1v9>=f;297~;38>318?:4=5270?25<27?v3;06;9f3?<5=:mo7:77:p050d2908w0:?6d8;ac=:<98m6<>94:?743b=m:30q~786;296~;38?o1n;74=52e5?2??2wx8=?6:1818278k0?h>52413:>`5>3ty?<=o50;0x9167j3>9863;01c9f3?;=?4?:3y>056e28:=863;0009a6?;056e2k<270:>c38;<7=z{=8:?7>53z?7444=0>l019>>9;:;5>;3:;>1===<;|q75`>=833p19>>2;:;4>;3883145>4=5213?>?827?;n947819>05b421=m70=if38;<1=z{=8:87>53z?7444=01;019>>9;:;6>;3:;?1===<;|q75`?=833p19>>2;:;6>;388314:h4=5213?>?:27?;n847819>05b321=m70=if28;<1=z{=::47>52z?745g=>8;g0=>{t<9:26=4={<634d<3:=168=>6:c4:?xu388;1<7=168=?>:d1:?xu3:8;1<7=t=5225?>0n27?<<6589389145:3;;?>5rs53f2?6=1r7?<?927?<<6589089145;3;;?>5rs53f3?6=1r7?<:9:7?xu388=1<714334>;<54m689~w16683:1>v3;01;9550334>;==4j389~w146m3:1?v3;0029<2`<5=::;7671:?767c=99987p};1g194??|5=::<7670:?7441=01:019>=5;:;4>;38;i145>4=5235?>?;27?>==51110?827jo034=5241fa>=1a349mih47859~w146n3:1?v3;0029<=7<5=::;7672:?767`=99987p};1g694??|5=::<7672:?7441=0>l019>=5;:;6>;38;i14:h4=5236?>0n27?>=:51110?827k9034=5241f`>=1a349mj=47809~w166>3:1>v3;01:90a5<5=:::7k<9:p05602909w0:?098761=:<9:<6o86;|q745`=838p19>?8;3321=:<9:m6h=6;|q764e=839p19>?f;:4b>;388<145?4=501g?77;:1v9?i1;29=~;389l145>4=5222?>?827?05dc212;70:?d88;3c=:;oo2656>;|q764b=839p19>?f;:;5>;388<145<4=501`?77;:1v9?i2;29=~;389l145<4=5222?>0n27?7??329>05db212;70:?d`8;3c=:;ooh656>;|q7443=838p19>?7;6g7>;388?1i>74}r6343<72;q68=>8:507?8278?0i:45rs523a?6=:r7?<=951147?8278l0n?45rs502e?6=;r7?<=k586d89166=323=63;23c955543ty?=hh50;;x9167m323<63;0079<=6<5=:9?7670:?747g=01:019>?0;:;5>;39ol1===<;<63fg63;23`955543ty?=k>50;;x9167m323>63;0079<2`<5=:9?7672:?747g=0>l019>?0;:;7>;3:9:1===<;<63ff5<5s4>;<;4;259>05622k<27p};01f94?4|5=:;:7??659>056c2l927p};20:94?5|5=:;h768f:?7442=01;019<=8;3376=z{=;nh7>59z?745b=01:019>>4;:;4>;38;8145>4=521=?>?8278jkh58918917al3;;?>5241`:>=>734>;h<477g9>7cbf212:7p};20;94?5|5=:;h7671:?7442=018019<=9;3376=z{=;ni7>59z?745b=018019>>4;:4b>;38;8145<4=521=?>0n27?<=>586d8917am3;;?>5241`b>=>734>;h;477g9>7cc7212:7p};00194?4|5=:;97:k3:?7445=m:30q~:?0b83>7}:<9:>6<>94:?745e=m:30q~:=1183>6}:<9:h659i;<6356{t<8o>6=46{<634f=>7349mjk477g9>04`228:8?63;0c39<=6<5=:h=768f:?0bfe=01;0q~:=1683>6}:<9:h656>;<6356:0207>{t<8oh6=46{<634f=1a349mjk47809>04`128:8?63;0c:9<=6<5=:o<768f:?0bf0=01;0q~:?2d83>7}:<98;69j<;<636`>f;296~;38;:18?:4=522b?d112wx8=<8:181827:90:<;:4=5213?c412wx8==>33ty?>>=50;1x9165?327}:<9;m69<;;<635`01v9>=6;296~;388l1==8;;<6363?927?>9:51110?xu3::81<7=t=5212?>?927?5rs521g?6=:r7?<5<5s4>;=h4;259>057c2k<27p};03794?4|5=::i7??659>05422l927p};22g94?5|5=:99768f:?747e=01;019<:0;3376=z{=88j7>53z?7473=01;019>=c;:;6>;3:<;1===<;|q747d=838p19>>d;6g7>;38;h1i>74}r635f<72;q68=?k:507?8279j0i:45rs5210?6=:r7?<>j50;1x9165<323=63;03`9<=4<5=8?j7??329~w165i3:1>v3;00a90a5<5=:9m7k<9:p057e2909w0:?1b8761=:<9;i6o86;|q7475=838p19>>c;3321=:<9886h=6;|q766g=839p19>=3;:4b>;38;k145?4=507g?77;:1v9<?:27?>9j51110?xu38;31<714334>;=l4m689~w165:3:1>v3;00`9550334>;>?4j389~w14403:1?v3;0309<2`<5=:957671:?761g=99987p};22;94?5|5=:9>7671:?747?=018019<;b;3376=z{=:947>52z?744g==8;g0=>{t<98:6=4={<635d<68?>019>=1;g0=>{t<;9;6=4<{<6364=4}r6172<72:q68=<>:9:2?827:1034?524360>464;2wx?khk:18185anl0?>9523gdg>g0>3ty?<=:50;0x96`am3;;:9524127>`5>3ty?=:m50;;x96`am3h=563;0dg9<=4<5=;=o7671:?7534=018019?89;:;6>;39?9145<4=534e?>?:27?=;?5890891700323>6s|40ga>5<1s4>;<9477g9>04`d28:8?63;0c59<=6<5:lm97674:?7616=01:019?l2;:;5>{t<8ii6=4<{<6341;4no?145<4}r1ebf<72;q6?khk:507?85anj0i:45rs5237?6=:r78jkj51147?8278:0n?45rs53`523gd1>=>53ty?=n750;1x9167;323>63;1ec95554349mj>47839~w17bi3:1;v3;0119<=5<5=;mn7??329>05d1212;70:?d48;3c=:;ol?656;;<6105?<27?=il51110?85an=034?5rs2def?6=:r78jkm5436896`aj3h=56s|4121>5<5s49mjn4>07689167:3o856s|40f7>5<4s4>;04c328:8?63:o;4?:2y>0565212970:>d682465<5:lo97673:p04e02908w0:?038;<1=:<8n36<><3:?0bc7=0180q~=if`83>7}:;oli69<;;<1ebd01v9>?1;296~;4noh1==8;;<63444=53f5?77;:16?kk6:9:0?xu39m81<7=t=5235?>?:27?=h<51110?85amj034>5rs53g7?6=;r7?<=?58968917b;3;;?>523ggf>=>53ty8jk750;0x96`ai3>9863;<=4?:3y>7c`f28:=863;0129a6?:oh4?:2y>0567212;70:>dd82465<5:ln<7673:p04ea2908w0:?018;<7=:<8nm6<><3:?0b`5=0190q~:>d183>6}:<9:;656;;<62a5<68:901>hj6;:;7>{t;olm6=4={<1eb<<68?>01>hif;g0=>{t<8i>6=4<{<1ebc;4njn145<4}r62gf<72:q6?khi:9:1?826l?0:<>=4=2d`2?>?;2wx8464;278jio58918yv26:00;6?u2406b>464;278jik58908yv26:10;6?u2406:>464;278jij58908yv26:?0;6?u24064>464;278ji658908yv26:<0;6?u24065>464;278ji858908yv26:=0;6?u24066>464;278ji:58908yv26::0;6?u24067>464;278ji<58908yv26:;0;6?u24060>464;278ji?58908yv26:80;6?u24061>464;278ji>58908yv26:90;6?u24062>464;278jnh58908yv269o0;6?u24063>464;278jnk58908yv269l0;6?u2401e>464;278jnl58908yv269m0;6?u2401f>464;278jn758908yv269k0;6?u2401`>464;278jn:58908yv269h0;6?u2401a>464;278jn=58908yv26900;6?u2401b>464;278n464;278jk858908yv269>0;6?u2401;>464;278jk;58918yv269?0;6?u24014>464;278jk:58918yv269<0;6?u24015>464;278jk=58918yv269=0;6?u24016>464;278jk<58918yv269:0;6?u24017>464;278jk?58918yv269;0;6?u24010>464;278ji;58908yv26;90;6?u24073>464;278jk>58908yv26:o0;6?u2406e>464;278jhk58918yv26:l0;6?u2406f>464;278jhm58908yv26:m0;6?u2406g>464;278jh758908yv26:j0;6?u2406`>464;278jh858908yv26:k0;6?u2406a>464;278jh=58908yv26:h0;6?u2406;>464;278jh>58908yv26:>0;6?u2401g>464;278jio58908yv269j0;6?u24011>464;278jn858908yv27n80;6>u24012>1>034>;j<4>02189161l325<5s4>:?<4>021896`dl323<6s|41;4>5<5s4>:jn4;869>05c32k<27p};14d94?76s4>:jn4;779>04`e2===70:>f`8733=:<8l26999;<62b=<3??16811134>907662===70:=018733=:<8lm6999;<62b`<3??16811134>::>4j389~w170;3:1=17f34>:jo4;1`9>04`f2=;j70:>f8875d=:<8l369?n;<62b2<39h168?>;:53b?8258:0?=l524321>17f34>9<<4;1`9>07672=;j70:>fg875d=:<8ln69?n;<62ba<39h168`5>3ty?<4850;0x917aj3>3;63;0d19f3?;584?:3y>04`f2=2<70:?e38a2<=z{=:287>52z?75c?=<1=019>j1;`5=>{t<9386=4={<62b=<30>168=k?:c4:?xu38081<71>034>;ii4m689~w16f83:1>v3;21190=1<5=:no7l99:p05?a2909w0:=0387<2=:<9oi6o86;|q74;38lk1n;74}r63=a<72;q68?>?:5:4?827m00i:45rs52:g?6=:r7?=kh54958916b03h=56s|41;a>5<5s4>:jh4;869>05c02k<27p};08;94?4|5=;mh7:77:?74`0=j?30q~:?9983>7}:<8l=6968;<63a001v9>60;296~;39o?18594=52ga?d112wx8=l8:181827m=03ik5241`4>`5>3ty?9863;0`59f3?95i4?:32x916e?32l019>k4;:;4>;38k>14:h4=52g7?>?827?l019>kc;:;4>;38kl14:h4=52gf?>?827?l019>k8;:;4>;38kh14:h4=52g3?>?827?323<63;0c;9<2`<5=:o=7670:?74g>=0>l019>k0;:;4>;38k;14:h4=52`5?>?827?>4k5e2;8yv258<0;6<=t=52a3?>?927?l0;:;5>;38kl145?4=52aa?>?927?m8;:;5>;38k;145?4=5031?77;:168=7=:95e?85alh03495rs52a2?6=;r7?`5>3ty?9863;0`59072<5=:j:7l99:p05e02909w0:?a687`6=:<9n>6h=6;|q74g3=839p19>j2;:fb>;38h<1==8;;<63f0n5;297~;38l818?:4=52b2?25<27?1b434>;h94j389~w16e<3:1?v3;0d39<``<5=:j97??659>05d32l927p};0`694?5|5=:n=7:=4:?74d3=<;>019>n4;`5=>{t<9i>6=4={<63e0<3l:168=j<:d1:?xu38k91<7=t=52f4?>bn27?54368916f<3>9863;0`19f3?;o94?:3y>05g32=n870:?d38f7<=z{=:i>7>53z?74a`=0ll019>n3;3321=:<9h96h=6;|q74g6=839p19>kf;610>;38h918?:4=52a4?d112wx8=m<:181827i:0?h>5241fg>`5>3ty?50;1x916bl32nj63;0c29550334>;o=4j389~w16fn3:1?v3;0df9072<5=:i<7:=4:?74d`=j?30q~:?cg83>7}:<9h;69j<;<63`fmf;297~;38li14hh4=52bb?77>=168=li:d1:?xu38ho1<7=t=52fg?25<27?5<5s4>;mk4;d29>05be2l927p};0cg94?5|5=:nn76jf:?74dc=9953z?74`d=<;>019>ne;610>;38hn1n;74}r63ga<72;q68=oj:5f0?827lh0n?45rs52a`?6=;r7?`5>3ty?9863;0`f9072<5=:jo7l99:p05ed2909w0:?ae87`6=:<9n26h=6;|q74ge=839p19>j9;:fb>;38hi1==8;;<63ffnb;297~;38l318?:4=52bg?25<27?1b434>;h54j389~w16ej3:1?v3;0d:9<``<5=:jn7??659>05de2l927p};0`c94?5|5=:n47:=4:?74dd=<;>019>na;`5=>{t<9ij6=4={<63eg<3l:168=j8:d1:?xu38kk1<7=t=52f3?>bn27?9863;0`;9f3?;o44?:3y>05gf2=n870:?d78f7<=z{=:i57>53z?74`0=0ll019>n9;3321=:<9h26h=6;|q74d>=839p19>j6;610>;38h318?:4=52b5241f2>`5>3ty?;n54j389~w16f:3:1?v3;0d79072<5=:j47:=4:?74d4=j?30q~:?c383>7}:<9k369j<;<63`5m1;297~;38mo14hh4=52b6?77>=168=l>:d1:?xu381l1<7=t=52ga?25<27?:3o856s|41a2>5<5s4>;m?4;d29>05e62l927p};28:94?4|5=8>>7:77:?74`c=m:30q~:=5383>6}:<;?96<><3:?74`c=019019<;0;:4b>{t<;326=4={<61=6<30>168?76:d1:?xu39>;1<7464;27?1>034>9:l4>0218yv26>k0;6??t=5013?26i27?>?8540c89145=3>:m63;236904g<5=89?7:>a:?7674=<8k019<=f;62e>;3:;o18?m540c89145j3>:m63;23c904g<5=8957:>a:?767>=<8k019<=1;62e>;3:;:1896540c89143?3>:m63;254904g<5=8?97:>a:?7612=<8k019<:1;62e>;3:<:189k540c89143l3>:m63;25a904g<5=8?n7:>a:?761g=<8k019<;3;62e>;3:=8180:<>=4=53`6?>?82wx8?;7:181825:?0?4:52434:>464;2wx8??::181825:?0:<>=4=53`6?>?<2wx8?;8:181825:<0?4:52434;>464;2wx8?;9:181825:=0?4:524344>464;2wx8?;::181825::0?4:524345>464;2wx8?;;:181825:;0?4:524346>464;2wx8?8=:181825:o0?4:524351>464;2wx8?8>:181825:l0?4:524352>464;2wx8?8?:181825:m0?4:524353>464;2wx8?;i:181825:j0?4:52434e>464;2wx8?;j:181825:k0?4:52434f>464;2wx8?;k:181825:h0?4:52434g>464;2wx8?;l:181825:00?4:52434`>464;2wx8?;m:181825:10?4:52434a>464;2wx8?;n:181825:80?4:524347>464;2wx8?;<:181825:90?4:524340>464;2wx8?96:181825<00?4:5243:b>464;2wx8?=9:181825<00:<>=4=5074?>?92wx8?97:181825<10?4:5243::>464;2wx8?=::181825<10:<>=4=5074?>?:2wx8?98:181825<>0?4:5243:;>464;2wx8?99:181825464;2wx8?9::181825<<0?4:5243:5>464;2wx8?9;:181825<=0?4:5243:6>464;2wx8?6=:181825=80?4:5243;1>464;2wx8?6>:181825=90?4:5243;2>464;2wx8?6?:181825464;2wx8?9i:181825464;2wx8?9j:181825464;2wx8?9k:181825464;2wx8?9l:181825464;2wx8?9m:181825464;2wx8?9n:181825<:0?4:5243:7>464;2wx8?9<:181825<;0?4:5243:0>464;2wx8?7l:1878251>0?4:52426:>1>034;>:84;869>05`72l927p};17;94?5|5=82;7:>a:?76<0=<8k019?9a;g0=>{t<;3>6=4;{<61=2<68:9019>je;:;4>;39?i14:h4=2dg1?>?<2wx8?7m:1808251?0?4:52426;>1>034>;ik4j389~w14><3:18v3;2849555434>;ih47809>040d212;70=if18;<1=z{=82j7>58z?765c=<8k019;3:9i18=;540c89147>3>:m63;1b6904g<5=8j<7k<9:p076e290019?6e;:;6>;390l145=4=53b4?>?:27?=l?58908yv258h0;68u2432g>464;278jh858968917>l323?63;1`29<=6<5=;j=7671:p076>290?w0:=0b82465<5:ln?7674:?75l019?n0;:4b>{t<8<>6=4={<614=<3??168<89:d1:?xu39><1<70;68u24324>464;278jh>5896891701327670:?752?=01:019?84;:;5>;39?>145?4=534f?>?8278jnm5896891719323<63;16:9<=6:o94?:4y>04e328:8?63768f:?7532=01:019?91;:4b>{t<81z?763g=<8k019<99;62e>;3:?218;8540c89141=3>:m63;260904g<5=8<=7:>a:?7626=<8k019<9f;62e>;3:?o18;m540c89141j3>:m63;276904g<5=8=?7:>a:?7526=m:30q~:>6e83>47|5=83m7:>a:?76=?=<8k019<78;62e>;3:1=185;540c8914>:3>:m63;283904g<5=82<7:>a:?76=`=<8k019<7e;62e>;3:1n185l540c8914?<3>:m63;291904g<5=;=i7k<9:p0400290:=v3;1ea904g<5=;on7:>a:?75ag=<8k019?k9;62e>;39m218:m63;1d0904g<5=;n=7:>a:?75`6=<8k019?kf;62e>;39mo18:m63;17:9a6?9mi4?:3y>06742=2<70:=d08a2<=z{=8o47>59z?7745=<><019=>2;642>;3;8;18:84=5124?20>27??=h546489157m3><:63;31f9020<5=9;o7:86:?76a?=m:30q~:=ec83><}:<:;869?n;<6057<39h168>?>:53b?824990?=l52422e>17f34>8066c2=;j70:<0b875d=:<;oh6h=6;|q7751=833;3376=:<;hh656?;<607g=>73ty?>lm50;0x9156:3>3;63;2e29f3?8<;4?:6y>067528:8?63;2c`9<=6<5=8h5768f:?776d=01>01>hja;:;4>;3:mi145=4=50fa?>?<2wx8?om:181824980?4:5243ae>g0>3ty??=;50;5x915693;;?>5243`b>=>734>9o5477g9>7cc0212;70:<3`8;<5=:<::j659i;<61`g>;:184824990:<>=4=50a=?>?827?>n9586d896`b<323<63;32c9<=4<5=9;m7673:?76ad=0180q~:=a883>7}:<::m6968;<61ga01v9=?3;293~;3;9l1===<;<61f==1a34>8<447809>07be212?7p};2`:94?4|5=9;i7:77:?76fe=j?30q~:<0383>2}:<::n6<><3:?76g1=01:019;3;:=14:h4=513f?>0n278jil58928914cm323<6s|43c4>5<5s4>807ee2k<27p};31394?1|5=9;h7??329>07d1212;70:=c58;3c=:<:9<656>;<604g5<0s4>80218914e=323<63;2cf9<2`<5=98;7673:?775d=019019;4nji145<4}r61ff<72;q68?j>:9ge?825jj0n?45rs50a0?6=:r7?>i?54368914e<3h=56s|426g>5<68r7?>om586d8914ej32=01:019;3:j=145>4=50a0n27?>n858928914e?32;3:kn145>4=517a?c412wx8>?;:18a825jj034<5243`a>=>634>9nl47809>07d>212:70:=b98;<4=:<;h<656>;<61f3=4=2dgf?>?:27?>l8586d8yv25jk0;6>u243f3>=ca34>9n94>0768914ej3o856s|43`0>5<4s4>9h=4;259>07d32=8?70:=b28a2<=z{=8h?7>52z?76g2={t<;hj6=4<{<61gc;3:kk1i>74}r61f7<72:q68?mi:507?825j:0?>95243`1>g0>3ty?>n<50;0x914e;3>o?63;2b:9a6?9n44?:2y>07eb21om70:=b382432<5=8i57k<9:p07d62908w0:=cd8761=:<;h969<;;<61f401v9461<27?>o65e2;8yv25j90;6>u243ag>14334>9n<4;259>07d72k<27p};2b294?4|5=8i=7:k3:?76f0=m:30q~:=b683>6}:<;ih65ki;<61f5<68?>019{t<;km6=4<{<61gf<3:=168?l?:507?825io0i:45rs50ab?6=:r7?>o>54e18914d=3o856s|43`5>5<4s4>9oo47eg9>07ga28:=863;2c49a6?9mh4?:2y>07ee2=8?70:=ag8761=:<;kn6o86;|q76gc=838p19;3:j>1i>74}r61f0<72:q68?mn:9ge?825il0:<;:4=50a1?c412wx8?o::180825kh0?>95243cf>14334>9m;4j389~w14el3:1>v3;2`g90a5<5=8ih7k<9:p062f2909w0:<4487<2=:<;n96h=6;|q76`1=838p19=;5;62e>;3:l21i>74}r6000<72;q68>:::0207>;3;:h14:h4}r61a4<72:q68>:6:53b?824<10?=l5243g1>`5>3ty??9950;0x915313;;?>523gf4>=>73ty??9850;0x915303;;?>523c3b>=>53ty??9h50;:x9156l3>:m63;30a904g<5=9:n7:>a:?7742=<8k019=>5;62e>;3;8<18i95e2;8yv249h0;6?u2423g>464;278jho58908yv24900;6?u2423`>464;278jh958908yv24910;6?u2423a>464;278jh:58908yv25l:0;6?u24234>11134>9h;4j389~w14b13:1>v3;305904g<5=8nm7k<9:p0672290?w0:<1482465<5=8oo768f:?76`e=01>01>hlc;:;7>{t<:;=6=4<{<6053<68:9019;4nl;145<4}r61a0<720q68>17f34>8>l4;1`9>064>2=;j70:<29875d=:<:8<69?n;<6063<39h168?k9:d1:?xu3;;?1<71<7>n:9:3?xu3;;81<7>n:9:1?xu3;;;1<7>6:9:3?xu3;;:1<7>m:9:3?xu3;8l1<7>m:9:1?xu3;8o1<7>m:9:7?xu3:ll1<7=t=50fb?77;:168?j6:95e?85ak>034?5rs50f7?6=1r7??9:540c89153;3>:m63;350904g<5=9?=7:>a:?7716=<8k019=;3;:o18h:5e2;8yv24;<0;6?u24267>464;27??>l58938yv24;=0;6?u24260>464;27??>l58908yv24;:0;6?u24261>464;27??>o586d8yv24;;0;6?u24262>464;27??>o58918yv24;80;6?u24263>464;27??>758938yv24;90;6?u2421e>464;27??>958928yv24:o0;6?u2421f>464;27??>958908yv24:l0;6?u2421g>464;27??>958968yv25lo0;64u243de>17f34>9jh4;1`9>07`c2=;j70:=fb875d=:<;li69?n;<61bd<39h168?h6:53b?825n10?=l5243g3>`5>3ty?>k950;1x914an3;;?>523ggg>=>434>9hn47819~w14a>3:1?v3;2gg95554349mil47829>07bd21297p};2g794?5|5=8mh7??329>7cc0212870:=dc8;3c=z{=8m87>53z?76ce=999870=ie58;<6=:<;ni656>;|q76c5=839p19k651110?825lm034=523gag>=>43ty:j=<50;1x94ca13>3;63>f079555434;n:n47839~w4ca>3:1?v3>eg;904g<58om;7??329>5`e321=m7p}>eg:94?56s4;nj44>02189401k323863>6759<=2<58<=>7674:?220b=01>01<8:8;:;0>;6><9145:4=047a?>?<27::97589689403<323863>62d9<=2<58<8m7674:?2263=01>01<8<0;:;0>;6>;h145:4=0412?>?<27::??589689406k323863>6059<=2<58<:>7674:?225b=01>01<8?8;:;0>;6>99145:4=07ea?>?<27:9k758968943a<323863>5dd9<=2<58?nm7674:?21`3=01>01<;j0;:;0>;6=mh145:4=07g2?>?<27:9i?58968943dk323863>5b59<=2<58?h>7674:?21gb=01>01<;m8;:;0>;6=k9145:4=07ba?>?<27:9l758968943f<323863>58d9<=2<58?2m7674:?21<3=01>01<;60;:;0>;6=1h145:4=07;2?>?<27:95?58968yv7b>m0;6?u21d:3>=ca34;n:h4j389~w4c2j3:1>v3>e929072<58o>n7l99:p5`d22909w0?j818a2<=:9o;>6968;|q2a3e=839p1;6ml18?:4=0g6f?25<27:i8o5b7;8yv7bj=0;6?u21d5e>g0>34;m=94;869~w4c3i3:1>v3>e4`90a5<58o?m7k<9:p5`0e2908w0?j7d8;ac=:9l?j6<>94:?2a3d=m:30q~?j5883>6}:9l=n69<;;<3f1d<3:=16=h;6:c4:?xu6mk91<71b434;n844j389~w4c1i3:1?v3>e6f9<``<58o>57??659>5`0f2l927p}>e4:94?5|58o01{t9lh96=4={<3f3a016=k?=:5:4?xu6m=21<700;6>u21d5`>=ca34;n954>076894c113o856s|1d74>5<4s4;n;n4;259>5`3?2=8?70?j568a2<=z{8oi=7>52z?2a2e=j?3011;6;3>{t9l><6=4={<3f1=<3l:16=h:8:d1:?xu6m?21<7=t=0g4f?>bn27:i8951147?87b>10n?45rs0g62?6=;r7:i:l5436894c2?3>9863>e449f3?5`1e2k<270?i1187<2=z{8o?:7>52z?2a01={t9l<<6=4<{<3f3d;6m?=1i>74}r3f10<72:q6=h9n:507?87b=?0?>9521d76>g0>3ty:ilh50;0x94c0i3h=563>f1d90=15`312=n870?j448f7<=z{8o=:7>53z?2a2?=0ll01;6m1>03ty:i9:50;0x94c2=3>o?63>e569a6?5`>>21om70?j6582432<58o<;7k<9:p5`042908w0?j888761=:9l01v=0?h>521d70>`5>3ty:i:850;1x94c?032nj63>e719550334;n;;4j389~w4c1:3:1?v3>e9:9072<58o=?7:=4:?2a34=j?30q~?jbe83>7}:9l236o86;<3e5f<30>1v03ik521d41>461<27:i:;5e2;8yv7b>80;6>u21d:4>14334;n:?4;259>5`062k<27p}>eca94?4|58o3;7l99:?2b4d=<1=0q~?j5083>7}:9l<969j<;<3f14=16=h9;:d1:?xu6m?:1<7=t=0g;2?25<27:i;?5436894c183h=56s|1d`a>5<5s4;n4;4m689>5c7f2=2<7p}>e4294?4|58o==7:k3:?2a06=m:30q~?j7283>6}:9l2>65ki;<3f25<68?>01{t9l?m6=4<{<3f<0<3:=16=h8?:507?87b=o0i:45rs0gae?6=:r7:i5;5b7;894`613>3;6s|1d6e>5<5s4;n:=4;d29>5`2a2l927p}>e6094?5|58o3876jf:?2a0`=99i7>53z?2a=2=<;>01;6m5<4s4;n4>47eg9>5`3b28:=863>e639a6?5`>42=8?70?j5d8761=:9l?o6o86;|q2ag>=838p1;6n8=18594}r3f0a<72;q6=h;j:5f0?87b`5>3ty:i8m50;1x94c?:3>9863>e4f9072<58o>o7l99:p5`d02909w0?j838a2<=:9o;=6968;|q2a1e=838p1;6m=i1i>74}r3f2c<72:q6=h6>:9ge?87b=j0:<;:4=0g5b?c412wx=h;;:18087b080?>9521d7`>14334;n994m689~w4ce>3:1>v3>e939f3?<58l;h7:77:p5`2e2909w0?j5b87`6=:9l>i6h=6;|q2a33=839p1;6m<>1==8;;<3f2021n;74=0d3g?2??2wx=h:<:18187b==0?h>521d60>`5>3ty:in=50;3087a9<0?=l521g37>17f34;m=>4;1`9>5c752=;j70?i10875d=:9o;;69?n;<3e4c<39h16=k>j:53b?87a9m0?=l521g3`>17f34;m=o4;1`9>5c7f2=;j70?i18875d=:9o;369?n;<3e52<39h16=k?9:53b?87a8m0?=l521g2`>17f34;no94j389~w4`793:1?v3>f069555434;n:n47809>5`2f21=m7p}>f1294?5|58l:?7??329>5`0e212:70?j488;3c=z{8omj7>53z?2b44=999870?j6`8;<4=:9l>3659i;|q2acc=839p11;3376=:9l<2656>;<3f02?034<521d67>=1a3ty:j=l50;1x94`6l3;;?>521d54>=>634;n9>477g9~w4`7i3:1?v3>f0a9555434;n;;47809>5`3521=m7p}>f1;94?5|58l:n7??329>5`12212:70?j508;3c=z{8l;47>53z?2b4g=999870?j758;<4=:9l?;659i;|q2b51=839p19;3376=:9l=8656>;<3f0c:9:2?87b=1a3ty:j==50;1x94`7l3;;?>521d4e>=>634;n8o477g9~w4cai3:1?v3>f1a9555434;n:847809>5`2421=m7p}>eb`94?5|58l897:77:?2a`e=999870?ja98;<6=z{8l987>52z?2b63=999870?j6c8;<7=z{8ohm7>53z?2b62=<1=01;6mlk1===<;<3fe1?51110?87b>>034?5rs0g`2?6=;r7:j>>5495894cb?3;;?>521d;f>=1a3ty:j521d45>=>53ty:ii:50;1x94`4l3>3;63>eg69555434;n5:477g9~w4`5l3:1>v3>f2f9555434;n;:47839~w4cc;3:1?v3>f2a90=1<58om?7??329>5`?221=m7p}>f3a94?4|58l8o7??329>5`1121297p}>ee094?5|58l8n7:77:?2ac4=999870?j928;3c=z{8l9n7>52z?2b6d=999870?j748;<7=z{8oo=7>53z?2b6g=<1=01p1;6mo:1===<;<172ak034>521d:g>=1a3ty:j?650;0x94`403;;?>521d51>=>53ty:ink50;6x94`4?3>3;63>edg95554349?:447829>5`>e21=m7p}>f3594?4|58l8;7??329>5`1621297p}>ebf94?2|58l8:7:77:?2a`b=999870=;668;<6=:9lki659i;|q2b70=838p1p1;6ml<1===<;<1720:034>521d:b>=1a3ty:j521d46>=>53ty:iil50;0x94cbk3>3;63>e`:9a6?5`ce2=2<70?ja78f7<=z{8oo57>52z?2a`g=<1=01{t9ln36=4={<3fa<<30>16=ho=:d1:?xu6mm=1<75e2;8yv7bl?0;6?u21dg4>1>034;n5h4j389~w4cb<3:1>v3>eg690=1<58o2;7k<9:p5`c42909w0?jf287<2=:9l3>6h=6;|q2a`4=838p1;6m091i>74}r3fa4<72;q6=hh>:5:4?87b180n?45rs0gf4?6=:r7:ik>5495894c?n3o856s|1dfe>5<5s4;nik4;869>5`>c2l927p}>eeg94?4|58oni7:77:?2a=d=m:30q~?jde83>7}:9loo6968;<3feg`5>3ty:in?50;0x94ca=3>:m63>eb09a6?521dc;>=>734;nmn477g9>5`gf21=m70?ja68;3c=:9lk>659i;<3fe6:95e?87b1o03;k521d;;>=1a34;n5;477g9>5`?321=m70?j938;3c=:9l3;659i;<3f<`5<5s4;?no4;869>510c2l927p}>4`494?2|58>in7:86:?20gg=<><01<:m9;642>;674}r37f=<72;6?;27:8;j589289430=323>6s|154b>5<5s4;?nl4;869>510e2l927p}>4c594?0|58>im7??329>51g0212870?;b48;<7=:9=464;27:8l958908942e=323=63>47`9<=6<58>=h7671:?2123=01:01<:9e;:4b>{t9=i96=4<{<37b6<30>16=9j<:0207>;6;6540c8942bn3>:m63>4dg904g<58>mn7:>a:?20cg=<8k01<:i9;62e>;6:m63>4g6904g<58>nh7:>a:?20`e=<8k01<:k3;62e>;6540c8942dn3>:m63>4bg904g<58>on7:>a:?20ag=<8k01<:k9;62e>;6:m63>4e6904g<58>hh7:>a:?20fe=<8k01<:nd;g0=>{t9=o96=4={<37b6<68:901<:n3;:;6>{t9=i:6=4<{<37b7<30>16=9j=:0207>;6;6:5:4?873l80:<>=4=06b5?>?;2wx=9k?:181873n80:<>=4=06b5?>?:2wx=9li:180873n90?4:5215f3>464;27:8l>58918yv73lo0;6?u215d3>464;27:8l>58908yv73jl0;6>u215ge>1>034;?ok4>0218942>n323?6s|15ff>5<5s4;?ik4>0218942>n323>6s|15`g>5<4s4;?ih4;869>51eb28:8?63>48g9<=551cb28:8?63>48g9<=451`e2=2<70?;dc82465<58>2h7673:p51ce2909w0?;fc82465<58>2h7672:p51ef2908w0?;f`87<2=:9=nj6<><3:?207}:9=lj6<><3:?206}:9=l26968;<37`<<68:901<:6b;:;7>{t9=o26=4={<37b<<68:901<:6b;:;6>{t9=i36=4<{<37b=<30>16=9j7:0207>;6<0k145=4}r37a=<72;q6=9h7:0207>;6<0k145<4}r37g2<72:q6=9h8:5:4?873l>0:<>=4=06:=?>?;2wx=9k8:181873n>0:<>=4=06:=?>?:2wx=9m9:180873n?0?4:5215f5>464;27:84658918yv73m?0;6?u215d5>464;27:84658908yv73k<0;6>u215d6>1>034;?h84>0218942>>323?6s|15g6>5<5s4;?j84>0218942>>323>6s|15a7>5<4s4;?j94;869>51b328:8?63>4`79<=551`328:8?63>4`79<=44?:2y>51cc2=2<70?;ce82465<58>j87673:p51c42909w0?;ee82465<58>j87672:p51dd2908w0?;eb87<2=:9=ih6<><3:?20<1=0190q~?;db83>7}:9=oh6<><3:?20<1=0180q~?:0383>1}:9=n86968;<3666<68:901<:n3;:;0>;6<>h145>4}r3644<72=q6=9j=:5:4?872:;0:<>=4=06b6?>?<27:8:o58928yv72890;69u215f2>1>034;>><4>0218942f9323863>46;9<=651b72=2<70?:2182465<58>j<7674:?202>=01:0q~?;fd83>1}:9=im6968;<365c<68:901<:6f;:;0>;6<>=145>4}r37ba<72=q6=9mj:5:4?8729l0:<>=4=06:a?>?<27:8:858928yv728k0;69u215fa>1>034;>>o4>0218942>l323863>4679<=651bf2=2<70?:2`82465<58>2o7674:?2022=01:0q~?:0883>1}:9=n26968;<366<<68:901<:6b;:;0>;6<>9145>4}r364=<72=q6=9j7:5:4?872:10:<>=4=06:e?>?<27:8:<58928yv728>0;69u215f4>1>034;>>:4>0218942>1323863>4639<=6<;4?:5y>51b12=2<70?:2782465<58>247674:?203`=01:0q~?:0483>1}:9=n>6968;<3660<68:901<:66;:;0>;6<>o145>4}r3641<72=q6=9j;:5:4?872:=0:<>=4=06b1?>?<27:8:j58928yv728:0;69u215ag>1>034;>=i4>0218942f<323863>4629<=63;63>50a9555434;?5:47859>5111212:70?;748;<4=:9==?656>;<3736=>634;?;h47809>511c212:70?;7c8;<4=:9==j656>;<373<034<521553>=>634;?;n47809~w436:3:1>v3>53190=1<58>531904g<58?9>7:>a:?2177=<8k01<;=0;62e>;6=8l18:m63>53;904g<58?947:>a:?2171=<8k01<;=6;62e>;6=;?18:m63>4c19a6?=<4?:3y>50452=2<70?;7c8f7<=z{8?:<7>52z?2177=<1=01<:8a;g0=>{t9<:m6=4={<3665<30>16=996:d1:?xu6=9o1<71>034;?;:4j389~w436j3:1>v3>53`90=1<58><:7k<9:p507f2909w0?:2`87<2=:9==>6h=6;|q214?=838p1<;=9;6;3>;6<>>1i>74}r365=<72;q6=8<7:5:4?873?:0n?45rs0723?6=:r7:9?9549589420:3o856s|1435>5<5s4;>>;4;869>51162l927p}>50794?4|58?997:77:?203`=m:30q~?:1583>7}:9<8?6968;<373`3;296~;6=8n18594=064`?c412wx=8>l:1818729j0?4:521553>`5>3ty:98750;0x9431i3>3;63>4819a6?:m63>57;904g<58?=47:>a:?2131=<8k01<;96;62e>;6=??18:m63>57d904g<58?=i7:>a:?213b=<8k01<;9c;62e>;6=?h185<4s4;>:l4>0218942f;323=63>46a9<=6954?:3y>500>2=2<70?;938f7<=z{8??57>53z?213?=999870?;a38;<4=:9==i656<;|q2101=838p1<;98;6;3>;6<0;1i>74}r360=<72:q6=887:0207>;6?;2wx=8;9:181872>>0?4:5215;3>`5>3ty:99950;1x9431?3;;?>5215c3>=>634;?;447829~w432=3:1>v3>57490=1<58>3j7k<9:p50212908w0?:6782465<58>2j7671:?202>=0190q~?:4483>6}:9<<>6<><3:?20{t9<<96=4={<3637<30>16=96k:d1:?xu6=<91<7=t=0746?77;:16=97k:9:2?873??034>5rs0755?6=:r7:9:?54958942?k3o856s|1471>5<4s4;>;<4>0218942>k323=63>4679<=5:=4?:3y>50172=2<70?;8c8f7<=z{8?>=7>53z?2126=999870?;9c8;<4=:9==?656<;|q210`=838p1<;9f;6;3>;6<1k1i>74}r3615<72:q6=88i:0207>;6<0k145?4=0647?>?;2wx=8;j:181872>l0?4:5215::>`5>3ty:99h50;1x9431m3;;?>5215;:>=>634;?;?47829~w432l3:1>v3>57f90=1<58>347k<9:p502b2908w0?:6e82465<58>247671:?2027=0190q~?:5b83>7}:9<u2144a>464;27:8l;589389420m323?6s|147b>5<5s4;>:94;869>51?32l927p}>55`94?5|58?=87??329>51g3212:70?;7e8;<6=z{8?>87>52z?2135=<1=01<:7e;g0=>{t9<>?6=4<{<3626<68:901<:67;:;5>;6<>:145=4}r3631<72;q6=89<:5:4?872?<0n?45rs06a0?6=:r7:9:=540c8942e=3o856s|1450>5<5=>734;?n8477g9>510e212:70?;6e8;<7=:9<=>659i;<373c=1a34;?4>477g9>51>321=m70?;848;3c=:9=2=659i;<372`:95e?873??03;k521556>=1a34;?;9477g9>511421=m70?;738;3c=:9==:659i;<372c=1a34;?;l477g9>511>21=m70?;798;3c=:9==<659i;<3735=>634;?mi47809~w434j3:1>v3>55190=1<58>3:7k<9:p51gf2902w0?:42875d=:9<>969?n;<3604<39h16=8:?:53b?872;o0?=l52141f>17f34;>?i4;1`9>505d2=;j70?;ac8f7<=z{8?8?7>52z?2115=999870?;848;<5=z{8?8m7>52z?2114=<1=01<:75;g0=>{t9<996=4={<3607<68:901<:74;:;4>{t9<926=4={<3604<30>16=96;:d1:?xu6=:;1<7464;27:85<58928yv72;>0;6?u2141e>1>034;?4?4j389~w435n3:1>v3>52d9555434;?4<47819~w434>3:1>v3>52g90=1<58>3=7k<9:p504b2909w0?:3d82465<58>3<7670:p50522909w0?:3e87<2=:9=2;6h=6;|q217b=838p1<;;6<>l1i>74}r366f<728;p1<;=>534;?;<47839>510a212970?;7d8;<7=:9==o656=;<373g=>534;?;:47839>5117212970?;7b8;<7=z{:8887>52z?0617=0ll01><<4;g0=>{t;;8<6=4={<1104<3:=16??<8:c4:?xu4=8?1<7:?586d8yv52>o0;6?u23317>=1a349>9o4j389~w634j3:1jv3<2269<=6<5:89;7:k3:?0665=01:01><=6;6g7>;4::8145>4=2004?2c;278>>j589289645n3>o?63<22a9<=6<5:??87??329>7716212?70==718;<1=:;;bn278>?951147?855;:0n?45rs2012?6=;r78>9>543689645?3>9863<2349f3?=94?:3y>77272k<270==718;3c=z{:?=i7>52z?0665=0>l01>;:a;g0=>{t;;996=4<{<117c;4::81i>74}r1175<72:q6??=i:507?855:?0?>9523313>g0>3ty89<=50;0x9644n3h=563<27d9<2`:i4?:3y>775521=m70=:548f7<=z{:88h7>53z?061g=0ll01><<0;3321=:;;9o6h=6;|q067`=839p1><;a;610>;4:::18?:4=201b?d112wx?8?j:181855=1a3ty89:650;0x9644l32772>21om70==2g82432<5:88o7k<9:p774b2908w0==488761=:;;8m69<;;<116`01v>;>d;296~;4:=31n;74=205`?>0n2wx?898:181855;j03;k523474>`5>3ty8>>l50;1x9643032nj63<23g955033499?o4j389~w645l3:1?v3<25:9072<5:89i7:=4:?067b=j?30q~=:1b83>7}:;;>36o86;<112f;?827899=51110?855>j03495rs2742?6=:r78>>l586d89632>3o856s|331b>5<4s4998:47eg9>774c28:=863<22c9a6?n4?:2y>77202=8?70==2e8761=:;;8h6o86;|q014d=838p1><;7;`5=>;4:?h14:h4}r167<<72=q6??464;278>;l58968yv52?<0;6?u2331b>=1a349>994j389~w64413:1?v3<2549<``<5:89o7??659>775>2l927p}<23`94?5|5:8?:7:=4:?067e=<;>01><=b;`5=>{t;<;j6=4={<1103016??86:95e?xu4=:21<7:t=201g?2c;278>>758928963393;;?>52334:>=>33ty89::50;0x9644132772221om70==2c82432<5:8847k<9:p774f2908w0==448761=:;;8i69<;;<116d01v>;>9;296~;4:=?1n;74=2041?>0n2wx?8=8:187855:k0?h>52331;>=>7349>8=4>02189640=32386s|3450>5<5s499?5477g9>703a2l927p}<22594?5|5:8?876jf:?067g=9953z?0612=<;>01><=a;610>;4:;31n;74}r165=<72;q6??:;:c4:?855?=03;k5rs2702?6=?o54e189644?323<63<52d955543499;947859~w630:3:1>v3<2259<2`<5:?>i7k<9:p77512908w0==428;ac=:;;826<>94:?0660=m:30q~==2983>6}:;;>869<;;<116<<3:=16??<7:c4:?xu4=8=1<7:=586d8yv52;<0;69u2330:>1b43499?;47819>705b28:8?63<2619<=2;<4?:3y>775121=m70=:5e8f7<=z{:8897>53z?0614=0ll01><=8;3321=:;;9>6h=6;|q0673=839p1><;2;610>;4:;218?:4=2011?d112wx?8?9:181855<;0i:4523351>=1a3ty89>:50;6x964503>o?63<2279<=6<5:?8h7??329>7715212?7p}<56294?4|5:889768f:?010e=m:30q~==3083>6}:;;9n65ki;<1160<68?>01><<1;g0=>{t;<;96=4={<117`016??8n:95e?xu4=:91<7:t=2011?2c;278>>?589289634k3;;?>52334b>=>33ty89;m50;0x96449324?:30x964?l32l01><7e;:4b>;4:hi14:h4=20b`?>0n278>o;586d8964?n32l01><60;:4b>;4:k:14:h4=20a5?>0n278>o9586d8964>932=0>l01><62;:4b>;4:h<14:h4=20b3?>0n278>o7586d8964>;32l01><64;:4b>;4:hk14:h4=20bf?>0n278>ol586d89622?3>3;63<45d955543ty889<50;018550m034=5233c7>=>73499m847819>77d3212;70==8d8;<5=:;;kh656?;<11ea=>73499mk47819>77d1212;70==918;<5=:;;h;656?;<11f4=>73499n>47819>77d?212;70==938;<5=:;;k=656?;<11e2=>73499m447819>77df212;70==958;<5=:;;kj656?;<11eg464;2wx?97l:1818550m034<5233g7>`5>3ty88l:50;0x964?l323>63<2da9a6?77>c212870==db8f7<=z{:>3o7>52z?06=b=01>01>{t;;2o6=4={<11i1<7?9278>n:5e2;8yv530=0;6?u233c7>=>53499on4j389~w62bk3:1>v3<2`69<=5<5:9887k<9:p71c32909w0==a58;<1=:;:8h6h=6;|q06d2=838p1>;4:>o14:h4}r1701<7288p1><8e;3321=:;;3>6<>94:?06=0=9977?b28:=863<29:9550334995k4>0768964?13;;:95233c3>461<278>5o51147?855i80:<;:4=20;f?77>=16??o=:0250>;4:1i1==8;;<11e6<68?>01>::8;6;3>;4<<:1===<;|q062c=838p1><8e;`5=>;4:><145>4}r17`1<72;q6??o::9:2?8549j0n?45rs26gg?6=:r78>l;589089655<3o856s|35a`>5<5s499m847829>76732l927p}<4b694?4|5:8j97674:?075e=m:30q~==a483>7}:;;k>6h=6;<11=0:m4;296~;4:k>145?4=20eg?c412wx?9ll:181855j=034?523227>`5>3ty88lm50;0x964e<323?63<2g69a6?77d3212?70==bb8f7<=z{:8i87>52z?06g2=m:301><65;:4b>{t;;3>6=4={<11=0016??99:95e?xu4<=?1<7ot=2042?77>=16??98:0250>;4:>21==8;;<113<<68?>01><8a;3321=:;;=i6<>94:?062e=99>57:77:?0007=99987p}<45494?4|5:8<:7l99:?063>=:8o0q~=;9e83>7}:;;2n656>;<11a0:n5;296~;4:1o145<4=20f`?c412wx?97::1818550l034>5233fg>`5>3ty885j50;0x964?m323863<2e79a6?77>b2l9270==878;<5=z{:>52z?06de=01;01>{t;=2>6=4={<11ef?;278?>;5e2;8yv53m<0;6?u233c`>=>33498>i4j389~w64fk3:1>v3<2`a9a6?<5:83:768f:p771a2909w0==878a2<=:;;=<656?;|q00a3=838p1>;4;8n1i>74}r17`a<72;q6??ok:9:1?854:<0n?45rs26``?6=:r78>lj589189656=3o856s|35a6>5<5s499mi47859>766c2l927p}<2`f94?4|5:8jh7k<9:?067}:;;h>656>;<11ba:md;296~;4:k?145<4=2131?c412wx?9ok:181855j<034>5233d6>`5>3ty88:;50;0x964e=323863<2cf9a6?77d22l9270==9e8;3c=z{:82:7>52z?06<87;:4b>{t;=><6=4={<1132016??87:302?xu4<0o1<7?9278>h85e2;8yv53i?0;6?u233:e>=>53499ih4j389~w62>>3:1>v3<29d9<=5<5:8oi7k<9:p71>b2909w0==8g8;<1=:;;n=6h=6;|q06=`=838p1><7f;g0=>;4:1=145>4}r173`<72;q6??oj:9:2?855k?0n?45rs26;2?6=:r78>lk58908964dm3o856s|35gf>5<5s499mh47829>76512l927p}<4d494?4|5:8ji7674:?077c=m:30q~==ad83>7}:;;kn6h=6;<11<2<70;296~;4:1=1n;74=204?82wx?9j9:181855io034<52323f>`5>3ty88ik50;0x964fn323>63<3349a6?77ga212870=<178f7<=z{:>h:7>52z?06d`=01>01>=?e;g0=>{t;;km6=4={<11ec?9278>kk5e2;8yv53jl0;6?u233`5>=>53498<;4j389~w62fm3:1>v3<2c49<=5<5:8m:7k<9:p71112909w0==b78;<1=:;;hn6h=6;|q06g0=838p1>;4:0o14:h4}r11=2<72;q6??7j:c4:?855?103;k5rs267:65b7;896410389n6s|35;e>5<5s4995=47809>77c02l927p}<4`594?4|5:82<7672:?06``=m:30q~=;9683>7}:;;3;656<;<11`c:7f;296~;4:0:145:4=20g3?c412wx??7?:181855190n?45233:;>=>73ty88:h50;0x964e8323=63<2b59a6?77d7212970==cg8f7<=z{:>nj7>52z?06g6=01901>=<7;g0=>{t;=o<6=4={<11f556586d8yv55080;6?u233:;>g0>3499;447819~w62c?3:1>v3<2c39<=7<5:9:j7k<9:p71ba2909w0==b08;<7=:;:8<6h=6;|q00f`=838p1>;4;8=1i>74}r17g2<72;q6??l>:9:7?8548o0n?45rs20a5?6=:r78>o?5e2;8964>n323<6s|35`4>5<5s499n:47809>77`a2l927p}<4cd94?4|5:8i;7672:?0751=m:30q~=;ag83>7}:;;h<656<;<11b2:87;296~;4:k=145:4=20ab?c412wx??l8:181855j>0n?45233;e>=1a3ty8>4650;0x964>n3h=563<26;9<2`771>2k<270==698171=z{:>j<7>52z?06<7=01;01>{t;=k36=4={<11=4?;278>h>5e2;8yv53190;6?u233;2>=>33499h54j389~w64>93:1>v3<2839a6?<5:8357670:p71>72909w0==b38;<4=:;;i36h=6;|q00=>=838p1>;4:m:1i>74}r17b5<72;q6??l=:9:0?854;10n?45rs26fo<58968965483o856s|33`1>5<5s499n?4j389>77>>21=m7p}<29094?4|5:8357l99:?062g=01:0q~=;d983>7}:;;h8656>;<1065:j0;296~;4:k9145<4=21152323;>`5>3ty88n650;0x964e;323863<3029a6?4?:3y>77d42l9270==a18;<5=z{:>i47>52z?06g>=01;01>=?0;g0=>{t;=i;6=4={<11f=>7:d1:?xu4?;278>k65e2;8yv53?10;6?u233`;>=>33499o=4j389~w64e03:1>v3<2c:9a6?<5:8j<768f:p77?>2909w0==a18a2<=:;;=j659i;|q001g=838p1><8a;`5=>;4:?21>>;4}r17e4<72;q6??7=:9:2?855m00n?45rs26b=?6=:r78>4<58908964a93o856s|35;:>5<5s4995?47829>77c62l927p}<48394?4|5:82>7674:?06a?=m:30q~==9383>7}:;;396h=6;<11:71;296~;4:h<145?4=20`=?c412wx?966:181855i?034?5233f2>`5>3ty88k?50;0x964f>323?63<32;9a6?77g1212?70=<308f7<=z{:8j:7>52z?06d0=m:301><7a;:4b>{t;;286=4={<11016??9m:9:3?xu4?9278???5e2;8yv53m80;6?u233c4>=>53498>44j389~w62c93:1>v3<2`59<=5<5:9:57k<9:p71e>2909w0==a68;<1=:;:;:6h=6;|q06d1=838p1>;4:h;145>4}r17f<<72;q6??l6:9:2?854880n?45rs26`5?6=:r78>o758908965713o856s|35`2>5<5s499n447829>77`>2l927p}<46;94?4|5:8i57674:?06f7=m:30q~==b883>7}:;;h26h=6;<11e4<6a;296~;4:h;1n;74=204f?>0n2wx?9:m:181855?k0i:452334;>7513ty88l<50;0x964>;323=63<2dc9a6?77?4212970==f38f7<=z{:>2m7>52z?06<5=01901>{t;=396=4={<11=65l58928yv530;0;6?u233c;>=>63499ol4j389~w62?i3:1>v3<2`:9<=4<5:8o>7k<9:p71`52909w0==a98;<6=:;:9j6h=6;|q00`g=838p1>;4;:81i>74}r11e=<72;q6??o7:d1:?8550k03;k5rs20;0?6=:r78>5l5b7;89640k323<6s|35fb>5<5s499m447809>76452l927p}<4d094?4|5:8j57672:?077g=m:30q~=;d383>7}:;;k2656<;<105d:la;296~;4:h3145:4=2126?c412wx??o6:181855i00n?45233c1>=>73ty88oo50;0x964ei323=63<3109a6?77df212970=<0`8f7<=z{:>i>7>52z?06gg=01901>{t;==j6=4={<11fdl<586d8yv551k0;6?u233c1>g0>3499;n477g9~w623k3:1>v3<26a9f3?<5:8=47<<8:p71g42909w0==958;<4=:;;oi6h=6;|q00dd=838p1><64;:;6>;4:o91i>74}r17=g<72;q6??7;:9:0?855m:0n?45rs26:7?6=:r78>4:58968964cj3o856s|33;7>5<5s499594j389>77>d212;7p}<49194?4|5:8jm7671:?06fd=m:30q~=;8c83>7}:;;kj656=;<11`6:i3;296~;4:hk145=4=210f?c412wx?9km:181855ih0349523210>`5>3ty8>lo50;0x964fi3o8563<29a9<2`77>d2k<270==7e8;<5=z{:>on7>52z?06dd=01;01>==3;g0=>{t;=o86=4={<11eg?;278?=>33498=>4j389~w64fj3:1>v3<2``9a6?<5:8j?7670:p71de2909w0==bc8;<4=:;::86h=6;|q00f5=838p1>;4;9h1i>74}r17f6<72;q6??lm:9:0?855nk0n?45rs264f?6=:r78>ol58968964d;3o856s|33`a>5<5s499no4j389>77g421=m7p}<28a94?4|5:8j?7l99:?062b=0>l0q~=;4e83>7}:;;=o6o86;<112=<5;k1v>::b;296a}:;:?8659i;<102d8m:95e?854?h03;k523277>=1a3498;?477g9>761421=m70=<7c8;3c=:;:?>659i;<10319::95e?854?j03;k523275>=1a3498;;477g9>761021=m70=<7e8;3c=:;:?<659i;<103=96:95e?854?l03;k52327;>=1a3498:n477g9>760c21=m70=<7g8;3c=:;:?2659i;<102`8i:95e?8540903;k52327b>=1a3498;=477g9>761621=m70=<808;3c=:;=<3:?006`=<1=01>::f;:4b>;4?:2788;;589089621?323>63<47;9<=4<5:>=n7672:?003b=01801><95;:;5>;4:?<145?4=2050?>?82wx?9;n:181a~;4;<9145>4=215e?>?8278?;l589289650i323<63<3469<=6<5:9<>7670:?0725=01:01>=8b;:;4>;4;4=2140?>?8278?:;589289650k323<63<3449<=6<5:9<:7670:?0721=01:01>=8d;:;4>;4;<=145>4=214?8278?:7589289650m323<63<34:9<=6<5:9=o7670:?073b=01:01>=8f;:;4>;4;<3145>4=215a?>?8278?;h58928965?8323<63<34c9<=6<5:9<<7670:?0727=01:01>=71;:;4>;416?9;i:9:3?853>8034=523540>=1a349?:8477g9>710021=m70=;688;3c=:;=?034=523347>=1a3499:>477g9~w6g3;3:1>v3<3419<=7<5:k?n7??329~w6g2;3:1>v3<3419<=4<5:k>n7??329~w6g4;3:1>v3<3419<=5<5:k8n7??329~w6g5;3:1>v3<3419<=2<5:k9n7??329~w652;3:1>v3<3419a6?<5:9?87670:p7d642909w0=<6`8;<4=:;h:i6<><3:p7d742909w0=<6`8;<7=:;h;i6<><3:p7dc42909w0=<6`8;<6=:;hoi6<><3:p7db42909w0=<6`8;<1=:;hni6<><3:p760f2909w0=<6`8f7<=:;:>?659i;|q000e=83;hw0=<4582432<5:9>n7??659>762d28:=863<3719550334988i4>07689651<3;;:952326f>461<278?;;51147?854=16?>;?:0250>;4;?=1==8;;<1014<68?>01>=98;3321=:;:?96<>94:?073?=99?<7:77:?000`=01;01>:91;:;5>;44=2651?>?82788;95892896211323<63<47`9<=6<5:>=h7670:?0633=0>l01><96;:;6>{t;:>?6=4={<1001016?>=l:9:3?xu4ik91<7?9278mol51110?xu4ij91<7?:278mnl51110?xu4ih91<7?;278mll51110?xu4i091<7?<278m4l51110?xu4;?h1<7=>6349j;o4>0218yv5f0:0;6?u2325b>=>5349j4o4>0218yv5f>:0;6?u2325b>=>4349j:o4>0218yv5>n:0;6?u2325b>=>33492jo4>0218yv54?h0;6?u2325b>`5>34989o477g9~w652j3:1>v3<34`9f3?<5:98o768f:p713c290:?v3<32a955033498?i4>07689654m3;;:952321e>461<278?9>51147?854<80:<;:4=2176?77>=16?>:<:0250>;4<>;1===<;<1704<30>16?9;i:9:7?853>8034?523540>=>6349?:847809>7100212:70=;688;<4=:;=;<172a1<7;953018yv5f<=0;6?u23277>=>6349j8n4>0218yv5f==0;6?u23277>=>5349j9n4>0218yv5f;=0;6?u23277>=>4349j?n4>0218yv5f:=0;6?u23277>=>3349j>n4>0218yv54==0;6?u23277>`5>34988n47819~w6g7<3:1>v3<3609<=7<5:k;o7??329~w6g6<3:1>v3<3609<=4<5:k:o7??329~w6gb<3:1>v3<3609<=5<5:kno7??329~w6gc<3:1>v3<3609<=2<5:koo7??329~w650:3:1>v3<3609a6?<5:9?o768f:p76222909w0=<4b8a2<=:;:9o656?;|q0eg2=838p1>=83;:;5>;4iki1===<;|q0ef2=838p1>=83;:;6>;4iji1===<;|q0ed2=838p1>=83;:;7>;4ihi1===<;|q0e<2=838p1>=83;:;0>;4i0i1===<;|q0725=838p1>=83;g0=>;4;?9145>4}r1b31<72;q6?>9m:9:2?85f?j0:<>=4}r1b<1<72;q6?>9m:9:1?85f0j0:<>=4}r1b21<72;q6?>9m:9:0?85f>j0:<>=4}r1:b1<72;q6?>9m:9:7?85>nj0:<>=4}r103g<72;q6?>9m:d1:?854>:03;k5rs216g?6=:r78?;=5b7;89654l325<5s498?i4m689>77002:;>7p}97671:?0e1b=99987p}97672:?0e0b=99987p}97673:?0e6b=99987p}97674:?0e7b=99987p}<34794?4|5:9>97k<9:?071b=01:0q~=n0483>7}:;:=?656>;<1b4a<68:90q~=n1483>7}:;:=?656=;<1b5a<68:90q~=ne483>7}:;:=?656<;<1baa<68:90q~=nd483>7}:;:=?656;;<1b`a<68:90q~=<7583>7}:;:=?6h=6;<100a=;6;296~;4;=n1n;74=210a?>?82wx?ll::181854?<034<523``g>464;2wx?lm::181854?<034?523`ag>464;2wx?lo::181854?<034>523`cg>464;2wx?l7::181854?<0349523`;g>464;2wx?>9::181854?<0n?4523247>=>73ty8m:;50;0x9650k323=636376032k<270=<3d8;3c=z{:?=:7>52z?076c=j?301><97;12b>{t;h>=6=4={<1013{t;h?=6=4={<1013{t;h9=6=4={<1013{t;h8=6=4={<1013{t;:?=6=4={<1013:j:9:3?xu4i9<1<7?9278m=k51110?xu4i8<1<7?:278m?;278mhk51110?xu4im<1<7?<278mik51110?xu4;><1<70;6?u2326f>g0>3498?k47819~w6ge>3:1>v3<3659<=7<5:kii7??329~w6gd>3:1>v3<3659<=4<5:khi7??329~w6gf>3:1>v3<3659<=5<5:kji7??329~w6g>>3:1>v3<3659<=2<5:k2i7??329~w650?3:1>v3<3659a6?<5:9=97670:p7d112909w0=<7e8;<4=:;h=n6<><3:p7d>12909w0=<7e8;<7=:;h2n6<><3:p7d012909w0=<7e8;<6=:;h<3:p7<`12909w0=<7e8;<1=:;0ln6<><3:p761c2909w0=<7e8f7<=:;:<>659i;|q070c=838p1>=95;`5=>;4;:l14:h4}r1622<72;q6?>=i:c4:?855>>08><5rs2c73?6=:r78?895893896g3n3;;?>5rs2c63?6=:r78?895890896g2n3;;?>5rs2c03?6=:r78?895891896g4n3;;?>5rs2c13?6=:r78?895896896g5n3;;?>5rs2163?6=:r78?895e2;89653n323<6s|3`24>5<5s498;547809>7d6a28:8?6s|3`34>5<5s498;547839>7d7a28:8?6s|3`g4>5<5s498;547829>7dca28:8?6s|3`f4>5<5s498;547859>7dba28:8?6s|325;>5<5s498;54j389>762a21=m7p}<35:94?4|5:9?j7l99:?0716=01:0q~=nb683>7}:;:=2656>;<1bfc<68:90q~=nc683>7}:;:=2656=;<1bgc<68:90q~=na683>7}:;:=2656<;<1bec<68:90q~=n9683>7}:;:=2656;;<1b=c<68:90q~=<7883>7}:;:=26h=6;<1023o87;296~;4;>o145?4=2c4b?77;:1v>o77;296~;4;>o145<4=2c;b?77;:1v>o97;296~;4;>o145=4=2c5b?77;:1v>7i7;296~;4;>o145:4=2;eb?77;:1v>=8e;296~;4;>o1i>74=2152?>0n2wx?>;i:181854>?0i:4523263>=1a3ty89;650;0x965383h=563<2759773763?212:70=n5182465763?212970=n6182465763?212870=n418246554?:3y>763?212?70=n3182465763?2l9270=<518;<5=z{:k;47>52z?073e=01;01>o>0;3376=z{:k:47>52z?073e=01801>o=0;3376=z{:kn47>52z?073e=01901>oi0;3376=z{:ko47>52z?073e=01>01>oj0;3376=z{:9=o7>52z?073e=m:301>=:0;:4b>{t;:>26=4={<1015016?>:>:9:3?xu4ik21<7?9278mn>51110?xu4ij21<7?:278mi>51110?xu4ih21<7?;278mo>51110?xu4i021<7?<278ml>51110?xu4;?n1<7=>6349j4=4>0218yv5f010;6?u2325e>=>5349j5=4>0218yv5f>10;6?u2325e>=>4349j;=4>0218yv5>n10;6?u2325e>=>3349j<=4>0218yv54?o0;6?u2325e>`5>3498::477g9~w65183:1>v3<3759f3?<5:9?=768f:p700>2909w0=<408a2<=:;;<<6><9;|q0e1?=838p1>=:9;:;5>;4i<;1===<;|q0e0?=838p1>=:9;:;6>;4i?;1===<;|q0e6?=838p1>=:9;:;7>;4i=;1===<;|q0e7?=838p1>=:9;:;0>;4i:;1===<;|q070?=838p1>=:9;g0=>;4;<;145>4}r1b4<<72;q6?>8j:9:2?85f980:<>=4}r1b5<<72;q6?>8j:9:1?85f:80:<>=4}r1ba<<72;q6?>8j:9:0?85fn80:<>=4}r1b`<<72;q6?>8j:9:7?85fm80:<>=4}r102`<72;q6?>8j:d1:?854=803;k5rs217e?6=:r78?8?5b7;89653:323<6s|3``:>5<5s498:k47809>7de628:8?6s|3`a:>5<5s498:k47839>7db628:8?6s|3`c:>5<5s498:k47829>7dd628:8?6s|3`;:>5<5s498:k47859>7dg628:8?6s|324e>5<5s498:k4j389>760?212;7p}=0>l0q~=<6083>7}:;:<36o86;<1007;9a;296~;4;=81n;74=2053?55?2wx?l:n:181854=h034<523`71>464;2wx?l;n:181854=h034?523`41>464;2wx?l=n:181854=h034>523`61>464;2wx?l464;2wx?>;n:181854=h0n?4523271>=>73ty8m=o50;0x96508323=636350;0x965083o8563<3409<2`76352k<270=<428;<5=z{:kim7>52z?0727=01;01>ol2;3376=z{:khm7>52z?0727=01801>ok2;3376=z{:kjm7>52z?0727=01901>om2;3376=z{:k2m7>52z?0727=01>01>on2;3376=z{:9<=7>52z?0727=m:301>=99;:;4>{t;h=j6=4={<10<4{t;h2j6=4={<10<4{t;h{t;0lj6=4={<10<4=:0207>{t;:2:6=4={<10<486:95e?xu4;?81<7k0;6?u23260>g0>3499::4<299~w641:3:1>v3<46390=1<5:8=:7k<9:p5ac1290:h9u23552>111349?;=4;779>710a2===70=;6d8733=:;0?;6<>=a:?0=1`=998j70=64d8247g<5:3?h7??2`9>7<2d28:9m63<95`9554f34928l4>03c896?313;;>l523863>465i2785>h5110b?85>;l0:;41:h1==7<9;336d=:;09;6<>=a:?0=7`=998j70=62d8247g<5:39h7??2`9>7<4d28:9m63<93`9554f3492>l4>03c896?513;;>l523803>465i27859l0:;418h1==7>9;336d=:;0;;6<>=a:?0=5`=998j70=60d8247g<5:3;h7??2`9>7<6d28:9m63<91`9554f349203c896?713;;>l523823>465i2784kh5110b?85?nl0:;40oh1==6i9;336d=:;0o;6<>=a:?0=a`=998j70=6dd8247g<5:3oh7??2`9>703c896?c13;;>l5238f3>465i2785nh5110b?85>kl0:;41jh1==7l9;336d=:;0i;6<>=a:?0=g`=998j70=6bd8247g<5:3ih7??2`9>703c896?e13;;>l5238`3>465i2785lh5110b?85>il0:;41hh1==7n9;336d=:;0k;6<>=a:?0=<`=998j70=69d8247g<5:32h7??2`9>703c896?>13;;>l5238;3>465i27855h5110b?85>0l0:;411h1==779;336d=:;02;6<>=a:?0=2`=998j70=67d8247g<5:37<1d28:9m63<96`9554f3492;l4>03c896?013;;>l523853>465i2785;h5110b?85>>l0:;41?h1==799;336d=:;0<;6<>=a:?0=0`=998j70=65d8247g<5:3>h7??2`9>7<3d28:9m63<94`9554f34929l4>03c896?213;;>l5239d3>465i2784hh5110b?85?ml0:;40lh1==6j9;336d=:;1?;6<>=a:?0<1`=998j70=74d8247g<5:2?h7??2`9>7=2d28:9m63<85`9554f34938l4>03c896>313;;>l523963>465i2784>h5110b?85?;l0:;40:h1==6<9;336d=:;19;6<>=a:?0<7`=998j70=72d8247g<5:29h7??2`9>7=4d28:9m63<83`9554f3493>l4>03c896>513;;>l523903>465i2784;408h1==6>9;336d=:;1;;6<>=a:?0<5`=998j70=70d8247g<5:2;h7??2`9>7=6d28:9m63<81`9554f349303c896>713;;>l523923>465i278;kh5110b?850nl0:;4?oh1==9i9;336d=:;1o;6<>=a:?07=bd28:9m63<8e`9554f3493hl4>03c896>c13;;>l5239f3>465i2784nh5110b?85?kl0:;40jh1==6l9;336d=:;1i;6<>=a:?07=dd28:9m63<8c`9554f3493nl4>03c896>e13;;>l5239`3>465i2784lh5110b?85?il0:;40hh1==6n9;336d=:;1k;6<>=a:?0<<`=998j70=79d8247g<5:22h7??2`9>7=?d28:9m63<88`9554f34935l4>03c896>>13;;>l5239;3>465i27845h5110b?85?0l0:;401h1==679;336d=:;12;6<>=a:?0<2`=998j70=77d8247g<5:27=1d28:9m63<86`9554f3493;l4>03c896>013;;>l523953>465i2784;h5110b?85?>l0:;40?h1==699;336d=:;1<;6<>=a:?0<0`=998j70=75d8247g<5:2>h7??2`9>7=3d28:9m63<84`9554f34939l4>03c896>213;;>l5236d3>465i278;hh5110b?850ml0:;4?lh1==9j9;336d=:;>?;6<>=a:?031`=998j70=84d8247g<5:=?h7??2`9>722d28:9m63<75`9554f349<8l4>03c8961313;;>l523663>465i278;>h5110b?850;l0:;4?:h1==9<9;336d=:;>9;6<>=a:?037`=998j70=82d8247g<5:=9h7??2`9>724d28:9m63<73`9554f349<>l4>03c8961513;;>l523603>465i278;;4?8h1==9>9;336d=:;>:;6<>=a:?02c`=998j70=9fd8247g<5:73`d28:9m63<6g`9554f349=jl4>03c8960a13;;>l5236g3>465i278;ih5110b?850ll0:2798=m546489757i3><:63=3159020<5;9;:7:86:?1753=<><01?=?4;642>;5;9n18:84=31`g?20>279?o754648975ei3><:63=3c`9020<5;9io7:86:?17`c=998j70<=238733=::;396999;<01=4<3??16>?7?:555?8450o0?;;52235;>1113489;:4;779>67112===70<=748733=::=98=:555?843>80?;;522543>111348?9k4;779>613b2===70<;5e8733=::=3=6999;<07=0<3??16>97;:555?8431:0?;;5225:f>111348?4i4;779>61>d2===70<;8c8733=::=2j6999;<07<<<3??16>967:555?8430>0?;;52255f>111348?;i4;779>611d2===70<;7c8733=::==j6999;<073<<3??16>997:555?843?>0?;;5225d`>111348?jo4;779>61`f2===70<;f88733=::=l36999;<07b7<3??16>9h>:555?843n90?;;5225ge>111348?ih4;779>610b2===70<;6e8733=::=98n:555?843l10?;;5225f4>111348?h;4;779>61b22===70<;d58733=::=in6999;<07ga<3??16>9ml:555?843kk0?;;5225g;>111348?i:4;779>61c12===70<;e48733=::=o?6999;<07a6<3??16>9k=:555?843m80?;;5225`5>111348?n84;779>61d32===70<;b28733=::=kn6999;<07ea<3??16>9ol:555?843ik0?;;5225cb>111348?m44;779>61g?2===70<;a68733=::=i=6999;<07g0<3??16>9m;:555?843k:0?;;5225a1>111348?o<4;779>61e72===70<;bg8733=::=3n6999;<07=a<3??16>97l:555?8431k0?;;522706>111348=?n4;779>635e2===70<93`8733=::?926999;<057=<3??16>;=8:555?841;?0?;;522716>111348=994;779>63342===70<9538733=::??:6999;<0515<3??16>;:i:555?841111348>jn4;779>60`e2===70<:f`8733=::8h8:555?842n?0?;;5224d6>111348>m94;779>60g42===70<:a38733=::87i:555?8421l0?;;5224;g>111348>in4;779>60ce2===70<:e`8733=::8k8:555?842m?0?;;5224g6>111348>o94;779>60e42===70<:c38733=::8li:555?842jl0?;;5224`g>111348>n94;779>60d42===70<:b38733=::8oi:555?842il0?;;5224cg>11134;oi;4j389~w6?a93:1mv3<463904g<5:><<7:>a:?003`=<8k01>:9e;62e>;4:?218540c89623n3>:m63<45g904g<5:3m>7k<9:p77062909w0=;7187<2=:;;<>6h=6;|q0636=838p1>:9f;6;3>;4:?>1i>74}r111c<72;q6?98j:5:4?855>:0n?45rs2767?6=jr78>;6530f89641039:o63<27:974d<5:8=47=>a:?063>=;8301><98;12<>;4:?21?<94=205278>;6533c896410399563<5419a6?9<4?:3y>770?2:8370=:508f7<=z{:??j7>52z?063>=;;=01>;;f;g0=>{t;<>o6=4={<112=<4:?16?8:k:d1:?xu4==h1<7646349>8l4j389~w633?3:1>v3<27:974`<5:??47k<9:p70222909w0==698050=:;<>=6h=6;|q0603=838p1><98;127>;4:<<1i>74}r1627<72hq6??87:82:?855=>6349>>;47809>704?212;70=:2`8;<6=:;<8o656=;<166c:9:1?852:k034?5rs2060?6=:r78>;6591:896349325<4s499:546069>704a21=m70=:308;<5=z{:8>>7>54z?063>=19<01>;=d;:4b>;4=;l145>4=2705?>?92wx??;>:186855>102<852340g>=>7349>>k47809>705521=m70=:2c8;3c=z{:8><7>56z?063>=19>01>;=a;:4b>;4=;n145?4=2704?>0n2789><589289635j323<6s|336e>5<0s499:546029>704?21=m70=:2`8;<5=:;<8n659i;<1675;6591089635>32;<0;:;5>;4=:8145<4=271g?>0n2wx??:k:18:855>102>>523407>=1a349>>;47819>704?212970=:2`8;<7=:;<8n656>;<1675;6593089643k32=01;01>;=a;:;0>;4=;n145=4=271b?>?;2789>?589189635j323?6s|32:e>5<0s499::4;1b9>77002=;i70=;40875d=:;=>;69?n;<177c<39h16?9=j:53b?854190n?45rs265g?6=kr78>;9523;89641?389463<2759671<5:8=;7<=6:?0631=:;?01><97;010>;4:?=1>?=4=2053?45:278>;9525:89641?388o63<275966d<5:>=h7k<9:p710f2909w0==66817==:;==838p1><97;002>;474}r1723<72;q6??88:316?853>>0n?45rs2650?6=:r78>;9522689621=3o856s|3541>5<5s499::4=2c9>71042l927p}<47294?4|5:8=;7<=1:?0037=m:30q~=;5d83>7}:;;<<6??j;<171c<:e;29e~;4:?=15=64=2062?>?82789985893896330323>63<55c9<=6<5:??o7674:?011`=01>01>;:1;:;0>;4=<9145:4=277`?>?<2wx??;k:180855>>02<:523472>=>6349>9>47819~w642k3:18v3<2759=50<5:??j7671:?0107=01801>;:3;:;5>{t;;?i6=4:{<1122<>8<16?8:i:9:1?852=8034>523470>=>5349>8i47809~w642i3:19v3<2759=52<5:??o7671:?011`=01901>;:2;:4b>;4==n145<4}r111<<72?q6??88:820?852=>4349>9=477g9>7035212;70=:4e8;<6=z{:8>47>57z?0631=19801>;;8;:;4>;4==k145=4=277g?>0n27898>589289632:323=63<55g9<2`7700208870=:478;3c=:;<>3656>;<160d=>5349>8h47819~w63193:1mv3<2759=74<5:8>:768f:?0110=01:01>;;8;:4b>;4==k145?4=277g?>?827899h5892896329323<63<5419<2`<5:??h7670:p701d2908w0=6f187<2=:;<2j6<><3:?010d=01>0q~=:2083><}:;0l;69?n;<1:ac<39h16?4kj:53b?85>mm0?=l5238g`>17f3492io4;1`9>7=838p1>7i0;3376=:9l8:6968;|q012d=839p1>7jf;6;3>;4=131===<;<161d7j7;296~;41ll1===<;<3f65<30>1v>;8a;297~;41lo18594=27;93;;?>523474>=>33ty85h:50;0x96?bk3;;?>521d3g>1>03ty895=50;1x96?bj3>3;63<58295554349>9;47859~w6?b;3:1>v3<9d`9555434;n=n4;869~w63?:3:1?v3<9dc90=1<5:?3j7??329>7033212?7p}<9d094?4|5:3nm7??329>5`7e2=2<7p}<59394?5|5:3n57:77:?01=c=999870=:618;<1=z{:3n=7>52z?0=`?=999870?j1`87<2=z{:9397>55z?01=g=<1=01>;k8;6;3>;4=ko18594=27b0?2??278>:?5e2;8yv528h0;6hu234:b>17f349>444;1`9>70>?2=;j70=:93875d=:;<3:69?n;<16=5<39h16?86i:53b?8520l0?=l5234:g>17f349>4n4;1`9>70>e2=;j70=:86875d=:;<2=69?n;<164g=74;291~;4=1318594=27g3?2??2789oj54958963f;3>3;63<2629a6?4?:4y>70>?2=2<70=:d787<2=:;16??8i:d1:?xu4;1o1<7;t=27:6?2??2789h>54958963d>3>3;63<5`a90=1<5:8=i7k<9:p76>c290>w0=:9087<2=:;16?8om:5:4?855>m0n?45rs21;g?6==r7894>54958963cm3>3;63<5b690=1<5:?jm7:77:?063e=m:30q~=<8c83>0}:;<2m6968;<16`a<30>16?8m<:5:4?852i00?4:52334a>`5>3ty8?5o50;7x963?m3>3;63<5ea90=1<5:?h>7:77:?01d>=<1=01><99;g0=>{t;:226=4:{<1616?8jm:5:4?852k80?4:5234c4>1>03499;84j389~w63?83:1>v3<59f95554349>9k47859~w65?03:19v3<59a90=1<5:?om7:77:?01f6=<1=01>;n6;6;3>;4:>>1i>74}r163c<72;q6?86l:0207>;4=1>0349>m84;869>77142l927p}<56g94?4|5:?3n7??329>703c212?7p}<39494?3|5:?3;7:77:?01a3=<1=01>;mb;6;3>;4=h;18594=2046?c412wx?89k:1818520>0:<>=4=276g?>?<2wx?>6=:1868520?0?4:5234f7>1>0349>nl4;869>70g72=2<70==6`8f7<=z{:?<57>52z?01=0=999870=:588;<1=z{:?8=7>52z?0112=<1=01>;<1;g0=>{t;<8m6=4={<1606<30>16?81>0349>>o4j389~w63513:1>v3<55290=1<5:?9m7k<9:p70402909w0=:3g87<2=:;<836h=6;|q0173=838p1>;;4=;<1i>74}r1666<72;q6?8=k:5:4?852:=0n?45rs207f?6=:r789>m549589643k3o856s|3576>502189635:323<63<2639<=7<5:8<<7671:?063`=01;01><9e;:;5>;4:?n145?4=205g?>?9278>;l5893896411323=63<2679<=7<5:8<87671:?0625=01;01><82;:;5>;4:?k145?4}r1711<72oq6?9;7:0207>;4=;814:h4=2045?>?8278>:>589289641n323<63<27g9<=6<5:8=h7670:?063e=01:01><9b;:;4>;4:?3145>4=2041?>?8278>::589289640;323<63<2609<=6<5:8=m7670:p7134290mw0=;5682465<5:?9>7674:?0627=01901><80;:;7>;4:?l145=4=205a?>?;278>;j589189641k323?63<27`9<=5<5:8=57673:?0623=01901><84;:;7>;4:>9145=4=2046?>?;278>;o58918yv53=;0;6ku23575>464;2789?<5893896409323>63<2629<=4<5:8=j7672:?063c=01801><9d;:;6>;4:?i145<4=205f?>?:278>;7589089640=323>63<2669<=4<5:8<9a;:;6>{t;=9o6=4={<1714<30>16?9:>:0207>{t;=9h6=4={<1715<30>16?9:?:0207>{t;=9i6=4={<170c<30>16?9=i:0207>{t;=9j6=4={<170`<30>16?9=j:0207>{t;==86=4>4z?0176=<1=01>=<3;:;7>;4;:8145=4=2105?>?;278?>>589189655n323?63<33g9<=5<5:99h7673:?06f5=01901>;4:j;145=4=20`4?>?;278>oh58918964em323?63<2cf9<=5<5:8io7673:?0=c4=0>l01>;?0;3376=:;<:<656?;<10=5;?9;2956}:;<8;6<><3:?0136=01:01>;:f;:;4>;4=4=276`?>?827898m589289632j323<63<54c9<=6<5:?>57670:?010>=01:01>;:7;:;4>;4=<<145>4=2761?>?827898:589289637j32l01>;=2;:;7>{t;==96=4>5z?014`=<1=01>=<3;:;0>;4;:8145:4=2105?>?<278?>>589689655n323863<33g9<=2<5:99h7674:?06f5=01>01>;4:j;145:4=20`4?>?<278>oh58968964em323863<2cf9<=2<5:8io7674:?0=c4=01:01>:i4;3376=:;<:<659i;<10=5;90;:4b>;4=0n27898j586d89632k32m768f:?010?=0>l01>;:8;:4b>;4=<=14:h4=2762?>0n27898;586d89632<32l01>;>1;:;4>;4=;8145<4}r1772<720q6?4;?:53b?85>17f34928i4;1`9>7<2d2=;j70=64c875d=:;0>j69?n;<1:0<<39h16?9=7:d1:?xu41=21<7:9:2?xu41=?1<71<7h540c896?4m3>:m63<92f904g<5:38o7:>a:?0=6d=<8k01>7;41:318<90:<>=4=20ff?>?92wx?4=8:18185>;o0:<>=4=20fe?>?92wx?4=9:18185>;l0:<>=4=20f=?>?92wx?4=::18185>;m0:<>=4=20f?92wx?4=;:18185>;j0:<>=4=20f3?>?92wx?4=<:18185>;k0:<>=4=20f2?>?92wx?4==:18185>;h0:<>=4=20f1?>?92wx?4=>:18185>;00:<>=4=20f0?>?92wx?9=<:18:85>;90?=l52380e>17f3492>h4;1`9>7<4c2=;j70=62b875d=:;08i69?n;<1:6d<39h16?4<6:53b?853;=0n?45rs2;1>51110?855m:034<5rs2;13?6=:r785?h51110?855m;034<5rs2;12?6=:r785?k51110?855m8034<5rs2;11?6=:r785?j51110?855m9034<5rs2;10?6=:r785?m51110?855lo034<5rs2;17?6=:r785?l51110?855ll034<5rs2;16?6=:r785?o51110?855lm034<5rs2;15?6=:r785?751110?855lj034<5rs2605?6=1r785?>540c896?6n3>:m63<90g904g<5:3:h7:>a:?0=4e=<8k01>7>b;62e>;418k18<5e2;8yv5>910;6?u23803>464;278>il58938yv5>9>0;6?u2383e>464;278>io58938yv5>9?0;6?u2383f>464;278>i758938yv5>9<0;6?u2383g>464;278>i658938yv5>9=0;6?u2383`>464;278>i958938yv5>9:0;6?u2383a>464;278>i858938yv5>9;0;6?u2383b>464;278>i;58938yv5>980;6?u2383:>464;278>i:58938yv53:o0;64u23833>17f34927<6b2=;j70=60e875d=:;0:h69?n;<1:4g<39h16?4>n:53b?85>800?=l523513>`5>3ty85=650;0x96?683;;?>5233f0>=>63ty85=950;0x96?7n3;;?>5233f1>=>63ty85=850;0x96?7m3;;?>5233f2>=>63ty85=;50;0x96?7l3;;?>5233f3>=>63ty85=:50;0x96?7k3;;?>5233ae>=>63ty85==50;0x96?7j3;;?>5233af>=>63ty85=<50;0x96?7i3;;?>5233ag>=>63ty85=?50;0x96?713;;?>5233a`>=>63ty88?j50;;x96?783>:m63<8gd904g<5:2mi7:>a:?06ic;62e>;40oh185<5s492<=4>0218964dj323=6s|39d4>5<5s493jk4>0218964di323=6s|39d5>5<5s493jh4>0218964d1323=6s|39d6>5<5s493ji4>0218964d0323=6s|39d7>5<5s493jn4>0218964d?323=6s|39d0>5<5s493jo4>0218964d>323=6s|39d1>5<5s493jl4>0218964d=323=6s|39d2>5<5s493j44>0218964d<323=6s|350a>5<>s492i=4;1`9>7lh0?=l5238f:>17f349?>n4j389~w6?c03:1>v3<9d2955543498?o47809~w6?c?3:1>v3<9ed955543498?l47809~w6?c>3:1>v3<9eg955543498?447809~w6?c=3:1>v3<9ef955543498?547809~w6?c<3:1>v3<9ea955543498?:47809~w6?c;3:1>v3<9e`955543498?;47809~w6?c:3:1>v3<9ec955543498?847809~w6?c93:1>v3<9e;955543498?947809~w62513:15v3<9e2904g<5:3hj7:>a:?0=fc=<8k01>7ld;62e>;41ji18:m63<43c9a6?777774?:3y>77728:8?63<33a9<=7:4?:8y>7jk0?=l5238`b>17f3492n44;1`9>714?2l927p}<9c:94?4|5:3h<7??329>764e212:7p}<9c594?4|5:3ij7??329>764f212:7p}<9c494?4|5:3ii7??329>764>212:7p}<9c794?4|5:3ih7??329>764?212:7p}<9c694?4|5:3io7??329>7640212:7p}<9c194?4|5:3in7??329>7641212:7p}<9c094?4|5:3im7??329>7642212:7p}<9c394?4|5:3i57??329>7643212:7p}<43794??|5:3i<7:>a:?0=d`=<8k01>7ne;62e>;41hn18:m63<9`;904g<5:>9:7k<9:p77671:p71j0?=l5238;a>17f34925l4;1`9>72=;j70=;258f7<=z{:3247>52z?0=d6=999870=<1c8;<4=z{:32;7>52z?0=<`=999870=<1`8;<4=z{:32:7>52z?0=52z?0=52z?0=52z?0=7>52z?0=52z?0=9=7>59z?0=<6=<8k01>77f;62e>;411o18:m63<99c904g<5:3357:>a:?0074=m:30q~=68983>7}:;03;6<><3:?0745=01;0q~=68683>7}:;02m6<><3:?0744=01;0q~=68783>7}:;02n6<><3:?0747=01;0q~=68483>7}:;02o6<><3:?0746=01;0q~=68583>7}:;02h6<><3:?075`=01;0q~=68283>7}:;02i6<><3:?075c=01;0q~=68383>7}:;02j6<><3:?075b=01;0q~=68083>7}:;0226<><3:?075e=01;0q~=;1g83><}:;02;69?n;<1:3c<39h16?49j:53b?85>?m0?=l52385`>17f3492;o4;1`9>7<1f2=;j70=678875d=:;=8;6h=6;|q0=2>=838p1>770;3376=:;::i656>;|q0=21=838p1>78f;3376=:;::j656>;|q0=20=838p1>78e;3376=:;::2656>;|q0=23=838p1>78d;3376=:;::3656>;|q0=22=838p1>78c;3376=:;::<656>;|q0=25=838p1>78b;3376=:;::=656>;|q0=24=838p1>78a;3376=:;::>656>;|q0=27=838p1>789;3376=:;::?656>;|q004b=833p1>780;62e>;41?l18:m63<97`904g<5:3=m7:>a:?0=3?=<8k01>:>e;g0=>{t;0<36=4={<1:35<68:901>=?3;:;5>{t;0<<6=4={<1:2c<68:901>=?2;:;5>{t;0<=6=4={<1:2`<68:901>=?1;:;5>{t;0<>6=4={<1:2a<68:901>=?0;:;5>{t;0{t;0<86=4={<1:2g<68:901>{t;0<96=4={<1:2d<68:901>{t;0<:6=4={<1:2<<68:901>{t;=;i6=46{<1:25<39h16?4;i:53b?85>=l0?=l52387g>17f34929n4;1`9>7<3e2=;j70=65`875d=:;0?269?n;<175f7:8;296~;41?:1===<;<11bg7:7;296~;417:6;296~;417:5;296~;417:4;296~;417:3;296~;417:2;296~;417:1;296~;41<31===<;<11b1:>9;29=~;40o:18bl3>:m63<8da904g<5:2nn7:>a:?0<`g=<8k01>6j9;62e>;4<8k1i>74}r1;a=<72;q6?5h?:0207>;4:j9145?4}r1;a2<72;q6?5ki:0207>;4:j8145?4}r1;a3<72;q6?5kj:0207>;4:j;145?4}r1;a0<72;q6?5kk:0207>;4:j:145?4}r1;a1<72;q6?5kl:0207>;4:kl145?4}r1;a6<72;q6?5km:0207>;4:ko145?4}r1;a7<72;q6?5kn:0207>;4:kn145?4}r1;a4<72;q6?5k6:0207>;4:ki145?4}r1752<720q6?5;?:53b?85?17f34938i4;1`9>7=2d2=;j70=74c875d=:;1>j69?n;<1;0<<39h16?9?7:d1:?xu40=21<7:9:1?xu40=?1<71<7h540c896>4m3>:m63<82f904g<5:28o7:>a:?0<6d=<8k01>6;40:318=4=20ff?>?:2wx?5=8:18185?;o0:<>=4=20fe?>?:2wx?5=9:18185?;l0:<>=4=20f=?>?:2wx?5=::18185?;m0:<>=4=20f?:2wx?5=;:18185?;j0:<>=4=20f3?>?:2wx?5=<:18185?;k0:<>=4=20f2?>?:2wx?5==:18185?;h0:<>=4=20f1?>?:2wx?5=>:18185?;00:<>=4=20f0?>?:2wx?9?<:18:85?;90?=l52390e>17f3493>h4;1`9>7=4c2=;j70=72b875d=:;18i69?n;<1;6d<39h16?5<6:53b?8539=0n?45rs2:1>51110?855m:034?5rs2:13?6=:r784?h51110?855m;034?5rs2:12?6=:r784?k51110?855m8034?5rs2:11?6=:r784?j51110?855m9034?5rs2:10?6=:r784?m51110?855lo034?5rs2:17?6=:r784?l51110?855ll034?5rs2:16?6=:r784?o51110?855lm034?5rs2:15?6=:r784?751110?855lj034?5rs2625?6=1r784?>540c896>6n3>:m63<80g904g<5:2:h7:>a:?0<4e=<8k01>6>b;62e>;408k18464;278>il58908yv5?9>0;6?u2393e>464;278>io58908yv5?9?0;6?u2393f>464;278>i758908yv5?9<0;6?u2393g>464;278>i658908yv5?9=0;6?u2393`>464;278>i958908yv5?9:0;6?u2393a>464;278>i858908yv5?9;0;6?u2393b>464;278>i;58908yv5?980;6?u2393:>464;278>i:58908yv538o0;64u23933>17f34937=6b2=;j70=70e875d=:;1:h69?n;<1;4g<39h16?5>n:53b?85?800?=l523533>`5>3ty84=650;0x96>683;;?>5233f0>=>53ty84=950;0x96>7n3;;?>5233f1>=>53ty84=850;0x96>7m3;;?>5233f2>=>53ty84=;50;0x96>7l3;;?>5233f3>=>53ty84=:50;0x96>7k3;;?>5233ae>=>53ty84==50;0x96>7j3;;?>5233af>=>53ty84=<50;0x96>7i3;;?>5233ag>=>53ty84=?50;0x96>713;;?>5233a`>=>53ty88=j50;;x96>783>:m63<7gd904g<5:=mi7:>a:?03cb=<8k01>9ic;62e>;4?oh185<5s493<=4>0218964dj323>6s|36d4>5<5s490218964di323>6s|36d5>5<5s490218964d1323>6s|36d6>5<5s490218964d0323>6s|36d7>5<5s490218964d?323>6s|36d0>5<5s490218964d>323>6s|36d1>5<5s490218964d=323>6s|36d2>5<5s490218964d<323>6s|352a>5<>s493i=4;1`9>7=ba2=;j70=7dd875d=:;1no69?n;<1;`f<39h16?5jm:53b?85?lh0?=l5239f:>17f349?c03:1>v3<8d2955543498?o47839~w6>c?3:1>v3<8ed955543498?l47839~w6>c>3:1>v3<8eg955543498?447839~w6>c=3:1>v3<8ef955543498?547839~w6>c<3:1>v3<8ea955543498?:47839~w6>c;3:1>v3<8e`955543498?;47839~w6>c:3:1>v3<8ec955543498?847839~w6>c93:1>v3<8e;955543498?947839~w62713:15v3<8e2904g<5:2hj7:>a:?06ld;62e>;40ji18d13>:m63<41c9a6?7=b728:8?63<3219<=47=ea28:8?63<3209<=47=eb28:8?63<3239<=47=ec28:8?63<3229<=47=ed28:8?63<33d9<=44?:3y>7=ee28:8?63<33g9<=47=ef28:8?63<33f9<=47=e>28:8?63<33a9<=47=e72=;j70=7bg875d=:;1hn69?n;<1;fa<39h16?5ll:53b?85?jk0?=l5239`b>17f3493n44;1`9>716?2l927p}<8c:94?4|5:2h<7??329>764e21297p}<8c594?4|5:2ij7??329>764f21297p}<8c494?4|5:2ii7??329>764>21297p}<8c794?4|5:2ih7??329>764?21297p}<8c694?4|5:2io7??329>764021297p}<8c194?4|5:2in7??329>764121297p}<8c094?4|5:2im7??329>764221297p}<8c394?4|5:2i57??329>764321297p}<41794??|5:2i<7:>a:?06ne;62e>;40hn18fi3>:m63<8`;904g<5:>;:7k<9:p7=g?2909w0=7b182465<5:99?7672:p7=g02909w0=7ag82465<5:99>7672:p7=g12909w0=7ad82465<5:99=7672:p7=g22909w0=7ae82465<5:99<7672:p7=g32909w0=7ab82465<5:9:j7672:p7=g42909w0=7ac82465<5:9:i7672:p7=g52909w0=7a`82465<5:9:h7672:p7=g62909w0=7a882465<5:9:o7672:p71642902w0=7a1875d=:;13m69?n;<1;=`<39h16?57k:53b?85?1j0?=l5239;a>17f34935l4;1`9>7=?>2=;j70=;058f7<=z{:2247>52z?052z?0<<`=999870=<1`8;<7=z{:22:7>52z?0<52z?0<52z?0<52z?0<7>52z?0<52z?0<;=7>59z?0<<6=<8k01>67f;62e>;401o18?j3>:m63<89c904g<5:2357:>a:?0054=m:30q~=78983>7}:;13;6<><3:?0745=0180q~=78683>7}:;12m6<><3:?0744=0180q~=78783>7}:;12n6<><3:?0747=0180q~=78483>7}:;12o6<><3:?0746=0180q~=78583>7}:;12h6<><3:?075`=0180q~=78283>7}:;12i6<><3:?075c=0180q~=78383>7}:;12j6<><3:?075b=0180q~=78083>7}:;1226<><3:?075e=0180q~=<}:;12;69?n;<1;3c<39h16?59j:53b?85??m0?=l52395`>17f3493;o4;1`9>7=1f2=;j70=778875d=:;=:;6h=6;|q0<2>=838p1>670;3376=:;::i656=;|q0<21=838p1>68f;3376=:;::j656=;|q0<20=838p1>68e;3376=:;::2656=;|q0<23=838p1>68d;3376=:;::3656=;|q0<22=838p1>68c;3376=:;::<656=;|q0<25=838p1>68b;3376=:;::=656=;|q0<24=838p1>68a;3376=:;::>656=;|q0<27=838p1>689;3376=:;::?656=;|q07cb=833p1>680;62e>;40?l181k3>:m63<87`904g<5:2=m7:>a:?0<3?=<8k01>=ie;g0=>{t;1<36=4={<1;35<68:901>=?3;:;6>{t;1<<6=4={<1;2c<68:901>=?2;:;6>{t;1<=6=4={<1;2`<68:901>=?1;:;6>{t;1<>6=4={<1;2a<68:901>=?0;:;6>{t;1{t;1<86=4={<1;2g<68:901>{t;1<96=4={<1;2d<68:901>{t;1<:6=4={<1;2<<68:901>{t;:li6=46{<1;25<39h16?5;i:53b?85?=l0?=l52397g>17f34939n4;1`9>7=3e2=;j70=75`875d=:;1?269?n;<10bf6:8;296~;40?:1===<;<11bg6:7;296~;406:6;296~;406:5;296~;406:4;296~;406:3;296~;406:2;296~;406:1;296~;40<31===<;<11b1=i9;29=~;4?o:18:m63<7da904g<5:=nn7:>a:?03`g=<8k01>9j9;62e>;4;ok1i>74}r14a=<72;q6?:h?:0207>;4:j9145<4}r14a2<72;q6?:ki:0207>;4:j8145<4}r14a3<72;q6?:kj:0207>;4:j;145<4}r14a0<72;q6?:kk:0207>;4:j:145<4}r14a1<72;q6?:kl:0207>;4:kl145<4}r14a6<72;q6?:km:0207>;4:ko145<4}r14a7<72;q6?:kn:0207>;4:kn145<4}r14a4<72;q6?:k6:0207>;4:ki145<4}r10b2<720q6?:;?:53b?85017f349<8i4;1`9>722d2=;j70=84c875d=:;>>j69?n;<140<<39h16?>h7:d1:?xu4?=21<7:9:3?xu4?=?1<71<7h540c89614m3>:m63<72f904g<5:=8o7:>a:?036d=<8k01>9;4?:318=4=20ff?>?82wx?:=8:181850;o0:<>=4=20fe?>?82wx?:=9:181850;l0:<>=4=20f=?>?82wx?:=::181850;m0:<>=4=20f?82wx?:=;:181850;j0:<>=4=20f3?>?82wx?:=<:181850;k0:<>=4=20f2?>?82wx?:==:181850;h0:<>=4=20f1?>?82wx?:=>:181850;00:<>=4=20f0?>?82wx?>h<:18:850;90?=l52360e>17f349<>h4;1`9>724c2=;j70=82b875d=:;>8i69?n;<146d<39h16?:<6:53b?854n=0n?45rs251>51110?855m:034=5rs2513?6=:r78;?h51110?855m;034=5rs2512?6=:r78;?k51110?855m8034=5rs2511?6=:r78;?j51110?855m9034=5rs2510?6=:r78;?m51110?855lo034=5rs2517?6=:r78;?l51110?855ll034=5rs2516?6=:r78;?o51110?855lm034=5rs2515?6=:r78;?751110?855lj034=5rs21e5?6=1r78;?>540c89616n3>:m63<70g904g<5:=:h7:>a:?034e=<8k01>9>b;62e>;4?8k18464;278>il58928yv509>0;6?u2363e>464;278>io58928yv509?0;6?u2363f>464;278>i758928yv509<0;6?u2363g>464;278>i658928yv509=0;6?u2363`>464;278>i958928yv509:0;6?u2363a>464;278>i858928yv509;0;6?u2363b>464;278>i;58928yv50980;6?u2363:>464;278>i:58928yv54mm0;64u23623>17f349=jk4;1`9>73`b2=;j70=9fe875d=:;?lh69?n;<15bg<39h16?;hn:53b?851n00?=l5232gf>`5>3ty8:k650;0x961783;;?>5233aa>=>73ty8:k950;0x960an3;;?>5233ab>=>73ty8:k850;0x960am3;;?>5233a:>=>73ty8:k;50;0x960al3;;?>5233a;>=>73ty8:k:50;0x960ak3;;?>5233a4>=>73ty8:k=50;0x960aj3;;?>5233a5>=>73ty8:k<50;0x960ai3;;?>5233a6>=>73ty8:k?50;0x960a13;;?>5233a7>=>73ty8?hl50;;x961b83>:m63<7ed904g<5:=oi7:>a:?03ab=<8k01>9kc;62e>;4?mh185<5s4902189654j323<6s|36f4>5<5s4902189654i323<6s|36f5>5<5s49021896541323<6s|36f6>5<5s49021896540323<6s|36f7>5<5s4902189654?323<6s|36f0>5<5s4902189654>323<6s|36f1>5<5s4902189654=323<6s|36f2>5<5s4902189654<323<6s|32ge>5<>s49<==4;1`9>726a2=;j70=80d875d=:;>:o69?n;<144f<39h16?:>m:53b?8508h0?=l52362:>17f3498j=4j389~w61703:1>v3<702955543499h>47819~w617?3:1>v3<71d955543499h?47819~w617>3:1>v3<71g955543499h<47819~w617=3:1>v3<71f955543499h=47819~w617<3:1>v3<71a955543499ok47819~w617;3:1>v3<71`955543499oh47819~w617:3:1>v3<71c955543499oi47819~w61793:1>v3<71;955543499on47819~w65b13:15v3<7e2904g<5:=hj7:>a:?03fc=<8k01>9ld;62e>;4?ji18:m63<3dc9a6?72b728:8?63<3219<=672ea28:8?63<3209<=672eb28:8?63<3239<=672ec28:8?63<3229<=672ed28:8?63<33d9<=64?:3y>72ee28:8?63<33g9<=672ef28:8?63<33f9<=672e>28:8?63<33a9<=672e72=;j70=8bg875d=:;>hn69?n;<14fa<39h16?:ll:53b?850jk0?=l5236`b>17f34976c?2l927p}<7c:94?4|5:=h<7??329>764e212;7p}<7c594?4|5:=ij7??329>764f212;7p}<7c494?4|5:=ii7??329>764>212;7p}<7c794?4|5:=ih7??329>764?212;7p}<7c694?4|5:=io7??329>7640212;7p}<7c194?4|5:=in7??329>7641212;7p}<7c094?4|5:=im7??329>7642212;7p}<7c394?4|5:=i57??329>7643212;7p}<3d794??|5:=i<7:>a:?03d`=<8k01>9ne;62e>;4?hn18:m63<7`;904g<5:9n:7k<9:p72g?2909w0=8b182465<5:99?7670:p72g02909w0=8ag82465<5:99>7670:p72g12909w0=8ad82465<5:99=7670:p72g22909w0=8ae82465<5:99<7670:p72g32909w0=8ab82465<5:9:j7670:p72g42909w0=8ac82465<5:9:i7670:p72g52909w0=8a`82465<5:9:h7670:p72g62909w0=8a882465<5:9:o7670:p76c42902w0=8a1875d=:;>3m69?n;<14=`<39h16?:7k:53b?8501j0?=l5236;a>17f349<5l4;1`9>72?>2=;j70=52z?03d6=999870=<1c8;<5=z{:=2;7>52z?03<`=999870=<1`8;<5=z{:=2:7>52z?0352z?0352z?0352z?037>52z?0352z?0359z?03<6=<8k01>97f;62e>;4?1o18:m63<79c904g<5:=357:>a:?07`4=m:30q~=88983>7}:;>3;6<><3:?0745=01:0q~=88683>7}:;>2m6<><3:?0744=01:0q~=88783>7}:;>2n6<><3:?0747=01:0q~=88483>7}:;>2o6<><3:?0746=01:0q~=88583>7}:;>2h6<><3:?075`=01:0q~=88283>7}:;>2i6<><3:?075c=01:0q~=88383>7}:;>2j6<><3:?075b=01:0q~=88083>7}:;>226<><3:?075e=01:0q~=<}:;>2;69?n;<143c<39h16?:9j:53b?850?m0?=l52365`>17f349<;o4;1`9>721f2=;j70=878875d=:;:o;6h=6;|q032>=838p1>970;3376=:;::i656?;|q0321=838p1>98f;3376=:;::j656?;|q0320=838p1>98e;3376=:;::2656?;|q0323=838p1>98d;3376=:;::3656?;|q0322=838p1>98c;3376=:;::<656?;|q0325=838p1>98b;3376=:;::=656?;|q0324=838p1>98a;3376=:;::>656?;|q0327=838p1>989;3376=:;::?656?;|q07ab=833p1>980;62e>;4??l18:m63<77`904g<5:==m7:>a:?033?=<8k01>=ke;g0=>{t;><36=4={<1435<68:901>=?3;:;4>{t;><<6=4={<142c<68:901>=?2;:;4>{t;><=6=4={<142`<68:901>=?1;:;4>{t;><>6=4={<142a<68:901>=?0;:;4>{t;>{t;><86=4={<142g<68:901>{t;><96=4={<142d<68:901>{t;><:6=4={<142<<68:901>{t;:ni6=46{<1425<39h16?:;i:53b?850=l0?=l52367g>17f349<9n4;1`9>723e2=;j70=85`875d=:;>?269?n;<10`f9:8;296~;4??:1===<;<11bg9:7;296~;4?9:6;296~;4?9:5;296~;4?9:4;296~;4?9:3;296~;4?9:2;296~;4?9:1;296~;4?<31===<;<11b1=k7;29=~;4>o:18:m63<6da904g<5:a:?02`g=<8k01>8j9;62e>;4;m21i>74}r15a=<72;q6?;h?:0207>;4:j9145>4}r15a2<72;q6?;ki:0207>;4:j8145>4}r15a3<72;q6?;kj:0207>;4:j;145>4}r15a0<72;q6?;kk:0207>;4:j:145>4}r15a1<72;q6?;kl:0207>;4:kl145>4}r15a6<72;q6?;km:0207>;4:ko145>4}r15a7<72;q6?;kn:0207>;4:kn145>4}r15a4<72;q6?;k6:0207>;4:ki145>4}r10`0<720q6?;;?:53b?85117f349=8i4;1`9>732d2=;j70=94c875d=:;?>j69?n;<150<<39h16?>j9:d1:?xu4>=21<7==1<7=<1<7:95e?xu4>=?1<7=>1<7=91<7=81<7=;1<7h540c89604m3>:m63<62f904g<5:<8o7:>a:?026d=<8k01>8;4>:318=4=20ff?>0n2wx?;=8:181851;o0:<>=4=20fe?>0n2wx?;=9:181851;l0:<>=4=20f=?>0n2wx?;=::181851;m0:<>=4=20f0n2wx?;=;:181851;j0:<>=4=20f3?>0n2wx?;=<:181851;k0:<>=4=20f2?>0n2wx?;==:181851;h0:<>=4=20f1?>0n2wx?;=>:181851;00:<>=4=20f0?>0n2wx?>j>:18:851;90?=l52370e>17f349=>h4;1`9>734c2=;j70=92b875d=:;?8i69?n;<156d<39h16?;<6:53b?854l;0n?45rs241>51110?855m:03;k5rs2413?6=:r78:?h51110?855m;03;k5rs2412?6=:r78:?k51110?855m803;k5rs2411?6=:r78:?j51110?855m903;k5rs2410?6=:r78:?m51110?855lo03;k5rs2417?6=:r78:?l51110?855ll03;k5rs2416?6=:r78:?o51110?855lm03;k5rs2415?6=:r78:?751110?855lj03;k5rs21`b?6=1r78:?>540c89606n3>:m63<60g904g<5:<:h7:>a:?024e=<8k01>8>b;62e>;4>8k185e2;8yv51910;6?u23703>464;278>il586d8yv519>0;6?u2373e>464;278>io586d8yv519?0;6?u2373f>464;278>i7586d8yv519<0;6?u2373g>464;278>i6586d8yv519=0;6?u2373`>464;278>i9586d8yv519:0;6?u2373a>464;278>i8586d8yv519;0;6?u2373b>464;278>i;586d8yv51980;6?u2373:>464;278>i:586d8yv54km0;64u23733>17f349=736b2=;j70=90e875d=:;?:h69?n;<154g<39h16?;>n:53b?851800?=l5232af>`5>3ty8:=650;0x960683;;?>5233f0>=1a3ty8:=950;0x9607n3;;?>5233f1>=1a3ty8:=850;0x9607m3;;?>5233f2>=1a3ty8:=;50;0x9607l3;;?>5233f3>=1a3ty8:=:50;0x9607k3;;?>5233ae>=1a3ty8:==50;0x9607j3;;?>5233af>=1a3ty8:=<50;0x9607i3;;?>5233ag>=1a3ty8:=?50;0x960713;;?>5233a`>=1a3ty8?nl50;;x960783>:m63<5gd904g<5:?mi7:>a:?01cb=<8k01>;ic;62e>;4=oh185<5s49=<=4>0218964dj325<5s49>jk4>0218964di325<5s49>jh4>0218964d1325<5s49>ji4>0218964d0325<5s49>jn4>0218964d?325<5s49>jo4>0218964d>325<5s49>jl4>0218964d=325<5s49>j44>0218964d<325<>s49=i=4;1`9>73ba2=;j70=9dd875d=:;?no69?n;<15`f<39h16?;jm:53b?851lh0?=l5237f:>17f3498ol4j389~w60c03:1>v3<6d2955543498?o477g9~w60c?3:1>v3<6ed955543498?l477g9~w60c>3:1>v3<6eg955543498?4477g9~w60c=3:1>v3<6ef955543498?5477g9~w60c<3:1>v3<6ea955543498?:477g9~w60c;3:1>v3<6e`955543498?;477g9~w60c:3:1>v3<6ec955543498?8477g9~w60c93:1>v3<6e;955543498?9477g9~w65d?3:15v3<6e2904g<5:a:?02fc=<8k01>8ld;62e>;4>ji18:m63<3b:9a6?73b728:8?63<3219<2`73ea28:8?63<3209<2`73eb28:8?63<3239<2`73ec28:8?63<3229<2`73ed28:8?63<33d9<2`4?:3y>73ee28:8?63<33g9<2`73ef28:8?63<33f9<2`73e>28:8?63<33a9<2`73e72=;j70=9bg875d=:;?hn69?n;<15fa<39h16?;ll:53b?851jk0?=l5237`b>17f349=n44;1`9>76e12l927p}<6c:94?4|5:764e21=m7p}<6c594?4|5:764f21=m7p}<6c494?4|5:764>21=m7p}<6c794?4|5:764?21=m7p}<6c694?4|5:764021=m7p}<6c194?4|5:764121=m7p}<6c094?4|5:764221=m7p}<6c394?4|5:764321=m7p}<3b194??|5:a:?02d`=<8k01>8ne;62e>;4>hn18:m63<6`;904g<5:9h87k<9:p73g?2909w0=9b182465<5:99?768f:p73g02909w0=9ag82465<5:99>768f:p73g12909w0=9ad82465<5:99=768f:p73g22909w0=9ae82465<5:99<768f:p73g32909w0=9ab82465<5:9:j768f:p73g42909w0=9ac82465<5:9:i768f:p73g52909w0=9a`82465<5:9:h768f:p73g62909w0=9a882465<5:9:o768f:p76e62902w0=9a1875d=:;?3m69?n;<15=`<39h16?;7k:53b?8511j0?=l5237;a>17f349=5l4;1`9>73?>2=;j70=52z?02d6=999870=<1c8;3c=z{:<2;7>52z?02<`=999870=<1`8;3c=z{:<2:7>52z?0252z?0252z?0252z?027>52z?0252z?0259z?02<6=<8k01>87f;62e>;4>1o18:m63<69c904g<5:<357:>a:?07f6=m:30q~=98983>7}:;?3;6<><3:?0745=0>l0q~=98683>7}:;?2m6<><3:?0744=0>l0q~=98783>7}:;?2n6<><3:?0747=0>l0q~=98483>7}:;?2o6<><3:?0746=0>l0q~=98583>7}:;?2h6<><3:?075`=0>l0q~=98283>7}:;?2i6<><3:?075c=0>l0q~=98383>7}:;?2j6<><3:?075b=0>l0q~=98083>7}:;?226<><3:?075e=0>l0q~=<}:;?2;69?n;<153c<39h16?;9j:53b?851?m0?=l52375`>17f349=;o4;1`9>731f2=;j70=978875d=:;:hn6h=6;|q022>=838p1>870;3376=:;::i659i;|q0221=838p1>88f;3376=:;::j659i;|q0220=838p1>88e;3376=:;::2659i;|q0223=838p1>88d;3376=:;::3659i;|q0222=838p1>88c;3376=:;::<659i;|q0225=838p1>88b;3376=:;::=659i;|q0224=838p1>88a;3376=:;::>659i;|q0227=838p1>889;3376=:;::?659i;|q07gd=833p1>880;62e>;4>?l18:m63<67`904g<5:<=m7:>a:?023?=<8k01>=mc;g0=>{t;?<36=4={<1535<68:901>=?3;:4b>{t;?<<6=4={<152c<68:901>=?2;:4b>{t;?<=6=4={<152`<68:901>=?1;:4b>{t;?<>6=4={<152a<68:901>=?0;:4b>{t;?{t;?<86=4={<152g<68:901>{t;?<96=4={<152d<68:901>{t;?<:6=4={<152<<68:901>{t;:h26=46{<1525<39h16?;;i:53b?851=l0?=l52377g>17f349=9n4;1`9>733e2=;j70=95`875d=:;??269?n;<10fd8:8;296~;4>?:1===<;<11bg8:7;296~;4>8:6;296~;4>8:5;296~;4>8:4;296~;4>8:3;296~;4>8:2;296~;4>8:1;296~;4><31===<;<11b1=m5;29=~;4=o:18:m63<5da904g<5:?nn7:>a:?01`g=<8k01>;j9;62e>;4;k<1i>74}r16a=<72;q6?8h?:0207>;4:j914:h4}r16a2<72;q6?8ki:0207>;4:j814:h4}r16a3<72;q6?8kj:0207>;4:j;14:h4}r16a0<72;q6?8kk:0207>;4:j:14:h4}r16a1<72;q6?8kl:0207>;4:kl14:h4}r16a6<72;q6?8km:0207>;4:ko14:h4}r16a7<72;q6?8kn:0207>;4:kn14:h4}r16a4<72;q6?8k6:0207>;4:ki14:h4}r10f6<720q6?l8=:53b?85f>80?=l523`43>17f349j9k4;1`9>7d3b2=;j70=n5e875d=:;h?h69?n;<1b1g<39h16?>l;:d1:?xu4;k;1<77t=2c66?26i278m8?540c896g283>:m63a:?0e1b=<8k01>o;c;62e>;4i=h18oi:18:85f<;0?=l523`62>17f349j8=4;1`9>7d5a2=;j70=n3d875d=:;h9o69?n;<1b7f<39h16?l=m:53b?854j90n?45rs21b`?6=1r78m><540c896g493>:m63a:?0e7c=<8k01>o=d;62e>;4i;i1817f349j><4;1`9>7d472=;j70=n1g875d=:;h;n69?n;<1b5a<39h16?l?l:53b?85f9k0?=l5232c`>`5>3ty8?l750;;x96g6:3>:m63a:?0e5`=<8k01>o?e;62e>;4i9n185<>s49jj?4;1`9>7d`62=;j70=nf1875d=:;hom69?n;<1ba`<39h16?lkk:53b?85fmj0?=l523`ga>17f3498m54j389~w65f=3:15v3a:?0e`6=<8k01>okf;62e>;4imo18:m63<3`49a6?4?:8y>7db52=;j70=nd0875d=:;hn;69?n;<1bgc<39h16?lmj:53b?85fkm0?=l523`a`>17f349joo4;1`9>76g32l927p}<3`394??|5:kh>7:>a:?0ef7=<8k01>ol0;62e>;4ikl18:m637k<9:p76?a2902w0=nb3875d=:;hh:69?n;<1bf5<39h16?loi:53b?85fil0?=l523`cg>17f349jmn4;1`9>7dge2=;j70=59z?0ed4=<8k01>on1;62e>;4ih:18l3>:m63a:?07<}:;h3969?n;<1b=4<39h16?l7?:53b?85f0o0?=l523`:f>17f349j4i4;1`9>7d>d2=;j70=n8c875d=:;:3h6h=6;|q07o72;62e>;4i1;18:m63a:?0e2d=<8k01>=6a;g0=>{t;:3<6=46{<1b37<39h16?l9>:53b?85f?90?=l523`4e>17f349j:h4;1`9>7d0c2=;j70=n6b875d=:;h=61;29=~;4i9818540c896?an3>:m63<9gg904g<5:3mh7:>a:?0=ce=<8k01>7ib;62e>;4;0>1i>74}r1655<72lq6?8j7:53b?852l>0?=l5234f5>17f349>i=4;1`9>70ba2=;j70=:dd875d=:;17f349>h84;1`9>70b32=;j70=:108f7<=z{:?hm7>52z?01a>=999870=:5c8;<7=z{:?h57>52z?01a1=999870=:5`8;<7=z{:?h47>52z?01a0=999870=:548;<7=z{:?o?7>52z?01`6=999870=:598;<7=z{:?o>7>52z?01a`=999870=:568;<7=z{:?o=7>52z?01ac=999870=:578;<7=z{:?o<7>52z?01ab=999870=:558;<7=z{:?hj7>52z?01ae=999870=:618;<7=z{:?hi7>52z?01ad=999870=:5g8;<7=z{:?hh7>52z?01ag=999870=:5d8;<7=z{:?ho7>52z?01a?=999870=:5e8;<7=z{:?hn7>52z?01a3=999870=:5b8;<7=z{:?h;7>52z?01a2=999870=:588;<7=z{:?;i7>5ez?01gc=<8k01>;md;62e>;4=ki18:m63<5b1904g<5:?h>7:>a:?01f7=<8k01>;l0;62e>;4=kl185<5s49>nh4>02189632j323?6s|34ce>5<5s49>ni4>02189632i323?6s|34cf>5<5s49>nn4>02189632=323?6s|34`:>5<5s49>o;4>021896320323?6s|34`;>5<5s49>o84>02189632?323?6s|34`4>5<5s49>o94>02189632>323?6s|34`5>5<5s49>o>4>02189632<323?6s|34`6>5<5s49>o?4>021896318323?6s|34`7>5<5s49>o<4>02189632n323?6s|34`0>5<5s49>o=4>02189632m323?6s|34`1>5<5s49>nk4>02189632l323?6s|34`2>5<5s49>no4>02189632k323?6s|34cg>5<5s49>nl4>021896321323?6s|342`>5m94;1`9>70g42=;j70=:a3875d=:;17f349>m:4;1`9>70g12=;j70=:a4875d=:;k:d1:?xu4=0<1<71<7464;278?o958908965c1323?63<3879<=5<5:92?768f:?66dc=01:0q~<<2083>44|5;9<87:77:?1773=m:301?==6;:;6>;5;:?145<4=3100?>?:279??95890897550323>63=33;9<=4<5;99m7672:?177d=01801?==c;:;6>;5;;n145<4=311a?>?:279??h5890897548323>63=3239<=4<5;98?7672:?1764=0180q~<<7583>3}:::=?6<><3:?16ge=01:01?;5;o=145=4=363f?>?9279>ok58908yv440<0;6?u222;6>1>03488>:4j389~w750=3:18v3=387955543488?;4>07689751<32nj63=3319<=666?12=2<70<<358f7<=z{;953z?17<0=999870<<228;3c=:::?8659i;|q17=b=838p1?=6d;6;3>;5;:?1i>74}r003a<72:q6>>7k:0207>;5;;9145?4=3161?>0n2wx>>6j:1818441l0?4:522205>`5>3ty9?:k50;1x975>m3;;?>522200>=>534889:477g9~w75?n3:1>v3=38d90=1<5;9947k<9:p661a2908w0<<9g82465<5;99?7673:?170?=0>l0q~<<9183>7}:::k;6968;<006<>;m:95e?xu5;0;1<7u222c2>464;279??:589289752l325<5s488m?4;869>664e2l927p}=39094?5|5;9j>7??329>664321=m70<<5g8;3c=z{;92?7>52z?17d5=<1=01?==c;g0=>{t::286=4<{<00e6<68:901?==4;:;5>;5;?;14:h4}r00=1<72;q6>>o;:5:4?844:m0n?45rs31;0?6=;r79?l:51110?844:=034?522240>=1a3ty9?5850;0x975>?3>3;63=33g9a6?66?028:8?63=3369<=5<5;9?;768f:p66>02909w0<<9987<2=:::8m6h=6;|q1721=839p1?=68;3376=:::8?656;;<000<>97:180844100:<>=4=3111?>?8279?9l586d8yv44000;6?u222;b>1>03488?<4j389~w75013:1?v3=38c955543488>8477g9>662c21=m7p}=39c94?4|5;92n7:77:?1764=m:30q~<<7`83>6}:::3i6<><3:?1773=01;01?=;f;:4b>{t::2i6=4={<00=f<30>16>>=<:d1:?xu5;>h1<7=t=31:g?77;:16>><::9:7?844=803;k5rs3102?6=;r79?>85b7;89754l3>9863=37`907266032k<270<<268;<6=z{;9>>7>53z?176b=99;5;:o18?:4=315g?25<2wx>>?7:181844>k0i:4522217>=>43ty9?8:50;1x9754m3;;:952224`>=ca3488984j389~w754m3:1?v3=32g9f3?<5;98j7:=4:?173b=<;>0q~<<1883>7}:::>;8:d1:?xu5;:l1<7=t=310b?d11279?9>543689751m3>986s|223b>5<5s488:i4m689>664121287p}=34:94?5|5;9?<7??659>660b21om70<<588f7<=z{;9?<7>53z?1716=j?301?=;1;610>;5;?l18?:4}r005g<72;q6>>8j:c4:?844:1034>5rs316e?6=;r79?9?51147?844>o03ik52227a>`5>3ty9?9?50;1x975393h=563=3509072<5;9<<7:=4:p667d2909w0<<6g8a2<=:::82656<;|q170e=839p1?=;2;3321=:::=;65ki;<001ag0>3488>l47829~w752m3:1?v3=351955033488;<47eg9>663a2l927p}=35194?5|5;9??7l99:?1712=<;>01?=82;610>{t::;n6=4={<0034016>>=16>>9=:9ge?844>80n?45rs3170?6=;r79?9:5b7;89753=3>9863=361907266152k<270<<2b8;<6=z{;9=>7>53z?1713=99;5;:218?:4=3151?25<2wx>>=>43ty9?9850;1x975403;;:9522246>=ca34888:4j389~w75403:1?v3=32:9f3?<5;9857:=4:?1730=<;>0q~<<1383>7}:::<>6o86;<006`>:6:d1:?xu5;:31<7=t=310=?d11279?>o543689751?3>986s|2230>5<5s488:;4m689>664a21287p}=35c94?5|5;98m7??659>660021om70<<4c8f7<=z{;98m7>53z?176g=j?301?=;5;?218?:4}r0051<72;q6>>88:c4:?844;9034>5rs317g?6=;r79?>l51147?844>103ik52226g>`5>3ty9?>l50;1x9754j3h=563=32a9072<5;9=57:=4:p66722909w0<<698a2<=:::9:656<;|q171c=839p1?=>?9:181844>00i:4522211>=>43ty9?8>50;0x9751i32nj63=3439a6?660f2k<270<<328;<6=z{;9m<7>52z?105e=<1=01?=i7;g0=>{t:=:h6=4n{<074f<68:901?=m9;62e>;5;kk18:m63=3b19<=5<5;9jj7671:?17f3=01801?=l7;:;6>{t:=;:6=4={<0750<30>16>>h6:d1:?xu5<9k1<7;t=3621?26i2798<8540c89726?3>:m63=40:904g<5;>;n7k<9:p616c290>w0<;1482465<5;9mo7??659>616121om70<6;6;3>;5;ok1i>74}r074`<72=q6>9?9:0207>;5;o<145?4=31e3?>?92798=?586d8yv439:0;6?u22534>1>03488jo4j389~w727n3:18v3=405955543488j;47819>66`0212;70<;028;3c=z{;>:87>52z?104>=<1=01?=i8;g0=>{t:=;;6=4;{<075=<68:901?=i6;:4b>;5;o=14:h4=3631?>0n2wx>>hl:180844nj0i:45222df>143348?<:4;259~w75a93:1>v3=4149f3?<5;9m57672:p61672908w0<;;76jf:?1057=m:30q~<6}:::ln6o86;<00bc<3:=16>9>7:507?xu5;o81<7u222de>461<2798=658dd89727;3o856s|22de>5<5s488jk4m689>616>2=8?7p}=3g194?4|5;>;47l99:?17cd=0180q~<;0583>7}::=:265ki;<0740?:2wx>?m<:181845k>0?4:5223c;>`5>3ty9>ol50;7x974d?3>:m63=2b:904g<5;8h57:>a:?16fg=<8k01?{t:;hm6=49{<01g2<68:901??on:9:0?845jl03495rs30`0?6=:r79>n654958974fj3o856s|23a3>5<2s489o54>0218974f>323=63=2`c9<=4<5;8ii7673:?16g4=0>l0q~<=c483>7}::;i26968;<01ef?on:9:2?845jl034<5223`7>=1a3ty9>n850;0x974di3>3;63=2`59a6?67ef28:8?63=2`49<2`<5;8jm7670:?16gc=01:01?{t:;ko6=4<{<01ea016>?oi:507?845j10?>95rs30b5?6=:r79>o95b7;8974f0323<6s|23`2>5<4s489mk4>0768974e032nj63=2c09a6?67ga2k<270<=b18761=::;h269<;;|q16d4=838p1?;5:hh145>4}r01f6<72:q6>?l?:0250>;5:k314hh4=30a0?c412wx>?l?:181845j90i:45223`b>1433ty9>l=50;0x974e13h=563=2`a9<=667df21om70<=b78f7<=z{;8j87>52z?16gg=j?301?{t:;3=6=4={<01`7<30>16>?76:d1:?xu5:0k1<7:t=30g6?26i279>i?540c8974c83>:m63=28`9a6?67b528:8?63=28;9<2`<5;9;j768f:p67?22909w0<=d087<2=::;336h=6;|q16fc=83>p1?>>i:9:3?xu5:0>1<7495e2;8yv45km0;68u223f3>464;279>4758938974>032?77:9:2?8451>034=52222f>=1a3489i947839>67?a212970<=9c8;<6=::;3o656?;<01gf?hj:1868448>0?=l522225>17f3488<84;1`9>66632=;j70<=fg8f7<=z{;9;?7>53z?1751=999870<=fe8;<4=::::2656>;|q16c>=838p1?=?6;6;3>;5:oi1i>74}r0047<72=q6>>>9:0207>;5:oi145?4=30e`?>?<279?=758918yv45n>0;6?u22226>1>03489jo4j389~w75793:19v3=317955543489jo47839>67`d212870<=fe8;<6=::::2656=;|q16c0=838p1?=?4;6;3>;5:ok1i>74}r0045<72>>;:0207>;5:oh145?4=30eg?>?:279>kj58908974ai325<5s488666b2l927p}=31f94?>|5;9;h7??329>666d212970<<0d8;<5=::;o?656<;<01=c?7m:9:1?8451m034>5223a`>=>43ty9?oh50;;x975b>3>:m63=3d5904g<5;9n47:>a:?17`?=<8k01?=ja;62e>;5;lh185<5s488i;4>0218975c>3>3;6s|22fe>5<5s488i:4>0218975c?3>3;6s|22g3>5<5s488i54>0218975c03>3;6s|22g2>5<5s488i44>0218975c13>3;6s|22g1>5<5s488il4>0218975ci3>3;6s|22g0>5<5s488io4>0218975cj3>3;6s|22g7>5<5s488in4>0218975ck3>3;6s|22g6>5<5s488ii4>0218975cl3>3;6s|22`g>5<>s488h;4;1`9>66b02=;j70<>jm:53b?844lj0?=l5222fg>17f3488nh4j389~w75dm3:1>v3=3e4955543488m547829~w75dn3:1>v3=3e5955543488m447809~w75c83:1>v3=3e:955543488m547809~w75c93:1>v3=3e;955543488m447829~w75c:3:1>v3=3ec955543488m547839~w75c;3:1>v3=3e`955543488m447839~w75c<3:1>v3=3ea955543488m547859~w75c=3:1>v3=3ef955543488m447859~w75dl3:1>v3=3ba90=1<5;9jj7k<9:p66e62909w0<>oi:9:3?844kk03;k523c06>=>43488o447829>66db212:7p}=3c794?4|5;9i57:77:?17dd=m:30q~<g}:::h26<><3:?17f5=0>l01?=na;:;7>;5;hh14:h4=31b`?>?8279?lm586d8975fm323<63=3`d9<2`<5;9h9768f:?17f1=01:01?=n7;3321=z{;9i:7>52z?17gg=<1=01?=nc;g0=>{t::h:6=4l{<00fd<68:901?=l3;:;4>;5;hk145?4=31bf?>?9279?lj58938975fk323<63=3`g9<=7<5;9jj7673:?17f3=01:01?=l7;:;5>;5;h2145>4=31b=?>0n2wx>>l8:181844jk0?4:5222cg>`5>3ty9?o<50;ax975ej3;;?>5222a0>=>63488ml47839>66ge212970<;<00e`>oi:9:1?844k<034<5222a4>=>43488m5477g9>66g>212;7p}=3c:94?4|5;9io7:77:?17dc=m:30q~<<}:::hh6<><3:?17f5=01801?=na;:;4>;5;hh145=4=31bg?>?:279?lk586d8975fn323863=3b79<=2<5;9h;7674:p66d32909w0<;6>?:27::8=589089403m323>63>65;9<=4<58;6>:?145<4=0404?>?:27::?l589089405>323>63>6339<=4<58<:o7672:?2241=01801<8>2;:;6>;6>9n145<4=043?:27::==58908943am323>63>5g;9<=4<58?m87672:?21``=01801<;ja;:;6>;6=l?145<4=07f4?>?:27:9il58908943c>323>63>5e39<=4<58?ho7672:?21f1=01801<;l2;:;6>;6=kn145<4=07a?:27:9o=58908943fm323>63>5`;9<=4<58?j87672:?21<`=01801<;6a;:;6>;6=0?145<4=07:4?>?:27:95l58908943?>323>63>5939<=467cc2=2<70<=ed82465<5;8oo7:77:p67?d2902w0<=ee875d=::;on69?n;<01ac<39h16>?h?:53b?845n80?=l5223d1>17f3489j>4;1`9>67`32=;j70<=9e8f7<=z{;8n97>52z?16`b=999870<=dc87<2=z{;8n;7>53z?16`c=<1=01?=839p1?;5:o:1===<;<01``<30>1v??ji:5:4?xu5:lk1<7=t=30e5?2??279>k<51110?845m90?4:5rs30ff?6=;r79>k<54958974a;3;;?>5223g2>1>03ty9>hm50;1x974a;3>3;63=2g6955543489i?4;869~w75703:14v3=2g690=1<5;9;o7670:?175c=01901?=?8;3376=::;o?656>;<01=c?7m:9:7?8448003495rs30:a?6=1r79>il540c8974ck3>:m63=2ef904g<5;8oi7:>a:?16a`=<8k01?;5:l;184h5e2;8yv45l:0;6?u223fa>464;279>8>54958yv45l=0;6?u223f`>464;279>8?54958yv45l<0;6?u223fg>464;279>8<54958yv45l?0;6?u223ff>464;279>8=54958yv45l>0;6?u223fe>464;279>8:54958yv45l10;6?u223g3>464;279>8;54958yv45l00;6?u223g2>464;279>8854958yv45lh0;6?u223g1>464;279>8954958yv45m:0;69u22301>1>03489i>4>0218974b<323<63=2569<=7?4?:3y>674528:8?63=2569<2`=4?:03x9744i3>:m63=22;904g<5;8847:>a:?1661=<8k01?<<6;62e>;5::?189?540c8974383>:m63=22d904g<5;88i7:>a:?166b=<8k01?<;5::h18>=540c8974593o856s|230:>5<5s489?l4>02189754;325<5s489?44>02189754:325<5s489?54>021897549325<5s489?:4>021897548325<5s489?;4>02189755n325<5s489?84>02189755m325<5s4898?4>02189755l325<5s4898<4>02189755k325<5s4898=4>02189755j325<5s489?k4>02189755i325<5s489?h4>021897551325<5s489?i4>021897550325<5s489?n4>02189755>325<5s489?o4>02189754=325<5s489?94>02189754<325<5s489?>4>02189755?325<5s4895?4;869>672b2l927p}=29;94?3|5;82>7:>a:?16<7=<8k01?<60;62e>;5:1l18?6j:18`8451;0:<>=4=3062?44<279>8;522689742?388863=2469662<5;8>?7<<4:?1604=::>01?<:1;000>;5:<:1>>:4=305f?>?8279>9k586d89741m325<5s4895<4;869>672c2l927p}=29f94?b|5;82=7??329>67312;8i70<=54816g=::;?<6??;<:30a?845=;09>o522372>74e34899=4=2c9>670e212970<=4e8;3c=::;>n656=;<012`?6l:18f845190:<>=4=3062?459279>8;523389742?389=63=2469677<5;8>?7<=1:?1604=:;;01?<:1;015>;5:<:1>??4=305e?>?9279>9j589389743m323=63=25a9<2`<5;8=h7670:p673>2909w0<=8g87<2=::;>i6h=6;|q16=d=83lp1?<7f;3376=::;?=6??j;<0110<59l16>?;8:33f?845==09=h522370>77b34899?4=1d9>67362;;n70<=51815`=::;?:j:9:3?845=>434898o477g9~w742m3:1>v3=26:90=1<5;8?m7k<9:p670a290>w0<=79875d=::;=<69?n;<0133<39h16>?9::53b?845?90n?45rs3040?6=kr79>:651110?845=?0=j8522376>3`234899:49f49>67332?l>70<=5285b0=::;?96;h:;<0114<1n<16>?;?:7d6?845>k03;k52236b>=1a3489:h47819~w742k3:1>v3=26590=1<5;8?57k<9:p6714290ow0<=7682465<5;8>:78i4:?1603=>o>01?<:7;4e0>;5:<>1:k:4=3067?0a<279>8<56g68974293l01?<;a;:;6>;5:?o145?4}r011d<72;q6>?99:5:4?845<10n?45rs3046?6=mr79>:851110?845=?0=j>522376>3`434899:49f29>67332?l870<=5285b6=::;?96;h<;<0114<1n:16>?;?:7d0?845>h034=52236:>=>634898l47809>672?21=m70<=6e8;<4=z{;8>47>52z?1623=<1=01?<;7;g0=>{t:;=:6=4i{<0130<68:901?<:6;4e6>;5:8:56g089742;363=24092c4<5;8>=78i2:?1606=>o801?<9a;:;6>;5:=3145>4=307e?>?8279>96589289741l323>63=2559<2`673128;;i63=2479546b34899:4>11g89742<3;:477m279>8<5102f?845=80:==k4=3064?768l16>?:i:d1:?xu5:?<1<75954958yv45><0;6?u22376>3`634894;4;869~w741?3:1>v3=24592c7<5;8347:77:p67032909w0<=5585b4=::;2>6968;|q1635=838p1?<:3;4e5>;5:1>18594}r0127<72;q6>?;=:7d2?8450:0?4:5rs3055?6=:r79>8?56g38974?:3>3;6s|2343>5<5s4899=49f09>67>62=2<7p}=29294?4|5;8347??329>7g57212;7p}=26d94?4|5;83;7??329>7g4b212;7p}=26g94?4|5;83:7??329>7g4d212;7p}=26f94?4|5;8397??329>7g4f212;7p}=26a94?4|5;8387??329>7g4>212;7p}=26`94?4|5;83?7??329>7g4?21297p}=26c94?4|5;83>7??329>7g4221297p}=26;94?4|5;83=7??329>17gf21297p}=27;94?5|5;8=47:77:?163g=m:301?<80;:4b>{t:;<36=4={<012=<68:9018{t:=3<6=4={<076097m:0207>{t:=km6=4={<07609l<:0207>{t:=3m6=4={<07609o8:0207>{t:=?>6=4={<07609;k:0207>{t:=8>6=4={<07609hk:9:7?xu5?92798nl51110?xu5?:2798h?51110?xu5?;2798i:51110?xu5?<2798oh51110?xu5<;k1<7=>6348?j54>0218yv43>o0;6?u2250a>=>5348?;:4>0218yv43><0;6?u2250a>=>4348?:l4>0218yv43m00;6?u2250a>=>3348?ih4>0218yv43:k0;6?u2250a>`5>348?ji47809~w72?n3:1>v3=4269<2`<5;>2?7??329~w720n3:1>v3=4269<=7<5;>3;7??329~w724<3:1>v3=4269a6?<5;>mh7672:p61??2909w0<;278;<4=::=3h6<><3:p61d72909w0<;278;<7=::=h?6<><3:p61g72909w0<;278;<6=::=k36<><3:p61312909w0<;278;<1=::=?n6<><3:p61412909w0<;278f7<=::=ln656;;|q10f>=838p1?:=c;:;5>;5;5;5=838p1?:=c;:;0>;5;59=4}r0735<72;q6>9=4}r0723<72;q6>9k0:<>=4}r07ad<72;q6>9=4}r076a<72;q6>9;586d8972><3;;?>5rs36;4?6=:r798>;58938972?03;;?>5rs3601?6=:r798>;5e2;8972am323>6s|25;:>5<5s48?>:47809>61?c28:8?6s|25`2>5<5s48?>:47839>61d228:8?6s|25c2>5<5s48?>:47829>61g>28:8?6s|2574>5<5s48?>:47859>613a28:8?6s|2504>5<5s48?>:4j389>61`a212?7p}=4b;94?4|5;>9i7671:?10fb=99987p}=4e`94?4|5;>9i7672:?10`5=99987p}=4e394?4|5;>9i7673:?10a0=99987p}=4c;94?4|5;>9i7674:?10f7=99987p}=43g94?4|5;>9i7k<9:?10c`=0190q~<;f483>7}::=8m656>;<07bd<68:90q~<;7083>7}::=8m656=;<073<<68:90q~<;6683>7}::=8m656<;<072f<68:90q~<;ec83>7}::=8m656;;<07b5<68:90q~<;2g83>7}::=8m6h=6;<07bc74=36eb?>?:2wx>97n:181843:1034<5225;f>464;2wx>9l=:181843:1034?5225`5>464;2wx>9o=:181843:1034>5225cb>464;2wx>9;7:181843:10349522543>464;2wx>9<7:181843:10n?4522423>=>33ty98no50;0x97248323=63=4bg955543ty98im50;0x97248323>63=4d6955543ty98i<50;0x97248323?63=4e5955543ty98oo50;0x97248323863=4b0955543ty98>>50;0x972483o8563=5129<=56156212:70<;fc824656156212970<;7`824656156212870<;6e824656156212?70<;f08246561562l9270<:018;<4=z{;>2>7>52z?1061=0>l01?:66;3376=z{;>3>7>52z?1061=01;01?:7a;3376=z{;>8;7>52z?1061=m:301?;?0;:;6>{t:=k86=4={<076<9om:0207>{t:=?26=4={<076<98>:0207>{t:=826=4={<076<8>>:9:7?xu5?92798h;51110?xu5?:2798i651110?xu5?;2798n=51110?xu5<:81<70;6?u22510>=>6348?jn4>0218yv43?:0;6?u22510>=>5348?;o4>0218yv43>00;6?u22510>=>4348?:h4>0218yv43mm0;6?u22510>=>3348?j?4>0218yv43;:0;6?u22510>`5>348><<47809~w72?;3:1>v3=42:9<=6<5;>3n7??329~w72403:1>v3=42:9a6?<5;?;=7672:p61342902w0<;65875d=::=<869?n;<0727<39h16>98>:53b?843>90?=l52257e>17f348?9h4;1`9>613c2=;j70<;558f7<=z{;>>o7>52z?1032=999870<;228;<1=z{;>>n7>52z?1035=999870<;218;<7=z{;>>m7>52z?1034=999870<;1b8;<7=z{;>>=7>55z?10<0=<8k01?:65;62e>;5<0>1817f348?4i4;1`9>61>d2=;j70<;8c875d=::=2j69?n;<07<<<39h16>967:53b?8430>0?=l522573>`5>3ty985850;0x972?m3;;?>522500>=>43ty985;50;0x972?l3;;?>522503>=>43ty985:50;0x972?k3;;?>52253`>=>43ty989j50;;x9720m3>:m63=46f904g<5;>a:?102d=<8k01?:8a;62e>;5<>3185<5s48?;h4>02189725<323>6s|2556>5<5s48?;i4>02189726n323>6s|2557>5<5s48?;n4>02189726j323>6s|256:>5<1s48?jn4;1`9>61`e2=;j70<;f`875d=::=l269?n;<07b=<39h16>9:n:d1:?xu5<==1<78t=36e6?26i2798k?540c8972a83>:m63=4dd904g<5;>ni7:>a:?101>=m:30q~<;4c83>3}::=98l:53b?843>k0?=l52254b>17f348?8n4j389~w723;3:1:v3=4e:904g<5;>o;7:>a:?10a0=<8k01?:k5;62e>;5189:>:186843kl0?=l5225ag>17f348?on4;1`9>61ee2=;j70<;438f7<=z{;>?97>59z?10`>=<8k01?:j7;62e>;5:m63=4d0904g<5;>n=7:>a:?1010=m:30q~<;e183>7}::=o36<><3:?1072=0190q~<;dg83>7}::=o<6<><3:?104`=0190q~<;dd83>7}::=o=6<><3:?104d=0190q~<;3e83>0}::=h=69?n;<07f0<39h16>9l;:53b?843j:0?=l52251f>`5>3ty98>l50;;x972fm3>:m63=4`f904g<5;>jo7:>a:?10dd=<8k01?:na;62e>;55<5s48?mh4>02189725<32386s|25c6>5<5s48?mi4>02189726n32386s|25c7>5<5s48?mn4>02189726j32386s|251e>5<>s48?o;4;1`9>61e22=;j70<;c5875d=::=i869?n;<07g7<39h16>9m>:53b?843k90?=l5225`e>17f348?8=4j389~w72em3:1>v3=4b495554348?>>47839~w72el3:1>v3=4b795554348?>=47819~w72ek3:1>v3=4b695554348?=n47819~w72413:19v3=48g904g<5;>2h7:>a:?10;5<:k1i>74}r0665<72;q6>8<7:9ge?842:90n?45rs3727}::<8;659i;<0;=f<>8>1v?m;0;296~;5=;:145>4=3a0e??7?2wx>8?i:180842:>03ik52243;>461<27990;6>u22404>143348>=54;259>60702k<27p}m5383>7}::<8<6o86;<046d<30>1v?6n1;297~;5=8218i=4=372b?>0n27944m59148yv4d;o0;6?u2243e>=>7348h?l46079~w736m3:1?v3=5349<``<5;?:;7??659>607b2l927p}=50494?5|5;?9:7:=4:?1141=<;>01?;>6;`5=>{tj<;1<7u22434>1b4348>=h477g9>6=?d20:>7p}=c2g94?4|5;?:i7670:?1g6g=19?0q~<:1e83>6}::<8>65ki;<0653<68?>01?;>d;g0=>{t:<;>6=4<{<0660<3:=16>8?9:507?8429<0i:45rsc73>5<5s48>>84m689>624?2=2<7p}=88d94?5|5;?::7:k3:?114b=0>l01?66c;;30>{t:j9o6=4={<065an=n:827?xu5=8i1<7=t=3710?>bn2799<;51147?8429j0n?45rs3720?6=;r799?:543689736=3>9863=5069f3?52z?1172=j?301?9=7;6;3>{t:13n6=4<{<0650<3l:16>8?l:95e?84?1j02<>5rs3a0g?6=:r7995<4s48>>>47eg9>607328:=863=50`9a6?=>4?:2y>60442=8?70<:158761=::<;86o86;|qa0`<72;q6>8<<:c4:?840:?0?4:5rs3::`?6=;r799<:54e189736j32607e212;7053z?1174=0ll01?;>3;3321=::<;j6h=6;|q1144=839p1?;=2;610>;5=8918?:4=3726?d112wxn9j50;0x9735:3h=563=73790=160742=n870<:1`8;3c=::13h64<<;|q1g14=838p1?;>a;:;4>;5k:k15?=4}r065<<72:q6>8<>:9ge?8429;0:<;:4=372=?c412wxn9m50;0x973593h=563=73690=14?:2y>60752=n870<:188;3c=::13h64<=;|q1g17=838p1?;>9;:;4>;5k:k15?<4}r0631<72;q6>89m:9ge?842?=0n?45rs375`?6=:r799:l543689731l3h=56s|1d63>5<5s48>;o4m689>710c212?7p}=59494?4|5;?<8768f:?1131=j?30q~<:8483>7}::<=?656?;<06=101v?;83;297~;5=>k14hh4=375`?77>=16>89<:d1:?xu5=?i1<7=t=374e?25<2799;j543689731k3h=56s|1d1e>5<5s48>;l4m689>710e212?7p}=59694?5|5;?=h7:k3:?1125=0>l01?;96;`5=>{t:<286=4={<063687<:c4:?xu5=>81<7=t=374=?>bn2799;m51147?842?;0n?45rs375f?6=;r799:7543689731k3>9863=57`9f3?601>2k<270=;688;<1=z{;?3>7>53z?113e=;5=??1n;74}r06<4<72;q6>89=:9:3?8421;0i:45rs3745?6=;r799:658dd89731j3;;:9522452>`5>3ty99;o50;1x973003>9863=57`9072<5;?=m7l99:p5`5c2909w0<:798a2<=:;=<<656;;|q11=6=839p1?;9b;6g7>;5=>;14:h4=3750?d112wx>89i:181842?8034=5224;2>g0>3ty99:>50;1x9730?32nj63=57c95503348>;=4j389~w73113:1?v3=5659072<5;?=m7:=4:?113?=j?30q~?j3b83>7}::<=<6o86;<17200n2799;=5b7;8yv42?m0;6?u22453>=>7348>5=4m689~w731n3:1?v3=5649<``<5;?=57??659>600a2l927p}=57:94?5|5;?<:7:=4:?113?=<;>01?;98;`5=>{t9l9i6=4={<0633016?98<:9:7?xu5=>i1<7=t=375=?2c;2799;<5b7;89731n325<4s48>;847eg9>600?28:=863=57g9a6?60122k<270=;608;<6=z{;?>=7>52z?113>={t;;;h6=49{<062`8;l:9:2?8420k034<522466>g0>349?9k47829>603621=m7p}=51`94?c|5;?=i7670:?1137=01:01?;90;:;4>;5=4=376a?>?827998j589289732k323<63=51d95554348>9<47819>6031212;70<:548;<5=::8;=:9:3?xu4:8n1<78t=375a?>?927998j589389732k32l0q~<:0`83>a}::<86j:9:3?8420m034=5224:`>=>7348>4o47819>606b28:8?63<44d9<=4<5;?><7670:?111`=01:01?;;e;:;4>;5==n145>4=375b?>?92wx>88>:181842>>03ik522442>`5>3ty998l50;0x9731?3>9863=54`9f3??4?:5y>600621=m70<:8d8;3c=::<>i6o86;<0615<=1;292~;5=?;145?4=3754?>0n27995j586d89733i3h=563=5449<2`<5;??j768f:p606d2908;j:95e?842=m03;k52247`>=>5348>==4>0218yv42>90;6>u22445>=ca348>9o4>0768973183o856s|247b>5<4s48>:;4;259>603e2=8?70<:5`8a2<=z{;?>:7>52z?110d={t;;8;6=48{<06258;i:9:1?8420o034<5224:`>=1a348>844m689>603221=m70<:4d8;3c=z{;?>j7>53z?1133=0ll01?;:a;3321=::;5=8;::181842=h0?h>522476>`5>3ty8>l01?;;8;`5=>;5=<>14:h4=377`?>0n2wx>8;j:180842>=03ik52247:>461<27998k5e2;8yv42=10;6>u22447>143348>944;259>603?2k<27p}=54694?4|5;?>57:k3:?1102=m:30q~==1d83>3}::;<061a86k:9:2?842<>0i:4522470>=1a348>:k47819~w732l3:1?v3=5719<``<5;?>47??659>603c2l927p}=54594?5|5;?=?7:=4:?110>=<;>01?;:7;`5=>{t:8;<:d1:?xu5=bn27998951147?842=j0n?45rs3766?6=:r7998954e189732:3o856s|24:e>5<5s48>5947eg9>60>a2l927p}=59c94?4|5;?287:=4:?11=g=j?30q~==2283>7}::<2m659i;<060f01v?;?d;292~;5=1l145<4=37;a?>?:27995j58908973?k323>63=59`9<=4<5;?:=7??329~w73?m3:1?v3=5819<``<5;?3m7??659>60>b2l927p}=59;94?5|5;?2?7:=4:?11=g=<;>01?;79;`5=>{t:8;?:d1:?xu5=1n1<7=t=37:6?>bn27995751147?8420m0n?45rs37;9863=59:9f3?8k4?:3y>60>>2=n870<:4g8f7<=z{;?3o7>53z?11<7=0ll01?;78;3321=::<2h6h=6;|q11=1=839p1?;61;610>;5=1218?:4=37;3?d112wx>8:j:181842010?h>52246f>`5>3ty995l50;1x973>832nj63=59595503348>4o4j389~w733l3:1>v3=59590a5<5;??h7k<9:p60232909w0<:4b8;ac=::<>?6h=6;|q1160=838p1?;;c;610>;5=:i1n;74}r0e`d<72;q6>8:;:95e?84alh0:<>=4}r046g<72;q6>8:;:9:3?840:k0:<>=4}r0e`g<72:q6>8:;:9:2?842<:03;k522gfa>464;2wx>:=>7348<>l4>0218yv42<:0;6>u2246a>=ca348>?n4>07689733;3o856s|2416>5<4s48>8o4;259>605d2=8?70<:3c8a2<=z{;?9i7>53z?116e=;5=;o1i>74}r0e`f<72:q6>8464;2wx>:<6:180842:l034=522461>=>7348<>44>0218yv42<;0;6>u2246b>=ca348>?o4>07689733:3o856s|2417>5<4s48>8l4;259>605e2=8?70<:3`8a2<=z{;?9h7>53z?116d=;5=;n1i>74}r0e`a<72:q6>8464;2wx>:<7:180842:m034=522462>=>7348<>54>0218yv42<80;6>u2246:>=ca348>?l4>0768973393o856s|2410>5<4s48>844;259>605f2=8?70<:388a2<=z{;?9o7>53z?116g=;5=;i1i>74}r0e``<72:q6>8464;2wx>:<8:180842:j034=522463>=>7348<>:4>0218yv42<90;6>u2246;>=ca348>?44>0768973383o856s|2411>5<4s48>854;259>605>2=8?70<:398a2<=z{;?9n7>53z?116?=;5=;h1i>74}r0e`c<72:q6>8464;2wx>:<9:180842:k034=52241e>=>7348<>;4>0218yv42;o0;6>u22464>=ca348>?54>07689734n3o856s|2412>5<4s48>8:4;259>605?2=8?70<:368a2<=z{;?9m7>53z?116>=;5=;k1i>74}r0e`=<72:q6>8464;2wx>:<::180842:h034=52241f>=>7348<>84>0218yv42;l0;6>u22465>=ca348>?:4>07689734m3o856s|2413>5<4s48>8;4;259>60502=8?70<:318a2<=z{;?957>53z?1161=;5=;31i>74}r0e`<<72:q6>8<6:95e?842;m03;k522gf:>464;2wx>:<;:180842:0034=52241g>=>7348<>94>0218yv42;m0;6>u22466>=ca348>?=4>07689734l3o856s|2631>5<5s48<>>4;869>62752l927p}=6e694??|5;=9?7:86:?1374=<><01?9=1;642>;5?;:18:84=352b?20>279;<:63=70a9020<5;;;6h=6;|q135c=838p1?9=1;6;3>;5?9o1i>74}r044f<72;q6>:5<5s48<=h4;869>626?2l927p}=71494?4|5;=:h7:77:?1350=m:30q~<80583>7}::>;h6968;<0441=>18:m63=6dc9a6?632328:8?63=b839<2`632428:8?63=b839<=5632528:8?63=b849<=7<5;h2n7672:p635c2908w0<94082465<5;h2:768f:?1f0}::8jn:53b?842l00?=l5227f`>`5>3ty99i650;0x973ck3;;?>5226d0>=1a3ty99i950;0x973cj3;;?>5226d0>=>43ty99i850;1x973ci3;;?>5226d;>=>634862`c212:7p}=6g;94?3|5;a:?12dd=<8k01?8na;62e>;5>h318;o7:181841ij0:<>=4=3d1b?>0n2wx>;o8:181841ik0:<>=4=3d1b?>?;2wx>;o9:180841ih0:<>=4=3d00?>?9279j>758908yv41i<0;6>u227c:>464;279j>:586d897`41323=6s|2621>5<>s48>h94;1`9>60b42=;j70<:d3875d=::8mi:53b?842kl0?=l5224ag>17f348<<>4j389~w73dk3:1>v3=5e695554348v3=5e195554348v3=5e095554348v3=5e395554348v3=5e295554348v3=5bd955543483:1>v3=5bg95554348v3=5bf95554348a:?115`=<8k01?;?e;62e>;5?9:1i>74}r05bc<720q6>;ml:53b?841kk0?=l5227ab>17f348=o44;1`9>63e?2=;j70<9c6875d=::?i=69?n;<05g0<39h16>;hi:d1:?xu5>j>1<7j91<7j81<7j;1<7j:1<7kkl:9:7?xu5>kl1<7kkm:9:7?xu5>ko1<7kkn:9:7?xu5>kn1<7kk6:9:7?xu5>on1<77t=3440?26i279::=540c89700:3>:m63=663904g<5;<<<7:>a:?123`=<8k01?89e;62e>;5>?n18;8l:1824~;5>>>1===<;<135`=>7349;=5477g9>757e21=m70=?248;<4=:;98o656?;<136c=>5349;=l47839>755621=m70=?208;<4=z{;<=n7>511y>631428:8?63<00g9<=6<5:::j7671:?044e=01801>>=9;:4b>;4882145>4=222f?>?:278>=b;:;4>;488k145>4=2205?>?9278h0;6ku22751>464;278<>=5;:4b>;48;n14:h4=221b?>?:278>=1;:4b>{t:?<26=4i{<0534<68:901>>>e;:4b>;488l145>4=222g?>?8278>=f;:;5>;48;=14:h4=2217?>?8278631728:8?63=fda9<2`630a28:8?63=fd`9<2`630b28:8?63=fdc9<2`630c28:8?63=fd;9<2`63>32=;j70<982875d=::?2969?n;<05<4<39h16>;6?:53b?841?o0?=l52275f>17f348=;i4;1`9>63`d2l927p}=66a94?b|5;<387??329>74`c212;70=>fc8;<5=:;;:m656?;<114am:9:3?85580034=523324>=>73499<847819>7764212;70==008;<5=:;8lm656?;<12b<191===<;<12ba=>53499776>212970==068;<7=:;;:>656=;<1146>:9:1?856no034?5230d:>=>53ty9::o50;fx970?:3;;?>5230d`>=>7349:jl47819>776b212;70==0b8;<5=:;;:j656?;<114=9:9:3?8558=034=523321>=>73499<=47819>74`b212;70=>f98;<5=z{;<<57>5dz?12=7=999870=>fb8;<6=:;8lj656<;<114`l:9:0?8558h034>52332;>=>43499<;47829>7763212870==038;<6=:;;:;656<;<12b`>21<7?m{<05<5<68:901>>n8;:;7>;5nlo145=4=3deg?>?:279jkl5890897`ai323>63=fg:9<=4<5;lm;7672:?1bc0=01801?hi5;:;6>;5no>145<4=3de7?>?:279jk<5890897`a9323>63=fg29<=4<5;lnj7672:?1b`b=01801>>?3;:;6>;4898145<4=2235?>?:278<=>5890897`an323>63=fgg9<=4<5;lmh7672:?1bc?=01801>?l3;:;5>;48ho145<4}r0532<72mq6>;9i:0207>;48=o14:h4=2264?>0n278<8<586d89662<324768f:?040g=0>l01>>:c;:4b>;480n278<;<586d89661<325<5s48=;h4>0218967d;323?6s|2756>5<0s48=;i4>0218966bk323=63<0df9<=5<5::n47674:?04`?=01;01>>j2;:;4>;48l9145<4}r05b0<720q6>;ll:53b?841jk0?=l5227`b>17f348=n44;1`9>63d?2=;j70<9b6875d=::?h=69?n;<05f0<39h16>;h7:d1:?xu5>k>1<7=t=34ag?77;:16>k=<:9:2?84a;1034?5rs34a7?6=;r79:ol51110?84a;:03;k522g1;>=>63ty9:o<50;1x970ei3;;?>522g11>=>6348m?:47839~w70e93:1?v3=6c;95554348m??477g9>6c50212:7p}=6c294?5|5;6c56212:7053z?12g1=999870;|q12dc=839p1?8m6;3376=::o9;656>;<0e70k?1===<;<0e75k=::9:2?xu5>o;1<77t=34b0?26i279:l=540c8970f:3>:m63=6`3904g<5;a:?12<`=<8k01?86e;62e>;5>0n18;7l:181841i=0:<>=4=3d3a?>?82wx>;7m:181841i:0:<>=4=3d3`?>?82wx>;7n:181841i;0:<>=4=3d3g?>?82wx>;76:181841i80:<>=4=3d3f?>?82wx>;77:181841i90:<>=4=3d3e?>?82wx>;78:1818411o0:<>=4=3d3=?>?82wx>;79:1818411l0:<>=4=3d3?82wx>;7::1818411m0:<>=4=3d35?>?82wx>;ki:18:841>=0?=l522740>17f348=:?4;1`9>63062=;j70<961875d=::??m69?n;<051`<39h16>;;k:53b?841n90n?45rs346g?6=:r79:;:51110?84cl>034>5rs346f?6=:r79:;=51110?84cl>034=5rs346e?6=:r79:;<51110?84cl1034=5rs346=?6=:r79:;?51110?84cl1034?5rs34651110?84d;3>:m63=680904g<5;<2=7:>a:?12<6=<8k01?87f;62e>;5>1o18464;279j=958928yv410k0;6?u227;0>464;279j=858928yv410h0;6?u227;1>464;279j=;58928yv41000;6?u227;2>464;279j=:58928yv41010;6?u227;3>464;279j==58928yv410>0;6?u227:e>464;279j=<58928yv410?0;6?u227:f>464;279j<>58928yv410<0;6?u227:g>464;279j=h58928yv41mm0;64u2272`>17f348=636f2=;j70<908875d=::?:369?n;<0542<39h16>;>9:53b?8418<0?=l5227gf>`5>3ty9:=:50;338418j0:<>=4=3a4?9279o:75890897e0>32768f:?1g23=0>l01?m8f;:;5>;5k1=145>4=3a;=?>0n279o5?5890897e0l323>63=c979<=4<5;i<87672:?1g=d=0>l01?m8b;:;5>{t:?:86=4>0z?125d=999870;<0`33n6<:95e?84d?;034=522b56>=>5348h;k47839>6f>0212:70;<0`3an6::9:3?84d?=034=522b:a>=>6348h;o47819~w707:3:1jv3=61c95554348h;4477g9>6f11212:70n9i:95e?84d0>03;k522b::>=>5348h4<47819>6f1c21=m70;<0`n9m:95e?xu5>9;1<7ht=343=?77;:16>n97:95e?84d?0034=522b55>=>7348h4>47809>6f15212:70n66:9:2?84d0803;k522b5g>=>7348h48477g9>6f1321=m7052z?125>=99987052z?1251=99987052z?1250=99987052z?1253=99987059z?124e=<8k01?8>b;62e>;5>8k18:m63=604904g<5;<:97:>a:?12`e=m:30q~<91583>a}::?;h6<><3:?1a1?=01:01?k;7;:;4>;5m4=3g6=?>?8279i895892897c2=323<63=e419<=6<5;o>=7670:?1a1`=01:01?k;d;:;4>;5m=h145>4=3g71?>?82wx>;?<:18g8419k0:<>=4=3g7=?>?:279i995890897c2j323>63=e4;9<=4<5;o>;7672:?1a03=01801?k:3;:;6>;5m<;145<4=3g7b?>?:279i9j5890897c3j323>63=e579<=4637f28:8?63=e5:9<=6<5;o?:7670:?1a0g=01:01?k:8;:;4>;5m<<145>4=3g60?>?8279i8<5892897c28323<63=e5g9<=6<5;o?o7670:?1a1g=01:01?k;4;:;4>{t:?;:6=4k{<055<<68:901?k;8;:;7>;5m=<145=4=3g6e?>?;279i865891897c2>323?63=e469<=5<5;o>>7673:?1a06=01901?k;e;:;7>;5m=i145=4=3g7e?>?;279i9:58918yv41990;6i>=:9:0?84d<1034>522b75>=>5348h9847839>6f33212970n:i:9:1?84d=>5348h8n47839>6f2e2129702656=;<0`02n;k:9:1?84d=j034?522b7a>=>5348h9l47839>6f3>212970h?m:9:2?84c81034?5rs343b?6=lr79:<951110?84di103;k522bcb>=1a348hmn477g9>6fgb21=m70nl9:95e?84dj103;k522b`b>=1a348hnn477g9>6fdb21=m7p}=61g94?4|5;<::7??329>6`7e21287p}=61f94?0|5;<:97??329>6a5e212:70>656<;<0g05i=k:95e?xu5>l?1<77t=341g?26i279:?l540c89705i3>:m63=63;904g<5;<947:>a:?1271=<8k01?8=6;62e>;5>;?18;<;:181841:j0:<>=4=3`5=?>?82wx>;<<:181841:k0:<>=4=3`5?82wx>;<=:181841:h0:<>=4=3`53?>?82wx>;<>:181841:00:<>=4=3`52?>?82wx>;=4=3`51?>?82wx>;?i:181841:>0:<>=4=3`50?>?82wx>;?j:181841:?0:<>=4=3`46?>?82wx>;?k:181841:<0:<>=4=3`45?>?82wx>;k<:18:841;j0?=l52271a>17f348=?l4;1`9>635>2=;j70<939875d=::?9<69?n;<0573<39h16>;=::53b?841m=0n?45rs3400?6=:r79:>m51110?84e?9034=5rs3407?6=:r79:>l51110?84e>o034=5rs3406?6=:r79:>o51110?84e>l034=5rs3405?6=:r79:>751110?84e>m034=5rs3404?6=:r79:>651110?84e>j034=5rs341b?6=:r79:>951110?84e>k034=5rs341a?6=:r79:>851110?84e>h034=5rs341`?6=:r79:>;51110?84e>:034=5rs34f3?6=1r79:8:540c89702;3>:m63=640904g<5;<>=7:>a:?1206=<8k01?8;f;62e>;5>=o18u22777>464;279n4;5893897d>i323>6s|276a>5<4s48=9>4>021897d>=32633528:8?63=b869<=7<5;h257672:p632>2908w0<95082465<5;h28768f:?1f6}::??;6<><3:?1f<5=01;01?l68;:;6>{t:?><6=4<{<050c<68:901?l63;:4b>;5j02145?4}r0503<72:q6>;:j:0207>;5j08145?4=3`:3?>?:2wx>;:::180841=4=3`:6?>0n279n4958938yv41m80;64u224d`>17f348>jo4;1`9>60`f2=;j70<:f8875d=::8h9:53b?842n<0?=l5227g1>`5>3ty99k:50;0x973ak3;;?>522`1:>=>43ty99k=50;0x973aj3;;?>522`1:>=>73ty99k<50;0x973ai3;;?>522`1b>=>73ty99k?50;0x973a13;;?>522`1b>=>53ty99k>50;0x973a03;;?>5229c;>=>33ty99hh50;0x973a?3;;?>5229c4>=>33ty99hk50;0x973a>3;;?>5229c5>=>33ty99hj50;0x973a=3;;?>5229c6>=>33ty9:ih50;;x973f<3>:m63=5`1904g<5;?j>7:>a:?11d7=<8k01?;n0;62e>;5=0l185<68r799l:51110?84?lh034<5229fa>=>53483h5477g9>6=c2212;70<7d58;3c=::1n<659i;<0;a45k6:9:3?84?mk03;k5229g0>=>53483hk47839>6=c0212970<7d78;<7=::1oo659i;<0;`a<3:?1;50m2145<4=3:f1?>0n2794i:5892897>c?323>63=8d39<=4<5;2n57671:?1<`d=01:01?6j3;:;5>;50ml145?4=3:f3?>?82794i85892897>bl323=63=8ef9<=65l4?:gy>60g528:8?63=8e`9<2`<5;2o47671:?1<`3=01801?6k4;:;6>;50m=145?4=3:f5?>0n2794h7586d897>bj323>63=8d19<=6<5;2oj768f:?1<`1=01;01?6k6;:;5>;50ln145>4=3:g`?>0n2wx>876:18e842i80:<>=4=3:ge?>0n2794il5892897>c0323<63=8d79<=7<5;2o87671:?1;50l3145<4=3:ff?>?92794h=586d897>cn323<63=8d59<2`<5;2o:768f:?1<`b=0180q~<:9983>7}::<3:?1=0>l0q~<:9683>7}::<3m6<><3:?1l0q~<:9783>7}::<3n6<><3:?1l0q~<:9483>7}::<3o6<><3:?1l0q~<9d883><}::8kn:53b?842m00?=l5224g;>17f348>i:4;1`9>60c12=;j70<:e4875d=::?nj6h=6;|q11`2=839p1?;jc;3376=::>l<656>;<04bf:hl:9:2?xu5=l81<7=t=37fe?77;:16>:h9:9:2?840nk034?5rs37f5?6=;r799h751110?840n?03;k5226da>=>63ty99h>50;1x973b03;;?>5226d6>=>634862`f212:7p}=5eg94?5|5;?n:7??329>62`3212:70<8f88;<7=z{;?oh7>53z?11`3=999870<8f58;3c=::>l2656>;|q12a0=833p1?;l4;62e>;5=j918:m63=5cd904g<5;?ii7:>a:?11gb=<8k01?8k7;g0=>{t:{t:{t:{t:{t:{t:{t:{t:6=4={<06fa<68:901?9k3;:;4>{t:?no6=46{<06f1<39h16>8l<:53b?842j;0?=l5224`2>17f348>n=4;1`9>60ga2=;j70<:ad875d=::1===<;<0beglo6:9:3?84fjm034=522``a>=>7348jn447819>6dd0212;70loi:9:3?84fim034=522`c4>=>73ty99ll50;fx973e;3;;?>522`ca>=>5348jm447839>6ddc212970ll::9:1?84fj:034?522``2>=>5348jmk47839>6dgc2129705dz?11g4=999870lln:9:3?84fj1034=522``5>=>7348jn947819>6dd5212;70lo9:9:3?xu5=h31<7jt=37a5?77;:16>lon:9:0?84fi1034>522```>=>4348jnl47829>6dd?212870ll?:9:0?84fil034>522`c`>=>4348jm;47829~w73f03:1=ou224`3>464;2795;:5891897>fi323?63=8c:9<=4<5;2i;7672:?1;50k9145<4=3:a6?>?:2794o?5890897>e8323>63=8`d9<=4<5;2ji7672:?1;50hh145<4=3:b=?>?:2794oh5890897>em323>63=8cf9<=4<5;2io7672:?1;50k3145<4=3:a1?>?:279m:j5893897?1i323>6s|24c4>5mk4>021897?7i32l01?7>2;:4b>;518>14:h4=3;22?>0n2795<6586d897?6i32l0q~<:a783>7}::<3:?1e2b=0190q~<:a483>3}::<3:?1=;51h=145=4=3;b6?>?;27954h586d8yv4am?0;6?u22gfb>1>0348mi=46069~w7`b=3:1>v3=fe`90=1<5;ln<77?6:p6cc32909w0:;|q1b`5=838p1?hkd;6;3>;5nl:15=:4}r0ea7<72;q6>kjj:5:4?84am902<>5rs3df5?6=:r79jih5495897`b833;>6s|2gg;>5<5s48mh54;869>6cc720887p}=fd594?4|5;lo57:77:?1b`6=1;80q~7}::oin65ki;<0eff1>03ty9jol50;1x97`dl32nj63=f`c95503348mno4j389~w7`f13:1?v3=fbf9072<5;ljm7:=4:?1bd?=j?30q~=?7b83>7}::oio6o86;<12<<<30>1v>?9b;29=~;5nhk18i=4=23;=?77;:16>kk?:30;?84b>l034?5231ca>=1a349:ni47809>741c212:70=>788;3c=::ohi659i;|q1bgg=839p1?hlc;:fb>;5nh31==8;;<0efdg0>349:454;869~w671i3:15v3=f`;90a5<5:;347??329>6cc72;8<70;<12fa=1a3ty9jo750;1x97`dj32nj63=f`:95503348mn44j389~w7`f?3:1?v3=fb`9072<5;lj47:=4:?1bd1=j?30q~=?7783>7}::oii6o86;<12<2<30>1v>?99;29=~;5nh218i=4=23;3?77;:16>kk?:305?84b>o034>5231ca>=>4349:ni47829>741c212870=>788;<4=::oh2659i;|q1bg>=839p1?hla;:fb>;5nh=1==8;;<0ef=g0>349:4;4;869~w67103:1:v3=f`590a5<5:;3:7??329>6cc72;8>70=16>kl8:d1:?xu5nh?1<7=t=3d`=?25<279jl85436897`f=3h=56s|3153>5<5s48mo44m689>74>22=2<7p}<17594?0|5;lj:7:k3:?05=3=999870kl8:95e?xu5nk<1<7=t=3d`bn279jl;51147?84aj?0n?45rs3db0?6=;r79jn65436897`f=3>9863=f`69f3?6ce?2k<270=>8587<2=z{:;=:7>56z?1bd3=?74;3376=::oo;6?<<;<0f=a`5>3ty9jo=50;1x97`d?3>9863=f`69072<5;li?7l99:p750f2909w0;49191===<;<0ea5<5:;16>h7k:9:1?856jl034?522g`6>=1a3ty9jn;50;1x97`c?32nj63=fc195503348mo84j389~w7`e:3:1?v3=fe59072<5;li?7:=4:?1bg4=j?30q~=?a183>7}::on<6o86;<12=7<30>1v>?85;292~;5nk918i=4=23:6?77;:16>kk?:36;?84b1m034<5230`g>=1a348mo8477g9~w7`d<3:1?v3=fe49<``<5;li>7??659>6ce32l927p}=fc394?5|5;lo:7:=4:?1bg4=<;>01?hm1;`5=>{t;93o6=4={<0e`3016?<7>:5:4?xu49>>1<78t=3da6?2c;278=4?51110?84am909?n522d;g>=>7349:ni47819>6ce321=m7p}=fb194?5|5;lo976jf:?1bg7=9953z?1ba3=<;>01?hm1;610>;5nk:1n;74}r13=d<72;q6>kj::c4:?856190?4:5rs2347?6=lr79jo?54e18967>83;;?>522gg3>75e348<=>47809>6`0b212;70=?a`8;<5=:;8hh656=;<0f=<=>4349:;447839>6ce421=m7p}=fb094?5|5;lo876jf:?1bg6=9953z?1ba2=<;>01?hm0;610>;5nhl1n;74}r13=2<72;q6>kj;:c4:?8560o0?4:5rs2346?6=nr79jo>54e18967?n3;;?>522gg3>75?348<=<47809>6`0b212:70=?a`8;3c=:;8hh656<;<0f=<=>5349:;k47819>741>212870=>bg8;3c=::oi9659i;|q1bf7=839p1?hk3;:fb>;5nhl1==8;;<0eg4g0>349:4h4;869~w67093:1iv3=f`d90a5<5:;3i7??329>6cc72;9=70<80g8;<4=::l=>3349:nl477g9>741d212870=>818;<7=:;8=3656?;<0eg4=16>km?:d1:?xu5nhn1<7=t=3dg6?25<279jlk5436897`fl3h=56s|31;2>5<5s48mh?4m689>74>c2=2<7p}<16294?c|5;lji7:k3:?05=b=999870:o656>;<0f2c=1a349:nl47829>741c21=m70=>818;<5=:;8=3659i;<12fckm?:95e?xu5nkl1<7=t=3dg5?>bn279jlj51147?84ajo0n?45rs3dbg?6=;r79ji?5436897`fl3>9863=f`a9f3?6cb62k<270=>8b87<2=z{:;=j7>5dz?1bdb=?7c;3376=::oo;6?=;;<044gh8i:9:2?857ih0349522d;b>=>6349:n447819>74df212970=>7e8;<5=:;8=3656=;<12fckli:95e?xu5nko1<7=t=3dg4?>bn279jlm51147?84ajl0n?45rs3dbf?6=;r79ji>5436897`fk3>9863=f``9f3?6cb72k<270=>8c87<2=z{:;=i7>5cz?1bde=?7b;3376=::oo;6?:>6:9:2?84b>o034?5231cb>=>4348n5l477g9>74d>212970=>798;<4=:;8hm656>;<0ef`=16>klk:d1:?xu5nh91<7=t=3d`b?25<279jll5436897`f;3h=56s|31:7>5<5s48mok4m689>74>52=2<7p}<17f94?g|5;ljn7:k3:?05=4=999870;<0442h7n:9:3?856j0034<52305;>=>3349:nk47829>6cdc21=m7p}=fc694?5|5;lh:76jf:?1bd5=99i7>53z?1bf0=<;>01?hn3;610>;4974}r1322<72;q6>km9:c4:?856080?4:5rs2350?6=0r79jl=54e18967?93;;?>522gg3>77b348n5n47819>6262212:70=>798;<6=:;8hm656=;<0ef1k:=:18184a100?>9522g61>g0>3ty8<5>50;0x97`>13h=563=f4g9<=66c??21om70969<;;<0e0401v>>8d;296~;5n021n;74=3d6g?>?82wx>k96:18084a1>03ik522g62>461<279j:o5e2;8yv4a<90;6>u22g;4>143348m8<4;259>6c272k<27p}<06c94?4|5;l2;7l99:?1b0g=01:0q~6}::o3=65ki;<0e05<68?>01?h88;g0=>{t:o9m6=4<{<0e=3<3:=16>k:?:507?84a;o0i:45rs2243?6=:r79j485b7;897`2032386s|2g56>5<4s48m5847eg9>6c5a28:=863=f649a6?6c?22=8?70;5n<<145:4}r0e36<72:q6>k7;:9ge?84a;l0:<;:4=3d40?c412wx>k=k:18084a1=0?>9522g1f>143348m?i4m689~w66093:1>v3=f869f3?<5;l>87674:p6c162908w094:?1b24=m:30q~6}::o3869<;;<0e7a<3:=16>k=l:c4:?xu48?o1<7o0;6>u22g;1>=ca348m?n4>076897`083o856s|2g6a>5<4s48m5?4;259>6c5d2=8?7052z?1b<4=j?301?h:0;:;0>{t:o2m6=4<{<0ee7k:m:0250>;5n0:1i>74}r0e0d<72:q6>ko=:507?84a9522g6b>g0>3ty86cg621om702908w0j69<;;<0e0<01v>>6e;296~;5nh;1n;74=3d5g?>?<2wx>k6m:18084ai903ik522g6:>461<279j5m5e2;8yv4a<10;6>u22gc3>143348m844;259>6c2?2k<27p}<08`94?4|5;lj<7l99:?1b3g=01>0q~6}::o3m65ki;<0e0=<68?>01?h7a;g0=>{t:o><6=4<{<0e=c<3:=16>k:7:507?84a<>0i:45rs22:5<4s48m5h47eg9>6c2028:=863=f9:9a6?6c?b2=8?70=6o86;|q04<3=838p1?h6e;`5=>;5n?<145:4}r0e<0<72:q6>k7k:9ge?84ak:::18084a1m0?>9522g65>143348m884m689~w66>:3:1>v3=f8f9f3?<5;l=87674:p6c>42908w0>6<>94:?1b=2=m:30q~6}::o3h69<;;<0e00<3:=16>k:;:c4:?xu481l1<7u22g;a>=ca348m894>076897`?:3o856s|2g60>5<4s48m5o4;259>6c232=8?7052z?1b{t:o=m6=4<{<0e=dk:<:0250>;5n1:1i>74}r0e7d<72:q6>k7n:507?84a<:0?>9522g1b>g0>3ty8<5;50;0x97`>i3h=563=f459<=26c?621om706`15212;7p}<07:94?4|5;l2=7l99:?1b1b=01>0q~=?bd83>4c|5;l9<76jf:?04a1=999870=>d687<2=::08:6968;<130`=>5349;9;47839>753?212970=?5`8;<7=:;9?h656=;<131`;034?523147>=>534826<6d212;70<60d8;<5=::0;;656?;<0:574?;:9:3?84>9?034=52283;>=>73482=l47819>6<7d212;70<61d8;<5=::08;656?;<0e6cl9k:9:7?xu5mo=1<7g0>349;h:4;869~w66el3:14v3=f0d9<``<5;om;7??659>75b128:8?63<1e490=1<5::>>7671:?1b7`=01801>>n8;:;5>;48ho145?4}r0fb0<72:q6>k?i:507?84bn>0?>9522dd6>g0>3ty8<5650;0x97`6n3h=563<0e490=16c7b21om70l01>>k5;3376=:;8nm6968;<13150;6?u22g3f>g0>349;h84;869~w66ej3:1;v3=f0f9<``<5;om?7??659>6c53212;70<3:?05ac=<1=01>>94;:;5>{t:ll;6=4<{<0e5a<3:=16>hh<:507?84bn90i:45rs22;2?6=:r79j3;6s|31`:>5<0s48m=o47eg9>6``728:=863=f219<=4<5;l84768f:?04a4=999870=>de87<2=:;9<9656>;|q1a`c=839p1?h>b;610>;5mo:18?:4=3gfa?d112wx?=6=:18184a9k0i:45231f1>1>03ty847819>6c5?212;70=?d082465<5:;oo7:77:?0436=01;0q~6}::o;j69<;;<0fa`<3:=16>hkl:c4:?xu481;1<70;6:u22g3:>=ca348nin4>076897`4:323>63=f259<2`<5::o<7??329>74be2=2<70=?5d8;<4=z{;onm7>53z?1b4?=<;>01?kjc;610>;5mlk1n;74}r133`<72;q6>k?6:c4:?857l90?4:5rs22a2?6=?r79j<658dd897cbi3;;:9522g11>=>7348m?:47819>75ea28:8?63<1ec90=1<5::>o7671:p6`c>2908w001v>>8b;296~;5n821n;74=22`b?2??2wx>k>8:18084a9>03ik522dg:>461<279j=95e2;8yv4bm10;6>u22g34>143348ni44;259>6`c?2k<27p}<06:94?4|5;l:;7l99:?04fc=<1=0q~=?b483>2}::lo269j<;<0e42k=>:9:1?84a;?03;k5231af>464;278=i7549589662i323=6s|2g25>5<4s48m=;47eg9>6`c?28:=863=f149a6?6c712=8?706;`5=>;48jn18594}r13f1<72>q6>hk7:5f0?84a8?03;k522g12>=>7348m?;47819>75ec28:8?63<1e:90=1<5::>47671:p6c622908w094:?1b53=m:30q~6}::o;>69<;;<0fa2<3:=16>hk9:c4:?xu48>81<71b4348m<8477g9>6c57212970<3:?05a3=<1=01>>:6;:;5>{t:o:?6=4<{<0e51hk9:0250>;5n9>1i>74}r0fa0<72:q6>k?;:507?84bm?0?>9522dg6>g0>3ty8<;h50;0x97`6<3h=563<0b`90=16`c12=n870;49m>18594=2260?>?92wx>k><:18084a9:03ik522dg6>461<279j==5e2;8yv4bm=0;6>u22g30>143348ni84;259>6`c32k<27p}<07a94?4|5;l:?7l99:?04fg=<1=0q~=?b083>1}::lo>69j<;<0e46;48=o145?4}r0e47<72:q6>k?=:9ge?84bm=0:<;:4=3d36?c412wx>k>?:18084a9;0?>9522dg7>143348m<=4m689~w66113:1>v3=f009f3?<5::h57:77:p75d72908w06}::o8265ki;<0e45<68?>01?h>0;g0=>{t:llm6=4<{<0e6<<3:=16>k>?:507?84bno0i:45rs22:b?6=:r79j?75b7;8966cm3>3;6s|31a5>5<4s48m<=4;d29>6c7721=m70=?dd824656c4?21om7001v>>6c;296~;5n;21n;74=22g`?2??2wx?=m::18084bno0?h>522g2e>=1a349;hi4>0218yv4a8l0;6>u22g04>=ca348njh4>076897`7m3o856s|2ddg>5<4s48m>:4;259>6``b2=8?7052z?1b71=j?301>>kc;6;3>{t;9i?6=4<{<0fb`<3l:16>k>j:95e?857lj0:<>=4}r0e4a<72:q6>k<9:9ge?84bnm0:<;:4=3d3`?c412wx>hhl:18084a:?0?>9522ddg>143348njn4m689~w66>>3:1>v3=f349f3?<5::on7:77:p75e42908w06}::o8>65ki;<0fbf<68?>01?h?c;g0=>{t:lli6=4<{<0e60<3:=16>hhl:507?84bnk0i:45rs22:7?6=:r79j?;5b7;8966ci3>3;6s|31a1>5<4s48njn4;d29>6c6d21=m70=?d`824656c4321om7001v>>60;296~;5n;>1n;74=22g=?2??2wx?=m>:18084bnk0?h>522g2a>=1a349;h44>0218yv4a8h0;6>u22g00>=ca348njl4>076897`7i3o856s|2dd:>5<4s48m>>4;259>6``f2=8?7052z?1b75=j?301>>k8;6;3>{t;9i;6=4<{<0fbd<3l:16>k>n:95e?857l10:<>=4}r0e4<<72:q6>k<=:9ge?84bn00:<;:4=3d3=?c412wx>hh=:18084a:;0?>9522dd:>143348nj?4m689~w66?i3:1>v3=f309f3?<5::o?7:77:p75da2908w06}::o;h65ki;<0fb7<68?>01?h?8;g0=>{t:lo86=4<{<0e5f<3:=16>hh=:507?84bm:0i:45rs22;7?6=:r79j3;6s|31`b>5<4s48nj?4;d29>6c6?21=m70=?c9824656c7621om70;5n9;14:h4=22`3?77;:1v?h<9;296~;5n;o18i=4=3d0=?c412wx>k9522g0g>g0>3ty9j>:50;0x97`5m3;;:9522g17>`5>3ty9j?k50;0x97`5m3h=563=f3d9<=76c4c2=n87052z?1b7b=<;>01?h=c;`5=>{t:o986=4={<0e6a<68?>01?h<3;g0=>{t:o9<6=4={<0e6f<3l:16>k=8:d1:?xu5n;h1<7461<279j><5e2;8yv4a;?0;6?u22g0a>1b4348m?;4j389~w7`5i3:1>v3=f3`9072<5;l9m7l99:p6c562909w06h=6;|q1b66=838p1?h=a;3321=::o9;6h=6;|q05f0=83;8w0=>8`8733=:;8226999;<12<=<3??16?<68:555?8560?0?;;5230:6>111349:494;779>74>42===70=>938733=:;83:6999;<12=5<3??16?<6i:555?8560l0?;;5230:g>111349:4n4;779>74>e2===70=>838733=:;82:6999;<12g2?91;2956}:;82j69?n;<12<<<39h16?<67:53b?8560>0?=l5230:5>17f349:484;1`9>74>32=;j70=>82875d=:;83969?n;<12=4<39h16?<7?:53b?8560o0?=l5230:f>17f349:4i4;1`9>74>d2=;j70=>8c875d=:;82969?n;<12<4<39h16?<8=:d1:?xu49?i1<79t=23;e?77;:16>h8i:9:7?857ik034=5230`f>=>4349:;i47859>741>212?707>518y>75b02===70=?d78733=:;9n>6999;<13`1<3??16?=j=:555?857l80?;;5231f3>111349;ok4;779>75eb2===70=?ce8733=:;9ih6999;<13gg<3??16?=mn:555?857k00?;;5231ff>111349;hi4;779>75bd2===70=?dc8733=:;9nj6999;<13`<<3??16?=j7:555?857l:0?;;5231a;>111349;o:4;779>74e42l927p}=f4f94?4|5:;947:77:?1b0c=m:30q~=>c583>42|5:;947:86:?0571=<><01>?=6;642>;49;?18:84=2310?20>278=?=546489675:3><:63<1339020<5:;8<7:86:?057`=<><01>?=e;642>;49;n18:84=231g?20>278=?l546489675i3><:63<13;9020<5:;9<7:86:?054`=<><01>?l5;g0=>;49?9145?4}r1250<72:q6?<<7:0207>;5m>>145:4=3d4a?>0n2wx>k;m:181856:>0?4:522g7`>`5>3ty8=<:50;1x9675?3;;?>522d57>=>4348m;n477g9~w7`213:1>v3<13490=1<5;l>m7k<9:p74742908w0=>2782465<5;o<87672:?1b2g=0>l0q~7}:;88>6968;<0e1=?>2;297~;49;?1===<;<0f31k97:95e?xu5nu23007>464;279i::586d897`0>325<5s49:>>4;869>6c332l927p}<10294?5|5:;9?7??329>6`13212;70=7>52z?0574=<1=01?h:2;g0=>{t;8:m6=4<{<1267<68:901?k83;:;0>;5n>814:h4}r0e0c<72;q6?<<>:5:4?84a=90n?45rs233a?6=;r78=??51110?84b?:034>522g53>=1a3ty9j;j50;0x967483>3;63=f7g9a6?745728:8?63=e619<=4<5;l2<768f:p6c0e2909w0=>2g87<2=::o?=f;3376=::l=8656>;<0e<`=4=3g47?>0n279j5m586d8yv4a>>0;6?u2300g>1>0348m:54j389~w676j3:1?v3<13f95554348n;>47819>6c>f21=m7p}=f7794?4|5:;9o7:77:?1b30=m:30q~=>1`83>6}:;88h6<><3:?1a24=01>01?h78;:4b>{t:o<86=4={<126g<30>16>k8;:d1:?xu49831<7=t=231f?77;:16>h9=:9:0?84a0?03;k5rs3d55?6=:r78=?o5495897`1:3o856s|303;>5<4s49:>l4>021897c0:323>63=f969<2`744>2=2<7053z?057?=999870?=0;6;3>;5n<=1i>74}r1253<72:q6?<;5m>814:h4=3d;4?>0n2wx>k:l:1818569o0?4:522g6g>`5>3ty83;63<0d59f3?522gg:>=>4348min47829>6ccf21287052312:>=>4349;7577212870=?0d8;<6=:;9:3656<;<134al:9:2?8579;034>523127>=>4349;<:47829>7562212870=?eb8;3c=:;9oo656?;<120`:9:7?856>;034>5230a4>=>6349:o847819>75c028:=863<0d09<2`<5::n?7670:?050`=01901?hm4;:;0>;5nkn145<4=3daa?>?:279joh5890897`d8323>63=fb39<=4<5;lh>7672:?1bf5=01801?hl4;:;6>;5nj?145<4=3da1?>?:279jo85890897`e?323>63=fc:9<=4<5;li57672:?1bgg=01801?hmb;:;6>;5nki145<4}r13ag<72;q6?=kn:5:4?857ml0n?45rs22fe?6=;9q6?=kn:0207>;5nl3145<4=3dfg?>?:279jho5890897`bj323>63<0019<=4<5::;m7672:?0442=01801>>?6;:;6>;4893145<4=223b?>?:278<<>589089667m323>63<01:9<=4<5::;h7672:?045e=0>l01>>>2;:;6>;489>145<4=2233?>?:278<=;58908966bk323<63<0df9<2`<5:;?i7672:?0447=01901>?92;:;6>;49j?145?4=22f?9278=>6348mn947819>6cdc212;70km>:9:3?84ak;034=522ga0>=>7348mo947819>6ce2212;70kl7:9:3?84aj0034=522g`b>=>7348mno47819>6cdd212;7p}<0d294?4|5::oj7:77:?04`2=m:30q~=?dg83>67|5::oj7??329>6cc>212:70;<0eag=>7349;<;47819>756>212;70=?0g8;<5=:;9;;656?;<134`7:9:3?8578m034=52312`>=>7349;=?47819>7563212;70=?068;<5=:;9:>656?;<13aa=>3349:o:47839>74e221=m70=?e98;<7=:;9o2656=;<13a7=>6348mni47809>6cdb212:70;<0eg4km=:9:2?84ak:034<522ga7>=>6348mo847809>6cd2212:70;<0ef=kl6:9:2?84ajh034<522g`a>=>6348mnn47809~w67ak3:1?v3=fd29741<5:;n97:77:?05ce=m:30q~=>f`83>6}::oo;6>?9;<12a1<30>16?5<4s48mi=4<289>74cf2=2<70==0b8f7<=z{:8;m7>53z?1b`6=;;201>?j9;6;3>;4:9k1i>74}r114=<72:q6>kk?:204?856m10?4:52332;>`5>3ty8>=850;1x97`b8399:63<1d590=1<5:8;:7k<9:p77632908w0?:18184am908=k523323>`5>3ty8=kk50;0x97`b839:963<1gg9a6?6cc72:;870=>f98f7<=z{::>=7>52z?0645=<1=01>>:2;g0=>{t;8on6=4={<1156<68:901>?ic;:;6>{t;9>m6=4={<1157<30>16?=;?:d1:?xu49ln1<70;6?u2333a>464;278>=k58908yv57>80;6?u2333b>1>0349;:?4j389~w67a>3:1>v3<20c955543499v3<20;90=1<5::=<7k<9:p74`22909w0==1882465<5:8;m7672:p753c2909w0==1987<2=:;9?n6h=6;|q05c2=838p1><>8;3376=:;;:3656=;|q040d=838p1><>7;6;3>;4874}r12b6<72;q6???8:0207>;4:9<145<4}r131<<72;q6???9:5:4?857=h0n?45rs23e6?6=:r78><851110?8558=034?5rs2263?6=:r78><;54958966203o856s|30d2>5<5s499=84>02189647:323>6s|3176>5<5s499=94;869>75312l927p}<1g294?4|5:8:87??329>776721297p}<04194?4|5:8:=7:77:?0402=m:30q~=>eg83>7}:;;;:6<><3:?05cc=0180q~=?4e83>7}:;;;;6968;<130`?jc;296~;4:8:1===<;<12b=:m63=ee4904g<5;oo97:>a:?1aa2=<8k01?kk3;62e>;5mm818540c897cdn3>:m63=ebg904g<5;on>7:>a:?1a`7=<8k01?kj0;62e>;5mml18:m63=ee:904g<5;ohh7:>a:?1afe=<8k01>>n8;g0=>{t:lkh6=4<{<0f`g<68:901>?id;:4b>;5nlo145?4}r0fg7<72;q6>hjn:5:4?84an;0n?45rs3gbf?6=;r79iio51110?856nk03;k522gd0>=>63ty9in?50;0x97cc13>3;63=fg39a6?6`b>28:8?63<21d9<2`<5;lm>7671:p6`da2909w0=839p1?kk7;3376=:;;:o659i;<0eb4ho8:18084bl?0:<>=4=203f?>0n279jhh58938yv4bjm0;6?u22df6>1>0349;<>4j389~w7cf>3:18v3=ee7955543499<4477g9>6ccb212;7052z?1aa2=<1=01>>?2;g0=>{t:lk>6=4<{<0f`1<68:901>;4899145?4}r0ffg<72;q6>hj<:5:4?857880n?45rs3gb0?6=;r79ii=51110?8558<03;k523121>=>63ty9ioo50;0x97cc:3>3;63<0129a6?4?:2y>6`b528:8?63<2119<2`<5::;=7671:p6`d>2909w0ho>:18084bl90:<>=4=23eb?>0n279jkh58938yv4bj>0;6?u22dae>1>0348mji4j389~w7cf83:1?v3=ebd95554349:j4477g9>6c`b212:7p}=ec494?4|5;ohi7:77:?1bc?=m:30q~7}::lin6<><3:?1bcb=01;0q~7}::lo96968;<0ebfhl<:18184bm80:<>=4=3deg?>?92wx>hm6:18184bm90?4:522gdb>`5>3ty9io<50;0x97cb83;;?>522gda>=>63ty9in650;0x97ccn3>3;63=fg:9a6?6`ba28:8?63=fgc9<=76`bb2=2<7052z?1aac=99987052z?1aab=<1=01?hi6;g0=>{t:lkm6=4={<0f`a<68:901?hi7;:;5>{t:li>6=4={<0f`f<30>16>kh::d1:?xu5mho1<7kh9:9:2?xu5mj>1<7464;279jk;58938yv4bk90;6?u22dag>1>0348mj=4j389~w7cf13:1>v3=ebf95554348mj947809~w7ce=3:1>v3=eba90=1<5;lni7k<9:p6`?b2909w04587<2=:;9>=6h=6;|q0563=838p1>?;4;3376=:;9;;656;;|q046?=838p1>?;3;6;3>;48:k1i>74}r1271<72;q6?<:<:0207>;489l145:4}r1373<72;q6?<:=:5:4?857;10n?45rs2307?6=:r78=9<51110?8578l03495rs2200?6=:r78=9?549589664=3o856s|3011>5<5s49:8<4>02189667l32386s|316a>5<5s49:8n4;869>752d2l927p}<12g94?4|5:;?o7??329>756>212?7p}<05;94?4|5:;?n7:77:?041g=m:30q~=>3e83>7}:;8>i6<><3:?045>=01>0q~=?4683>7}:;8>j6968;<130=?>;4;296~;49=318594=2271?c412wx?<=m:181856<00:<>=4=2232?>?<2wx?=:=:181856<10?4:523160>`5>3ty8=>o50;0x967303;;?>523126>=>33ty8<9>50;0x9673?3>3;63<0539a6?742028:8?63<0169<=274212=2<70=?3g8f7<=z{:;847>52z?0510=999870=?158;<1=z{::8o7>52z?0513=<1=01>>{t;89<6=4={<1200<68:901>>>3;:;0>{t;9986=4={<1205<30>16?==8:d1:?xu49:<1<775e2;8yv56;80;6>u2301e>464;278<=o589689673m32386s|30a2>5<5s49:o=4;869>6c4a2l927p}<1b294?b|5:;h<7??329>74`c212:70=>fc8;<4=:;;:m656>;<114am:9:2?85580034<523324>=>63499<847809>7764212:70==008;<4=:;8lm656>;<12b<>=0;296~;499>18594=2215?c412wx?=h;:1818568=0:<>=4=2225?>?:2wx?=?k:1818568:0?4:52313f>`5>3ty8523133>=>63ty8<3;63<00d9a6?746528:8?63<01d9<=774662=2<70=?1c8f7<=z{::m=7>52z?0557=999870=?0d8;<4=z{:::;7>52z?0556=<1=01>>>8;g0=>{t;9l;6=4={<1245<68:901>>?d;:;5>{t;99;6=4={<124f<30>16?==>:d1:?xu48on1<76:9:2?xu48;o1<7464;278<=658938yv57:j0;6?u2302b>1>0349;>i4j389~w66aj3:1>v3<11c95554349;<:47809~w665i3:1>v3<11;90=1<5::9n7k<9:p75`f2909w0=>0882465<5::;:7671:p754?2909w0=>0987<2=:;9826h=6;|q04c?=838p1>??8;3376=:;9:>656>;|q0470=838p1>??7;6;3>;48;=1i>74}r13b=<72;q6?<>8:0207>;489>145?4}r1361<72;q6?<>9:5:4?857:<0n?45rs22e3?6=:r78==851110?8579=034<5rs2216?6=:r78==;549589665;3o856s|31d5>5<5s49:<84>02189666;323=6s|3135>5<5s49;jk4;869>757f2l927p}<0g794?4|5::mj7??329>7575212:7p}<00794?4|5::mi7:77:?044e=m:30q~=?eg83>6}:;9ln6<><3:?045g=01;01>?;e;:;5>{t;8ij6=4={<12`2<68:901>?ic;:4b>{t;8i26=4={<12`3<68:901>?ia;:4b>{t;8n86=4={<12`c<68:901>{t;8n96=4={<12``<68:901>{t;8n:6=4={<12`a<68:901>{t;8n;6=4={<12`f<68:901>{t;8im6=4={<12`g<68:901>{t;8in6=4={<12`d<68:901>{t;8io6=4={<12`<<68:901>{t;8ih6=4={<12`=<68:901>{t;8ii6=4={<12`0<68:901>?ie;:4b>{t;8i36=4={<12`1<68:901>?i8;:4b>{t;8o>6=4={<12a0<68:901?9>3;:;7>{t;8o?6=4={<12a1<68:901?9>1;:;7>{t;8oi6=4={<12ag<68:901?9?f;:;7>{t;8oj6=4={<12ad<68:901?9?d;:;7>{t;8o86=4={<12a<<68:901?9?b;:;7>{t;8o96=4={<12a=<68:901?9?9;:;7>{t;8o:6=4={<12a2<68:901?9?7;:;7>{t;8o;6=4={<12a3<68:901?9?5;:;7>{t:l2?6=4={<12ec<30>16>h6::d1:?xu490h1<7k;j:9:7?xu5m181<7464;279j8m58968yv4b090;6?u230cg>1>0348n4=4j389~w67>13:1>v3<1`f95554348m9l47859~w7c0m3:1>v3<1`a90=1<5;oab82465<5;l>47673:p6`1d2909w0=>ac87<2=::l=h6h=6;|q05<1=838p1>?nb;3376=::o?=656<;|q1a2g=838p1>?na;6;3>;5m>k1i>74}r12=3<72;q6?;5n<>145=4}r0f3=<72;q6?5rs3g42?6=:r78=l65495897c0>3o856s|30;7>5<5s49:m54>021897`28323?6s|2d;5>5<5s49:n:4;869>6`?12l927p}<1`694?4|5:;i;7??329>6c0b21287p}=e8694?4|5:;i:7:77:?1a<2=m:30q~=>a283>7}:;8h=6<><3:?1b3e=0190q~7}:;8h>6968;<0f=7?n2;296~;49k?1===<;<0e2d18594=3g:4?c412wx?:181856j=0:<>=4=3d5?;2wx>h6j:181856j:0?4:522d:f>`5>3ty8=l>50;0x967e;3;;?>522g45>=>43ty9i5m50;0x967e:3>3;63=e9a9a6?74d528:8?63=f769<=574d62=2<7052z?05g7=99987052z?05g6=<1=01?k79;g0=>{t;83o6=4={<12f5<68:901?h90;:;7>{t:l2=6=4={<12e2<30>16>h68:d1:?xu490i1<7k;8:9:0?xu5l821<7?j{<1320<30>16>o6=:9ge?84c;80:<>=4=3g1b?2??278<9k5892896628323<63<0409<=6<5::>87670:?0400=01:01>>:8;:;4>;484=226g?>?8278<8k5892896618323<63<0709<=6<5::=87670:?1gd>=01801?mna;:;6>;5khi145<4=3aba?>?:279oo>5890897ee:323>63=cc69<=4<5;ii:7672:?1gg>=01801?mma;:;6>;5kki145<4=3aaa?>?:279n4?58928967d;32386s|3146>5<5s49;:84>0218967d;323>6s|2b3f>5<5s48h?=47eg9>6f7b2l927p}=c1a94?4|5;i8<7:=4:?1g5e=j?30q~7}::j9;6o86;<0ga7<30>1v?m>d;297~;5k;l14hh4=3a3g?77>=16>n?k:d1:?xu5k9h1<7=t=3a1b?25<279o=m5436897e7j3h=56s|2bf5>5<5s48h>k4m689>6ac62=2<7p}=db194??|5;i;o7:k3:?1``7=999870h?::9:2?84cl<034<522ef2>=1a348h=i477g9~w7e6k3:1?v3=c3g9<``<5;i;n7??659>6f7d2l927p}=c1c94?5|5;i9i7:=4:?1g5d=<;>01?m?a;`5=>{t:jn86=4={<0`6`016>ik?:5:4?xu5lj81<77t=3a3f?2c;279hh>51110?84d;h09>:522`f3>=>4348o<847809>6`72212970b;297~;5k;n14hh4=3a3e?77>=16>n?m:d1:?xu5k931<7=t=3a1`?25<279o=o5436897e713h=56s|2bf3>5<5s48h>i4m689>6aba2=2<7p}=db394??|5;i;m7:k3:?1`a`=999870h?::9:0?84cl<034>522ef2>=>6348h=o477g9~w7e6i3:1?v3=c3a9<``<5;i;57??659>6f7f2l927p}=c1:94?5|5;i9o7:=4:?1g5?=<;>01?m?8;`5=>{t:jio6=4={<0`6f016>ijj:5:4?xu5lj:1<78t=3a3=?2c;279hik51110?84d;h09>8522`de>=1a348n=;477g9>6f7f21=m7p}=c0;94?5|5;i9n76jf:?1g5>=9953z?1g7d=<;>01?m?8;610>;5k9=1n;74}r0`gd<72;q6>nr79o=654e1897bcl3;;?>522b1b>743348jjk47859>6`71212;7053z?1g7g=0ll01?m?7;3321=::j;36h=6;|q1g50=839p1?m=a;610>;5k9=18?:4=3a32?d112wx>nm8:18184d:h0i:4522ef`>1>03ty9hok50;4x97e7?3>o?63=dea95554348h?l4=229>6d`a212870;5k9<1==8;;<0`525;297~;5k;318?:4=3a32?25<279o<;5b7;8yv4dk=0;6?u22b0:>g0>348oho4;869~w7bel3:1:v3=c1490a5<5;non7??329>6f5f2;8970=16>n<8:d1:?xu5k8>1<7=t=3a0=?25<279o<;5436897e6<3h=56s|2bdb>5<5s48h?44m689>6acf2=2<7p}=dbf94?0|5;i:97:k3:?1``g=999870;<0f50n<8:95e?xu5k;<1<7=t=3a0bn279o<:51147?84d:?0n?45rs3a27?6=;r79o>65436897e6<3>9863=c019f3?6f5?2k<27056z?1g42=h?::9:3?84d:?03;k5rs3a11?6=;r79o>958dd897e6;3;;:9522b06>`5>3ty9o<<50;1x97e4?3>9863=c019072<5;i:>7l99:p6f`32909w03;6g7>;5ll21===<;<0`7d<5;k16>lj?:9:3?84c8=034=522d37>=>5348jjo47859>6`74212;70n<::95e?xu5k;>1<7=t=3a02?>bn279o<<51147?84d:=0n?45rs3a25?6=;r79o>85436897e6:3>9863=c039f3?6f512k<2705ez?1g44=i>;:95e?84b9=034>522`da>=1a348n=<47829>6`75212;70;<0g`4h?8:95e?84d:=03;k5rs3a17?6=;r79o>;58dd897e693;;:9522b00>`5>3ty9o<>50;1x97e4=3>9863=c039072<5;i:<7l99:p6fcb2909w01;6g7>;5ll<1===<;<0`7d<5;?16>lj>:95e?84c8=034?522d37>=1a348jjo47819>6`76212?70ij?:9:3?84d::03;k5rs3a16?6=;r79o>:58dd897e683;;:9522b01>`5>3ty9o=h50;1x97e4<3>9863=c029072<5;i;j7l99:p6fce2909w06968;|q1`f>=83np1?m>0;6g7>;5ll?1===<;<0`7d<5;<16>lj>:9:3?84c8=034<522`da>=>6348n=<477g9>6`75212870;<0g`5h?8:9:7?84d:;03;k5rs3a15?6=;r79o>=58dd897e7n3;;:9522b02>`5>3ty9o=k50;1x97e4;3>9863=c1d9072<5;i;i7l99:p6fc?2909w0;5ll>1===<;<0`7d<5;=16>lj>:9:2?84c8=0349522`d`>=>6348n=<47819>6`75212970n<>:95e?xu5k;:1<7=t=3a06?>bn279o=k51147?84d:90n?45rs3a3`?6=;r79o><5436897e7m3>9863=c1f9f3?6f552k<2705bz?1g5c=lj>:9:1?84c8=034>522`d`>=1a348n=<47839>6ab7212:70;5k9n1==8;;<0`5cg0>348ohl4;869~w7bd=3:15v3=c1f90a5<5;nom7??329>6f5f2;8:70ij?:9:7?84b9>034>522b3e>=1a3ty9o<850;1x97e5032nj63=c1795503348h=;4j389~w7be>3:1?v3=c3:9072<5;i;97:=4:?1`g1=m:30q~7}::j836o86;<0g`<<30>1v?jmc;293~;5k9?18i=4=3fg=?77;:16>n=n:33f?84fnl034=522ef3>=>4348n=:47839>6f7121=m7p}=bed94?4|5;hmn76jf:?1f`6=m:30q~7}::kli69<;;<0ae101v?mka;296~;5joh1n;74=3``4?>?82wx>ojk:18084enh03ik522cc7>461<279nik5e2;8yv4ei:0;6>u22cdb>143348im94;259>6gg42k<27p}=ce594?4|5;hmm7l99:?1fgc=01:0q~6}::kl265ki;<0ae6<68?>01?lkc;g0=>{t:kk96=4<{<0ab<<3:=16>oo<:507?84ei;0i:45rs3ag0?6=:r79nk75b7;897dek323<6s|2cf:>5<4s48ij547eg9>6gg528:=863=bec9a6?6g`?2=8?70;5jkk145:4}r0a`2<72:q6>oh8:9ge?84ei80:<;:4=3`goo?:18084en>0?>9522cc2>143348im=4m689~w7edm3:1>v3=bg59f3?<5;hi47674:p6gb22908w094:?1fa0=m:30q~6}::kl=69<;;<0ae5<3:=16>o7i:c4:?xu5kjh1<7u22cd6>=ca348i5k4>076897dc<3o856s|2c;f>5<4s48ij84;259>6g?a2=8?7052z?1fc3=j?301?lm4;:;0>{t:kn:6=4<{<0ab1o7j:0250>;5jm81i>74}r0aea<72:q6>oh;:507?84e1l0?>9522ccg>g0>3ty9on;50;0x97da<3h=563=bc09<=26f6321om707k<9:p6ggd2908w001v?mib;296~;5k9>1n;74=3`g4?>?<2wx>oki:18084d8:03ik522cc`>461<279nk>5e2;8yv4eik0;6>u22b20>143348imn4;259>6gge2k<27p}=cg:94?4|5;i;?7l99:?1ffc=01>0q~6}::j:965ki;<0aeg<68?>01?lje;g0=>{t:kkj6=4<{<0`47<3:=16>oom:507?84eih0i:45rs3ae1?6=:r79o=<5b7;897ddk32386s|2cga>5<4s48h<<47eg9>6ggf28:=863=bda9a6?6f662=8?70;5jjk145:4}r0aa<<72:q6>n>?:9ge?84ei00:<;:4=3`fe?c412wx>oo7:18084d890?>9522cc:>143348im54m689~w7ebn3:1>v3=c129f3?<5;hh47674:p6gc02908w094:?1f`>=m:30q~6}::klm69<;;<0ae=<3:=16>oo8:c4:?xu5kli1<7u22cdf>=ca348im:4>076897db>3o856s|2cc5>5<4s48ijh4;259>6gg02=8?7052z?1fcc=j?301?ll4;:;0>{t:ko86=4<{<0abaoo9:0250>;5jl>1i>74}r0ae0<72:q6>ohk:507?84ei?0?>9522cc6>g0>3ty9oh850;0x97dal3h=563=bb09<=26g`d21om707k<9:p6g?d2908w069<;;<0a=f01v?mkf;296~;5joi1n;74=3`a=?>?<2wx>i8::18784en:03ik522c;`>461<279h:951110?84fl=034=5rs3a`6?6=:r79nk=5b7;897dfn32386s|2c7:>5<5s48i4?4;259>6g3>2k<27p}=cd194?4|5;h3>7l99:?1`67=<1=0q~=}::k2:65ki;<0a1<<68?>01?j<0;3376=::l8n6968;<0`efo7>:9:1?84c8;034<522e2;>=>63ty9n8950;1x97d?93>9863=b4;9072<5;h>;7l99:p6fc52909w0;5j<=1==8;;<0a=3o7m:95e?84c:o0:<>=4=3g03?2??279olo58938yv4e=<0;6>u22c:3>143348i9:4;259>6g322k<27p}=cd394?4|5;h3<7l99:?1`7`=<1=0q~2}::k=m65ki;<0a10<68?>01?l66;:;4>;5j0h145>4=3f1a?77;:16>h=9:5:4?84djl034<5rs3`66?6=;r79n:h5436897d2=3>9863=b409f3?6g1a2k<27057z?1f2b=0ll01?l:2;3321=::k3>656=;<0a=di;5m:?18594=3aag?>?92wx>o;?:18084e?m0?>9522c71>143348i9=4m689~w7eck3:1>v3=b6f9f3?<5;n9o7:77:p6a7529094:?1f<3=01:01?l6a;:;4>;5l;h1===<;<0f71<30>16>nln:9:2?xu5j=o1<7=t=3`4g?25<279n8>5436897d3m3h=56s|2bfa>5<5s48i;n4m689>6a4e2=2<7p}=d0394?1|5;h;5kk2145?4}r0a0f<72:q6>o9m:507?84e9522c6`>g0>3ty9oi650;0x97d0j3h=563=d3c90=16g1f21om70k18?:4=3`7g?25<279n9l5b7;8yv4dl<0;6?u22c5b>g0>348o>44;869~w7d113:1?v3=b6;9<``<5;h?n7??659>6g0>2l927p}=b5c94?5|5;h<57:=4:?1f1d=<;>01?l;a;`5=>{t:jn96=4={<0a3<016>i<7:5:4?xu5l9l1<79t=3`7f?2c;279n;7586d897d>;323>63=b8:9<2`<5;n947??329>6`562=2<7053z?1f2>=0ll01?l;a;3321=::k<36h=6;|q1f1?=839p1?l88;610>;5j=k18?:4=3`7=?d112wx>nmi:18184e?10i:4522e04>1>03ty9h=k50;5x97d3i3>o?63=b7:9<2`<5;h2?7670:?1f<>=01:01?j=7;3376=::l9;6968;<0`f7=14hh4=3`7=?77>=16>o88:d1:?xu5j=21<7=t=3`43?25<279n975436897d303h=56s|2ba`>5<5s48i;:4m689>6a412=2<7p}=d1f94?1|5;h?57:k3:?1f31=0>l01?l62;:;6>;5j0=14:h4=3f12?77;:16>h`5>3ty9n9950;1x97d0>3>9863=b5:9072<5;h?;7l99:p6fe>2909w06968;|q1`5e=83=p1?l;8;6g7>;5j?<14:h4=3`:6?>?8279n495892897b5=3;;?>522d0`>1>0348hmh47809~w7d1=3:1?v3=b679<``<5;h?;7??659>6g022l927p}=b5494?5|5;h<97:=4:?1f11=<;>01?l;6;`5=>{t:ji=6=4={<0a30016>i<;:5:4?xu5l9h1<7:t=3`73?2c;279n;;586d897b5<3;;?>522bc;>=>63ty9n;:50;1x97d0<32nj63=b5495503348i:94j389~w7d1:3:1?v3=b669072<5;h?:7:=4:?1f34=j?30q~7}::k=?6o86;<0g66<30>1v?j?a;297~;5j=<18i=4=3`50?>0n279h?=51110?xu5j>81<7=t=3`;f?>bn279n;<51147?84e?;0n?45rs3`55?6=;r79n5l5436897d1:3>9863=b739f3?6g>e2k<27053z?1f34=;5l:21===<;|q1f27=839p1?l7a;:fb>;5j?;1==8;;<0a345b7;8yv4dn?0;6?u22c:b>g0>348o?:4;869~w7b6n3:1?v3=b7390a5<5;h<=768f:?1`61=99987p}=b6294?5|5;h3576jf:?1f36=99j7>53z?1f=?=<;>01?l90;610>;5jo66:c4:?84c;?0?4:5rs3f2a?6=;r79n;>54e1897d0832j7:=4:?1f0c=j?30q~7}::k236o86;<0g70<30>1v?j>d;297~;5j0n279h>;51110?xu5j?o1<7=t=3`;3?>bn279n8k51147?84e>l0n?45rs3`6`?6=;r79n595436897d2m3>9863=b4f9f3?6g>02k<27053z?1f0c=;5l:>1===<;|q1f3b=839p1?l76;:fb>;5jg0>348o?>4;869~w7b6j3:1?v3=b4f90a5<5;h=h768f:?1`65=99987p}=b7a94?5|5;h3976jf:?1f0e=99n7>53z?1f=3=<;>01?l:c;610>;5jo6::c4:?84c;;0?4:5rs3f2e?6=;r79n8m54e1897d1k32n7:=4:?1f02=j?30q~7}::k2?6o86;<0g6a<30>1v?j>9;297~;5j0n279h?j51110?xu5j?k1<7=t=3`4a?>bn279n8:51147?84e>h0n?45rs3`71?6=;r79n:k5436897d2<3>9863=b579f3?6g1b2k<27053z?1f02=;5l;81===<;|q1f35=839p1?l83;:fb>;5j=?1==8;;<0a2691n;74=3f15?2??2wx>i>6:18084e<<0?h>522c40>=1a348o><4>0218yv4e1k0;6?u22c;3>1b4348i5o4j389~w7d?n3:1>v3=b829072<5;h3j7l99:p6g?12909w0;|q1f;5j0k1i>74}r0a<`<72;q6>o6i:507?84e0l0i:45rs3`:1?6=:r79n5h51147?84e1<0n?45rs3`:=?6=:r79n5k54e1897d>13o856s|2c:g>5<5s48i4h4;259>6g>c2k<27p}=b8694?4|5;h3i7??659>6g?32l927p}=b8:94?4|5;h3h7:k3:?1f<>=m:30q~7}::k2o69<;;<0a01v?l63;296~;5j1n1==8;;<0a=6o7=:18184e0j0:<;:4=3`:6?c412wx>h?j:1827~;5ll818:84=3ff5?20>279hh>5464897bcn3><:63=deg9020<5;noh7:86:?1`ae=<><01?jkb;642>;5llk18:84=3ff=?20>279hh65464897bb?3><:63=dd49020<5;nn97:86:?1``2=<><01?jj3;642>;5lmk18:84=3fg=?20>279i:m63=ded904g<5;noi7:>a:?1`ab=<8k01?jkc;62e>;5lmh18:m63=dd5904g<5;nn:7:>a:?1``3=<8k01?jj4;62e>;5ll9185<0s48oi?4>021897gc9323863=d179<=6<5;o::7673:?1`a3=01>01?jk1;:;0>;5k8o14:h4}r0f5d<7283p1?j<1;642>;5l::18:84=3f1b?20>279h?k5464897b5k3><:63=d3`9020<5;n9m7:86:?1`7?=<><01?j=8;642>;5l;=18:84=3f12?20>279h?;5464897b5<3><:63=d319020<5;n847:86:?1`61=<><01?j<6;642>;5l:?18:84=3f00?20>279h>=5464897b4:3><:63=d3f9020<5;n9>7:86:?1`77=<><01?k>b;g0=>{t:khm6=4={<0g<5<30>16>om?:d1:?xu5m8i1<7?;{<0g<5<3??16>i9i:555?84c?l0?;;522e5g>111348o;n4;779>6a1e2===70i68:555?84c0?0?;;522e:6>111348o494;779>6a>42===70i98:555?84b9m0n?4522e`a>=>63ty9h;j50;1x97b?83;;?>522`f5>=>3348ii=477g9~w7del3:1>v3=d6d90=1<5;hii7k<9:p6a0d2908w0l0q~7}::m=n6968;<0affo1===<;<0b`3ojl:95e?xu5jk31<7h0;6>u22e5g>464;279mi85893897dci325<5s48o;n4;869>6gd?2l927p}=d7;94?5|5;n6db121=m7052z?1`2d=<1=01?lm6;g0=>{t:m<36=4<{<0g3g<68:901?ok6;:;4>;5jm<14:h4}r0af6<72;q6>i9n:5:4?84ej=0n?45rs3f53?6=;r79h:o51110?84fl<0349522cf7>=1a3ty9no?50;0x97b013>3;63=bc09a6?6a1>28:8?63=ae79<=5<5;ho>768f:p6gea2909w0656=;<0ab7i9::18084c0>0:<>=4=3cg1?>?9279nk>586d8yv4ekk0;6?u22e:5>1>0348ion4j389~w7b0<3:1?v3=d9495554348jh8477g9>6gcb21=m7p}=bb;94?4|5;n397:77:?1ffg=m:30q~6}::m2>6<><3:?1ea3=01:01?ljc;:4b>{t:ki<6=4={<0g<1<30>16>om7:d1:?xu5l>81<7=t=3f;0?77;:16>lj;:9:7?84emh03;k5rs3``1?6=:r79h5=5495897dd>3o856s|2e52>5<4s48o4>4>021897gc<323?63=bd:9<2`4?:3y>6a>52=2<7053z?1`=4=999870;5jj81i>74}r0g2c<72:q6>i6>:0207>;5im>145?4=3`f0?>0n2wx>ol?:18184c?10?4:522c`:>`5>3ty9h;k50;1x97b003;;?>522`f7>=1a348ii?477g9~w7dfm3:1>v3=d6590=1<5;hjj7k<9:p6a5a2909w0:6h=6;|q1`6c=839:w0;5k=?145=4=3a5`?>?;279o;:5891897e1m323?63=c729<=5<5;i=?7673:?1g3?=01901?m9a;:;7>;5k?2145=4=3a56?>?;279o;95891897e1>323=63=c7a9<=5<5;i>i7673:?1g37=01901?m:f;:;7>;5l:h14:h4=3f70?>0n279h9;5892897b3832f;:;5>;5m8n145>4=3f0g?>?;279ho95891897e6>323863=c0d9<=4<5;i9<7672:?1g77=01801?m=2;:;6>;5k;9145<4=3a10?>?:279o?;5890897e5>323>63=c359<=4<5;i:;7672:?1g4>=01801?m>9;:;6>;5k8k145<4=3a2f?>?:279o63=c0g9<=44?:3y>6a252=2<707>531y>6a2528:8?63=c519<=4<5;i?:7672:?1g12=01801?m;5;:;6>;5k?n145<4=3a50?>?:279o;k5890897e18323>63=c719<=4<5;i=57672:?1g3g=01801?m98;:;6>;5k?8145<4=3a53?>?:279o;8586d897e1k323>63=c4g9<=4<5;i==7672:?1g0`=01801?j;5l=>145>4=3f71?>0n279h9>5892897bf>323>63=c7`9<=5<5;nim7672:?1a4b=01;01?jm7;:;5>;5l:n145=4=3a22?>?8279o7670:?1g75=01:01?m=4;:;4>;5k;?145>4=3a12?>?8279o?95892897e6?323<63=c0:9<=6<5;i:57670:?1g4g=01:01?m>b;:;4>;5k8i145>4=3a2`?>?8279o1>0348o?n4j389~w7b413:1>ku22e1:>464;279o9=5893897e3>323=63=c569<=7<5;i?97671:?1g3b=01:01?m94;:;4>;5k?o145>4=3a54?>?8279o;=5892897e11323<63=c7c9<=6<5;i=47670:?1g34=01:01?m97;:;4>;5k?<145>4=3a5g?>?8279o8k5892897e19323<63=c4d9<=6<5;n?97672:?1`16=01801?jn6;:;4>;5k?h14:h4=3fae?>?<279if;:;5>;5k;:145?4=3a15?>?9279o?<5893897e5;323=63=c369<=7<5;i997671:?1g70=01;01?m=7;:;5>;5k8=145?4=3a2?9279o<75893897e6i323=63=c0`9<=7<5;i:o7671:?1g4b=01;01?m>e;:;5>{t:l>36=4={<0`7d<49>16>h:7:d1:?xu5m=<1<7279i985e2;8yv4b=h0;6?u22b1b>64f348n9l4j389~w7c203:1>v3=c2c977?<5;o>47k<9:p6`312909w0;5m<>1i>74}r0f17<72;q6>n=n:205?84b=;0n?45rs3g64?6=:r79o>o5337897c283o856s|2d6f>5<5s48h?l4<209>6`2b2l927p}=e5a94?4|5;i8m7=>f:?1a1e=m:30q~7}::j9j6>?:;<0f0dnom:18184b=o0?4:522bc`>`5>3ty9i>o50;0x97c2n3;;?>522d6;>=>53ty9ol750;0x97c2m3>3;63=c`c9a6?6`3b28:8?63=e549<=46`002=2<7052z?1a31=99987052z?1a30=<1=01?mmc;g0=>{t:l>96=4={<0f23<68:901?k:8;:;6>{t:jh26=4={<0f20<30>16>nln:d1:?xu5m=;1<7h;9:9:1?xu5kk=1<7464;279i8:58908yv4dj<0;6?u22d40>1>0348hn;4j389~w7c4n3:1>v3=e7195554348n9?47839~w7ee;3:1>v3=e7090=1<5;ii87k<9:p6`5b2909w0<7672:p6fd62909w0n656=;|q1gd`=838p1?k90;6;3>;5kk:1i>74}r0f7f<72;q6>h8?:0207>;5m=i145<4}r0`ea<72;q6>h;k:5:4?84dil0n?45rs3g0f?6=:r79i8j51110?84b5<5s48n9n4>021897c3<323>6s|2c06>5<5s48i?i4;869>6f2c2l927p}=d1394?7?s48i?i4;1`9>6g5d2=;j70o=8:53b?84e;?0?=l522c16>17f348i?94;1`9>6g542=;j70o:;:53b?84e<:0?=l522c61>17f348i8<4;1`9>6g272=;j70o`5>3ty9n=k50;1x97d4l3;;?>522d6:>=1a348h8547809~w7d5<3:1>v3=b2a90=1<5;i?o7k<9:p6g6c2908w07}::k9i6968;<0`0gn:l:9:2?xu5j;;1<7u22c1:>464;279i87586d897e3j323=6s|2c03>5<5s48i?54;869>6f202l927p}=b1;94?5|5;h847??329>6`3021=m7052z?1f61=<1=01?m:d;g0=>{t:k:36=4;{<0a72<68:901?k:5;:4b>;5k=2145>4=3a73?>?92wx>o?j:18184e;?0?4:522b7`>`5>3ty9n=950;1x97d4>3;;?>522d70>=1a348h9i47809~w7d6l3:1>v3=b2790=1<5;i>n7k<9:p6g612908w0=768f:?1g0e=01;0q~7}::k9?6968;<0`1d1===<;<0f0cn;m:9:2?xu5j8h1<7u22c10>464;279i9j586d897e2i323=6s|2c3b>5<5s48i??4;869>6f3?2l927p}=b1194?5|5;h8>7??329>6`2e21=m7052z?1f67=<1=01?m:7;g0=>{t:k:96=4<{<0a74<68:901?k;5;:4b>;5k<2145?4}r0a5=<72;q6>o=?:5:4?84d=:0n?45rs3`35?6=:r79n>>51110?84d=>034<5rs3`1`?6=:r79n9:5495897e2>3o856s|2c35>5<5s48i894>021897e2;323=6s|2c0`>5<5s48i8>4;869>6f322l927p}=b0794?4|5;h??7??329>6f31212:7p}=b3`94?4|5;h?>7:77:?1g02=m:30q~7}::k>96<><3:?1g03=01;0q~7}::k>:6968;<0`173;296~;5j=;1===<;<0`11o?=:18184e<90:<>=4=3a66?>?92wx>o<7:18184e;o0?4:522b73>`5>3ty9n522b72>=>63ty9n?950;0x97d4m3>3;63=c5d9a6?6g5b28:8?63=c429<=7;4?:3y>6g5f2=2<7052z?1f6g=9998707>52z?1f7`=<1=01?m;a;g0=>{t:k:i6=4={<0a6c<68:901?m;e;:;5>{t:k;<6=4={<0a6`<30>16>n:7:d1:?xu5j9:1<7n:n:9:2?xu5k0?1<75e2;8yv4c0m0;6?u22e;`>464;279o;o58968yv4d1:0;6?u22e;a>1>0348h594j389~w7b?k3:1>v3=d8`95554348h:447859~w7e>83:1>v3=d8c90=1<5;i2>7k<9:p6a>e2909w0b2909w0;5kh<1i>74}r0g=3<72;q6>io;:0207>;5k?9145:4}r0`e6<72;q6>io<:5:4?84di=0n?45rs3f:1?6=:r79hl=51110?84d>;03495rs3ab5?6=:r79hl<5495897ef:3o856s|2e;7>5<5s48om?4>021897e1932386s|2b;f>5<5s48om<4;869>6f?a2l927p}=d8194?4|5;nj=7??329>6f07212?7p}=c8a94?4|5;nj<7:77:?1g7}::mk;6<><3:?1g0`=01>0q~7}::m3m6968;<0`=gi7?:18184c1l0:<>=4=3a5a?>?<2wx>n79:18184c1m0?4:522b;4>`5>3ty9h5h50;0x97b>l3;;?>522b4g>=>33ty9o5j50;0x97b>03>3;63=c839a6?6a??28:8?63=c7a9<=26a?02=2<7053z?1`<1=9998708;6;3>;5j0;1i>74}r0f5=<72mq6>h?7:0207>;5m=3145?4=3g73?>?9279i8l5893897c21323=63=e459<=7<5;o>97671:?1a05=01;01?k:1;:;5>;5m=l145?4=3g7`?>?9279i9l5893897c3=323=6s|2b5b>5<5s48o9n4;869>6f1e2l927p}=d5a94?4|5;n>o7??329>6f0e21297p}=c6594?4|5;n>n7:77:?1g2>=m:30q~7}::m?i6<><3:?1g3g=01;0q~7}::m?j6968;<0`3<i:6:18184c=00:<>=4=3a5?92wx>n9>:18184c=10?4:522b51>`5>3ty9h9650;0x97b203;;?>522b44>=>63ty9o5o50;0x97b1<3>3;63=c9`9a6?6a0328:8?63=c719<=76a042=2<7087>52z?1`35=99987052z?1`34=<1=01?m77;g0=>{t:m?86=4={<0g27<68:901?m91;:;5>{t:j2?6=4={<0g24<30>16>n6::d1:?xu5l<81<7n8?:9:2?xu5k181<7464;279o8h58938yv4d090;6?u22e7e>1>0348h4<4j389~w7b283:1>v3=d4d95554348h9h47809~w7e0m3:1>v3=d4g90=1<5;i;|q1g26=838p1?j:7;6;3>;5k>>1i>74}r0g0a<72;q6>i;8:0207>;5k?i145?4}r0`2c<72;q6>i;9:5:4?84d??0n?45rs3f73?6=;r79h8851110?84d>=034<522ec5>=>63ty9i?<50;0x97c5n3;;?>522d6;>=1a3ty9i??50;0x97c5m3;;?>522d65>=1a3ty9i?l50;0x97c4?3;;?>522d7b>=1a3ty9i?o50;0x97c4>3;;?>522d7;>=1a3ty9i?750;0x97c4=3;;?>522d75>=1a3ty9i?650;0x97c4<3;;?>522d77>=1a3ty9i?950;0x97c4;3;;?>522d71>=1a3ty9i?850;0x97c4:3;;?>522d73>=1a3ty9i?;50;0x97c493;;?>522d6f>=1a3ty9i?:50;0x97c483;;?>522d6`>=1a3ty9i?=50;0x97c5l3;;?>522d6b>=1a3ty9i?>50;0x97c5k3;;?>522d67>=1a3ty9mh850;0x97c7?3>3;63=ad59a6?4?:3y>6`6028:8?63=bb29<=26`612=2<707>52z?1a50=9998707>52z?1a53=<1=01?oj2;g0=>{t:ml:6=4={<0f40<68:901?lmc;:;0>{t:ho;6=4={<0f41<30>16>lk?:d1:?xu5lo:1<7oln:9:0?xu5imo1<7464;279no658918yv4flj0;6?u22d21>1>0348jhn4j389~w7bbm3:1>v3=e1095554348in;47829~w7gci3:1>v3=e1390=1<5;kom7k<9:p6acc2909w0=838p1?k?f;6;3>;5io21i>74}r0gbf<72;q6>h>i:0207>;5jm:145=4}r0bb3<72;q6>h>j:5:4?84fn?0n?45rs3fef?6=:r79i=k51110?84ekl034>5rs3ce0?6=:r79i=j5495897ga<3o856s|2edb>5<5s48n021897ddk323?6s|2`d1>5<5s48n6d`52l927p}=dg;94?4|5;o;o7??329>6gef21287p}=ag294?4|5;o;n7:77:?1ec6=m:30q~7}::l:i6<><3:?1ff>=0190q~7}::l:j6968;<0ba`ih9:18184b800:<>=4=3``0?>?;2wx>lkn:18184b810?4:522`ga>`5>3ty9hk;50;0x97c703;;?>522ca1>=>43ty9mh650;0x97ban3>3;63=ad;9a6?6a`a28:8?63=bc;9<=53;63=7d69<``<5;32?7??329>6d?62=2<70noj:9:3?84dj9034=522b`1>=>7348hn947819>6fd1212;70nlj:9:3?84>8h034?52282`>=>534826<77212970<6138;<7=::0;?656=;<0:534?7:9:1?84>9h034?52283`>=>53482=h47839>6<47212970<8f28;<5=::l;i656;;|q1gg`=838p1?mmf;3376=::l;i656=;|q1<=6=838p1?662;:fb>;501:1i>74}r0;2`<72;q6>57=:507?84?>l0i:45rs3;0f?6=:r7944<5b7;897g3<3>3;6s|295e>5<4s4835<47eg9>6=0b28:=863=86d9a6?6=?62=8?70<76d8761=::1=838p1?661;`5=>;5i=918594}r0b60<720q6>58j:5f0?84f<:0:<>=4=3::g?450279;><5890897?1?32l01?68f;:4b>{t:1=n6=4<{<0;=558k:0250>;50>o1i>74}r0;2f<72:q6>57?:507?84?>m0?>952294`>g0>3ty95>;50;0x97>>83h=563=a5090=194?:8y>6=0c2=n870;5i>=145<4=3c03?>?:279m>=5892897>0m325<4s4834k47eg9>6=0d28:=863=86f9a6?6=>a2=8?70<76b8761=::1;5i=;18594}r0b66<720q6>58l:5f0?84f<80:<>=4=3::g?45>279;>=5891897?1?323?63=a659<=5<5;k8;7673:?1e65=01;01?68d;:4b>{t:1=h6=4<{<0;<`58m:0250>;50>i1i>74}r0;2d<72:q6>56j:507?84?>k0?>952294b>g0>3ty95?h50;0x97>?m3h=563=a5290=1?4?:7y>6=0e2=n870l01?o88;:4b>;50>i14:h4}r0;3g<72:q6>56k:9ge?84?>h0:<;:4=3:4f?c412wx>586:18084?0m0?>952294b>1433483:44m689~w7?5k3:1>v3=89f9f3?<5;k8j7:77:p6d46290=w0<76`87`6=::h9m6<><3:?1<01?991;:;0>;5i>2145>4=3:4f?>0n2wx>59n:18084?0j03ik52294:>461<2794:o5e2;8yv4?>10;6>u229:`>1433483:44;259>6=0?2k<27p}=93;94?4|5;23o7l99:?1e6c=<1=0q~3}::1<269j<;<0b7`<68:901?66c;017>;5??;145=4=3c4?92794:o586d8yv4??00;6>u229:a>=ca3483:54>076897>013o856s|2954>5<4s4834o4;259>6=0?2=8?70<7768a2<=z{;39:7>52z?1<=d=j?301?o{t:h;m6=49{<0;2=<3l:16>l=k:0207>;500i1>?<4=3555?>?:279m:65890897>01325<4s4835o47eg9>6=1028:=863=89;9a6?6=?e2=8?70<7768761=::1==6o86;|q1=0e=838p1?66b;`5=>;5i=i18594}r0b6c<72?q6>598:5f0?84f=4=3::g?430279;;?5893897g0?326=?f21om70<77782432<5;2347k<9:p6=122908w0<79`8761=::1==69<;;<0;3001v?7:9;296~;500k1n;74=3c7f?2??2wx>l522`6a>464;27944m522a897119323<63=a659<=6<5;234768f:p6=>02908w0<7988;ac=::1=>6<>94:?1<=1=m:30q~<77583>6}::13269<;;<0;30<3:=16>59;:c4:?xu51<<1<71b4348j8l4>021897>>k388n63=7209<=6<5;3=:7670:?1e20=01801?9:d;:;0>;5i>?145>4=3c02?>?9279m>75890897g4;323>63=8959<2`6=??21om70<77582432<5;23:7k<9:p6=142908w0<7998761=::1=?69<;;<0;3601v?7:3;296~;50021n;74=3c7=?2??2wx>l522`6:>464;27944m522:89714:323=63=9749<2`<5;k<:7673:?130b=0>l01?o83;:;7>;5i>>145>4=3c02?>?:279m>75893897g4;323?63=a6;9<2`<5;23:768f:p6=>22908w0<7968;ac=::1=86<>94:?1<=3=m:30q~<77383>6}::13<69<;;<0;36<3:=16>59=:c4:?xu51<:1<71b4348j854>021897>>k388:63=7219<2`<5;3=:7672:?1e20=0>l01?9:d;:;4>;5i>9145:4=3c40?>0n279m>85891897g4i3232908w0<7978;ac=::1=96<>94:?1<=2=m:30q~<77083>6}::13=69<;;<0;37<3:=16>59>:c4:?xu51=n1<71b4348j8:4>021897>>k388963=7219<=6<5;3=:7671:?130b=01;01?o83;:4b>;5i>>145=4=3c03?>0n279m>o5893897g4:3242908w0<7948;ac=::1=:6<>94:?1<=5=m:30q~<77183>6}::13>69<;;<0;34<3:=16>59?:c4:?xu51=k1<71b4348j8;4>021897>>k388863=7219<=7<5;3=:7674:?130c=01;01?o83;:;4>;5i>>145<4=3c03?>?8279m><5890897g01323<63=8919<2`6=?321om70<77182432<5;23>7k<9:p6=0a2908w0<7958761=::1=;69<;;<0;2c01v?7;7;296~;500>1n;74=3c71?2??2wx>l<7:18a84??90?h>522`66>464;27944m523`89711832l01?o83;:;6>;5i:8145?4=3c4=?>?927945<586d8yv4?080;6>u229;0>=ca3483:k4>076897>?93o856s|2944>5<4s4835>4;259>6=0a2=8?70<7668a2<=z{;3?<7>52z?1<<5=j?301?o{t:h8<6=46{<0;2c<3l:16>l=l:0207>;500i1>??4=3554?>?9279;8k5892897g0;323=63=a209<=2<5;k<57673:?1<=7=0>l0q~<77983>6}::12j65ki;<0;22<68?>01?688;g0=>{t:h;36=4<{<0;588:507?84f900n?45rs3;17?6=:r7945o5b7;897g4j3>3;6s|2`3f>5<0s483::4;d29>6d5e28:8?63=88a964c<5;==<7670:?1e64=01901?o89;:;6>;50>214:h4}r0;04<72;q6>5;k:9ge?84?<;0n?45rs3:32?6=:r7948j5436897>7>3h=56s|281`>5<5s4839i4m689>6=45212;7p}=82d94?5|5;2>o76jf:?1<50=9953z?1<0e=<;>01?6?6;610>;509?1n;74}r0:7<<72;q6>5;l:c4:?84?:9034=5rs3:0`?6=;r7948l58dd897>7=3;;:952291f>`5>3ty94=:50;1x97>2j3>9863=8179072<5;2;87l99:p6<512909w0<75c8a2<=::1;n656?;|q1<6d=839p1?6:a;:fb>;509>1==8;;<0;7f;:0;6?u2297b>g0>3483=n47859~w7>413:1?v3=84;9<``<5;2;?7??659>6=5f2l927p}=81094?5|5;2>57:=4:?1<55=<;>01?6?2;`5=>{t:09;6=4={<0;1<016>5?n:9:7?xu50:=1<7=t=3:6bn2794=<51147?84?;10n?45rs3:35?6=;r794865436897>7:3>9863=8139f3?i4?:3y>6=3?2k<270<7198;<1=z{;2897>53z?1<01=0ll01?6?1;3321=::19=6h=6;|q1<56=839p1?6:7;610>;509;18?:4=3:34?d112wx>40i:4522935>=>33ty94>=50;1x97>2>32nj63=812955033483?94j389~w7>7n3:1?v3=8449072<5;2;<7:=4:?1<5`=j?30q~<62683>7}::1?=6o86;<0;51=16>5;;:d1:?xu509o1<7=t=3:52?25<2794=h5436897>7m3h=56s|287g>5<5s483:;4m689>6=55212?7p}=84394?5|5;2=976jf:?1<5c=9953z?1<33=<;>01?6?e;610>;509n1n;74}r0:1d<72;q6>58::c4:?84?;903495rs3:7b?6=;r794;:58dd897>7l3;;:9522973>`5>3ty94=m50;1x97>1<3>9863=81f9072<5;2;o7l99:p6<302909w0<7658a2<=::18n656;;|q1<1b=839p1?693;:fb>;509i1==8;;<0;0`==0;6?u22940>g0>3483>n47859~w7>3j3:1?v3=8709<``<5;2;n7??659>6=2d2l927p}=81c94?5|5;2=>7:=4:?1<5d=<;>01?6?a;`5=>{t:0?:6=4={<0;27016>5bn2794=o51147?84?7i3>9863=81;9f3?6=062k<270<7298;<1=z{;2?;7>53z?1<36=0ll01?6?9;3321=::1>36h=6;|q1<5>=839p1?690;610>;509318?:4=3:34:m:18184?>90i:4522905>=>33ty949;50;1x97>2n32nj63=81:9550334838;4j389~w7>7?3:1?v3=84d9072<5;2;47:=4:?1<51=j?30q~<64983>7}::1?m6o86;<0;61=16>5:;:d1:?xu5?oo1<7=t=3:6a?25<2794=954368971am3h=56s|2862>5<5s4839h4m689>6=7e212?7p}=9b594?2|5;2>976jf:?13cc=99;5?kh1n;74}r0:00<72;q6>:k;:c4:?84>1:0?4:5rs3;4=?6=0r79;h=58dd8971ej3;;:95228;1>464;279m4>5495897?7m323=63=7g19<=4<5;3=87671:?1=3g=01;0q~<8b883>6}::>o869<;;<04fg<3:=16>:l6:c4:?xu51=>1<7?10;6:u226g1>=ca3480768971a0323>63=7gf9<2`<5;32=7??329>6d?>2=2<70<60b8;<4=z{;=i;7>53z?13`4=<;>01?9m9;610>;5?k=1n;74}r0:06<72;q6>:k=:c4:?84>180?4:5rs3;43?6=?r79;h?58dd8971e?3;;:95226d;>=>73486h<69<;;<04f101v?7;2;296~;5?l;1n;74=3;:4?2??2wx>49::184840lo03ik5226`7>461<279;k958908971ak326<7b212:7p}=7c094?5|5;=oj7:=4:?13g2=<;>01?9m2;`5=>{t:09n6=4={<04`c016>46j:5:4?xu51>>1<79t=35ga?>bn279;o<51147?840n>034=5226d`>=>734824i4>021897g>>3>3;63=90a9<=762bb2=8?70<8b38761=::>h;6o86;|q1=6b=838p1?9ke;`5=>;511n18594}r0:36<72>q6>:jk:9ge?840j90:<;:4=35e2?>?:279;kl586d897??k3;;?>522`;6>1>03482=l47809~w71fm3:1?v3=7ef9072<5;=i<7:=4:?13dc=j?30q~<63`83>7}::>no6o86;<0:1v?782;293~;5?mi14hh4=35ba?77>=16>:h9:9:3?840nk034=5228:a>464;279m4:5495897?60323=6s|26cg>5<4s4862gb2=8?70<8ae8a2<=z{;38;7>52z?13ae=j?301?77b;6;3>{t:>ii6=4<{<04`g:ok:0250>;5?jh1i>74}r04ef<72:q6>:jm:507?840im0?>95226c`>g0>3ty95>:50;0x971cj3h=563=99c90=162gc2=n870<8cc8;3c=::>l>656=;<04bd46n:0207>;5i0918594=3;22?>?92wx>:mn:180840lh03ik5226c`>461<279;no5e2;8yv40ik0;6>u226fb>14334862ge2k<27p}=92394?4|5;=om7l99:?1==?=<1=0q~<67183>2}::>kh69j<;<04gd:h::9:3?840nh034=5228::>464;279m4<5495897?6<323=6s|26a:>5<4s4862ge28:=863=7b;9a6?62b>2=8?70<8ac8761=::>kj6o86;|q1=7c=838p1?9k9;`5=>;511218594}r0:2c<72>q6>:om:5f0?840k003;k5226d7>=>53486<>?28:8?63=a9d90=1<5;3:>7671:p62e?2908w0<8d98;ac=::>kj6<>94:?13f>=m:30q~<8a883>6}::>n369<;;<04ed<3:=16>:o6:c4:?xu51;h1<7>l0;6:u226cb>1b434862`3212;70<8f88;<5=::02<6<><3:?1e=c=<1=01?7>0;:;5>{t:>i<6=4<{<04`2:o6:0250>;5?j=1i>74}r04e=<72:q6>:j8:507?840i00?>95226c;>g0>3ty95?650;0x971c?3h=563=99490=162g>2=n870<8c68;3c=::02=6<><3:?1=5g=01;0q~<8c783>6}::>n=65ki;<04e=<68?>01?9l6;g0=>{t:>i?6=4<{<04`3<3:=16>:o7:507?840k=0i:45rs3;11?6=:r79;i85b7;897??=3>3;6s|284`>5<4s4862e121=m70<6848246562cc21om70<8c582432<5;=o87k<9:p62e42908w0<8ee8761=::>i?69<;;<04g601v?7:b;296~;5?ln1n;74=3;:e?2??2wx>46=:180840k=0?h>5226f7>=1a34825l4>0218yv40l:0;6>u226g`>=ca3484>0768971c;3o856s|26a1>5<4s4862e42=8?70<8c38a2<=z{;3>47>52z?13`e=j?301?769;6;3>{t:02:6=4<{<04g6<3l:16>:j<:95e?84>100:<>=4}r04`7<72:q6>:km:9ge?840k;0:<;:4=35g6?c412wx>:m>:180840mk0?>95226a1>143348v3=7d`9f3?<5;3247:77:p6<>72908w0<8c387`6=::>n9659i;<0:==<68:90q~<8d083>6}::>oj65ki;<04g4<68?>01?9k1;g0=>{t:>i;6=4<{<04ad<3:=16>:m>:507?840k90i:45rs3;66?6=:r79;ho5b7;897?>?3>3;6s|285e>5<4s4862b621=m70<6968246562c>21om70<8c182432<5;=o<7k<9:p62da2908w0<8e88761=::>i;69<;;<04fc01v?7;f;296~;5?l31n;74=3;:2?2??2wx>49j:180840k90?h>5226f3>=1a34825;4>0218yv40ko0;6>u226g;>=ca3480768971dn3o856s|26`f>5<4s4862da2=8?70<8bd8a2<=z{;3?o7>52z?13`>=j?301?765;6;3>{t:0=o6=4<{<04fc<3l:16>:mi:95e?84>1<0:<>=4}r04g`<72:q6>:k8:9ge?840jl0:<;:4=35`a?c412wx>:lk:180840m>0?>95226`f>143348v3=7d59f3?<5;3287:77:p6<1d2908w0<8bd87`6=::>in659i;<0:=1<68:90q~<8ce83>6}::>o=65ki;<04fa<68?>01?9ld;g0=>{t:>h=6=4<{<04a3<3:=16>:lk:507?840j?0i:45rs3;72?6=:r79;h85b7;897??n3>3;6s|285a>5<4s4862ec21=m70<68g8246562c721om70<8b782432<5;=ho7k<9:p62g02908w0<8e18761=::>h=69<;;<04e201v?7499:180840j?0?h>5226a`>=1a3482494>0218yv40k<0;6>u226f6>=ca3480768971d=3o856s|2801>5<5s486<>42=2<7p}=97`94?5|5;=j;7:k3:?13f3=0>l01?773;3376=z{;=mh7>52z?13c4={t:>l:6=4={<04b7<3:=16>:h>:c4:?xu5?o21<7=16>:h7:d1:?xu5?o81<71b4348v3=7g39072<5;=m<7l99:p62`02909w0<8f082432<5;=m;7k<9:p62`e2909w0<8f187`6=::>li6h=6;|q13``=838p1?9i0;610>;5?ll1n;74}r04b3<72;q6>:h?:0250>;5?o<1i>74}r04bd<72;q6>:ki:5f0?840nh0n?45rs35fa?6=:r79;hh54368971bm3h=56s|26d6>5<5s480768971a=3o856s|26d:>5<5s4862`>2l927p}=7g694?4|5;=ni7??659>62`32l927p}=a9294?74s48j894;779>6d242===70:6999;<0b05<3??16>l=i:555?84f;l0?;;522`1g>111348j8n4;779>6d2e2===7026999;<0b0=<3??16>l:8:555?84f111348j?n4;779>6d5e2===70512y>6d232=;j70969?n;<0b04<39h16>l:?:53b?84f;o0?=l522`1f>17f348j?i4;1`9>6d2d2=;j70j69?n;<0b0<<39h16>l:7:53b?84f<>0?=l522`65>17f348j884;1`9>6d5d2=;j7098656;;<0:22l97:9:0?84f;>0349522`10>=>334834=477g9~w7g0k3:1=4u228;0>11134825?4;779>646l:555?84>0k0?;;5228:b>1113482444;779>6<>?2===70<6868733=::02=6999;<0:<0<3??16>47n:555?84>100?;;5228;;>11134825:4;779>646;:555?84>0:0?;;522`5g>`5>3ty94??50;0x97?b:3>3;63=8309a6?<:63=9d39020<5;3n<7:86:?1=a`=<><01?7ke;642>;51mn18:84=3;gg?20>2795il5464897?bi3><:63=9d;9020<5;3n47:86:?1=`1=<><01?7j6;642>;51l?18:84=3;f0?20>2795h=5464897?ci3><:63=9e;9020<5;k6}::0o96<><3:?136>=01>01?6;2;:4b>{t:1;m6=4={<0:a4<30>16>5:=7:9:0?84?<903;k5rs3:2`?6=:r795h>5495897>6m3o856s|28ag>5<4s482i=4>021897140323>63=82g9<2`653z?1=a`=999870<8398;<4=::19h659i;|q1<4?=838p1?7ke;6;3>;508k1i>74}r0:gg<72:q6>4jj:0207>;5?:214:h4=3:0e?>0n2wx>5?8:18184>lm0?4:52293;>`5>3ty95no50;1x97?cl3;;?>52261;>=>73483?5477g9~w7>6=3:1>v3=9ea90=1<5;2::7k<9:p62908w0<6db82465<5;=8;7674:?1<60=0>l0q~<71283>7}::0ni6968;<0;515=;:95e?xu50:;1<7<5e2;8yv4>l10;6>u228gb>464;279;>95890897>2<325<5s482i44;869>6=572l927p}=9e594?5|5;3n57??329>6250212:70<7538;3c=z{;29h7>52z?1=`>=<1=01?6=e;g0=>{t:0n=6=4<{<0:a=<68:901?9<7;:4b>;50<:14:h4}r0;6g<72;q6>4k8:5:4?84?:j0n?45rs3;g1?6=;r795h951110?840;>034=52296f>=1a3ty94?750;0x97?b>3>3;63=83c9a6?69=656<;<0;0d18594=3:12?c412wx>4j=:18084>m=0:<>=4=3502?>?:279496586d8yv4?::0;6?u228g0>1>03483>94j389~w7?c93:1?v3=9d1955543486=2121=m7p}=80094?4|5;3om7:77:?1<4d=m:30q~<6d183>6}::0nj6<><3:?1360=0>l01?6;4;:4b>{t:1;;6=4={<0:`<<30>16>5?>:d1:?xu51h;1<7i90;6>?t=3;b4?77;:16>5o::9:0?84?i1034>5229c5>=>43483m:47829>6=ea212870<7c78;<6=::1n;656<;<0;g75m::9:0?84?kk034>5229a`>=>43483ol47829>6=e3212870<7c88;<6=::1i3656>;<0;g`5m?:9:0?84?k:034>5229a2>=>434825i477g9>65mk:9:7?84f9j034>522`:2>=>6348j;k47819>656=:9:1?84?0:034?5229:7>=>534834847839>6=>1212970<7868;<7=::123656=;<0;<<596:9:1?84??h034?52295a>=>53483;n47839>6=1c212970<77d8;<7=::1=m656=;<0;<518594=3;b4o;:1804~;51h>1===<;<0;e05o7:9:1?84?i?034?5229c4>=>53483ok47839>6=e1212970<7d18;<7=::1i9656=;<0;g05mm:9:1?84?kj034?5229ab>=>53483o947839>6=e>212970<7c98;3c=::1in656=;<0;g55m<:9:1?84?k8034?5228;g>=>43482m;47819>6l?l:9:1?84f?o034<522`3:>=>634825k47829>6=1?212;70<7808;<5=::129656?;<0;<656;:9:3?84?0<034=5229:5>=>734834:47819>6=>?212;70<7888;<5=::1=2656?;<0;3d59m:9:3?84??j034=52295g>=>73483;h47819>6=1a212;70<7818;<5=z{;32o7>52z?1={t:03i6=4=fz?1=;<0;e35o8:9:2?84?ko034=5229a5>=>73483h=47819>6=e5212;70<7c48;<5=::1ii656?;<0;gf5mn:9:3?84?k=034=5229a:>=>73483o547819>6=eb212;70<7c18;<5=::1i8656?;<0;g44o8:9:1?84>i;034?522`2;>=>73483oi477g9>6d7d212?70l?6:9:1?84??1034<5229:2>=>634834?47809>6=>4212:70<7858;<4=::12>656>;<0;<3568:9:2?84?01034<5229::>=>63483;447809>6=1f212:70<77c8;<4=::1=h656>;<0;3a59j:9:2?84??o034<5229:3>=>63ty9mlo50;0x97>>k39:;63=a`c9a6?6=?d2:;=7052z?1<{t:hhj6=4={<0;=f<4:016>lln:d1:?xu5ik21<7640348jn;4j389~w7ge<3:1>v3=88a9770<5;ki87k<9:p6dd52909w0<79b8060=::hh96h=6;|q1eg6=838p1?66c;115>;5ik:1i>74}r0be`<72;q6>57l:23e?84fil0n?45rs3cbg?6=:r7944m5307897gfk3o856s|2`c5>5<5s4835n4<129>6dg12l927p}=91f94?4|5;kh=7:77:?1=5c=m:30q~7}::hi:6<><3:?1edg=0180q~<60c83>7}::hi;6968;<0:4ff;296~;5ij318594=3;14?c412wx>lo::18184fk00:<>=4=3cag?>?:2wx>4?k:18184fk10?4:52283f>`5>3ty9ml:50;0x97gd03;;?>522``b>=>53ty953;63=90a9a6?4?:3y>6de028:8?63=ac:9<=46de12=2<70<61`8f7<=z{;kj>7>52z?1ef0=99987052z?1ef3=<1=01?7>8;g0=>{t:hk:6=4={<0bg0<68:901?om4;:;6>{t:0;>6=4={<0bg1<30>16>4?9:d1:?xu5ih:1<7ll=:9:1?xu51891<7464;279mo>58908yv4>980;6?u22`a1>1>03482=?4j389~w7g>m3:1>v3=ab095554348jmh47839~w7?7n3:1>v3=acd90=1<5;3:<7k<9:p6d?c2909w02909w0;50hl1i>74}r0:26<7282p1?96f;62e>;5?0o18i3>:m63=78;904g<5;=247:>a:?13<1=<8k01?966;62e>;5?0?18:3>:m63=7`4904g<5;=j97:>a:?13d2=<8k01?9n3;62e>;5?h818540c8971>k3>:m63=783904g<5;=2<7:>a:?1=32=m:30q~<87183>6}::>3m6<><3:?1edd=0>l01?6na;:;5>{t:>2=6=4={<04=`<30>16>5oj:d1:?xu5??l1<7=t=35:a?77;:16>lo6:95e?84?io034<5rs35;1?6=:r79;4j5495897>fl3o856s|264f>5<4s48<5i4>021897gel324?:3y>62?e2=2<70<7ac8f7<=z{;==o7>53z?13;|q13=4=838p1?96a;6;3>;50h31i>74}r042g<72:q6>:7n:0207>;5ik314:h4=3:bf?>?92wx>:6>:181840100?4:5229`e>`5>3ty9;;o50;6x971>13;;?>522``4>=1a3483ml47819>6=g>212:7p}=79294?4|5;=247:77:?16}::>336<><3:?1eg3=0>l01?6mf;:;5>{t:>=m6=4={<04=2<30>16>5lk:d1:?xu5??21<7=t=35:3?77;:16>ll<:95e?84?jl034<5rs354a?6=:r79;485495897>ek3o856s|2644>5<4s48<5;4>021897ge93262?22=2<70<7bc8f7<=z{;==:7>53z?13<3=999870;|q132e=838p1?964;6;3>;50kk1i>74}r0420<72:q6>:7;:0207>;5ihn14:h4=3:af?>?92wx>:9m:1818401:0?4:5229`:>`5>3ty9;;:50;1x971>;3;;?>522`c4>=1a3483nl47809~w710i3:1>v3=78090=1<5;2i97k<9:p62042909w0<89382465<5;2i57671:p62>a2909w0<8a787<2=::1h36h=6;|q132>=838p1?9n6;3376=::1h>656>;|q13=c=838p1?9n5;6;3>;50k=1i>74}r0432<72;q6>:o::0207>;50k2145?4}r04:o;:5:4?84?j?0n?45rs3542?6=:r79;l:51110?84?j>034<5rs35;g?6=:r79;l=5495897>e<3o856s|2656>5<5s484>021897>e>323=6s|26:a>5<5s486=d42l927p}=76694?4|5;=j>7??329>6=d3212:7p}=79c94?4|5;=j=7:77:?17}::>k:6<><3:?17}::>k;6968;<0;f4:9>:1818401j0:<>=4=3:a5?>?92wx>:6;:181840180?4:5229c`>`5>3ty9;;j50;0x971>93;;?>5229`3>=>63ty9;:750;0x971>83>3;63=8`c9a6?62?728:8?63=8`a9<=76<`b2=2<70<6038f7<=z{;3nj7>52z?1=cc=999870<7cb8;<1=z{;2m97>52z?1=cb=<1=01?6i6;g0=>{t:0on6=4={<0:ba<68:901?6lb;:;0>{t:1l96=4={<0:bf<30>16>5h;:d1:?xu51ln1<75mn:9:7?xu50o:1<7mj0;6?u228da>464;2794n758968yv4>8>0;6?u22`25>1>03482<54j389~w7?a03:1>v3=a14955543483o847859~w7?7=3:1>v3=a1790=1<5;3;:7k<9:p6<`02909w0;519;1i>74}r0:b0<72;q6>l><:0207>;50j8145:4}r0;b`<72;q6>l>=:5:4?84?no0n?45rs3;e0?6=:r79m=<51110?84?k803495rs3:eg?6=:r79m=?5495897>al3o856s|28d0>5<5s48j<<4>021897>d832386s|29db>5<5s48j<=4;869>6=`e2l927p}=9g094?4|5;k;<7??329>6=b7212?7p}=8g:94?4|5;3mj7:77:?17}::0lm6<><3:?10q~<7eg83>7}::0lj6968;<0;b64km:18084>n00:<>=4=3:`2?>?<279m=658968yv4f?k0;6?u22`5b>1>03484j389~w7g0i3:1hv3=a6c95554348jmo47809>6dg>212:70;<0bf<ll8:9:2?84fj<034<522``0>=>6348jn<47809>6dga212:70;|q1;50mn1i>74}r0:e`<72;q6>4lj:0207>;50jn145<4}r0;`<<72;q6>4lk:5:4?84?lh0n?45rs3;b`?6=:r795oj51110?84?kj034<5rs3:gcj3o856s|28c`>5<5s482nn4>021897>dj323=6s|29f6>5<5s482no4;869>6=b02l927p}=9``94?4|5;3in7??329>6=ef212:7p}=8e194?4|5;3im7:77:?17}::0hj6<><3:?17}::0i=6968;<0;aa4l9:18184>k<0:<>=4=3:`0?>?92wx>5k7:18184>k=0?4:5229g:>`5>3ty95o;50;0x97?d<3;;?>5229a0>=>63ty94h850;0x97?d;3>3;63=8d59a6?6652z?1=f4=999870<7c08;<4=z{;2n>7>52z?1=f7=<1=01?6j3;g0=>{t:0h96=4={<0:g4<68:901?6l0;:;5>{t:1o;6=4={<0:g5<30>16>5k>:d1:?xu51k;1<75j?:9:2?xu50mo1<7j90;6?u228`e>464;2794nh58938yv4?l;0;6?u228`:>1>03483h;4j389~w7?fn3:1>v3=9c;955543483oh47809~w7>c93:1>v3=9c:90=1<5;2o47k<9:p62908w0<6b982465<5;2h:7671:?1e5>=01;0q~7}::h3:6<><3:?1edg=0>l0q~7}::h3;6<><3:?1ed>=0>l0q~7}::h326<><3:?1ege=0>l0q~7}::h336<><3:?1egg=0>l0q~7}::h3<6<><3:?1eg>=0>l0q~7}::h3=6<><3:?1eg0=0>l0q~7}::h3>6<><3:?1eg2=0>l0q~7}::h3?6<><3:?1eg4=0>l0q~7}::h386<><3:?1eg6=0>l0q~7}::h396<><3:?1edc=0>l0q~7}::h2m6<><3:?1ede=0>l0q~7}::h2n6<><3:?1ed0=0>l0q~<84983>7}::h<26968;<040<l;;:18184f>10:<>=4=3:14?>?<2wx>::;:18184f>>0?4:522667>`5>3ty9m8=50;0x97g1?3;;?>52293f>=>33ty9;9<50;0x97g1>3>3;63=7509a6?6d0128:8?63=80a9<=56d022=2<70<8418f7<=z{;k>=7>52z?1e33=999870<71`8;<6=z{;=8i7>52z?1e32=<1=01?9{t:h?;6=4={<0b21<68:901?6>8;:;7>{t:>9h6=4={<0b26<30>16>:=l:d1:?xu5i=l1<75?9:9:0?xu5?:k1<7o5e2;8yv4f464;2794<:58918yv40=h0;6?u22`52>1>0348<9l4j389~w7g2m3:1>v3=a63955543483??47829~w71203:1>v3=a6290=1<5;=>47k<9:p6d3c2909w0?=6h=6;|q1e0e=838p1?o9f;3376=::18n656<;|q1302=838p1?o9e;6;3>;5?<>1i>74}r0b1g<72;q6>l8j:0207>;50;i145=4}r0417<72;q6>l8k:5:4?840=;0n?45rs3c6e?6=:r79m;j51110?84?:h034>5rs3564?6=:r79m;m54958971283o856s|2`7:>5<5s48j:n4>021897>50323?6s|266f>5<5s48j:o4;869>622b2l927p}=a4:94?4|5;k=n7??329>6=4121287p}=75a94?4|5;k=m7:77:?131b=m:30q~7}::h<3:?1<72=0190q~<84`83>7}::h<:6968;<040g9521eda>g0>3ty:i<750;0x94c7n3h=563>e2490=15`6b21om70?kfc82432<58o;87k<9:p5a`f2908w0?j0d8761=:9mli69<;;<3gbd01v8;296~;6m9o1n;74=0g01?2??2wx=ih=:18187cnk0?h>521ed1>`5>3ty:i==50;1x94c7l32nj63>dgc9550334;n<>4j389~w4ba13:1?v3>e1f9072<58nmm7:=4:?2`c?=j?30q~?j1683>7}:9l:o6o86;<3f71<30>1v=:18087b8j03ik521ed:>461<27:i=<5e2;8yv7cn10;6>u21d2`>14334;oj44;259>5a`?2k<27p}>e0494?4|58o;o7l99:?2a65=<1=0q~?kf183>7}:9ml269j<;<3gb5=16=h>>:d1:?xu6lo=1<7=t=0g3f?25<27:hk65436894ba?3h=56s|1d36>5<5s4;n5`552=2<7p}>ddd94?4|58nm47:k3:?2```=m:30q~?j0183>6}:9l:j65ki;<3gb2<68?>01{t9ml=6=4<{<3f4d<3:=16=ih8:507?87cn?0i:45rs0g20?6=:r7:i=o5b7;894c493>3;6s|1egf>5<5s4;oj:4;d29>5acb2l927p}>dgd94?5|58o;576jf:?2`c0=9953z?2a5?=<;>01;6lo?1n;74}r3f56<72;q6=h>6:c4:?87b;90?4:5rs0ff`?6=:r7:hk854e1894bbl3o856s|1edf>5<4s4;n<547eg9>5a`228:=863>dgg9a6?5`6?2=8?70?kf48761=:9ml?6o86;|q2a44=838p1;6m;l18594}r3gaf<72;q6=ih::5f0?87cmj0n?45rs0fe`?6=;r7:i=958dd894ba<3;;:9521edg>`5>3ty:hk=50;1x94c7?3>9863>dg69072<58nm?7l99:p5`762909w0?j068a2<=:9l8n6968;|q2``d=838p1;6llh1i>74}r3gbf<72:q6=h>9:9ge?87cn:0:<;:4=0feg?c412wx=h??:18187b8?0i:4521d0g>1>03ty:hho50;0x94ba;3>o?63>ddc9a6?n4?:2y>5`5128:8?63>e3090=1<58o;87672:p5`4e2908w0?j3482465<58o;87671:?2`c4=0>l0q~?j2`83>6}:9l9?6<><3:?2a55=01801{t9l826=4<{<3f76<68:901;6lo:14:h4}r3f6=<72:q6=h==:0207>;6m9;145<4=0ffb?>0n2wx=h<8:18087b;80:<>=4=0g34?>?:27:hhk586d8yv7b:?0;6>u21d13>464;27:hkh5890894bbl325<4s4;n>k4>021894bam323>63>dda9<2`94?:2y>5`4b28:8?63>dgf9<=4<58nnn768f:p5`442908w0?j2e82465<58nmo7672:?2``g=0>l0q~?j2383>67|58o9>7??329>530b212870?9688;<6=:9?521773>=>434;=8o47829>5321212870?9408;<6=:9?9h656<;<357252170;>=>434;=>>47829>537b212870?9188;<6=:9?;?656<;<354cn:9:0?8718<034>521723>=>434;>jo47829>50`1212870?:f08;<6=:95214f;>=>434;>h>47829>50eb212870?:c88;<6=:95214`3>=>434;>mo47829>50g1212870?:a08;<6=:9<3h656<;<36=25214:;>=>434;>4>47829~w4c593:1>v3>e339555434;n<>47809~w4c6i3:1>v3>e0c9555434;ojn47809~w4c6k3:1>v3>e0a9555434;ojh47809~w4c6l3:1>v3>e0f9555434;ojk47809~w4c6j3:1>v3>e0`9555434;oji47809~w4c6n3:1>v3>e0d9555434;n<<47809~w4c583:1>v3>e329555434;nv3>e0g9555434;n<=47809~w4eem3:1>v3>43d9<=6<58iii7??329~w4e6:3:1>>u2150e>=>634;h5f6c21=m70?l0d8;3c=:9j:m659i;<3ab2<:95e?87d8=03;k521b26>=1a34;h<;477g9>5f6021=m70?l098;3c=:9j:2659i;<3`4d=1a34;ijl477g9>5g`e21=m70?mfb8;3c=:9klo659i;<3ab`=1a34;h5f7621=m70?l1382465<5=hi<7670:?2g5d=0>l010;:4b>;4kk3145<4=0a20?>0n27:o<65892891`d?323>6s|1bd3>5<6;r7:8?h5e2;891dfm323?63;b`;9<2`<5=hhh7?>229>0gec28;9>63;bbf9544634>ioi4>132891dd?3;:>>524ca4>475:27?nn951002?82ek>0:=?>4=5``0?76::168om;:0316>;3jj>1=<<>;<6ag1<69;:019lla;3266=:512>212:70?lc68560=z{8n:<7>52z?201?=m:3019ll7;76e>{t9joi6=4={<370==>634;ho:49229~w4b7m3:1>v3>4549a6?<5=hh87;87:p5fc>2909w0?;448;<4=:9ji<6;<=;|q2`5b=838p1<:;5;g0=>;3jj>19:84}r3`a=<72;q6=9:;:9:2?87dk>0=><5rs0f3g?6=:r7:89:5e2;891dd<3?<96s|1bg4>5<5s4;?8>47809>5fe02?8;7p}>d1`94?4|58>??7k<9:?7ff2==>>0q~?le783>7}:9=>9656>;<3`g2<19m1v74=5``0?3092wx=nk::181873<8034<521bab>36e3ty:h=750;0x942393o8563;bbf91225127212:70?lc`854d=z{8n;47>52z?2016=m:3019lld;745>{t9jo86=4={<377c=>634;hol49089~w4b7>3:1>v3>42g9a6?<5=hhh7;96:p5fc62909w0?;3e8;<4=:9jij6;>7;|q2`53=838p1<:;3jjn198l4}r3`a5<72;q6=9=m:9:2?87dkh0=>85rs0f30?6=:r7:8>l5e2;891ddl3?>m6s|1bfe>5<5s4;??l47809>5fef2?8?7p}>d1194?4|58>8m7k<9:?7ffg==jo0q~?ldd83>7}:9=92656>;<3`gd<1::1v74=5``e?30?2wx=njk:181873;1034<521bab>3453ty:h=?50;0x942403o8563;bbc91205150212:70?lc`8564=z{8n;<7>52z?2061=m:3019lla;741>{t9jni6=4={<3773=>634;hol491e9~w4eam3:1>v3>4279a6?<5=hhm7;81:p5fb>2909w0?;358;<4=:9jij6;?=;|q2gcb=838p1<:<4;g0=>;3jjk19;84}r3``=<72;q6=9=<:9:2?87dk>0=<:5rs0aeg?6=:r7:8>=5e2;891dd<3?>n6s|1bf4>5<5s4;???47809>5fef2?:<7p}>cg`94?4|58>8>7k<9:?7ffg==7}:9=9;656>;<3`gd<18?1v74=5``e?32i2wx=nj::1818733b53ty:ok750;0x9423n3o8563;bb591fc512b212:70?lc685`4=z{8im47>52z?201c=m:3019ll7;743>{t9jn86=4={<370a=>634;ho:490b9~w4ea>3:1>v3>45a9a6?<5=hh;7;85:p5fb62909w0?;4c8;<4=:9ji<6;>m;|q2gc3=838p1<:;b;g0=>;3jj=19::4}r3``5<72;q6=9:n:9:2?87dk>0=5<5s4;?8:47809>5fe02?:27p}>cg194?4|58>?;7k<9:?7ff1==?<0q~?lcd83>7}:9=9h656>;<3`g2<1811v74=5``3?32j2wx=nmk:181873;8034<521ba4>3613ty:ok?50;0x942493o8563;bb6910g87674:?0b7`=01;01>h=4;:;5>;4n8n145=4=2d3a?>0n278j<<5893896`60323?6301>j?5;:4b>;4l9<145?4=2f33?>?:278j>;5892896b71323>637:77:?0b00=99987p}|5:l::7674:?0gg0=01901>h>4;:;6>;4l131i>74=2d04?>?:278oh<5891896`4n3238637c712l9270=lfb8;<1=:;jnn656<;<1e52=:9:7?85dm803495rs2aa1?6=:r78oo85e2;896ee?323<6s|3cf:>5<5?r78oi=5892896ec:323<63mld;:;4>;4kji145>4=2a`f?>?8278ono5892896ed1323<63ml4;:;4>;4kj9145>4=2a`6?>?8278on?5892896ed8323<63mmc;:;4>;4kkk145>4=2ag=?>?8278oi65892896ec?323<63mk1;:;4>;4kkh145>4=2a`2?>?8278h=m586d896db93o8563j?9;:;5>;4l9k145>4}r1``c<72;;p1>mk3;:;5>;4km8145?4=2ag4?>?9278onh5893896edm323=63mla;:;5>;4kj3145?4=2a`?9278on95893896ed=323=63ml1;:;5>;4kj:145?4=2aab?>?9278ook5893896eel323=63mk8;:;5>;4km=145?4=2ag2?>?9278oi;5893896ec<323=63mj0;g0=>{t;m<>6=4={<1`gg0n278hoj51110?xu4lkl1<7?8278hoh51110?xu4l8?1<76t=2f22?c41278j<:5891896`48323?63l01>mm1;:;5>;4ml9145>4}r1`e6<721q6?noj:9:3?85d1l034=523b;g>=>7349h5=47819>7f>a212;70=la58f7<=:;j32656?;<1`=2jn9;296~;4kho145?4=2fb=?77;:1v>m62;29f~;4kho145<4=2a:a?>?:278o4j5890896e>8323>63mnd;:;0>;4kh:145:4=2a:b?>?<278o4<5e2;8yv5d1=0;65u23bcf>=>4349h5h47829>7f?c212870=l918;<6=:;j2m656<;<1`=303495rs2fa1?6=:r78o4k5893896be=3;;?>5rs2fa7?6=:r78o4j5893896be;3;;?>5rs2fb`?6=:r78o4>5893896bfl3;;?>5rs2fbf?6=:r78o5h5893896bfj3;;?>5rs2ab1?6=;r78ol85e2;896ef:32386344?:5y>7c5621=m70=i2`8f7<=:;m:h656<;<1e5ah=6;297~;4n:;145?4=2d12?c41278j?l586d8yv5a=:0;6>u23g12>=>5349m994j389>7c3221=m7p}h<7;:;6>{t;oh?6=49{<1g37=>6349m5f7?21297p}768f:?0gd`=0>l01>j>4;g0=>;4kok145?4}r1g54<72:q6?nl=:9:3?85dio034=523e31>`5>3ty8oo>50;1x96ee:323=63=>5349o7`c42l9270=la68;3c=:;j38656>;|q0gcb=83=p1>mkb;:;4>;4koo1i>74=2aeb?>0n278oo9586d896eai323?63w0=m5e8f7<=::9h8656<;<03f7=lm:9:1?847jm034<5rs2`67674:p7g3b290?w0=m5g8f7<=:9=h;656=;<37e=:9:1?xu4j<81<78t=2`67?c41279?n<5892897408323<63=3b29<=4<5;89=7672:?66d`=01:0q~?lc583>1}:9ji>6h=6;<3`g5r78n8l5e2;894cd8323=63>e8c9<=4<5<8i=7673:?2a{t;k?=6=49{<1a12=>634>>9:47839>063421297p}97k<9:?1155=0>l018{t;k<;6=4:{<1a24l8:9:7?854l003495232;6>=>534985>47819~w6d283:19v37673:?2b17=01901;6n=o145?4}r1ef3<72=q6?nk7:95e?87d980n?4523bg5>=>6349o0;g0=>;4kk3145>4}r1eec<72523e2f>=>534;h7fd>212:7p}:43`94?1|5<9;<7k<9:?676e=019018=<6;:;7>;2;;i145<4=40a5?>?<27>?>6586d89054m323?6s|3eg2>5<5s49m9:477g9>7ac628:8?6s|3eg1>5<5s49m9:47819>7ac528:8?6s|3eg0>5<5s49m9:47809>7ac428:8?6s|3eg7>5<5s49m9:47839>7ac328:8?6s|3eg6>5<5s49m9:47829>7ac228:8?6s|3eg5>5<5s49m9:47859>7ac128:8?6s|3g30>5<3s49m=94j389>7c7>212870=i098;<5=:;o>o656<;|q0b6d=83;:w0=i558;<7=:;o8m656=;<1e63523g2a>=>3349m=547839>7c2d212;70=i4d8;<7=:;o?8656>;<1g409:95e?85c8>034=523g63>=>3349m>h47859>7a6f212:70=i3c82465h=9;:;4>;4n8i145<4=2d2a?>0n278j?>5896896`7m323?63h?7;:4b>;4n=i14:h4=2d7a?>?9278j8=5896896`39323?63h<2;:;7>;4n:?145<4=2f3=?>0n278h=o586d896bb;3>3;63l0q~?;6183>7}:9=<9659i;<3`6<<67}:9=<9656?;<3`6=<6<6}:=:8h6h=6;<706g=n:95e?xu2;:;1<7=t=411b?>?827>?>>589289054:3o856s|520;>5<1s4?8?l47809>164c21=m70;<808;<4=:=:8j6h=6;<7071;8:9:1?xu3k=;1<7??{<6`11=>634>h9n47809>0f3b212:70:l618;<4=:03;k524c`0>=>734>in<47819>0f2f21=m70:m9`8;3c=::6<><3:p0f342909w0:l558f7<=:;3k?=1>hj4}r6`12<72;q68n;7:d1:?82d>>09ih5rs5a6=?6=:r7?o8o5e2;891e1?38nj6s|4b7a>5<5s4>h9n4j389>0f002;l;7p};c4f94?4|5=i>i7k<9:?7g31=:o;0q~:l5g83>7}:1v9m91;296~;3k?81i>74=5a53?3fj2wx8n99:180820l=034<524c56>=>634>iol49139~w1e?<3:1?v3;7e69<=4<5=h<97672:?7ff2=>;:0q~:l6e83>6}:<>n?656<;<6a30o1<7=t=55g0?>?<27?n:;5896891ddl3<;;6s|4b:e>5<5:r7?;i:5e2;891d08323863;8c69<=4<5=38<7674:?7=64=01>0196n1;:;6>;31:>145=4=5;01?>?;27?5>95891891?40323>63;88g9<2`<5=2h>7673:?7=01;0196n9;:4b>;31:h145:4=5:a1?>?<27?4ok5896891>en323?63;8`d9<=5<5=2i<7674:?7f00=01>0196nb;:;0>;30hi145=4=5::`?>?827?4475893891>>i323?63;b4:9<=5<5=h>57673:?7;31:n145=4=5;0a?>?;27?oh75893891>f83;;:95rs5a4=?6=:r7?o4=5893891ddi3<9=6s|4b:4>5<5s4>h5>47839>0ge32?887p};c6294?4|5=i2?7673:?7ff1=>;80q~:l8383>7}:;?03;k5249;e>=>734>2?o477g9>0=dc21=m70:m578;3c=:<1i8656>;<6;f==>534>35o47839>0g3d212;70:kdc8;<4=:;<6gf4;3j<;145>4=55`e?>?827?n965892891d3?323?63;7ea9<2`<5<8j87k<9:p0=c1290?jv3;7e`9<=6<5=2n;7k<9:?7=14=0>l0197?2;:;4>;3199145>4=5;30?>?827?5=?5892891?7=323<63;8g39<=6<5=3:?7672:?7=4>=0190197>a;:;7>;3183145<4=5:ea?>?827?45?5893891c4032;30m814:h4=5:g0?>0n27?4i8586d891>c032l0196j0;:4b>;30l814:h4=5g7b?>?827?4hk5896891>b1323?63;8d69<=4<5=3:87674:?7a66=01;019673;:;4>;301=145>4=5:;1?>?827?n;l589089054:323<63;8909<=6<5=23m7670:?7<=6=01;019661;:;5>;3j8n145?4=4177?>?927?4?=5893891d1=323>63;7bd9<=7<5=2m57671:?7;319:145?4=5:e`?>?927?4ko5893891>a0323=63;8g59<=7<5=3;h7671:?7=5c=01;0197?f;:;5>;319i145?4=5;24?>?927?5=l5893891?7i323=63;8g`9<=7<5=2m>7671:?7;30ll145?4=5:e1?>?927?5<<5893891?69323=63;8da9<=7<5=nj47671:?7f07=01;0199la;:;5>;3j=2145<4=5`73?>?:27?;im58928yv20l00;6hu246fa>=>634>0g0e212870;<338;3c=:=>534>i9<47839>02ef212970:m498;<6=:<656?;<64`fni6h=6;<6;45=:9:0?820m?034?5246gb>=>434>02cb212;70:8f18;<5=:<>l9656?;<64b1=>734>0=73212;70:7178;<5=:<1;3656?;<6;5d=>734>3>?47819>0=61212:70:70`8;<4=:<1:n656>;<6;57>:9:0?82d>=034?524c46>=>434>i8k47839>0g2b212870:l3d8;<7=z{<8>i7>511y>0g0?21=m70:m3g8;3c=:=1a34>i:o477g9>0gd0212:70:m9`8;<4=:i656?;<711`<68:9019l;2;:4b>;3j=914:h4=5`70?>0n27?n;7586d8yv2?:=0;6iu24c4;>=>634>i?k47859>0gge212?70:7298f7<=:=>434>i8?47859>0g24212?70:m458;<1=:;|q73`>=83op19l6d;:;7>;3?l31i>74=5`:f?>?;27?n4m5891891d>m323?63;b8d9<=5<5=hj<7673:?7fd7=019019ln2;:;7>;3jh9145=4=5`b0?>?;27?nl;5891891>78323<63;d`:9<=5in54?:4y>0g?c2l9270:mce81=5=:?:27?o985893891dfj323<63;b4`9<2`<5==ho7673:?7g1?=019019m97;463>{to6=4;{<64a<=>43ty?nn?50;7x91d>j3o8563;bbf96{t094k524ca7>7>a34>iol4=8g9~w1de13:19v3;b8g9a6?<5=hhh7<61:?7ff1=:0;019ll4;0:5>;3jjk1>4?4}r6afd<727?534>io94=939>0gef2;397p};bc`94?3|5=hj<7k<9:?7ffb=:0>019ll7;0:0>;3jj>1>4:4=5``e?4><2wx8oll:18682ei80n?4524cag>7?234>io:4=949>0ge32;3>70:mc`81=0=z{=hih7>55z?7fd4=m:3019lld;0:2>;3jj=1>484=5``0?4>>27?nno52848yv2ejl0;68u24cc0>`5>34>ioi4=969>0ge02;3<70:mc581=2=:;3jjn1>464=5``3?4>027?nn:528:891ddi38246s|4ca3>5<2s4>im84j389>0gec2;3270:mc681=<=:?<27?n8o58968911di323?63;b5d9<=5<5=i8i7673:?7f12=m:30q~:8fg83>4>|5=2;<7k<9:?73`1=0190199jb;:;7>;3?ln145=4=55fb?>?;27?;k?58918911a;323?63;7g79<=5<5==m;7673:?73c?=0190199ib;:;7>;3?on145=4=5:21?>?;27?4<95891891>61323?63;80`9<=5<5=2:h7673:?7<4`=0190196=1;:;7>;309=145=4=5:3f?>?;27?4=h5891891>6;323?63;8119<=53<<4?:0:x91>7:3o8563;7d59<=2<5==nn7674:?73`b=01>0199jf;:;0>;3?o;145:4=55e7?>?<27?;k;58968911a?323863;7g;9<=2<5==mn7674:?73cb=01>0196>5;:;0>;308=145:4=5:2=?>?<27?46l323863;80d9<=2<5=29=7674:?7<51=01>0196?b;:;0>;309l145:4=5:27?>?<27?4==58968yv2e=h0;69u246g5>=>334>i9l4j389>0g36212?70:m538;<1=z{<93<7>59z?7f02=01:0197;2;:;6>;2;1:1===<;<70<4=>634>35o47819>0ad2212:7p};b4194?g|5=h>87k<9:?7<<`=0>l0196l0;:4b>;30j914:h4=5:a=?>0n27?n8m586d891bcl323=63;de39<=7<5=nh97671:?7`g?=01;0q~:l7683>6}:<>n>656>;<64`3?:27?;i85890891dd<3<9=6s|4b4f>5<4s4>02b1212870:mc68565=z{=i53z?73a3=01>0199k6;:;0>;3jjn1:<<4}r6`=5<728;30k>145:4=5:b5?>0n27?4l=5892891?4132768f:?7=0190196n9;:;4>;30k?145<4=5:`4?>?827?4ll5892891>>l323863;8c;9<=6<5=2247??659>0g3028:=863;8b69<2`<5=38h768f:?7=6c=0>l019jk5;:;5>;3ljh145?4=5aff?>?92wx8:j::18a82e?903;k524813>=>634>2??47809>0<5321=m70:6348;<5=:<09i656>;<6;f`=1a34>3m;47819~w1e?k3:1>=>734>3n9477g9>02b62l9270:7a08;<1=:<09?656>;<6:70;1034=5249;f>=>534>3o?47819>0=g>212970:7b48;<4=:<1hn656?;<6;fc=>734>3mo47839>0=gd212;70:79e8;<6=:<1k9659i;<6;=<=>734>3o947839>0=d121=m70:63b82432<5=n3<7671:?7`g`=01;019mj3;:;5>;30h?145>4=5:b2?>?;2wx8n6m:1816~;3j>:145?4=55g4?c4127?4o:5891891>f9323<63;9269<=4<5=38:7670:?7=61=01>0197<8;:;5>;300o145?4=5:`6?>?927?4l65890891>f1323=63;8c79<=6<5=2ii7671:?7;30k:145=4=5`62?>?927?4ll586d891>fk323=63;92d9<=6<5=2h?7673:?7<;300314:h4=5::e?>0n27?n875893891>e>323<63;92f9<=6<5=38i7672:?7`2c=01;019mj1;:;5>;30h?145=4=5:b2?>?<2wx8n6k:1810~;3j>:145<4=55g6?c4127?4o:5892891?48323>63;9209<=4<5=2j=7671:?7=63=0180197<6;:;5>;31:=145?4=5::a?>?827?4n<5890891>f0323<63;8`;9<=5<5=38n7672:?7l0196me;:;6>;30kl145?4=5:bb?>?927?4o>5893891d2>323>63;8``9<=5<5=2jo7672:?7=6`=01;01966d;:4b>;30h8145?4=5::=?>?<27?44o5893891d20323<63;b4;9<=4<5=2i:7671:?7=6b=01;0197;3l18145?4=5af1?>?927?4l;5893891>f>323=6s|4b:f>5<5=r7?n:>58918911c;3o8563;8c69<=7<5=38<7673:?7=64=0190196n1;:;7>;31:>145:4=5;01?>?<27?5>95890891>>m323?63;8b09<=2<5=2j4768f:?70197;30k?145=4=5:aa?>?;27?4oh5890891>fn323>63;8c29<=6<5=h>:7673:?7;31:l145<4=5::`?>?:27?4l<5890891>>1323>63;88c9<=4<5=h>47671:?7f0?=01>0196l4;:;4>;30k<145<4=5;0`?>?:27?5>k5893891b?<323=63;cd59<=7<5=2j97672:?77}:?927?nno56328yv2d0?0;6>u246f4>=>534>0ge32?897p};c7d94?5|5==o;7673:?73a>=019019ll7;415>{tq68:j8:d1:?82?i:03;k524817>=>734>2?:477g9>0<5?21=m70:79g8;<6=:<1k3656;;<6;fa=>334>2?k477g9>0=e4212;70:7988;<5=:<13j656;;<6a1=;l0349524eg2>=>634>ooi47809>0ae4212:70:lee8;<4=:<1k>656;;|q7g25=838p199k2;:;5>;3jjk1:=84}r6`<5<72;q68:j=:9:1?82ek=0==?5rs5a5f?6=:r7?;i<5891891dd?3<;;6s|4b5`>5<5s4>0gef2?n:7p};c6394?4|5==o<7671:?7ff1=>;90q~:l6`83>7}:<>n;656=;<6ag1<18?1v9m78;296~;3?m:145=4=5``0?0c92wx8n9n:181820l90349524cab>3453ty?o::50;0x911c;323=63;bbc9251h4>4?:3y>02b4212970:mc5855a=z{=i=o7>52z?73a5=019019ll7;426>{t5<5s4>0ge02?n:7p};c6794?4|5==o=7672:?7ff2=>9=0q~:l8883>7}:<>n:656<;<6ag2<18?1v9m8b;296~;3?m;145:4=5``e?05;2wx8:j8:18482>;9034=524811>=>734>2?8477g9>0<5e212;70:7b68;3c=:<>n36h=6;<6;e374=5;0?<27?4l<5896891>d<323?6s|4812>5<4s4>2??4j389>0<5?212870:7a38;<6=z{=hjm7>53z?7fdc=01>019lnb;g0=>;3jk;145:4}r`61?6=:r7?i>k5e2;891c4n3>3;6s|4ga;>5<0s4>i:<47819>0`2>212870:m4`8;<7=:;656>;<6;a1<3:p0`54290=w0:7e68;<5=:m:95e?82b;:0:<>=4=5g66?>0n2wx8:k;:187820m<0n?45246g2>=>434>35947809>0=?221297p};7eg94?5|5==n=7672:?73a`=m:301968a;:;0>{t?:323=6s|460b>5024e2l9270:61b8;<4=:<12m656=;<6:5`52483e>=>534>35947859>0=?221287p};93394?e|5=39?768f:?7=70=0180197=2;g0=>;31;=14:h4=5;10n27?5?>586d891?6k32l0197=b;:4b>;31;n14:h4}r6463<72=q684<<:9:2?82>:9034=52495e>=>634><>:4j389~w1?5<3:1ov3;9319<=2<5=3997k<9:?7=70=0>l0197=7;:;6>;31;2145:4=5;14?>?:27?50197=d;:;0>{t==2i6=4:{<6:667ed34??>i4=cb9~w02?k3:19v3;9349a6?<5<>8:7;2<;n1>nk4}r777ea34???=4=cg9>114c2;im7p}:49g94?3|5=3947k<9:?6060=:m:018:<3;0g4>;2<::1>i>4=461`?4c82wx996n:18682>:90n?4525515>7ee34???>4=cc9>11572;ii70;;2e81gg=z{==947>57z?737?=m:301968a;:;7>;318o145<4=5:4a?>?927?5><323>63;8879<=734i4?:4y>0=>b2l9270:7918;<4=:{t==396=4:{<6:5f7b334??>i4=d59~w1c7>3:1?v3;7ec9<=5<5=o;i7k<9:?7<7>=0190q~:64183>6}:<0>9656>;<6:048:9:2?xu31921<7<:{<6:4<8:9:0?82?n803495249gf>=>634>2=947839>0=4d212970:72e8;<7=:<1>8656<;<6;0=:9:0?82?:o034>524973>=>734>39<47819>0=35212;70:74g8;<5=:<1?8656?;<6;0`=>534>3??477g9>0=5421=m70:7358;3c=:<19;659i;<6;70=1a34>3>l47839>0=5f21=m70:73d8;3c=:<1>?659i;<6;03=1a34>3?;477g9~w022j3:1:v3;87:9<=7<5=<847670:?7<15=01:0197;d;:;7>;31<:145?4=461`?06:2wx8o9>:18682?kj034=5249af>=>734>3o:47819>0=e>21=m70:m738a2<=z{=h8o7>52by>0=ed212:70:7cd8;<4=:<0:9656>;<6:46;:9:2?82>88034<524826>=>634>3j<47809>0<74212:70:6198;<4=:<0;j656>;<6:5<034<5249a:>=>534>3ih47829>0=c321=m70:6158;<6=:=>734>3ji47819>0=`f212;70:7f98;<5=:<1l<656?;<6:4aj:9:3?82>8o034=52482`>=>734>2==47819>0<6e212;70:60`8;<5=:<1li656?;<6;b7=>734>3j847819>0<75212;70:6108;<5=:<1oh656?;<6;aa74=5:`b?>?;27?4i?5891891>c:323?63;8e69<=5<5=2o:7673:?7=0190196k9;:;7>;30mh145=4=5:ga?>?;27?4h>5891891>b:323?6s|4d6b>5<3s4>3oh477g9>0`2e2l9270:7c68;3c=:n656>;|q7;30jl145<4=5:g5?>?:27?4i<5892891>c<323<63;8e49<=6<5=2o47670:?7;30mo145>4=5:f4?>?827?4h<58928yv2?:<0;6?u24905>`5>34>3>447839~w1?2m3:15v3;94d9a6?<5=3hh7673:?7a1?=01:0197;6;:4b>;31o314:h4=5c32?>0n27?m<=586d891?>:323<63;e569<=4j8;4?:2y>0j656>;|q7=fe=83;2w0:6ce8f7<=:<0??656=;<6:g4no034?524`2`>=>534>jm>477g9>0d71212870:nag8;3c=:<0<:656;;<6:a7=>334>jhi47859>0dc>212?70:nf48;<1=:=1a34>j?<47829>0d3021=m70:n658;3c=z{=3ii7>58z?7e13=m:30197:e;:;5>;31>:145?4=5c44?>?<27?5k:5893891g79323=63;a1g9<=7<5=3h:7670:p0<3d2903w0:65e8f7<=:1?03;k5248:4>=1a34>2m5477g9>0;3i9<145>4=5c27?>?827?5i=586d891?bi32l0q~:6d083>3}:<0n96h=6;<6:2a=>734>2o:47809~w1?dn3:1>v3;9e29a6?<5=h?m7670:p0d0c290>w0:n6d8f7<=:<0;<6:b2;:9:2?82f98034<5rs467;:0q~;;5b83><}:<1<2656>;<6:24>=03;k524967>=>634><4447809>0231212:70:8568;<6=:==9=6;<=;|q600b=83=p1969a;:;5>;31?l145>4=5;43?>0n27?:>o5892891>3>323=63;79c9<=7<5<>8:78=3:p1105290=w0:76g8;<4=:<0in656?;<6:`13443ty?5=850;;x91?7?3o8563;9109<=4<5=3;?7672:?7=52=0180197?5;:;6>;30=314:h4=5:7e?>0n27?49l586d891>3k325<1s4>3:n47809>035d212;70:7488;<7=:<02m656<;<6:=0l1<78?j55028yv33=80;68u2497g>=>634>jm>47829>034b212;70:na68;<7=:==986;;3i9k145=4}r6b04<72hq68l:=:d1:?82>=l034?5248d7>=>534>j<<47839>0d6b212970:n8d8;<1=:<0>j659i;<6:jj03;k5rs5;fb?6=;r7?5k?5e2;891g>:323?63;9g29<=529l4?:3y>0<3e2l9270:6508;<7=z{=k??7>56z?7e12=m:3019o80;:;4>;31=o145>4=5;;4?>?827?5l?5892891?e9323<6s|48d`>5<5s4>2jh4j389>0<`c21287p}:45;94?1|5=2<87671:?7e4c=0190198=6;:;4>;3i;8145<4=5c2b?>?<27?49?58938902483<9=6s|557b>5<1s4>3::47809>0g6c212970:9368;<5=:?927?:>j5892891>3i323>63;9`29<=5<5=3j?7670:?607b=>;;0q~;;8183>7}:<0:86h=6;<776a<2981v8::2;291~;30?;27?:?h5892891ge<323>63:4219277j854?:7y>0d2>2l9270:n998;<5=:<0>j656=;<6:jj034?5rs467e?6=?r7?4:;5893891g5j323=63;6359<=6<5=k9j7672:?7e7e=01>0196;5;:;5>;2<::1:?<4}r7724<72?q6858j:9:2?821;l034=52496a>=>534>2n=47829>03=7>52z?7=52=m:3018:=d;726>{t==?86=4:{<6;1c=>534???>49239~w1?bi3:1?v3;9d`9a6?<5=3n57670:?7e=>=0190q~:6c`83>7}:<0ii6h=6;<6b<`?827?4965892891?0n323?63;9909<=6<5<>9h78>d:p113?290=w0:7658;<4=:656=;<657037c3ty>89950;7x91>0:323<63;9gd9<2`<5=<987670:?7<6b=01;018:<0;42`>{t===n6=4={<6:44?927?m5<589189105k323<63:421924b2hn4?:4y>08?j55018yv33=>634>j?:47809>034?212;70:n3e8;<7=:1<7=>634>jo847839>0356212;70:nc98;3c=:==986;<<;|q6000=83;3imn145<4=5407?>?827?mh>586d891>40323=63:42492510=3d212:70:n928;<7=:9=0q~;;4e83>0}:<1=3656>;<6b123603ty?4k;50;:x91>a9323?63;9019<=5<5=3:57673:?7<15=01;0196;8;:;5>;30:;145?4=5:1b?>?927?4k85e2;8yv33?90;6?u249d2>`5>34??>i4;f69~w022=3:1:v3;8739<=7<5=ko=7672:?7264=01:019ok4;:4b>;30:<145?4=4602?07>2wx997::18482?=h034=524`;a>=1a34>=>?47819>0=4a212?70:7f18;<7=:k1v8:;5;293~;30?:27?:?<5893891>5n323<63;8g29<=7<5=k3m768f:?607b=>9<0q~;;6283>3}:<1=;656>;<6:a<mj03;k524914>=>634???=49079~w023k3:19v3;8659<=7<5=k8j7672:?727?=01:019o;b;:4b>;2<:91:=84}r7703<72==03;k524700>=>734>3?o47809>11572?;97p}:45g94?3|5=2>57671:?7e32=0190198=b;:;4>;3i?3145<4=4607?06:2wx99;6:18582?><034<524c22>=>534>=?;47819>0g6321=m70:73d8;<4=:==9=6;;31>h145<4=5c:f?>?:2wx849>:18182>?;0n?452485a>=>63ty?5<:50;7x91?6;323863;90:9<=2<5=3:m7674:?7=4?=01>0197>4;g0=>{t==2>6=4:{<6:56;2<;n1=53z?7=4>=0180197>a;:;6>;318=1i>74}r77<3<72;2<::1==83?p197>a;g0=>;2<:<1=0:p11>0290>w0:6188f7<=:==9863:?6065=98;970;;3282547<5<>8?7?>119~w020j3:1>v3;8gg9a6?<5<>9h7;?2:p1130290=w0:7628;<4=:3753ty?n;k50;3082?0803;k524c1e>=>534>3;n47819>0=?1212;70:7828;3c=:<12<659i;<6;<0=>734>35<47819>0g02212:70:8de8;<7=:<122656?;<6a07=>634?9m>4j389~w1e3=3:1?v3;c549a6?<5=i?97671:?7fd0=0180q~:ma983>6}:?:27?nh:5e2;891e3i323>6s|46fa>5<5s4>02bd2l927p};7bf94?70s4>02cf212?70:8eb8;<1=:<>on656<;<64b55246d5>=>434>02`f212870:8fb8;<6=:<1;?656<;<6;5352493`>=>434>3=h47829>0=47212870:7238;<6=:<1:=656<;<6;4dj:9:0?82?9;034>5rs5d04?6=9:q68h;>:d1:?835:10349525337>=>334?9>k47859>1740212?70;=2d8;<1=:=;8=656;;<716a=>334?9>l47859>1743212?70;=288;<1=:=;;i656;;<7160i:9:7?835:90349525333>=>33ty?n<650;0x91d613o8563;fb69<=6mii4?:5y>0ce3212:70:7578f7<=:;656;;<6eg2145=4=5d74?>?;27>8?o5e2;8yv358m0;68u2530;>=>434?9>:47829>1741212:70;=258;<7=:=;886h=6;|q665g=833p18<>4;:;7>;2:89145=4=4026?>?;27>>{t=;;h6=46{<716c52530`>=>434?9>o47829>174f212870;=288;<6=:=;;i656<;<715a5;29=~;2:;8145=4=4015?>?;27>>9;:;7>;2:8<1i>74}r6f14<72oq69?<=:9:7?8359:0349525302>=>334?9=?47859>177a212?70;=108;<1=:=;;n656;;<7174034952532f>=>334?9=l47859>176d212?70;=188;<1=:=jm:95e?847m103;k5221g0>=>4348;jo47829>65`f212870=hi:9:0?84689034>522022>=>4348:6464212870<>058;<6=::8:>656<;<0243<>7:9:0?8468>034>52202:>=>4348:646e212870<>0b8;<6=::8:o656<;<024`<>i:9:0?84699034>522032>=>4348:=>47829>6475212870<>158;<6=::8;>656<;<025352203:>=>4348;h8477g9>65bc21=m70<;n:0207>;58l<14:h4=32f5?>?9279=n9589289772j323863=1b79<2`<5<8i;7673:p65d3290?w0=l8:9:0?xu4jj91<7>i758928yv7amk0;6>?:27:j:o586d894`1032f7a9<2`<58l<5768f:?2b22=01901;6n1h145=4=0d;a?>?;27:j5j5891894`?n323?63>f829<=5<58l2=7673:?2b<4=01901;6n0>145=4=0d:1?>?;27:j485891894`>?323?63>f8;9<=5<58l247673:?2b;6n0i145=4=0d:`?>?;27:j4k5891894`>n323?63>f`29<=5<58lj=7673:?2bd4=01901;6nh9145=4=0db1?>?;27:jl85891894`f?323?63>f`:9<=5<58lj57673:?2bdg=01901;6n?o14:h4=0d5b?>0n27:j;j5892894`?032fd`9555434;m;:477g9>5c15212:70=;9:95e?xu6n=91<7:t=0d76?c4127:j9o586d894`39323=63>f5:9<=55c232l9270;=e48;<1=z{:h=?7>52z?2b10=m:3018{t9o1034>521g4`>=>334;m;447829>653?212870?ieb8;<7=z{;:o57>57z?14`?=01901?>k8;g0=>;58m=145=4=32gf?>?<279653f212:701;290~;59j3145?4=33ab?>?8279=n?58928977a93o856s|447:>5<2s4>>9l4j389>003>212870::638;<5=:<<<;656?;<6610=>734>?5>47819>01?3212;70:;978;<5=:<=3:656>;<67e0=>734>?994>0218yv23>;0;6hu245;1>=1a34>?5>477g9>01?321=m70:;978;3c=:<=3:656?;<677c=>634>?9>477g9>012621=m70:;a18;3c=:<=<96<><3:?7003=0>l0q~:;3b83>0}:<=9m659i;<6707`5>3ty?89>50;7x9124n323>63;4509<=4<5=>??7672:?706c=018019:;1;g0=>{t<<2h6=4:{<66=1a34>>5<477g9~w15b13:1?v3;3d:9a6?<5=9nj7670:?77c6=0>l0q~:7}:<:o=6h=6;<60b61i>74=51f5?>?<27??k<51147?xu3;li1<7u242d:>`5>34>8j;47829>06cb28:=86s|17a7>5<61r7::n>58968940d0323863>6b39a6?<5801<8k6;:;0>;6>mk145:4=04g?<27::im58968940b>323863>6dc9<=2<5801<8i6;:;0>;6>ok145:4=04e?<27::km589689417>323863>71c9<=2<58=;47674:?235e=01>01<9>6;:;0>;6?8k145:4=052?<27:;=>534;=oi47839>5240212970?9c38f7<=z{8518y>53ec2l9270?9cb8;<1=:9?n9656;;<35`5=>334;=i=47859>53c3212?70?9ed8;<1=:9?l9656;;<35b5=>334;<<=47859>5263212?70?80d8;<1=:9>;9656;;<3455=>334;<>=47859>5243212?7p}>73:94?5|58=9:7674:?2371=m:301<9=a;:;0>{t9>i86=46{<3;025216a2>=>434;i?i47839>5g25212:70?8dg8;<7=:9k=<656<;<3;6g:53b?87?;90?=l52190e>17f34;3>h4;1`9>5=4c2=;j70?75d875d=:91?o69?n;<3;1f<39h16=5;m:53b?87?=h0?=l52196e>17f34;38?4;1`9>5=502=;j70?72b875d=:918269?n;<3;01<39h16=5:<:53b?87?<80?=l521963>17f34;3?k4;1`9>5=5b2=;j70?73e875d=:919h69?n;<3;7g<39h16=5=n:53b?87?;00?=l52191;>17f34;3?;4;1`9>5=522=;j70?735875d=:919869?n;|q0fde=838p1>hi1;g0=>;4io9145?4}r1aea<72;q6?kh=:d1:?85e89034<5rs2`ba?6=:r78jk=5e2;896d6?323=6s|3cce>5<5s49mj94j389>7g7e212:7p}7}:;ol=6h=6;<71b<lm2;296~;4nj91i>74=2`2b?>?92wx?ol<:18185ak=0n?4523c03>=>63ty8no;50;0x96`d13o85637cee2l9270=nf98;<4=z{:hi;7>52z?0bfc=m:301>oi9;:;5>{t;kh36=4={<1egc`5>349jjn47809~w6dej3:1>v3;|q0fgb=838p1>hk6;g0=>;4iol145?4}r1af`<72;q6?kj7:d1:?85e88034<5rs2``4?6=:r78jij5e2;896d7=323=6s|3ca2>5<5s49mhh4j389>7g61212:7p};2`394?4|5:lh<7k<9:?747}:;oi:6h=6;<63=dhi7;290~;380k145:4=2dab?c4127>>o>58938914>1323?6s|41c2>5;5l4j389>05?5212870:>678;<7=:<8=>334>::547839>0415212?70:>6`8;<7=:<8<:656;;<623==l:181824;k0n?45243gf>=1a3ty??>850;0x9154?3o8563;32;9<=68<54?:3y>066e2l9270:<088;3c=z{=8o87>5dz?771e=018019;3:l>145<4=50g3?>?927?>i<58918914c>323>63;2`49<=4<5=8n<7672:?771c=018019;3:m>1i>74=50f?<27?>h<58908yv24`5>34>9hh47859~w14ci3:1?v3;2e;9<=6<5=8nm7670:?76ab=m:30q~?j9883>47|58oj4768f:?2a;6mh3145?4=0gb2?>?927:il:5893894cf:323=63>e`29<=7<58o2i7671:?2a<1=01;01;6m09145?4=0g:5?>?927:i5h5893894c?l323=63>e9`9<=7<58o3m7671:p51d62908w0?;b18f7<=:9=h8659i;<37ec63>4`f9<=451g22l9270=m268;<1=z{:hhn7>52z?20d2=m:301>l=4;:;0>{t;kij6=4={<37e6:58918yv5ek10;6?u215c2>`5>349i??47829~w6dd?3:1>v3>4`29a6?<5:h9:7673:p7ge12909w0?;9g8f7<=:;k88656<;|q0ff3=838p1<:6e;g0=>;4j;;145=4}r1a`6<72;q6=97k:d1:?85e;:03495rs2`g6?6=:r7:84m5e2;896d4932386s|3cf2>5<5s4;?5o4j389>7g4a212?7p}2m7k<9:?0f7b=01>0q~=mcg83>7}:9=326h=6;<1a6glle;297~;6<021i>74=40ga?>?927>>ih58968yv5ekm0;6?u215;5>`5>34?9h447829~w6dd<3:1?v3>4859a6?<5<8i;7674:?66g>=01>0q~=77|5:>:47674:?07g1=m:301>=m6;:;0>;4;ok145:4=21ae?>?<278?km58968965ek323863<3gg9<=2<5:9ii7674:?0056=01>01>=l0;:;0>;4<98145:4=21`6?>?<2788=:58968965d<323863<4149<=2<5:9h:7674:?005>=01>01>=l8;:;0>;4<9k145:4=21`e?>?<2788=m58968965dk323863<41g9<=2<5:9hi7674:?0046=01>01>=k0;:;0>;4<88145:4=21g6?>?<2788<:58968965c<323863<4049<=2<5:9o:7674:p76bf2909=v3<42:9<=2<5:9o57k<9:?07a>=01>01>:>a;:;0>;4;mi145:4=262g?>?<278?ik589689626m323863<3d29<=2<5:>9<7674:?07`4=01>01>:=2;:;0>;4;l>145:4=2610?>?<278?h8589689625>323863<3d:9<=2<5:>947674:?07`g=01>01>:=a;:;0>;4;li145:4=261g?>?<278?hk589689625m323863<3g29<=2<5:>8<7674:?07c4=01>01>:<2;:;0>;4;o>145:4=2600?>?<278?k8589689624>323863<3g:9<=2=68;:;6>;4;h:145=4=21:e?>?:278?l<58918965>k323?63<3`69<=7<5:9j:7672:?07dc=01901>=n8;:;7>;4;k:145?4=21be?>?;278?o<58938965fk323=6s|3421>5<6l589189654i323?63<32;9<=5<5:9847673:?0761=01901>=<6;:;7>;4;:?145=4=2100?>?;278?;4:o2145=4=20e3?>?;278>k858918964a=323?63<2g69<=5<5:?;>7??329~w62a>3:1=8u2321a>=>33498?l47859>765>212?70=<398;<1=:;:9<656;;<1073=::9:7?854;=034952323a>=>33498=l47859>767>212?70=<198;<1=:;:;<656;;<1053?::9:7?855n103495233d4>=>33499j;47859>77`2212?70==f58;<1=:;=l=6<><3:p7066290:8v3<33a9<=5<5:9:?7673:?0744=01901>=>1;:;7>;4;8:145=4=213b?>?;278?=k589189657l323?63<31a9<=5<5:8mn7673:?06cg=01901>;4:mk145=4=20g=?>?;278>i658918964c?323?63<2e49<=5<5:8o97673:?06a2=01901>;?1;3376=z{:>m97>514y>764d212?70=<128;<1=:;:;9656;;<1054??:9:7?8548o034952322f>=>33498766d212?70==fc8;<1=:;;lj656;;<11b<=>33499h547859>77b0212?70==d78;<1=:;;n>656;;<11`1{t;=l36=4l{<106g52320;>=>43498>:47829>7641212870==d18;<6=:;;im656<;<11g`5235d;>464;2wx?8>;:1820~;4;;h145:4=211e?>?<278??75896896550323863<3359<=2<5:99:7674:?0773=01>01>==4;:;0>;4:o9145:4=20e6?>?<278>k?58968964c;323863<2e09<=2<5:8o=7674:?06a6=01>01>;4:jo145:4=20``?>?<278>nm589689637<3;;?>5rs26eg?6=ir78??;589189655<323?63<2g19<=5<5:8m>7673:?06c7=01901>;4:ll145=4=20fa?>?;278>hj58918962ak3;;?>5rs26ef?6=ir78??=589189655:323?63<3339<=5<5:8h57673:?06f>=01901>;4:j<145=4=20`1?>?;278>n:58918962aj3;;?>5rs2732?6=9:q6?><<:9:7?854:;0349523202>=>33498>=47859>767a212?70=<1d8;<1=:;:;o656;;<105f=>33499i547859>77c0212?70==e78;<1=:;;o>656;;<11a1464;2wx?9hn:18f854:9034>52323e>=>43498=h47829>767c212870=<1b8;<6=:;;oi656<;<11ad5233g4>=>43499i;47829>77c2212870==e58;<6=:;=lj6<><3:p7064290:8v3<3049<=5<5:9:97673:?0742=01>01>=?b;:;0>;4;9k145:4=213=?>?<278?=6589689657?323863<3149<=2<5:9;97674:?0752=01>01>;4:l8145:4=20f5?>?<278>h>58968964cn323863<2eg9<=2<5:8oh7674:?06ae=01>01>;?3;3376=z{:>m;7>5cz?0742=01901>=?b;:;7>;4;9k145=4=20f7?>?;278>h<58918964b9323?63<2d29<=5<5:8oj7673:?06ac=01901>;4:mi145=4=26e3?77;:1v>:id;29e~;4;93145=4=213?;278?=9589189657>323?63<3179<=5<5:9;87673:?06a5=01901>;4:m;145=4=26e`?77;:1v>:i9;29g~;4;99145=4=2136?>?;278?=?5891896578323?63<2gd9<=5<5:8mi7673:?06cb=01901>;4:li145=4=20`f?>?;278>no58918962a13;;?>5rs2731?6=9=q6?>><:9:7?8548;0349523222>=>33498<=47859>77`a212?70==fd8;<1=:;;lo656;;<11bf=>33499ii47859>77cd212?70==cc8;<1=:;;ij656;;<11g<03495233a5>=>3349><84>0218yv44n<0;68u222d5>`5>3488j4477g9>66`?21=m70<;5:h=14:h4=30bg?>0n279>ll586d8974f032567g0212:70<=ab8;<4=::;ki656>;<01e=?hm:95e?845nj03;k5223dg>=1a3489jk477g9>67`f212;70<=a`8;3c=::::2659i;<01gg<68:901?{t:;k26=4:{<01e2?ol:9:1?845ik034?5223c;>=>53489ml4j389~w75d:3:19v3=3b19a6?<5;9h>7673:?17fd=01:01?=l9;:;4>;5;ko145<4}r00e3<72;q6>>on:95e?844i>0i:45rs30a`?6=jr79?=m58938974aj323<63=2ga9<=6<5;8mh7670:?16c`=01:01?;5;9o145<4=30:f?>0n279>4j5890897571323<63=2cf955543ty:nh750;6x974an323=63>bed9a6?<5;9;i7671:?16fe=01:0q~<=6b83>6}::;2j659i;<012a?:i:9:1?xu5:=91<7:t=30;e?>?8279>h:586d89743n323=63=251955543ty9>;o50;1x9741j3o8563=27c9<2`<5;8=h768f:p6065290mw0<:028f7<=::=?;656>;<07179=n:9:1?843;l034>52256b>=>4348?8h47809>615d212970<;4b8;<6=::=>3656=;<07119:?:9:1?843=>4348?8947829~w70a>3:19v3=6g:9<2`<5;;5>ok145?4}r05`2<728;p1?8k8;g0=>;5>o8145=4=34fe?>?;279:i958928970b8323?63=6d69<=5<5;;5>l8145=4=3537?>?;279:h658918970bk323?63=6g69<=5<5;{t:l<26=4j{<137dkk6:d1:?857;003;k52316`>=1a349;?847839>7522212:70=?4`8;<5=:;99<656?;<130==>5349;?i47819>755?21=m7p}=e7`94?`|5::8m7670:?0410=01:01>><9;:;5>;48=i145<4=3dff?c41278<>;589389663=32=01:01>>;3;:;4>;48:l145<4=2275?>?9278<>j586d896640323=6s|2d4`>5752121=m70=1a349;?:477g9>752?21=m70=?428;<4=:;99m659i;<1304>;5;:;6>;48=k145?4=2203?>?9278<96589389663;32><8;:;6>{t:l2z?1a=3=0>l01?k73;:4b>;5m?o1i>74=3g;f?>0n278;5m>l145>4=3g4f?>0n279i:7586d897c?n32l01>?n6;:;5>{t;8??6=49{<0f<0h6<:9:0?84b09034?522d5e>=>4349;=<4j389>6c3?212;7p}=e8:94?75s48n4847809>6`>4212970;<0fh7<:9:2?84b?>034<522d5g>=>6348n4<47809>6`1a212:70h7::9:1?84b1>034?522d;2>=>5348n544j389>74g121287p}<14194?1|5;o397672:?1a=5=01;01>>>0;g0=>;5n<<145>4=3g4`?>?;279i5>5892897c0m323>6s|3075>5<0s49;=>4j389>6`>>212970h6i:9:2?84b18034=5rs237`?6=>r79i57586d897c??323>63<01c9a6?<5;l?h7670:?1a=d=01;01?k7d;:4b>{t;8h36=4>2z?1a=?=01:01?k73;:;0>;5m1k145=4=22bg?>?:279i5m5893897c>:323=63=e649<=7<5;o;5m>k145=4=3g4?;279i5k5891897c><323?63=e849<=5<5;o2<7673:?05gd=m:301>?n6;:;0>{t;9k26=4i{<0f<<h68:9:3?84b0h034<5231c`>`5>348n4i47859>6`?4212?70h9n:9:3?84b?1034=522d:f>=>7348n5947819>6`?1212;7097>57z?1a=?=01901?k77;:4b>;5n<=145>4=2226?c41279i5o5890897c?l323>63=e9d9<=6;5m08145=4=3g42?>?;279i:m5891897c?8323?63=e6g9<=5<5:;io7k<9:?1a2d=01>01?k89;:;0>;5m1l145:4=3g:1?>?<279i495896897c>9323863<1`49<=475732l9270h7<:95e?84b0l034?522d;2>=>63ty8=8o50;5x9667>3o8563=f7:9<=6<5;o2>7672:?1a21=0>l01?k64;:;6>;5m0=145?4=3g:4?>?92wx?<;k:184857800n?4522g4f>=>7348n;;47839>6`1c21=m70?:2;293~;489l1i>74=3g;7?>?8279j8:5892897c0k323>63=e939<=6<5;o5083>2}:;9:n6h=6;<0e17h9l:9:3?84b08034?522d5e>=>5348n;o47829>6`1?212:7p}<14a94?1|5::;47k<9:?1b3e=01:01?k86;:;4>;5m>h145>4=3g4=?>?9279i4:5893897c>?323?6s|3073>5<0s49;6c37212;70h9n:9:1?84b?0034>5rs3g44?6=9:q6>k;?:95e?84a=1a348m:;477g9>6c0f21=m70k87:95e?84a>j03;k522g4f>=1a348m9?477g9>6c3321=m706h=6;<0e1=k;n:95e?84a=j03;k522g7f>=1a3ty8<=l50;3084a=9034?52312`>`5>348m8i47839>6c30212970k8?:9:1?84a>;034?522g4;>=>5348m:n47839>6c0b212970k;7:9:1?84a=h034>522g7`>=>4348m9h47829~w67>;3:1>v3=f5f9<=5<5:;j97??329~w67203:1;v3<0169a6?<5;l=87670:?1a=b=01901?k63;:;6>;5m1l145=4=3g:1?>?8279i4>58908yv56=k0;6:u23124>`5>348m:l47819>6`?4212870h79:9:1?xu49<31<79t=2231?c41279j;85892897c>:323<63=e9g9<=7<5;o297671:?1a<1=01:01?k61;:;7>{t:l3i6=4<{<0f=fr79i4j5e2;897c1m32l01>>j2;:;7>{t:hii6=4j{<0`=1n:<:d1:?84d1:03;k522bc5>=1a348h4k47839>6f?a212:70n7k:9:1?84d10034<522b;a>=>5348h5:47819>6f?521=m7p}=abf94?`|5;i287670:?1gd6=01:01?m63;:;5>;5kh<145<4=3a71?c41279o5h5893897e>n32;5k03145<4=3a:f?>?9279o49586d897e>:323=6s|2`af>56fg721=m70n6i:95e?84d1o034=522bc7>=1a348h5<477g9>6fg521=m70n78:9:1?84d1;034=5rs3c`g?6=mr79ol>5893897e>;323<63=c569a6?<5;ij:7671:?1g=`=01:01?m6f;:;6>;5kh>145?4=3a:5?>?9279ol<5893897e>l32{t:him6=4>2z?1e`1=0>l01?oj5;:4b>;5im:1i>74=3cf`?>0n279h=8586d897gbn323<63=ag79<=6<5;ko57670:?1ea`=01:01?oj3;:4b>;5il;145>4=3cg`?>0n279mil586d897ga932l01?jie;:;5>{t:mkh6=49{<0ba2lk::9:0?84fm;034?522`g2>=>4348h:o4j389>6gdf212;7p}=agc94?75s48ji:47809>6dc2212970;<0baclh::9:2?84fl0034<522`fe>=>6348ji>47809>6dc6212:70lh8:9:1?84fn0034?522`d0>=>5348jjo4j389>6a`b21287p}=d``94?1|5;kn;7672:?1e`3=01;01?m9a;g0=>;5jk2145>4=3cgb?>?;279mh<5892897gb8323>6s|2ecf>5<0s48h:i4j389>6dce212970lh>:9:2?84fn:034=5rs3fb1?6=>r79mhl586d897gb1323>63=c769a6?<5;hjj7670:?1e`b=01;01?ojf;:4b>{t:l;;6=4>2z?1e`d=01:01?oj5;:;0>;5ili145=4=3f32?>?:279mhk5893897ga<323=63=ae:9<=7<5;koi7671:?1e`4=01;01?oj0;:;5>;5imi145=4=3cge?>?;279mk>5891897ga>323?63=ag:9<=5<5;km>7673:?1a45=m:301?jie;:;0>{t:m:86=4i{<0baglk6:9:3?84fmj034<522e25>`5>348jik47859>6d`2212?70ljl:9:3?84flh034=522`d3>=>7348jj;47819>6d`?212;7057z?1e`d=01901?oj9;:4b>;5jk3145>4=3a5g?c41279mhm5890897gbn323>63=ag39<=6;5io>145=4=3cg?;279mik5891897gb:323?63=ad29<=5<5;o:87k<9:?1eab=01>01?okb;:;0>;5io;145:4=3ce3?>?<279mk75896897ga;323863=dgg9<=46f0b2l9270lh::95e?84fn9034?522`d0>=>63ty9ho<50;5x97e183o8563=bbc9<=6<5;km87672:?1ea?=0>l01?oi6;:;6>;5io3145?4=3ce6?>?92wx>il::18484d>:0n?4522cf3>=>7348jh547839>6dba21=m7074=3cf1?>?8279no85892897gcm323>63=ad19<=6<5;kn<7670:?1eae=01;0q~2}::j<36h=6;<0af1ljj:9:3?84fm:034?522`g2>=>5348jhi47829>6dbf212:7p}=dc694?1|5;i=>7k<9:?1ffc=01:01?ok8;:;4>;5imn145>4=3cgf?>?9279mk85893897ga1323?6s|2ec;>5<0s48h::4j389>6gd5212;70ljl:9:1?84flk034>5rs3cg6?6=9:q6>ol=:95e?84eio03;k522c`:>=1a348io5477g9>6ged21=m70omn:95e?84ekl03;k522cf3>=1a348in9477g9>6gd121=m70oll:95e?84ejl03;k522ca3>=1a3ty9o;;50;3084ej;034?522b45>`5>348imk47839>6gd>212970om=:9:1?84ek=034?522cab>=>5348ioh47839>6gb7212970oln:9:1?84ejj034>522c`f>=>4348io=47829~w7bbj3:1>v3=b`d9<=5<5;nmh7??329~w7be83:1;v3=c4g9a6?<5;hh:7670:?1e``=01901?oi5;:;6>;5io;145=4=3ce3?>?8279mk<58908yv4cj:0;6:u22b42>`5>348ion47819>6d`2212870lh7:9:1?xu5lk;1<79t=3a6b?c41279nn65892897ga<323<63=ag29<=7<5;km;7671:?1ec?=01:01?oi3;:;7>{t:hlo6=4<{<0bb`h?=:9:2?84cl=034=5rs3ceb?6=>r79mkh5e2;897gc832l01?j{t:>8o6=4j{<0;b35o::d1:?84?n<03;k52282;>=1a3483j<47839>6<66212:70<6078;<5=::1l8656?;<0:415hi:9:1?84?nk034<5229dg>=>53483j447819>6=`321=m7p}=73d94?`|5;2m:7670:?1=54=01:01?6i5;:;5>;5192145<4=3:b3?c412794k?5893897?7932;50oh145<4=3:e`?>?92794k7586d897>a<323=6s|2613>56<6521=m70<7a98f7<=::1l>656=;<0:4=5h>:95e?84>88034=522825>=1a3483j>477g9>6<6321=m70<7fg8;<4=::1li659i;<0;ba5h6:9:1?84?n=034=5rs351a?6=mr795=<5893897>a=323<63=8`49a6?<5;3;47671:?1;519<145?4=3:e7?>?92795=:5893897>an32{t:>9:6=4>2z?131?=0>l01?9;7;:4b>;5?:81i>74=357b?>0n2795;6586d897129323<63=7459<=6<5;=8n7670:?1317=01:01?9;5;:4b>;5?=9145>4=350b?>0n279;>j586d89712;32n768f:?1303=0>l01?o90;:;5>{t:h:n6=49{<040<::8:9:0?840<=034?522660>=>43483oi4j389>6=7d212;7p}=74a94?75s48<8447809>6220212970<84g8;<5=::0<3656>;<0414:;8:9:2?840;k034<522662>=>6348<8847809>6224212:70<83g8;<7=::>9o656=;<0416:;6:9:1?840=k034?522676>=>5348<9i4j389>6d0721287p}=a1f94?1|5;=?57672:?1311=01;01?6lc;g0=>;508k145>4=3575?>?;279;9:589289713:323>6s|2`33>5<0s483ok4j389>622c212970<7258;<5=::>>m656<;<0415:;<:9:2?840=<034=5rs3c33?6=>r79;9j586d89713j323>63=8b49a6?<5;2:=7670:?131`=01;01?9:1;:4b>{t:h=96=4>2z?131b=01:01?9;7;:;0>;5?=o145=4=3;5?:279;8>589389712>323=63=72c9<=7<5;=?<7671:?1312=01;01?9;2;:;5>;5?:o145=4=350g?>?;279;8<5891897120323?63=74c9<=5<5;=>87673:?1e23=m:301?o90;:;0>{t:0<>6=4i{<040a::m:9:3?840`5>348<9<47859>6230212?70<83c8;<1=::>>:656;;<0406:=j:9:3?840;j034=522671>=>7348<9547819>623f212;70<8558;<5=z{;k;j7>57z?131b=01901?9;b;:4b>;508h145>4=3:`a?c41279;9k5890897129323>63=7419<=6=01:01?9:0;:;7>;5?<<145=4=350e?>?;279;9>589189713<323?63=7509<=5<5;k<:7k<9:?136`=01>01?9;5?<9145:4=356=?>?<279;8l589689712=323863=a729<=46=b72l9270<7278;<5=::>>n656?;<0415:;8:95e?840=;034?522676>=>63ty9m<:50;5x97>d:3o8563=83a9<=6<5;=>:7672:?136d=0>l01?9:8;:;6>;5??92wx>l?8:18484?k<0n?4522911>=>7348622621=m70<83g8;<4=::>9h656=;<041d74=3573?>?82794<65892897138323>63=7579<=6<5;=?>7670:?136c=01;0q~2}::1ij6h=6;<0;53::?:9:3?840<<034?522660>=>5348625d212:7p}=a0494?1|5;2h87k<9:?1<66=01:01?9;5?:l145>4=350`?>?9279;86589389712j323?6s|2`2b>5<0s483o44j389>6=73212;70<83c8;<6=::>>:656=;<0406:=j:9:1?840;m034>5rs3500?6=9:q6>5?;:95e?84?9803;k52293a>=1a3483>l477g9>6=4b21=m70<7298;3c=::18?659i;<0;635=1a3483=;477g9>6=7?21=m70<71`8;3c=::>926h=6;<0;5f5?j:95e?84?:903;k522901>=1a3ty94n950;3084?9=034?5229a;>`5>3483=<47839>6=7e212970<72`8;<7=::18n656=;<0;6=5<;:9:1?84?:?034?52290`>=>53483?=47839>6=55212970<7178;<7=::1;3656=;<0;5d5?l:9:1?84?9l034>522903>=>43483>?47829~w7g3l3:1>v3=8039<=5<5;k>j7??329~w7g6:3:1;v3=8b29a6?<5;2947670:?1307=01901?9:7;:;6>;5?<9145=4=356=?>?8279;8:58908yv4f9<0;6:u229a0>`5>3483>h47819>6230212870<83c8;<7=::>9o656?;<041<:;n:9:1?xu5i891<79t=3:`5?c412794?o589289712>323<63=7409<=7<5;=>57671:?130d=01:01?9:5;:;7>{t:>?m6=4<{<0425l9;:9:2?84f;?034=5rs3555?6=>r79;;?5e2;89714:32l01?76f;:;4>{t9m386=4={<3g=61<7`5>34;o5447809~w4b>>3:1>v3>d849a6?<58n257672:p5a?02909w0?k968f7<=:9m32656<;|q2`<>=838p1;6l03145:4}r1e01<72:q6?k:;:d1:?85a=>73ty8j9;50;1x96`3=3o8563mif;:;7>;4kon1i>74}r1g<1<72=>7349n7`c4212?7p}mm8;:;5>;4m9h145<4=2gf7?>?;2wx?i69:18685c0>0n?4523e::>=>6349hn547839>7`6e212870=je28;<7=z{:n3;7>55z?0`=>=m:301>j79;:;6>;4kk2145:4=2g3f?>?<278ih=58938yv5di00;6>u23bc:>`5>349m>:47809>7fgd21297p}mnc;:;7>{t;o?;6=4<{<1e157c352l9270=i478;<1=z{:hom7>52z?0fad=m:301>lj1;:;0>{t;kni6=4={<1a`f:9:0?xu4jmi1<7`5>349ii<47809~w6dcm3:1>v30n?45rs2abf?6=i32{t;jk:6=4={<1`e7?9278oo:5e2;8yv5dj>0;68u23b`4>=>5349m>=47839>7c6e212:70=i418;<7=:;jh36h=6;|q0gg2=838p1>mm7;g0=>;4kk3145:4}r;`0?6=:r78oh:5e2;896ecm323>6s|3bg0>5<4s49hhh47859>7a66212:70=le08f7<=z{<8jo7>52z?66db=m:3018{t9jhm6=4<{<71e`;6kj:14:h4}r71ea<72;q69?oj:d1:?835j9034?5rs`3:>5<5s48><54j389>6060212?7p}:2c794?4|5<8i57671:?66g0=j?30q~;=a`83>7}:=;h2656<;<71ed:181835m>0349523c02>`5>3tyjj44?:3y>17c02l9270;=e78;<6=z{:h9:7>52z?66c7=01>01>l=6;g0=>{t1>k>58938yv5e890;6?u253d3>=>4349i<=4j389~w6d4:3:1>v3:2g19<=2<5:h8>7k<9:p=d7=838p18;2:o8145?4}r1a52<72;q69?h=:9:0?85e9>0n?45rs2`17?6=:r7>>hh5896896d5;3o856s|9`:94?4|5<8nj7k<9:?66`>=01;0q~=nf283>7}:=;o3656<;<1bb6l=2;296~;2:o=145:4=2`16?c412wx5lk50;0x904a?3o8563:2g49<=717`1212870=m1b8f7<=z{:h887>52z?66c3=01>01>l<4;g0=>{t1k31<7>k:58938yv5e9k0;6?u253d7>=>4349i=o4j389~w6d5<3:1>v3:2ca9<=2<5:h987k<9:p=`g=838p18;2:kh145?4}r1a60<72;q69?lm:9:0?85e:<0n?45rs2ce0?6=:r7>>ol5896896ga<3h=56s|3c04>5<5s4?9h>47859>7g402l927p}n3283>7}:=;n86h=6;<71`7l=8;296~;2:m8145=4=2`1=:181835l;0349523c21>g0>3ty>>im50;0x904b8323=63:2ef9f3?l4?:3y>17c7212870=m2`8f7<=z{:h;m7>52z?66`6=01>01>l?a;`5=>{t;k8i6=4={<71a7`5>34?9i<47809~w6d5k3:1>v3:2d39<=5<5:h9o7k<9:p7g6c2909w0;=e08;<1=:;k:o6o86;|q66a>=838p18;2:m31i>74}r1a6<<72;q69?jm:9:0?85e:00n?45rs2`33?6=:r7>>il5896896d7?3h=56s|3c0g>5<5s4?9i947859>7g4c2l927p}n5e83>7}:=;o?6h=6;<71a6l=e;296~;2:l9145=4=2`1a?c412wx?o??:181835m:0349523c33>g0>3ty8n?h50;0x904bi3238637>52z?66`g=m:3018{t;k9;6=4={<71a<?<278n<=5b7;8yv5e;:0;6?u253gf>=>3349i?>4j389~wd1d2909w0;=ed8f7<=:=;oo656>;|q0f4>=838p18;4j821n;74}r1a74<72;q69?kl:9:7?85e;80n?45rs`:1>5<5s4?9in4j389>17ce212:7p}k?b;g0=>;4kk;14:h4=2ab1?>?;278o4=58928yv5d110;6?u23b;a>`5>349h5n47839~w6`4m3:1:v3h;1;:;6>;4n=9145=4=2d0b?c412wx?k<;:18185a:=0n?4523g0a>=>63ty8j?;50;0x96`5=3o85637c4?212970=i4e8;<7=:;o9;656>;<1e77`5>349m>o47829~w6`6k3:1>v3h>e;g0=>;4n;;145>4}r1e5c<72:q6?k?i:d1:?85a:9034<523g63>=>63ty8j?>50;0x96`583o85637c6e2l9270=i0b8;<7=z{:l;h7>52z?0b5c=01801>h?d;g0=>{t;o:h6=4={<1e4`l:d1:?xu4n8;1<7`5>349m=447859~w6`6?3:1>v3h?6;g0=>;4n92145:4}r1e42<72;q6?k>8:d1:?85a81034>5rs2d7g?6=:r78j9m5e2;896`3l325<5s49m8i4j389>7c3221287p}h<6;:;0>{t;o>n6=4={<1e0`v3j?6;g0=>;4l9=145:4}r1g43<72;q6?i>8:d1:?85c8003495rs`;5>5<5s49hi<47829>7fc52l927p}0q~=i4383>7}:;o>:6h=6;<1e02h;6;296~;4n=81i>74=2d77?>?92wx?k:8:18185a<:0n?4523g64>=>43ty8j9650;0x96`3?323>637c4b2l9270=i368;<5=z{:l897>52z?0b66=m:301>h<7;:;5>{t;o9=6=4={<1e77958918yv5a;h0;6?u23g14>=>3349m?;4j389~w6e>=3:1>v3m6a;g0=>;4k03145?4}r1gf4<72;q6?n76:9:1?85cj80:<>=4}r1gec<72;q6?n78:9:3?85cio0:<>=4}rca2?6=:r78o495e2;896e>9323?6s|49c3>5<5s4>3m<4j389>0=g4212:7p};8`394?4|5=2j?7673:?77}:<1k86h=6;<6gec14:h4=5fba?77;:168ioi:95e?xu3lk:1<7=t=5:b0?>?827?ho>51110?82cj8034=5rs5fa6?6=;r7?4l:5893891be:3;;?>524e`0>=>73ty?ho:50;1x91>f<323>63;dc69555434>on847819~w1be>3:1?v3;8`69<=5<5=ni:7??329>0ad0212;7p}nc083>7}:<>oj6h=6;<64a2=>63tyjh84?:4y>0g222l9270:m3g8;<6=:9656<;<6a06858918yv2>;=0;6?u24816>`5>34>2?;47839~w1?4=3:1?v3;9249a6?<5=3857671:?76}:<09<6h=6;<6:7<75890891>e1323?6s|53:3>5<5s4>2?44j389>0g35212:7p};88f94?4|5=22i7k<9:?7<<`=0180q~:79b83>7}:<13m656;;<6;=a74=5f;g?>?92wx85m>:18182?k;0n?45249a0>=>53ty?4l950;0x91>f03o8563;dcf9<=73m54?:3y>0=g>2l9270:kc08;<4=z{=ni47>53z?7l019jm8;3376=:;3lkk1===<;<6gfg?:27?hok51110?82cjo034=5rs5f`4?6=;r7?4lo5891891bd83;;?>524ea2>=>73ty?hn<50;1x91>fi323863;db09555434>oo>47819~w1?4j3:1>v3;92`9a6?<5=38j7673:pf52=838p196m5;g0=>;3ll9145?4}r6g`d<72:q685l=:95e?82clh0:<>=4=5fgf?>?82wx8ijl:18082?j;034=524ef`>464;27?hij58928yv2cll0;6>u249`1>=>634>ohh4>021891bcn323<6s|4eg3>5<4s4>3n?47839>0ac728:8?63;dd39<=6oi?4?:2y>0=d5212870:ke382465<5=nn?7670:p0=dd2909w0:7be8f7<=:<1i;656>;|q7;30j:145=4}r6;f`<72;q685li:d1:?82?k9034?5rs4333?6=;r7?4n>5e2;891d2k323>63;c`49<=73mk4?:3y>0=ga2l9270:kd88;<4=z{=2i<7>52z?7{t;3lm;145>4}r6g`7<72:q685l>:9:3?82cl;0:<>=4=5fg7?>?82wx8ij;:18082?j8034<524ef7>464;27?hi;58928yv2cl?0;6>u249`2>=>534>oh;4>021891bc?323<6s|4ef;>5<4s4>3n<47829>0ab?28:8?63;de;9<=6i9;4?:3y>0g312l9270:m598;<1=z{=2jn7>52z?7{t<1kh6=4={<6;ef1<7=t=5:b`?>0n27?hn:51110?82ck<034=5rs5f`2?6=;r7?4lj5892891bd>3;;?>524ea4>=>73ty?hn650;1x91>fl323=63;db:9555434>oo447819~w1bdi3:1?v3;8`f9<=4<5=nhm7??329>0aee212;7p};dba94?5|5=2jh7673:?7`fe=999870:kce8;<5=z{=nhi7>53z?7019jle;3376=:;3k?=1:8;4}r6a`=<72;q68n:i:9:0?82d>>0=9;5rs5`g6?6=:r7?o9h5896891e1?3<><6s|4b6f>5<2s4>h8k4j389>0f3521=m70:m5c8;<5=:<>ih656;;<6`0<:18182d=9034>524b44>32>3ty?ni:50;0x91e28323863;c759204h8k4?:4y>0f372l9270:l538;<5=:;<64gf?:27?o;956418yv2el?0;6?u24b72>=>434>h::49559~w1dc83:1>v3;c439<=2<5=i=;78;8:p0f37290>w0:l508f7<=:;<6a1g5<5s4>imn4j389>0gge21297p};bc294?4|5=hi=7673:?7fg6=m:30q~:j3g83><}:=>734>3>5477g9>0`5a28:8?63;83;9<2`<5=h<>7??659~w1d2n3:1>v3;b4d9a6?<5=h=87671:p0g052909w0:m638f7<=:;3j?>145<4}r6f73<72;q68h=9:d1:?82b;1034?5rs5g03?6=:r7?i>95e2;891c40323<6s|46g1>5<3s4>34o477g9>0=>0212:70:8e28f7<=:<123656>;|qa6c<72;q6856m:d1:?82?0h034<5rsc1g>5<5s4>2=o47809>0<7a2l927p}:49;94?3|5=3:n7k<9:?6060=:jk018:<3;0`e>;2<::1>no4=461`?4di2wx859k:18182??o034>52495f>`5>3ty?4:k50;0x91>0n3o8563;8929<2`3;44?:3y>0=1f2l9270:77b8;<7=z{=252z?7<2e=01901968b;g0=>{t<1396=4={<6;=358908yv2?0o0;6?u249:e>`5>34>35=47859~w1>>83:1>v3;8829a6?<5=22=768f:pf6c=838p197>e;g0=>;318n145?4}r77=6<727e>34???=4=c89>114c2;i27p}m3g83>7}:<08j6h=6;<6:6<74=4602?4c927>8>=52e389024838o=63:43f96a752z?7=7e=m:30197=b;:;5>{t==3;6=4:{<6:6g7b534??>i4=d39~wg332909w0:62d8f7<=:<08o656>;|q60<7=83?p197=d;g0=>;2<:<1>i=4=4607?4c;27>8>>52e189025l38o?6s|99494?4|5=2387k<9:?7<=5=01;0q~:j0683>7}:74=5g3a?>?<2wx8h>6:18182b8h0n?4524d2f>=>63ty?i=o50;0x91c7j3o8563;e1g9<=6n0`6d2l9270:j0d8;<6=z{=o;o7>52z?7a5b=m:3019k?e;:4b>{t1021<7=>534>3h=47839>0=e>2l9270:7d28;<7=:<1n>656=;<6;`2=>534>3hk47839>0=c6212970:7e28;<7=z{=2h:7>5cz?7;30m:145=4=5:g7?>?;27?4i;5891891>c?323?63;8ef9<=5<5=2om7673:?7;30l;145=4=5:f7?>?;2wx99=k:18682?k<0n?4525515>7?234???>4=949>11572;3>70;;2e81=0=z{=2h;7>52z?7{t10i1<758968yv33;l0;68u249f3>`5>34???;4=979>11542;3=70;;3181=3=:==8o6?79;|q:=a<72;q685mn:d1:?82?k003495rs8;f>5<5s4>3h>4j389>0=b5212?7p}:42d94?3|5=2o>7k<9:?6060=:0=018:<3;0:3>;2<::1>494=461`?4>?2wx54h50;0x91>c=3o8563;8e69<=20=b32l9270;;3781===:==986?77;<7775<5111699i90;6?u249f4>`5>34>3h;47859~w02393:19v3;8e49a6?<5<>8:7<69:?6065=:03018:<0;0:=>;2<;n1>474}r;b6?6=:r7?4ij5e2;891>c032386s|551:>5<2s4>3h54j389>11512;3;70;;3281=5=:==9;6?7?;<776a<5191v4o<:18182?lh0n?45249f:>=>33ty>89<50;7x91>c13o8563:424968?7<6a:?6066=:0k018:=d;0:e>{t1h>1<7`5>34???;4=8g9>11542;2m70;;3181382=63:42196<7<5<>8<7<61:?607b=:0;0q~7n6;296~;30l;1i>74=5:f4?>?<2wx99=m:18682?m90n?4525515>7?534???>4=939>11572;3970;;2e81=7=z{0k<6=4={<6;a68>8528689024;382863:42296<2<5<>9h7<64:p0`2>2909w0:j488f7<=:m656;;|q7a1e=838p19k;c;g0=>;3m=o145:4}r6f0a<72;q68h:k:d1:?82b5<5s4>29l4j389>0<3d21287p};95694?4|5=3>i7673:?7=0e=m:30q~:66183>7}:<0?n6h=6;<6:00>m0n?4524866>=>53ty?5;k50;0x91?3=3o8563;9549<=22884?:3y>0<212l9270:6558;<6=z{<;j>7>52z?7=04=01;018?n2;3376=z{<;i87>53z?7=04=018019ojb;:4b>;29k>1===<;|q7=04=838p197:2;g0=>;31<9145:4}r6:03<72oq684;;:9:3?82>n;034=5248de>=>734>j0dg4212?70:nag8;<1=:=034952486;>`5>34>2n>47809>0;31<91i>74}r72e=<72:q684m>:9:2?82fk>034>5250c;>464;2wx9k8034?5250c6>464;2wx84m?:18182>k80n?45248a;>=>53ty?5i850;0x91?dm323=63;9ba9a6?2hh4?:3y>05ez?7=a2=01:0197ka;g0=>;31li145?4=5c:1?>?927?m9l5893891g?i323?63;9769<=5<5=kh47673:?7ea2=019019oj0;:;7>;3ili145=4=5ce?;27?n=:5891891d68323?6s|48f4>52h947829>0656<;<6b0g>=034?524`a;>=>534>jh947839>0dc7212970:neb8;<7=:?<27?5i=5e2;8yv2>k;0;6?u248f7>`5>34>2o;47829~w1?d13:1>v3;9b:9<=5<5=3h:7k<9:p0c5b2909w0:66g8;<6=:9j8j69h;31><1i>74}r6:30<72;q68498:9:7?82>?<0n?45rs5;43?6=:r7?5:95e2;891?09323?6s|485;>5<5s4>2;54j389>0<1>212?7p};96;94?4|5=3<57k<9:?7=2d=01>0q~:67`83>7}:<0=i656<;<6:3dh1i>74=5;44?>?;2wx849l:18182>?9034952485`>`5>3ty?5:>50;0x91?083o8563;9639<=20<1621=m70:n308;<4=:=8km6<><3:p0d0d2909w0:n848f7<=:;3i=914:h4=43:1?77;:1v9o9f;296~;3i13145:4=5c;1>03ty?m5750;0x91g>13o8563;a8c9<=2<4;4?:3y>0d?f212970:8898a2<=z{==>?7>52z?7e{t?<27?5;75896891gdm323863;aec9<=2<5=kn:7674:?7ec4=01>019oie;:;0>;3j9k145:4=5`22?>?<27?5n95890891g??3o856s|4`:a>5<5s4>j5o4j389>0d1721=m7p};a8;94?4|5=k<<7k<9:?7e2c=01>0q~:n9`83>7}:o145<4=5c4f?d112wx8l9?:18182f?l0n?4524`5e>=>53ty?m5650;0x91g0n323?63;a9c9a6?j;<4?:3y>0d1a2l9270:jce87<2=z{=ohm7>56z?7e=6=0>l019kla;3376=:<656>;<65<49>034>5rs5g`f?6=>r7?m5>5892891cdj3;;?>5244f6>=>534>=4<47809>0=`1212;70:6168;<5=z{=oho7>56z?7e=6=01;019klc;3376=:<656;;<65<49>034?5rs5g``?6=>r7?m5>5890891cdl3;;?>5244f6>=>434>=4<47819>0=`1212970:6168;<4=z{=ohi7>56z?7e=6=019019kle;3376=:<659i;<65<49>03;k5rs5;fa?6=:r7?5hh5e2;891?a;323?6s|48d0>5<5s4>2j947829>0<`42l927p};9g694?4|5=3m87k<9:?7=c>=0190q~:6f783>7}:<0l<656<;<6:b374=5;e?:2wx84h7:18182>n10n?45248d:>=>33ty?5k750;0x91?a13o8563;9g09<=54?:3y>0<`f212:70;>a2824650<`f212970:ndg8;3c=:=8h>6<><3:p0<`f2909w0:6f`8f7<=:<0l;659i;|q7=c6=838p197i2;:;5>;31o:1i>74}r6:bg<72;q684hl:d1:?82f89034>5rs5c34?6=:r7?m=?5891891g783o856s|4`22>5<5s4>j<<4j389>0d6221287p};a1194?4|5=k;87673:?7e55=m:30q~:n0583>7}:74=5c32?>?<2wx8l>9:18182f8?0n?45248de>=>43ty>=l?50;0x91g7?323=63:1`3955543ty>=o=50;1x91g7?323>63;ag59<2`<5<;i?7??329~w1g7?3:1>v3;a159a6?<5=3mh768f:p0<`c2909w0:6fg8;<4=:<0lo6h=6;|q7e5>=838p19o?9;g0=>;3i9n145=4}r6b4a<72;q68l>j:9:0?82f8m0n?45rs5c3a?6=:r7?m=k5e2;891g6:323?6s|4`33>5<5s4>j=<47829>0d772l927p};a0394?4|5=k:=7k<9:?7e44=0180q~:n1383>7}:3;296~;3i891i>74=5c3g?>?;2wx9464;2wx9=1a34?:n?4>0218yv2f9=0;6?u24`37>`5>34>jv3;a1a9<=7<5=k;m7k<9:p0g2f2909w0:m4`8f7<=:i656<;|q7b65=838p19on3;:;4>;6k;k18k=<;|q7e;3ih8145=4}r6b12<721q68lo;:d1:?82fim034?524``b>=>534>j;547839>0d32212970:n638;<7=:74=5c:a?>?<2wx8l7k:18182f1l0n?4524`c3>=>33ty?m4k50;0x91gf:323<63;a8d9f3?0dg5212:70:6928;<6=:=8ki6<><3:p0=839p19769;g0=>;310h145:4=5;:g?>?<2wx84:6:18482>1m0n?45248;2>=>634>j5=47839>0<2a212:70:6808;<4=:<0k9656>;<6:f71803495248;3>`5>3ty?54>50;0x91?>93o8563;9809<=72584?:3y>052z?7=<4=01>019765;g0=>{t?927?m88586d8907fm3;;?>5rs5c:6?6=:r7?m4=5896891g>:3o856s|4`;0>5<5s4>j5>4j389>0d?621297p};a8494?4|5=k247673:?7e<0=m:30q~:n9983>7}:74=5c;a?>?;2wx8l6j:18182f0l0n?4524`:e>=>43ty?m5h50;0x91g>8323863;a9d9a6?j5=4?:3y>0d?72l9270:n908;<1=z{<;2o7>52z?7e<7=0>l018?6c;3376=z{=k297>52z?7e<7=019019o65;g0=>{t<0?36=4={<6:1<:9:0?xu31==1<7=00;6?u2486b>=>334>28:4j389~w1?303:1>v3;95c9a6?<5=3?j7673:p0<2f2909w0:64d8;<4=:<0>h6h=6;|q7=1d=838p197;e;:;6>;31=n1i>74}r6:0f<72;q684:j:d1:?82>5<5s4>2454j389>0<>421297p};99;94?4|5=33m7k<9:?7==d=0190q~:68983>7}:<02i656;;<6:<<74=5;;5?>?;2wx846l:18182>09034<52485f>`5>3ty?5:k50;0x91??8323>63;96d9a6?2;k4?:3y>0<>72l9270:6808;<1=z{=33<7>52z?7==7=m:3019773;:;7>{t<0k36=4={<6:e<i00;6?u248c`>=>334>2ml4j389~w1?fj3:1>v3;9`a9a6?<5=3j>7673:p0;31h:1i>74}r6:e5<72;q684o>:d1:?82>i;03495rs5;b5?6=:r7?5l<5e2;891?f<323?6s|50`2>5<4s4>j=;47809>0g6a21=m70;>b0824650d71212970;>9g82465j=84?:3y>0d712l9270:n1d8;<1=z{=k9<7>54z?7e76=m:3019o=a;:;5>;3i:<145?4=5c2g?>0n2wx8l?7:18182f900n?4524`3b>=>33ty?m<750;0x91g6i3o8563;a0a9<=2m?94?:3y>0dga212;70?l2`87b62jml4?:3y>0dga2l9270:nad8;<7=z{=ki<7>52z?7eg7=m:3019ond;:;5>{t6=4={<6beg=>334>jn84j389~w1gfk3:1>v3;a`f9a6?<5=kji7673:p14gf2908w0:nad8;3c=:<0k>656<;<72ed<68:90q~:i3e83>7}:<0<:656>;<3`6d<3n:n0q~:66083>7}:<0<:6h=6;<6:2674=5;56?>?:2wx8489:18182>>?0n?452484:>=1a3ty?5;950;0x91?11323<63;9759a6?2:44?:3y>0<0>2l9270:6638;<6=z{=3=m7>52z?7=3g=m:3019792;:;0>{t<0<96=4={<6:270n27?m?:58938907e83;;?>5rs5;50?6=:r7?5;=5890891?1<3o856s|50`5>5<4s4>2i?47809>0db421=m70;>b7824650a5824652i<4?:3y>07>52z?7=`?=m:30197j8;:;7>{t<0o>6=4={<6:a0m:0;6?u248g4>=>634>2i>4j389~w1?bl3:1>v3;9d59<=5<5=3nh7k<9:p0;31li1i>74}r72e2<72;q68l=i:9:2?836i>0:<>=4}r6b7`<72;q68l=i:d1:?82f<:034>5rs5c7g?6=:r7?m9j5e2;891g3m323>6s|4`6g>5<5s4>j8h4j389>0d2a21287p};a5d94?4|5=k>=7k<9:?7e16=01>0q~:n5083>7}:;6h=6;<6b04=>33ty?m9o50;0x91g3;323>63;a5`9a6?m?;4?:3y>0de2212:70?l2`87b60jo94?:3y>0de22l9270:nc68;<1=z{=kh47>52z?7ef?=m:3019ol6;:;0>{t?827?mnm5e2;8yv2fkj0;6?u24`af>`5>34>jo;47829~w1gdm3:1>v3;abd9a6?<5=kh:7672:p0dea2909w0:nc78f7<=:;|q7ef3=838p19ol7;:;6>;3ij21i>74}r6e72<72;q68lj>:9:2?87d:h0?j>94}r6b`5<72;q68lj>:d1:?82fl:034>5rs5cg0?6=:r7?mi;5e2;891gc:323>6s|4`f6>5<5s4>jh;4j389>0dbf21=m7p};ae594?4|5=kom7670:?7ea>=m:30q~:nd983>7}:74=5cg6?>?<2wx8ljm:18182fl;0n?4524`f0>=>33ty?mi?50;0x91gc;323>63;ae69a6?m?54?:3y>0dbc212:70?l2`87b6>jhn4?:3y>0dbc2l9270:ndg8;<6=z{=kn<7>52z?7e`7=m:3019oke;:;6>{t?827?mh:5e2;8yv2fm=0;6?u24`g5>`5>34>jhh47829~w1gb>3:1>v3;ad59a6?<5=koi7674:p0dc02909w0:ndd8f7<=:;3il:1i>74}r6e7<<72;q68lk6:9:2?87d:h0?j>74}r6ba=<72;q68lk6:d1:?82fmk034>5rs5cfg?6=:r7?mhj5e2;891gbi323>6s|4`gg>5<5s4>jih4j389>0d`521=m7p};add94?4|5=km>7670:?7ec6=m:30q~:nf183>7}:74=5cfe?>?<2wx8lh<:18182fmh0n?4524`ga>=>33ty?mh750;0x91gbj323>63;ada9a6?m?l4?:3y>0d`2212:70?l2`87b6gjj94?:3y>0d`22l9270:nf68;<6=z{=km47>52z?7ec?=m:3019oi6;:;6>{t?827?mkm5e2;8yv2fnj0;6?u24`df>`5>34>jj;47829~w1gam3:1>v3;agd9a6?<5=km:7674:p0d`a2909w0:nf78f7<=:;3io21i>74}r6e7g<72;q68o>>:9:2?87d:h0?j>l4}r6a45<72;q68o>>:d1:?82e8:034>5rs5`30?6=:r7?n=;5e2;891d7:323>6s|4c26>5<5s4>i<;4j389>0g6f21=m7p};b1594?4|5=h;m7670:?7f5>=m:30q~:m0983>7}:74=5`36?>?<2wx8o>m:18182e8;0n?4524c20>=>33ty?n=?50;0x91d7;323>63;b169a6?m?n4?:3y>0g6c212:70?l2`87b6ei0g6c2l9270:m0g8;<6=z{=h:<7>52z?7f47=m:3019l?e;:;6>{t?827?n<:5e2;8yv2e9=0;6?u24c35>`5>34>i3:1>v3;b059a6?<5=h;i7674:p0g702909w0:m0d8f7<=:;3j8:1i>74}r6:f=<72;q684l6:d1:?82>j=034?5rs5;ae?6=:r7?5ol5e2;891?ek323?6s|48`:>5<5s4>2nn47859>07}:<0h:656>;<6:ecj80n?45248`1>=>33ty?5o?50;0x91?e:3o8563;9c69<=50d43212970;>9d82465j>>4?:3y>0d432l9270:n2c8;<6=z{=k9h7>52z?7e7b=m:3019o=a;:;6>{t=>334>j>84j389~w1g513:1>v3;a3c9a6?<5=k9n7674:p0c522909w0:nbb8;<5=:9j8j69h<5:p0dd02909w0:nbb8f7<=:;3ikk145?4}r6bg4<72;q68ll7:d1:?82fj0034>5rs5ca5<5s4>jnl47829>0de62k<27p};ac;94?4|5=kim7k<9:?7egd=0190q~;>a883>6}:{t{t`5>34>j;847859~w1g?:3:1>v3;a619a6?<5=k<97673:p0d>42909w0:n758f7<=:656=;|q7e=2=838p19o85;g0=>;3i>2145=4}r6b37<72;q68l99:d1:?82f?>034>5rs5c47?6=:r7?m:95e2;891g0032386s|4`57>5<5s4>j;54j389>0d1>21287p}:1`a94?5|5=k<5768f:?7==2=019018?nc;3376=z{<;2:7>52z?7e67=018018?66;3376=z{=k8<7>52z?7e67=m:3019o<7;:;7>{t;58968yv2f;=0;6?u24`16>`5>34>j?;47829~w1g4k3:1>v3;a249<=2<5=k8>7k<9:p0d522909w0:n378f7<=:;29h<1===<;|q7e04=838p19o:7;g0=>;3i<<145<4}r6b1=<72;q68l;6:d1:?82f=<034<5rs5c6`?6=:r7?m8=5e2;891g2<32386s|4`70>5<5s4>j994j389>0d3221287p};a4`94?4|5=k>97674:?7e0b=m:30q~:n5583>7}:6h=6;<6b13=>534>39<47839>0=35212970:74g8;<7=:<1?8656=;<6;0`=>734>3??47829>0=54212870:7358;<6=:<19;656<;<6;7052490f>=>434>3>l47809>0=5e21=m70:73g8;3c=:<1>>659i;<6;02:95e?82?;m03;k52491:>=1a34>3?:477g9>0=`1212?70:6168;<1=z{=2nn7>52z?7<`c=m:30196i0;:4b>{t{th0;6?u24`43>`5>34>j:<47859~w1g183:1>v3;a739a6?<5=k=>7673:p0d0?2909w0:n638;<1=:;3i?9145=4}r72ea<72:q68l8<:95e?82>=?034>5250cg>464;2wx8h=k:18182b<903;k524d1g>`5>3ty?i9>50;0x91c383o8563;e509<=5n8<4?:3y>0`262l9270:j438;<1=z{=o?>7>52z?7a14=m:3019k;5;:4b>{t?6=4={<6f01?<27?i9=5e2;8yv2?m<0;6?u249g:>=>334>3i;4j389~w02><3:19v3;8d;9a6?<5<>8:797d:?6065=?1n018:<0;5;`>;2<;n1;5j4}r6;1=<72;q685;9:95e?82?=10:<>=4}r6fa=<72;q685;9:9:0?82bm10:<>=4}r6;a2<72;q685;9:9:7?82?m10n?45rs8c:>5<5s4>3il4j389>0=c3212;7p}:45694?3|5=2n87k<9:?6060=?1h018:<3;5;f>;2<::1;5l4=461`?1?j2wx5lo50;0x91?6=3o8563;9069<=752z?7a67=m:3019k<0;:;0>{t1hi1<7v3;7dg9a6?<5==nh7672:p=d`=838p199i0;g0=>;3?ll145<4}r;a4?6=:r7?;k<5e2;8911a9323>6s|9c394?4|5==m87k<9:?73c5=0180q~7m2;296~;3?o<1i>74=55e1?>?:2wx5o=50;0x911a03o8563;7g59<=452z?73cg=m:30199i9;:;6>{t1k?1<73:1>v3;8069a6?<5==mh7672:p=g1=838p196>6;g0=>;308?145<4}r;a6?323>6s|9cc94?4|5=2:m7k<9:?7<4?=0180q~7mb;296~;308i1i>74=5:2f?>?:2wx5om50;0x91>6m3o8563;80f9<=452z?7<76=m:30196>f;:;6>{t1ko1<7`5>34>3<;47819~w1>7=3:1>v3;8149a6?<5=2;;7671:p0=6?2909w0:7088f7<=:<1:j656?;|q7<5?=838p196?a;g0=>;309h145?4}r6;4f<72;q685>k:d1:?82?8l034=5rs5:3`?6=:r7?4=k5e2;891>7n323=6s|4933>5<5s4>3=<4j389>0=75212;7p};80394?4|5=2:>7k<9:?7<45=01;0q~:8fd83>7}:<>lm6h=6;<6;4474=5:37?>?92wx5oh50;0x91d??3o8563;b949<=452z?73f?=m:30199l8;:4b>{t1j;1<7v3;f529<=4<5=l?=7k<9:p64cd2909w0<>ee8f7<=::8on656<;|q15`b=838p1??je;g0=>;59o;145:4}r02a`<72;q6>5e2;8977a9325<5s48;;h4j389>651a21287p}=06g94?4|5;:0q~7}::92;6h=6;<03<470;296~;581;1i>74=32;6?>0n2wx5n=50;0x912f>3o8563;4`79<=2?n44?:3y>01df2l9270:;bb8;<7=z{=>im7>52z?70gd=m:3019:mc;:;7>{t<:oj6=4<{<60agki:95e?824n903495rs0`g1?6=:r7:ni85e2;894db;323>6s|1cf5>5<5s4;ii=4j389>5gc4212:7p}>bd294?4|58hn=7k<9:?2f`5=0190q~?me083>7}:9ko96h=6;<3aa674=0`gb?>?92wx=ok<:18187em=0n?4521cf4>=>53ty:nh:50;0x94db=3o8563>be59<=75gc12l9270?md68;<6=z{8hn:7>52z?2f`1=m:301{t9ko<6=4={<3a`2:1<7:t=0`g?927:nn858938940?:323>63>c62955543ty:o5650;6x94dc0323>63>bb49<=4<58<<;7672:?2g=>=99987p}>c6a94?2|58ho47673:?2ff0=01901<87a;:;6>;6k>i1===<;|q2g32=83>p1;6jj<145:4=0444?>?:27:o;:51110?xu6jm=1<7h0;69u21cf:>=>634;io:47809>531b212970?l6`824655gb>212970?mc68;<7=:9?=8656=;<3`<7<68:90q~?l7783>1}:9kn2656<;<3ag2=4}r3a`=<72;q6=oj6:d1:?87ell034<5rs0`ge?6=:r7:nik5896894dcj3h=56s|1cfa>5<5s4;ihh4j389>5gba212?7p}>bb194?4|58hh87k<9:?2fa6=0180q~?mc583>7}:9kio6h=6;<3a`574=0`g4?>?;2wx=omj:18187eko0n?4521cf3>=>33ty:nnh50;0x94dc83o8563>bba9<=75gb62l9270?mc48;<7=z{8ho=7>52z?2fa4=m:301{t9kn96=4={<3a`6`5>34;ion47829~w4dd=3:1>v3>bb49a6?<58hhn7673:p5ge12909w0?mc68f7<=:9kii656>;|q2g25=83>p1;6>1>145<4=0`gg?>?:27:o:=51110?xu6k1h1<7:t=0``?:27:::75890894dcl323>63>c9`955543ty:o:h50;6x94dd0323?63>6639<=4<58hoh7673:?2g2`=99987p}>c7594?2|58hh47674:?222e=01801;6k?=1===<;|q2ff1=838p1;6jjk145?4}r3`2a<72=q6=om6:9:2?87109034?521cf`>=>634;h:i4>0218yv7d0<0;69u21ca:>=>534;=;847839>5gbc212:70?l84824655ge>212870?9898;<7=:9kno656;;<3`3<<68:90q~?mc983>7}:9ki26h=6;<3agd74=0``f?>?<2wx=omn:18187ekk0n?4521ca`>=>33ty?>hj50;12871>j034<521744>=>634;=:?47809>533c212:70?9598;<4=:9??8656>;<350`=>634;=?l47809>5352212:70?9318;<4=:9?8i656>;<3563:9:2?8719j034<521734>=>634;==?47809>536c212:70?9098;<4=:9?:8656>;<36b`=>634;>il47809>50c2212:70?:e18;<4=:9;<36`3:9:2?872kj034<5214a4>=>634;>o?47809>50dc212:70?:b98;<4=:9;<36e`=>634;>5l47809>50?2212:70?:918;<4=:9<2i656>;<36<3:9:2?825mm0:<>=4}r352g<72;q6=;8l:d1:?871>m03495rs53`5?6=;8q6=;8k:9:0?871>1034>521740>=>434;=9h47829>533>212870?9558;<6=:9?>m656<;<350d52171a>=>434;=?;47829>5356212870?92b8;<6=:9?8<656<;<3567521730>=>434;=536>212870?9058;<6=:95214ga>=>434;>i;47829>50c6212870?:db8;<6=:95214a0>=>434;>nh47829>50d>212870?:b58;<6=:95214;a>=>434;>5;47829>50?6212870?:8b8;<6=:9<2<656<;<36<7:0207>{t9??n1<7`5>34;<9n4;869~w401>3:1>v3>6759a6?<58<=47674:p53002909w0?9698f7<=:9?<2656;;|q223>=838p1<899;g0=>;6>?k145>4}r34fa<72;q6=;8n:d1:?870=k0?4:5rs0455?6=:r7::;<5e2;89401;32386s|1741>5<5s4;=:>4j389>5303212?7p}>67194?4|58<=87k<9:?2233=01:0q~?8bb83>7}:9?<>6h=6;<341d<30>1v<8:c;296~;6>74=046a?>?<2wx=;;k:181871=l0n?452177e>=>33ty::8k50;0x9402n3o8563>6729<=653072l9270?85887<2=z{8<>;7>52z?220>=m:301<8:9;:;0>{t9??36=4={<351<<31<7`5>34;<954;869~w402:3:1>v3>6419a6?<58<>87674:p53342909w0?9558f7<=:9??>656;;|q2202=838p1<8:5;g0=>;6><<145>4}r34f<<72;q6=;;9:d1:?8705<5s4;=8k4j389>5337212?7p}>65d94?4|58<><7k<9:?2207=01:0q~?8b983>7}:9??:6h=6;<3410<30>1v<8;8;296~;6>=31i>74=047e?>?<2wx=;:6:181871=>33ty::9o50;0x9403j3o8563>65a9<=6532d2l9270?85587<2=z{852z?2212=m:301<8;5;:;0>{t9?>?6=4={<3500=?1<7`5>34;<9>4;869~w404m3:1>v3>62d9a6?<58:656;;|q2216=838p1<8;1;g0=>;6>=8145>4}r34f0<72;q6=;:=:d1:?870=;0?4:5rs040=?6=:r7::>o5e2;89404j32386s|171b>5<5s4;=?o4j389>535d212?7p}>62`94?4|58<8o7k<9:?226b=01:0q~?8b583>7}:9?9o6h=6;<3414<30>1v<8<4;296~;6>:?1i>74=0402?>?<2wx=;=::181871;?0n?4521714>=>33ty::>850;0x9404?3o8563>62:9<=64?:3y>535?2l9270?85187<2=z{8<9j7>52z?2266=m:301<8<1;:;0>{t9?9;6=4={<3574:;1<7=58928yv70j;0;6?u21710>`5>34;<8k4;869~w405i3:1>v3>63`9a6?<58<9o7674:p534e2909w0?92b8f7<=:9?8o656;;|q227e=838p1<8=d;g0=>;6>;o145>4}r34f4<72;q6=;5<5s4;=>:4j389>534?212?7p}>63594?4|58<947k<9:?227?=01:0q~?8b183>7}:9?826h=6;<3407<30>1v<8=0;296~;6>;;1i>74=0416?>?<2wx=;<>:181871:;0n?4521700>=>33ty::?<50;0x9405;3o8563>6369<=653432l9270?84b87<2=z{8<:n7>52z?224e=m:301<8>d;:;0>{t9?;h6=4={<355a8n1<7`5>34;<8o4;869~w406>3:1>v3>6059a6?<58<:47674:p53702909w0?9198f7<=:9?;2656;;|q224>=838p1<8>9;g0=>;6>8k145>4}r34ea<72;q6=;?n:d1:?8705<5s4;==>4j389>5373212?7p}>60194?4|58<:87k<9:?2243=01:0q~?8ab83>7}:9?;>6h=6;<340<<30>1v<8?c;296~;6>9n1i>74=043a?>?<2wx=;>k:1818718l0n?452172e>=>33ty::=k50;0x9407n3o8563>6029<=653772l9270?84987<2=z{8<;;7>52z?225>=m:301<8?9;:;0>{t9?:36=4={<354<n:9:7?xu6>931<7`5>34;<8:4;869~w407:3:1>v3>6119a6?<58<;87674:p53642909w0?9058f7<=:9?:>656;;|q2252=838p1<8?5;g0=>;6>9<145>4}r34e<<72;q6=;>9:d1:?8705<5s4;>jk4j389>5367212?7p}>5gd94?4|58<;<7k<9:?2257=01:0q~?8a983>7}:9?::6h=6;<3400<30>1v<;i8;296~;6=o31i>74=07ee?>?<2wx=8h6:181872nh0n?45214da>=>33ty:9ko50;0x943aj3o8563>5ga9<=650`d2l9270?84587<2=z{8?m?7>52z?21c2=m:301<;i5;:;0>{t9`5>34;<8>4;869~w43bm3:1>v3>5dd9a6?<58?m<7674:p50ca2909w0?:f18f7<=:9;6=o8145>4}r34e0<72;q6=8h=:d1:?870;>0?4:5rs07f=?6=:r7:9ho5e2;8943bj32386s|14gb>5<5s4;>io4j389>50cd212?7p}>5d`94?4|58?no7k<9:?21`b=01:0q~?8a583>7}:91v<;j4;296~;6=l?1i>74=07f2?>?<2wx=8k::181872m?0n?45214g4>=>33ty:9h850;0x943b?3o8563>5d:9<=64?:3y>50c?2l9270?84187<2=z{8?oj7>52z?21`6=m:301<;j1;:;0>{t9`5>34;v3>5e`9a6?<58?oo7674:p50be2909w0?:db8f7<=:9;6=mo145>4}r34e4<72;q6=8jj:d1:?870;l0?4:5rs07g1?6=:r7:9i85e2;8943c?32386s|14f5>5<5s4;>h:4j389>50b?212?7p}>5e594?4|58?o47k<9:?21a?=01:0q~?8a183>7}:91v<;k0;296~;6=m;1i>74=07g6?>?<2wx=8j>:181872l;0n?45214f0>=>33ty:9i<50;0x943c;3o8563>5e69<=650b32l9270?83b87<2=z{8?hn7>52z?21fe=m:301<;ld;:;0>{t9`5>34;3:1>v3>5b59a6?<58?h47674:p50e02909w0?:c98f7<=:9=838p1<;l9;g0=>;6=jk145>4}r34=a<72;q6=8mn:d1:?870;h0?4:5rs07`5?6=:r7:9n<5e2;8943d;32386s|14a1>5<5s4;>o>4j389>50e3212?7p}>5b194?4|58?h87k<9:?21f3=01:0q~?89b83>7}:96h=6;<347<<30>1v<;mc;296~;6=kn1i>74=07aa?>?<2wx=8lk:181872jl0n?45214`e>=>33ty:9ok50;0x943en3o8563>5b29<=650e72l9270?83987<2=z{8?i;7>52z?21g>=m:301<;m9;:;0>{t9`5>34;<>n4;869~w43e:3:1>v3>5c19a6?<58?i87674:p50d42909w0?:b58f7<=:9656;;|q21g2=838p1<;m5;g0=>;6=k<145>4}r34=<<72;q6=8l9:d1:?870;?0?4:5rs07b`?6=:r7:9lk5e2;8943fn32386s|14cf>5<5s4;>mk4j389>50d7212?7p}>5`d94?4|58?i<7k<9:?21g7=01:0q~?89983>7}:91v<;n8;296~;6=h31i>74=07be?>?<2wx=8o6:181872ih0n?45214ca>=>33ty:9lo50;0x943fj3o8563>5`a9<=650gd2l9270?83587<2=z{8?j?7>52z?21d2=m:301<;n5;:;0>{t9`5>34;4;869~w43>m3:1>v3>58d9a6?<58?j<7674:p50?a2909w0?:a18f7<=:9;6=h8145>4}r34=0<72;q6=8o=:d1:?870;;0?4:5rs07:=?6=:r7:94o5e2;8943>j32386s|14;b>5<5s4;>5o4j389>50?d212?7p}>58`94?4|58?2o7k<9:?217}:9<3o6h=6;<3474<30>1v<;64;296~;6=0?1i>74=07:2?>?<2wx=87::1818721?0n?45214;4>=>33ty:94850;0x943>?3o8563>58:9<=64?:3y>50??2l9270?83187<2=z{8?3j7>52z?21<6=m:301<;61;:;0>{t9<3;6=4={<36=4`5>34;<>k4;869~w43?i3:1>v3>59`9a6?<58?3o7674:p50>e2909w0?:8b8f7<=:9<2o656;;|q21=e=838p1<;7d;g0=>;6=1o145>4}r34=4<72;q6=86j:d1:?870:l0?4:5rs07;1?6=:r7:9585e2;8943??32386s|14:5>5<5s4;>4:4j389>50>?212?7p}>59594?4|58?347k<9:?21=?=01:0q~?89183>7}:9<226h=6;<346a<30>1v<;70;296~;6=1;1i>74=07;6?>?<2wx=86>:1818720;0n?45214:0>=>33ty:95<50;0x943?;3o8563>5969<=650>32l9270?82c87<2=z{0i=6=4={<35?;27:=585760894>4m3;;?>5rs2`:`?6=:r7::5o5e2;896gal323>6s|9b594?4|58<357k<9:?22=>=01>0q~?70983>6}:9?23656<;<32f5<0?;16=5=k:0207>{t;k3h6=4={<35<=k10;6?u217:4>`5>34;=4;47859~w4>7?3:1?v3>6949<=5<58;jh7982:?2<6e=99987p}1?1i>74=04;0?>?<2wx=5>9:1808710=034>5210cb>21534;3?o4>0218yv5e1h0;6?u217:7>`5>349jjl47839~w;69h=1;:<4=0:0e?77;:1v>l69;296~;6>181i>74=2ce=?>?:2wx5nl50;0x940?93o8563>6929<=253>7212870?>a58437=:91926<><3:p7g??2909w0?9818f7<=:;hl3656=;|q:gf<72;q6=;9i:d1:?871?l03495rs0:37?6=;r7:::k58918947f93=<>63>82:955543ty8n4950;0x9400m3o856352z?222b=m:301<88c;:;0>{t91::6=4<{<353f=4}r1a=3<72;q6=;9l:d1:?85e:9034?5rs8af>5<5s4;=;l4j389>531>212?7p}>81d94?5|58<<57673:?25<3=?>801<6;4;3376=z{:hj>7>52z?222?=m:301>l?6;:;6>{t1jl1<7u21754>=>434;:5?48739>5=2428:8?6s|3cc2>5<5s4;=;:4j389>7g6221297p}6d183>7}:9?==6h=6;<3530>?145=4=03;b?10:27:49?51110?xu4jh:1<7v3>6669a6?<58<7}:9?=86h=6;<1bbc=>33ty:4=o50;1x94009323?63>19;9324<5828j7??329~w6d>m3:1>v3>6639a6?<5:kmi7672:p=a5=838p1<88b;g0=>;6>>:145:4}r3;45<72:q6=;9?:9:0?8761k0<;?521916>464;2wx?o7::181871?90n?4523c3e>=>53ty8ji=50;0x96`c=3o85637cb02l9270;=e48;<6=z{:lh97>52z?0bf0=m:301>hl8;:;4>{t;oi=6=4={<1eg21<7`5>349mhn47819~w6`ci3:1>v3hj0;g0=>;4j93145<4}r1ea5<72;q6?kk>:d1:?85e80034<5rs2df6?6=:r78jh=5e2;896d7k323>6s|3gg0>5<5s49mi94j389>7g6d212:7p}7}:;oo<6h=6;<1a4chj8;296~;4nl31i>74=2`26?>?:2wx?kk6:18185amh0n?4523c31>=>63ty8jhl50;0x96`bk3o85637ccc2l9270=m148;<4=z{:lnj7>52z?0bc6=m:301>l>a;:;5>{t<83h6=4={<62=a`5>34>:m=47859~w17>n3:1>v3;1`29a6?<5=;j=7673:p04e42903w0:>a08f7<=:<8<9656<;<623<=03;k52405a>=1a34>::<47829>041?21287p};17294?4|5=;=>7674:?7537=m:30q~:>6083>7}:<8<96h=6;<62263145:4=534=>33ty??>750;0x915413o8563;32c9<=78<44?:3y>066>2l9270:<0`8;<4=z{=9;n7>52z?775g=m:3019{t<;ni6=4={<61`gik58938yv?c<3:1>v3;2e79a6?<5=8o87674:p=a3=838p1;6mhh145:4}r;g2?6=:r7:ilo5e2;894cf132386s|9e594?4|58oj;7k<9:?2ad0=01>0q~7k8;296~;6mh?1i>74=0gb0?>?<2wx5i750;0x94cf;3o8563>e`09<=252z?2ad7=m:301{t1mh1<7v3>e8:9a6?<58o2;7674:p=ab=838p1;6m0?145:4}r;ga?6=:r7:i4:5e2;894c>;32386s|9ed94?4|58o2>7k<9:?2a<7=01>0q~7j0;296~;6m0:1i>74=0g;b?>?<2wx5h?50;0x94c?m3o8563>e9f9<=27>52z?2a=e=m:301{t1l91<7v3<53g9a6?<5:?9h7674:p=`3=838p1>;<0;g0=>;4=;l145:4}r;f2?6=:r789><5e2;89634932386s|9d594?4|5:?9o7k<9:?017d=01>0q~7j8;296~;4=<:1i>74=277b?>0n2wx5h750;1x9632:3o8563<5439<2`<5:?>?7673:p=`d=838p1>;;e;g0=>;4==n14:h4}r0067<72;q6>><<:d1:?844:<034?5rs3117?6=:r79??:5e2;89755=323?6s|9da94?4|5;9;j7k<9:?175c=01>0q~7jd;296~;5:?o1i>74=305`?>?<2wx>9?j:1818439o0n?4522502>=>53ty98617e2l9270<;1e8;<7=z{;>:n7>52z?104e=m:301?:>d;:;4>{t1lo1<7v3=7159a6?<5;=;:7670:p=c6=838p1?9?9;g0=>;5?92145>4}r;e5?6=:r79;=l5e2;89717i323<6s|9g094?4|5;=;h7k<9:?135e=01:0q~7i3;296~;5?9l1i>74=353a?>?82wx5k:50;0x971693o8563=7029<=652z?1345=m:301?9>2;:;4>{t1o<1<7=t=3535?c41279;=>58968970an32386s|2d52>5<4s48n;?4j389>6`12212:70=>628;<7=z{;o<>7>53z?1a25=m:301?k85;:4b>;49?914:h4}r0f36<72:q6>h9;:d1:?84b?<034=523040>=>73ty2j:4?:3y>6`0a2l9270h6n:95e?xu48hk1<7u231ca>`5>349;mn47829>74g1212;7p}6f883>7}::l2o6h=6;<0f=1a3ty2jo4?:3y>6`102l9270h9l:95e?xu>nm0;6?u22d:2>`5>348n4=477g9~w<`b2909w01323?63<1cf9a6?<5:;in768f:pe56=838p1?k8b;g0=>;5m>k14:h4}rc35?6=:r79i:75e2;897c0032l0q~o?3;296~;5m0?1i>74=3g:0?>0n2wxm=:50;0x97c>?3o8563=e849<2`52z?1a<7=m:301?k60;:4b>{ti9<1<7v3<1cg9a6?<5:;ih7674:p74d>2909w0=>b88f7<=:;8hi656=;|q05gg=838p1>?ma;g0=>;49kh145?4}rc35<3s49:;n4j389>75cd212970=?e88;<6=:;9o8656<;|qb4<<72;q6?<6?:d1:?856?o03;k5rs234a?6=>jd;:;5>;49>k1i>74}r13aa<72;q6?=kk:d1:?857ml03;k5rs2343?6=;r78=:65e2;89670i323=63<0d09<=4741>2l9270=>7`8;<5=:;9o9656>;|q1ea5=839p1?ok4;g0=>;5im=145?4=3faf?>?:2wx>lj;:18084fl<0n?4522`f4>=1a348ono477g9~w7gc=3:1?v3=ae49a6?<5;ko;7670:?1`gd=01:0q~o?a;296~;5im;1i>74=3cg4?>?<2wxm=l50;0x97gbl3o8563=ada9<2`6a632l927053z?1`53=m:301?j?6;:;7>;5loo145>4}rc3g?6=:r79mhh5e2;897gbm32l0q~o?e;296~;5im31i>74=3cg0n2wxm=h50;0x97gcn3o8563=aeg9<2`52z?1e`5=m:301?oj2;:4b>{ti8;1<7586d8yvg6:3:1>v3=e069<=6<5;o:;7k<9:p6`72290?w0h?<:95e?xuf9:0;6?u22`fg>`5>348jhn477g9~wd732909w0lh>:d1:?84fn903;k5rs`35>5<5s48jj:4j389>6d`121=m7p}n1683>7}::hl26h=6;<0bb==1a3tyj=l4?:3y>6d`d2l9270h?::9:7?xu5m8;1<7`5>348n=>47809~wd7d2909w0p1?jk4;g0=>;5l:h145<4=3f70?>?:279h9>58968yv4cl?0;69u22e1a>=>7348oh:4j389>6a2321287052z?1`6d=m:301?j{ti8n1<7`5>348o8847859~w7bdm3:1>v3=d579<=7<5;no>7k<9:p6a222909w0=659i;|q1`f`=839p1?jk0;g0=>;5lm8145?4=3f0`?>?:2wx>ij?:18084cl80n?4522ef1>=>7348o?i47809~w7b383:1>v3=d529a6?<5;n?=768f:p62522908w0<8378f7<=::>92656>;<0b5a74=350=?>0n279m0;6>u2261;>`5>3486d7c212;7p}n1d83>7}::>986h=6;<0477=1a3ty95;850;0x97?1>3o8563=9759<=46<002l9270<6698;<6=::h<;656?;|qb65<72;q6>:;>:d1:?840=903;k5rs`02>5<5s48<9:4j389>623121=m7p}n2383>7}::>9i6h=6;<047d=1a3tyj>94?:3y>62222l9270<8458;3c=z{h8>6=4={<0406::=:95e?xuf:?0;6?u22`55>=>7348j;44j389~w7g0?3:18v3=a649<=7<5;=>h7673:?1e21=m:301?o85;:4b>{ti;=1<7k586d8yvg503:1>v3=72f9a6?<5;=8o768f:pe7?=838p1?9:3;g0=>;5?<814:h4}rc1e?6=:r79;875e2;89712032n7k<9:?130g=0>l0q~o=c;296~;5?74=3560?>0n2wxm?j50;0x9712m3o8563=74f9<=452z?1e2>=m:301?o87;:;0>{t:h=86=4={<0b36l9::9:1?xu5i>>1<7v3=a259a6?<5;k8:7674:p6d52290?w04o=:9:7?xu5i:21<7:t=3;:`?>?8279m>75e2;897?f>323?63=9`09<=76l=6:95e?xu51h<1<7=>6348j?94j389~w7?f?3:1>v3=9`59a6?<5;3j4768f:p6d562908w0;<0:=c74=3c00?>?827954h58938yv4>i;0;6?u228c1>`5>3482m>477g9~w46??3:18v3>09:9<2c<58:3;7??8e9>55>>2k<27S??869~w46?03:1>v3>09:9f3?<58hnn7:77:p55052908w0??628;3`=:99<96<>7d:\24344?:3y>55042k<270?med87<2=z{>8>6=4;{<573?>0m27<854m689>373=mmo0R:<:;|q466<72=q6;9:586g892222k<2709=3;gga>X0::1v:<=:1878139329m6o86;<515?ccm2T<><5rs603>5<3s4=8n768e:?47f016;?>5eeg8Z2473ty<=k4?:5y>36>=0>o01:=6:c4:?816n3ooi6P81g9~w24b290?w0992;:4a>;0>:0i:45273g9aac8n7p}82e83>1}:?g0>34=9h7kke:\46a=z{>8h6=4;{<56g?>0m27<9i4m689>37e=mmo0R:X0:k1v:32??6o86;<51=?ccm2T<>45rs60;>5<3s4=><768e:?414016;?65eeg8Z24?3ty<>:4?:5y>31b=0>o01::j:c4:?815?3ooi6P8269~w241290?w09;a;:4a>;08=7p}81d83>1}:?:?14:k4=615>g0>34=:i7kke:\45`=z{?lj6=4<{<533?d1127=jl4>06a8Z3`f3ty=j54?:2y>350=j?301;h7:024g>X1n11v;h8:180817=3h=5639f68242e6}:?8:1n;74=620>460k2T<<>5rs621>5<4s4=;j7l99:?447<68>i0R:>=;|q444<72:q6;=k5b7;8926628:;0890:<:m4^623?xu1no0;6>u271a9f3?<5?lm6<>8c:\5bc=z{?ln6=4<{<53f?d1127=jh4>06a8Z3`b3ty=ji4?:2y>35g=j?301;hk:024g>X1nm1v;hl:18081713h=5639fb8242e6}:?921n;74=7da>460k2T=jo5rs7d5>5<4s4=;87l99:?5b3<68>i0R;h9;|q456<72:q6;<;5b7;8927428:4;`5=>;0980:<:m4^632?xu0;80;6>u27219f3?<5>9:6<>8c:\474=z{>8m6=4<{<506?d1127<>k4>06a8Z24a3ty<=;4?:2y>341=j?301:?9:024g>X09?1v:?7:18081613h=5638198242e;37p}81c83>7}:?8h14:k4=0a12?16j2wx;a;333f=Y?8k0q~9>c;297~;09m0i:45270a9551d3W=:o6s|77694?5|5><>6o86;<550?77?j1U;;:4}r552?6=;r7<::4m689>330=99=h7S996:p5f7?2909w0?l1687<2=:9j;36h=6;|q2g41=839p17;3376=:9j;;656=;<3`5=`5>3ty:hn650;0x94bd?3>3;63>db:9a6?5ae>2=2<70?kc`8f7<=z{8nhj7>52z?2`fc=<1=01{t9mni6=4={<3g`d<30>16=ijm:d1:?xu4mm21<71>034?84<4j389~w052?3:1>v3:34490=1<5<9>;7k<9:p1631290?w0;<5782465<5<98>7673:?677g=01:018=:7;:;7>{t9j;?6=4<{<3`57<30>16=n?;:d1:?87d9:0?4:5rs0dfg?6=:r7:jhl5495894`bk3o856s|207a>5<5s48:9l4;869>643e2l927p};57094?4|5=?==7:77:?7134=m:30q~::6083>6}:<<<:6<><3:?7134=01801>l?3;:;6>{t<<<;6=4={<661c<30>16888?:d1:?xu3==>33ty?8l>50;0x912>n3>3;63;4`29a6??;o4?:3y>011f2=2<70:;7c8f7<=z{:?;;7>58z?0156=<1=01>;?7;g0=>;4=9;18594=2736?2??2789==549589637<3>3;63<51790=1<5:?;:7:77:p71`b290iw0=;f587<2=:;=l>6968;<17b3<30>16?9h8:5:4?853n10?4:5235d:>1>0349?jl4;869>71`e2=2<70=;fb87<2=:;=lo6968;<17bc>mn:180844kh0:<>=4=31`f?>?:278n?658918yv44k00;6?u222a;>1>03488o44j389~w75d03:18v3=3b:955543488o847829>66e021=m70<52z?175>=<1=01?=?9;g0=>{t:;o?6=4={<01a6<30>16>?k;:d1:?xu49?91<790;6?u23043>464;278=;<586d8yv4cjk0;6?u22e`;>1>0348ono4j389~w7be03:1>v3=dc:95554348onl477g9~w7g6l3:1>v3=a0c90=1<5;k:h7k<9:p6d7f2909w0;5;j?1i>74}r6030<72=q6899m:95e?8239:03;k5242a0>=>634>8;84>0218yvg0=3:1>v3;9d69a6?<5=3n?7674:pe20=838p19m;a;:;0>;3k=h1i>74}rc43?6=:r7>>io5e2;8904c1323>6s|5214>5<5s4?84<47819>165?2l927p}n7983>7}:;k;n6h=6;<1a5al>d;296~;4j8n1i>74=40e`?>?;2wx?o>9:18185e8?0n?45253f5>=>33ty8n=;50;0x96d7=3o8563:2e69<=27g662l9270;=d18;<1=z{:kmj7>52z?0ec`=m:3018{t;hln6=4={<1bb`>n758968yv5fnj0;6?u23`d`>`5>34?9o:47859~w6gaj3:1>v3oi9;g0=>;2:j;145:4}r1bb=<72;q6?lh7:d1:?835jo03495rs2ce3?6=:r78mk95e2;8904el32386s|3c03>5<5s49i>=4j389>17d2212?7p}63;a3a9a6?j=l4?:3y>0d7b212:70:n1c8a2<=z{h=i6=4={<6b5``5>34?9n=47859~w1?d=3:1>v3;9b59<=2<5=3oj7k<9:pe2c=838p197l7;g0=>;31j<145:4}r6:g=<72;q684m9:9:2?82>k=0n?45rs`5e>5<5s4>2?k4j389>0<5c212?7p}n8183>7}::18182>>10n?4524844>=>63tyj4>4?:3y>0dec2l9270:ncb8;<4=z{h2?6=4={<6b`<`5>34>ji947809~wd>12909w0:nf08f7<=:;|qb<2<72;q68lhk:d1:?82fnj034<5rs`:;>5<5s4>i<44j389>0g6?212:7p}n8883>7}:6h=6;<6a51`5>3ty>==650;1x91>d;3o8563;b409<2`<5=ij47673:pe=d=838p196=8;:;0>;30;31i>74}r6:2g<72;q6848m:d1:?82>>j03495rs5;e1?6=:r7?5k;5e2;891?a>32386s|4`21>5<5s4>j0d64212?7p};a1d94?4|5=k;j7k<9:?7e46=01>0q~:6c283>7}:<0n=6h=6;<6:`c74=5;:4?>0n2wx?<:i:181856=1a3ty9hl950;0x97bf>3o8563=dgg9<2`6d6?2l9270`5>34>8j;47859~w15a=3:1?v3;3g49a6?<5=>:?7672:?77f5=01>0q~l:6;296~;30<=1i>74=5:6?=323=63;8949a6?9m>4?:2y>7ce52l9270:=d58;<6=:=;km656>;|q710b=838p19;:e;g0=>;3=?:14:h4}r00g3<72;q6>>m8:d1:?844k003;k5rs8a6>5<5s4>8i?4j389>06ba21287p};c7194?0|5=i=87k<9:?7ffb=?1h019ll7;5;f>;3jj>1;5l4=5``e?1?j27?o;9579a8yv368=0;6?u249`:>`5>34>hm=47829~w1e313:1>v3;c5;9a6?<5=i?n7672:p5fe52908w0?lc28f7<=:9ji<6:6m;<3`gd<00k1v9l84;296~;3j>?1i>74=5f;2?>?92wx84k6:18182>mh0n?45248g`>=>33ty?m4:50;0x91g><3o8563;a879<=2j?k4?:3y>0d2f2l9270:n4c8;<1=z{=h=h7>53z?6764=01;018=;3;:;4>;2:h81i>74}r3`56<72;q699=4}r6e37<72;q699=4}r60ag<72:q689?<:9:3?824k:034?5242gf>g0>3ty??k<50;1x9126;323=63;3b19<=5<5=9m97k<9:p0=cd2909w0:7f18;<6=:<1oo6h=6;|q6033=838p196i0;g0=>;2<;n18k84}r77f3<72=q68k:=:d1:?87d8k034<521b37>=>534;h=547859~w15b83:1?v3;3d39a6?<5=9m9768f:?77`5=0190q~?j9c83>46|58ojn7673:?2ad?=01901;6mh>145=4=0gb6?>?;27:il>5891894c>m323?63>e859<=5<58o297673:?2a<5=01901;6m1l145=4=0g;`?>?;27:i5l5891894c?i323?63>e8a9a6?52z?7e<1=m:3019o66;:;7>{t<:2;6=4:{<60g6m;:0327>;3;j>1=52z?7==`=m:3019760;:;0>{ti0:1<793:1>v3;1769a6?<5=;=?7673:pe<4=838p19?8b;g0=>;39>k145=4}rc:7?6=:r79h>m5896897b4l3o856s|a8694?4|5;32i7674:?1=<`=m:30q~;<4383>7}:=:>86h=6;<7012?0n?4524c46>=>73ty?4o850;0x91>e0323?63;8c49a6?3mh4?:3y>0=d?212?70:7a18a2<=z{<;;?7>53z?7=m:3019l:c;:;7>;3k0o145?4}r6a10<72:q685lm:9:0?82e=>0i:4524c7`>=>63ty>==850;0x91>ej3o8563;c`69<=57fgc212870=ka9824657fg7212870=kb8824657f?a212870=kb68246552z?7=<2=m:3019763;:;6>{t=8326=4={<6:=6{t<02o6=4={<6:=6`5>34>29;47839~w07>j3:1>v3;9449<=2<5<;2n7??329~w1?2=3:1>v3;9449a6?<5=3><7673:pe;311>145<4}r72=d<72;q6846;:9:7?8361h0:<>=4}r6:3a<72;q6846;:d1:?82>0;034?5rs`;b>5<5s4>2m;4j389>0=99987p};98g94?4|5=3j97k<9:?7=d5=0180q~o6b;296~;31k<1i>74=5;a1?>?:2wx9<78:18182>j<03495250;4>464;2wx84oj:18182>j<0n?45248`0>=>53tyj5n4?:3y>0=>?21=m70:7888f7<=z{<><;7>52z?7{t===26=4={<6;bfi1<78>855008yv33?m0;6?u24823>`5>34???;4:129~w020i3:1>v3;8gf9a6?<5<>8:7;>1:p111?2909w0:7f`8f7<=:==9=68>k;|q6020=838p196i8;g0=>;2<:<18k94}r7730<72;q685h8:d1:?833;?0?j;5rs4655<5s4>211572<;:7p}:47c94?4|5=3;j7k<9:?6066==880q~;;6683>7}:<0:h6h=6;<7775<28m1v8:9b;296~;318:1i>74=4604?36;2wx996;:18182>8k0n?4525513>1`03ty>85=50;0x91?7i3o8563:42290c00=`e2l9270;;318647=z{<><=7>52z?7{t===96=4={<6;b691<78>=55008yv33>o0;6?u249ge>`5>34???>4:0e9~w020<3:1>v3;8g79a6?<5<>8?7;>3:p110c2909w0:6138f7<=:==9869h8;|q603e=838p197>1;g0=>;2<:918k84}r772`<72;q685kl:d1:?833;:0>5<5s4?9mk4j389>17d721287p};99494?4|5=33;7k<9:?7==4=0190q~:6a683>7}:<0k36h=6;<6:e674=5;a7?>?;2wx84=n:18082?jh034>52481`>g0>34>i9?47819~w077=3:1>v3;8cc9a6?<5=ij>7673:p0=?02909w0:79c8;<6=:<1336o86;|q655?=838p1966b;g0=>;3l1k145?4}r13a4<72;q6?=k;:95e?857m80i:45rs`;g>5<5s4>jm:4j389>0dg121287p};a`794?4|5=kj:7k<9:?7ed6=0190q~o6e;296~;3ik>1i>74=5ca7?>?;2wx8ll=:18182fj:0n?4524``6>=>63tyj5k4?:3y>0de72l9270:nc28;<1=z{hk;6=4={<6b1fv3;a7;9a6?<5=k=47673:p0d002909w0:n698f7<=:;|qbe6<72;q68l<=:d1:?82f:8034>5rs5c15?6=:r7?m??5e2;891g6k323?6s|a`694?4|5=k9j7k<9:?7e7c=0190q~:n2d83>7}:=>43ty?m>l50;0x91g4k3o8563;a209<=752z?05cb=m:301>?ic;:;5>{tih=1<7v3<21d9a6?<5:8;i7671:ped?=838p1>;4:9i145?4}rcbe?6=:r78>=l5e2;89647i323=6s|a``94?4|5:8;57k<9:?065>=01;0q~onc;296~;4:9=1i>74=2032?>?92wxmlj50;0x9647=3o8563<2169<=752z?0655=m:301>{tihl1<7=>58938yvge83:1>v3<1gd9a6?<5:;mi7671:peg7=838p1>?i9;g0=>;49o2145?4}rca6?6=:r79i975e2;897c30323=6s|ac194?4|5;o?;7k<9:?1a10=01;0q~om4;296~;5m74=3g6e?>?92wxmo;50;0x97c213o8563=e4:9<=752z?1a01=m:301?k:6;:;5>{tik21<7v3=e419a6?<5;o>>7671:pegg=838p1?k:1;g0=>;5m<:145?4}rcaf?6=:r79i9h5e2;897c3m323=6s|aca94?4|5;o?h7k<9:?1a1e=01;0q~omd;296~;5m=h1i>74=3g7e?>?92wxmok50;0x97c3=3o8563=e569<=752z?1edd=m:301?ona;:;5>{tij:1<7v3=acf9a6?<5;kio7671:pef5=838p1?omb;g0=>;5ikk145?4}rc`0?6=:r79mo75e2;897ge0323=6s|ab794?4|5;ki;7k<9:?1eg0=01;0q~ol6;296~;5ik?1i>74=3ca0?>?92wxmn950;0x97ge;3o8563=ac09<=752z?1eg7=m:301?om0;:;5>{tij31<7v3=a`f9a6?<5;kjo7671:pefd=838p1?on7;g0=>;5ih<145?4}rc``?6=:r7?5o:5e2;891?e;32386s|abg94?4|5=3j87k<9:?7=d5=01>0q~olf;296~;31191i>74=5;;6?>?<2wxmi>50;0x91ge>3o8563;ac79<=452z?7ed?=m:3019on0;:;6>{tim81<7v3;a4g9a6?<5=k>h7672:pea2=838p19o<3;g0=>;3i:8145<4}rcg2?6=:r7?m?85e2;891g5=323>6s|ae594?4|5=k:;7k<9:?7e4e=0180q~ok8;296~;3:mo1i>74=50g`?>?<2wxmi750;0x904a03252z?66c>=01:018{timh1<70n278n==5e2;8yvgck3:1>v32909w0=m028;<4=:<:i?6;98;|qb`a<72;q6?lh;:95e?85fn<0n?45rs`ff>5<5s49jj947819>7d`12l927p};36:94?4|5:km97671:?77f2=>?i0q~okf;296~;300214:h4=5::=?c412wxmh>50;0x91>>0323<63;88c9a6?52z?66d1=0>l018{til81<7?827>>l75e2;8yv24?>0;6?u253c;>=>634>8o9496c9~w6dfi3:1>v3:2`;9<=5<5:lhm7l99:pe`5=838p1?:=2;:4b>;5<;91i>74}rcf0?6=:r798?<589289725<3o856s|ad794?4|5:h:4768f:?0f4?=m:30q~oj6;296~;4j82145>4=2`2e?c412wxmh950;0x904dj3252z?66fd=01:018{t;k=1a349o<44j389~wdcf2909w0=k098;<5=:;m:j6h=6;|qbag<72;q6=ojm:95e?87elj0n?45rs`g`>5<5s4;iho47819>5gbc2l927p}nee83>7}:;oij659i;<1egf`5>3tyjik4?:3y>0g3021=m70:m598f7<=z{hl;6=4={<6a12=1a34>8ik4j389~wd`52909w0:h=:95e?824n:0n?45rs`d7>5<5s4>8j?47819>06`32l927p};3g394?4|5=9m>7l99:?77c3=01>0q~oi5;296~;48l=14:h4=22f52z?04`7=0>l01>>j2;g0=>{tio21<7?8278v3;8819<2`<5=2287k<9:pecd=838p19663;:;4>;300?1i>74}rceg?6=:r7?58?5e2;891?2832386s|agf94?4|5<8mn7k<9:?66cg=0180q~=m7583>7}:=;lj656;;<3`g2<1m81vlhj:181824lo03495242g0>`5>3ty?>9?50;0x914383o8563;1b09<2`75g?212;70=?ae824656a65212;706<03212;70<6688246552z?76{tj9:1<7>o;58928yvd793:1>v3:2cg9a6?<5<8ih7670:pf54=838p18;2:kl145>4}r`37?6=:r7>>n:5e2;8904d;323<6s|b1794?4|5<8h:7k<9:?66f3=01:0q~l?6;296~;2:j81i>74=40`5?>?82wxn=950;0x904d03o8563:2b59<=652z?66fg=m:3018{tj931<7>nk58928yvd7i3:1>v3:2e39a6?<5<8o<7670:pf5d=838p18;2:ji145>4}r`3g?6=:r7>>i;5e2;8904c<323<6s|b1f94?4|5<8o;7k<9:?66a0=01:0q~l?e;296~;30k=1i>74=5:a2?>?<2wxn<>50;0x91>4j3o8563;82c9<=252z?7<6`=m:30196{tj881<7v3;8559a6?<5=2?:7674:pf42=838p196;1;g0=>;30=:145:4}r`21?6=:r7?4>j5e2;891>4k32386s|b0494?4|5=2857k<9:?7<6>=01>0q~l>7;296~;30:=1i>74=5:02?>?<2wxn<650;0x91?b83o8563;9ed9<=252z?66ce=0>l018{tj8k1<7?827>>kh5e2;8yv5e?<0;6?u253de>=>634;ho:49e39~wg7e2909w0::3d8;3c=:<<9m6h=6;|qa5f<72;q688=j:9:3?822<90n?45rsc3g>5<5s488m:477g9>66g?2l927p}m1d83>7}:::k<656?;<00e<`5>3tyi>=4?:3y>0d?a212;70:na08f7<=z{k8:6=4={<6b5g=>734>j=i4j389~wg442909w0=m128;3c=:;k;?6h=6;|qa61<72;q6?o?<:9:3?85e9<0n?45rs514a?6=:r78n<;58918915d<3<346s|b3794?4|5:h:<768f:?0f47=m:30q~l=6;296~;4j8:145>4=2`26?c412wx8>9k:18185e9;034>5242a7>3>03tyi>:4?:3y>7g6c21=m70=m0d8f7<=z{k836=4={<1a4ai:d1:?xu3;>i1<7?;27??n:56948yvd513:1>v3l?a;:;4>;4j9i1i>74}r603g<72;q6?o>l:9:0?824k=0=485rsc0a>5<5s49i<:477g9>7g6?2l927p}m2b83>7}:;k:<656?;<1a4<52z?7ef7=01:019ol3;g0=>{tj::1<70n27>>o95e2;8yvd493:1>v3:2c49<=6<5<8i47k<9:pf64=838p18;2:mo1i>74}r`07?6=:r7>>ij58928904cn3o856s|b2694?4|5=32m768f:?7=4=5;:g?c412wxn>850;0x904am3o8563:2gf9<=452z?0gd1=m:301>mn5;:;0>{tj:21<7v3:2c09<2`<5<8i?7k<9:pf6g=838p18;2:k>1i>74}r1a33<72;q69?l;:9:1?87dkh0=:o5rsc1a>5<5s4>2?n477g9>0<5c2l927p}m3b83>7}:<09h656?;<6:7``5>3ty?o9<50;0x91e393>3;63;c509a6?173b2=2<70;=5g8f7<=z{=>=?7>52z?7034=<1=019:93;g0=>{t<:==6=4={<6030<30>168>99:d1:?xu3<1>034>:o?4j389~w14bm3:1>v3;2df90=1<5=8ni7k<9:p67db2909w0<=be87<2=::;hn6h=6;|q16fe=838p1?;5:ji1i>74}r0101<72;q6>?:<:5:4?845<=0n?45rs22ba?6=:r785<5s49:m84;869>74g12l927p}=d1:94?4|5;n;;7:77:?1`5>=m:30q~7}::mlo6968;<0gb`l8?:18184f=o0?4:522`43>`5>3tyi9:4?:3y>0g3d2l9270:m538;<7=z{=h8h7>52z?7<71=01;019l{tj<21<7461<27?;575e2;8yv200<0;6?u246:4>g0>34><454;259~w11?i3:1>v3;79:9550334><4l4j389~w112>3:1>v3;7469550334><9;4j389~w112:3:1>v3;7469f3?<5==>97:=4:p02302909w0:85482432<5==>;7k<9:p14?c2909w0:jcb87<2=:=;9j6<><3:p0abe2909w0:kd`87<2=:;3lmn1i>74}r6g`c<72;q68ijj:5:4?82clo0n?45rs5ff5?6=:r7?hh>5495891bb93o856s|4eg0>5<5s4>oi?4;869>0ac42l927p};de394?4|5=no<7:77:?7`a7=m:30q~:kd283>7}:18594=5fg1?c412wx8ij8:18182cl?0?4:524ef4>`5>3ty?hi750;0x91bc03>3;63;de;9a6?omk4?:3y>0agb2=2<70:kag8f7<=z{=ni=7>52z?7`g6=<1=019jm1;g0=>{t168il<:d1:?xu3lk?1<70;6?u24e`5>1>034>on:4j389~w1bd=3:1>v3;db690=1<5=nh97k<9:p0ae02909w0:kc787<2=:;3lj31i>74}r6ggg<72;q68imn:5:4?82ckk0n?45rs5f``?6=:r7?hnm5495891bdl3o856s|4eae>5<5s4>ooh4;869>0aea2l927p};dc;94?4|5=ni47:77:?7`g?=m:30q~:kbc83>7}:`5>3ty?hn?50;0x91bd83>3;63;db39a6?oo>4?:3y>0ae52=2<70:kc28f7<=z{=k52z?7e2e=m:3019o8a;3321=z{<:;j7>52z?7e2g=j?3019o8b;610>{t{t=6=4={<6;a={t{t{t{tm6=4>8z?7f1`=m:3019j;b;:;5>;3l?;145?4=5f5=?>?927?h;l5893891b1l323=63;d7d9<=7<5=n<=7671:?7`25=01;019j85;:;5>;3l>=145?4=5f7a?>?927?h8>5893891b2:323=63;d469<=7<5=n>:7671:?7`0>=01;019j:a;:;5>;3l?927?h;>5893891b1<323=63;d749<=7<5=n=47671:p0g2b290:4v3;b5g9a6?<5=n;:7671:?7`4e=01;019j=4;:;5>;3l;<145?4=5f1?927?h?o5893891b5k323=63;d3g9<=7<5=n8<7671:?7`64=01;019j?9;:;5>;3l9h145?4=5f3`?>?927?h=h5893891b69323=63;d019<=7<5=n:97671:?7`41=01;019j>9;:;5>;3l8h145?4=5f2b?>?927?h??5893891b5;323=6s|4c65>5<5m32l019j72;:4b>;3l1>14:h4=5f;2?>0n27?h5o586d891b?k32l019jj1;:4b>;3ll914:h4=5fg5?>0n27?hi=586d891bc=32l019jm3;:4b>;3lk?14:h4=5fa3?>0n27?hn;586d891bd?32l019jlf;:4b>;3lk314:h4=5faf?>0n27?hoj586d891ben32;3k:?145?4=5a03?>?927?o>75893891e4j323=63;c2f9<=7<5=i:97671:?7g41=01;019m>9;:;5>;3k8h145?4=5a2`?>?927?o;3k;i145?4=5a1a?>?92wx8o:7:18`837jm034<5251`e>=>634?;o<47809>15e4212:70;?c48;<4=:=9i<656>;<73g<034<5251`:>=>634?;no47809>0g2?2l927p};b5594?>|5=h?;7k<9:?7g`7=0>l019mj3;:4b>;3kl?14:h4=5af3?>0n27?oh7586d891ebj3252z?7f24=0>l019l83;g0=>{t10k1<70n27?4l;5e2;8yv?>j3:1>v3;8`29<=6<5=2j:7k<9:p5451290?w0?>528;3`=:98??6o86;<32735rs0300?6=586g8947293h=563>1269aac<7l99:?2g01=<1=0q~?83083>7}:98?:659j;<3474<68:90q~?>3283>1}:98>o659j;<320`016=<=<:dff?[76;:1vl=;:1818761>03ty:;>>50;0x9473m32722955543ty:=><50;6x9473i3215`9f3?<58;8>7kke:\256452z?251g=j?301{t9>8m6=4={<320g{t989:6=4;{<3202g0>34;h8h4;869~w415m3:1>v3>15:9<2c<58=9i7??329~w47483:18v3>1569<2c<58;?97l99:?2566=mmo0R;6k=h18594}r346a<72;q6=<:::95f?870:m0:<>=4}r327c<72=q6=<8j:95f?876>o0i:452101e>`bb3W;:?k5rs`1;>5<5s4;::h4m689>5f2?2=2<7p}>74a94?4|58;=j768e:?230e=99987p}>12g94?2|58;=n768e:?253e=j?301X69:o0q~o<9;296~;69?h1n;74=0a71?2??2wx=:;m:181876>j03;h52167a>464;2wx=<=k:187876>103;h52104:>g0>34;:?i4jdd9]545c3tyj?l4?:3y>540?2k<270?l4387<2=z{8=>m7>52z?253?=0>o01<9:a;3376=z{8;8o7>54z?2533=0>o01;69:i1iik4^030g>{ti:h1<7h54958yv70=00;6?u21045>=1b34;<944>0218yv76;k0;69u21041>=1b34;::>4m689>545e2lnn7S?>3c9~wd5d2909w0?>638a2<=:9j9h6968;|q230>=838p1;6?<21===<;|q256g=83>p1;69?:1n;74=030e?ccm2T:=>o4}rc0`?6=:r7:=8h5b7;894e413>3;6s|166g>5<5s4;::=477d9>522c28:8?6s|101:>5<3s4;:9n477d9>543c2k<270?>388f``=Y98927p}n3d83>7}:98?h6o86;<3`73<30>1v<9;2;296~;6965eeg8Z47402wxm>h50;0x947213h=563>c2190=1543f21=n70?83682465543121=n70?>568a2<=:989<6hjj;_3272=z{h>;6=4={<3213016=n=?:5:4?xu6?;i1<70m27:;?m51110?xu69;l1<7:t=0375?>0m27:=9<5b7;89475n3ooi6P>13d8yvg393:1>v3>1539f3?<58i9h7:77:p524e2909w0?>438;3`=:9>8i6<><3:p5410290?w0?>958;3`=:983>6o86;<32325rs0341?6=:3h=563>1679aac7}:9839659j;<3406<68:90q~?>7583>1}:982n659j;<32016=<9;:dff?[76?=1vl:;:1818760l0i:4521b:7>1>03ty:;9?50;0x947?n32753955543ty:=:=50;6x947?j3219a9f3?<58;52z?25=d=j?301{t9>>;6=4={<32{t98=96=4;{<32<=g0>34;h;h4;869~w414n3:1>v3>19;9<2c<58=8j7??329~w47093:18v3>1979<2c<58;3:7l99:?2527=mmo0R;6k>h18594}r347`<72;q6=<69:95f?870;l0:<>=4}r32<5<72=q6=`bb3W;:4=5rs`6:>5<5s4;:mk4m689>5f1?2=2<7p}>72f94?4|58;i<768e:?236b=99987p}>16d94?2|58;jo768e:?25db=j?301X69>l0q~o;a;296~;69hi1n;74=0a41?2??2wx=:=l:181876im03;h52161`>464;2wx=<9j:187876i003;h5210cb>g0>34;:;h4jdd9]541b3tyj8o4?:3y>54g>2k<270?l7387<2=z{8=8n7>52z?25dg=0>o01<954z?25d0=0>o01;69>n1iik4^034`>{ti=i1<7=1b34;0218yv76?j0;69u210c0>=1b34;:m94m689>541d2lnn7S?>7b9~wd2c2909w0?>a28a2<=:9j;6?:31===<;|q252d=83>p1;69h;1n;74=034f?ccm2T:=:l4}rc7a?6=:r7:=l>5b7;894e113>3;6s|161;>5<5s4;:m<477d9>525?28:8?6s|105b>5<3s4;:5i477d9>54?b2k<270?>7`8f``=Y98=j7p}n4g83>7}:983o6o86;<3`23<30>1v<9<6;296~;690o14:k4=0502?77;:1v50;0x947>i3h=563>c7190=154?e21=n70?8348246554?021=n70?>998a2<=:98=36hjj;_323==z{h?:6=4={<32=2016=n8?:5:4?xu6?:>1<70m27:;>:51110?xu69>:1<7:t=03;6?>0m27:=5=5b7;8947083ooi6P>1628yvg2:3:1>v3>1909f3?<58i>h7:77:p52542909w0?>828;3`=:9>986<><3:p54d?290?w0?>d48;3`=:98n=6o86;<32f=5rs03a2?6=1c49aac7l99:?2gg1=<1=0q~?85583>7}:98n8659j;<3411<68:90q~?>b483>1}:98im659j;<32`5016=1>03ty:;8=50;0x947c832741955543ty:=o:50;6x947dk321bf9f3?<58;i87kke:\25g2:7>52z?25fe=j?301{t9>?96=4={<32ga{t98h86=4;{<32g<0;6?u210a:>g0>34;hmh4;869~w41293:1>v3>1bc9<2c<58=>=7??329~w47e:3:18v3>1b49<2c<58;h;7l99:?25g4=mmo0R=838p1;6khh18594}r3415<72;q6==4}r32g4<72=q6=`bb3W;:o<5rs`7:>5<5s4;:j=4m689>5fg?2=2<7p}>75d94?4|58;m=768e:?231`=99987p}>1b294?2|58;nh768e:?25`c=j?301X69j:0q~o:a;296~;69ln1n;74=0ab1?2??2wx=::j:181876ml03;h52166f>464;2wx=g0>34;:nk4jdd9]54da3tyj9o4?:3y>54cf2k<270?la387<2=z{8=?o7>52z?25`d=0>o01<9;c;3376=z{8;ii7>54z?25`1=0>o01;69ko1iik4^03aa>{ti=1b34;<8o4>0218yv76jm0;69u210g7>=1b34;:i84m689>54dc2lnn7S?>be9~wd3b2909w0?>e58a2<=:9j3h6968;|q231g=838p1;6?=k1===<;|q25ge=83>p1;69l81n;74=03ag?ccm2T:=om4}rc6b?6=:r7:=h?5b7;894e>13>3;6s|166:>5<5s4;:i?477d9>522>28:8?6s|10`a>5<3s4;:hh477d9>54ba2k<270?>bc8f``=Y98hi7p}n6183>7}:98nn6o86;<3`=3<30>1v<9;8;296~;69ml14:k4=057c8190=154bd21=n70?8468246554b?21=n70?>d88a2<=:98h26hjj;_32f<=z{h<96=4={<32`=016=n7?:5:4?xu6?=<1<70m27:;9851110?xu69k;1<7:t=03`7?>0m27:=n:5b7;8947e93ooi6P>1c38yvg1;3:1>v3>1b19f3?<58i3h7:77:p52222909w0?>c58;3`=:9>>>6<><3:p5fdf2909w0?lb`82465<58iin7:77:p5gcb2909w0?med82465<58hnj7:77:p5fd62909w0?lb082465<58ii>7:77:p5fd02909w0?lb682465<58ii47:77:p5fd32909w0?lb582465<58ii97:77:p5fg?2909w0?la982465<58ij57:77:p5fgb2909w0?lad82465<58ijj7:77:p5fge2909w0?lac82465<58ijo7:77:p5fg22909w0?la482465<58ij:7:77:p5fg52909w0?la382465<58ij?7:77:p5f?>2909w0?l9882465<58i2m7:77:p5f?a2909w0?l9g82465<58ij<7:77:p5f?d2909w0?l9b82465<58i2h7:77:p5f?12909w0?l9782465<58i2;7:77:p5f?42909w0?l9282465<58i287:77:p5f>f2909w0?l8`82465<58i3n7:77:p5f?72909w0?l9182465<58i2=7:77:p5f>c2909w0?l8e82465<58i3i7:77:p5f>02909w0?l8682465<58i347:77:p5f>32909w0?l8582465<58i397:77:p5f1e2909w0?l7c82465<58i62909w0?l8082465<58i3>7:77:p5f1b2909w0?l7d82465<58i2909w0?l6882465<58i=m7:77:p5f0a2909w0?l6g82465<58i<<7:77:p5f0d2909w0?l6b82465<58i=h7:77:p5f012909w0?l6782465<58i=;7:77:p5f042909w0?l6282465<58i=87:77:p5f3f2909w0?l5`82465<58i>n7:77:p5f072909w0?l6182465<58i==7:77:p5f3c2909w0?l5e82465<58i>i7:77:p5f302909w0?l5682465<58i>47:77:p5f332909w0?l5582465<58i>97:77:p5f2e2909w0?l4c82465<58i?o7:77:p5f362909w0?l5082465<58i>>7:77:p5f2b2909w0?l4d82465<58i?j7:77:p5f2?2909w0?l4982465<58i?57:77:p5f222909w0?l4482465<58i?:7:77:p5f5d2909w0?l3b82465<58i8h7:77:p5f252909w0?l4382465<58i??7:77:p5f5a2909w0?l3g82465<58i?<7:77:p5f542909w0?l3282465<58i887:77:p5f5>2909w0?l3882465<58i8m7:77:p5f512909w0?l3782465<58i8;7:77:p17552909w0;=3382465<5<;297:77:p5f572909w0?l3182465<58i8=7:77:p5f4c2909w0?l2e82465<58i9i7:77:p17542909w0;=3282465<5<;2:7:77:p175e2909w0;=3c82465<5<;2i7:77:p175b2909w0;=3d82465<5<;j=7:77:p175d2909w0;=3b82465<5<;2j7:77:p175c2909w0;=3e82465<5<;j<7:77:p175a2909w0;=3g82465<5<;j>7:77:p17272909w0;=4182465<5<;j?7:77:p17532909w0;=3582465<5<;2;7:77:p17262909w0;=4082465<5<;j87:77:p17252909w0;=4382465<5<;j97:77:p17522909w0;=3482465<5<;247:77:p17512909w0;=3782465<5<;257:77:p175>2909w0;=3882465<5<;2o7:77:p17502909w0;=3682465<5<;2m7:77:p175?2909w0;=3982465<5<;2n7:77:p17232909w0;=4582465<5<;j;7:77:p17242909w0;=4282465<5<;j:7:77:p172b2909w0;=4d82465<5<;i=7:77:p172d2909w0;=4b82465<5<;jj7:77:p172c2909w0;=4e82465<5<;i<7:77:p172a2909w0;=4g82465<5<;i>7:77:p17372909w0;=5182465<5<;i?7:77:p17342909w0;=5282465<5<;i:7:77:p17362909w0;=5082465<5<;i87:77:p17352909w0;=5382465<5<;i97:77:p17222909w0;=4482465<5<;j47:77:p17212909w0;=4782465<5<;j57:77:p172>2909w0;=4882465<5<;jo7:77:p17202909w0;=4682465<5<;jm7:77:p172?2909w0;=4982465<5<;jn7:77:p172f2909w0;=4`82465<5<;jh7:77:p172e2909w0;=4c82465<5<;ji7:77:p513c2909w0?l268200b<58i947?;5e9~w422?3:1>v3>c359513034;h>54>4458yxh5?koi6=4;{o336<<63g;9=>4?;|l13gcd290iwc?=1282?xh5?koo6=4>{o3156<53td9;okj:182k759:087p`=7cge>5<6sg;9=>4;;|l13g`7290:wc?=1286?xh5?kl:6=4>{o3156<13td9;oh=:182k759:0<7p`=7cd0>5<6sg;9=>47;|l13g`3290:wc?=128:?xh5?kl>6=4>{o31565<6sg;9=>4l;|l13g`?290:wc?=128g?xh5?kl26=4>{o31565<6sg;9=>4>0:m62dak3:1=v`>201954=zf;=iji4?:0ym57742880qc<8bgg94?7|f88:?7?<;|l13g`a290:wc?=12820>{i:>i;<7>51zl2645=9<1vb?9l0083>4}i9;;86<84}o04g54=83;pb<<>3;34?xh5?j:86=4>{o3156<602we>:m?4;295~h6:891=45rn35`40<728qe=??<:0c8yk40k9<1<7?tn0027?7e3td9;n>8:182k759:0:o6sa26a3<=51e9~j71d800;64c5<6sg;9=>4=0:m62e7k3:1=v`>201964=zf;=h{i:>i:<7>51zl2645=:<1vb?9l1083>4}i9;;86?84}o04g44=83;pb<<>3;04?xh5?j;86=4>{o3156<502we>:m>4;295~h6:891>45rn35`50<728qe=??<:3c8yk40k8<1<7?tn0027?4e3td9;n?8:182k759:09o6sa26a2<=52e9~j71d900;67c5<6sg;9=>4<0:m62e6k3:1=v`>201974=zf;=h=i4?:0ym57742:80qc<8c0g94?7|f88:?7=<;|l13f7a290:wc?=12800>{i:>i9<7>51zl2645=;<1vb?9l2083>4}i9;;86>84}o04g74=83;pb<<>3;14?xh5?j886=4>{o3156<402we>:m=4;295~h6:891?45rn35`60<728qe=??<:2c8yk40k;<1<7?tn0027?5e3td9;n<8:182k759:08o6sa26a1<=53e9~j71d:00;66c5<6sg;9=>4;0:m62e5k3:1=v`>201904=zf;=h>i4?:0ym57742=80qc<8c3g94?7|f88:?7:<;|l13f4a290:wc?=12870>{i:>i8<7>51zl2645=<<1vb?9l3083>4}i9;;86984}o04g64=83;pb<<>3;64?xh5?j986=4>{o3156<302we>:m<4;295~h6:891845rn35`70<728qe=??<:5c8yk40k:<1<7?tn0027?2e3td9;n=8:182k759:0?o6sa26a0<=54e9~j71d;00;61co50;3xj446;3>m7p`=7b1a>5<6sg;9=>4:0:m62e4k3:1=v`>201914=zf;=h?i4?:0ym57742<80qc<8c2g94?7|f88:?7;<;|l13f5a290:wc?=12860>{i:>i?<7>51zl2645==<1vb?9l4083>4}i9;;86884}o04g14=83;pb<<>3;74?xh5?j>86=4>{o3156<202we>:m;4;295~h6:891945rn35`00<728qe=??<:4c8yk40k=<1<7?tn0027?3e3td9;n:8:182k759:0>o6sa26a7<=55e9~j71d<00;60c5<6sg;9=>490:m62e3k3:1=v`>201924=zf;=h8i4?:0ym57742?80qc<8c5g94?7|f88:?78<;|l13f2a290:wc?=12850>{i:>i><7>51zl2645=><1vb?9l5083>4}i9;;86;84}o04g04=83;pb<<>3;44?xh5?j?86=4>{o3156<102we>:m:4;295~h6:891:45rn35`10<728qe=??<:7c8yk40k<<1<7?tn0027?0e3td9;n;8:182k759:0=o6sa26a6<=56e9~j71d=00;63c5<6sg;9=>480:m62e2k3:1=v`>201934=zf;=h9i4?:0ym57742>80qc<8c4g94?7|f88:?79<;|l13f3a290:wc?=12840>{i:>i=<7>51zl2645=?<1vb?9l6083>4}i9;;86:84}o04g34=83;pb<<>3;54?xh5?j<86=4>{o3156<002we>:m94;295~h6:891;45rn35`20<728qe=??<:6c8yk40k?<1<7?tn0027?1e3td9;n88:182k759:0<=57e9~j71d>00;62c5<6sg;9=>470:m62e1k3:1=v`>2019<4=zf;=h:i4?:0ym57742180qc<8c7g94?7|f88:?76<;|l13f0a290:wc?=128;0>{i:>i<<7>51zl2645=0<1vb?9l7083>4}i9;;86584}o04g24=83;pb<<>3;:4?xh5?j=86=4>{o3156:m84;295~h6:891445rn35`30<728qe=??<:9c8yk40k><1<7?tn0027?>e3td9;n98:182k759:03o6sa26a4<=58e9~j71d?00;6=c5<6sg;9=>460:m62e0k3:1=v`>2019=4=zf;=h;i4?:0ym57742080qc<8c6g94?7|f88:?77<;|l13f1a290:wc?=128:0>{i:>i3<7>51zl2645=1<1vb?9l8083>4}i9;;86484}o04g=4=83;pb<<>3;;4?xh5?j286=4>{o3156<>02we>:m74;295~h6:891545rn35`<0<728qe=??<:8c8yk40k1<1<7?tn0027??e3td9;n68:182k759:02o6sa26a;<=59e9~j71d000;65<6sg;9=>4n0:m62e?k3:1=v`>2019e4=zf;=h4i4?:0ym57742h80qc<8c9g94?7|f88:?7o<;|l13f>a290:wc?=128b0>{i:>i2<7>51zl2645=i<1vb?9l9083>4}i9;;86l84}o04g<4=83;pb<<>3;c4?xh5?j386=4>{o3156:m64;295~h6:891m45rn35`=0<728qe=??<:`c8yk40k0<1<7?tn0027?ge3td9;n78:182k759:0jo6sa26a:<=5ae9~j71d100;6dc5<6sg;9=>4m0:m62e>k3:1=v`>2019f4=zf;=h5i4?:0ym57742k80qc<8c8g94?7|f88:?7l<;|l13f?a290:wc?=128a0>{i:>ij<7>51zl2645=j<1vb?9la083>4}i9;;86o84}o04gd4=83;pb<<>3;`4?xh5?jk86=4>{o3156:mn4;295~h6:891n45rn35`e0<728qe=??<:cc8yk40kh<1<7?tn0027?de3td9;no8:182k759:0io6sa26ab<=5be9~j71di00;6gc5<6sg;9=>4l0:m62efk3:1=v`>2019g4=zf;=hmi4?:0ym57742j80qc<8c`g94?7|f88:?7m<;|l13fga290:wc?=128`0>{i:>ii<7>51zl2645=k<1vb?9lb083>4}i9;;86n84}o04gg4=83;pb<<>3;a4?xh5?jh86=4>{o3156:mm4;295~h6:891o45rn35`f0<728qe=??<:bc8yk40kk<1<7?tn0027?ee3td9;nl8:182k759:0ho6sa26aa<=5ce9~j71dj00;6fc5<6sg;9=>4k0:m62eek3:1=v`>2019`4=zf;=hni4?:0ym57742m80qc<8ccg94?7|f88:?7j<;|l13fda290:wc?=128g0>{i:>ih<7>51zl2645=l<1vb?9lc083>4}i9;;86i84}o04gf4=83;pb<<>3;f4?xh5?ji86=4>{o3156:ml4;295~h6:891h45rn35`g0<728qe=??<:ec8yk40kj<1<7?tn0027?be3td9;nm8:182k759:0oo6sa26a`<=5de9~j71dk00;6ac5<6sg;9=>4j0:m62edk3:1=v`>2019a4=zf;=hoi4?:0ym57742l80qc<8cbg94?7|f88:?7k<;|l13fea290:wc?=128f0>{i:>io<7>51zl2645=m<1vb?9ld083>4}i9;;86h84}o04ga4=83;pb<<>3;g4?xh5?jn86=4>{o3156:mk4;295~h6:891i45rn35``0<728qe=??<:dc8yk40km<1<7?tn0027?ce3td9;nj8:182k759:0no6sa26ag<=5ee9~j71dl00;6`c5<6sg;9=>4i0:m62eck3:1=v`>2019b4=zf;=hhi4?:0ym57742o80qc<8ceg94?7|f88:?7h<;|l13fba290:wc?=128e0>{i:>in<7>51zl2645=n<1vb?9le083>4}i9;;86k84}o04g`4=83;pb<<>3;d4?xh5?jo86=4>{o3156:mj4;295~h6:891j45rn35`a0<728qe=??<:gc8yk40kl<1<7?tn0027?`e3td9;nk8:182k759:0mo6sa26af<=5fe9~j71dm00;6cc5<6sg;9=>4>019~j71dmj0;64663td9;nkk:182k759:0:{o3156<68=1vb?9lf183>4}i9;;86<>:;|l13f`6290:wc?=128243=zf;=hj?4?:0ym577428:<7p`=7bd0>5<6sg;9=>4>099~j71dn=0;646>3td9;nh::182k759:0:{o3156<68j1vb?9lf983>4}i9;;86<>k;|l13f`>290:wc?=12824`=zf;=hjl4?:0ym577428:m7p`=7bda>5<6sg;9=>4>119~j71dnj0;64763td9;nhk:182k759:0:=?5rn35`b`<728qe=??<:030?xh5?jlm6=4>{o3156<69=1vb?9k0183>4}i9;;865<6sg;9=>4>199~j71c8=0;647>3td9;i>::182k759:0:=l5rn35g43<728qe=??<:03a?xh5?m:<6=4>{o3156<69j1vb?9k0983>4}i9;;86290:wc?=12825`=zf;=o5<6sg;9=>4>219~j71c8j0;64463td9;i>k:182k759:0:>?5rn35g4`<728qe=??<:000?xh5?m:m6=4>{o3156<6:=1vb?9k1183>4}i9;;86<<:;|l13a76290:wc?=128263=zf;=o=?4?:0ym5774288<7p`=7e30>5<6sg;9=>4>299~j71c9=0;644>3td9;i?::182k759:0:>l5rn35g53<728qe=??<:00a?xh5?m;<6=4>{o3156<6:j1vb?9k1983>4}i9;;86<290:wc?=12826`=zf;=o=l4?:0ym5774288m7p`=7e3a>5<6sg;9=>4>319~j71c9j0;64563td9;i?k:182k759:0:??5rn35g5`<728qe=??<:010?xh5?m;m6=4>{o3156<6;=1vb?9k2183>4}i9;;86<=:;|l13a46290:wc?=128273=zf;=o>?4?:0ym5774289<7p`=7e00>5<6sg;9=>4>399~j71c:=0;645>3td9;i<::182k759:0:?l5rn35g63<728qe=??<:01a?xh5?m8<6=4>{o3156<6;j1vb?9k2983>4}i9;;86<=k;|l13a4>290:wc?=12827`=zf;=o>l4?:0ym5774289m7p`=7e0a>5<6sg;9=>4>419~j71c:j0;64263td9;i{o3156<6<=1vb?9k3183>4}i9;;86<::;|l13a56290:wc?=128203=zf;=o??4?:0ym577428><7p`=7e10>5<6sg;9=>4>499~j71c;=0;642>3td9;i=::182k759:0:8l5rn35g73<728qe=??<:06a?xh5?m9<6=4>{o3156<64}i9;;86<:k;|l13a5>290:wc?=12820`=zf;=o?l4?:0ym577428>m7p`=7e1a>5<6sg;9=>4>519~j71c;j0;64363td9;i=k:182k759:0:9?5rn35g7`<728qe=??<:070?xh5?m9m6=4>{o3156<6==1vb?9k4183>4}i9;;86<;:;|l13a26290:wc?=128213=zf;=o8?4?:0ym577428?<7p`=7e60>5<6sg;9=>4>599~j71c<=0;643>3td9;i:::182k759:0:9l5rn35g03<728qe=??<:07a?xh5?m><6=4>{o3156<6=j1vb?9k4983>4}i9;;86<;k;|l13a2>290:wc?=12821`=zf;=o8l4?:0ym577428?m7p`=7e6a>5<6sg;9=>4>619~j71c4063td9;i:k:182k759:0::?5rn35g0`<728qe=??<:040?xh5?m>m6=4>{o3156<6>=1vb?9k5183>4}i9;;86<8:;|l13a36290:wc?=128223=zf;=o9?4?:0ym577428<<7p`=7e70>5<6sg;9=>4>699~j71c==0;640>3td9;i;::182k759:0::l5rn35g13<728qe=??<:04a?xh5?m?<6=4>{o3156<6>j1vb?9k5983>4}i9;;86<8k;|l13a3>290:wc?=12822`=zf;=o9l4?:0ym5774285<6sg;9=>4>719~j71c=j0;64163td9;i;k:182k759:0:;?5rn35g1`<728qe=??<:050?xh5?m?m6=4>{o3156<6?=1vb?9k6183>4}i9;;86<9:;|l13a06290:wc?=128233=zf;=o:?4?:0ym577428=<7p`=7e40>5<6sg;9=>4>799~j71c>=0;641>3td9;i8::182k759:0:;l5rn35g23<728qe=??<:05a?xh5?m<<6=4>{o3156<6?j1vb?9k6983>4}i9;;86<9k;|l13a0>290:wc?=12823`=zf;=o:l4?:0ym577428=m7p`=7e4a>5<6sg;9=>4>819~j71c>j0;64>63td9;i8k:182k759:0:4?5rn35g2`<728qe=??<:0:0?xh5?m{o3156<60=1vb?9k7183>4}i9;;86<6:;|l13a16290:wc?=1282<3=zf;=o;?4?:0ym5774282<7p`=7e50>5<6sg;9=>4>899~j71c?=0;64>>3td9;i9::182k759:0:4l5rn35g33<728qe=??<:0:a?xh5?m=<6=4>{o3156<60j1vb?9k7983>4}i9;;86<6k;|l13a1>290:wc?=1282<`=zf;=o;l4?:0ym5774282m7p`=7e5a>5<6sg;9=>4>919~j71c?j0;64?63td9;i9k:182k759:0:5?5rn35g3`<728qe=??<:0;0?xh5?m=m6=4>{o3156<61=1vb?9k8183>4}i9;;86<7:;|l13a>6290:wc?=1282=3=zf;=o4?4?:0ym5774283<7p`=7e:0>5<6sg;9=>4>999~j71c0=0;64?>3td9;i6::182k759:0:5l5rn35g<3<728qe=??<:0;a?xh5?m2<6=4>{o3156<61j1vb?9k8983>4}i9;;86<7k;|l13a>>290:wc?=1282=`=zf;=o4l4?:0ym5774283m7p`=7e:a>5<6sg;9=>4>a19~j71c0j0;64g63td9;i6k:182k759:0:m?5rn35g<`<728qe=??<:0c0?xh5?m2m6=4>{o3156<6i=1vb?9k9183>4}i9;;865<6sg;9=>4>a99~j71c1=0;64g>3td9;i7::182k759:0:ml5rn35g=3<728qe=??<:0ca?xh5?m3<6=4>{o3156<6ij1vb?9k9983>4}i9;;86290:wc?=1282e`=zf;=o5l4?:0ym577428km7p`=7e;a>5<6sg;9=>4>b19~j71c1j0;64d63td9;i7k:182k759:0:n?5rn35g=`<728qe=??<:0`0?xh5?m3m6=4>{o3156<6j=1vb?9ka183>4}i9;;865<6sg;9=>4>b99~j71ci=0;64d>3td9;io::182k759:0:nl5rn35ge3<728qe=??<:0`a?xh5?mk<6=4>{o3156<6jj1vb?9ka983>4}i9;;86290:wc?=1282f`=zf;=oml4?:0ym577428hm7p`=7eca>5<6sg;9=>4>c19~j71cij0;64e63td9;iok:182k759:0:o?5rn35ge`<728qe=??<:0a0?xh5?mkm6=4>{o3156<6k=1vb?9kb183>4}i9;;865<6sg;9=>4>c99~j71cj=0;64e>3td9;il::182k759:0:ol5rn35gf3<728qe=??<:0aa?xh5?mh<6=4>{o3156<6kj1vb?9kb983>4}i9;;86290:wc?=1282g`=zf;=onl4?:0ym577428im7p`=7e`a>5<1sg;;>44?;o3156<6l91vb?9kbb83>4}i9;;86;|l13adc290:wc?=1282`7=zf;=onh4?:0ym577428n87p`=7e`e>5<6sg;9=>4>d59~j71ck90;64b23td9;im>:182k759:0:h;5rn35gg7<728qe=??<:0f4?xh5?mi86=4>{o3156<6l11vb?9kc583>4}i9;;865<6sg;9=>4>db9~j71ck10;64bc3td9;im6:182k759:0:hh5rn35ggd<728qe=??<:0fe?xh5?mii6=4>{o3156<6m91vb?9kcb83>4}i9;;86;|l13aec290:wc?=1282a7=zf;=ooh4?:0ym577428o87p`=7eae>5<6sg;9=>4>e59~j71cl90;64c23td9;ij>:182k759:0:i;5rn35g`7<728qe=??<:0g4?xh5?mn86=4>{o3156<6m11vb?9kd583>4}i9;;865<6sg;9=>4>eb9~j71cl10;64cc3td9;ij6:182k759:0:ih5rn35g`d<728qe=??<:0ge?xh5?mni6=4>{o3156<6n91vb?9kdb83>4}i9;;86;|l13abc290:wc?=1282b7=zf;=ohh4?:0ym577428l87p`=7efe>5<6sg;9=>4>f59~j71cm90;64`23td9;ik>:182k759:0:j;5rn35ga7<728qe=??<:0d4?xh5?mo86=4>{o3156<6n11vb?9ke583>4}i9;;865<6sg;9=>4>fb9~j71cm10;64`c3td9;ik6:182k759:0:jh5rn35gad<728qe=??<:0de?xh5?moi6=4>{o3156<5891vb?9keb83>4}i9;;86?>>;|l13acc290:wc?=128147=zf;=oih4?:0ym57742;:87p`=7ege>5<6sg;9=>4=059~j71cn90;67623td9;ih>:182k759:09<;5rn35gb7<728qe=??<:324?xh5?ml86=4>{o3156<5811vb?9kf583>4}i9;;86?>6;|l13a`2290:wc?=12814d=zf;=oj;4?:0ym57742;:i7p`=7ed4>5<6sg;9=>4=0b9~j71cn10;676c3td9;ih6:182k759:09{o3156<5991vb?9kfb83>4}i9;;86??>;|l13a`c290:wc?=128157=zf;=ojh4?:0ym57742;;87p`=7ede>5<6sg;9=>4=159~j71b890;67723td9;h>>:182k759:09=;5rn35f47<728qe=??<:334?xh5?l:86=4>{o3156<5911vb?9j0583>4}i9;;86??6;|l13`62290:wc?=12815d=zf;=n<;4?:0ym57742;;i7p`=7d24>5<6sg;9=>4=1b9~j71b810;677c3td9;h>6:182k759:09=h5rn35f4d<728qe=??<:33e?xh5?l:i6=4>{o3156<5:91vb?9j0b83>4}i9;;86?<>;|l13`6c290:wc?=128167=zf;=n5<6sg;9=>4=259~j71b990;67423td9;h?>:182k759:09>;5rn35f57<728qe=??<:304?xh5?l;86=4>{o3156<5:11vb?9j1583>4}i9;;86?<6;|l13`72290:wc?=12816d=zf;=n=;4?:0ym57742;8i7p`=7d34>5<6sg;9=>4=2b9~j71b910;674c3td9;h?6:182k759:09>h5rn35f5d<728qe=??<:30e?xh5?l;i6=4>{o3156<5;91vb?9j1b83>4}i9;;86?=>;|l13`7c290:wc?=128177=zf;=n=h4?:0ym57742;987p`=7d3e>5<6sg;9=>4=359~j71b:90;67523td9;h<>:182k759:09?;5rn35f67<728qe=??<:314?xh5?l886=4>{o3156<5;11vb?9j2583>4}i9;;86?=6;|l13`42290:wc?=12817d=zf;=n>;4?:0ym57742;9i7p`=7d04>5<6sg;9=>4=3b9~j71b:10;675c3td9;h<6:182k759:09?h5rn35f6d<728qe=??<:31e?xh5?l8i6=4>{o3156<5<91vb?9j2b83>4}i9;;86?:>;|l13`4c290:wc?=128107=zf;=n>h4?:0ym57742;>87p`=7d0e>5<6sg;9=>4=459~j71b;90;67223td9;h=>:182k759:098;5rn35f77<728qe=??<:364?xh5?l986=4>{o3156<5<11vb?9j3583>4}i9;;86?:6;|l13`52290:wc?=12810d=zf;=n?;4?:0ym57742;>i7p`=7d14>5<6sg;9=>4=4b9~j71b;10;672c3td9;h=6:182k759:098h5rn35f7d<728qe=??<:36e?xh5?l9i6=4>{o3156<5=91vb?9j3b83>4}i9;;86?;>;|l13`5c290:wc?=128117=zf;=n?h4?:0ym57742;?87p`=7d1e>5<6sg;9=>4=559~j71b<90;67323td9;h:>:182k759:099;5rn35f07<728qe=??<:374?xh5?l>86=4>{o3156<5=11vb?9j4583>4}i9;;86?;6;|l13`22290:wc?=12811d=zf;=n8;4?:0ym57742;?i7p`=7d64>5<6sg;9=>4=5b9~j71b<10;673c3td9;h:6:182k759:099h5rn35f0d<728qe=??<:37e?xh5?l>i6=4>{o3156<5>91vb?9j4b83>4}i9;;86?8>;|l13`2c290:wc?=128127=zf;=n8h4?:0ym57742;<87p`=7d6e>5<6sg;9=>4=659~j71b=90;67023td9;h;>:182k759:09:;5rn35f17<728qe=??<:344?xh5?l?86=4>{o3156<5>11vb?9j5583>4}i9;;86?86;|l13`32290:wc?=12812d=zf;=n9;4?:0ym57742;5<6sg;9=>4=6b9~j71b=10;670c3td9;h;6:182k759:09:h5rn35f1d<728qe=??<:34e?xh5?l?i6=4>{o3156<5?91vb?9j5b83>4}i9;;86?9>;|l13`3c290:wc?=128137=zf;=n9h4?:0ym57742;=87p`=7d7e>5<6sg;9=>4=759~j71b>90;67123td9;h8>:182k759:09;;5rn35f27<728qe=??<:354?xh5?l<86=4>{o3156<5?11vb?9j6583>4}i9;;86?96;|l13`02290:wc?=12813d=zf;=n:;4?:0ym57742;=i7p`=7d44>5<6sg;9=>4=7b9~j71b>10;671c3td9;h86:182k759:09;h5rn35f2d<728qe=??<:35e?xh5?l{o3156<5091vb?9j6b83>4}i9;;86?6>;|l13`0c290:wc?=1281<7=zf;=n:h4?:0ym57742;287p`=7d4e>5<6sg;9=>4=859~j71b?90;67>23td9;h9>:182k759:094;5rn35f37<728qe=??<:3:4?xh5?l=86=4>{o3156<5011vb?9j7583>4}i9;;86?66;|l13`12290:wc?=12815<6sg;9=>4=8b9~j71b?10;67>c3td9;h96:182k759:094h5rn35f3d<728qe=??<:3:e?xh5?l=i6=4>{o3156<5191vb?9j7b83>4}i9;;86?7>;|l13`1c290:wc?=1281=7=zf;=n;h4?:0ym57742;387p`=7d5e>5<6sg;9=>4=959~j71b090;67?23td9;h6>:182k759:095;5rn35f<7<728qe=??<:3;4?xh5?l286=4>{o3156<5111vb?9j8583>4}i9;;86?76;|l13`>2290:wc?=1281=d=zf;=n4;4?:0ym57742;3i7p`=7d:4>5<6sg;9=>4=9b9~j71b010;67?c3td9;h66:182k759:095h5rn35f{o3156<5i91vb?9j8b83>4}i9;;86?o>;|l13`>c290:wc?=1281e7=zf;=n4h4?:0ym57742;k87p`=7d:e>5<6sg;9=>4=a59~j71b190;67g23td9;h7>:182k759:09m;5rn35f=7<728qe=??<:3c4?xh5?l386=4>{o3156<5i11vb?9j9583>4}i9;;86?o6;|l13`?2290:wc?=1281ed=zf;=n5;4?:0ym57742;ki7p`=7d;4>5<6sg;9=>4=ab9~j71b110;67gc3td9;h76:182k759:09mh5rn35f=d<728qe=??<:3ce?xh5?l3i6=4>{o3156<5j91vb?9j9b83>4}i9;;86?l>;|l13`?c290:wc?=1281f7=zf;=n5h4?:0ym57742;h87p`=7d;e>5<6sg;9=>4=b59~j71bi90;67d23td9;ho>:182k759:09n;5rn35fe7<728qe=??<:3`4?xh5?lk86=4>{o3156<5j11vb?9ja583>4}i9;;86?l6;|l13`g2290:wc?=1281fd=zf;=nm;4?:0ym57742;hi7p`=7dc4>5<6sg;9=>4=bb9~j71bi10;67dc3td9;ho6:182k759:09nh5rn35fed<728qe=??<:3`e?xh5?lki6=4>{o3156<5k91vb?9jab83>4}i9;;86?m>;|l13`gc290:wc?=1281g7=zf;=nmh4?:0ym57742;i87p`=7dce>5<6sg;9=>4=c59~j71bj90;67e23td9;hl>:182k759:09o;5rn35ff7<728qe=??<:3a4?xh5?lh86=4>{o3156<5k11vb?9jb583>4}i9;;86?m6;|l13`d2290:wc?=1281gd=zf;=nn;4?:0ym57742;ii7p`=7d`4>5<6sg;9=>4=cb9~j71bj10;67ec3td9;hl6:182k759:09oh5rn35ffd<728qe=??<:3ae?xh5?lhi6=4>{o3156<5l91vb?9jbb83>4}i9;;86?j>;|l13`dc290:wc?=1281`7=zf;=nnh4?:0ym57742;n87p`=7d`e>5<6sg;9=>4=d59~j71bk90;67b23td9;hm>:182k759:09h;5rn35fg7<728qe=??<:3f4?xh5?li86=4>{o3156<5l11vb?9jc583>4}i9;;86?j6;|l13`e2290:wc?=1281`d=zf;=no;4?:0ym57742;ni7p`=7da4>5<6sg;9=>4=db9~j71bk10;67bc3td9;hm6:182k759:09hh5rn35fgd<728qe=??<:3fe?xh5?lii6=4>{o3156<5m91vb?9jcb83>4}i9;;86?k>;|l13`ec290:wc?=1281a7=zf;=noh4?:0ym57742;o87p`=7dae>5<6sg;9=>4=e59~j71bl90;67c23td9;hj>:182k759:09i;5rn35f`7<728qe=??<:3g4?xh5?ln86=4>{o3156<5m11vb?9jd583>4}i9;;86?k6;|l13`b2290:wc?=1281ad=zf;=nh;4?:0ym57742;oi7p`=7df4>5<6sg;9=>4=eb9~j71bl10;67cc3td9;hj6:182k759:09ih5rn35f`d<728qe=??<:3ge?xh5?lni6=4>{o3156<5n91vb?9jdb83>4}i9;;86?h>;|l13`bc290:wc?=1281b7=zf;=nhh4?:0ym57742;l87p`=7dfe>5<6sg;9=>4=f59~j71bm90;67`23td9;hk>:182k759:09j;5rn35fa7<728qe=??<:3d4?xh5?lo86=4>{o3156<5n11vb?9je583>4}i9;;86?h6;|l13`c2290:wc?=1281bd=zf;=ni;4?:0ym57742;li7p`=7dg4>5<6sg;9=>4=fb9~j71bm10;67`c3td9;hk6:182k759:09jh5rn35fad<728qe=??<:3de?xh5?loi6=4>{o3156<4891vb?9jeb83>4}i9;;86>>>;|l13`cc290:wc?=128047=zf;=nih4?:0ym57742::87p`=7dge>5<6sg;9=>4<059~j71bn90;66623td9;hh>:182k759:08<;5rn35fb7<728qe=??<:224?xh5?ll86=4>{o3156<4811vb?9jf583>4}i9;;86>>6;|l13``2290:wc?=12804d=zf;=nj;4?:0ym57742::i7p`=7dd4>5<6sg;9=>4<0b9~j71bn10;666c3td9;hh6:182k759:08{o3156<4991vb?9jfb83>4}i9;;86>?>;|l13``c290:wc?=128057=zf;=njh4?:0ym57742:;87p`=7dde>5<6sg;9=>4<159~j71a890;66723td9;k>>:182k759:08=;5rn35e47<728qe=??<:234?xh5?o:86=4>{o3156<4911vb?9i0583>4}i9;;86>?6;|l13c62290:wc?=12805d=zf;=m<;4?:0ym57742:;i7p`=7g24>5<6sg;9=>4<1b9~j71a810;667c3td9;k>6:182k759:08=h5rn35e4d<728qe=??<:23e?xh5?o:i6=4>{o3156<4:91vb?9i0b83>4}i9;;86><>;|l13c6c290:wc?=128067=zf;=m5<6sg;9=>4<259~j71a990;66423td9;k?>:182k759:08>;5rn35e57<728qe=??<:204?xh5?o;86=4>{o3156<4:11vb?9i1583>4}i9;;86><6;|l13c72290:wc?=12806d=zf;=m=;4?:0ym57742:8i7p`=7g34>5<6sg;9=>4<2b9~j71a910;664c3td9;k?6:182k759:08>h5rn35e5d<728qe=??<:20e?xh5?o;i6=4>{o3156<4;91vb?9i1b83>4}i9;;86>=>;|l13c7c290:wc?=128077=zf;=m=h4?:0ym57742:987p`=7g3e>5<6sg;9=>4<359~j71a:90;66523td9;k<>:182k759:08?;5rn35e67<728qe=??<:214?xh5?o886=4>{o3156<4;11vb?9i2583>4}i9;;86>=6;|l13c42290:wc?=12807d=zf;=m>;4?:0ym57742:9i7p`=7g04>5<6sg;9=>4<3b9~j71a:10;665c3td9;k<6:182k759:08?h5rn35e6d<728qe=??<:21e?xh5?o8i6=4>{o3156<4<91vb?9i2b83>4}i9;;86>:>;|l13c4c290:wc?=128007=zf;=m>h4?:0ym57742:>87p`=7g0e>5<6sg;9=>4<459~j71a;90;66223td9;k=>:182k759:088;5rn35e77<728qe=??<:264?xh5?o986=4>{o3156<4<11vb?9i3583>4}i9;;86>:6;|l13c52290:wc?=12800d=zf;=m?;4?:0ym57742:>i7p`=7g14>5<6sg;9=>4<4b9~j71a;10;662c3td9;k=6:182k759:088h5rn35e7d<728qe=??<:26e?xh5?o9i6=4>{o3156<4=91vb?9i3b83>4}i9;;86>;>;|l13c5c290:wc?=128017=zf;=m?h4?:0ym57742:?87p`=7g1e>5<6sg;9=>4<559~j71a<90;66323td9;k:>:182k759:089;5rn35e07<728qe=??<:274?xh5?o>86=4>{o3156<4=11vb?9i4583>4}i9;;86>;6;|l13c22290:wc?=12801d=zf;=m8;4?:3ym57742:?i7p`=7g64>5<6sg;9=>4<5b9~j71a<10;6?ua1330>63c3td9;k:6:181k759:089h5rn35e0d<72;qe=??<:27e?xh5?o>i6=4>{o3156<4>91vb?9i4b83>6}]99l264c8~j446;39==6sa26d7`?6=9rd:><=53708yk40n=o1<7?tn0027?51;2we>:h;f;295~h6:891?;:4}o04b06=83;pb<<>3;151>{i:>l>=7>51zl2645=;?<0qc<8f4094?7|f88:?7=97:m62`2;3:1=v`>201973><=537c8yk40n<<1<7?tn0027?51j2we>:h:7;295~h6:891?;m4}o04b0>=83;pb<<>3;15`>{i:>l>57>51zl2645=;?o0qc<8f4c94?7|f88:?7=9f:m62`2j3:1=v`>2019726<=53608yk40n:h:f;295~h6:891?::4}o04b36=83;pb<<>3;141>{i:>l==7>51zl2645=;><0qc<8f7094?7|f88:?7=87:m62`1;3:1=v`>201972><=536c8yk40n?<1<7?tn0027?50j2we>:h97;295~h6:891?:m4}o04b3>=83;pb<<>3;14`>{i:>l=57>51zl2645=;>o0qc<8f7c94?7|f88:?7=8f:m62`1j3:1=v`>20197=6<=53908yk40n?o1<7?tn0027?5?;2we>:h9f;295~h6:891?5:4}o04b26=83;pb<<>3;1;1>{i:>l<=7>51zl2645=;1<0qc<8f6094?7|f88:?7=77:m62`0;3:1=v`>20197=><=539c8yk40n><1<7?tn0027?5?j2we>:h87;295~h6:891?5m4}o04b2>=83;pb<<>3;1;`>{i:>l<57>51zl2645=;1o0qc<8f6c94?7|f88:?7=7f:m62`0j3:1=v`>20197<6<=53808yk40n>o1<7;2we>:h8f;295~h6:891?4:4}o04b=6=838pb<<>3;1:1>{i:>l3=7>51zl2645=;0<0qc<8f9094?7|f88:?7=67:m62`?;3:1=v`>20197<><=538c8yk40n1<1<7?tn0027?5>j2we>:h77;296~h6:891?4m4}o04b=>=83;pb<<>3;1:`>{i:>l357>51zl2645=;0o0qc<8f9c94?7|f88:?7=6f:m62`?j3:1=v`>20197d6<=53`08yk40n1o1<7:h7f;295~h6:891?l:4}o04b<6=83;pb<<>3;1b1>{i:>l2=7>51zl2645=;h<0qc<8f8094?7|f88:?7=n7:m62`>;3:1>v`>20197d><=53`c8yk40n0<1<7?tn0027?5fj2we>:h67;295~h6:891?lm4}o04b<>=83;pb<<>3;1b`>{i:>l257>51zl2645=;ho0qc<8f8c94?4|f88:?7=nf:m62`>j3:1=v`>20197g6<=53c08yk40n0o1<7?tn0027?5e;2we>:h6f;295~h6:891?o:4}o04bd6=83;pb<<>3;1a1>{i:>lj=7>52zl2645=;k<0qc<8f`094?7|f88:?7=m7:m62`f;3:1>v`>20197g><=53cc8yk40nh<1<7?tn0027?5ej2we>:hn7;295~h6:891?om4}o04bd>=83;pb<<>3;1a`>{i:>lj57>51zl2645=;ko0qc<8f`c94?4|f88:?7=mf:m62`fj3:1=v`>20197f6<=53b08yk40nho1<7?tn0027?5d;2we>:hnf;295~h6:891?n:4}o04bg6=83;pb<<>3;1`1>{i:>li=7>51zl2645=;j<0qc<8fc094?7|f88:?7=l7:m62`e;3:1=v`>20197f><=53bc8yk40nk<1<7?tn0027?5dj2we>:hm7;295~h6:891?nm4}o04bg>=83;pb<<>3;1``>{i:>li57>51zl2645=;jo0qc<8fcc94?7|f88:?7=lf:m62`ej3:1=v`>20197a6<=53e08yk40nko1<7?tn0027?5c;2we>:hmf;295~h6:891?i:4}o04bf6=83;pb<<>3;1g1>{i:>lh=7>51zl2645=;m<0qc<8fb094?7|f88:?7=k7:m62`d;3:1=v`>20197a><=53ec8yk40nj<1<7?tn0027?5cj2we>:hl7;295~h6:891?im4}o04bf>=83;pb<<>3;1g`>{i:>lh57>51zl2645=;mo0qc<8fbc94?7|f88:?7=kf:m62`dj3:1=v`>20197`6<=53d08yk40njo1<7?tn0027?5b;2we>:hlf;295~h6:891?h:4}o04ba6=83;pb<<>3;1f1>{i:>lo=7>51zl2645=;l<0qc<8fe094?7|f88:?7=j7:m62`c;3:1=v`>20197`><=53dc8yk40nm<1<7?tn0027?5bj2we>:hk7;295~h6:891?hm4}o04ba>=83;pb<<>3;1f`>{i:>lo57>51zl2645=;lo0qc<8fec94?7|f88:?7=jf:m62`cj3:1=v`>20197c6<=53g08yk40nmo1<7?tn0027?5a;2we>:hkf;295~h6:891?k:4}o04b`6=83;pb<<>3;1e1>{i:>ln=7>51zl2645=;o<0qc<8fd094?7|f88:?7=i7:m62`b;3:1=v`>20197c><=53gc8yk40nl<1<7:hj7;295~h6:891?km4}o04b`>=838pb<<>3;1e`>{i:>ln57>51zl2645=;oo0qc<8fdc94?7|f88:?7=if:m62`bj3:1=v`>2019056;=6sa26df`?6=9rd:><=54108yk40nlo1<7?tn0027?27;2we>:hjf;295~h6:8918=:4}o04bc6=83;pb<<>3;631>{i:>lm=7>51zl2645=<9<0qc<8fg094?7|f88:?7:?7:m62`a;3:1=v`>201905>;56sa26de1?6=9rd:><=541c8yk40no<1<7?tn0027?27j2we>:hi7;295~h6:8918=m4}o04bc>=83;pb<<>3;63`>{i:>lm57>51zl2645=<9o0qc<8fgc94?7|f88:?7:?f:m62`aj3:1=v`>2019046:=6sa26de`?6=9rd:><=54008yk40noo1<7?tn0027?26;2we>:hif;295~h6:8918<:4}o0;456=83;pb<<>3;621>{i:1:;=7>51zl2645=<8<0qc<701094?7|f88:?7:>7:m6=67;3:1=v`>201904>:56sa29231?6=9rd:><=540c8yk4?89<1<7?tn0027?26j2we>5>?7;295~h6:8918=83;pb<<>3;62`>{i:1:;57>51zl2645=<8o0qc<701c94?7|f88:?7:>f:m6=67j3:1=v`>20190769=6sa2923`?6=9rd:><=54308yk4?89o1<7?tn0027?25;2we>5>?f;295~h6:8918?:4}o0;446=83;pb<<>3;611>{i:1::=7>51zl2645=<;<0qc<700094?7|f88:?7:=7:m6=66;3:1=v`>201907>956sa29221?6=9rd:><=543c8yk4?88<1<7?tn0027?25j2we>5>>7;295~h6:8918?m4}o0;44>=83;pb<<>3;61`>{i:1::57>51zl2645=<;o0qc<700c94?7|f88:?7:=f:m6=66j3:1=v`>20190668=6sa2922`?6=9rd:><=54208yk4?88o1<7?tn0027?24;2we>5>>f;295~h6:8918>:4}o0;476=83;pb<<>3;601>{i:1:9=7>51zl2645=<:<0qc<703094?7|f88:?7:<7:m6=65;3:1=v`>201906>856sa29211?6=9rd:><=542c8yk4?8;<1<7?tn0027?24j2we>5>=7;295~h6:8918>m4}o0;47>=83;pb<<>3;60`>{i:1:957>51zl2645=<:o0qc<703c94?7|f88:?7:2019016?=6sa2921`?6=9rd:><=54508yk4?8;o1<7?tn0027?23;2we>5>=f;295~h6:89189:4}o0;466=83;pb<<>3;671>{i:1:8=7>51zl2645=<=<0qc<702094?7|f88:?7:;7:m6=64;3:1=v`>201901>:50;3xj446;3>?56sa29201?6=9rd:><=545c8yk4?8:<1<7?tn0027?23j2we>5><7;295~h6:89189m4}o0;46>=83;pb<<>3;67`>{i:1:857>51zl2645=<=o0qc<702c94?7|f88:?7:;f:m6=64j3:1=v`>2019006m50;3xj446;3>>=6sa2920`?6=9rd:><=54408yk4?8:o1<7?tn0027?22;2we>5>3;661>{i:1:?=7>51zl2645=<<<0qc<705094?7|f88:?7::7:m6=63;3:1=v`>201900>>56sa29271?6=9rd:><=544c8yk4?8=<1<7?tn0027?22j2we>5>;7;295~h6:89188m4}o0;41>=83;pb<<>3;66`>{i:1:?57>51zl2645=<2019036==6sa2927`?6=9rd:><=54708yk4?8=o1<7?tn0027?21;2we>5>;f;295~h6:8918;:4}o0;406=83;pb<<>3;651>{i:1:>=7>51zl2645=201903>=56sa29261?6=9rd:><=547c8yk4?8<<1<7?tn0027?21j2we>5>:7;295~h6:8918;m4}o0;40>=83;pb<<>3;65`>{i:1:>57>51zl2645=2019026<=6sa2926`?6=9rd:><=54608yk4?85>:f;295~h6:8918::4}o0;436=83;pb<<>3;641>{i:1:==7>51zl2645=<><0qc<707094?7|f88:?7:87:m6=61;3:1=v`>201902><56sa29251?6=9rd:><=546c8yk4?8?<1<7?tn0027?20j2we>5>97;295~h6:8918:m4}o0;43>=83;pb<<>3;64`>{i:1:=57>51zl2645=<>o0qc<707c94?7|f88:?7:8f:m6=61j3:1=v`>20190=63=6sa2925`?6=9rd:><=54908yk4?8?o1<7?tn0027?2?;2we>5>9f;295~h6:89185:4}o0;426=83;pb<<>3;6;1>{i:1:<=7>51zl2645=<1<0qc<706094?7|f88:?7:77:m6=60;3:1=v`>20190=>356sa29241?6=9rd:><=549c8yk4?8><1<7?tn0027?2?j2we>5>87;295~h6:89185m4}o0;42>=83;pb<<>3;6;`>{i:1:<57>51zl2645=<1o0qc<706c94?7|f88:?7:7f:m6=60j3:1=v`>20190<62=6sa2924`?6=9rd:><=54808yk4?8>o1<7?tn0027?2>;2we>5>8f;295~h6:89184:4}o0;4=6=83;pb<<>3;6:1>{i:1:3=7>51zl2645=<0<0qc<709094?7|f88:?7:67:m6=6?;3:1=v`>20190<>256sa292;1?6=9rd:><=548c8yk4?81<1<7?tn0027?2>j2we>5>77;295~h6:89184m4}o0;4=>=83;pb<<>3;6:`>{i:1:357>51zl2645=<0o0qc<709c94?7|f88:?7:6f:m6=6?j3:1=v`>20190d6j=6sa292;`?6=9rd:><=54`08yk4?81o1<7?tn0027?2f;2we>5>7f;295~h6:8918l:4}o0;4<6=83;pb<<>3;6b1>{i:1:2=7>51zl2645=;3:1=v`>20190d>j56sa292:1?6=9rd:><=54`c8yk4?80<1<7?tn0027?2fj2we>5>67;295~h6:8918lm4}o0;4<>=83;pb<<>3;6b`>{i:1:257>51zl2645=j3:1=v`>20190g6i=6sa292:`?6=9rd:><=54c08yk4?80o1<7?tn0027?2e;2we>5>6f;295~h6:8918o:4}o0;4d6=83;pb<<>3;6a1>{i:1:j=7>51zl2645=20190g>i56sa292b1?6=9rd:><=54cc8yk4?8h<1<7?tn0027?2ej2we>5>n7;295~h6:8918om4}o0;4d>=83;pb<<>3;6a`>{i:1:j57>51zl2645=20190f6h=6sa292b`?6=9rd:><=54b08yk4?8ho1<7?tn0027?2d;2we>5>nf;295~h6:8918n:4}o0;4g6=83;pb<<>3;6`1>{i:1:i=7>51zl2645=20190f>h56sa292a1?6=9rd:><=54bc8yk4?8k<1<7?tn0027?2dj2we>5>m7;295~h6:8918nm4}o0;4g>=83;pb<<>3;6``>{i:1:i57>51zl2645=20190a6o=6sa292a`?6=9rd:><=54e08yk4?8ko1<7?tn0027?2c;2we>5>mf;295~h6:8918i:4}o0;4f6=83;pb<<>3;6g1>{i:1:h=7>51zl2645=20190a>o56sa292`1?6=9rd:><=54ec8yk4?8j<1<7?tn0027?2cj2we>5>l7;295~h6:8918im4}o0;4f>=83;pb<<>3;6g`>{i:1:h57>51zl2645=20190`6n=6sa292``?6=9rd:><=54d08yk4?8jo1<7?tn0027?2b;2we>5>lf;295~h6:8918h:4}o0;4a6=83;pb<<>3;6f1>{i:1:o=7>51zl2645=20190`>n56sa292g1?6=9rd:><=54dc8yk4?8m<1<7?tn0027?2bj2we>5>k7;295~h6:8918hm4}o0;4a>=83;pb<<>3;6f`>{i:1:o57>51zl2645=20190c6m=6sa292g`?6=9rd:><=54g08yk4?8mo1<7?tn0027?2a;2we>5>kf;295~h6:8918k:4}o0;4`6=83;pb<<>3;6e1>{i:1:n=7>51zl2645=20190c>m56sa292f1?6=9rd:><=54gc8yk4?8l<1<7?tn0027?2aj2we>5>j7;295~h6:8918km4}o0;4`>=83;pb<<>3;6e`>{i:1:n57>51zl2645=2019156<=55108yk4?8lo1<7?tn0027?37;2we>5>jf;295~h6:8919=:4}o0;4c6=83;pb<<>3;731>{i:1:m=7>51zl2645==9<0qc<70g094?7|f88:?7;?7:m6=6a;3:1=v`>201915><=551c8yk4?8o<1<7?tn0027?37j2we>5>i7;295~h6:8919=m4}o0;4c>=83;pb<<>3;73`>{i:1:m57>51zl2645==9o0qc<70gc94?7|f88:?7;?f:m6=6aj3:1=v`>2019146<=55008yk4?8oo1<7?tn0027?36;2we>5>if;295~h6:8919<:4}o0;556=83;pb<<>3;721>{i:1;;=7>51zl2645==8<0qc<711094?7|f88:?7;>7:m6=77;3:1=v`>201914><=550c8yk4?99<1<7?tn0027?36j2we>5??7;295~h6:8919=83;pb<<>3;72`>{i:1;;57>51zl2645==8o0qc<711c94?7|f88:?7;>f:m6=77j3:1=v`>2019176<=55308yk4?99o1<7?tn0027?35;2we>5??f;295~h6:8919?:4}o0;546=83;pb<<>3;711>{i:1;:=7>51zl2645==;<0qc<710094?7|f88:?7;=7:m6=76;3:1=v`>201917><=553c8yk4?98<1<7?tn0027?35j2we>5?>7;295~h6:8919?m4}o0;54>=83;pb<<>3;71`>{i:1;:57>51zl2645==;o0qc<710c94?7|f88:?7;=f:m6=76j3:1=v`>2019166<=55208yk4?98o1<7?tn0027?34;2we>5?>f;295~h6:8919>:4}o0;576=83;pb<<>3;701>{i:1;9=7>51zl2645==:<0qc<713094?7|f88:?7;<7:m6=75;3:1=v`>201916><=552c8yk4?9;<1<7?tn0027?34j2we>5?=7;295~h6:8919>m4}o0;57>=83;pb<<>3;70`>{i:1;957>51zl2645==:o0qc<713c94?7|f88:?7;2019116<=55508yk4?9;o1<7?tn0027?33;2we>5?=f;295~h6:89199:4}o0;566=83;pb<<>3;771>{i:1;8=7>51zl2645===<0qc<712094?7|f88:?7;;7:m6=74;3:1=v`>201911>:50;3xj446;3??56sa29301?6=9rd:><=555c8yk4?9:<1<7?tn0027?33j2we>5?<7;295~h6:89199m4}o0;56>=83;pb<<>3;77`>{i:1;857>51zl2645===o0qc<712c94?7|f88:?7;;f:m6=74j3:1=v`>2019106m50;3xj446;3?>=6sa2930`?6=9rd:><=55408yk4?9:o1<7?tn0027?32;2we>5?3;761>{i:1;?=7>51zl2645==<<0qc<715094?7|f88:?7;:7:m6=73;3:1=v`>201910>56sa29371?6=9rd:><=554c8yk4?9=<1<7?tn0027?32j2we>5?;7;295~h6:89198m4}o0;51>=83;pb<<>3;76`>{i:1;?57>51zl2645==2019136<=55708yk4?9=o1<7?tn0027?31;2we>5?;f;295~h6:8919;:4}o0;506=83;pb<<>3;751>{i:1;>=7>51zl2645==?<0qc<714094?7|f88:?7;97:m6=72;3:1=v`>201913><=557c8yk4?9<<1<7?tn0027?31j2we>5?:7;295~h6:8919;m4}o0;50>=83;pb<<>3;75`>{i:1;>57>51zl2645==?o0qc<714c94?7|f88:?7;9f:m6=72j3:1=v`>2019126<=55608yk4?95?:f;295~h6:8919::4}o0;536=83;pb<<>3;741>{i:1;==7>51zl2645==><0qc<717094?7|f88:?7;87:m6=71;3:1=v`>201912><=556c8yk4?9?<1<7?tn0027?30j2we>5?97;295~h6:8919:m4}o0;53>=83;pb<<>3;74`>{i:1;=57>51zl2645==>o0qc<717c94?7|f88:?7;8f:m6=71j3:1=v`>20191=6<=55908yk4?9?o1<7?tn0027?3?;2we>5?9f;295~h6:89195:4}o0;526=83;pb<<>3;7;1>{i:1;<=7>51zl2645==1<0qc<716094?7|f88:?7;77:m6=70;3:1=v`>20191=><=559c8yk4?9><1<7?tn0027?3?j2we>5?87;295~h6:89195m4}o0;52>=83;pb<<>3;7;`>{i:1;<57>51zl2645==1o0qc<716c94?7|f88:?7;7f:m6=70j3:1=v`>20191<6<=55808yk4?9>o1<7?tn0027?3>;2we>5?8f;295~h6:89194:4}o0;5=6=83;pb<<>3;7:1>{i:1;3=7>51zl2645==0<0qc<719094?7|f88:?7;67:m6=7?;3:1=v`>20191<><=558c8yk4?91<1<7?tn0027?3>j2we>5?77;295~h6:89194m4}o0;5=>=83;pb<<>3;7:`>{i:1;357>51zl2645==0o0qc<719c94?7|f88:?7;6f:m6=7?j3:1=v`>20191d6<=55`08yk4?91o1<7?tn0027?3f;2we>5?7f;295~h6:8919l:4}o0;5<6=83;pb<<>3;7b1>{i:1;2=7>51zl2645==h<0qc<718094?7|f88:?7;n7:m6=7>;3:1=v`>20191d><=55`c8yk4?90<1<7?tn0027?3fj2we>5?67;295~h6:8919lm4}o0;5<>=83;pb<<>3;7b`>{i:1;257>51zl2645==ho0qc<718c94?7|f88:?7;nf:m6=7>j3:1=v`>20191g6<=55c08yk4?90o1<7?tn0027?3e;2we>5?6f;295~h6:8919o:4}o0;5d6=83;pb<<>3;7a1>{i:1;j=7>51zl2645==k<0qc<71`094?7|f88:?7;m7:m6=7f;3:1=v`>20191g><=55cc8yk4?9h<1<7?tn0027?3ej2we>5?n7;295~h6:8919om4}o0;5d>=83;pb<<>3;7a`>{i:1;j57>51zl2645==ko0qc<71`c94?7|f88:?7;mf:m6=7fj3:1=v`>20191f6<=55b08yk4?9ho1<7?tn0027?3d;2we>5?nf;295~h6:8919n:4}o0;5g6=83;pb<<>3;7`1>{i:1;i=7>51zl2645==j<0qc<71c094?7|f88:?7;l7:m6=7e;3:1=v`>20191f><=55bc8yk4?9k<1<7?tn0027?3dj2we>5?m7;295~h6:8919nm4}o0;5g>=83;pb<<>3;7``>{i:1;i57>51zl2645==jo0qc<71cc94?7|f88:?7;lf:m6=7ej3:1=v`>20191a6<=55e08yk4?9ko1<7?tn0027?3c;2we>5?mf;295~h6:8919i:4}o0;5f6=83;pb<<>3;7g1>{i:1;h=7>51zl2645==m<0qc<71b094?7|f88:?7;k7:m6=7d;3:1=v`>20191a><=55ec8yk4?9j<1<7?tn0027?3cj2we>5?l7;295~h6:8919im4}o0;5f>=83;pb<<>3;7g`>{i:1;h57>51zl2645==mo0qc<71bc94?7|f88:?7;kf:m6=7dj3:1=v`>20191`6<=55d08yk4?9jo1<7?tn0027?3b;2we>5?lf;295~h6:8919h:4}o0;5a6=83;pb<<>3;7f1>{i:1;o=7>51zl2645==l<0qc<71e094?7|f88:?7;j7:m6=7c;3:1=v`>20191`><=55dc8yk4?9m<1<7?tn0027?3bj2we>5?k7;295~h6:8919hm4}o0;5a>=83;pb<<>3;7f`>{i:1;o57>51zl2645==lo0qc<71ec94?7|f88:?7;jf:m6=7cj3:1=v`>20191c6<=55g08yk4?9mo1<7?tn0027?3a;2we>5?kf;295~h6:8919k:4}o0;5`6=83;pb<<>3;7e1>{i:1;n=7>51zl2645==o<0qc<71d094?7|f88:?7;i7:m6=7b;3:1=v`>20191c><=55gc8yk4?9l<1<7?tn0027?3aj2we>5?j7;295~h6:8919km4}o0;5`>=83;pb<<>3;7e`>{i:1;n57>51zl2645==oo0qc<71dc94?7|f88:?7;if:m6=7bj3:1=v`>2019256<=56108yk4?9lo1<7?tn0027?07;2we>5?jf;295~h6:891:=:4}o0;5c6=83;pb<<>3;431>{i:1;m=7>51zl2645=>9<0qc<71g094?7|f88:?78?7:m6=7a;3:1=v`>201925><=561c8yk4?9o<1<7?tn0027?07j2we>5?i7;295~h6:891:=m4}o0;5c>=83;pb<<>3;43`>{i:1;m57>51zl2645=>9o0qc<71gc94?7|f88:?78?f:m6=7aj3:1=v`>2019246<=56008yk4?9oo1<7?tn0027?06;2we>5?if;295~h6:891:<:4}o0;656=83;pb<<>3;421>{i:18;=7>51zl2645=>8<0qc<721094?7|f88:?78>7:m6=47;3:1=v`>201924>=:50;3xj446;3<:56sa29031?6=9rd:><=560c8yk4?:9<1<7?tn0027?06j2we>5=83;pb<<>3;42`>{i:18;57>51zl2645=>8o0qc<721c94?7|f88:?78>f:m6=47j3:1=v`>2019276=m50;3xj446;3<9=6sa2903`?6=9rd:><=56308yk4?:9o1<7?tn0027?05;2we>53;411>{i:18:=7>51zl2645=>;<0qc<720094?7|f88:?78=7:m6=46;3:1=v`>201927><:50;3xj446;3<956sa29021?6=9rd:><=563c8yk4?:8<1<7?tn0027?05j2we>5<>7;295~h6:891:?m4}o0;64>=83;pb<<>3;41`>{i:18:57>51zl2645=>;o0qc<720c94?7|f88:?78=f:m6=46j3:1=v`>2019266<=56208yk4?:8o1<7?tn0027?04;2we>5<>f;295~h6:891:>:4}o0;676=83;pb<<>3;401>{i:189=7>51zl2645=>:<0qc<723094?7|f88:?78<7:m6=45;3:1=v`>201926>?:50;3xj446;3<856sa29011?6=9rd:><=562c8yk4?:;<1<7?tn0027?04j2we>5<=7;295~h6:891:>m4}o0;67>=83;pb<<>3;40`>{i:18957>51zl2645=>:o0qc<723c94?7|f88:?782019216?m50;3xj446;3<=56508yk4?:;o1<7?tn0027?03;2we>5<=f;295~h6:891:9:4}o0;666=83;pb<<>3;471>{i:188=7>51zl2645=>=<0qc<722094?7|f88:?78;7:m6=44;3:1=v`>201921>>:50;3xj446;3<=565c8yk4?::<1<7?tn0027?03j2we>5<<7;295~h6:891:9m4}o0;66>=83;pb<<>3;47`>{i:18857>51zl2645=>=o0qc<722c94?7|f88:?78;f:m6=44j3:1=v`>2019206>m50;3xj446;3<>=6sa2900`?6=9rd:><=56408yk4?::o1<7?tn0027?02;2we>5<3;461>{i:18?=7>51zl2645=><<0qc<725094?7|f88:?78:7:m6=43;3:1=v`>201920>9:50;3xj446;3<>56sa29071?6=9rd:><=564c8yk4?:=<1<7?tn0027?02j2we>5<;7;295~h6:891:8m4}o0;61>=83;pb<<>3;46`>{i:18?57>51zl2645=>20192369m50;3xj446;3<==6sa2907`?6=9rd:><=56708yk4?:=o1<7?tn0027?01;2we>5<;f;295~h6:891:;:4}o0;606=83;pb<<>3;451>{i:18>=7>51zl2645=>?<0qc<724094?7|f88:?7897:m6=42;3:1=v`>201923>8:50;3xj446;3<=56sa29061?6=9rd:><=567c8yk4?:<<1<7?tn0027?01j2we>5<:7;295~h6:891:;m4}o0;60>=83;pb<<>3;45`>{i:18>57>51zl2645=>?o0qc<724c94?7|f88:?789f:m6=42j3:1=v`>20192268m50;3xj446;3<<=6sa2906`?6=9rd:><=56608yk4?:5<:f;295~h6:891:::4}o0;636=83;pb<<>3;441>{i:18==7>51zl2645=>><0qc<727094?7|f88:?7887:m6=41;3:1=v`>201922>;:50;3xj446;3<<56sa29051?6=9rd:><=566c8yk4?:?<1<7?tn0027?00j2we>5<97;295~h6:891::m4}o0;63>=83;pb<<>3;44`>{i:18=57>51zl2645=>>o0qc<727c94?7|f88:?788f:m6=41j3:1=v`>20192=6;m50;3xj446;3<3=6sa2905`?6=9rd:><=56908yk4?:?o1<7?tn0027?0?;2we>5<9f;295~h6:891:5:4}o0;626=83;pb<<>3;4;1>{i:18<=7>51zl2645=>1<0qc<726094?7|f88:?7877:m6=40;3:1=v`>20192=>::50;3xj446;3<356sa29041?6=9rd:><=569c8yk4?:><1<7?tn0027?0?j2we>5<87;295~h6:891:5m4}o0;62>=83;pb<<>3;4;`>{i:18<57>51zl2645=>1o0qc<726c94?7|f88:?787f:m6=40j3:1=v`>20192<6:m50;3xj446;3<2=6sa2904`?6=9rd:><=56808yk4?:>o1<7?tn0027?0>;2we>5<8f;295~h6:891:4:4}o0;6=6=83;pb<<>3;4:1>{i:183=7>51zl2645=>0<0qc<729094?7|f88:?7867:m6=4?;3:1=v`>20192<>5:50;3xj446;3<256sa290;1?6=9rd:><=568c8yk4?:1<1<7?tn0027?0>j2we>5<77;295~h6:891:4m4}o0;6=>=83;pb<<>3;4:`>{i:18357>51zl2645=>0o0qc<729c94?7|f88:?786f:m6=4?j3:1=v`>20192d65m50;3xj446;3<=56`08yk4?:1o1<7?tn0027?0f;2we>5<7f;295~h6:891:l:4}o0;6<6=83;pb<<>3;4b1>{i:182=7>51zl2645=>h<0qc<728094?7|f88:?78n7:m6=4>;3:1=v`>20192d>4:50;3xj446;3<=56`c8yk4?:0<1<7?tn0027?0fj2we>5<67;295~h6:891:lm4}o0;6<>=83;pb<<>3;4b`>{i:18257>51zl2645=>ho0qc<728c94?7|f88:?78nf:m6=4>j3:1=v`>20192g64m50;3xj446;3<=56c08yk4?:0o1<7?tn0027?0e;2we>5<6f;295~h6:891:o:4}o0;6d6=83;pb<<>3;4a1>{i:18j=7>51zl2645=>k<0qc<72`094?7|f88:?78m7:m6=4f;3:1=v`>20192g>l:50;3xj446;3<=56cc8yk4?:h<1<7?tn0027?0ej2we>5=83;pb<<>3;4a`>{i:18j57>51zl2645=>ko0qc<72`c94?7|f88:?78mf:m6=4fj3:1=v`>20192f6lm50;3xj446;3<=56b08yk4?:ho1<7?tn0027?0d;2we>53;4`1>{i:18i=7>51zl2645=>j<0qc<72c094?7|f88:?78l7:m6=4e;3:1=v`>20192f>o:50;3xj446;3<=56bc8yk4?:k<1<7?tn0027?0dj2we>5=83;pb<<>3;4``>{i:18i57>51zl2645=>jo0qc<72cc94?7|f88:?78lf:m6=4ej3:1=v`>20192a6om50;3xj446;3<=56e08yk4?:ko1<7?tn0027?0c;2we>53;4g1>{i:18h=7>51zl2645=>m<0qc<72b094?7|f88:?78k7:m6=4d;3:1=v`>20192a>n:50;3xj446;3<=56ec8yk4?:j<1<7?tn0027?0cj2we>5=83;pb<<>3;4g`>{i:18h57>51zl2645=>mo0qc<72bc94?7|f88:?78kf:m6=4dj3:1=v`>20192`6nm50;3xj446;3<=56d08yk4?:jo1<7?tn0027?0b;2we>53;4f1>{i:18o=7>51zl2645=>l<0qc<72e094?7|f88:?78j7:m6=4c;3:1=v`>20192`>i:50;3xj446;3<=56dc8yk4?:m<1<7?tn0027?0bj2we>5=83;pb<<>3;4f`>{i:18o57>51zl2645=>lo0qc<72ec94?7|f88:?78jf:m6=4cj3:1=v`>20192c6im50;3xj446;3<=56g08yk4?:mo1<7?tn0027?0a;2we>53;4e1>{i:18n=7>51zl2645=>o<0qc<72d094?7|f88:?78i7:m6=4b;3:1=v`>20192c>h:50;3xj446;3<=56gc8yk4?:l<1<7?tn0027?0aj2we>5=83;pb<<>3;4e`>{i:18n57>51zl2645=>oo0qc<72dc94?7|f88:?78if:m6=4bj3:1=v`>2019356hm50;3xj446;3=;=6sa290f`?6=9rd:><=57108yk4?:lo1<7?tn0027?17;2we>53;531>{i:18m=7>51zl2645=?9<0qc<72g094?7|f88:?79?7:m6=4a;3:1=v`>201935>k:50;3xj446;3=;56sa290e1?6=9rd:><=571c8yk4?:o<1<7?tn0027?17j2we>5=83;pb<<>3;53`>{i:18m57>51zl2645=?9o0qc<72gc94?7|f88:?79?f:m6=4aj3:1=v`>2019346km50;3xj446;3=:=6sa290e`?6=9rd:><=57008yk4?:oo1<7?tn0027?16;2we>53;521>{i:19;=7>51zl2645=?8<0qc<731094?7|f88:?79>7:m6=57;3:1=v`>201934><=570c8yk4?;9<1<7?tn0027?16j2we>5=?7;295~h6:891;=83;pb<<>3;52`>{i:19;57>51zl2645=?8o0qc<731c94?7|f88:?79>f:m6=57j3:1=v`>2019376<=57308yk4?;9o1<7?tn0027?15;2we>5=?f;295~h6:891;?:4}o0;746=83;pb<<>3;511>{i:19:=7>51zl2645=?;<0qc<730094?7|f88:?79=7:m6=56;3:1=v`>201937><=573c8yk4?;8<1<7?tn0027?15j2we>5=>7;295~h6:891;?m4}o0;74>=83;pb<<>3;51`>{i:19:57>51zl2645=?;o0qc<730c94?7|f88:?79=f:m6=56j3:1=v`>2019366<=57208yk4?;8o1<7?tn0027?14;2we>5=>f;295~h6:891;>:4}o0;776=83;pb<<>3;501>{i:199=7>51zl2645=?:<0qc<733094?7|f88:?79<7:m6=55;3:1=v`>201936><=572c8yk4?;;<1<7?tn0027?14j2we>5==7;295~h6:891;>m4}o0;77>=83;pb<<>3;50`>{i:19957>51zl2645=?:o0qc<733c94?7|f88:?792019316<=57508yk4?;;o1<7?tn0027?13;2we>5==f;295~h6:891;9:4}o0;766=83;pb<<>3;571>{i:198=7>51zl2645=?=<0qc<732094?7|f88:?79;7:m6=54;3:1=v`>201931>:50;3xj446;3=?56sa29101?6=9rd:><=575c8yk4?;:<1<7?tn0027?13j2we>5=<7;295~h6:891;9m4}o0;76>=83;pb<<>3;57`>{i:19857>51zl2645=?=o0qc<732c94?7|f88:?79;f:m6=54j3:1=v`>2019306m50;3xj446;3=>=6sa2910`?6=9rd:><=57408yk4?;:o1<7?tn0027?12;2we>5=3;561>{i:19?=7>51zl2645=?<<0qc<735094?7|f88:?79:7:m6=53;3:1=v`>201930>56sa29171?6=9rd:><=574c8yk4?;=<1<7?tn0027?12j2we>5=;7;295~h6:891;8m4}o0;71>=83;pb<<>3;56`>{i:19?57>51zl2645=?2019336<=57708yk4?;=o1<7?tn0027?11;2we>5=;f;295~h6:891;;:4}o0;706=83;pb<<>3;551>{i:19>=7>51zl2645=??<0qc<734094?7|f88:?7997:m6=52;3:1=v`>201933><=577c8yk4?;<<1<7?tn0027?11j2we>5=:7;295~h6:891;;m4}o0;70>=83;pb<<>3;55`>{i:19>57>51zl2645=??o0qc<734c94?7|f88:?799f:m6=52j3:1=v`>2019326<=57608yk4?;5=:f;295~h6:891;::4}o0;736=83;pb<<>3;541>{i:19==7>51zl2645=?><0qc<737094?7|f88:?7987:m6=51;3:1=v`>201932><=576c8yk4?;?<1<7?tn0027?10j2we>5=97;295~h6:891;:m4}o0;73>=83;pb<<>3;54`>{i:19=57>51zl2645=?>o0qc<737c94?4|f88:?798f:m6=51j3:1=v`>20193=6<=57908yk4?;?o1<7?tn0027?1?;2we>5=9f;295~h6:891;5:4}o0;726=83;pb<<>3;5;1>{i:19<=7>51zl2645=?1<0qc<736094?7|f88:?7977:m6=50;3:1=v`>20193=><=579c8yk4?;><1<7?tn0027?1?j2we>5=87;295~h6:891;5m4}o0;72>=83;pb<<>3;5;`>{i:19<57>51zl2645=?1o0qc<736c94?7|f88:?797f:m6=50j3:1=v`>20193<6<=57808yk4?;>o1<7?tn0027?1>;2we>5=8f;295~h6:891;4:4}o0;7=6=83;pb<<>3;5:1>{i:193=7>51zl2645=?0<0qc<739094?7|f88:?7967:m6=5?;3:1=v`>20193<><=578c8yk4?;1<1<7?tn0027?1>j2we>5=77;295~h6:891;4m4}o0;7=>=83;pb<<>3;5:`>{i:19357>51zl2645=?0o0qc<739c94?7|f88:?796f:m6=5?j3:1>v`>20193d6<=57`08yk4?;1o1<75=7f;296~h6:891;l:4}o0;7<6=838pb<<>3;5b1>{i:192=7>52zl2645=?h<0qc<738094?4|f88:?79n7:m6=5>;3:1>v`>20193d><=57`c8yk4?;0<1<75=67;296~h6:891;lm4}o0;7<>=838pb<<>3;5b`>{i:19257>52zl2645=?ho0qc<738c94?7|f88:?79nf:m6=5>j3:1=v`>20193g6<=57c08yk4?;0o1<7?tn0027?1e;2we>5=6f;295~h6:891;o:4}o0;7d6=83;pb<<>3;5a1>{i:19j=7>51zl2645=?k<0qc<73`094?7|f88:?79m7:m6=5f;3:1=v`>20193g><=57cc8yk4?;h<1<7?tn0027?1ej2we>5=n7;295~h6:891;om4}o0;7d>=83;pb<<>3;5a`>{i:19j57>51zl2645=?ko0qc<73`c94?7|f88:?79mf:m6=5fj3:1=v`>20193f6<=57b08yk4?;ho1<7?tn0027?1d;2we>5=nf;295~h6:891;n:4}o0;7g6=83;pb<<>3;5`1>{i:19i=7>51zl2645=?j<0qc<73c094?7|f88:?79l7:m6=5e;3:1=v`>20193f><=57bc8yk4?;k<1<7?tn0027?1dj2we>5=m7;295~h6:891;nm4}o0;7g>=83;pb<<>3;5``>{i:19i57>51zl2645=?jo0qc<73cc94?7|f88:?79lf:m6=5ej3:1=v`>20193a6<=57e08yk4?;ko1<7?tn0027?1c;2we>5=mf;295~h6:891;i:4}o0;7f6=83;pb<<>3;5g1>{i:19h=7>51zl2645=?m<0qc<73b094?7|f88:?79k7:m6=5d;3:1=v`>20193a><=57ec8yk4?;j<1<7?tn0027?1cj2we>5=l7;295~h6:891;im4}o0;7f>=83;pb<<>3;5g`>{i:19h57>51zl2645=?mo0qc<73bc94?7|f88:?79kf:m6=5dj3:1=v`>20193`6<=57d08yk4?;jo1<7?tn0027?1b;2we>5=lf;295~h6:891;h:4}o0;7a6=83;pb<<>3;5f1>{i:19o=7>51zl2645=?l<0qc<73e094?7|f88:?79j7:m6=5c;3:1=v`>20193`><=57dc8yk4?;m<1<7?tn0027?1bj2we>5=k7;295~h6:891;hm4}o0;7a>=83;pb<<>3;5f`>{i:19o57>51zl2645=?lo0qc<73ec94?7|f88:?79jf:m6=5cj3:1=v`>20193c6<=57g08yk4?;mo1<7?tn0027?1a;2we>5=kf;295~h6:891;k:4}o0;7`6=83;pb<<>3;5e1>{i:19n=7>51zl2645=?o<0qc<73d094?7|f88:?79i7:m6=5b;3:1=v`>20193c><=57gc8yk4?;l<1<7?tn0027?1aj2we>5=j7;295~h6:891;km4}o0;7`>=83;pb<<>3;5e`>{i:19n57>51zl2645=?oo0qc<73dc94?7|f88:?79if:m6=5bj3:1?v`>2019<56<=58108yk4?;lo1<7?tn0027?>7;2we>5=jf;295~h6:8914=:4}o0;7c6=83;pb<<>3;:31>{i:19m=7>51zl2645=09<0qc<73g094?7|f88:?76?7:m6=5a;3:1=v`>2019<5><=581c8yk4?;o<1<7?tn0027?>7j2we>5=i7;295~h6:8914=m4}o0;7c>=83;pb<<>3;:3`>{i:19m57>51zl2645=09o0qc<73gc94?7|f88:?76?f:m6=5aj3:1>v`>2019<46<=58008yk4?;oo1<76;2we>5=if;296~h6:8914<:4}o0;056=838pb<<>3;:21>{i:1>;=7>52zl2645=08<0qc<741094?4|f88:?76>7:m6=27;3:1>v`>2019<4><=580c8yk4?<9<1<76j2we>5:?7;296~h6:8914=838pb<<>3;:2`>{i:1>;57>52zl2645=08o0qc<741c94?4|f88:?76>f:m6=27j3:1>v`>2019<76<=58308yk4?<9o1<75;2we>5:?f;296~h6:8914?:4}o0;046=838pb<<>3;:11>{i:1>:=7>52zl2645=0;<0qc<740094?4|f88:?76=7:m6=26;3:1>v`>2019<7><=583c8yk4?<8<1<75j2we>5:>7;296~h6:8914?m4}o0;04>=838pb<<>3;:1`>{i:1>:57>52zl2645=0;o0qc<740c94?4|f88:?76=f:m6=26j3:1>v`>2019<66<=58208yk4?<8o1<74;2we>5:>f;296~h6:8914>:4}o0;076=838pb<<>3;:01>{i:1>9=7>52zl2645=0:<0qc<743094?4|f88:?76<7:m6=25;3:1>v`>2019<6><=582c8yk4?<;<1<74j2we>5:=7;296~h6:8914>m4}o0;07>=838pb<<>3;:0`>{i:1>957>52zl2645=0:o0qc<743c94?4|f88:?76v`>2019<16<=58508yk4?<;o1<73;2we>5:=f;296~h6:89149:4}o0;066=838pb<<>3;:71>{i:1>8=7>52zl2645=0=<0qc<742094?4|f88:?76;7:m6=24;3:1>v`>2019<1>:50;0xj446;32?56sa29601?6=:rd:><=585c8yk4?<:<1<73j2we>5:<7;296~h6:89149m4}o0;06>=838pb<<>3;:7`>{i:1>857>52zl2645=0=o0qc<742c94?4|f88:?76;f:m6=24j3:1>v`>2019<06m50;0xj446;32>=6sa2960`?6=:rd:><=58408yk4?<:o1<72;2we>5:3;:61>{i:1>?=7>52zl2645=0<<0qc<745094?4|f88:?76:7:m6=23;3:1>v`>2019<0>56sa29671?6=:rd:><=584c8yk4?<=<1<72j2we>5:;7;296~h6:89148m4}o0;01>=838pb<<>3;:6`>{i:1>?57>52zl2645=0v`>2019<36<=58708yk4?<=o1<71;2we>5:;f;296~h6:8914;:4}o0;006=838pb<<>3;:51>{i:1>>=7>52zl2645=0?<0qc<744094?4|f88:?7697:m6=22;3:1>v`>2019<3><=587c8yk4?<<<1<71j2we>5::7;296~h6:8914;m4}o0;00>=838pb<<>3;:5`>{i:1>>57>52zl2645=0?o0qc<744c94?4|f88:?769f:m6=22j3:1>v`>2019<26<=58608yk4?<0;2we>5::f;295~h6:8914::4}o0;036=83;pb<<>3;:41>{i:1>==7>51zl2645=0><0qc<747094?7|f88:?7687:m6=21;3:1=v`>2019<2><=586c8yk4?0j2we>5:97;295~h6:8914:m4}o0;03>=83;pb<<>3;:4`>{i:1>=57>51zl2645=0>o0qc<747c94?7|f88:?768f:m6=21j3:1=v`>2019<=6<=58908yk4??;2we>5:9f;295~h6:89145:4}o0;026=83;pb<<>3;:;1>{i:1><=7>51zl2645=01<0qc<746094?7|f88:?7677:m6=20;3:1=v`>2019<=><=589c8yk4?<><1<7?tn0027?>?j2we>5:87;295~h6:89145m4}o0;02>=83;pb<<>3;:;`>{i:1><57>51zl2645=01o0qc<746c94?7|f88:?767f:m6=20j3:1=v`>2019<<6<=58808yk4?<>o1<7>;2we>5:8f;296~h6:89144:4}o0;0=6=838pb<<>3;::1>{i:1>3=7>52zl2645=00<0qc<749094?4|f88:?7667:m6=2?;3:1>v`>2019<<><=588c8yk4?<1<1<7>j2we>5:77;296~h6:89144m4}o0;0=>=838pb<<>3;::`>{i:1>357>52zl2645=00o0qc<749c94?4|f88:?766f:m6=2?j3:1>v`>2019<=58`08yk4?<1o1<7f;2we>5:7f;296~h6:8914l:4}o0;0<6=838pb<<>3;:b1>{i:1>2=7>52zl2645=0h<0qc<748094?4|f88:?76n7:m6=2>;3:1>v`>2019<=58`c8yk4?<0<1<7fj2we>5:67;296~h6:8914lm4}o0;0<>=838pb<<>3;:b`>{i:1>257>52zl2645=0ho0qc<748c94?4|f88:?76nf:m6=2>j3:1>v`>2019<=58c08yk4?<0o1<7e;2we>5:6f;296~h6:8914o:4}o0;0d6=838pb<<>3;:a1>{i:1>j=7>52zl2645=0k<0qc<74`094?4|f88:?76m7:m6=2f;3:1>v`>2019<=58cc8yk4?ej2we>5:n7;296~h6:8914om4}o0;0d>=838pb<<>3;:a`>{i:1>j57>52zl2645=0ko0qc<74`c94?4|f88:?76mf:m6=2fj3:1>v`>2019<=58b08yk4?d;2we>5:nf;295~h6:8914n:4}o0;0g6=83;pb<<>3;:`1>{i:1>i=7>51zl2645=0j<0qc<74c094?7|f88:?76l7:m6=2e;3:1=v`>2019<=58bc8yk4?dj2we>5:m7;295~h6:8914nm4}o0;0g>=83;pb<<>3;:``>{i:1>i57>51zl2645=0jo0qc<74cc94?7|f88:?76lf:m6=2ej3:1=v`>2019<=58e08yk4?c;2we>5:mf;295~h6:8914i:4}o0;0f6=83;pb<<>3;:g1>{i:1>h=7>51zl2645=0m<0qc<74b094?7|f88:?76k7:m6=2d;3:1=v`>2019<=58ec8yk4?cj2we>5:l7;295~h6:8914im4}o0;0f>=83;pb<<>3;:g`>{i:1>h57>51zl2645=0mo0qc<74bc94?7|f88:?76kf:m6=2dj3:1=v`>2019<`6<=58d08yk4?b;2we>5:lf;295~h6:8914h:4}o0;0a6=83;pb<<>3;:f1>{i:1>o=7>51zl2645=0l<0qc<74e094?7|f88:?76j7:m6=2c;3:1=v`>2019<`><=58dc8yk4?bj2we>5:k7;295~h6:8914hm4}o0;0a>=83;pb<<>3;:f`>{i:1>o57>51zl2645=0lo0qc<74ec94?7|f88:?76jf:m6=2cj3:1=v`>2019<=58g08yk4?a;2we>5:kf;295~h6:8914k:4}o0;0`6=83;pb<<>3;:e1>{i:1>n=7>51zl2645=0o<0qc<74d094?7|f88:?76i7:m6=2b;3:1=v`>2019<=58gc8yk4?aj2we>5:j7;295~h6:8914km4}o0;0`>=83;pb<<>3;:e`>{i:1>n57>51zl2645=0oo0qc<74dc94?7|f88:?76if:m6=2bj3:1=v`>2019=56<=59108yk4?5:jf;295~h6:8915=:4}o0;0c6=83;pb<<>3;;31>{i:1>m=7>51zl2645=19<0qc<74g094?7|f88:?77?7:m6=2a;3:1=v`>2019=5><=591c8yk4?5:i7;295~h6:8915=m4}o0;0c>=83;pb<<>3;;3`>{i:1>m57>51zl2645=19o0qc<74gc94?7|f88:?77?f:m6=2aj3:1=v`>2019=46<=59008yk4?5:if;295~h6:8915<:4}o0;156=83;pb<<>3;;21>{i:1?;=7>51zl2645=18<0qc<751094?7|f88:?77>7:m6=37;3:1=v`>2019=4><=590c8yk4?=9<1<7?tn0027??6j2we>5;?7;295~h6:8915=83;pb<<>3;;2`>{i:1?;57>51zl2645=18o0qc<751c94?7|f88:?77>f:m6=37j3:1=v`>2019=76<=59308yk4?=9o1<7?tn0027??5;2we>5;?f;295~h6:8915?:4}o0;146=83;pb<<>3;;11>{i:1?:=7>51zl2645=1;<0qc<750094?7|f88:?77=7:m6=36;3:1=v`>2019=7><=593c8yk4?=8<1<7?tn0027??5j2we>5;>7;295~h6:8915?m4}o0;14>=83;pb<<>3;;1`>{i:1?:57>51zl2645=1;o0qc<750c94?7|f88:?77=f:m6=36j3:1=v`>2019=66<=59208yk4?=8o1<7?tn0027??4;2we>5;>f;295~h6:8915>:4}o0;176=83;pb<<>3;;01>{i:1?9=7>51zl2645=1:<0qc<753094?7|f88:?77<7:m6=35;3:1=v`>2019=6><=592c8yk4?=;<1<7?tn0027??4j2we>5;=7;295~h6:8915>m4}o0;17>=83;pb<<>3;;0`>{i:1?957>51zl2645=1:o0qc<753c94?7|f88:?772019=16<=59508yk4?=;o1<7?tn0027??3;2we>5;=f;295~h6:89159:4}o0;166=83;pb<<>3;;71>{i:1?8=7>51zl2645=1=<0qc<752094?7|f88:?77;7:m6=34;3:1=v`>2019=1>:50;3xj446;33?56sa29701?6=9rd:><=595c8yk4?=:<1<7?tn0027??3j2we>5;<7;295~h6:89159m4}o0;16>=83;pb<<>3;;7`>{i:1?857>51zl2645=1=o0qc<752c94?7|f88:?77;f:m6=34j3:1=v`>2019=06m50;3xj446;33>=6sa2970`?6=9rd:><=59408yk4?=:o1<7?tn0027??2;2we>5;3;;61>{i:1??=7>51zl2645=1<<0qc<755094?7|f88:?77:7:m6=33;3:1=v`>2019=0>56sa29771?6=9rd:><=594c8yk4?==<1<7?tn0027??2j2we>5;;7;295~h6:89158m4}o0;11>=83;pb<<>3;;6`>{i:1??57>51zl2645=12019=36<=59708yk4?==o1<7?tn0027??1;2we>5;;f;295~h6:8915;:4}o0;106=83;pb<<>3;;51>{i:1?>=7>51zl2645=1?<0qc<754094?7|f88:?7797:m6=32;3:1=v`>2019=3><=597c8yk4?=<<1<7?tn0027??1j2we>5;:7;295~h6:8915;m4}o0;10>=83;pb<<>3;;5`>{i:1?>57>51zl2645=1?o0qc<754c94?7|f88:?779f:m6=32j3:1=v`>2019=26<=59608yk4?=5;:f;295~h6:8915::4}o0;136=83;pb<<>3;;41>{i:1?==7>51zl2645=1><0qc<757094?7|f88:?7787:m6=31;3:1=v`>2019=2><=596c8yk4?=?<1<7?tn0027??0j2we>5;97;295~h6:8915:m4}o0;13>=83;pb<<>3;;4`>{i:1?=57>51zl2645=1>o0qc<757c94?7|f88:?778f:m6=31j3:1=v`>2019==6<=59908yk4?=?o1<7?tn0027???;2we>5;9f;295~h6:89155:4}o0;126=83;pb<<>3;;;1>{i:1?<=7>51zl2645=11<0qc<756094?7|f88:?7777:m6=30;3:1=v`>2019==><=599c8yk4?=><1<7?tn0027???j2we>5;87;295~h6:89155m4}o0;12>=83;pb<<>3;;;`>{i:1?<57>51zl2645=11o0qc<756c94?7|f88:?777f:m6=30j3:1=v`>2019=<6<=59808yk4?=>o1<7?tn0027??>;2we>5;8f;295~h6:89154:4}o0;1=6=83;pb<<>3;;:1>{i:1?3=7>51zl2645=10<0qc<759094?7|f88:?7767:m6=3?;3:1=v`>2019=<><=598c8yk4?=1<1<7?tn0027??>j2we>5;77;295~h6:89154m4}o0;1=>=83;pb<<>3;;:`>{i:1?357>51zl2645=10o0qc<759c94?7|f88:?776f:m6=3?j3:1=v`>2019=d6<=59`08yk4?=1o1<7?tn0027??f;2we>5;7f;295~h6:8915l:4}o0;1<6=83;pb<<>3;;b1>{i:1?2=7>51zl2645=1h<0qc<758094?7|f88:?77n7:m6=3>;3:1=v`>2019=d><=59`c8yk4?=0<1<7?tn0027??fj2we>5;67;295~h6:8915lm4}o0;1<>=83;pb<<>3;;b`>{i:1?257>51zl2645=1ho0qc<758c94?7|f88:?77nf:m6=3>j3:1=v`>2019=g6<=59c08yk4?=0o1<7?tn0027??e;2we>5;6f;295~h6:8915o:4}o0;1d6=83;pb<<>3;;a1>{i:1?j=7>51zl2645=1k<0qc<75`094?7|f88:?77m7:m6=3f;3:1=v`>2019=g><=59cc8yk4?=h<1<7?tn0027??ej2we>5;n7;295~h6:8915om4}o0;1d>=83;pb<<>3;;a`>{i:1?j57>51zl2645=1ko0qc<75`c94?7|f88:?77mf:m6=3fj3:1=v`>2019=f6<=59b08yk4?=ho1<7?tn0027??d;2we>5;nf;295~h6:8915n:4}o0;1g6=83;pb<<>3;;`1>{i:1?i=7>51zl2645=1j<0qc<75c094?7|f88:?77l7:m6=3e;3:1=v`>2019=f><=59bc8yk4?=k<1<7?tn0027??dj2we>5;m7;295~h6:8915nm4}o0;1g>=83;pb<<>3;;``>{i:1?i57>51zl2645=1jo0qc<75cc94?7|f88:?77lf:m6=3ej3:1=v`>2019=a6<=59e08yk4?=ko1<7?tn0027??c;2we>5;mf;295~h6:8915i:4}o0;1f6=83;pb<<>3;;g1>{i:1?h=7>51zl2645=1m<0qc<75b094?7|f88:?77k7:m6=3d;3:1=v`>2019=a><=59ec8yk4?=j<1<7?tn0027??cj2we>5;l7;295~h6:8915im4}o0;1f>=83;pb<<>3;;g`>{i:1?h57>51zl2645=1mo0qc<75bc94?7|f88:?77kf:m6=3dj3:1=v`>2019=`6<=59d08yk4?=jo1<7?tn0027??b;2we>5;lf;295~h6:8915h:4}o0;1a6=83;pb<<>3;;f1>{i:1?o=7>51zl2645=1l<0qc<75e094?7|f88:?77j7:m6=3c;3:1=v`>2019=`><=59dc8yk4?=m<1<7?tn0027??bj2we>5;k7;295~h6:8915hm4}o0;1a>=83;pb<<>3;;f`>{i:1?o57>51zl2645=1lo0qc<75ec94?7|f88:?77jf:m6=3cj3:1=v`>2019=c6<=59g08yk4?=mo1<7?tn0027??a;2we>5;kf;295~h6:8915k:4}o0;1`6=83;pb<<>3;;e1>{i:1?n=7>51zl2645=1o<0qc<75d094?7|f88:?77i7:m6=3b;3:1=v`>2019=c><=59gc8yk4?=l<1<7?tn0027??aj2we>5;j7;295~h6:8915km4}o0;1`>=83;pb<<>3;;e`>{i:1?n57>51zl2645=1oo0qc<75dc94?7|f88:?77if:m6=3bj3:1=v`>2019e56<=5a108yk4?=lo1<7?tn0027?g7;2we>5;jf;295~h6:891m=:4}o0;1c6=83;pb<<>3;c31>{i:1?m=7>51zl2645=i9<0qc<75g094?7|f88:?7o?7:m6=3a;3:1=v`>2019e5><=5a1c8yk4?=o<1<7?tn0027?g7j2we>5;i7;295~h6:891m=m4}o0;1c>=83;pb<<>3;c3`>{i:1?m57>51zl2645=i9o0qc<75gc94?7|f88:?7o?f:m6=3aj3:1=v`>2019e46<=5a008yk4?=oo1<7?tn0027?g6;2we>5;if;295~h6:891m<:4}o0;256=83;pb<<>3;c21>{i:1<;=7>51zl2645=i8<0qc<761094?7|f88:?7o>7:m6=07;3:1=v`>2019e4><=5a0c8yk4?>9<1<7?tn0027?g6j2we>58?7;295~h6:891m=83;pb<<>3;c2`>{i:1<;57>51zl2645=i8o0qc<761c94?7|f88:?7o>f:m6=07j3:1=v`>2019e76<=5a308yk4?>9o1<7?tn0027?g5;2we>58?f;295~h6:891m?:4}o0;246=83;pb<<>3;c11>{i:1<:=7>51zl2645=i;<0qc<760094?7|f88:?7o=7:m6=06;3:1=v`>2019e7><=5a3c8yk4?>8<1<7?tn0027?g5j2we>58>7;295~h6:891m?m4}o0;24>=83;pb<<>3;c1`>{i:1<:57>51zl2645=i;o0qc<760c94?7|f88:?7o=f:m6=06j3:1=v`>2019e66<=5a208yk4?>8o1<7?tn0027?g4;2we>58>f;295~h6:891m>:4}o0;276=83;pb<<>3;c01>{i:1<9=7>51zl2645=i:<0qc<763094?7|f88:?7o<7:m6=05;3:1=v`>2019e6><=5a2c8yk4?>;<1<7?tn0027?g4j2we>58=7;295~h6:891m>m4}o0;27>=83;pb<<>3;c0`>{i:1<957>51zl2645=i:o0qc<763c94?7|f88:?7o2019e16<=5a508yk4?>;o1<7?tn0027?g3;2we>58=f;295~h6:891m9:4}o0;266=83;pb<<>3;c71>{i:1<8=7>51zl2645=i=<0qc<762094?7|f88:?7o;7:m6=04;3:1=v`>2019e1>:50;3xj446;3k?56sa29401?6=9rd:><=5a5c8yk4?>:<1<7?tn0027?g3j2we>58<7;295~h6:891m9m4}o0;26>=83;pb<<>3;c7`>{i:1<857>51zl2645=i=o0qc<762c94?7|f88:?7o;f:m6=04j3:1=v`>2019e06m50;3xj446;3k>=6sa2940`?6=9rd:><=5a408yk4?>:o1<7?tn0027?g2;2we>583;c61>{i:151zl2645=i<<0qc<765094?7|f88:?7o:7:m6=03;3:1=v`>2019e0>56sa29471?6=9rd:><=5a4c8yk4?>=<1<7?tn0027?g2j2we>58;7;295~h6:891m8m4}o0;21>=83;pb<<>3;c6`>{i:151zl2645=i2019e36<=5a708yk4?>=o1<7?tn0027?g1;2we>58;f;295~h6:891m;:4}o0;206=83;pb<<>3;c51>{i:1<>=7>51zl2645=i?<0qc<764094?7|f88:?7o97:m6=02;3:1=v`>2019e3><=5a7c8yk4?><<1<7?tn0027?g1j2we>58:7;295~h6:891m;m4}o0;20>=83;pb<<>3;c5`>{i:1<>57>51zl2645=i?o0qc<764c94?7|f88:?7o9f:m6=02j3:1=v`>2019e26<=5a608yk4?>58:f;295~h6:891m::4}o0;236=83;pb<<>3;c41>{i:1<==7>51zl2645=i><0qc<767094?7|f88:?7o87:m6=01;3:1=v`>2019e2><=5a6c8yk4?>?<1<7?tn0027?g0j2we>5897;295~h6:891m:m4}o0;23>=83;pb<<>3;c4`>{i:1<=57>51zl2645=i>o0qc<767c94?7|f88:?7o8f:m6=01j3:1=v`>2019e=6<=5a908yk4?>?o1<7?tn0027?g?;2we>589f;295~h6:891m5:4}o0;226=83;pb<<>3;c;1>{i:1<<=7>51zl2645=i1<0qc<766094?7|f88:?7o77:m6=00;3:1=v`>2019e=><=5a9c8yk4?>><1<7?tn0027?g?j2we>5887;295~h6:891m5m4}o0;22>=83;pb<<>3;c;`>{i:1<<57>51zl2645=i1o0qc<766c94?7|f88:?7o7f:m6=00j3:1=v`>2019e<6<=5a808yk4?>>o1<7?tn0027?g>;2we>588f;295~h6:891m4:4}o0;2=6=83;pb<<>3;c:1>{i:1<3=7>51zl2645=i0<0qc<769094?7|f88:?7o67:m6=0?;3:1=v`>2019e<><=5a8c8yk4?>1<1<7?tn0027?g>j2we>5877;295~h6:891m4m4}o0;2=>=83;pb<<>3;c:`>{i:1<357>51zl2645=i0o0qc<769c94?7|f88:?7o6f:m6=0?j3:1=v`>2019ed6<=5a`08yk4?>1o1<7?tn0027?gf;2we>587f;295~h6:891ml:4}o0;2<6=83;pb<<>3;cb1>{i:1<2=7>51zl2645=ih<0qc<768094?7|f88:?7on7:m6=0>;3:1=v`>2019ed><=5a`c8yk4?>0<1<7?tn0027?gfj2we>5867;295~h6:891mlm4}o0;2<>=83;pb<<>3;cb`>{i:1<257>51zl2645=iho0qc<768c94?7|f88:?7onf:m6=0>j3:1=v`>2019eg6<=5ac08yk4?>0o1<7?tn0027?ge;2we>586f;295~h6:891mo:4}o0;2d6=83;pb<<>3;ca1>{i:151zl2645=ik<0qc<76`094?7|f88:?7om7:m6=0f;3:1=v`>2019eg><=5acc8yk4?>h<1<7?tn0027?gej2we>58n7;295~h6:891mom4}o0;2d>=83;pb<<>3;ca`>{i:151zl2645=iko0qc<76`c94?7|f88:?7omf:m6=0fj3:1=v`>2019ef6<=5ab08yk4?>ho1<7?tn0027?gd;2we>58nf;295~h6:891mn:4}o0;2g6=83;pb<<>3;c`1>{i:151zl2645=ij<0qc<76c094?7|f88:?7ol7:m6=0e;3:1=v`>2019ef><=5abc8yk4?>k<1<7?tn0027?gdj2we>58m7;295~h6:891mnm4}o0;2g>=83;pb<<>3;c``>{i:151zl2645=ijo0qc<76cc94?7|f88:?7olf:m6=0ej3:1=v`>2019ea6<=5ae08yk4?>ko1<7?tn0027?gc;2we>58mf;295~h6:891mi:4}o0;2f6=83;pb<<>3;cg1>{i:151zl2645=im<0qc<76b094?7|f88:?7ok7:m6=0d;3:1=v`>2019ea><=5aec8yk4?>j<1<7?tn0027?gcj2we>58l7;295~h6:891mim4}o0;2f>=83;pb<<>3;cg`>{i:151zl2645=imo0qc<76bc94?7|f88:?7okf:m6=0dj3:1=v`>2019e`6<=5ad08yk4?>jo1<7?tn0027?gb;2we>58lf;295~h6:891mh:4}o0;2a6=83;pb<<>3;cf1>{i:151zl2645=il<0qc<76e094?7|f88:?7oj7:m6=0c;3:1=v`>2019e`><=5adc8yk4?>m<1<7?tn0027?gbj2we>58k7;295~h6:891mhm4}o0;2a>=83;pb<<>3;cf`>{i:151zl2645=ilo0qc<76ec94?7|f88:?7ojf:m6=0cj3:1=v`>2019ec6<=5ag08yk4?>mo1<7?tn0027?ga;2we>58kf;295~h6:891mk:4}o0;2`6=83;pb<<>3;ce1>{i:151zl2645=io<0qc<76d094?7|f88:?7oi7:m6=0b;3:1=v`>2019ec><=5agc8yk4?>l<1<7?tn0027?gaj2we>58j7;295~h6:891mkm4}o0;2`>=83;pb<<>3;ce`>{i:151zl2645=ioo0qc<76dc94?7|f88:?7oif:m6=0bj3:1=v`>2019f56<=5b108yk4?>lo1<7?tn0027?d7;2we>58jf;295~h6:891n=:4}o0;2c6=83;pb<<>3;`31>{i:151zl2645=j9<0qc<76g094?7|f88:?7l?7:m6=0a;3:1=v`>2019f5><=5b1c8yk4?>o<1<7?tn0027?d7j2we>58i7;295~h6:891n=m4}o0;2c>=83;pb<<>3;`3`>{i:151zl2645=j9o0qc<76gc94?7|f88:?7l?f:m6=0aj3:1=v`>2019f46<=5b008yk4?>oo1<7?tn0027?d6;2we>58if;295~h6:891n<:4}o0;356=83;pb<<>3;`21>{i:1=;=7>51zl2645=j8<0qc<771094?7|f88:?7l>7:m6=17;3:1=v`>2019f4><=5b0c8yk4??9<1<7?tn0027?d6j2we>59?7;295~h6:891n=83;pb<<>3;`2`>{i:1=;57>51zl2645=j8o0qc<771c94?7|f88:?7l>f:m6=17j3:1=v`>2019f76<=5b308yk4??9o1<7?tn0027?d5;2we>59?f;295~h6:891n?:4}o0;346=83;pb<<>3;`11>{i:1=:=7>51zl2645=j;<0qc<770094?7|f88:?7l=7:m6=16;3:1=v`>2019f7><=5b3c8yk4??8<1<7?tn0027?d5j2we>59>7;295~h6:891n?m4}o0;34>=83;pb<<>3;`1`>{i:1=:57>51zl2645=j;o0qc<770c94?7|f88:?7l=f:m6=16j3:1=v`>2019f66<=5b208yk4??8o1<7?tn0027?d4;2we>59>f;295~h6:891n>:4}o0;376=83;pb<<>3;`01>{i:1=9=7>51zl2645=j:<0qc<773094?7|f88:?7l<7:m6=15;3:1=v`>2019f6><=5b2c8yk4??;<1<7?tn0027?d4j2we>59=7;295~h6:891n>m4}o0;37>=83;pb<<>3;`0`>{i:1=957>51zl2645=j:o0qc<773c94?7|f88:?7l2019f16<=5b508yk4??;o1<7?tn0027?d3;2we>59=f;295~h6:891n9:4}o0;366=83;pb<<>3;`71>{i:1=8=7>51zl2645=j=<0qc<772094?7|f88:?7l;7:m6=14;3:1=v`>2019f1>:50;3xj446;3h?56sa29501?6=9rd:><=5b5c8yk4??:<1<7?tn0027?d3j2we>59<7;295~h6:891n9m4}o0;36>=83;pb<<>3;`7`>{i:1=857>51zl2645=j=o0qc<772c94?7|f88:?7l;f:m6=14j3:1=v`>2019f06m50;3xj446;3h>=6sa2950`?6=9rd:><=5b408yk4??:o1<7?tn0027?d2;2we>593;`61>{i:1=?=7>51zl2645=j<<0qc<775094?7|f88:?7l:7:m6=13;3:1=v`>2019f0>56sa29571?6=9rd:><=5b4c8yk4??=<1<7?tn0027?d2j2we>59;7;295~h6:891n8m4}o0;31>=83;pb<<>3;`6`>{i:1=?57>51zl2645=j2019f36<=5b708yk4??=o1<7?tn0027?d1;2we>59;f;295~h6:891n;:4}o0;306=83;pb<<>3;`51>{i:1=>=7>51zl2645=j?<0qc<774094?7|f88:?7l97:m6=12;3:1=v`>2019f3><=5b7c8yk4??<<1<7?tn0027?d1j2we>59:7;295~h6:891n;m4}o0;30>=83;pb<<>3;`5`>{i:1=>57>51zl2645=j?o0qc<774c94?7|f88:?7l9f:m6=12j3:1=v`>2019f26<=5b608yk4??59:f;295~h6:891n::4}o0;336=83;pb<<>3;`41>{i:1===7>51zl2645=j><0qc<777094?7|f88:?7l87:m6=11;3:1=v`>2019f2><=5b6c8yk4???<1<7?tn0027?d0j2we>5997;295~h6:891n:m4}o0;33>=83;pb<<>3;`4`>{i:1==57>51zl2645=j>o0qc<777c94?7|f88:?7l8f:m6=11j3:1=v`>2019f=6<=5b908yk4???o1<7?tn0027?d?;2we>599f;295~h6:891n5:4}o0;326=83;pb<<>3;`;1>{i:1=<=7>51zl2645=j1<0qc<776094?7|f88:?7l77:m6=10;3:1=v`>2019f=><=5b9c8yk4??><1<7?tn0027?d?j2we>5987;295~h6:891n5m4}o0;32>=83;pb<<>3;`;`>{i:1=<57>51zl2645=j1o0qc<776c94?7|f88:?7l7f:m6=10j3:1=v`>2019f<6<=5b808yk4??>o1<7?tn0027?d>;2we>598f;295~h6:891n4:4}o0;3=6=83;pb<<>3;`:1>{i:1=3=7>51zl2645=j0<0qc<779094?7|f88:?7l67:m6=1?;3:1=v`>2019f<><=5b8c8yk4??1<1<7?tn0027?d>j2we>5977;295~h6:891n4m4}o0;3=>=83;pb<<>3;`:`>{i:1=357>51zl2645=j0o0qc<779c94?7|f88:?7l6f:m6=1?j3:1=v`>2019fd6<=5b`08yk4??1o1<7?tn0027?df;2we>597f;295~h6:891nl:4}o0;3<6=83;pb<<>3;`b1>{i:1=2=7>51zl2645=jh<0qc<778094?7|f88:?7ln7:m6=1>;3:1=v`>2019fd><=5b`c8yk4??0<1<7?tn0027?dfj2we>5967;295~h6:891nlm4}o0;3<>=83;pb<<>3;`b`>{i:1=257>51zl2645=jho0qc<778c94?7|f88:?7lnf:m6=1>j3:1=v`>2019fg6<=5bc08yk4??0o1<7?tn0027?de;2we>596f;295~h6:891no:4}o0;3d6=83;pb<<>3;`a1>{i:1=j=7>51zl2645=jk<0qc<77`094?7|f88:?7lm7:m6=1f;3:1=v`>2019fg><=5bcc8yk4??h<1<7?tn0027?dej2we>59n7;295~h6:891nom4}o0;3d>=83;pb<<>3;`a`>{i:1=j57>51zl2645=jko0qc<77`c94?7|f88:?7lmf:m6=1fj3:1=v`>2019ff6<=5bb08yk4??ho1<7?tn0027?dd;2we>59nf;295~h6:891nn:4}o0;3g6=83;pb<<>3;``1>{i:1=i=7>51zl2645=jj<0qc<77c094?7|f88:?7ll7:m6=1e;3:1=v`>2019ff><=5bbc8yk4??k<1<7?tn0027?ddj2we>59m7;295~h6:891nnm4}o0;3g>=83;pb<<>3;```>{i:1=i57>51zl2645=jjo0qc<77cc94?7|f88:?7llf:m6=1ej3:1=v`>2019fa6<=5be08yk4??ko1<7?tn0027?dc;2we>59mf;295~h6:891ni:4}o0;3f6=83;pb<<>3;`g1>{i:1=h=7>51zl2645=jm<0qc<77b094?7|f88:?7lk7:m6=1d;3:1=v`>2019fa><=5bec8yk4??j<1<7?tn0027?dcj2we>59l7;295~h6:891nim4}o0;3f>=83;pb<<>3;`g`>{i:1=h57>51zl2645=jmo0qc<77bc94?7|f88:?7lkf:m6=1dj3:1=v`>2019f`6<=5bd08yk4??jo1<7?tn0027?db;2we>59lf;295~h6:891nh:4}o0;3a6=83;pb<<>3;`f1>{i:1=o=7>51zl2645=jl<0qc<77e094?7|f88:?7lj7:m6=1c;3:1=v`>2019f`><=5bdc8yk4??m<1<7?tn0027?dbj2we>59k7;295~h6:891nhm4}o0;3a>=83;pb<<>3;`f`>{i:1=o57>51zl2645=jlo0qc<77ec94?7|f88:?7ljf:m6=1cj3:1=v`>2019fc6<=5bg08yk4??mo1<7?tn0027?da;2we>59kf;295~h6:891nk:4}o0;3`6=83;pb<<>3;`e1>{i:1=n=7>51zl2645=jo<0qc<77d094?7|f88:?7li7:m6=1b;3:1=v`>2019fc><=5bgc8yk4??l<1<7?tn0027?daj2we>59j7;295~h6:891nkm4}o0;3`>=83;pb<<>3;`e`>{i:1=n57>51zl2645=joo0qc<77dc94?7|f88:?7lif:m6=1bj3:1=v`>2019g56<=5c108yk4??lo1<7?tn0027?e7;2we>59jf;295~h6:891o=:4}o0;3c6=83;pb<<>3;a31>{i:1=m=7>51zl2645=k9<0qc<77g094?7|f88:?7m?7:m6=1a;3:1=v`>2019g5><=5c1c8yk4??o<1<7?tn0027?e7j2we>59i7;295~h6:891o=m4}o0;3c>=83;pb<<>3;a3`>{i:1=m57>51zl2645=k9o0qc<77gc94?7|f88:?7m?f:m6=1aj3:1=v`>2019g46<=5c008yk4??oo1<7?tn0027?e6;2we>59if;295~h6:891o<:4}o0;<56=83;pb<<>3;a21>{i:12;=7>51zl2645=k8<0qc<781094?7|f88:?7m>7:m6=>7;3:1=v`>2019g4><=5c0c8yk4?09<1<7?tn0027?e6j2we>56?7;295~h6:891o=83;pb<<>3;a2`>{i:12;57>51zl2645=k8o0qc<781c94?7|f88:?7m>f:m6=>7j3:1=v`>2019g76<=5c308yk4?09o1<7?tn0027?e5;2we>56?f;295~h6:891o?:4}o0;<46=83;pb<<>3;a11>{i:12:=7>51zl2645=k;<0qc<780094?7|f88:?7m=7:m6=>6;3:1=v`>2019g7><=5c3c8yk4?08<1<7?tn0027?e5j2we>56>7;295~h6:891o?m4}o0;<4>=83;pb<<>3;a1`>{i:12:57>51zl2645=k;o0qc<780c94?7|f88:?7m=f:m6=>6j3:1=v`>2019g66<=5c208yk4?08o1<7?tn0027?e4;2we>56>f;295~h6:891o>:4}o0;<76=83;pb<<>3;a01>{i:129=7>51zl2645=k:<0qc<783094?7|f88:?7m<7:m6=>5;3:1=v`>2019g6><=5c2c8yk4?0;<1<7?tn0027?e4j2we>56=7;295~h6:891o>m4}o0;<7>=83;pb<<>3;a0`>{i:12957>51zl2645=k:o0qc<783c94?7|f88:?7m5j3:1=v`>2019g16<=5c508yk4?0;o1<7?tn0027?e3;2we>56=f;295~h6:891o9:4}o0;<66=83;pb<<>3;a71>{i:128=7>51zl2645=k=<0qc<782094?7|f88:?7m;7:m6=>4;3:1=v`>2019g1>:50;3xj446;3i?56sa29:01?6=9rd:><=5c5c8yk4?0:<1<7?tn0027?e3j2we>56<7;295~h6:891o9m4}o0;<6>=83;pb<<>3;a7`>{i:12857>51zl2645=k=o0qc<782c94?7|f88:?7m;f:m6=>4j3:1=v`>2019g06m50;3xj446;3i>=6sa29:0`?6=9rd:><=5c408yk4?0:o1<7?tn0027?e2;2we>563;a61>{i:12?=7>51zl2645=k<<0qc<785094?7|f88:?7m:7:m6=>3;3:1=v`>2019g0>56sa29:71?6=9rd:><=5c4c8yk4?0=<1<7?tn0027?e2j2we>56;7;295~h6:891o8m4}o0;<1>=83;pb<<>3;a6`>{i:12?57>51zl2645=k3j3:1=v`>2019g36<=5c708yk4?0=o1<7?tn0027?e1;2we>56;f;295~h6:891o;:4}o0;<06=83;pb<<>3;a51>{i:12>=7>51zl2645=k?<0qc<784094?7|f88:?7m97:m6=>2;3:1=v`>2019g3><=5c7c8yk4?0<<1<7?tn0027?e1j2we>56:7;295~h6:891o;m4}o0;<0>=83;pb<<>3;a5`>{i:12>57>51zl2645=k?o0qc<784c94?7|f88:?7m9f:m6=>2j3:1=v`>2019g26<=5c608yk4?056:f;295~h6:891o::4}o0;<36=83;pb<<>3;a41>{i:12==7>51zl2645=k><0qc<787094?7|f88:?7m87:m6=>1;3:1=v`>2019g2><=5c6c8yk4?0?<1<7?tn0027?e0j2we>5697;295~h6:891o:m4}o0;<3>=83;pb<<>3;a4`>{i:12=57>51zl2645=k>o0qc<787c94?7|f88:?7m8f:m6=>1j3:1=v`>2019g=6<=5c908yk4?0?o1<7?tn0027?e?;2we>569f;295~h6:891o5:4}o0;<26=83;pb<<>3;a;1>{i:12<=7>51zl2645=k1<0qc<786094?7|f88:?7m77:m6=>0;3:1=v`>2019g=><=5c9c8yk4?0><1<7?tn0027?e?j2we>5687;295~h6:891o5m4}o0;<2>=83;pb<<>3;a;`>{i:12<57>51zl2645=k1o0qc<786c94?7|f88:?7m7f:m6=>0j3:1=v`>2019g<6<=5c808yk4?0>o1<7?tn0027?e>;2we>568f;295~h6:891o4:4}o0;<=6=83;pb<<>3;a:1>{i:123=7>51zl2645=k0<0qc<789094?7|f88:?7m67:m6=>?;3:1=v`>2019g<><=5c8c8yk4?01<1<7?tn0027?e>j2we>5677;295~h6:891o4m4}o0;<=>=83;pb<<>3;a:`>{i:12357>51zl2645=k0o0qc<789c94?7|f88:?7m6f:m6=>?j3:1=v`>2019gd6<=5c`08yk4?01o1<7?tn0027?ef;2we>567f;295~h6:891ol:4}o0;<<6=83;pb<<>3;ab1>{i:122=7>51zl2645=kh<0qc<788094?7|f88:?7mn7:m6=>>;3:1=v`>2019gd><=5c`c8yk4?00<1<7?tn0027?efj2we>5667;295~h6:891olm4}o0;<<>=83;pb<<>3;ab`>{i:12257>51zl2645=kho0qc<788c94?7|f88:?7mnf:m6=>>j3:1=v`>2019gg6<=5cc08yk4?00o1<7?tn0027?ee;2we>566f;295~h6:891oo:4}o0;3;aa1>{i:12j=7>51zl2645=kk<0qc<78`094?7|f88:?7mm7:m6=>f;3:1=v`>2019gg><=5ccc8yk4?0h<1<7?tn0027?eej2we>56n7;295~h6:891oom4}o0;=83;pb<<>3;aa`>{i:12j57>51zl2645=kko0qc<78`c94?7|f88:?7mmf:m6=>fj3:1=v`>2019gf6<=5cb08yk4?0ho1<7?tn0027?ed;2we>56nf;295~h6:891on:4}o0;3;a`1>{i:12i=7>51zl2645=kj<0qc<78c094?7|f88:?7ml7:m6=>e;3:1=v`>2019gf><=5cbc8yk4?0k<1<7?tn0027?edj2we>56m7;295~h6:891onm4}o0;=83;pb<<>3;a``>{i:12i57>51zl2645=kjo0qc<78cc94?7|f88:?7mlf:m6=>ej3:1=v`>2019ga6<=5ce08yk4?0ko1<7?tn0027?ec;2we>56mf;295~h6:891oi:4}o0;3;ag1>{i:12h=7>51zl2645=km<0qc<78b094?7|f88:?7mk7:m6=>d;3:1=v`>2019ga><=5cec8yk4?0j<1<7?tn0027?ecj2we>56l7;295~h6:891oim4}o0;=83;pb<<>3;ag`>{i:12h57>51zl2645=kmo0qc<78bc94?7|f88:?7mkf:m6=>dj3:1=v`>2019g`6<=5cd08yk4?0jo1<7?tn0027?eb;2we>56lf;295~h6:891oh:4}o0;3;af1>{i:12o=7>51zl2645=kl<0qc<78e094?7|f88:?7mj7:m6=>c;3:1=v`>2019g`><=5cdc8yk4?0m<1<7?tn0027?ebj2we>56k7;295~h6:891ohm4}o0;=83;pb<<>3;af`>{i:12o57>51zl2645=klo0qc<78ec94?7|f88:?7mjf:m6=>cj3:1=v`>2019gc6<=5cg08yk4?0mo1<7?tn0027?ea;2we>56kf;295~h6:891ok:4}o0;<`6=83;pb<<>3;ae1>{i:12n=7>51zl2645=ko<0qc<78d094?7|f88:?7mi7:m6=>b;3:1=v`>2019gc><=5cgc8yk4?0l<1<7?tn0027?eaj2we>56j7;295~h6:891okm4}o0;<`>=83;pb<<>3;ae`>{i:12n57>51zl2645=koo0qc<78dc94?7|f88:?7mif:m6=>bj3:1=v`>2019`56<=5d108yk4?0lo1<7?tn0027?b7;2we>56jf;295~h6:891h=:4}o0;3;f31>{i:12m=7>51zl2645=l9<0qc<78g094?7|f88:?7j?7:m6=>a;3:1=v`>2019`5><=5d1c8yk4?0o<1<7?tn0027?b7j2we>56i7;295~h6:891h=m4}o0;=83;pb<<>3;f3`>{i:12m57>51zl2645=l9o0qc<78gc94?7|f88:?7j?f:m6=>aj3:1=v`>2019`46<=5d008yk4?0oo1<7?tn0027?b6;2we>56if;295~h6:891h<:4}o0;=56=83;pb<<>3;f21>{i:13;=7>51zl2645=l8<0qc<791094?7|f88:?7j>7:m6=?7;3:1=v`>2019`4><=5d0c8yk4?19<1<7?tn0027?b6j2we>57?7;295~h6:891h=83;pb<<>3;f2`>{i:13;57>51zl2645=l8o0qc<791c94?7|f88:?7j>f:m6=?7j3:1=v`>2019`76<=5d308yk4?19o1<7?tn0027?b5;2we>57?f;295~h6:891h?:4}o0;=46=83;pb<<>3;f11>{i:13:=7>51zl2645=l;<0qc<790094?7|f88:?7j=7:m6=?6;3:1=v`>2019`7><=5d3c8yk4?18<1<7?tn0027?b5j2we>57>7;295~h6:891h?m4}o0;=4>=83;pb<<>3;f1`>{i:13:57>51zl2645=l;o0qc<790c94?7|f88:?7j=f:m6=?6j3:1=v`>2019`66<=5d208yk4?18o1<7?tn0027?b4;2we>57>f;295~h6:891h>:4}o0;=76=83;pb<<>3;f01>{i:139=7>51zl2645=l:<0qc<793094?7|f88:?7j<7:m6=?5;3:1=v`>2019`6><=5d2c8yk4?1;<1<7?tn0027?b4j2we>57=7;295~h6:891h>m4}o0;=7>=83;pb<<>3;f0`>{i:13957>51zl2645=l:o0qc<793c94?7|f88:?7j2019`16<=5d508yk4?1;o1<7?tn0027?b3;2we>57=f;295~h6:891h9:4}o0;=66=83;pb<<>3;f71>{i:138=7>51zl2645=l=<0qc<792094?7|f88:?7j;7:m6=?4;3:1=v`>2019`1>:50;3xj446;3n?56sa29;01?6=9rd:><=5d5c8yk4?1:<1<7?tn0027?b3j2we>57<7;295~h6:891h9m4}o0;=6>=83;pb<<>3;f7`>{i:13857>51zl2645=l=o0qc<792c94?7|f88:?7j;f:m6=?4j3:1=v`>2019`06m50;3xj446;3n>=6sa29;0`?6=9rd:><=5d408yk4?1:o1<7?tn0027?b2;2we>573;f61>{i:13?=7>51zl2645=l<<0qc<795094?7|f88:?7j:7:m6=?3;3:1=v`>2019`0>56sa29;71?6=9rd:><=5d4c8yk4?1=<1<7?tn0027?b2j2we>57;7;295~h6:891h8m4}o0;=1>=83;pb<<>3;f6`>{i:13?57>51zl2645=l2019`36<=5d708yk4?1=o1<7?tn0027?b1;2we>57;f;295~h6:891h;:4}o0;=06=83;pb<<>3;f51>{i:13>=7>51zl2645=l?<0qc<794094?7|f88:?7j97:m6=?2;3:1=v`>2019`3><=5d7c8yk4?1<<1<7?tn0027?b1j2we>57:7;295~h6:891h;m4}o0;=0>=83;pb<<>3;f5`>{i:13>57>51zl2645=l?o0qc<794c94?7|f88:?7j9f:m6=?2j3:1=v`>2019`26<=5d608yk4?157:f;295~h6:891h::4}o0;=36=83;pb<<>3;f41>{i:13==7>51zl2645=l><0qc<797094?7|f88:?7j87:m6=?1;3:1=v`>2019`2><=5d6c8yk4?1?<1<7?tn0027?b0j2we>5797;295~h6:891h:m4}o0;=3>=83;pb<<>3;f4`>{i:13=57>51zl2645=l>o0qc<797c94?7|f88:?7j8f:m6=?1j3:1=v`>2019`=6<=5d908yk4?1?o1<7?tn0027?b?;2we>579f;295~h6:891h5:4}o0;=26=83;pb<<>3;f;1>{i:13<=7>51zl2645=l1<0qc<796094?7|f88:?7j77:m6=?0;3:1=v`>2019`=><=5d9c8yk4?1><1<7?tn0027?b?j2we>5787;295~h6:891h5m4}o0;=2>=83;pb<<>3;f;`>{i:13<57>51zl2645=l1o0qc<796c94?7|f88:?7j7f:m6=?0j3:1=v`>2019`<6<=5d808yk4?1>o1<7?tn0027?b>;2we>578f;295~h6:891h4:4}o0;==6=83;pb<<>3;f:1>{i:133=7>51zl2645=l0<0qc<799094?7|f88:?7j67:m6=??;3:1=v`>2019`<><=5d8c8yk4?11<1<7?tn0027?b>j2we>5777;295~h6:891h4m4}o0;==>=83;pb<<>3;f:`>{i:13357>51zl2645=l0o0qc<799c94?7|f88:?7j6f:m6=??j3:1=v`>2019`d6<=5d`08yk4?11o1<7?tn0027?bf;2we>577f;295~h6:891hl:4}o0;=<6=83;pb<<>3;fb1>{i:132=7>51zl2645=lh<0qc<798094?7|f88:?7jn7:m6=?>;3:1=v`>2019`d><=5d`c8yk4?10<1<7?tn0027?bfj2we>5767;295~h6:891hlm4}o0;=<>=83;pb<<>3;fb`>{i:13257>51zl2645=lho0qc<798c94?7|f88:?7jnf:m6=?>j3:1=v`>2019`g6<=5dc08yk4?10o1<7?tn0027?be;2we>576f;295~h6:891ho:4}o0;=d6=83;pb<<>3;fa1>{i:13j=7>51zl2645=lk<0qc<79`094?7|f88:?7jm7:m6=?f;3:1=v`>2019`g><=5dcc8yk4?1h<1<7?tn0027?bej2we>57n7;295~h6:891hom4}o0;=d>=83;pb<<>3;fa`>{i:13j57>51zl2645=lko0qc<79`c94?7|f88:?7jmf:m6=?fj3:1=v`>2019`f6<=5db08yk4?1ho1<7?tn0027?bd;2we>57nf;295~h6:891hn:4}o0;=g6=83;pb<<>3;f`1>{i:13i=7>51zl2645=lj<0qc<79c094?7|f88:?7jl7:m6=?e;3:1=v`>2019`f><=5dbc8yk4?1k<1<7?tn0027?bdj2we>57m7;295~h6:891hnm4}o0;=g>=83;pb<<>3;f``>{i:13i57>51zl2645=ljo0qc<79cc94?7|f88:?7jlf:m6=?ej3:1=v`>2019`a6<=5de08yk4?1ko1<7?tn0027?bc;2we>57mf;295~h6:891hi:4}o0;=f6=83;pb<<>3;fg1>{i:13h=7>51zl2645=lm<0qc<79b094?7|f88:?7jk7:m6=?d;3:1=v`>2019`a><=5dec8yk4?1j<1<7?tn0027?bcj2we>57l7;295~h6:891him4}o0;=f>=83;pb<<>3;fg`>{i:13h57>51zl2645=lmo0qc<79bc94?7|f88:?7jkf:m6=?dj3:1=v`>2019``6<=5dd08yk4?1jo1<7?tn0027?bb;2we>57lf;295~h6:891hh:4}o0;=a6=83;pb<<>3;ff1>{i:13o=7>51zl2645=ll<0qc<79e094?7|f88:?7jj7:m6=?c;3:1=v`>2019``><=5ddc8yk4?1m<1<7?tn0027?bbj2we>57k7;295~h6:891hhm4}o0;=a>=83;pb<<>3;ff`>{i:13o57>51zl2645=llo0qc<79ec94?7|f88:?7jjf:m6=?cj3:1=v`>2019`c6<=5dg08yk4?1mo1<7?tn0027?ba;2we>57kf;295~h6:891hk:4}o0;=`6=83;pb<<>3;fe1>{i:13n=7>51zl2645=lo<0qc<79d094?7|f88:?7ji7:m6=?b;3:1=v`>2019`c><=5dgc8yk4?1l<1<7?tn0027?baj2we>57j7;295~h6:891hkm4}o0;=`>=83;pb<<>3;fe`>{i:13n57>51zl2645=loo0qc<79dc94?7|f88:?7jif:m6=?bj3:1=v`>2019a56<=5e108yk4?1lo1<7?tn0027?c7;2we>57jf;295~h6:891i=:4}o0;=c6=83;pb<<>3;g31>{i:13m=7>51zl2645=m9<0qc<79g094?7|f88:?7k?7:m6=?a;3:1=v`>2019a5><=5e1c8yk4?1o<1<7?tn0027?c7j2we>57i7;295~h6:891i=m4}o0;=c>=83;pb<<>3;g3`>{i:13m57>51zl2645=m9o0qc<79gc94?7|f88:?7k?f:m6=?aj3:1=v`>2019a46<=5e008yk4?1oo1<7?tn0027?c6;2we>57if;295~h6:891i<:4}o0;e56=83;pb<<>3;g21>{i:1k;=7>51zl2645=m8<0qc<7a1094?7|f88:?7k>7:m6=g7;3:1=v`>2019a4><=5e0c8yk4?i9<1<7?tn0027?c6j2we>5o?7;295~h6:891i=83;pb<<>3;g2`>{i:1k;57>51zl2645=m8o0qc<7a1c94?7|f88:?7k>f:m6=g7j3:1=v`>2019a76<=5e308yk4?i9o1<7?tn0027?c5;2we>5o?f;295~h6:891i?:4}o0;e46=83;pb<<>3;g11>{i:1k:=7>51zl2645=m;<0qc<7a0094?7|f88:?7k=7:m6=g6;3:1=v`>2019a7><=5e3c8yk4?i8<1<7?tn0027?c5j2we>5o>7;295~h6:891i?m4}o0;e4>=83;pb<<>3;g1`>{i:1k:57>51zl2645=m;o0qc<7a0c94?7|f88:?7k=f:m6=g6j3:1=v`>2019a66<=5e208yk4?i8o1<7?tn0027?c4;2we>5o>f;295~h6:891i>:4}o0;e76=83;pb<<>3;g01>{i:1k9=7>51zl2645=m:<0qc<7a3094?7|f88:?7k<7:m6=g5;3:1=v`>2019a6><=5e2c8yk4?i;<1<7?tn0027?c4j2we>5o=7;295~h6:891i>m4}o0;e7>=83;pb<<>3;g0`>{i:1k957>51zl2645=m:o0qc<7a3c94?7|f88:?7k2019a16<=5e508yk4?i;o1<7?tn0027?c3;2we>5o=f;295~h6:891i9:4}o0;e66=83;pb<<>3;g71>{i:1k8=7>51zl2645=m=<0qc<7a2094?7|f88:?7k;7:m6=g4;3:1=v`>2019a1>:50;3xj446;3o?56sa29c01?6=9rd:><=5e5c8yk4?i:<1<7?tn0027?c3j2we>5o<7;295~h6:891i9m4}o0;e6>=83;pb<<>3;g7`>{i:1k857>51zl2645=m=o0qc<7a2c94?7|f88:?7k;f:m6=g4j3:1=v`>2019a06m50;3xj446;3o>=6sa29c0`?6=9rd:><=5e408yk4?i:o1<7?tn0027?c2;2we>5o3;g61>{i:1k?=7>51zl2645=m<<0qc<7a5094?7|f88:?7k:7:m6=g3;3:1=v`>2019a0>56sa29c71?6=9rd:><=5e4c8yk4?i=<1<7?tn0027?c2j2we>5o;7;295~h6:891i8m4}o0;e1>=83;pb<<>3;g6`>{i:1k?57>51zl2645=m2019a36<=5e708yk4?i=o1<7?tn0027?c1;2we>5o;f;295~h6:891i;:4}o0;e06=83;pb<<>3;g51>{i:1k>=7>51zl2645=m?<0qc<7a4094?7|f88:?7k97:m6=g2;3:1=v`>2019a3><=5e7c8yk4?i<<1<7?tn0027?c1j2we>5o:7;295~h6:891i;m4}o0;e0>=83;pb<<>3;g5`>{i:1k>57>51zl2645=m?o0qc<7a4c94?7|f88:?7k9f:m6=g2j3:1=v`>2019a26<=5e608yk4?i5o:f;295~h6:891i::4}o0;e36=83;pb<<>3;g41>{i:1k==7>51zl2645=m><0qc<7a7094?7|f88:?7k87:m6=g1;3:1=v`>2019a2><=5e6c8yk4?i?<1<7?tn0027?c0j2we>5o97;295~h6:891i:m4}o0;e3>=83;pb<<>3;g4`>{i:1k=57>51zl2645=m>o0qc<7a7c94?7|f88:?7k8f:m6=g1j3:1=v`>2019a=6<=5e908yk4?i?o1<7?tn0027?c?;2we>5o9f;295~h6:891i5:4}o0;e26=83;pb<<>3;g;1>{i:1k<=7>51zl2645=m1<0qc<7a6094?7|f88:?7k77:m6=g0;3:1=v`>2019a=><=5e9c8yk4?i><1<7?tn0027?c?j2we>5o87;295~h6:891i5m4}o0;e2>=83;pb<<>3;g;`>{i:1k<57>51zl2645=m1o0qc<7a6c94?7|f88:?7k7f:m6=g0j3:1=v`>2019a<6<=5e808yk4?i>o1<7?tn0027?c>;2we>5o8f;295~h6:891i4:4}o0;e=6=83;pb<<>3;g:1>{i:1k3=7>51zl2645=m0<0qc<7a9094?7|f88:?7k67:m6=g?;3:1=v`>2019a<><=5e8c8yk4?i1<1<7?tn0027?c>j2we>5o77;295~h6:891i4m4}o0;e=>=83;pb<<>3;g:`>{i:1k357>51zl2645=m0o0qc<7a9c94?7|f88:?7k6f:m6=g?j3:1=v`>2019ad6<=5e`08yk4?i1o1<7?tn0027?cf;2we>5o7f;295~h6:891il:4}o0;e<6=83;pb<<>3;gb1>{i:1k2=7>51zl2645=mh<0qc<7a8094?7|f88:?7kn7:m6=g>;3:1=v`>2019ad><=5e`c8yk4?i0<1<7?tn0027?cfj2we>5o67;295~h6:891ilm4}o0;e<>=83;pb<<>3;gb`>{i:1k257>51zl2645=mho0qc<7a8c94?7|f88:?7knf:m6=g>j3:1=v`>2019ag6<=5ec08yk4?i0o1<7?tn0027?ce;2we>5o6f;295~h6:891io:4}o0;ed6=83;pb<<>3;ga1>{i:1kj=7>51zl2645=mk<0qc<7a`094?7|f88:?7km7:m6=gf;3:1=v`>2019ag><=5ecc8yk4?ih<1<7?tn0027?cej2we>5on7;295~h6:891iom4}o0;ed>=83;pb<<>3;ga`>{i:1kj57>51zl2645=mko0qc<7a`c94?7|f88:?7kmf:m6=gfj3:1=v`>2019af6<=5eb08yk4?iho1<7?tn0027?cd;2we>5onf;295~h6:891in:4}o0;eg6=83;pb<<>3;g`1>{i:1ki=7>51zl2645=mj<0qc<7ac094?7|f88:?7kl7:m6=ge;3:1=v`>2019af><=5ebc8yk4?ik<1<7?tn0027?cdj2we>5om7;295~h6:891inm4}o0;eg>=83;pb<<>3;g``>{i:1ki57>51zl2645=mjo0qc<7acc94?7|f88:?7klf:m6=gej3:1=v`>2019aa6<=5ee08yk4?iko1<7?tn0027?cc;2we>5omf;295~h6:891ii:4}o0;ef6=83;pb<<>3;gg1>{i:1kh=7>51zl2645=mm<0qc<7ab094?7|f88:?7kk7:m6=gd;3:1=v`>2019aa><=5eec8yk4?ij<1<7?tn0027?ccj2we>5ol7;295~h6:891iim4}o0;ef>=83;pb<<>3;gg`>{i:1kh57>51zl2645=mmo0qc<7abc94?7|f88:?7kkf:m6=gdj3:1=v`>2019a`6<=5ed08yk4?ijo1<7?tn0027?cb;2we>5olf;295~h6:891ih:4}o0;ea6=83;pb<<>3;gf1>{i:1ko=7>51zl2645=ml<0qc<7ae094?7|f88:?7kj7:m6=gc;3:1=v`>2019a`><=5edc8yk4?im<1<7?tn0027?cbj2we>5ok7;295~h6:891ihm4}o0;ea>=83;pb<<>3;gf`>{i:1ko57>51zl2645=mlo0qc<7aec94?7|f88:?7kjf:m6=gcj3:1=v`>2019ac6<=5eg08yk4?imo1<7?tn0027?ca;2we>5okf;295~h6:891ik:4}o0;e`6=83;pb<<>3;ge1>{i:1kn=7>51zl2645=mo<0qc<7ad094?7|f88:?7ki7:m6=gb;3:1=v`>2019ac><=5egc8yk4?il<1<7?tn0027?caj2we>5oj7;295~h6:891ikm4}o0;e`>=83;pb<<>3;ge`>{i:1kn57>51zl2645=moo0qc<7adc94?7|f88:?7kif:m6=gbj3:1=v`>2019b56<=5f108yk4?ilo1<7?tn0027?`7;2we>5ojf;295~h6:891j=:4}o0;ec6=83;pb<<>3;d31>{i:1km=7>51zl2645=n9<0qc<7ag094?7|f88:?7h?7:m6=ga;3:1=v`>2019b5><=5f1c8yk4?io<1<7?tn0027?`7j2we>5oi7;295~h6:891j=m4}o0;ec>=83;pb<<>3;d3`>{i:1km57>51zl2645=n9o0qc<7agc94?7|f88:?7h?f:m6=gaj3:1=v`>2019b46<=5f008yk4?ioo1<7?tn0027?`6;2we>5oif;295~h6:891j<:4}o0;f56=83;pb<<>3;d21>{i:1h;=7>51zl2645=n8<0qc<7b1094?7|f88:?7h>7:m6=d7;3:1=v`>2019b4><=5f0c8yk4?j9<1<7?tn0027?`6j2we>5l?7;295~h6:891j=83;pb<<>3;d2`>{i:1h;57>51zl2645=n8o0qc<7b1c94?7|f88:?7h>f:m6=d7j3:1=v`>2019b76<=5f308yk4?j9o1<7?tn0027?`5;2we>5l?f;295~h6:891j?:4}o0;f46=83;pb<<>3;d11>{i:1h:=7>51zl2645=n;<0qc<7b0094?7|f88:?7h=7:m6=d6;3:1=v`>2019b7><=5f3c8yk4?j8<1<7?tn0027?`5j2we>5l>7;295~h6:891j?m4}o0;f4>=83;pb<<>3;d1`>{i:1h:57>51zl2645=n;o0qc<7b0c94?7|f88:?7h=f:m6=d6j3:1=v`>2019b66<=5f208yk4?j8o1<7?tn0027?`4;2we>5l>f;295~h6:891j>:4}o0;f76=83;pb<<>3;d01>{i:1h9=7>51zl2645=n:<0qc<7b3094?7|f88:?7h<7:m6=d5;3:1=v`>2019b6><=5f2c8yk4?j;<1<7?tn0027?`4j2we>5l=7;295~h6:891j>m4}o0;f7>=83;pb<<>3;d0`>{i:1h957>51zl2645=n:o0qc<7b3c94?7|f88:?7h2019b16<=5f508yk4?j;o1<7?tn0027?`3;2we>5l=f;295~h6:891j9:4}o0;f66=83;pb<<>3;d71>{i:1h8=7>51zl2645=n=<0qc<7b2094?7|f88:?7h;7:m6=d4;3:1=v`>2019b1>:50;3xj446;3l?56sa29`01?6=9rd:><=5f5c8yk4?j:<1<7?tn0027?`3j2we>5l<7;295~h6:891j9m4}o0;f6>=83;pb<<>3;d7`>{i:1h857>51zl2645=n=o0qc<7b2c94?7|f88:?7h;f:m6=d4j3:1=v`>2019b06m50;3xj446;3l>=6sa29`0`?6=9rd:><=5f408yk4?j:o1<7?tn0027?`2;2we>5l3;d61>{i:1h?=7>51zl2645=n<<0qc<7b5094?7|f88:?7h:7:m6=d3;3:1=v`>2019b0>56sa29`71?6=9rd:><=5f4c8yk4?j=<1<7?tn0027?`2j2we>5l;7;295~h6:891j8m4}o0;f1>=83;pb<<>3;d6`>{i:1h?57>51zl2645=n2019b36<=5f708yk4?j=o1<7?tn0027?`1;2we>5l;f;295~h6:891j;:4}o0;f06=83;pb<<>3;d51>{i:1h>=7>51zl2645=n?<0qc<7b4094?7|f88:?7h97:m6=d2;3:1=v`>2019b3><=5f7c8yk4?j<<1<7?tn0027?`1j2we>5l:7;295~h6:891j;m4}o0;f0>=83;pb<<>3;d5`>{i:1h>57>51zl2645=n?o0qc<7b4c94?7|f88:?7h9f:m6=d2j3:1=v`>2019b26<=5f608yk4?j5l:f;295~h6:891j::4}o0;f36=83;pb<<>3;d41>{i:1h==7>51zl2645=n><0qc<7b7094?7|f88:?7h87:m6=d1;3:1=v`>2019b2><=5f6c8yk4?j?<1<7?tn0027?`0j2we>5l97;295~h6:891j:m4}o0;f3>=83;pb<<>3;d4`>{i:1h=57>51zl2645=n>o0qc<7b7c94?7|f88:?7h8f:m6=d1j3:1=v`>2019b=6<=5f908yk4?j?o1<7?tn0027?`?;2we>5l9f;295~h6:891j5:4}o0;f26=83;pb<<>3;d;1>{i:1h<=7>51zl2645=n1<0qc<7b6094?7|f88:?7h77:m6=d0;3:1=v`>2019b=><=5f9c8yk4?j><1<7?tn0027?`?j2we>5l87;295~h6:891j5m4}o0;f2>=83;pb<<>3;d;`>{i:1h<57>51zl2645=n1o0qc<7b6c94?7|f88:?7h7f:m6=d0j3:1=v`>2019b<6<=5f808yk4?j>o1<7?tn0027?`>;2we>5l8f;295~h6:891j4:4}o0;f=6=83;pb<<>3;d:1>{i:1h3=7>51zl2645=n0<0qc<7b9094?7|f88:?7h67:m6=d?;3:1=v`>2019b<><=5f8c8yk4?j1<1<7?tn0027?`>j2we>5l77;295~h6:891j4m4}o0;f=>=83;pb<<>3;d:`>{i:1h357>51zl2645=n0o0qc<7b9c94?7|f88:?7h6f:m6=d?j3:1=v`>2019bd6<=5f`08yk4?j1o1<7?tn0027?`f;2we>5l7f;295~h6:891jl:4}o0;f<6=83;pb<<>3;db1>{i:1h2=7>51zl2645=nh<0qc<7b8094?7|f88:?7hn7:m6=d>;3:1=v`>2019bd><=5f`c8yk4?j0<1<7?tn0027?`fj2we>5l67;295~h6:891jlm4}o0;f<>=83;pb<<>3;db`>{i:1h257>51zl2645=nho0qc<7b8c94?7|f88:?7hnf:m6=d>j3:1=v`>2019bg6<=5fc08yk4?j0o1<7?tn0027?`e;2we>5l6f;295~h6:891jo:4}o0;fd6=83;pb<<>3;da1>{i:1hj=7>51zl2645=nk<0qc<7b`094?7|f88:?7hm7:m6=df;3:1=v`>2019bg><=5fcc8yk4?jh<1<7?tn0027?`ej2we>5ln7;295~h6:891jom4}o0;fd>=83;pb<<>3;da`>{i:1hj57>51zl2645=nko0qc<7b`c94?7|f88:?7hmf:m6=dfj3:1=v`>2019bf6<=5fb08yk4?jho1<7?tn0027?`d;2we>5lnf;295~h6:891jn:4}o0;fg6=83;pb<<>3;d`1>{i:1hi=7>51zl2645=nj<0qc<7bc094?7|f88:?7hl7:m6=de;3:1=v`>2019bf><=5fbc8yk4?jk<1<7?tn0027?`dj2we>5lm7;295~h6:891jnm4}o0;fg>=83;pb<<>3;d``>{i:1hi57>51zl2645=njo0qc<7bcc94?7|f88:?7hlf:m6=dej3:1=v`>2019ba6<=5fe08yk4?jko1<7?tn0027?`c;2we>5lmf;295~h6:891ji:4}o0;ff6=83;pb<<>3;dg1>{i:1hh=7>51zl2645=nm<0qc<7bb094?7|f88:?7hk7:m6=dd;3:1=v`>2019ba><=5fec8yk4?jj<1<7?tn0027?`cj2we>5ll7;295~h6:891jim4}o0;ff>=83;pb<<>3;dg`>{i:1hh57>51zl2645=nmo0qc<7bbc94?7|f88:?7hkf:m6=ddj3:1=v`>2019b`6<=5fd08yk4?jjo1<7?tn0027?`b;2we>5llf;295~h6:891jh:4}o0;fa6=83;pb<<>3;df1>{i:1ho=7>51zl2645=nl<0qc<7be094?7|f88:?7hj7:m6=dc;3:1=v`>2019b`><=5fdc8yk4?jm<1<7?tn0027?`bj2we>5lk7;295~h6:891jhm4}o0;fa>=83;pb<<>3;df`>{i:1ho57>51zl2645=nlo0qc<7bec94?7|f88:?7hjf:m6=dcj3:1=v`>2019bc6<=5fg08yk4?jmo1<7?tn0027?`a;2we>5lkf;295~h6:891jk:4}o0;f`6=83;pb<<>3;de1>{i:1hn=7>51zl2645=no<0qc<7bd094?7|f88:?7hi7:m6=db;3:1=v`>2019bc><=5fgc8yk4?jl<1<7?tn0027?`aj2we>5lj7;295~h6:891jkm4}o0;f`>=83;pb<<>3;de`>{i:1hn57>51zl2645=noo0qc<7bdc94?7|f88:?7hif:m6=dbj3:1=v`>201955673td94okl:182k759:0:<=?4}o0;f`b=83;pb<<>3;3347=zf;2iih4?:0ym577428:;?6sa29`fb?6=9rd:><=51127?xh50kl;6=4>{o3156<689?0qc<7bg394?7|f88:?7??079~j7>en;0;6467?2we>5li3;295~h6:891==>7;|l1{i:1hm;7>51zl2645=99:h7p`=8cd;>5<6sg;9=>4>01f8yk4?jo31<7?tn0027?778l1vb?6mf`83>4}i9;;86<>?f:m6=daj3:1=v`>201955773td94ohl:182k759:0:<3;3357=zf;2ijh4?:0ym577428::?6sa29`eb?6=9rd:><=51137?xh50j:;6=4>{o3156<688?0qc<7c1394?7|f88:?7??179~j7>d8;0;6466?2we>5m?3;295~h6:891==?7;|l1{i:1i;;7>51zl2645=99;h7p`=8b2;>5<6sg;9=>4>00f8yk4?k931<7?tn0027?779l1vb?6l0`83>4}i9;;86<>>f:m6=e7j3:1=v`>201955473td94n>l:182k759:0:3;3367=zf;2h<=51107?xh50j;;6=4>{o3156<68;?0qc<7c0394?7|f88:?7??279~j7>d9;0;6465?2we>5m>3;295~h6:891==<7;|l1l5rn3:`53<728qe=??<:021f>{i:1i:;7>51zl2645=998h7p`=8b3;>5<6sg;9=>4>03f8yk4?k831<7?tn0027?77:l1vb?6l1`83>4}i9;;86<>=f:m6=e6j3:1=v`>201955573td94n?l:182k759:0:<>?4}o0;g4b=83;pb<<>3;3377=zf;2h=h4?:0ym577428:8?6sa29a2b?6=9rd:><=51117?xh50j8;6=4>{o3156<68:?0qc<7c3394?7|f88:?7??379~j7>d:;0;6464?2we>5m=3;295~h6:891===7;|l1{i:1i9;7>51zl2645=999h7p`=8b0;>5<6sg;9=>4>02f8yk4?k;31<7?tn0027?77;l1vb?6l2`83>4}i9;;86<>201955273td94n3;3307=zf;2h>h4?:0ym577428:??6sa29a1b?6=9rd:><=51167?xh50j9;6=4>{o3156<68=?0qc<7c2394?7|f88:?7??479~j7>d;;0;6463?2we>5m<3;295~h6:891==:7;|l1;50;3xj446;3;;8l5rn3:`73<728qe=??<:027f>{i:1i8;7>51zl2645=99>h7p`=8b1;>5<6sg;9=>4>05f8yk4?k:31<7?tn0027?774}i9;;86<>;f:m6=e4j3:1=v`>201955373td94n=l:182k759:0:<8?4}o0;g6b=83;pb<<>3;3317=zf;2h?h4?:0ym577428:>?6sa29a0b?6=9rd:><=51177?xh50j>;6=4>{o3156<68d<;0;6462?2we>5m;3;295~h6:891==;7;|l1{i:1i?;7>51zl2645=99?h7p`=8b6;>5<6sg;9=>4>04f8yk4?k=31<7?tn0027?77=l1vb?6l4`83>4}i9;;86<>:f:m6=e3j3:1=v`>201955073td94n:l:182k759:0:<;?4}o0;g1b=83;pb<<>3;3327=zf;2h8h4?:0ym577428:=?6sa29a7b?6=9rd:><=51147?xh50j?;6=4>{o3156<68??0qc<7c4394?7|f88:?7??679~j7>d=;0;6461?2we>5m:3;295~h6:891==87;|l1{i:1i>;7>51zl2645=995<6sg;9=>4>07f8yk4?k<31<7?tn0027?77>l1vb?6l5`83>4}i9;;86<>9f:m6=e2j3:1=v`>201955173td94n;l:182k759:0:<:?4}o0;g0b=83;pb<<>3;3337=zf;2h9h4?:0ym577428:<=51157?xh50j<;6=4>{o3156<68>?0qc<7c7394?7|f88:?7??779~j7>d>;0;6460?2we>5m93;295~h6:891==97;|l1{i:1i=;7>51zl2645=99=h7p`=8b4;>5<6sg;9=>4>06f8yk4?k?31<7?tn0027?77?l1vb?6l6`83>4}i9;;86<>8f:m6=e1j3:1=v`>201955>73td94n8l:182k759:0:<5?4}o0;g3b=83;pb<<>3;33<7=zf;2h:h4?:0ym577428:3?6sa29a5b?6=9rd:><=511:7?xh50j=;6=4>{o3156<681?0qc<7c6394?7|f88:?7??879~j7>d?;0;646??2we>5m83;295~h6:891==67;|l1{i:1i<;7>51zl2645=992h7p`=8b5;>5<6sg;9=>4>09f8yk4?k>31<7?tn0027?770l1vb?6l7`83>4}i9;;86<>7f:m6=e0j3:1=v`>201955?73td94n9l:182k759:0:<4?4}o0;g2b=83;pb<<>3;33=7=zf;2h;h4?:0ym577428:2?6sa29a4b?6=9rd:><=511;7?xh50j2;6=4>{o3156<680?0qc<7c9394?7|f88:?7??979~j7>d0;0;646>?2we>5m73;295~h6:891==77;|l13290:wc?=12824{i:1i3;7>51zl2645=993h7p`=8b:;>5<6sg;9=>4>08f8yk4?k131<7?tn0027?771l1vb?6l8`83>4}i9;;86<>6f:m6=e?j3:1=v`>201955g73td94n6l:182k759:0:3;33e7=zf;2h4h4?:0ym577428:j?6sa29a;b?6=9rd:><=511c7?xh50j3;6=4>{o3156<68h?0qc<7c8394?7|f88:?7??a79~j7>d1;0;646f?2we>5m63;295~h6:891==o7;|l1{i:1i2;7>51zl2645=99kh7p`=8b;;>5<6sg;9=>4>0`f8yk4?k031<7?tn0027?77il1vb?6l9`83>4}i9;;86<>nf:m6=e>j3:1=v`>201955d73td94n7l:182k759:0:3;33f7=zf;2h5h4?:0ym577428:i?6sa29a:b?6=9rd:><=511`7?xh50jk;6=4>{o3156<68k?0qc<7c`394?7|f88:?7??b79~j7>di;0;646e?2we>5mn3;295~h6:891==l7;|l1{i:1ij;7>51zl2645=99hh7p`=8bc;>5<6sg;9=>4>0cf8yk4?kh31<7?tn0027?77jl1vb?6la`83>4}i9;;86<>mf:m6=efj3:1=v`>201955e73td94nol:182k759:0:3;33g7=zf;2hmh4?:0ym577428:h?6sa29abb?6=9rd:><=511a7?xh50jh;6=4>{o3156<68j?0qc<7cc394?7|f88:?7??c79~j7>dj;0;646d?2we>5mm3;295~h6:891==m7;|l1{i:1ii;7>51zl2645=99ih7p`=8b`;>5<6sg;9=>4>0bf8yk4?kk31<7?tn0027?77kl1vb?6lb`83>4}i9;;86<>lf:m6=eej3:1=v`>201955b73td94nll:182k759:0:3;33`7=zf;2hnh4?:0ym577428:o?6sa29aab?6=9rd:><=511f7?xh50ji;6=4>{o3156<68m?0qc<7cb394?7|f88:?7??d79~j7>dk;0;646c?2we>5ml3;295~h6:891==j7;|l1{i:1ih;7>51zl2645=99nh7p`=8ba;>5<6sg;9=>4>0ef8yk4?kj31<7?tn0027?77ll1vb?6lc`83>4}i9;;86<>kf:m6=edj3:1=v`>201955c73td94nml:182k759:0:3;33a7=zf;2hoh4?:0ym577428:n?6sa29a`b?6=9rd:><=511g7?xh50jn;6=4>{o3156<68l?0qc<7ce394?7|f88:?7??e79~j7>dl;0;646b?2we>5mk3;295~h6:891==k7;|l1{i:1io;7>51zl2645=99oh7p`=8bf;>5<6sg;9=>4>0df8yk4?km31<7?tn0027?77ml1vb?6ld`83>4}i9;;86<>jf:m6=ecj3:1=v`>201955`73td94njl:182k759:0:3;33b7=zf;2hhh4?:0ym577428:m?6sa29agb?6=9rd:><=511d7?xh50jo;6=4>{o3156<68o?0qc<7cd394?7|f88:?7??f79~j7>dm;0;646a?2we>5mj3;295~h6:891==h7;|l1{i:1in;7>51zl2645=99lh7p`=8bg;>5<6sg;9=>4>0gf8yk4?kl31<7?tn0027?77nl1vb?6le`83>4}i9;;86<>if:m6=ebj3:1=v`>201954673td94nkl:182k759:0:==?4}o0;g`b=83;pb<<>3;3247=zf;2hih4?:0ym577428;;?6sa29afb?6=9rd:><=51027?xh50jl;6=4>{o3156<699?0qc<7cg394?7|f88:?7?>079~j7>dn;0;6477?2we>5mi3;295~h6:891=<>7;|l1{i:1im;7>51zl2645=98:h7p`=8bd;>5<6sg;9=>4>11f8yk4?ko31<7?tn0027?768l1vb?6lf`83>4}i9;;86201954773td94nhl:182k759:0:=3;3257=zf;2hjh4?:0ym577428;:?6sa29aeb?6=9rd:><=51037?xh50m:;6=4>{o3156<698?0qc<7d1394?7|f88:?7?>179~j7>c8;0;6476?2we>5j?3;295~h6:891={i:1n;;7>51zl2645=98;h7p`=8e2;>5<6sg;9=>4>10f8yk4?l931<7?tn0027?769l1vb?6k0`83>4}i9;;86f:m6=b7j3:1=v`>201954473td94i>l:182k759:0:=??4}o0;`5b=83;pb<<>3;3267=zf;2o<=51007?xh50m;;6=4>{o3156<69;?0qc<7d0394?7|f88:?7?>279~j7>c9;0;6475?2we>5j>3;295~h6:891=<<7;|l1l5rn3:g53<728qe=??<:031f>{i:1n:;7>51zl2645=988h7p`=8e3;>5<6sg;9=>4>13f8yk4?l831<7?tn0027?76:l1vb?6k1`83>4}i9;;86201954573td94i?l:182k759:0:=>?4}o0;`4b=83;pb<<>3;3277=zf;2o=h4?:0ym577428;8?6sa29f2b?6=9rd:><=51017?xh50m8;6=4>{o3156<69:?0qc<7d3394?7|f88:?7?>379~j7>c:;0;6474?2we>5j=3;295~h6:891=<=7;|l1{i:1n9;7>51zl2645=989h7p`=8e0;>5<6sg;9=>4>12f8yk4?l;31<7?tn0027?76;l1vb?6k2`83>4}i9;;86201954273td94i3;3207=zf;2o>h4?:0ym577428;??6sa29f1b?6=9rd:><=51067?xh50m9;6=4>{o3156<69=?0qc<7d2394?7|f88:?7?>479~j7>c;;0;6473?2we>5j<3;295~h6:891=<:7;|l1;50;3xj446;3;:8l5rn3:g73<728qe=??<:037f>{i:1n8;7>51zl2645=98>h7p`=8e1;>5<6sg;9=>4>15f8yk4?l:31<7?tn0027?764}i9;;86201954373td94i=l:182k759:0:=8?4}o0;`6b=83;pb<<>3;3217=zf;2o?h4?:0ym577428;>?6sa29f0b?6=9rd:><=51077?xh50m>;6=4>{o3156<69579~j7>c<;0;6472?2we>5j;3;295~h6:891=<;7;|l1{i:1n?;7>51zl2645=98?h7p`=8e6;>5<6sg;9=>4>14f8yk4?l=31<7?tn0027?76=l1vb?6k4`83>4}i9;;86201954073td94i:l:182k759:0:=;?4}o0;`1b=83;pb<<>3;3227=zf;2o8h4?:0ym577428;=?6sa29f7b?6=9rd:><=51047?xh50m?;6=4>{o3156<69??0qc<7d4394?7|f88:?7?>679~j7>c=;0;6471?2we>5j:3;295~h6:891=<87;|l1{i:1n>;7>51zl2645=985<6sg;9=>4>17f8yk4?l<31<7?tn0027?76>l1vb?6k5`83>4}i9;;86201954173td94i;l:182k759:0:=:?4}o0;`0b=83;pb<<>3;3237=zf;2o9h4?:0ym577428;<=51057?xh50m<;6=4>{o3156<69>?0qc<7d7394?7|f88:?7?>779~j7>c>;0;6470?2we>5j93;295~h6:891=<97;|l1{i:1n=;7>51zl2645=98=h7p`=8e4;>5<6sg;9=>4>16f8yk4?l?31<7?tn0027?76?l1vb?6k6`83>4}i9;;86201954>73td94i8l:182k759:0:=5?4}o0;`3b=83;pb<<>3;32<7=zf;2o:h4?:0ym577428;3?6sa29f5b?6=9rd:><=510:7?xh50m=;6=4>{o3156<691?0qc<7d6394?7|f88:?7?>879~j7>c?;0;647??2we>5j83;295~h6:891=<67;|l1{i:1n<;7>51zl2645=982h7p`=8e5;>5<6sg;9=>4>19f8yk4?l>31<7?tn0027?760l1vb?6k7`83>4}i9;;86201954?73td94i9l:182k759:0:=4?4}o0;`2b=83;pb<<>3;32=7=zf;2o;h4?:0ym577428;2?6sa29f4b?6=9rd:><=510;7?xh50m2;6=4>{o3156<690?0qc<7d9394?7|f88:?7?>979~j7>c0;0;647>?2we>5j73;295~h6:891=<77;|l13290:wc?=12825{i:1n3;7>51zl2645=983h7p`=8e:;>5<6sg;9=>4>18f8yk4?l131<7?tn0027?761l1vb?6k8`83>4}i9;;86201954g73td94i6l:182k759:0:=l?4}o0;`=b=83;pb<<>3;32e7=zf;2o4h4?:0ym577428;j?6sa29f;b?6=9rd:><=510c7?xh50m3;6=4>{o3156<69h?0qc<7d8394?7|f88:?7?>a79~j7>c1;0;647f?2we>5j63;295~h6:891={i:1n2;7>51zl2645=98kh7p`=8e;;>5<6sg;9=>4>1`f8yk4?l031<7?tn0027?76il1vb?6k9`83>4}i9;;86j3:1=v`>201954d73td94i7l:182k759:0:=o?4}o0;`3;32f7=zf;2o5h4?:0ym577428;i?6sa29f:b?6=9rd:><=510`7?xh50mk;6=4>{o3156<69k?0qc<7d`394?7|f88:?7?>b79~j7>ci;0;647e?2we>5jn3;295~h6:891={i:1nj;7>51zl2645=98hh7p`=8ec;>5<6sg;9=>4>1cf8yk4?lh31<7?tn0027?76jl1vb?6ka`83>4}i9;;86201954e73td94iol:182k759:0:=n?4}o0;`db=83;pb<<>3;32g7=zf;2omh4?:0ym577428;h?6sa29fbb?6=9rd:><=510a7?xh50mh;6=4>{o3156<69j?0qc<7dc394?7|f88:?7?>c79~j7>cj;0;647d?2we>5jm3;295~h6:891={i:1ni;7>51zl2645=98ih7p`=8e`;>5<6sg;9=>4>1bf8yk4?lk31<7?tn0027?76kl1vb?6kb`83>4}i9;;86201954b73td94ill:182k759:0:=i?4}o0;`gb=83;pb<<>3;32`7=zf;2onh4?:0ym577428;o?6sa29fab?6=9rd:><=510f7?xh50mi;6=4>{o3156<69m?0qc<7db394?7|f88:?7?>d79~j7>ck;0;647c?2we>5jl3;295~h6:891={i:1nh;7>51zl2645=98nh7p`=8ea;>5<6sg;9=>4>1ef8yk4?lj31<7?tn0027?76ll1vb?6kc`83>4}i9;;86201954c73td94iml:182k759:0:=h?4}o0;`fb=83;pb<<>3;32a7=zf;2ooh4?:0ym577428;n?6sa29f`b?6=9rd:><=510g7?xh50mn;6=4>{o3156<69l?0qc<7de394?7|f88:?7?>e79~j7>cl;0;647b?2we>5jk3;295~h6:891={i:1no;7>51zl2645=98oh7p`=8ef;>5<6sg;9=>4>1df8yk4?lm31<7?tn0027?76ml1vb?6kd`83>4}i9;;86201954`73td94ijl:182k759:0:=k?4}o0;`ab=83;pb<<>3;32b7=zf;2ohh4?:0ym577428;m?6sa29fgb?6=9rd:><=510d7?xh50mo;6=4>{o3156<69o?0qc<7dd394?7|f88:?7?>f79~j7>cm;0;647a?2we>5jj3;295~h6:891={i:1nn;7>51zl2645=98lh7p`=8eg;>5<6sg;9=>4>1gf8yk4?ll31<7?tn0027?76nl1vb?6ke`83>4}i9;;86201957673td94ikl:182k759:0:>=?4}o0;``b=83;pb<<>3;3147=zf;2oih4?:0ym5774288;?6sa29ffb?6=9rd:><=51327?xh50ml;6=4>{o3156<6:9?0qc<7dg394?7|f88:?7?=079~j7>cn;0;6447?2we>5ji3;295~h6:891=?>7;|l1{i:1nm;7>51zl2645=9;:h7p`=8ed;>5<6sg;9=>4>21f8yk4?lo31<7?tn0027?758l1vb?6kf`83>4}i9;;86<201957773td94ihl:182k759:0:>3;3157=zf;2ojh4?:0ym5774288:?6sa29feb?6=9rd:><=51337?xh50l:;6=4>{o3156<6:8?0qc<7e1394?7|f88:?7?=179~j7>b8;0;6446?2we>5k?3;295~h6:891=??7;|l1<`63290:wc?=128264?{i:1o;;7>51zl2645=9;;h7p`=8d2;>5<6sg;9=>4>20f8yk4?m931<7?tn0027?759l1vb?6j0`83>4}i9;;86<<>f:m6=c7j3:1=v`>201957473td94h>l:182k759:0:>??4}o0;a5b=83;pb<<>3;3167=zf;2n<=51307?xh50l;;6=4>{o3156<6:;?0qc<7e0394?7|f88:?7?=279~j7>b9;0;6445?2we>5k>3;295~h6:891=?<7;|l1<`73290:wc?=128267?l5rn3:f53<728qe=??<:001f>{i:1o:;7>51zl2645=9;8h7p`=8d3;>5<6sg;9=>4>23f8yk4?m831<7?tn0027?75:l1vb?6j1`83>4}i9;;86<<=f:m6=c6j3:1=v`>201957573td94h?l:182k759:0:>>?4}o0;a4b=83;pb<<>3;3177=zf;2n=h4?:0ym57742888?6sa29g2b?6=9rd:><=51317?xh50l8;6=4>{o3156<6::?0qc<7e3394?7|f88:?7?=379~j7>b:;0;6444?2we>5k=3;295~h6:891=?=7;|l1<`43290:wc?=128266?{i:1o9;7>51zl2645=9;9h7p`=8d0;>5<6sg;9=>4>22f8yk4?m;31<7?tn0027?75;l1vb?6j2`83>4}i9;;86<<201957273td94h9?4}o0;a7b=83;pb<<>3;3107=zf;2n>h4?:0ym5774288??6sa29g1b?6=9rd:><=51367?xh50l9;6=4>{o3156<6:=?0qc<7e2394?7|f88:?7?=479~j7>b;;0;6443?2we>5k<3;295~h6:891=?:7;|l1<`53290:wc?=128261?;50;3xj446;3;98l5rn3:f73<728qe=??<:007f>{i:1o8;7>51zl2645=9;>h7p`=8d1;>5<6sg;9=>4>25f8yk4?m:31<7?tn0027?754}i9;;86<<;f:m6=c4j3:1=v`>201957373td94h=l:182k759:0:>8?4}o0;a6b=83;pb<<>3;3117=zf;2n?h4?:0ym5774288>?6sa29g0b?6=9rd:><=51377?xh50l>;6=4>{o3156<6:b<;0;6442?2we>5k;3;295~h6:891=?;7;|l1<`23290:wc?=128260?{i:1o?;7>51zl2645=9;?h7p`=8d6;>5<6sg;9=>4>24f8yk4?m=31<7?tn0027?75=l1vb?6j4`83>4}i9;;86<<:f:m6=c3j3:1=v`>201957073td94h:l:182k759:0:>;?4}o0;a1b=83;pb<<>3;3127=zf;2n8h4?:0ym5774288=?6sa29g7b?6=9rd:><=51347?xh50l?;6=4>{o3156<6:??0qc<7e4394?7|f88:?7?=679~j7>b=;0;6441?2we>5k:3;295~h6:891=?87;|l1<`33290:wc?=128263?{i:1o>;7>51zl2645=9;5<6sg;9=>4>27f8yk4?m<31<7?tn0027?75>l1vb?6j5`83>4}i9;;86<<9f:m6=c2j3:1=v`>201957173td94h;l:182k759:0:>:?4}o0;a0b=83;pb<<>3;3137=zf;2n9h4?:0ym5774288<=51357?xh50l<;6=4>{o3156<6:>?0qc<7e7394?7|f88:?7?=779~j7>b>;0;6440?2we>5k93;295~h6:891=?97;|l1<`03290:wc?=128262?{i:1o=;7>51zl2645=9;=h7p`=8d4;>5<6sg;9=>4>26f8yk4?m?31<7?tn0027?75?l1vb?6j6`83>4}i9;;86<<8f:m6=c1j3:1=v`>201957>73td94h8l:182k759:0:>5?4}o0;a3b=83;pb<<>3;31<7=zf;2n:h4?:0ym57742883?6sa29g5b?6=9rd:><=513:7?xh50l=;6=4>{o3156<6:1?0qc<7e6394?7|f88:?7?=879~j7>b?;0;644??2we>5k83;295~h6:891=?67;|l1<`13290:wc?=12826=?{i:1o<;7>51zl2645=9;2h7p`=8d5;>5<6sg;9=>4>29f8yk4?m>31<7?tn0027?750l1vb?6j7`83>4}i9;;86<<7f:m6=c0j3:1=v`>201957?73td94h9l:182k759:0:>4?4}o0;a2b=83;pb<<>3;31=7=zf;2n;h4?:0ym57742882?6sa29g4b?6=9rd:><=513;7?xh50l2;6=4>{o3156<6:0?0qc<7e9394?7|f88:?7?=979~j7>b0;0;644>?2we>5k73;295~h6:891=?77;|l1<`>3290:wc?=12826{i:1o3;7>51zl2645=9;3h7p`=8d:;>5<6sg;9=>4>28f8yk4?m131<7?tn0027?751l1vb?6j8`83>4}i9;;86<<6f:m6=c?j3:1=v`>201957g73td94h6l:182k759:0:>l?4}o0;a=b=83;pb<<>3;31e7=zf;2n4h4?:0ym5774288j?6sa29g;b?6=9rd:><=513c7?xh50l3;6=4>{o3156<6:h?0qc<7e8394?7|f88:?7?=a79~j7>b1;0;644f?2we>5k63;295~h6:891=?o7;|l1<`?3290:wc?=12826d?{i:1o2;7>51zl2645=9;kh7p`=8d;;>5<6sg;9=>4>2`f8yk4?m031<7?tn0027?75il1vb?6j9`83>4}i9;;86<j3:1=v`>201957d73td94h7l:182k759:0:>o?4}o0;a3;31f7=zf;2n5h4?:0ym5774288i?6sa29g:b?6=9rd:><=513`7?xh50lk;6=4>{o3156<6:k?0qc<7e`394?7|f88:?7?=b79~j7>bi;0;644e?2we>5kn3;295~h6:891=?l7;|l1<`g3290:wc?=12826g?{i:1oj;7>51zl2645=9;hh7p`=8dc;>5<6sg;9=>4>2cf8yk4?mh31<7?tn0027?75jl1vb?6ja`83>4}i9;;86<201957e73td94hol:182k759:0:>n?4}o0;adb=83;pb<<>3;31g7=zf;2nmh4?:0ym5774288h?6sa29gbb?6=9rd:><=513a7?xh50lh;6=4>{o3156<6:j?0qc<7ec394?7|f88:?7?=c79~j7>bj;0;644d?2we>5km3;295~h6:891=?m7;|l1<`d3290:wc?=12826f?{i:1oi;7>51zl2645=9;ih7p`=8d`;>5<6sg;9=>4>2bf8yk4?mk31<7?tn0027?75kl1vb?6jb`83>4}i9;;86<201957b73td94hll:182k759:0:>i?4}o0;agb=83;pb<<>3;31`7=zf;2nnh4?:0ym5774288o?6sa29gab?6=9rd:><=513f7?xh50li;6=4>{o3156<6:m?0qc<7eb394?7|f88:?7?=d79~j7>bk;0;644c?2we>5kl3;295~h6:891=?j7;|l1<`e3290:wc?=12826a?{i:1oh;7>51zl2645=9;nh7p`=8da;>5<6sg;9=>4>2ef8yk4?mj31<7?tn0027?75ll1vb?6jc`83>4}i9;;86<201957c73td94hml:182k759:0:>h?4}o0;afb=83;pb<<>3;31a7=zf;2noh4?:0ym5774288n?6sa29g`b?6=9rd:><=513g7?xh50ln;6=4>{o3156<6:l?0qc<7ee394?7|f88:?7?=e79~j7>bl;0;644b?2we>5kk3;295~h6:891=?k7;|l1<`b3290:wc?=12826`?{i:1oo;7>51zl2645=9;oh7p`=8df;>5<6sg;9=>4>2df8yk4?mm31<7?tn0027?75ml1vb?6jd`83>4}i9;;86<201957`73td94hjl:182k759:0:>k?4}o0;aab=83;pb<<>3;31b7=zf;2nhh4?:0ym5774288m?6sa29ggb?6=9rd:><=513d7?xh50lo;6=4>{o3156<6:o?0qc<7ed394?7|f88:?7?=f79~j7>bm;0;644a?2we>5kj3;295~h6:891=?h7;|l1<`c3290:wc?=12826c?{i:1on;7>51zl2645=9;lh7p`=8dg;>5<6sg;9=>4>2gf8yk4?ml31<7?tn0027?75nl1vb?6je`83>4}i9;;86<201956673td94hkl:182k759:0:?=?4}o0;a`b=83;pb<<>3;3047=zf;2nih4?:0ym5774289;?6sa29gfb?6=9rd:><=51227?xh50ll;6=4>{o3156<6;9?0qc<7eg394?7|f88:?7?<079~j7>bn;0;6457?2we>5ki3;295~h6:891=>>7;|l1<``3290:wc?=128275?{i:1om;7>51zl2645=9::h7p`=8dd;>5<6sg;9=>4>31f8yk4?mo31<7?tn0027?748l1vb?6jf`83>4}i9;;86<=?f:m6=caj3:1=v`>201956773td94hhl:182k759:0:?3;3057=zf;2njh4?:0ym5774289:?6sa29geb?6=9rd:><=51237?xh50o:;6=4>{o3156<6;8?0qc<7f1394?7|f88:?7?<179~j7>a8;0;6456?2we>5h?3;295~h6:891=>?7;|l1{i:1l;;7>51zl2645=9:;h7p`=8g2;>5<6sg;9=>4>30f8yk4?n931<7?tn0027?749l1vb?6i0`83>4}i9;;86<=>f:m6=`7j3:1=v`>201956473td94k>l:182k759:0:???4}o0;b5b=83;pb<<>3;3067=zf;2m<=51207?xh50o;;6=4>{o3156<6;;?0qc<7f0394?7|f88:?7?<279~j7>a9;0;6455?2we>5h>3;295~h6:891=><7;|l1l5rn3:e53<728qe=??<:011f>{i:1l:;7>51zl2645=9:8h7p`=8g3;>5<6sg;9=>4>33f8yk4?n831<7?tn0027?74:l1vb?6i1`83>4}i9;;86<==f:m6=`6j3:1=v`>201956573td94k?l:182k759:0:?>?4}o0;b4b=83;pb<<>3;3077=zf;2m=h4?:0ym57742898?6sa29d2b?6=9rd:><=51217?xh50o8;6=4>{o3156<6;:?0qc<7f3394?7|f88:?7?<379~j7>a:;0;6454?2we>5h=3;295~h6:891=>=7;|l1{i:1l9;7>51zl2645=9:9h7p`=8g0;>5<6sg;9=>4>32f8yk4?n;31<7?tn0027?74;l1vb?6i2`83>4}i9;;86<=201956273td94k3;3007=zf;2m>h4?:0ym5774289??6sa29d1b?6=9rd:><=51267?xh50o9;6=4>{o3156<6;=?0qc<7f2394?7|f88:?7?<479~j7>a;;0;6453?2we>5h<3;295~h6:891=>:7;|l1;50;3xj446;3;88l5rn3:e73<728qe=??<:017f>{i:1l8;7>51zl2645=9:>h7p`=8g1;>5<6sg;9=>4>35f8yk4?n:31<7?tn0027?744}i9;;86<=;f:m6=`4j3:1=v`>201956373td94k=l:182k759:0:?8?4}o0;b6b=83;pb<<>3;3017=zf;2m?h4?:0ym5774289>?6sa29d0b?6=9rd:><=51277?xh50o>;6=4>{o3156<6;a<;0;6452?2we>5h;3;295~h6:891=>;7;|l1{i:1l?;7>51zl2645=9:?h7p`=8g6;>5<6sg;9=>4>34f8yk4?n=31<7?tn0027?74=l1vb?6i4`83>4}i9;;86<=:f:m6=`3j3:1=v`>201956073td94k:l:182k759:0:?;?4}o0;b1b=83;pb<<>3;3027=zf;2m8h4?:0ym5774289=?6sa29d7b?6=9rd:><=51247?xh50o?;6=4>{o3156<6;??0qc<7f4394?7|f88:?7?<679~j7>a=;0;6451?2we>5h:3;295~h6:891=>87;|l1{i:1l>;7>51zl2645=9:5<6sg;9=>4>37f8yk4?n<31<7?tn0027?74>l1vb?6i5`83>4}i9;;86<=9f:m6=`2j3:1=v`>201956173td94k;l:182k759:0:?:?4}o0;b0b=83;pb<<>3;3037=zf;2m9h4?:0ym5774289<=51257?xh50o<;6=4>{o3156<6;>?0qc<7f7394?7|f88:?7?<779~j7>a>;0;6450?2we>5h93;295~h6:891=>97;|l1{i:1l=;7>51zl2645=9:=h7p`=8g4;>5<6sg;9=>4>36f8yk4?n?31<7?tn0027?74?l1vb?6i6`83>4}i9;;86<=8f:m6=`1j3:1=v`>201956>73td94k8l:182k759:0:?5?4}o0;b3b=83;pb<<>3;30<7=zf;2m:h4?:0ym57742893?6sa29d5b?6=9rd:><=512:7?xh50o=;6=4>{o3156<6;1?0qc<7f6394?7|f88:?7?<879~j7>a?;0;645??2we>5h83;295~h6:891=>67;|l1{i:1l<;7>51zl2645=9:2h7p`=8g5;>5<6sg;9=>4>39f8yk4?n>31<7?tn0027?740l1vb?6i7`83>4}i9;;86<=7f:m6=`0j3:1=v`>201956?73td94k9l:182k759:0:?4?4}o0;b2b=83;pb<<>3;30=7=zf;2m;h4?:0ym57742892?6sa29d4b?6=9rd:><=512;7?xh50o2;6=4>{o3156<6;0?0qc<7f9394?7|f88:?7?<979~j7>a0;0;645>?2we>5h73;295~h6:891=>77;|l13290:wc?=12827{i:1l3;7>51zl2645=9:3h7p`=8g:;>5<6sg;9=>4>38f8yk4?n131<7?tn0027?741l1vb?6i8`83>4}i9;;86<=6f:m6=`?j3:1=v`>201956g73td94k6l:182k759:0:?l?4}o0;b=b=83;pb<<>3;30e7=zf;2m4h4?:0ym5774289j?6sa29d;b?6=9rd:><=512c7?xh50o3;6=4>{o3156<6;h?0qc<7f8394?7|f88:?7?a1;0;645f?2we>5h63;295~h6:891=>o7;|l1{i:1l2;7>51zl2645=9:kh7p`=8g;;>5<6sg;9=>4>3`f8yk4?n031<7?tn0027?74il1vb?6i9`83>4}i9;;86<=nf:m6=`>j3:1=v`>201956d73td94k7l:182k759:0:?o?4}o0;b3;30f7=zf;2m5h4?:0ym5774289i?6sa29d:b?6=9rd:><=512`7?xh50ok;6=4>{o3156<6;k?0qc<7f`394?7|f88:?7?ai;0;645e?2we>5hn3;295~h6:891=>l7;|l1{i:1lj;7>51zl2645=9:hh7p`=8gc;>5<6sg;9=>4>3cf8yk4?nh31<7?tn0027?74jl1vb?6ia`83>4}i9;;86<=mf:m6=`fj3:1=v`>201956e73td94kol:182k759:0:?n?4}o0;bdb=83;pb<<>3;30g7=zf;2mmh4?:0ym5774289h?6sa29dbb?6=9rd:><=512a7?xh50oh;6=4>{o3156<6;j?0qc<7fc394?7|f88:?7?aj;0;645d?2we>5hm3;295~h6:891=>m7;|l1{i:1li;7>51zl2645=9:ih7p`=8g`;>5<6sg;9=>4>3bf8yk4?nk31<7?tn0027?74kl1vb?6ib`83>4}i9;;86<=lf:m6=`ej3:1=v`>201956b73td94kll:182k759:0:?i?4}o0;bgb=83;pb<<>3;30`7=zf;2mnh4?:0ym5774289o?6sa29dab?6=9rd:><=512f7?xh50oi;6=4>{o3156<6;m?0qc<7fb394?7|f88:?7?ak;0;645c?2we>5hl3;295~h6:891=>j7;|l1{i:1lh;7>51zl2645=9:nh7p`=8ga;>5<6sg;9=>4>3ef8yk4?nj31<7?tn0027?74ll1vb?6ic`83>4}i9;;86<=kf:m6=`dj3:1=v`>201956c73td94kml:182k759:0:?h?4}o0;bfb=83;pb<<>3;30a7=zf;2moh4?:0ym5774289n?6sa29d`b?6=9rd:><=512g7?xh50on;6=4>{o3156<6;l?0qc<7fe394?7|f88:?7?al;0;645b?2we>5hk3;295~h6:891=>k7;|l1{i:1lo;7>51zl2645=9:oh7p`=8gf;>5<6sg;9=>4>3df8yk4?nm31<7?tn0027?74ml1vb?6id`83>4}i9;;86<=jf:m6=`cj3:1=v`>201956`73td94kjl:182k759:0:?k?4}o0;bab=83;pb<<>3;30b7=zf;2mhh4?:0ym5774289m?6sa29dgb?6=9rd:><=512d7?xh50oo;6=4>{o3156<6;o?0qc<7fd394?7|f88:?7?am;0;645a?2we>5hj3;295~h6:891=>h7;|l1{i:1ln;7>51zl2645=9:lh7p`=8gg;>5<6sg;9=>4>3gf8yk4?nl31<7?tn0027?74nl1vb?6ie`83>4}i9;;86<=if:m6=`bj3:1=v`>201951673td94kkl:182k759:0:8=?4}o0;b`b=83;pb<<>3;3747=zf;2mih4?:0ym577428>;?6sa29dfb?6=9rd:><=51527?xh50ol;6=4>{o3156<6<9?0qc<7fg394?7|f88:?7?;079~j7>an;0;6427?2we>5hi3;295~h6:891=9>7;|l1{i:1lm;7>51zl2645=9=:h7p`=8gd;>5<6sg;9=>4>41f8yk4?no31<7?tn0027?738l1vb?6if`83>4}i9;;86<:?f:m6=`aj3:1=v`>201951773td94khl:182k759:0:83;3757=zf;2mjh4?:0ym577428>:?6sa29deb?6=9rd:><=51537?xh519:;6=4>{o3156<6<8?0qc<601394?7|f88:?7?;179~j7?78;0;6426?2we>4>?3;295~h6:891=9?7;|l1=563290:wc?=128204?{i:0:;;7>51zl2645=9=;h7p`=912;>5<6sg;9=>4>40f8yk4>8931<7?tn0027?739l1vb?7?0`83>4}i9;;86<:>f:m6<67j3:1=v`>201951473td95=>l:182k759:0:8??4}o0:45b=83;pb<<>3;3767=zf;3;9?6sa2823b?6=9rd:><=51507?xh519;;6=4>{o3156<6<;?0qc<600394?7|f88:?7?;279~j7?79;0;6425?2we>4>>3;295~h6:891=9<7;|l1=573290:wc?=128207?l5rn3;353<728qe=??<:061f>{i:0::;7>51zl2645=9=8h7p`=913;>5<6sg;9=>4>43f8yk4>8831<7?tn0027?73:l1vb?7?1`83>4}i9;;86<:=f:m6<66j3:1=v`>201951573td95=?l:182k759:0:8>?4}o0:44b=83;pb<<>3;3777=zf;3;=h4?:0ym577428>8?6sa2822b?6=9rd:><=51517?xh5198;6=4>{o3156<6<:?0qc<603394?7|f88:?7?;379~j7?7:;0;6424?2we>4>=3;295~h6:891=9=7;|l1=543290:wc?=128206?{i:0:9;7>51zl2645=9=9h7p`=910;>5<6sg;9=>4>42f8yk4>8;31<7?tn0027?73;l1vb?7?2`83>4}i9;;86<:201951273td95=3;3707=zf;3;>h4?:0ym577428>??6sa2821b?6=9rd:><=51567?xh5199;6=4>{o3156<6<=?0qc<602394?7|f88:?7?;479~j7?7;;0;6423?2we>4><3;295~h6:891=9:7;|l1=553290:wc?=128201?;50;3xj446;3;?8l5rn3;373<728qe=??<:067f>{i:0:8;7>51zl2645=9=>h7p`=911;>5<6sg;9=>4>45f8yk4>8:31<7?tn0027?734}i9;;86<:;f:m6<64j3:1=v`>201951373td95==l:182k759:0:88?4}o0:46b=83;pb<<>3;3717=zf;3;?h4?:0ym577428>>?6sa2820b?6=9rd:><=51577?xh519>;6=4>{o3156<6<422?2we>4>;3;295~h6:891=9;7;|l1=523290:wc?=128200?{i:0:?;7>51zl2645=9=?h7p`=916;>5<6sg;9=>4>44f8yk4>8=31<7?tn0027?73=l1vb?7?4`83>4}i9;;86<::f:m6<63j3:1=v`>201951073td95=:l:182k759:0:8;?4}o0:41b=83;pb<<>3;3727=zf;3;8h4?:0ym577428>=?6sa2827b?6=9rd:><=51547?xh519?;6=4>{o3156<6421?2we>4>:3;295~h6:891=987;|l1=533290:wc?=128203?{i:0:>;7>51zl2645=9=5<6sg;9=>4>47f8yk4>8<31<7?tn0027?73>l1vb?7?5`83>4}i9;;86<:9f:m6<62j3:1=v`>201951173td95=;l:182k759:0:8:?4}o0:40b=83;pb<<>3;3737=zf;3;9h4?:0ym577428><=51557?xh519<;6=4>{o3156<6<>?0qc<607394?7|f88:?7?;779~j7?7>;0;6420?2we>4>93;295~h6:891=997;|l1=503290:wc?=128202?{i:0:=;7>51zl2645=9==h7p`=914;>5<6sg;9=>4>46f8yk4>8?31<7?tn0027?73?l1vb?7?6`83>4}i9;;86<:8f:m6<61j3:1=v`>201951>73td95=8l:182k759:0:85?4}o0:43b=83;pb<<>3;37<7=zf;3;:h4?:0ym577428>3?6sa2825b?6=9rd:><=515:7?xh519=;6=4>{o3156<6<1?0qc<606394?7|f88:?7?;879~j7?7?;0;642??2we>4>83;295~h6:891=967;|l1=513290:wc?=12820=?{i:0:<;7>51zl2645=9=2h7p`=915;>5<6sg;9=>4>49f8yk4>8>31<7?tn0027?730l1vb?7?7`83>4}i9;;86<:7f:m6<60j3:1=v`>201951?73td95=9l:182k759:0:84?4}o0:42b=83;pb<<>3;37=7=zf;3;;h4?:0ym577428>2?6sa2824b?6=9rd:><=515;7?xh5192;6=4>{o3156<6<0?0qc<609394?7|f88:?7?;979~j7?70;0;642>?2we>4>73;295~h6:891=977;|l1=5>3290:wc?=12820{i:0:3;7>51zl2645=9=3h7p`=91:;>5<6sg;9=>4>48f8yk4>8131<7?tn0027?731l1vb?7?8`83>4}i9;;86<:6f:m6<6?j3:1=v`>201951g73td95=6l:182k759:0:8l?4}o0:4=b=83;pb<<>3;37e7=zf;3;4h4?:0ym577428>j?6sa282;b?6=9rd:><=515c7?xh5193;6=4>{o3156<642f?2we>4>63;295~h6:891=9o7;|l1=5?3290:wc?=12820d?{i:0:2;7>51zl2645=9=kh7p`=91;;>5<6sg;9=>4>4`f8yk4>8031<7?tn0027?73il1vb?7?9`83>4}i9;;86<:nf:m6<6>j3:1=v`>201951d73td95=7l:182k759:0:8o?4}o0:43;37f7=zf;3;5h4?:0ym577428>i?6sa282:b?6=9rd:><=515`7?xh519k;6=4>{o3156<642e?2we>4>n3;295~h6:891=9l7;|l1=5g3290:wc?=12820g?{i:0:j;7>51zl2645=9=hh7p`=91c;>5<6sg;9=>4>4cf8yk4>8h31<7?tn0027?73jl1vb?7?a`83>4}i9;;86<:mf:m6<6fj3:1=v`>201951e73td95=ol:182k759:0:8n?4}o0:4db=83;pb<<>3;37g7=zf;3;mh4?:0ym577428>h?6sa282bb?6=9rd:><=515a7?xh519h;6=4>{o3156<642d?2we>4>m3;295~h6:891=9m7;|l1=5d3290:wc?=12820f?{i:0:i;7>51zl2645=9=ih7p`=91`;>5<6sg;9=>4>4bf8yk4>8k31<7?tn0027?73kl1vb?7?b`83>4}i9;;86<:lf:m6<6ej3:1=v`>201951b73td95=ll:182k759:0:8i?4}o0:4gb=83;pb<<>3;37`7=zf;3;nh4?:0ym577428>o?6sa282ab?6=9rd:><=515f7?xh519i;6=4>{o3156<642c?2we>4>l3;295~h6:891=9j7;|l1=5e3290:wc?=12820a?{i:0:h;7>51zl2645=9=nh7p`=91a;>5<6sg;9=>4>4ef8yk4>8j31<7?tn0027?73ll1vb?7?c`83>4}i9;;86<:kf:m6<6dj3:1=v`>201951c73td95=ml:182k759:0:8h?4}o0:4fb=83;pb<<>3;37a7=zf;3;oh4?:0ym577428>n?6sa282`b?6=9rd:><=515g7?xh519n;6=4>{o3156<642b?2we>4>k3;295~h6:891=9k7;|l1=5b3290:wc?=12820`?{i:0:o;7>51zl2645=9=oh7p`=91f;>5<6sg;9=>4>4df8yk4>8m31<7?tn0027?73ml1vb?7?d`83>4}i9;;86<:jf:m6<6cj3:1=v`>201951`73td95=jl:182k759:0:8k?4}o0:4ab=83;pb<<>3;37b7=zf;3;hh4?:0ym577428>m?6sa282gb?6=9rd:><=515d7?xh519o;6=4>{o3156<642a?2we>4>j3;295~h6:891=9h7;|l1=5c3290:wc?=12820c?{i:0:n;7>51zl2645=9=lh7p`=91g;>5<6sg;9=>4>4gf8yk4>8l31<7?tn0027?73nl1vb?7?e`83>4}i9;;86<:if:m6<6bj3:1=v`>201950673td95=kl:182k759:0:9=?4}o0:4`b=83;pb<<>3;3647=zf;3;ih4?:0ym577428?;?6sa282fb?6=9rd:><=51427?xh519l;6=4>{o3156<6=9?0qc<60g394?7|f88:?7?:079~j7?7n;0;6437?2we>4>i3;295~h6:891=8>7;|l1=5`3290:wc?=128215?{i:0:m;7>51zl2645=9<:h7p`=91d;>5<6sg;9=>4>51f8yk4>8o31<7?tn0027?728l1vb?7?f`83>4}i9;;86<;?f:m6<6aj3:1=v`>201950773td95=hl:182k759:0:93;3657=zf;3;jh4?:0ym577428?:?6sa282eb?6=9rd:><=51437?xh518:;6=4>{o3156<6=8?0qc<611394?7|f88:?7?:179~j7?68;0;6436?2we>4??3;295~h6:891=8?7;|l1=463290:wc?=128214?=l5rn3;243<728qe=??<:072f>{i:0;;;7>51zl2645=9<;h7p`=902;>5<6sg;9=>4>50f8yk4>9931<7?tn0027?729l1vb?7>0`83>4}i9;;86<;>f:m6<77j3:1=v`>201950473td95<>l:182k759:0:9??4}o0:55b=83;pb<<>3;3667=zf;3:<=51407?xh518;;6=4>{o3156<6=;?0qc<610394?7|f88:?7?:279~j7?69;0;6435?2we>4?>3;295~h6:891=8<7;|l1=473290:wc?=128217?>l5rn3;253<728qe=??<:071f>{i:0;:;7>51zl2645=9<8h7p`=903;>5<6sg;9=>4>53f8yk4>9831<7?tn0027?72:l1vb?7>1`83>4}i9;;86<;=f:m6<76j3:1=v`>201950573td95?4}o0:54b=83;pb<<>3;3677=zf;3:=h4?:0ym577428?8?6sa2832b?6=9rd:><=51417?xh5188;6=4>{o3156<6=:?0qc<613394?7|f88:?7?:379~j7?6:;0;6434?2we>4?=3;295~h6:891=8=7;|l1=443290:wc?=128216??l5rn3;263<728qe=??<:070f>{i:0;9;7>51zl2645=9<9h7p`=900;>5<6sg;9=>4>52f8yk4>9;31<7?tn0027?72;l1vb?7>2`83>4}i9;;86<;201950273td95<3;3607=zf;3:>h4?:0ym577428???6sa2831b?6=9rd:><=51467?xh5189;6=4>{o3156<6==?0qc<612394?7|f88:?7?:479~j7?6;;0;6433?2we>4?<3;295~h6:891=8:7;|l1=453290:wc?=128211?;50;3xj446;3;>8l5rn3;273<728qe=??<:077f>{i:0;8;7>51zl2645=9<>h7p`=901;>5<6sg;9=>4>55f8yk4>9:31<7?tn0027?723`83>4}i9;;86<;;f:m6<74j3:1=v`>201950373td95<=l:182k759:0:98?4}o0:56b=83;pb<<>3;3617=zf;3:?h4?:0ym577428?>?6sa2830b?6=9rd:><=51477?xh518>;6=4>{o3156<6=432?2we>4?;3;295~h6:891=8;7;|l1=423290:wc?=128210?9l5rn3;203<728qe=??<:076f>{i:0;?;7>51zl2645=95<6sg;9=>4>54f8yk4>9=31<7?tn0027?72=l1vb?7>4`83>4}i9;;86<;:f:m6<73j3:1=v`>201950073td95<:l:182k759:0:9;?4}o0:51b=83;pb<<>3;3627=zf;3:8h4?:0ym577428?=?6sa2837b?6=9rd:><=51447?xh518?;6=4>{o3156<6=??0qc<614394?7|f88:?7?:679~j7?6=;0;6431?2we>4?:3;295~h6:891=887;|l1=433290:wc?=128213?:l5rn3;213<728qe=??<:075f>{i:0;>;7>51zl2645=9<5<6sg;9=>4>57f8yk4>9<31<7?tn0027?72>l1vb?7>5`83>4}i9;;86<;9f:m6<72j3:1=v`>201950173td95<;l:182k759:0:9:?4}o0:50b=83;pb<<>3;3637=zf;3:9h4?:0ym577428?<=51457?xh518<;6=4>{o3156<6=>?0qc<617394?7|f88:?7?:779~j7?6>;0;6430?2we>4?93;295~h6:891=897;|l1=403290:wc?=128212?;l5rn3;223<728qe=??<:074f>{i:0;=;7>51zl2645=9<=h7p`=904;>5<6sg;9=>4>56f8yk4>9?31<7?tn0027?72?l1vb?7>6`83>4}i9;;86<;8f:m6<71j3:1=v`>201950>73td95<8l:182k759:0:95?4}o0:53b=83;pb<<>3;36<7=zf;3::h4?:0ym577428?3?6sa2835b?6=9rd:><=514:7?xh518=;6=4>{o3156<6=1?0qc<616394?7|f88:?7?:879~j7?6?;0;643??2we>4?83;295~h6:891=867;|l1=413290:wc?=12821=?4l5rn3;233<728qe=??<:07;f>{i:0;<;7>51zl2645=9<2h7p`=905;>5<6sg;9=>4>59f8yk4>9>31<7?tn0027?720l1vb?7>7`83>4}i9;;86<;7f:m6<70j3:1=v`>201950?73td95<9l:182k759:0:94?4}o0:52b=83;pb<<>3;36=7=zf;3:;h4?:0ym577428?2?6sa2834b?6=9rd:><=514;7?xh5182;6=4>{o3156<6=0?0qc<619394?7|f88:?7?:979~j7?60;0;643>?2we>4?73;295~h6:891=877;|l1=4>3290:wc?=128215l5rn3;2<3<728qe=??<:07:f>{i:0;3;7>51zl2645=9<3h7p`=90:;>5<6sg;9=>4>58f8yk4>9131<7?tn0027?721l1vb?7>8`83>4}i9;;86<;6f:m6<7?j3:1=v`>201950g73td95<6l:182k759:0:9l?4}o0:5=b=83;pb<<>3;36e7=zf;3:4h4?:0ym577428?j?6sa283;b?6=9rd:><=514c7?xh5183;6=4>{o3156<6=h?0qc<618394?7|f88:?7?:a79~j7?61;0;643f?2we>4?63;295~h6:891=8o7;|l1=4?3290:wc?=12821d?ml5rn3;2=3<728qe=??<:07bf>{i:0;2;7>51zl2645=95<6sg;9=>4>5`f8yk4>9031<7?tn0027?72il1vb?7>9`83>4}i9;;86<;nf:m6<7>j3:1=v`>201950d73td95<7l:182k759:0:9o?4}o0:53;36f7=zf;3:5h4?:0ym577428?i?6sa283:b?6=9rd:><=514`7?xh518k;6=4>{o3156<6=k?0qc<61`394?7|f88:?7?:b79~j7?6i;0;643e?2we>4?n3;295~h6:891=8l7;|l1=4g3290:wc?=12821g?nl5rn3;2e3<728qe=??<:07af>{i:0;j;7>51zl2645=95<6sg;9=>4>5cf8yk4>9h31<7?tn0027?72jl1vb?7>a`83>4}i9;;86<;mf:m6<7fj3:1=v`>201950e73td953;36g7=zf;3:mh4?:0ym577428?h?6sa283bb?6=9rd:><=514a7?xh518h;6=4>{o3156<6=j?0qc<61c394?7|f88:?7?:c79~j7?6j;0;643d?2we>4?m3;295~h6:891=8m7;|l1=4d3290:wc?=12821f?ol5rn3;2f3<728qe=??<:07`f>{i:0;i;7>51zl2645=95<6sg;9=>4>5bf8yk4>9k31<7?tn0027?72kl1vb?7>b`83>4}i9;;86<;lf:m6<7ej3:1=v`>201950b73td953;36`7=zf;3:nh4?:0ym577428?o?6sa283ab?6=9rd:><=514f7?xh518i;6=4>{o3156<6=m?0qc<61b394?7|f88:?7?:d79~j7?6k;0;643c?2we>4?l3;295~h6:891=8j7;|l1=4e3290:wc?=12821a?hl5rn3;2g3<728qe=??<:07gf>{i:0;h;7>51zl2645=95<6sg;9=>4>5ef8yk4>9j31<7?tn0027?72ll1vb?7>c`83>4}i9;;86<;kf:m6<7dj3:1=v`>201950c73td953;36a7=zf;3:oh4?:0ym577428?n?6sa283`b?6=9rd:><=514g7?xh518n;6=4>{o3156<6=l?0qc<61e394?7|f88:?7?:e79~j7?6l;0;643b?2we>4?k3;295~h6:891=8k7;|l1=4b3290:wc?=12821`?il5rn3;2`3<728qe=??<:07ff>{i:0;o;7>51zl2645=95<6sg;9=>4>5df8yk4>9m31<7?tn0027?72ml1vb?7>d`83>4}i9;;86<;jf:m6<7cj3:1=v`>201950`73td953;36b7=zf;3:hh4?:0ym577428?m?6sa283gb?6=9rd:><=514d7?xh518o;6=4>{o3156<6=o?0qc<61d394?7|f88:?7?:f79~j7?6m;0;643a?2we>4?j3;295~h6:891=8h7;|l1=4c3290:wc?=12821c?jl5rn3;2a3<728qe=??<:07ef>{i:0;n;7>51zl2645=95<6sg;9=>4>5gf8yk4>9l31<7?tn0027?72nl1vb?7>e`83>4}i9;;86<;if:m6<7bj3:1=v`>201953673td953;3547=zf;3:ih4?:0ym577428<;?6sa283fb?6=9rd:><=51727?xh518l;6=4>{o3156<6>9?0qc<61g394?7|f88:?7?9079~j7?6n;0;6407?2we>4?i3;295~h6:891=;>7;|l1=4`3290:wc?=128225?{i:0;m;7>51zl2645=9?:h7p`=90d;>5<6sg;9=>4>61f8yk4>9o31<7?tn0027?718l1vb?7>f`83>4}i9;;86<8?f:m6<7aj3:1=v`>201953773td953;3557=zf;3:jh4?:0ym577428<:?6sa283eb?6=9rd:><=51737?xh51;:;6=4>{o3156<6>8?0qc<621394?7|f88:?7?9179~j7?58;0;6406?2we>4=;50;3xj446;3;==l5rn3;143<728qe=??<:042f>{i:08;;7>51zl2645=9?;h7p`=932;>5<6sg;9=>4>60f8yk4>:931<7?tn0027?719l1vb?7=0`83>4}i9;;86<8>f:m6<47j3:1=v`>201953473td95?>l:182k759:0::??4}o0:65b=83;pb<<>3;3567=zf;39<=51707?xh51;;;6=4>{o3156<6>;?0qc<620394?7|f88:?7?9279~j7?59;0;6405?2we>4<>3;295~h6:891=;<7;|l1=773290:wc?=128227?<;50;3xj446;3;=>l5rn3;153<728qe=??<:041f>{i:08:;7>51zl2645=9?8h7p`=933;>5<6sg;9=>4>63f8yk4>:831<7?tn0027?71:l1vb?7=1`83>4}i9;;86<8=f:m6<46j3:1=v`>201953573td95??l:182k759:0::>?4}o0:64b=83;pb<<>3;3577=zf;39=h4?:0ym577428<8?6sa2802b?6=9rd:><=51717?xh51;8;6=4>{o3156<6>:?0qc<623394?7|f88:?7?9379~j7?5:;0;6404?2we>4<=3;295~h6:891=;=7;|l1=743290:wc?=128226??;50;3xj446;3;=?l5rn3;163<728qe=??<:040f>{i:089;7>51zl2645=9?9h7p`=930;>5<6sg;9=>4>62f8yk4>:;31<7?tn0027?71;l1vb?7=2`83>4}i9;;86<8201953273td95?3;3507=zf;39>h4?:0ym577428<=51767?xh51;9;6=4>{o3156<6>=?0qc<622394?7|f88:?7?9479~j7?5;;0;6403?2we>4<<3;295~h6:891=;:7;|l1=753290:wc?=128221?>;50;3xj446;3;=8l5rn3;173<728qe=??<:047f>{i:088;7>51zl2645=9?>h7p`=931;>5<6sg;9=>4>65f8yk4>::31<7?tn0027?714}i9;;86<8;f:m6<44j3:1=v`>201953373td95?=l:182k759:0::8?4}o0:66b=83;pb<<>3;3517=zf;39?h4?:0ym577428<>?6sa2800b?6=9rd:><=51777?xh51;>;6=4>{o3156<6>402?2we>4<;3;295~h6:891=;;7;|l1=723290:wc?=128220?9;50;3xj446;3;=9l5rn3;103<72;qe=??<:046f>{i:08?;7>52zl2645=9??h7p`=936;>5<5sg;9=>4>64f8yk4>:=31<77}i9;;86<8:f:m6<43j3:1>v`>201953073td95?:l:181k759:0::;?4}o0:61b=838pb<<>3;3527=zf;398h4?:0ym577428<=?6sa2807b?6=9rd:><=51747?xh51;?;6=4>{o3156<6>??0qc<624394?7|f88:?7?9679~j7?5=;0;6401?2we>4<:3;295~h6:891=;87;|l1=733290:wc?=128223?8;50;3xj446;3;=:l5rn3;113<728qe=??<:045f>{i:08>;7>51zl2645=9?5<6sg;9=>4>67f8yk4>:<31<7?tn0027?71>l1vb?7=5`83>4}i9;;86<89f:m6<42j3:1=v`>201953173td95?;l:182k759:0:::?4}o0:60b=83;pb<<>3;3537=zf;399h4?:0ym577428<<=51757?xh51;<;6=4>{o3156<6>>?0qc<627394?7|f88:?7?9779~j7?5>;0;6400?2we>4<93;29=~h6:891=;97;|l1=703290:wc?=128222?;;50;;xj446;3;=;l5rn3;123<728qe=??<:044f>{i:08=;7>59zl2645=9?=h7p`=934;>5<6sg;9=>4>66f8yk4>:?31<77tn0027?71?l1vb?7=6`83>4}i9;;86<88f:m6<41j3:1=v`>201953>73td95?8l:18:k759:0::5?4}o0:63b=83;pb<<>3;35<7=zf;39:h4?:8ym577428<3?6sa2805b?6=9rd:><=517:7?xh51;=;6=46{o3156<6>1?0qc<626394?7|f88:?7?9879~j7?5?;0;64ua1330>40??2we>4<83;29=~h6:891=;67;|l1=7132909wc?=12822=?:;50;0xj446;3;=4l5rn3;133<72;qe=??<:04;f>{i:08<;7>52zl2645=9?2h7p`=935;>5<5sg;9=>4>69f8yk4>:>31<77}i9;;86<87f:m6<40j3:1>v`>201953?73td95?9l:182k759:0::4?4}o0:62b=83;pb<<>3;35=7=zf;39;h4?:0ym577428<2?6sa2804b?6=9rd:><=517;7?xh51;2;6=4>{o3156<6>0?0qc<629394?7|f88:?7?9979~j7?50;0;640>?2we>4<73;295~h6:891=;77;|l1=7>3290:wc?=128225;50;3xj446;3;=5l5rn3;1<3<728qe=??<:04:f>{i:083;7>51zl2645=9?3h7p`=93:;>5<6sg;9=>4>68f8yk4>:131<7?tn0027?711l1vb?7=8`83>4}i9;;86<86f:m6<4?j3:1=v`>201953g73td95?6l:182k759:0::l?4}o0:6=b=83;pb<<>3;35e7=zf;394h4?:0ym577428<=517c7?xh51;3;6=4>{o3156<6>h?0qc<628394?7|f88:?7?9a79~j7?51;0;640f?2we>4<63;295~h6:891=;o7;|l1=7?3290:wc?=12822d?4;50;3xj446;3;=ml5rn3;1=3<728qe=??<:04bf>{i:082;7>51zl2645=9?kh7p`=93;;>5<6sg;9=>4>6`f8yk4>:031<7?tn0027?71il1vb?7=9`83>4}i9;;86<8nf:m6<4>j3:1=v`>201953d73td95?7l:182k759:0::o?4}o0:63;35f7=zf;395h4?:0ym577428<=517`7?xh51;k;6=4>{o3156<6>k?0qc<62`394?7|f88:?7?9b79~j7?5i;0;640e?2we>4l;50;3xj446;3;=nl5rn3;1e3<728qe=??<:04af>{i:08j;7>51zl2645=9?hh7p`=93c;>5<6sg;9=>4>6cf8yk4>:h31<7?tn0027?71jl1vb?7=a`83>4}i9;;86<8mf:m6<4fj3:1=v`>201953e73td95?ol:182k759:0::n?4}o0:6db=83;pb<<>3;35g7=zf;39mh4?:0ym577428<=517a7?xh51;h;6=4>{o3156<6>j?0qc<62c394?7|f88:?7?9c79~j7?5j;0;640d?2we>4o;50;3xj446;3;=ol5rn3;1f3<728qe=??<:04`f>{i:08i;7>51zl2645=9?ih7p`=93`;>5<6sg;9=>4>6bf8yk4>:k31<7?tn0027?71kl1vb?7=b`83>4}i9;;86<8lf:m6<4ej3:1=v`>201953b73td95?ll:182k759:0::i?4}o0:6gb=83;pb<<>3;35`7=zf;39nh4?:0ym577428<=517f7?xh51;i;6=4>{o3156<6>m?0qc<62b394?7|f88:?7?9d79~j7?5k;0;640c?2we>4n;50;3xj446;3;=hl5rn3;1g3<728qe=??<:04gf>{i:08h;7>51zl2645=9?nh7p`=93a;>5<6sg;9=>4>6ef8yk4>:j31<7?tn0027?71ll1vb?7=c`83>4}i9;;86<8kf:m6<4dj3:1=v`>201953c73td95?ml:182k759:0::h?4}o0:6fb=83;pb<<>3;35a7=zf;39oh4?:0ym577428<=517g7?xh51;n;6=4>{o3156<6>l?0qc<62e394?7|f88:?7?9e79~j7?5l;0;640b?2we>4i;50;3xj446;3;=il5rn3;1`3<728qe=??<:04ff>{i:08o;7>51zl2645=9?oh7p`=93f;>5<6sg;9=>4>6df8yk4>:m31<7?tn0027?71ml1vb?7=d`83>4}i9;;86<8jf:m6<4cj3:1=v`>201953`73td95?jl:182k759:0::k?4}o0:6ab=83;pb<<>3;35b7=zf;39hh4?:0ym577428<=517d7?xh51;o;6=4>{o3156<6>o?0qc<62d394?7|f88:?7?9f79~j7?5m;0;640a?2we>4h;50;3xj446;3;=jl5rn3;1a3<728qe=??<:04ef>{i:08n;7>51zl2645=9?lh7p`=93g;>5<6sg;9=>4>6gf8yk4>:l31<7?tn0027?71nl1vb?7=e`83>4}i9;;86<8if:m6<4bj3:1=v`>201952673td95?kl:182k759:0:;=?4}o0:6`b=83;pb<<>3;3447=zf;39ih4?:0ym577428=;?6sa280fb?6=9rd:><=51627?xh51;l;6=4>{o3156<6?9?0qc<62g394?7|f88:?7?8079~j7?5n;0;6417?2we>47;|l1=7`3290:wc?=128235?k;50;3xj446;3;<{i:08m;7>51zl2645=9>:h7p`=93d;>5<6sg;9=>4>71f8yk4>:o31<7?tn0027?708l1vb?7=f`83>4}i9;;86<9?f:m6<4aj3:1=v`>201952773td95?hl:182k759:0:;3;3457=zf;39jh4?:0ym577428=:?6sa280eb?6=9rd:><=51637?xh51::;6=4>{o3156<6?8?0qc<631394?7|f88:?7?8179~j7?48;0;6416?2we>4=?3;295~h6:891=:?7;|l1=663290:wc?=128234?{i:09;;7>51zl2645=9>;h7p`=922;>5<6sg;9=>4>70f8yk4>;931<7?tn0027?709l1vb?7<0`83>4}i9;;86<9>f:m6<57j3:1=v`>201952473td95>>l:182k759:0:;??4}o0:75b=83;pb<<>3;3467=zf;38<=51607?xh51:;;6=4>{o3156<6?;?0qc<630394?7|f88:?7?8279~j7?49;0;6415?2we>4=>3;295~h6:891=:<7;|l1=673290:wc?=128237?l5rn3;053<728qe=??<:051f>{i:09:;7>51zl2645=9>8h7p`=923;>5<6sg;9=>4>73f8yk4>;831<7?tn0027?70:l1vb?7<1`83>4}i9;;86<9=f:m6<56j3:1=v`>201952573td95>?l:182k759:0:;>?4}o0:74b=83;pb<<>3;3477=zf;38=h4?:0ym577428=8?6sa2812b?6=9rd:><=51617?xh51:8;6=4>{o3156<6?:?0qc<633394?7|f88:?7?8379~j7?4:;0;6414?2we>4==3;295~h6:891=:=7;|l1=643290:wc?=128236?{i:099;7>51zl2645=9>9h7p`=920;>5<6sg;9=>4>72f8yk4>;;31<7?tn0027?70;l1vb?7<2`83>4}i9;;86<9201952273td95>3;3407=zf;38>h4?:0ym577428=??6sa2811b?6=9rd:><=51667?xh51:9;6=4>{o3156<6?=?0qc<632394?7|f88:?7?8479~j7?4;;0;6413?2we>4=<3;295~h6:891=::7;|l1=653290:wc?=128231?;50;3xj446;3;<8l5rn3;073<728qe=??<:057f>{i:098;7>51zl2645=9>>h7p`=921;>5<6sg;9=>4>75f8yk4>;:31<7?tn0027?704}i9;;86<9;f:m6<54j3:1=v`>201952373td95>=l:182k759:0:;8?4}o0:76b=83;pb<<>3;3417=zf;38?h4?:0ym577428=>?6sa2810b?6=9rd:><=51677?xh51:>;6=4>{o3156<6?412?2we>4=;3;295~h6:891=:;7;|l1=623290:wc?=128230?{i:09?;7>51zl2645=9>?h7p`=926;>5<6sg;9=>4>74f8yk4>;=31<7?tn0027?70=l1vb?7<4`83>4}i9;;86<9:f:m6<53j3:1=v`>201952073td95>:l:182k759:0:;;?4}o0:71b=83;pb<<>3;3427=zf;388h4?:0ym577428==?6sa2817b?6=9rd:><=51647?xh51:?;6=4>{o3156<6???0qc<634394?7|f88:?7?8679~j7?4=;0;6411?2we>4=:3;295~h6:891=:87;|l1=633290:wc?=128233?{i:09>;7>51zl2645=9>5<6sg;9=>4>77f8yk4>;<31<7?tn0027?70>l1vb?7<5`83>4}i9;;86<99f:m6<52j3:1=v`>201952173td95>;l:182k759:0:;:?4}o0:70b=83;pb<<>3;3437=zf;389h4?:0ym577428=<=51657?xh51:<;6=4>{o3156<6?>?0qc<637394?7|f88:?7?8779~j7?4>;0;6410?2we>4=93;295~h6:891=:97;|l1=603290:wc?=128232?{i:09=;7>51zl2645=9>=h7p`=924;>5<6sg;9=>4>76f8yk4>;?31<7?tn0027?70?l1vb?7<6`83>4}i9;;86<98f:m6<51j3:1=v`>201952>73td95>8l:182k759:0:;5?4}o0:73b=83;pb<<>3;34<7=zf;38:h4?:0ym577428=3?6sa2815b?6=9rd:><=516:7?xh51:=;6=4>{o3156<6?1?0qc<636394?7|f88:?7?8879~j7?4?;0;641??2we>4=83;295~h6:891=:67;|l1=613290:wc?=12823=?{i:09<;7>51zl2645=9>2h7p`=925;>5<6sg;9=>4>79f8yk4>;>31<7?tn0027?700l1vb?7<7`83>4}i9;;86<97f:m6<50j3:1=v`>201952?73td95>9l:182k759:0:;4?4}o0:72b=83;pb<<>3;34=7=zf;38;h4?:0ym577428=2?6sa2814b?6=9rd:><=516;7?xh51:2;6=4>{o3156<6?0?0qc<639394?7|f88:?7?8979~j7?40;0;641>?2we>4=73;295~h6:891=:77;|l1=6>3290:wc?=12823{i:093;7>51zl2645=9>3h7p`=92:;>5<6sg;9=>4>78f8yk4>;131<7?tn0027?701l1vb?7<8`83>4}i9;;86<96f:m6<5?j3:1=v`>201952g73td95>6l:182k759:0:;l?4}o0:7=b=83;pb<<>3;34e7=zf;384h4?:0ym577428=j?6sa281;b?6=9rd:><=516c7?xh51:3;6=4>{o3156<6?h?0qc<638394?7|f88:?7?8a79~j7?41;0;641f?2we>4=63;295~h6:891=:o7;|l1=6?3290:wc?=12823d?{i:092;7>51zl2645=9>kh7p`=92;;>5<6sg;9=>4>7`f8yk4>;031<7?tn0027?70il1vb?7<9`83>4}i9;;86<9nf:m6<5>j3:1=v`>201952d73td95>7l:182k759:0:;o?4}o0:73;34f7=zf;385h4?:0ym577428=i?6sa281:b?6=9rd:><=516`7?xh51:k;6=4>{o3156<6?k?0qc<63`394?7|f88:?7?8b79~j7?4i;0;641e?2we>4=n3;295~h6:891=:l7;|l1=6g3290:wc?=12823g?{i:09j;7>51zl2645=9>hh7p`=92c;>5<6sg;9=>4>7cf8yk4>;h31<7?tn0027?70jl1vb?74}i9;;86<9mf:m6<5fj3:1=v`>201952e73td95>ol:182k759:0:;n?4}o0:7db=83;pb<<>3;34g7=zf;38mh4?:0ym577428=h?6sa281bb?6=9rd:><=516a7?xh51:h;6=4>{o3156<6?j?0qc<63c394?7|f88:?7?8c79~j7?4j;0;641d?2we>4=m3;295~h6:891=:m7;|l1=6d3290:wc?=12823f?{i:09i;7>51zl2645=9>ih7p`=92`;>5<6sg;9=>4>7bf8yk4>;k31<7?tn0027?70kl1vb?74}i9;;86<9lf:m6<5ej3:1=v`>201952b73td95>ll:182k759:0:;i?4}o0:7gb=83;pb<<>3;34`7=zf;38nh4?:0ym577428=o?6sa281ab?6=9rd:><=516f7?xh51:i;6=4>{o3156<6?m?0qc<63b394?7|f88:?7?8d79~j7?4k;0;641c?2we>4=l3;295~h6:891=:j7;|l1=6e3290:wc?=12823a?{i:09h;7>51zl2645=9>nh7p`=92a;>5<6sg;9=>4>7ef8yk4>;j31<7?tn0027?70ll1vb?74}i9;;86<9kf:m6<5dj3:1=v`>201952c73td95>ml:182k759:0:;h?4}o0:7fb=83;pb<<>3;34a7=zf;38oh4?:0ym577428=n?6sa281`b?6=9rd:><=516g7?xh51:n;6=4>{o3156<6?l?0qc<63e394?7|f88:?7?8e79~j7?4l;0;641b?2we>4=k3;295~h6:891=:k7;|l1=6b3290:wc?=12823`?{i:09o;7>51zl2645=9>oh7p`=92f;>5<6sg;9=>4>7df8yk4>;m31<7?tn0027?70ml1vb?74}i9;;86<9jf:m6<5cj3:1=v`>201952`73td95>jl:182k759:0:;k?4}o0:7ab=83;pb<<>3;34b7=zf;38hh4?:0ym577428=m?6sa281gb?6=9rd:><=516d7?xh51:o;6=4>{o3156<6?o?0qc<63d394?7|f88:?7?8f79~j7?4m;0;641a?2we>4=j3;295~h6:891=:h7;|l1=6c3290:wc?=12823c?{i:09n;7>51zl2645=9>lh7p`=92g;>5<6sg;9=>4>7gf8yk4>;l31<7?tn0027?70nl1vb?74}i9;;86<9if:m6<5bj3:1=v`>20195=673td95>kl:182k759:0:4=?4}o0:7`b=83;pb<<>3;3;47=zf;38ih4?:0ym5774282;?6sa281fb?6=9rd:><=51927?xh51:l;6=4>{o3156<609?0qc<63g394?7|f88:?7?7079~j7?4n;0;64>7?2we>4=i3;295~h6:891=5>7;|l1=6`3290:wc?=1282<5?{i:09m;7>51zl2645=91:h7p`=92d;>5<6sg;9=>4>81f8yk4>;o31<7?tn0027?7?8l1vb?74}i9;;86<6?f:m6<5aj3:1=v`>20195=773td95>hl:182k759:0:43;3;57=zf;38jh4?:0ym5774282:?6sa281eb?6=9rd:><=51937?xh51=:;6=4>{o3156<608?0qc<641394?7|f88:?7?7179~j7?38;0;64>6?2we>4:?3;295~h6:891=5?7;|l1=163290:wc?=1282<4?{i:0>;;7>51zl2645=91;h7p`=952;>5<6sg;9=>4>80f8yk4><931<7?tn0027?7?9l1vb?7;0`83>4}i9;;86<6>f:m6<27j3:1=v`>20195=473td959>l:182k759:0:4??4}o0:05b=83;pb<<>3;3;67=zf;3?<=51907?xh51=;;6=4>{o3156<60;?0qc<640394?7|f88:?7?7279~j7?39;0;64>5?2we>4:>3;295~h6:891=5<7;|l1=173290:wc?=1282<7?l5rn3;753<728qe=??<:0:1f>{i:0>:;7>51zl2645=918h7p`=953;>5<6sg;9=>4>83f8yk4><831<7?tn0027?7?:l1vb?7;1`83>4}i9;;86<6=f:m6<26j3:1=v`>20195=573td959?l:182k759:0:4>?4}o0:04b=83;pb<<>3;3;77=zf;3?=h4?:0ym57742828?6sa2862b?6=9rd:><=51917?xh51=8;6=4>{o3156<60:?0qc<643394?7|f88:?7?7379~j7?3:;0;64>4?2we>4:=3;295~h6:891=5=7;|l1=143290:wc?=1282<6?{i:0>9;7>51zl2645=919h7p`=950;>5<6sg;9=>4>82f8yk4><;31<7?tn0027?7?;l1vb?7;2`83>4}i9;;86<620195=273td9593;3;07=zf;3?>h4?:0ym5774282??6sa2861b?6=9rd:><=51967?xh51=9;6=4>{o3156<60=?0qc<642394?7|f88:?7?7479~j7?3;;0;64>3?2we>4:<3;295~h6:891=5:7;|l1=153290:wc?=1282<1?;50;3xj446;3;38l5rn3;773<728qe=??<:0:7f>{i:0>8;7>51zl2645=91>h7p`=951;>5<6sg;9=>4>85f8yk4><:31<7?tn0027?7?4}i9;;86<6;f:m6<24j3:1=v`>20195=373td959=l:182k759:0:48?4}o0:06b=83;pb<<>3;3;17=zf;3??h4?:0ym5774282>?6sa2860b?6=9rd:><=51977?xh51=>;6=4>{o3156<604>2?2we>4:;3;295~h6:891=5;7;|l1=123290:wc?=1282<0?{i:0>?;7>51zl2645=91?h7p`=956;>5<6sg;9=>4>84f8yk4><=31<7?tn0027?7?=l1vb?7;4`83>4}i9;;86<6:f:m6<23j3:1=v`>20195=073td959:l:182k759:0:4;?4}o0:01b=83;pb<<>3;3;27=zf;3?8h4?:0ym5774282=?6sa2867b?6=9rd:><=51947?xh51=?;6=4>{o3156<60??0qc<644394?7|f88:?7?7679~j7?3=;0;64>1?2we>4::3;295~h6:891=587;|l1=133290:wc?=1282<3?{i:0>>;7>51zl2645=915<6sg;9=>4>87f8yk4><<31<7?tn0027?7?>l1vb?7;5`83>4}i9;;86<69f:m6<22j3:1=v`>20195=173td959;l:182k759:0:4:?4}o0:00b=83;pb<<>3;3;37=zf;3?9h4?:0ym5774282<=51957?xh51=<;6=4>{o3156<60>?0qc<647394?7|f88:?7?7779~j7?3>;0;64>0?2we>4:93;295~h6:891=597;|l1=103290:wc?=1282<2?{i:0>=;7>51zl2645=91=h7p`=954;>5<6sg;9=>4>86f8yk4>4}i9;;86<68f:m6<21j3:1=v`>20195=>73td9598l:182k759:0:45?4}o0:03b=83;pb<<>3;3;<7=zf;3?:h4?:0ym57742823?6sa2865b?6=9rd:><=519:7?xh51==;6=4>{o3156<601?0qc<646394?7|f88:?7?7879~j7?3?;0;64>??2we>4:83;295~h6:891=567;|l1=113290:wc?=1282<=?{i:0><;7>51zl2645=912h7p`=955;>5<6sg;9=>4>89f8yk4><>31<7?tn0027?7?0l1vb?7;7`83>4}i9;;86<67f:m6<20j3:1=v`>20195=?73td9599l:182k759:0:44?4}o0:02b=83;pb<<>3;3;=7=zf;3?;h4?:0ym57742822?6sa2864b?6=9rd:><=519;7?xh51=2;6=4>{o3156<600?0qc<649394?7|f88:?7?7979~j7?30;0;64>>?2we>4:73;295~h6:891=577;|l1=1>3290:wc?=1282<{i:0>3;7>51zl2645=913h7p`=95:;>5<6sg;9=>4>88f8yk4><131<7?tn0027?7?1l1vb?7;8`83>4}i9;;86<66f:m6<2?j3:1=v`>20195=g73td9596l:182k759:0:4l?4}o0:0=b=83;pb<<>3;3;e7=zf;3?4h4?:0ym5774282j?6sa286;b?6=9rd:><=519c7?xh51=3;6=4>{o3156<60h?0qc<648394?7|f88:?7?7a79~j7?31;0;64>f?2we>4:63;295~h6:891=5o7;|l1=1?3290:wc?=1282{i:0>2;7>51zl2645=91kh7p`=95;;>5<6sg;9=>4>8`f8yk4><031<7?tn0027?7?il1vb?7;9`83>4}i9;;86<6nf:m6<2>j3:1=v`>20195=d73td9597l:182k759:0:4o?4}o0:03;3;f7=zf;3?5h4?:0ym5774282i?6sa286:b?6=9rd:><=519`7?xh51=k;6=4>{o3156<60k?0qc<64`394?7|f88:?7?7b79~j7?3i;0;64>e?2we>4:n3;295~h6:891=5l7;|l1=1g3290:wc?=1282{i:0>j;7>51zl2645=91hh7p`=95c;>5<6sg;9=>4>8cf8yk4>4}i9;;86<6mf:m6<2fj3:1=v`>20195=e73td959ol:182k759:0:4n?4}o0:0db=83;pb<<>3;3;g7=zf;3?mh4?:0ym5774282h?6sa286bb?6=9rd:><=519a7?xh51=h;6=4>{o3156<60j?0qc<64c394?7|f88:?7?7c79~j7?3j;0;64>d?2we>4:m3;295~h6:891=5m7;|l1=1d3290:wc?=1282{i:0>i;7>51zl2645=91ih7p`=95`;>5<6sg;9=>4>8bf8yk4>4}i9;;86<6lf:m6<2ej3:1=v`>20195=b73td959ll:182k759:0:4i?4}o0:0gb=83;pb<<>3;3;`7=zf;3?nh4?:0ym5774282o?6sa286ab?6=9rd:><=519f7?xh51=i;6=4>{o3156<60m?0qc<64b394?7|f88:?7?7d79~j7?3k;0;64>c?2we>4:l3;295~h6:891=5j7;|l1=1e3290:wc?=1282{i:0>h;7>51zl2645=91nh7p`=95a;>5<6sg;9=>4>8ef8yk4>4}i9;;86<6kf:m6<2dj3:1=v`>20195=c73td959ml:182k759:0:4h?4}o0:0fb=83;pb<<>3;3;a7=zf;3?oh4?:0ym5774282n?6sa286`b?6=9rd:><=519g7?xh51=n;6=4>{o3156<60l?0qc<64e394?7|f88:?7?7e79~j7?3l;0;64>b?2we>4:k3;295~h6:891=5k7;|l1=1b3290:wc?=1282<`?{i:0>o;7>51zl2645=91oh7p`=95f;>5<6sg;9=>4>8df8yk4>4}i9;;86<6jf:m6<2cj3:1=v`>20195=`73td959jl:182k759:0:4k?4}o0:0ab=83;pb<<>3;3;b7=zf;3?hh4?:0ym5774282m?6sa286gb?6=9rd:><=519d7?xh51=o;6=4>{o3156<60o?0qc<64d394?7|f88:?7?7f79~j7?3m;0;64>a?2we>4:j3;295~h6:891=5h7;|l1=1c3290:wc?=1282{i:0>n;7>51zl2645=91lh7p`=95g;>5<6sg;9=>4>8gf8yk4>4}i9;;86<6if:m6<2bj3:1=v`>20195<673td959kl:182k759:0:5=?4}o0:0`b=83;pb<<>3;3:47=zf;3?ih4?:0ym5774283;?6sa286fb?6=9rd:><=51827?xh51=l;6=4>{o3156<619?0qc<64g394?7|f88:?7?6079~j7?3n;0;64?7?2we>4:i3;295~h6:891=4>7;|l1=1`3290:wc?=1282=5?{i:0>m;7>51zl2645=90:h7p`=95d;>5<6sg;9=>4>91f8yk4>8l1vb?7;f`83>4}i9;;86<7?f:m6<2aj3:1=v`>20195<773td959hl:182k759:0:53;3:57=zf;3?jh4?:0ym5774283:?6sa286eb?6=9rd:><=51837?xh51<:;6=4>{o3156<618?0qc<651394?7|f88:?7?6179~j7?28;0;64?6?2we>4;?3;295~h6:891=4?7;|l1=063290:wc?=1282=4?{i:0?;;7>51zl2645=90;h7p`=942;>5<6sg;9=>4>90f8yk4>=931<7?tn0027?7>9l1vb?7:0`83>4}i9;;86<7>f:m6<37j3:1=v`>20195<473td958>l:182k759:0:5??4}o0:15b=83;pb<<>3;3:67=zf;3><=51807?xh51<;;6=4>{o3156<61;?0qc<650394?7|f88:?7?6279~j7?29;0;64?5?2we>4;>3;295~h6:891=4<7;|l1=073290:wc?=1282=7?l5rn3;653<728qe=??<:0;1f>{i:0?:;7>51zl2645=908h7p`=943;>5<6sg;9=>4>93f8yk4>=831<7?tn0027?7>:l1vb?7:1`83>4}i9;;86<7=f:m6<36j3:1=v`>20195<573td958?l:182k759:0:5>?4}o0:14b=83;pb<<>3;3:77=zf;3>=h4?:0ym57742838?6sa2872b?6=9rd:><=51817?xh51<8;6=4>{o3156<61:?0qc<653394?7|f88:?7?6379~j7?2:;0;64?4?2we>4;=3;295~h6:891=4=7;|l1=043290:wc?=1282=6?{i:0?9;7>51zl2645=909h7p`=940;>5<6sg;9=>4>92f8yk4>=;31<7?tn0027?7>;l1vb?7:2`83>4}i9;;86<720195<273td9583;3:07=zf;3>>h4?:0ym5774283??6sa2871b?6=9rd:><=51867?xh51<9;6=4>{o3156<61=?0qc<652394?7|f88:?7?6479~j7?2;;0;64?3?2we>4;<3;295~h6:891=4:7;|l1=053290:wc?=1282=1?;50;3xj446;3;28l5rn3;673<728qe=??<:0;7f>{i:0?8;7>51zl2645=90>h7p`=941;>5<6sg;9=>4>95f8yk4>=:31<7?tn0027?7>4}i9;;86<7;f:m6<34j3:1=v`>20195<373td958=l:182k759:0:58?4}o0:16b=83;pb<<>3;3:17=zf;3>?h4?:0ym5774283>?6sa2870b?6=9rd:><=51877?xh51<>;6=4>{o3156<614?2?2we>4;;3;295~h6:891=4;7;|l1=023290:wc?=1282=0?{i:0??;7>51zl2645=90?h7p`=946;>5<6sg;9=>4>94f8yk4>==31<7?tn0027?7>=l1vb?7:4`83>4}i9;;86<7:f:m6<33j3:1=v`>20195<073td958:l:182k759:0:5;?4}o0:11b=83;pb<<>3;3:27=zf;3>8h4?:0ym5774283=?6sa2877b?6=9rd:><=51847?xh51{o3156<61??0qc<654394?7|f88:?7?6679~j7?2=;0;64?1?2we>4;:3;295~h6:891=487;|l1=033290:wc?=1282=3?{i:0?>;7>51zl2645=905<6sg;9=>4>97f8yk4>=<31<7?tn0027?7>>l1vb?7:5`83>4}i9;;86<79f:m6<32j3:1=v`>20195<173td958;l:182k759:0:5:?4}o0:10b=83;pb<<>3;3:37=zf;3>9h4?:0ym5774283<=51857?xh51<<;6=4>{o3156<61>?0qc<657394?7|f88:?7?6779~j7?2>;0;64?0?2we>4;93;295~h6:891=497;|l1=003290:wc?=1282=2?{i:0?=;7>51zl2645=90=h7p`=944;>5<6sg;9=>4>96f8yk4>=?31<7?tn0027?7>?l1vb?7:6`83>4}i9;;86<78f:m6<31j3:1=v`>20195<>73td9588l:182k759:0:55?4}o0:13b=83;pb<<>3;3:<7=zf;3>:h4?:0ym57742833?6sa2875b?6=9rd:><=518:7?xh51<=;6=4>{o3156<611?0qc<656394?7|f88:?7?6879~j7?2?;0;64???2we>4;83;295~h6:891=467;|l1=013290:wc?=1282==?{i:0?<;7>51zl2645=902h7p`=945;>5<6sg;9=>4>99f8yk4>=>31<7?tn0027?7>0l1vb?7:7`83>4}i9;;86<77f:m6<30j3:1=v`>201953;3:=7=zf;3>;h4?:0ym57742832?6sa2874b?6=9rd:><=518;7?xh51<2;6=4>{o3156<610?0qc<659394?7|f88:?7?6979~j7?20;0;64?>?2we>4;73;295~h6:891=477;|l1=0>3290:wc?=1282={i:0?3;7>51zl2645=903h7p`=94:;>5<6sg;9=>4>98f8yk4>=131<7?tn0027?7>1l1vb?7:8`83>4}i9;;86<76f:m6<3?j3:1=v`>201953;3:e7=zf;3>4h4?:0ym5774283j?6sa287;b?6=9rd:><=518c7?xh51<3;6=4>{o3156<61h?0qc<658394?7|f88:?7?6a79~j7?21;0;64?f?2we>4;63;295~h6:891=4o7;|l1=0?3290:wc?=1282=d?{i:0?2;7>51zl2645=90kh7p`=94;;>5<6sg;9=>4>9`f8yk4>=031<7?tn0027?7>il1vb?7:9`83>4}i9;;86<7nf:m6<3>j3:1=v`>201953;3:f7=zf;3>5h4?:0ym5774283i?6sa287:b?6=9rd:><=518`7?xh51{o3156<61k?0qc<65`394?7|f88:?7?6b79~j7?2i;0;64?e?2we>4;n3;295~h6:891=4l7;|l1=0g3290:wc?=1282=g?{i:0?j;7>51zl2645=90hh7p`=94c;>5<6sg;9=>4>9cf8yk4>=h31<7?tn0027?7>jl1vb?7:a`83>4}i9;;86<7mf:m6<3fj3:1=v`>201953;3:g7=zf;3>mh4?:0ym5774283h?6sa287bb?6=9rd:><=518a7?xh51{o3156<61j?0qc<65c394?7|f88:?7?6c79~j7?2j;0;64?d?2we>4;m3;295~h6:891=4m7;|l1=0d3290:wc?=1282=f?{i:0?i;7>51zl2645=90ih7p`=94`;>5<6sg;9=>4>9bf8yk4>=k31<7?tn0027?7>kl1vb?7:b`83>4}i9;;86<7lf:m6<3ej3:1=v`>201953;3:`7=zf;3>nh4?:0ym5774283o?6sa287ab?6=9rd:><=518f7?xh51{o3156<61m?0qc<65b394?7|f88:?7?6d79~j7?2k;0;64?c?2we>4;l3;295~h6:891=4j7;|l1=0e3290:wc?=1282=a?{i:0?h;7>51zl2645=90nh7p`=94a;>5<6sg;9=>4>9ef8yk4>=j31<7?tn0027?7>ll1vb?7:c`83>4}i9;;86<7kf:m6<3dj3:1=v`>201953;3:a7=zf;3>oh4?:0ym5774283n?6sa287`b?6=9rd:><=518g7?xh51{o3156<61l?0qc<65e394?7|f88:?7?6e79~j7?2l;0;64?b?2we>4;k3;295~h6:891=4k7;|l1=0b3290:wc?=1282=`?{i:0?o;7>51zl2645=90oh7p`=94f;>5<6sg;9=>4>9df8yk4>=m31<7?tn0027?7>ml1vb?7:d`83>4}i9;;86<7jf:m6<3cj3:1=v`>20195<`73td958jl:182k759:0:5k?4}o0:1ab=83;pb<<>3;3:b7=zf;3>hh4?:0ym5774283m?6sa287gb?6=9rd:><=518d7?xh51{o3156<61o?0qc<65d394?7|f88:?7?6f79~j7?2m;0;64?a?2we>4;j3;295~h6:891=4h7;|l1=0c3290:wc?=1282=c?{i:0?n;7>51zl2645=90lh7p`=94g;>5<6sg;9=>4>9gf8yk4>=l31<7?tn0027?7>nl1vb?7:e`83>4}i9;;86<7if:m6<3bj3:1=v`>20195d673td958kl:182k759:0:m=?4}o0:1`b=83;pb<<>3;3b47=zf;3>ih4?:0ym577428k;?6sa287fb?6=9rd:><=51`27?xh51{o3156<6i9?0qc<65g394?7|f88:?7?n079~j7?2n;0;64g7?2we>4;i3;295~h6:891=l>7;|l1=0`3290:wc?=1282e5?{i:0?m;7>51zl2645=9h:h7p`=94d;>5<6sg;9=>4>a1f8yk4>=o31<7?tn0027?7f8l1vb?7:f`83>4}i9;;8620195d773td958hl:182k759:0:m3;3b57=zf;3>jh4?:0ym577428k:?6sa287eb?6=9rd:><=51`37?xh51?:;6=4>{o3156<6i8?0qc<661394?7|f88:?7?n179~j7?18;0;64g6?2we>48?3;295~h6:891=l?7;|l1=363290:wc?=1282e4?{i:0<;;7>51zl2645=9h;h7p`=972;>5<6sg;9=>4>a0f8yk4>>931<7?tn0027?7f9l1vb?790`83>4}i9;;86f:m6<07j3:1=v`>20195d473td95;>l:182k759:0:m??4}o0:25b=83;pb<<>3;3b67=zf;3=<=51`07?xh51?;;6=4>{o3156<6i;?0qc<660394?7|f88:?7?n279~j7?19;0;64g5?2we>48>3;295~h6:891=l<7;|l1=373290:wc?=1282e7?l5rn3;553<728qe=??<:0c1f>{i:0<:;7>51zl2645=9h8h7p`=973;>5<6sg;9=>4>a3f8yk4>>831<7?tn0027?7f:l1vb?791`83>4}i9;;8620195d573td95;?l:182k759:0:m>?4}o0:24b=83;pb<<>3;3b77=zf;3==h4?:0ym577428k8?6sa2842b?6=9rd:><=51`17?xh51?8;6=4>{o3156<6i:?0qc<663394?7|f88:?7?n379~j7?1:;0;64g4?2we>48=3;295~h6:891=l=7;|l1=343290:wc?=1282e6?{i:0<9;7>51zl2645=9h9h7p`=970;>5<6sg;9=>4>a2f8yk4>>;31<7?tn0027?7f;l1vb?792`83>4}i9;;8620195d273td95;3;3b07=zf;3=>h4?:0ym577428k??6sa2841b?6=9rd:><=51`67?xh51?9;6=4>{o3156<6i=?0qc<662394?7|f88:?7?n479~j7?1;;0;64g3?2we>48<3;295~h6:891=l:7;|l1=353290:wc?=1282e1?;50;3xj446;3;j8l5rn3;573<728qe=??<:0c7f>{i:0<8;7>51zl2645=9h>h7p`=971;>5<6sg;9=>4>a5f8yk4>>:31<7?tn0027?7f4}i9;;8620195d373td95;=l:182k759:0:m8?4}o0:26b=83;pb<<>3;3b17=zf;3=?h4?:0ym577428k>?6sa2840b?6=9rd:><=51`77?xh51?>;6=4>{o3156<6i4g2?2we>48;3;295~h6:891=l;7;|l1=323290:wc?=1282e0?{i:051zl2645=9h?h7p`=976;>5<6sg;9=>4>a4f8yk4>>=31<7?tn0027?7f=l1vb?794`83>4}i9;;8620195d073td95;:l:182k759:0:m;?4}o0:21b=83;pb<<>3;3b27=zf;3=8h4?:0ym577428k=?6sa2847b?6=9rd:><=51`47?xh51??;6=4>{o3156<6i??0qc<664394?7|f88:?7?n679~j7?1=;0;64g1?2we>48:3;295~h6:891=l87;|l1=333290:wc?=1282e3?{i:0<>;7>51zl2645=9h5<6sg;9=>4>a7f8yk4>><31<7?tn0027?7f>l1vb?795`83>4}i9;;8620195d173td95;;l:182k759:0:m:?4}o0:20b=83;pb<<>3;3b37=zf;3=9h4?:0ym577428k<=51`57?xh51?<;6=4>{o3156<6i>?0qc<667394?7|f88:?7?n779~j7?1>;0;64g0?2we>4893;295~h6:891=l97;|l1=303290:wc?=1282e2?{i:0<=;7>51zl2645=9h=h7p`=974;>5<6sg;9=>4>a6f8yk4>>?31<7?tn0027?7f?l1vb?796`83>4}i9;;8620195d>73td95;8l:182k759:0:m5?4}o0:23b=83;pb<<>3;3b<7=zf;3=:h4?:0ym577428k3?6sa2845b?6=9rd:><=51`:7?xh51?=;6=4>{o3156<6i1?0qc<666394?7|f88:?7?n879~j7?1?;0;64g??2we>4883;295~h6:891=l67;|l1=313290:wc?=1282e=?{i:0<<;7>51zl2645=9h2h7p`=975;>5<6sg;9=>4>a9f8yk4>>>31<7?tn0027?7f0l1vb?797`83>4}i9;;8620195d?73td95;9l:182k759:0:m4?4}o0:22b=83;pb<<>3;3b=7=zf;3=;h4?:0ym577428k2?6sa2844b?6=9rd:><=51`;7?xh51?2;6=4>{o3156<6i0?0qc<669394?7|f88:?7?n979~j7?10;0;64g>?2we>4873;295~h6:891=l77;|l1=3>3290:wc?=1282e{i:0<3;7>51zl2645=9h3h7p`=97:;>5<6sg;9=>4>a8f8yk4>>131<7?tn0027?7f1l1vb?798`83>4}i9;;8620195dg73td95;6l:182k759:0:ml?4}o0:2=b=83;pb<<>3;3be7=zf;3=4h4?:0ym577428kj?6sa284;b?6=9rd:><=51`c7?xh51?3;6=4>{o3156<6ih?0qc<668394?7|f88:?7?na79~j7?11;0;64gf?2we>4863;295~h6:891=lo7;|l1=3?3290:wc?=1282ed?{i:0<2;7>51zl2645=9hkh7p`=97;;>5<6sg;9=>4>a`f8yk4>>031<7?tn0027?7fil1vb?799`83>4}i9;;86j3:1=v`>20195dd73td95;7l:182k759:0:mo?4}o0:23;3bf7=zf;3=5h4?:0ym577428ki?6sa284:b?6=9rd:><=51``7?xh51?k;6=4>{o3156<6ik?0qc<66`394?7|f88:?7?nb79~j7?1i;0;64ge?2we>48n3;295~h6:891=ll7;|l1=3g3290:wc?=1282eg?{i:051zl2645=9hhh7p`=97c;>5<6sg;9=>4>acf8yk4>>h31<7?tn0027?7fjl1vb?79a`83>4}i9;;8620195de73td95;ol:182k759:0:mn?4}o0:2db=83;pb<<>3;3bg7=zf;3=mh4?:0ym577428kh?6sa284bb?6=9rd:><=51`a7?xh51?h;6=4>{o3156<6ij?0qc<66c394?7|f88:?7?nc79~j7?1j;0;64gd?2we>48m3;295~h6:891=lm7;|l1=3d3290:wc?=1282ef?{i:051zl2645=9hih7p`=97`;>5<6sg;9=>4>abf8yk4>>k31<7?tn0027?7fkl1vb?79b`83>4}i9;;8620195db73td95;ll:182k759:0:mi?4}o0:2gb=83;pb<<>3;3b`7=zf;3=nh4?:0ym577428ko?6sa284ab?6=9rd:><=51`f7?xh51?i;6=4>{o3156<6im?0qc<66b394?7|f88:?7?nd79~j7?1k;0;64gc?2we>48l3;295~h6:891=lj7;|l1=3e3290:wc?=1282ea?{i:051zl2645=9hnh7p`=97a;>5<6sg;9=>4>aef8yk4>>j31<7?tn0027?7fll1vb?79c`83>4}i9;;8620195dc73td95;ml:182k759:0:mh?4}o0:2fb=83;pb<<>3;3ba7=zf;3=oh4?:0ym577428kn?6sa284`b?6=9rd:><=51`g7?xh51?n;6=4>{o3156<6il?0qc<66e394?7|f88:?7?ne79~j7?1l;0;64gb?2we>48k3;295~h6:891=lk7;|l1=3b3290:wc?=1282e`?{i:051zl2645=9hoh7p`=97f;>5<6sg;9=>4>adf8yk4>>m31<7?tn0027?7fml1vb?79d`83>4}i9;;8620195d`73td95;jl:182k759:0:mk?4}o0:2ab=83;pb<<>3;3bb7=zf;3=hh4?:0ym577428km?6sa284gb?6=9rd:><=51`d7?xh51?o;6=4>{o3156<6io?0qc<66d394?7|f88:?7?nf79~j7?1m;0;64ga?2we>48j3;295~h6:891=lh7;|l1=3c3290:wc?=1282ec?{i:051zl2645=9hlh7p`=97g;>5<6sg;9=>4>agf8yk4>>l31<7?tn0027?7fnl1vb?79e`83>4}i9;;8620195g673td95;kl:182k759:0:n=?4}o0:2`b=83;pb<<>3;3a47=zf;3=ih4?:0ym577428h;?6sa284fb?6=9rd:><=51c27?xh51?l;6=4>{o3156<6j9?0qc<66g394?7|f88:?7?m079~j7?1n;0;64d7?2we>48i3;295~h6:891=o>7;|l1=3`3290:wc?=1282f5?{i:051zl2645=9k:h7p`=97d;>5<6sg;9=>4>b1f8yk4>>o31<7?tn0027?7e8l1vb?79f`83>4}i9;;8620195g773td95;hl:182k759:0:n3;3a57=zf;3=jh4?:0ym577428h:?6sa284eb?6=9rd:><=51c37?xh51>:;6=4>{o3156<6j8?0qc<671394?7|f88:?7?m179~j7?08;0;64d6?2we>49?3;295~h6:891=o?7;|l1=263290:wc?=1282f4?{i:0=;;7>51zl2645=9k;h7p`=962;>5<6sg;9=>4>b0f8yk4>?931<7?tn0027?7e9l1vb?780`83>4}i9;;86f:m6<17j3:1=v`>20195g473td95:>l:182k759:0:n??4}o0:35b=83;pb<<>3;3a67=zf;3<<=51c07?xh51>;;6=4>{o3156<6j;?0qc<670394?7|f88:?7?m279~j7?09;0;64d5?2we>49>3;295~h6:891=o<7;|l1=273290:wc?=1282f7?l5rn3;453<728qe=??<:0`1f>{i:0=:;7>51zl2645=9k8h7p`=963;>5<6sg;9=>4>b3f8yk4>?831<7?tn0027?7e:l1vb?781`83>4}i9;;8620195g573td95:?l:182k759:0:n>?4}o0:34b=83;pb<<>3;3a77=zf;3<=h4?:0ym577428h8?6sa2852b?6=9rd:><=51c17?xh51>8;6=4>{o3156<6j:?0qc<673394?7|f88:?7?m379~j7?0:;0;64d4?2we>49=3;295~h6:891=o=7;|l1=243290:wc?=1282f6?{i:0=9;7>51zl2645=9k9h7p`=960;>5<6sg;9=>4>b2f8yk4>?;31<7?tn0027?7e;l1vb?782`83>4}i9;;8620195g273td95:3;3a07=zf;3<>h4?:0ym577428h??6sa2851b?6=9rd:><=51c67?xh51>9;6=4>{o3156<6j=?0qc<672394?7|f88:?7?m479~j7?0;;0;64d3?2we>49<3;295~h6:891=o:7;|l1=253290:wc?=1282f1?;50;3xj446;3;i8l5rn3;473<728qe=??<:0`7f>{i:0=8;7>51zl2645=9k>h7p`=961;>5<6sg;9=>4>b5f8yk4>?:31<7?tn0027?7e4}i9;;8620195g373td95:=l:182k759:0:n8?4}o0:36b=83;pb<<>3;3a17=zf;3?6sa2850b?6=9rd:><=51c77?xh51>>;6=4>{o3156<6j4d2?2we>49;3;295~h6:891=o;7;|l1=223290:wc?=1282f0?{i:0=?;7>51zl2645=9k?h7p`=966;>5<6sg;9=>4>b4f8yk4>?=31<7?tn0027?7e=l1vb?784`83>4}i9;;8620195g073td95::l:182k759:0:n;?4}o0:31b=83;pb<<>3;3a27=zf;3<8h4?:0ym577428h=?6sa2857b?6=9rd:><=51c47?xh51>?;6=4>{o3156<6j??0qc<674394?7|f88:?7?m679~j7?0=;0;64d1?2we>49:3;295~h6:891=o87;|l1=233290:wc?=1282f3?{i:0=>;7>51zl2645=9k5<6sg;9=>4>b7f8yk4>?<31<7?tn0027?7e>l1vb?785`83>4}i9;;8620195g173td95:;l:182k759:0:n:?4}o0:30b=83;pb<<>3;3a37=zf;3<9h4?:0ym577428h<=51c57?xh51><;6=4>{o3156<6j>?0qc<677394?7|f88:?7?m779~j7?0>;0;64d0?2we>4993;295~h6:891=o97;|l1=203290:wc?=1282f2?{i:0==;7>51zl2645=9k=h7p`=964;>5<6sg;9=>4>b6f8yk4>??31<7?tn0027?7e?l1vb?786`83>4}i9;;8620195g>73td95:8l:182k759:0:n5?4}o0:33b=83;pb<<>3;3a<7=zf;3<:h4?:0ym577428h3?6sa2855b?6=9rd:><=51c:7?xh51>=;6=4>{o3156<6j1?0qc<676394?7|f88:?7?m879~j7?0?;0;64d??2we>4983;295~h6:891=o67;|l1=213290:wc?=1282f=?{i:0=<;7>51zl2645=9k2h7p`=965;>5<6sg;9=>4>b9f8yk4>?>31<7?tn0027?7e0l1vb?787`83>4}i9;;8620195g?73td95:9l:182k759:0:n4?4}o0:32b=83;pb<<>3;3a=7=zf;3<;h4?:0ym577428h2?6sa2854b?6=9rd:><=51c;7?xh51>2;6=4>{o3156<6j0?0qc<679394?7|f88:?7?m979~j7?00;0;64d>?2we>4973;295~h6:891=o77;|l1=2>3290:wc?=1282f{i:0=3;7>51zl2645=9k3h7p`=96:;>5<6sg;9=>4>b8f8yk4>?131<7?tn0027?7e1l1vb?788`83>4}i9;;8620195gg73td95:6l:182k759:0:nl?4}o0:3=b=83;pb<<>3;3ae7=zf;3<4h4?:0ym577428hj?6sa285;b?6=9rd:><=51cc7?xh51>3;6=4>{o3156<6jh?0qc<678394?7|f88:?7?ma79~j7?01;0;64df?2we>4963;295~h6:891=oo7;|l1=2?3290:wc?=1282fd?{i:0=2;7>51zl2645=9kkh7p`=96;;>5<6sg;9=>4>b`f8yk4>?031<7?tn0027?7eil1vb?789`83>4}i9;;86j3:1=v`>20195gd73td95:7l:182k759:0:no?4}o0:33;3af7=zf;3<5h4?:0ym577428hi?6sa285:b?6=9rd:><=51c`7?xh51>k;6=4>{o3156<6jk?0qc<67`394?7|f88:?7?mb79~j7?0i;0;64de?2we>49n3;295~h6:891=ol7;|l1=2g3290:wc?=1282fg?{i:0=j;7>51zl2645=9khh7p`=96c;>5<6sg;9=>4>bcf8yk4>?h31<7?tn0027?7ejl1vb?78a`83>4}i9;;8620195ge73td95:ol:182k759:0:nn?4}o0:3db=83;pb<<>3;3ag7=zf;3<=51ca7?xh51>h;6=4>{o3156<6jj?0qc<67c394?7|f88:?7?mc79~j7?0j;0;64dd?2we>49m3;295~h6:891=om7;|l1=2d3290:wc?=1282ff?{i:0=i;7>51zl2645=9kih7p`=96`;>5<6sg;9=>4>bbf8yk4>?k31<7?tn0027?7ekl1vb?78b`83>4}i9;;8620195gb73td95:ll:182k759:0:ni?4}o0:3gb=83;pb<<>3;3a`7=zf;3<=51cf7?xh51>i;6=4>{o3156<6jm?0qc<67b394?7|f88:?7?md79~j7?0k;0;64dc?2we>49l3;295~h6:891=oj7;|l1=2e3290:wc?=1282fa?{i:0=h;7>51zl2645=9knh7p`=96a;>5<6sg;9=>4>bef8yk4>?j31<7?tn0027?7ell1vb?78c`83>4}i9;;8620195gc73td95:ml:182k759:0:nh?4}o0:3fb=83;pb<<>3;3aa7=zf;3<=51cg7?xh51>n;6=4>{o3156<6jl?0qc<67e394?7|f88:?7?me79~j7?0l;0;64db?2we>49k3;295~h6:891=ok7;|l1=2b3290:wc?=1282f`?{i:0=o;7>51zl2645=9koh7p`=96f;>5<6sg;9=>4>bdf8yk4>?m31<7?tn0027?7eml1vb?78d`83>4}i9;;8620195g`73td95:jl:182k759:0:nk?4}o0:3ab=83;pb<<>3;3ab7=zf;3<=51cd7?xh51>o;6=4>{o3156<6jo?0qc<67d394?7|f88:?7?mf79~j7?0m;0;64da?2we>49j3;295~h6:891=oh7;|l1=2c3290:wc?=1282fc?{i:0=n;7>51zl2645=9klh7p`=96g;>5<6sg;9=>4>bgf8yk4>?l31<7?tn0027?7enl1vb?78e`83>4}i9;;8620195f673td95:kl:182k759:0:o=?4}o0:3`b=83;pb<<>3;3`47=zf;3<=51b27?xh51>l;6=4>{o3156<6k9?0qc<67g394?7|f88:?7?l079~j7?0n;0;64e7?2we>49i3;295~h6:891=n>7;|l1=2`3290:wc?=1282g5?{i:0=m;7>51zl2645=9j:h7p`=96d;>5<6sg;9=>4>c1f8yk4>?o31<7?tn0027?7d8l1vb?78f`83>4}i9;;8620195f773td95:hl:182k759:0:o3;3`57=zf;3<=51b37?xh511:;6=4>{o3156<6k8?0qc<681394?7|f88:?7?l179~j7??8;0;64e6?2we>46?3;295~h6:891=n?7;|l1==63290:wc?=1282g4?{i:02;;7>51zl2645=9j;h7p`=992;>5<6sg;9=>4>c0f8yk4>0931<7?tn0027?7d9l1vb?770`83>4}i9;;86f:m6<>7j3:1=v`>20195f473td955>l:182k759:0:o??4}o0:<5b=83;pb<<>3;3`67=zf;33<=51b07?xh511;;6=4>{o3156<6k;?0qc<680394?7|f88:?7?l279~j7??9;0;64e5?2we>46>3;295~h6:891=n<7;|l1==73290:wc?=1282g7?l5rn3;;53<728qe=??<:0a1f>{i:02:;7>51zl2645=9j8h7p`=993;>5<6sg;9=>4>c3f8yk4>0831<7?tn0027?7d:l1vb?771`83>4}i9;;866j3:1=v`>20195f573td955?l:182k759:0:o>?4}o0:<4b=83;pb<<>3;3`77=zf;33=h4?:0ym577428i8?6sa28:2b?6=9rd:><=51b17?xh5118;6=4>{o3156<6k:?0qc<683394?7|f88:?7?l379~j7??:;0;64e4?2we>46=3;295~h6:891=n=7;|l1==43290:wc?=1282g6?{i:029;7>51zl2645=9j9h7p`=990;>5<6sg;9=>4>c2f8yk4>0;31<7?tn0027?7d;l1vb?772`83>4}i9;;865j3:1=v`>20195f273td9553;3`07=zf;33>h4?:0ym577428i??6sa28:1b?6=9rd:><=51b67?xh5119;6=4>{o3156<6k=?0qc<682394?7|f88:?7?l479~j7??;;0;64e3?2we>46<3;295~h6:891=n:7;|l1==53290:wc?=1282g1?;50;3xj446;3;h8l5rn3;;73<728qe=??<:0a7f>{i:028;7>51zl2645=9j>h7p`=991;>5<6sg;9=>4>c5f8yk4>0:31<7?tn0027?7d4}i9;;864j3:1=v`>20195f373td955=l:182k759:0:o8?4}o0:<6b=83;pb<<>3;3`17=zf;33?h4?:0ym577428i>?6sa28:0b?6=9rd:><=51b77?xh511>;6=4>{o3156<6k4e2?2we>46;3;295~h6:891=n;7;|l1==23290:wc?=1282g0?{i:02?;7>51zl2645=9j?h7p`=996;>5<6sg;9=>4>c4f8yk4>0=31<7?tn0027?7d=l1vb?774`83>4}i9;;863j3:1=v`>20195f073td955:l:182k759:0:o;?4}o0:<1b=83;pb<<>3;3`27=zf;338h4?:0ym577428i=?6sa28:7b?6=9rd:><=51b47?xh511?;6=4>{o3156<6k??0qc<684394?7|f88:?7?l679~j7??=;0;64e1?2we>46:3;295~h6:891=n87;|l1==33290:wc?=1282g3?{i:02>;7>51zl2645=9j5<6sg;9=>4>c7f8yk4>0<31<7?tn0027?7d>l1vb?775`83>4}i9;;862j3:1=v`>20195f173td955;l:182k759:0:o:?4}o0:<0b=83;pb<<>3;3`37=zf;339h4?:0ym577428i<=51b57?xh511<;6=4>{o3156<6k>?0qc<687394?7|f88:?7?l779~j7??>;0;64e0?2we>4693;295~h6:891=n97;|l1==03290:wc?=1282g2?{i:02=;7>51zl2645=9j=h7p`=994;>5<6sg;9=>4>c6f8yk4>0?31<7?tn0027?7d?l1vb?776`83>4}i9;;861j3:1=v`>20195f>73td9558l:182k759:0:o5?4}o0:<3b=83;pb<<>3;3`<7=zf;33:h4?:0ym577428i3?6sa28:5b?6=9rd:><=51b:7?xh511=;6=4>{o3156<6k1?0qc<686394?7|f88:?7?l879~j7???;0;64e??2we>4683;295~h6:891=n67;|l1==13290:wc?=1282g=?{i:02<;7>51zl2645=9j2h7p`=995;>5<6sg;9=>4>c9f8yk4>0>31<7?tn0027?7d0l1vb?777`83>4}i9;;860j3:1=v`>20195f?73td9559l:182k759:0:o4?4}o0:<2b=83;pb<<>3;3`=7=zf;33;h4?:0ym577428i2?6sa28:4b?6=9rd:><=51b;7?xh5112;6=4>{o3156<6k0?0qc<689394?7|f88:?7?l979~j7??0;0;64e>?2we>4673;295~h6:891=n77;|l1==>3290:wc?=1282g{i:023;7>51zl2645=9j3h7p`=99:;>5<6sg;9=>4>c8f8yk4>0131<7?tn0027?7d1l1vb?778`83>4}i9;;86?j3:1=v`>20195fg73td9556l:182k759:0:ol?4}o0:<=b=83;pb<<>3;3`e7=zf;334h4?:0ym577428ij?6sa28:;b?6=9rd:><=51bc7?xh5113;6=4>{o3156<6kh?0qc<688394?7|f88:?7?la79~j7??1;0;64ef?2we>4663;295~h6:891=no7;|l1==?3290:wc?=1282gd?{i:022;7>51zl2645=9jkh7p`=99;;>5<6sg;9=>4>c`f8yk4>0031<7?tn0027?7dil1vb?779`83>4}i9;;86>j3:1=v`>20195fd73td9557l:182k759:0:oo?4}o0:<3;3`f7=zf;335h4?:0ym577428ii?6sa28::b?6=9rd:><=51b`7?xh511k;6=4>{o3156<6kk?0qc<68`394?7|f88:?7?lb79~j7??i;0;64ee?2we>46n3;295~h6:891=nl7;|l1==g3290:wc?=1282gg?{i:02j;7>51zl2645=9jhh7p`=99c;>5<6sg;9=>4>ccf8yk4>0h31<7?tn0027?7djl1vb?77a`83>4}i9;;86fj3:1=v`>20195fe73td955ol:182k759:0:on?4}o0:3;3`g7=zf;33mh4?:0ym577428ih?6sa28:bb?6=9rd:><=51ba7?xh511h;6=4>{o3156<6kj?0qc<68c394?7|f88:?7?lc79~j7??j;0;64ed?2we>46m3;295~h6:891=nm7;|l1==d3290:wc?=1282gf?{i:02i;7>51zl2645=9jih7p`=99`;>5<6sg;9=>4>cbf8yk4>0k31<7?tn0027?7dkl1vb?77b`83>4}i9;;86ej3:1=v`>20195fb73td955ll:182k759:0:oi?4}o0:3;3``7=zf;33nh4?:0ym577428io?6sa28:ab?6=9rd:><=51bf7?xh511i;6=4>{o3156<6km?0qc<68b394?7|f88:?7?ld79~j7??k;0;64ec?2we>46l3;295~h6:891=nj7;|l1==e3290:wc?=1282ga?{i:02h;7>51zl2645=9jnh7p`=99a;>5<6sg;9=>4>cef8yk4>0j31<7?tn0027?7dll1vb?77c`83>4}i9;;86dj3:1=v`>20195fc73td955ml:182k759:0:oh?4}o0:3;3`a7=zf;33oh4?:0ym577428in?6sa28:`b?6=9rd:><=51bg7?xh511n;6=4>{o3156<6kl?0qc<68e394?7|f88:?7?le79~j7??l;0;64eb?2we>46k3;295~h6:891=nk7;|l1==b3290:wc?=1282g`?{i:02o;7>51zl2645=9joh7p`=99f;>5<6sg;9=>4>cdf8yk4>0m31<7?tn0027?7dml1vb?77d`83>4}i9;;86cj3:1=v`>20195f`73td955jl:182k759:0:ok?4}o0:3;3`b7=zf;33hh4?:0ym577428im?6sa28:gb?6=9rd:><=51bd7?xh511o;6=4>{o3156<6ko?0qc<68d394?7|f88:?7?lf79~j7??m;0;64ea?2we>46j3;295~h6:891=nh7;|l1==c3290:wc?=1282gc?{i:02n;7>51zl2645=9jlh7p`=99g;>5<6sg;9=>4>cgf8yk4>0l31<7?tn0027?7dnl1vb?77e`83>4}i9;;86bj3:1=v`>20195a673td955kl:182k759:0:h=?4}o0:<`b=83;pb<<>3;3g47=zf;33ih4?:0ym577428n;?6sa28:fb?6=9rd:><=51e27?xh511l;6=4>{o3156<6l9?0qc<68g394?7|f88:?7?k079~j7??n;0;64b7?2we>46i3;295~h6:891=i>7;|l1==`3290:wc?=1282`5?{i:02m;7>51zl2645=9m:h7p`=99d;>5<6sg;9=>4>d1f8yk4>0o31<7?tn0027?7c8l1vb?77f`83>4}i9;;86aj3:1=v`>20195a773td955hl:182k759:0:h3;3g57=zf;33jh4?:0ym577428n:?6sa28:eb?6=9rd:><=51e37?xh510:;6=4>{o3156<6l8?0qc<691394?7|f88:?7?k179~j7?>8;0;64b6?2we>47?3;295~h6:891=i?7;|l1=<63290:wc?=1282`4?{i:03;;7>51zl2645=9m;h7p`=982;>5<6sg;9=>4>d0f8yk4>1931<7?tn0027?7c9l1vb?760`83>4}i9;;86f:m620195a473td954>l:182k759:0:h??4}o0:=5b=83;pb<<>3;3g67=zf;32<=51e07?xh510;;6=4>{o3156<6l;?0qc<690394?7|f88:?7?k279~j7?>9;0;64b5?2we>47>3;295~h6:891=i<7;|l1=<73290:wc?=1282`7?l5rn3;:53<728qe=??<:0f1f>{i:03:;7>51zl2645=9m8h7p`=983;>5<6sg;9=>4>d3f8yk4>1831<7?tn0027?7c:l1vb?761`83>4}i9;;8620195a573td954?l:182k759:0:h>?4}o0:=4b=83;pb<<>3;3g77=zf;32=h4?:0ym577428n8?6sa28;2b?6=9rd:><=51e17?xh5108;6=4>{o3156<6l:?0qc<693394?7|f88:?7?k379~j7?>:;0;64b4?2we>47=3;295~h6:891=i=7;|l1=<43290:wc?=1282`6?{i:039;7>51zl2645=9m9h7p`=980;>5<6sg;9=>4>d2f8yk4>1;31<7?tn0027?7c;l1vb?762`83>4}i9;;8620195a273td9543;3g07=zf;32>h4?:0ym577428n??6sa28;1b?6=9rd:><=51e67?xh5109;6=4>{o3156<6l=?0qc<692394?7|f88:?7?k479~j7?>;;0;64b3?2we>47<3;295~h6:891=i:7;|l1=<53290:wc?=1282`1?;50;3xj446;3;o8l5rn3;:73<728qe=??<:0f7f>{i:038;7>51zl2645=9m>h7p`=981;>5<6sg;9=>4>d5f8yk4>1:31<7?tn0027?7c4}i9;;8620195a373td954=l:182k759:0:h8?4}o0:=6b=83;pb<<>3;3g17=zf;32?h4?:0ym577428n>?6sa28;0b?6=9rd:><=51e77?xh510>;6=4>{o3156<6l<;0;64b2?2we>47;3;295~h6:891=i;7;|l1=<23290:wc?=1282`0?{i:03?;7>51zl2645=9m?h7p`=986;>5<6sg;9=>4>d4f8yk4>1=31<7?tn0027?7c=l1vb?764`83>4}i9;;8620195a073td954:l:182k759:0:h;?4}o0:=1b=83;pb<<>3;3g27=zf;328h4?:0ym577428n=?6sa28;7b?6=9rd:><=51e47?xh510?;6=4>{o3156<6l??0qc<694394?7|f88:?7?k679~j7?>=;0;64b1?2we>47:3;295~h6:891=i87;|l1=<33290:wc?=1282`3?{i:03>;7>51zl2645=9m5<6sg;9=>4>d7f8yk4>1<31<7?tn0027?7c>l1vb?765`83>4}i9;;8620195a173td954;l:182k759:0:h:?4}o0:=0b=83;pb<<>3;3g37=zf;329h4?:0ym577428n<=51e57?xh510<;6=4>{o3156<6l>?0qc<697394?7|f88:?7?k779~j7?>>;0;64b0?2we>4793;295~h6:891=i97;|l1=<03290:wc?=1282`2?{i:03=;7>51zl2645=9m=h7p`=984;>5<6sg;9=>4>d6f8yk4>1?31<7?tn0027?7c?l1vb?766`83>4}i9;;8620195a>73td9548l:182k759:0:h5?4}o0:=3b=83;pb<<>3;3g<7=zf;32:h4?:0ym577428n3?6sa28;5b?6=9rd:><=51e:7?xh510=;6=4>{o3156<6l1?0qc<696394?7|f88:?7?k879~j7?>?;0;64b??2we>4783;295~h6:891=i67;|l1=<13290:wc?=1282`=?{i:03<;7>51zl2645=9m2h7p`=985;>5<6sg;9=>4>d9f8yk4>1>31<7?tn0027?7c0l1vb?767`83>4}i9;;8620195a?73td9549l:182k759:0:h4?4}o0:=2b=83;pb<<>3;3g=7=zf;32;h4?:0ym577428n2?6sa28;4b?6=9rd:><=51e;7?xh5102;6=4>{o3156<6l0?0qc<699394?7|f88:?7?k979~j7?>0;0;64b>?2we>4773;295~h6:891=i77;|l1=<>3290:wc?=1282`{i:033;7>51zl2645=9m3h7p`=98:;>5<6sg;9=>4>d8f8yk4>1131<7?tn0027?7c1l1vb?768`83>4}i9;;8620195ag73td9546l:182k759:0:hl?4}o0:==b=83;pb<<>3;3ge7=zf;324h4?:0ym577428nj?6sa28;;b?6=9rd:><=51ec7?xh5103;6=4>{o3156<6lh?0qc<698394?7|f88:?7?ka79~j7?>1;0;64bf?2we>4763;295~h6:891=io7;|l1={i:032;7>51zl2645=9mkh7p`=98;;>5<6sg;9=>4>d`f8yk4>1031<7?tn0027?7cil1vb?769`83>4}i9;;86j3:1=v`>20195ad73td9547l:182k759:0:ho?4}o0:=3;3gf7=zf;325h4?:0ym577428ni?6sa28;:b?6=9rd:><=51e`7?xh510k;6=4>{o3156<6lk?0qc<69`394?7|f88:?7?kb79~j7?>i;0;64be?2we>47n3;295~h6:891=il7;|l1={i:03j;7>51zl2645=9mhh7p`=98c;>5<6sg;9=>4>dcf8yk4>1h31<7?tn0027?7cjl1vb?76a`83>4}i9;;8620195ae73td954ol:182k759:0:hn?4}o0:=db=83;pb<<>3;3gg7=zf;32mh4?:0ym577428nh?6sa28;bb?6=9rd:><=51ea7?xh510h;6=4>{o3156<6lj?0qc<69c394?7|f88:?7?kc79~j7?>j;0;64bd?2we>47m3;295~h6:891=im7;|l1={i:03i;7>51zl2645=9mih7p`=98`;>5<6sg;9=>4>dbf8yk4>1k31<7?tn0027?7ckl1vb?76b`83>4}i9;;8620195ab73td954ll:182k759:0:hi?4}o0:=gb=83;pb<<>3;3g`7=zf;32nh4?:0ym577428no?6sa28;ab?6=9rd:><=51ef7?xh510i;6=4>{o3156<6lm?0qc<69b394?7|f88:?7?kd79~j7?>k;0;64bc?2we>47l3;295~h6:891=ij7;|l1={i:03h;7>51zl2645=9mnh7p`=98a;>5<6sg;9=>4>def8yk4>1j31<7?tn0027?7cll1vb?76c`83>4}i9;;8620195ac73td954ml:182k759:0:hh?4}o0:=fb=83;pb<<>3;3ga7=zf;32oh4?:0ym577428nn?6sa28;`b?6=9rd:><=51eg7?xh510n;6=4>{o3156<6ll?0qc<69e394?7|f88:?7?ke79~j7?>l;0;64bb?2we>47k3;295~h6:891=ik7;|l1={i:03o;7>51zl2645=9moh7p`=98f;>5<6sg;9=>4>ddf8yk4>1m31<7?tn0027?7cml1vb?76d`83>4}i9;;8620195a`73td954jl:182k759:0:hk?4}o0:=ab=83;pb<<>3;3gb7=zf;32hh4?:0ym577428nm?6sa28;gb?6=9rd:><=51ed7?xh510o;6=4>{o3156<6lo?0qc<69d394?7|f88:?7?kf79~j7?>m;0;64ba?2we>47j3;295~h6:891=ih7;|l1={i:03n;7>51zl2645=9mlh7p`=98g;>5<6sg;9=>4>dgf8yk4>1l31<7?tn0027?7cnl1vb?76e`83>4}i9;;8620195`673td954kl:182k759:0:i=?4}o0:=`b=83;pb<<>3;3f47=zf;32ih4?:0ym577428o;?6sa28;fb?6=9rd:><=51d27?xh510l;6=4>{o3156<6m9?0qc<69g394?7|f88:?7?j079~j7?>n;0;64c7?2we>47i3;295~h6:891=h>7;|l1=<`3290:wc?=1282a5?{i:03m;7>51zl2645=9l:h7p`=98d;>5<6sg;9=>4>e1f8yk4>1o31<7?tn0027?7b8l1vb?76f`83>4}i9;;8620195`773td954hl:182k759:0:i3;3f57=zf;32jh4?:0ym577428o:?6sa28;eb?6=9rd:><=51d37?xh51h:;6=4>{o3156<6m8?0qc<6a1394?7|f88:?7?j179~j7?f8;0;64c6?2we>4o?3;295~h6:891=h?7;|l1=d63290:wc?=1282a4?{i:0k;;7>51zl2645=9l;h7p`=9`2;>5<6sg;9=>4>e0f8yk4>i931<7?tn0027?7b9l1vb?7n0`83>4}i9;;86f:m620195`473td95l>l:182k759:0:i??4}o0:e5b=83;pb<<>3;3f67=zf;3j<=51d07?xh51h;;6=4>{o3156<6m;?0qc<6a0394?7|f88:?7?j279~j7?f9;0;64c5?2we>4o>3;295~h6:891=h<7;|l1=d73290:wc?=1282a7?l5rn3;b53<728qe=??<:0g1f>{i:0k:;7>51zl2645=9l8h7p`=9`3;>5<6sg;9=>4>e3f8yk4>i831<7?tn0027?7b:l1vb?7n1`83>4}i9;;8620195`573td95l?l:182k759:0:i>?4}o0:e4b=83;pb<<>3;3f77=zf;3j=h4?:0ym577428o8?6sa28c2b?6=9rd:><=51d17?xh51h8;6=4>{o3156<6m:?0qc<6a3394?7|f88:?7?j379~j7?f:;0;64c4?2we>4o=3;295~h6:891=h=7;|l1=d43290:wc?=1282a6?{i:0k9;7>51zl2645=9l9h7p`=9`0;>5<6sg;9=>4>e2f8yk4>i;31<7?tn0027?7b;l1vb?7n2`83>4}i9;;8620195`273td95l3;3f07=zf;3j>h4?:0ym577428o??6sa28c1b?6=9rd:><=51d67?xh51h9;6=4>{o3156<6m=?0qc<6a2394?7|f88:?7?j479~j7?f;;0;64c3?2we>4o<3;295~h6:891=h:7;|l1=d53290:wc?=1282a1?;50;3xj446;3;n8l5rn3;b73<728qe=??<:0g7f>{i:0k8;7>51zl2645=9l>h7p`=9`1;>5<6sg;9=>4>e5f8yk4>i:31<7?tn0027?7b4}i9;;8620195`373td95l=l:182k759:0:i8?4}o0:e6b=83;pb<<>3;3f17=zf;3j?h4?:0ym577428o>?6sa28c0b?6=9rd:><=51d77?xh51h>;6=4>{o3156<6m4c2?2we>4o;3;295~h6:891=h;7;|l1=d23290:wc?=1282a0?{i:0k?;7>51zl2645=9l?h7p`=9`6;>5<6sg;9=>4>e4f8yk4>i=31<7?tn0027?7b=l1vb?7n4`83>4}i9;;8620195`073td95l:l:182k759:0:i;?4}o0:e1b=83;pb<<>3;3f27=zf;3j8h4?:0ym577428o=?6sa28c7b?6=9rd:><=51d47?xh51h?;6=4>{o3156<6m??0qc<6a4394?7|f88:?7?j679~j7?f=;0;64c1?2we>4o:3;295~h6:891=h87;|l1=d33290:wc?=1282a3?{i:0k>;7>51zl2645=9l5<6sg;9=>4>e7f8yk4>i<31<7?tn0027?7b>l1vb?7n5`83>4}i9;;8620195`173td95l;l:182k759:0:i:?4}o0:e0b=83;pb<<>3;3f37=zf;3j9h4?:0ym577428o<=51d57?xh51h<;6=4>{o3156<6m>?0qc<6a7394?7|f88:?7?j779~j7?f>;0;64c0?2we>4o93;295~h6:891=h97;|l1=d03290:wc?=1282a2?{i:0k=;7>51zl2645=9l=h7p`=9`4;>5<6sg;9=>4>e6f8yk4>i?31<7?tn0027?7b?l1vb?7n6`83>4}i9;;8620195`>73td95l8l:182k759:0:i5?4}o0:e3b=83;pb<<>3;3f<7=zf;3j:h4?:0ym577428o3?6sa28c5b?6=9rd:><=51d:7?xh51h=;6=4>{o3156<6m1?0qc<6a6394?7|f88:?7?j879~j7?f?;0;64c??2we>4o83;295~h6:891=h67;|l1=d13290:wc?=1282a=?{i:0k<;7>52zl2645=9l2h7p`=9`5;>5<5sg;9=>4>e9f8yk4>i>31<7?tn0027?7b0l1vb?7n7`83>4}i9;;8620195`?73td95l9l:182k759:0:i4?4}o0:e2b=83;pb<<>3;3f=7=zf;3j;h4?:0ym577428o2?6sa28c4b?6=9rd:><=51d;7?xh51h2;6=4>{o3156<6m0?0qc<6a9394?7|f88:?7?j979~j7?f0;0;64c>?2we>4o73;295~h6:891=h77;|l1=d>3290:wc?=1282a{i:0k3;7>51zl2645=9l3h7p`=9`:;>5<6sg;9=>4>e8f8yk4>i131<7?tn0027?7b1l1vb?7n8`83>4}i9;;8620195`g73td95l6l:182k759:0:il?4}o0:e=b=83;pb<<>3;3fe7=zf;3j4h4?:0ym577428oj?6sa28c;b?6=9rd:><=51dc7?xh51h3;6=4>{o3156<6mh?0qc<6a8394?7|f88:?7?ja79~j7?f1;0;64cf?2we>4o63;295~h6:891=ho7;|l1=d?3290:wc?=1282ad?{i:0k2;7>51zl2645=9lkh7p`=9`;;>5<6sg;9=>4>e`f8yk4>i031<7?tn0027?7bil1vb?7n9`83>4}i9;;86j3:1=v`>20195`d73td95l7l:182k759:0:io?4}o0:e3;3ff7=zf;3j5h4?:0ym577428oi?6sa28c:b?6=9rd:><=51d`7?xh51hk;6=4>{o3156<6mk?0qc<6a`394?7|f88:?7?jb79~j7?fi;0;64ce?2we>4on3;295~h6:891=hl7;|l1=dg3290:wc?=1282ag?{i:0kj;7>51zl2645=9lhh7p`=9`c;>5<6sg;9=>4>ecf8yk4>ih31<7?tn0027?7bjl1vb?7na`83>4}i9;;8620195`e73td95lol:182k759:0:in?4}o0:edb=83;pb<<>3;3fg7=zf;3jmh4?:0ym577428oh?6sa28cbb?6=9rd:><=51da7?xh51hh;6=4>{o3156<6mj?0qc<6ac394?7|f88:?7?jc79~j7?fj;0;64cd?2we>4om3;295~h6:891=hm7;|l1=dd3290:wc?=1282af?{i:0ki;7>51zl2645=9lih7p`=9``;>5<6sg;9=>4>ebf8yk4>ik31<7?tn0027?7bkl1vb?7nb`83>4}i9;;8620195`b73td95lll:182k759:0:ii?4}o0:egb=83;pb<<>3;3f`7=zf;3jnh4?:0ym577428oo?6sa28cab?6=9rd:><=51df7?xh51hi;6=4>{o3156<6mm?0qc<6ab394?7|f88:?7?jd79~j7?fk;0;64cc?2we>4ol3;295~h6:891=hj7;|l1=de3290:wc?=1282aa?{i:0kh;7>51zl2645=9lnh7p`=9`a;>5<6sg;9=>4>eef8yk4>ij31<7?tn0027?7bll1vb?7nc`83>4}i9;;8620195`c73td95lml:182k759:0:ih?4}o0:efb=83;pb<<>3;3fa7=zf;3joh4?:0ym577428on?6sa28c`b?6=9rd:><=51dg7?xh51hn;6=4>{o3156<6ml?0qc<6ae394?7|f88:?7?je79~j7?fl;0;64cb?2we>4ok3;295~h6:891=hk7;|l1=db3290:wc?=1282a`?{i:0ko;7>51zl2645=9loh7p`=9`f;>5<6sg;9=>4>edf8yk4>im31<7?tn0027?7bml1vb?7nd`83>4}i9;;8620195``73td95ljl:182k759:0:ik?4}o0:eab=83;pb<<>3;3fb7=zf;3jhh4?:0ym577428om?6sa28cgb?6=9rd:><=51dd7?xh51ho;6=4>{o3156<6mo?0qc<6ad394?7|f88:?7?jf79~j7?fm;0;64ca?2we>4oj3;295~h6:891=hh7;|l1=dc3290:wc?=1282ac?{i:0kn;7>51zl2645=9llh7p`=9`g;>5<6sg;9=>4>egf8yk4>il31<7?tn0027?7bnl1vb?7ne`83>4}i9;;8620195c673td95lkl:182k759:0:j=?4}o0:e`b=83;pb<<>3;3e47=zf;3jih4?:0ym577428l;?6sa28cfb?6=9rd:><=51g27?xh51hl;6=4>{o3156<6n9?0qc<6ag394?7|f88:?7?i079~j7?fn;0;64`7?2we>4oi3;295~h6:891=k>7;|l1=d`3290:wc?=1282b5?{i:0km;7>51zl2645=9o:h7p`=9`d;>5<6sg;9=>4>f1f8yk4>io31<7?tn0027?7a8l1vb?7nf`83>4}i9;;8620195c773td95lhl:182k759:0:j3;3e57=zf;3jjh4?:0ym577428l:?6sa28ceb?6=9rd:><=51g37?xh51k:;6=4>{o3156<6n8?0qc<6b1394?7|f88:?7?i179~j7?e8;0;64`6?2we>4l?3;295~h6:891=k?7;|l1=g63290:wc?=1282b4?{i:0h;;7>51zl2645=9o;h7p`=9c2;>5<6sg;9=>4>f0f8yk4>j931<7?tn0027?7a9l1vb?7m0`83>4}i9;;86f:m620195c473td95o>l:182k759:0:j??4}o0:f5b=83;pb<<>3;3e67=zf;3i<=51g07?xh51k;;6=4>{o3156<6n;?0qc<6b0394?7|f88:?7?i279~j7?e9;0;64`5?2we>4l>3;295~h6:891=k<7;|l1=g73290:wc?=1282b7?l5rn3;a53<728qe=??<:0d1f>{i:0h:;7>51zl2645=9o8h7p`=9c3;>5<6sg;9=>4>f3f8yk4>j831<7?tn0027?7a:l1vb?7m1`83>4}i9;;8620195c573td95o?l:182k759:0:j>?4}o0:f4b=83;pb<<>3;3e77=zf;3i=h4?:0ym577428l8?6sa28`2b?6=9rd:><=51g17?xh51k8;6=4>{o3156<6n:?0qc<6b3394?7|f88:?7?i379~j7?e:;0;64`4?2we>4l=3;295~h6:891=k=7;|l1=g43290:wc?=1282b6?{i:0h9;7>51zl2645=9o9h7p`=9c0;>5<6sg;9=>4>f2f8yk4>j;31<7?tn0027?7a;l1vb?7m2`83>4}i9;;8620195c273td95o3;3e07=zf;3i>h4?:0ym577428l??6sa28`1b?6=9rd:><=51g67?xh51k9;6=4>{o3156<6n=?0qc<6b2394?7|f88:?7?i479~j7?e;;0;64`3?2we>4l<3;295~h6:891=k:7;|l1=g53290:wc?=1282b1?;50;3xj446;3;m8l5rn3;a73<728qe=??<:0d7f>{i:0h8;7>51zl2645=9o>h7p`=9c1;>5<6sg;9=>4>f5f8yk4>j:31<7?tn0027?7a4}i9;;8620195c373td95o=l:182k759:0:j8?4}o0:f6b=83;pb<<>3;3e17=zf;3i?h4?:0ym577428l>?6sa28`0b?6=9rd:><=51g77?xh51k>;6=4>{o3156<6n4`2?2we>4l;3;295~h6:891=k;7;|l1=g23290:wc?=1282b0?{i:0h?;7>51zl2645=9o?h7p`=9c6;>5<6sg;9=>4>f4f8yk4>j=31<7?tn0027?7a=l1vb?7m4`83>4}i9;;8620195c073td95o:l:182k759:0:j;?4}o0:f1b=83;pb<<>3;3e27=zf;3i8h4?:0ym577428l=?6sa28`7b?6=9rd:><=51g47?xh51k?;6=4>{o3156<6n??0qc<6b4394?7|f88:?7?i679~j7?e=;0;64`1?2we>4l:3;295~h6:891=k87;|l1=g33290:wc?=1282b3?{i:0h>;7>51zl2645=9o5<6sg;9=>4>f7f8yk4>j<31<7?tn0027?7a>l1vb?7m5`83>4}i9;;8620195c173td95o;l:182k759:0:j:?4}o0:f0b=83;pb<<>3;3e37=zf;3i9h4?:0ym577428l<=51g57?xh51k<;6=4>{o3156<6n>?0qc<6b7394?7|f88:?7?i779~j7?e>;0;64`0?2we>4l93;295~h6:891=k97;|l1=g03290:wc?=1282b2?{i:0h=;7>51zl2645=9o=h7p`=9c4;>5<6sg;9=>4>f6f8yk4>j?31<7?tn0027?7a?l1vb?7m6`83>4}i9;;8620195c>73td95o8l:182k759:0:j5?4}o0:f3b=83;pb<<>3;3e<7=zf;3i:h4?:0ym577428l3?6sa28`5b?6=9rd:><=51g:7?xh51k=;6=4>{o3156<6n1?0qc<6b6394?7|f88:?7?i879~j7?e?;0;64`??2we>4l83;295~h6:891=k67;|l1=g13290:wc?=1282b=?{i:0h<;7>51zl2645=9o2h7p`=9c5;>5<6sg;9=>4>f9f8yk4>j>31<7?tn0027?7a0l1vb?7m7`83>4}i9;;8620195c?73td95o9l:182k759:0:j4?4}o0:f2b=83;pb<<>3;3e=7=zf;3i;h4?:0ym577428l2?6sa28`4b?6=9rd:><=51g;7?xh51k2;6=4>{o3156<6n0?0qc<6b9394?7|f88:?7?i979~j7?e0;0;64`>?2we>4l73;295~h6:891=k77;|l1=g>3290:wc?=1282b{i:0h3;7>51zl2645=9o3h7p`=9c:;>5<6sg;9=>4>f8f8yk4>j131<7?tn0027?7a1l1vb?7m8`83>4}i9;;8620195cg73td95o6l:182k759:0:jl?4}o0:f=b=83;pb<<>3;3ee7=zf;3i4h4?:0ym577428lj?6sa28`;b?6=9rd:><=51gc7?xh51k3;6=4>{o3156<6nh?0qc<6b8394?7|f88:?7?ia79~j7?e1;0;64`f?2we>4l63;295~h6:891=ko7;|l1=g?3290:wc?=1282bd?{i:0h2;7>51zl2645=9okh7p`=9c;;>5<6sg;9=>4>f`f8yk4>j031<7?tn0027?7ail1vb?7m9`83>4}i9;;86j3:1=v`>20195cd73td95o7l:182k759:0:jo?4}o0:f3;3ef7=zf;3i5h4?:0ym577428li?6sa28`:b?6=9rd:><=51g`7?xh51kk;6=4>{o3156<6nk?0qc<6b`394?7|f88:?7?ib79~j7?ei;0;64`e?2we>4ln3;295~h6:891=kl7;|l1=gg3290:wc?=1282bg?{i:0hj;7>51zl2645=9ohh7p`=9cc;>5<6sg;9=>4>fcf8yk4>jh31<7?tn0027?7ajl1vb?7ma`83>4}i9;;8620195ce73td95ool:182k759:0:jn?4}o0:fdb=83;pb<<>3;3eg7=zf;3imh4?:0ym577428lh?6sa28`bb?6=9rd:><=51ga7?xh51kh;6=4>{o3156<6nj?0qc<6bc394?7|f88:?7?ic79~j7?ej;0;64`d?2we>4lm3;295~h6:891=km7;|l1=gd3290:wc?=1282bf?{i:0hi;7>51zl2645=9oih7p`=9c`;>5<6sg;9=>4>fbf8yk4>jk31<7?tn0027?7akl1vb?7mb`83>4}i9;;8620195cb73td95oll:182k759:0:ji?4}o0:fgb=83;pb<<>3;3e`7=zf;3inh4?:0ym577428lo?6sa28`ab?6=9rd:><=51gf7?xh51ki;6=4>{o3156<6nm?0qc<6bb394?7|f88:?7?id79~j7?ek;0;64`c?2we>4ll3;295~h6:891=kj7;|l1=ge3290:wc?=1282ba?{i:0hh;7>51zl2645=9onh7p`=9ca;>5<6sg;9=>4>fef8yk4>jj31<7?tn0027?7all1vb?7mc`83>4}i9;;8620195cc73td95oml:182k759:0:jh?4}o0:ffb=83;pb<<>3;3ea7=zf;3ioh4?:0ym577428ln?6sa28``b?6=9rd:><=51gg7?xh51kn;6=4>{o3156<6nl?0qc<6be394?7|f88:?7?ie79~j7?el;0;64`b?2we>4lk3;295~h6:891=kk7;|l1=gb3290:wc?=1282b`?{i:0ho;7>51zl2645=9ooh7p`=9cf;>5<6sg;9=>4>fdf8yk4>jm31<7?tn0027?7aml1vb?7md`83>4}i9;;8620195c`73td95ojl:182k759:0:jk?4}o0:fab=83;pb<<>3;3eb7=zf;3ihh4?:0ym577428lm?6sa28`gb?6=9rd:><=51gd7?xh51ko;6=4>{o3156<6no?0qc<6bd394?7|f88:?7?if79~j7?em;0;64`a?2we>4lj3;295~h6:891=kh7;|l1=gc3290:wc?=1282bc?{i:0hn;7>51zl2645=9olh7p`=9cg;>5<6sg;9=>4>fgf8yk4>jl31<7?tn0027?7anl1vb?7me`83>4}i9;;86201965673td95okl:182k759:09<=?4}o0:f`b=83;pb<<>3;0347=zf;3iih4?:0ym57742;:;?6sa28`fb?6=9rd:><=52127?xh51kl;6=4>{o3156<589?0qc<6bg394?7|f88:?7767?2we>4li3;295~h6:891>=>7;|l1=g`3290:wc?=128145?{i:0hm;7>51zl2645=:9:h7p`=9cd;>5<6sg;9=>4=01f8yk4>jo31<7?tn0027?478l1vb?7mf`83>4}i9;;86?>?f:m6201965773td95ohl:182k759:09<3;0357=zf;3ijh4?:0ym57742;::?6sa28`eb?6=9rd:><=52137?xh51j:;6=4>{o3156<588?0qc<6c1394?7|f88:?7766?2we>4m?3;295~h6:891>=?7;|l1=f63290:wc?=128144?{i:0i;;7>51zl2645=:9;h7p`=9b2;>5<6sg;9=>4=00f8yk4>k931<7?tn0027?479l1vb?7l0`83>4}i9;;86?>>f:m6201965473td95n>l:182k759:093;0367=zf;3h<=52107?xh51j;;6=4>{o3156<58;?0qc<6c0394?7|f88:?7765?2we>4m>3;295~h6:891>=<7;|l1=f73290:wc?=128147?l5rn3;`53<728qe=??<:321f>{i:0i:;7>51zl2645=:98h7p`=9b3;>5<6sg;9=>4=03f8yk4>k831<7?tn0027?47:l1vb?7l1`83>4}i9;;86?>=f:m6201965573td95n?l:182k759:09<>?4}o0:g4b=83;pb<<>3;0377=zf;3h=h4?:0ym57742;:8?6sa28a2b?6=9rd:><=52117?xh51j8;6=4>{o3156<58:?0qc<6c3394?7|f88:?7764?2we>4m=3;295~h6:891>==7;|l1=f43290:wc?=128146?{i:0i9;7>51zl2645=:99h7p`=9b0;>5<6sg;9=>4=02f8yk4>k;31<7?tn0027?47;l1vb?7l2`83>4}i9;;86?>201965273td95n3;0307=zf;3h>h4?:0ym57742;:??6sa28a1b?6=9rd:><=52167?xh51j9;6=4>{o3156<58=?0qc<6c2394?7|f88:?7763?2we>4m<3;295~h6:891>=:7;|l1=f53290:wc?=128141?;50;3xj446;38;8l5rn3;`73<728qe=??<:327f>{i:0i8;7>51zl2645=:9>h7p`=9b1;>5<6sg;9=>4=05f8yk4>k:31<7?tn0027?474}i9;;86?>;f:m6201965373td95n=l:182k759:09<8?4}o0:g6b=83;pb<<>3;0317=zf;3h?h4?:0ym57742;:>?6sa28a0b?6=9rd:><=52177?xh51j>;6=4>{o3156<58762?2we>4m;3;295~h6:891>=;7;|l1=f23290:wc?=128140?{i:0i?;7>51zl2645=:9?h7p`=9b6;>5<6sg;9=>4=04f8yk4>k=31<7?tn0027?47=l1vb?7l4`83>4}i9;;86?>:f:m6201965073td95n:l:182k759:09<;?4}o0:g1b=83;pb<<>3;0327=zf;3h8h4?:0ym57742;:=?6sa28a7b?6=9rd:><=52147?xh51j?;6=4>{o3156<58??0qc<6c4394?7|f88:?7761?2we>4m:3;295~h6:891>=87;|l1=f33290:wc?=128143?{i:0i>;7>51zl2645=:95<6sg;9=>4=07f8yk4>k<31<7?tn0027?47>l1vb?7l5`83>4}i9;;86?>9f:m6201965173td95n;l:182k759:09<:?4}o0:g0b=83;pb<<>3;0337=zf;3h9h4?:0ym57742;:<=52157?xh51j<;6=4>{o3156<58>?0qc<6c7394?7|f88:?7;0;6760?2we>4m93;295~h6:891>=97;|l1=f03290:wc?=128142?{i:0i=;7>51zl2645=:9=h7p`=9b4;>5<6sg;9=>4=06f8yk4>k?31<7?tn0027?47?l1vb?7l6`83>4}i9;;86?>8f:m6201965>73td95n8l:182k759:09<5?4}o0:g3b=83;pb<<>3;03<7=zf;3h:h4?:0ym57742;:3?6sa28a5b?6=9rd:><=521:7?xh51j=;6=4>{o3156<581?0qc<6c6394?7|f88:?776??2we>4m83;295~h6:891>=67;|l1=f13290:wc?=12814=?{i:0i<;7>51zl2645=:92h7p`=9b5;>5<6sg;9=>4=09f8yk4>k>31<7?tn0027?470l1vb?7l7`83>4}i9;;86?>7f:m6201965?73td95n9l:182k759:09<4?4}o0:g2b=83;pb<<>3;03=7=zf;3h;h4?:0ym57742;:2?6sa28a4b?6=9rd:><=521;7?xh51j2;6=4>{o3156<580?0qc<6c9394?7|f88:?776>?2we>4m73;295~h6:891>=77;|l1=f>3290:wc?=12814{i:0i3;7>51zl2645=:93h7p`=9b:;>5<6sg;9=>4=08f8yk4>k131<7?tn0027?471l1vb?7l8`83>4}i9;;86?>6f:m6201965g73td95n6l:182k759:093;03e7=zf;3h4h4?:0ym57742;:j?6sa28a;b?6=9rd:><=521c7?xh51j3;6=4>{o3156<58h?0qc<6c8394?7|f88:?776f?2we>4m63;295~h6:891>=o7;|l1=f?3290:wc?=12814d?{i:0i2;7>51zl2645=:9kh7p`=9b;;>5<6sg;9=>4=0`f8yk4>k031<7?tn0027?47il1vb?7l9`83>4}i9;;86?>nf:m6j3:1=v`>201965d73td95n7l:182k759:093;03f7=zf;3h5h4?:0ym57742;:i?6sa28a:b?6=9rd:><=521`7?xh51jk;6=4>{o3156<58k?0qc<6c`394?7|f88:?776e?2we>4mn3;295~h6:891>=l7;|l1=fg3290:wc?=12814g?{i:0ij;7>51zl2645=:9hh7p`=9bc;>5<6sg;9=>4=0cf8yk4>kh31<7?tn0027?47jl1vb?7la`83>4}i9;;86?>mf:m6201965e73td95nol:182k759:093;03g7=zf;3hmh4?:0ym57742;:h?6sa28abb?6=9rd:><=521a7?xh51jh;6=4>{o3156<58j?0qc<6cc394?7|f88:?776d?2we>4mm3;295~h6:891>=m7;|l1=fd3290:wc?=12814f?{i:0ii;7>51zl2645=:9ih7p`=9b`;>5<6sg;9=>4=0bf8yk4>kk31<7?tn0027?47kl1vb?7lb`83>4}i9;;86?>lf:m6201965b73td95nll:182k759:093;03`7=zf;3hnh4?:0ym57742;:o?6sa28aab?6=9rd:><=521f7?xh51ji;6=4>{o3156<58m?0qc<6cb394?7|f88:?776c?2we>4ml3;295~h6:891>=j7;|l1=fe3290:wc?=12814a?{i:0ih;7>51zl2645=:9nh7p`=9ba;>5<6sg;9=>4=0ef8yk4>kj31<7?tn0027?47ll1vb?7lc`83>4}i9;;86?>kf:m6201965c73td95nml:182k759:093;03a7=zf;3hoh4?:0ym57742;:n?6sa28a`b?6=9rd:><=521g7?xh51jn;6=4>{o3156<58l?0qc<6ce394?7|f88:?776b?2we>4mk3;295~h6:891>=k7;|l1=fb3290:wc?=12814`?{i:0io;7>51zl2645=:9oh7p`=9bf;>5<6sg;9=>4=0df8yk4>km31<7?tn0027?47ml1vb?7ld`83>4}i9;;86?>jf:m6201965`73td95njl:182k759:093;03b7=zf;3hhh4?:0ym57742;:m?6sa28agb?6=9rd:><=521d7?xh51jo;6=4>{o3156<58o?0qc<6cd394?7|f88:?776a?2we>4mj3;295~h6:891>=h7;|l1=fc3290:wc?=12814c?{i:0in;7>51zl2645=:9lh7p`=9bg;>5<6sg;9=>4=0gf8yk4>kl31<7?tn0027?47nl1vb?7le`83>4}i9;;86?>if:m6201964673td95nkl:182k759:09==?4}o0:g`b=83;pb<<>3;0247=zf;3hih4?:0ym57742;;;?6sa28afb?6=9rd:><=52027?xh51jl;6=4>{o3156<599?0qc<6cg394?7|f88:?7<>079~j7?dn;0;6777?2we>4mi3;295~h6:891><>7;|l1=f`3290:wc?=128155?{i:0im;7>51zl2645=:8:h7p`=9bd;>5<6sg;9=>4=11f8yk4>ko31<7?tn0027?468l1vb?7lf`83>4}i9;;86???f:m6201964773td95nhl:182k759:09=3;0257=zf;3hjh4?:0ym57742;;:?6sa28aeb?6=9rd:><=52037?xh51m:;6=4>{o3156<598?0qc<6d1394?7|f88:?7<>179~j7?c8;0;6776?2we>4j?3;295~h6:891>{i:0n;;7>51zl2645=:8;h7p`=9e2;>5<6sg;9=>4=10f8yk4>l931<7?tn0027?469l1vb?7k0`83>4}i9;;86??>f:m6201964473td95i>l:182k759:09=??4}o0:`5b=83;pb<<>3;0267=zf;3o<=52007?xh51m;;6=4>{o3156<59;?0qc<6d0394?7|f88:?7<>279~j7?c9;0;6775?2we>4j>3;295~h6:891><<7;|l1=a73290:wc?=128157?l5rn3;g53<728qe=??<:331f>{i:0n:;7>51zl2645=:88h7p`=9e3;>5<6sg;9=>4=13f8yk4>l831<7?tn0027?46:l1vb?7k1`83>4}i9;;86??=f:m6201964573td95i?l:182k759:09=>?4}o0:`4b=83;pb<<>3;0277=zf;3o=h4?:0ym57742;;8?6sa28f2b?6=9rd:><=52017?xh51m8;6=4>{o3156<59:?0qc<6d3394?7|f88:?7<>379~j7?c:;0;6774?2we>4j=3;295~h6:891><=7;|l1=a43290:wc?=128156?{i:0n9;7>51zl2645=:89h7p`=9e0;>5<6sg;9=>4=12f8yk4>l;31<7?tn0027?46;l1vb?7k2`83>4}i9;;86??v`>201964273td95i3;0207=zf;3o>h4?:0ym57742;;??6sa28f1b?6=9rd:><=52067?xh51m9;6=4>{o3156<59=?0qc<6d2394?7|f88:?7<>479~j7?c;;0;6773?2we>4j<3;295~h6:891><:7;|l1=a53290:wc?=128151?;50;3xj446;38:8l5rn3;g73<728qe=??<:337f>{i:0n8;7>51zl2645=:8>h7p`=9e1;>5<6sg;9=>4=15f8yk4>l:31<7?tn0027?464}i9;;86??;f:m6201964373td95i=l:182k759:09=8?4}o0:`6b=83;pb<<>3;0217=zf;3o?h4?:0ym57742;;>?6sa28f0b?6=9rd:><=52077?xh51m>;6=4>{o3156<59579~j7?c<;0;6772?2we>4j;3;295~h6:891><;7;|l1=a23290:wc?=128150?{i:0n?;7>51zl2645=:8?h7p`=9e6;>5<6sg;9=>4=14f8yk4>l=31<7?tn0027?46=l1vb?7k4`83>4}i9;;86??:f:m6201964073td95i:l:182k759:09=;?4}o0:`1b=83;pb<<>3;0227=zf;3o8h4?:0ym57742;;=?6sa28f7b?6=9rd:><=52047?xh51m?;6=4>{o3156<59??0qc<6d4394?7|f88:?7<>679~j7?c=;0;6771?2we>4j:3;295~h6:891><87;|l1=a33290:wc?=128153?{i:0n>;7>51zl2645=:85<6sg;9=>4=17f8yk4>l<31<7?tn0027?46>l1vb?7k5`83>4}i9;;86??9f:m6201964173td95i;l:182k759:09=:?4}o0:`0b=83;pb<<>3;0237=zf;3o9h4?:0ym57742;;<=52057?xh51m<;6=4>{o3156<59>?0qc<6d7394?7|f88:?7<>779~j7?c>;0;6770?2we>4j93;295~h6:891><97;|l1=a03290:wc?=128152?{i:0n=;7>51zl2645=:8=h7p`=9e4;>5<6sg;9=>4=16f8yk4>l?31<7?tn0027?46?l1vb?7k6`83>4}i9;;86??8f:m6201964>73td95i8l:182k759:09=5?4}o0:`3b=83;pb<<>3;02<7=zf;3o:h4?:3ym57742;;3?6sa28f5b?6=9rd:><=520:7?xh51m=;6=4>{o3156<591?0qc<6d6394?7|f88:?7<>879~j7?c?;0;677??2we>4j83;295~h6:891><67;|l1=a13290:wc?=12815=?{i:0n<;7>51zl2645=:82h7p`=9e5;>5<6sg;9=>4=19f8yk4>l>31<7?tn0027?460l1vb?7k7`83>4}i9;;86??7f:m6201964?73td95i9l:182k759:09=4?4}o0:`2b=83;pb<<>3;02=7=zf;3o;h4?:0ym57742;;2?6sa28f4b?6=9rd:><=520;7?xh51m2;6=4>{o3156<590?0qc<6d9394?7|f88:?7<>979~j7?c0;0;677>?2we>4j73;295~h6:891><77;|l1=a>3290:wc?=12815{i:0n3;7>51zl2645=:83h7p`=9e:;>5<6sg;9=>4=18f8yk4>l131<7?tn0027?461l1vb?7k8`83>4}i9;;86??6f:m6201964g73td95i6l:182k759:09=l?4}o0:`=b=83;pb<<>3;02e7=zf;3o4h4?:0ym57742;;j?6sa28f;b?6=9rd:><=520c7?xh51m3;6=4>{o3156<59h?0qc<6d8394?7|f88:?7<>a79~j7?c1;0;677f?2we>4j63;295~h6:891>{i:0n2;7>51zl2645=:8kh7p`=9e;;>5<6sg;9=>4=1`f8yk4>l031<7?tn0027?46il1vb?7k9`83>4}i9;;86??nf:m6j3:1=v`>201964d73td95i7l:182k759:09=o?4}o0:`3;02f7=zf;3o5h4?:0ym57742;;i?6sa28f:b?6=9rd:><=520`7?xh51mk;6=4>{o3156<59k?0qc<6d`394?7|f88:?7<>b79~j7?ci;0;677e?2we>4jn3;295~h6:891>{i:0nj;7>52zl2645=:8hh7p`=9ec;>5<6sg;9=>4=1cf8yk4>lh31<7?tn0027?46jl1vb?7ka`83>4}i9;;86??mf:m6201964e73td95iol:182k759:09=n?4}o0:`db=83;pb<<>3;02g7=zf;3omh4?:0ym57742;;h?6sa28fbb?6=:rd:><=520a7?xh51mh;6=4>{o3156<59j?0qc<6dc394?7|f88:?7<>c79~j7?cj;0;677d?2we>4jm3;295~h6:891>{i:0ni;7>51zl2645=:8ih7p`=9e`;>5<6sg;9=>4=1bf8yk4>lk31<7?tn0027?46kl1vb?7kb`83>4}i9;;86??lf:m6201964b73td95ill:182k759:09=i?4}o0:`gb=83;pb<<>3;02`7=zf;3onh4?:0ym57742;;o?6sa28fab?6=9rd:><=520f7?xh51mi;6=4>{o3156<59m?0qc<6db394?7|f88:?7<>d79~j7?ck;0;677c?2we>4jl3;295~h6:891>{i:0nh;7>51zl2645=:8nh7p`=9ea;>5<6sg;9=>4=1ef8yk4>lj31<7?tn0027?46ll1vb?7kc`83>4}i9;;86??kf:m6201964c73td95iml:181k759:09=h?4}o0:`fb=83;pb<<>3;02a7=zf;3ooh4?:0ym57742;;n?6sa28f`b?6=9rd:><=520g7?xh51mn;6=4>{o3156<59l?0qc<6de394?7|f88:?7<>e79~j7?cl;0;677b?2we>4jk3;295~h6:891>{i:0no;7>51zl2645=:8oh7p`=9ef;>5<6sg;9=>4=1df8yk4>lm31<7?tn0027?46ml1vb?7kd`83>4}i9;;86??jf:m6201964`73td95ijl:182k759:09=k?4}o0:`ab=83;pb<<>3;02b7=zf;3ohh4?:3ym57742;;m?6sa28fgb?6=9rd:><=520d7?xh51mo;6=4>{o3156<59o?0qc<6dd394?7|f88:?7<>f79~j7?cm;0;677a?2we>4jj3;295~h6:891>{i:0nn;7>52zl2645=:8lh7p`=9eg;>5<6sg;9=>4=1gf8yk4>ll31<7?tn0027?46nl1vb?7ke`83>4}i9;;86??if:m6201967673td95ikl:182k759:09>=?4}o0:``b=83;pb<<>3;0147=zf;3oih4?:0ym57742;8;?6sa28ffb?6=9rd:><=52327?xh51ml;6=4={o3156<5:9?0qc<6dg394?7|f88:?7<=079~j7?cn;0;6747?2we>4ji3;295~h6:891>?>7;|l1=a`3290:wc?=128165?{i:0nm;7>51zl2645=:;:h7p`=9ed;>5<6sg;9=>4=21f8yk4>lo31<74}i9;;86?201967773td95ihl:182k759:09>3;0157=zf;3ojh4?:0ym57742;8:?6sa28feb?6=9rd:><=52337?xh51l:;6=4>{o3156<5:8?0qc<6e1394?7|f88:?7<=179~j7?b8;0;6?ua1330>746?2we>4k?3;295~h6:891>??7;|l1=`63290:wc?=128164?{i:0o;;7>51zl2645=:;;h7p`=9d2;>5<6sg;9=>4=20f8yk4>m931<7?tn0027?459l1vb?7j0`83>4}i9;;86?<>f:m6201967473td95h>l:182k759:09>??4}o0:a5b=83;pb<<>3;0167=zf;3n<=52307?xh51l;;6=4>{o3156<5:;?0qc<6e0394?7|f88:?7<=279~j7?b9;0;6745?2we>4k>3;295~h6:891>?<7;|l1=`73290:wc?=128167?l5rn3;f53<728qe=??<:301f>{i:0o:;7>51zl2645=:;8h7p`=9d3;>5<6sg;9=>4=23f8yk4>m831<7?tn0027?45:l1vb?7j1`83>7}i9;;86?<=f:m6201967573td95h?l:182k759:09>>?4}o0:a4b=83;pb<<>3;0177=zf;3n=h4?:0ym57742;88?6sa28g2b?6=9rd:><=52317?xh51l8;6=4>{o3156<5::?0qc<6e3394?7|f88:?7<=379~j7?b:;0;6?ua1330>744?2we>4k=3;295~h6:891>?=7;|l1=`43290:wc?=128166?{i:0o9;7>51zl2645=:;9h7p`=9d0;>5<6sg;9=>4=22f8yk4>m;31<7?tn0027?45;l1vb?7j2`83>4}i9;;86?<201967273td95h9?4}o0:a7b=83;pb<<>3;0107=zf;3n>h4?:0ym57742;8??6sa28g1b?6=9rd:><=52367?xh51l9;6=4>{o3156<5:=?0qc<6e2394?7|f88:?7<=479~j7?b;;0;6743?2we>4k<3;295~h6:891>?:7;|l1=`53290:wc?=128161?;50;3xj446;3898l5rn3;f73<728qe=??<:307f>{i:0o8;7>51zl2645=:;>h7p`=9d1;>5<6sg;9=>4=25f8yk4>m:31<7?tn0027?454}i9;;86?<;f:m6201967373td95h=l:182k759:09>8?4}o0:a6b=838pb<<>3;0117=zf;3n?h4?:0ym57742;8>?6sa28g0b?6=9rd:><=52377?xh51l>;6=4>{o3156<5:742?2we>4k;3;295~h6:891>?;7;|l1=`23290:wc?=128160?{i:0o?;7>51zl2645=:;?h7p`=9d6;>5<6sg;9=>4=24f8yk4>m=31<7?tn0027?45=l1vb?7j4`83>4}i9;;86?<:f:m6201967073td95h:l:182k759:09>;?4}o0:a1b=83;pb<<>3;0127=zf;3n8h4?:0ym57742;8=?6sa28g7b?6=9rd:><=52347?xh51l?;6=4>{o3156<5:??0qc<6e4394?7|f88:?7<=679~j7?b=;0;6741?2we>4k:3;295~h6:891>?87;|l1=`33290:wc?=128163?{i:0o>;7>51zl2645=:;5<6sg;9=>4=27f8yk4>m<31<7?tn0027?45>l1vb?7j5`83>4}i9;;86?<9f:m6201967173td95h;l:182k759:09>:?4}o0:a0b=83;pb<<>3;0137=zf;3n9h4?:0ym57742;8<=52357?xh51l<;6=4>{o3156<5:>?0qc<6e7394?7|f88:?7<=779~j7?b>;0;6740?2we>4k93;295~h6:891>?97;|l1=`03290:wc?=128162?{i:0o=;7>51zl2645=:;=h7p`=9d4;>5<6sg;9=>4=26f8yk4>m?31<7?tn0027?45?l1vb?7j6`83>4}i9;;86?<8f:m6201967>73td95h8l:182k759:09>5?4}o0:a3b=83;pb<<>3;01<7=zf;3n:h4?:0ym57742;83?6sa28g5b?6=9rd:><=523:7?xh51l=;6=4>{o3156<5:1?0qc<6e6394?7|f88:?7<=879~j7?b?;0;674??2we>4k83;295~h6:891>?67;|l1=`13290:wc?=12816=?{i:0o<;7>51zl2645=:;2h7p`=9d5;>5<6sg;9=>4=29f8yk4>m>31<7?tn0027?450l1vb?7j7`83>4}i9;;86?<7f:m6201967?73td95h9l:182k759:09>4?4}o0:a2b=83;pb<<>3;01=7=zf;3n;h4?:0ym57742;82?6sa28g4b?6=9rd:><=523;7?xh51l2;6=4>{o3156<5:0?0qc<6e9394?7|f88:?7<=979~j7?b0;0;674>?2we>4k73;295~h6:891>?77;|l1=`>3290:wc?=12816{i:0o3;7>51zl2645=:;3h7p`=9d:;>5<6sg;9=>4=28f8yk4>m131<7?tn0027?451l1vb?7j8`83>4}i9;;86?<6f:m6201967g73td95h6l:182k759:09>l?4}o0:a=b=83;pb<<>3;01e7=zf;3n4h4?:0ym57742;8j?6sa28g;b?6=9rd:><=523c7?xh51l3;6=4>{o3156<5:h?0qc<6e8394?7|f88:?7<=a79~j7?b1;0;674f?2we>4k63;295~h6:891>?o7;|l1=`?3290:wc?=12816d?{i:0o2;7>51zl2645=:;kh7p`=9d;;>5<6sg;9=>4=2`f8yk4>m031<7?tn0027?45il1vb?7j9`83>4}i9;;86?j3:1=v`>201967d73td95h7l:182k759:09>o?4}o0:a3;01f7=zf;3n5h4?:0ym57742;8i?6sa28g:b?6=9rd:><=523`7?xh51lk;6=4>{o3156<5:k?0qc<6e`394?7|f88:?7<=b79~j7?bi;0;674e?2we>4kn3;295~h6:891>?l7;|l1=`g3290:wc?=12816g?{i:0oj;7>51zl2645=:;hh7p`=9dc;>5<6sg;9=>4=2cf8yk4>mh31<7?tn0027?45jl1vb?7ja`83>4}i9;;86?201967e73td95hol:182k759:09>n?4}o0:adb=83;pb<<>3;01g7=zf;3nmh4?:0ym57742;8h?6sa28gbb?6=9rd:><=523a7?xh51lh;6=4>{o3156<5:j?0qc<6ec394?7|f88:?7<=c79~j7?bj;0;674d?2we>4km3;295~h6:891>?m7;|l1=`d3290:wc?=12816f?{i:0oi;7>51zl2645=:;ih7p`=9d`;>5<6sg;9=>4=2bf8yk4>mk31<7?tn0027?45kl1vb?7jb`83>4}i9;;86?201967b73td95hll:182k759:09>i?4}o0:agb=83;pb<<>3;01`7=zf;3nnh4?:0ym57742;8o?6sa28gab?6=9rd:><=523f7?xh51li;6=4>{o3156<5:m?0qc<6eb394?7|f88:?7<=d79~j7?bk;0;674c?2we>4kl3;295~h6:891>?j7;|l1=`e3290:wc?=12816a?{i:0oh;7>51zl2645=:;nh7p`=9da;>5<6sg;9=>4=2ef8yk4>mj31<7?tn0027?45ll1vb?7jc`83>4}i9;;86?201967c73td95hml:182k759:09>h?4}o0:afb=83;pb<<>3;01a7=zf;3noh4?:0ym57742;8n?6sa28g`b?6=9rd:><=523g7?xh51ln;6=4>{o3156<5:l?0qc<6ee394?7|f88:?7<=e79~j7?bl;0;674b?2we>4kk3;295~h6:891>?k7;|l1=`b3290:wc?=12816`?{i:0oo;7>51zl2645=:;oh7p`=9df;>5<6sg;9=>4=2df8yk4>mm31<7?tn0027?45ml1vb?7jd`83>4}i9;;86?201967`73td95hjl:182k759:09>k?4}o0:aab=83;pb<<>3;01b7=zf;3nhh4?:0ym57742;8m?6sa28ggb?6=9rd:><=523d7?xh51lo;6=4>{o3156<5:o?0qc<6ed394?7|f88:?7<=f79~j7?bm;0;674a?2we>4kj3;295~h6:891>?h7;|l1=`c3290:wc?=12816c?{i:0on;7>51zl2645=:;lh7p`=9dg;>5<6sg;9=>4=2gf8yk4>ml31<7?tn0027?45nl1vb?7je`83>4}i9;;86?201966673td95hkl:182k759:09?=?4}o0:a`b=83;pb<<>3;0047=zf;3nih4?:0ym57742;9;?6sa28gfb?6=9rd:><=52227?xh51ll;6=4>{o3156<5;9?0qc<6eg394?7|f88:?7<<079~j7?bn;0;6757?2we>4ki3;295~h6:891>>>7;|l1=``3290:wc?=128175?{i:0om;7>51zl2645=:::h7p`=9dd;>5<6sg;9=>4=31f8yk4>mo31<7?tn0027?448l1vb?7jf`83>4}i9;;86?=?f:m6201966773td95hhl:182k759:09?3;0057=zf;3njh4?:0ym57742;9:?6sa28geb?6=9rd:><=52237?xh51o:;6=4>{o3156<5;8?0qc<6f1394?7|f88:?7<<179~j7?a8;0;6756?2we>4h?3;295~h6:891>>?7;|l1=c63290:wc?=128174?{i:0l;;7>51zl2645=::;h7p`=9g2;>5<6sg;9=>4=30f8yk4>n931<7?tn0027?449l1vb?7i0`83>4}i9;;86?=>f:m6<`7j3:1=v`>201966473td95k>l:182k759:09???4}o0:b5b=83;pb<<>3;0067=zf;3m<=52207?xh51o;;6=4>{o3156<5;;?0qc<6f0394?7|f88:?7<<279~j7?a9;0;6755?2we>4h>3;295~h6:891>><7;|l1=c73290:wc?=128177?l5rn3;e53<728qe=??<:311f>{i:0l:;7>51zl2645=::8h7p`=9g3;>5<6sg;9=>4=33f8yk4>n831<7?tn0027?44:l1vb?7i1`83>4}i9;;86?==f:m6<`6j3:1=v`>201966573td95k?l:182k759:09?>?4}o0:b4b=83;pb<<>3;0077=zf;3m=h4?:0ym57742;98?6sa28d2b?6=9rd:><=52217?xh51o8;6=4>{o3156<5;:?0qc<6f3394?7|f88:?7<<379~j7?a:;0;6754?2we>4h=3;295~h6:891>>=7;|l1=c43290:wc?=128176?{i:0l9;7>51zl2645=::9h7p`=9g0;>5<6sg;9=>4=32f8yk4>n;31<7?tn0027?44;l1vb?7i2`83>4}i9;;86?=201966273td95k3;0007=zf;3m>h4?:0ym57742;9??6sa28d1b?6=9rd:><=52267?xh51o9;6=4>{o3156<5;=?0qc<6f2394?7|f88:?7<<479~j7?a;;0;6753?2we>4h<3;295~h6:891>>:7;|l1=c53290:wc?=128171?;50;3xj446;3888l5rn3;e73<728qe=??<:317f>{i:0l8;7>51zl2645=::>h7p`=9g1;>5<6sg;9=>4=35f8yk4>n:31<7?tn0027?444}i9;;86?=;f:m6<`4j3:1=v`>201966373td95k=l:182k759:09?8?4}o0:b6b=83;pb<<>3;0017=zf;3m?h4?:0ym57742;9>?6sa28d0b?6=9rd:><=52277?xh51o>;6=4>{o3156<5;752?2we>4h;3;295~h6:891>>;7;|l1=c23290:wc?=128170?{i:0l?;7>51zl2645=::?h7p`=9g6;>5<6sg;9=>4=34f8yk4>n=31<7?tn0027?44=l1vb?7i4`83>4}i9;;86?=:f:m6<`3j3:1=v`>201966073td95k:l:182k759:09?;?4}o0:b1b=83;pb<<>3;0027=zf;3m8h4?:0ym57742;9=?6sa28d7b?6=9rd:><=52247?xh51o?;6=4>{o3156<5;??0qc<6f4394?7|f88:?7<<679~j7?a=;0;6751?2we>4h:3;295~h6:891>>87;|l1=c33290:wc?=128173?{i:0l>;7>51zl2645=::5<6sg;9=>4=37f8yk4>n<31<7?tn0027?44>l1vb?7i5`83>4}i9;;86?=9f:m6<`2j3:1=v`>201966173td95k;l:182k759:09?:?4}o0:b0b=83;pb<<>3;0037=zf;3m9h4?:0ym57742;9<=52257?xh51o<;6=4>{o3156<5;>?0qc<6f7394?7|f88:?7<<779~j7?a>;0;6750?2we>4h93;295~h6:891>>97;|l1=c03290:wc?=128172?{i:0l=;7>51zl2645=::=h7p`=9g4;>5<6sg;9=>4=36f8yk4>n?31<7?tn0027?44?l1vb?7i6`83>4}i9;;86?=8f:m6<`1j3:1=v`>201966>73td95k8l:182k759:09?5?4}o0:b3b=83;pb<<>3;00<7=zf;3m:h4?:0ym57742;93?6sa28d5b?6=9rd:><=522:7?xh51o=;6=4>{o3156<5;1?0qc<6f6394?7|f88:?7<<879~j7?a?;0;675??2we>4h83;295~h6:891>>67;|l1=c13290:wc?=12817=?{i:0l<;7>51zl2645=::2h7p`=9g5;>5<6sg;9=>4=39f8yk4>n>31<7?tn0027?440l1vb?7i7`83>4}i9;;86?=7f:m6<`0j3:1=v`>201966?73td95k9l:182k759:09?4?4}o0:b2b=83;pb<<>3;00=7=zf;3m;h4?:0ym57742;92?6sa28d4b?6=9rd:><=522;7?xh51o2;6=4>{o3156<5;0?0qc<6f9394?7|f88:?7<<979~j7?a0;0;675>?2we>4h73;295~h6:891>>77;|l1=c>3290:wc?=12817{i:0l3;7>51zl2645=::3h7p`=9g:;>5<6sg;9=>4=38f8yk4>n131<7?tn0027?441l1vb?7i8`83>4}i9;;86?=6f:m6<`?j3:1=v`>201966g73td95k6l:182k759:09?l?4}o0:b=b=83;pb<<>3;00e7=zf;3m4h4?:0ym57742;9j?6sa28d;b?6=9rd:><=522c7?xh51o3;6=4>{o3156<5;h?0qc<6f8394?7|f88:?7<75f?2we>4h63;295~h6:891>>o7;|l1=c?3290:wc?=12817d?{i:0l2;7>51zl2645=::kh7p`=9g;;>5<6sg;9=>4=3`f8yk4>n031<7?tn0027?44il1vb?7i9`83>4}i9;;86?=nf:m6<`>j3:1=v`>201966d73td95k7l:182k759:09?o?4}o0:b3;00f7=zf;3m5h4?:0ym57742;9i?6sa28d:b?6=9rd:><=522`7?xh51ok;6=4>{o3156<5;k?0qc<6f`394?7|f88:?7<75e?2we>4hn3;295~h6:891>>l7;|l1=cg3290:wc?=12817g?{i:0lj;7>51zl2645=::hh7p`=9gc;>5<6sg;9=>4=3cf8yk4>nh31<7?tn0027?44jl1vb?7ia`83>4}i9;;86?=mf:m6<`fj3:1=v`>201966e73td95kol:182k759:09?n?4}o0:bdb=83;pb<<>3;00g7=zf;3mmh4?:0ym57742;9h?6sa28dbb?6=9rd:><=522a7?xh51oh;6=4>{o3156<5;j?0qc<6fc394?7|f88:?7<75d?2we>4hm3;295~h6:891>>m7;|l1=cd3290:wc?=12817f?{i:0li;7>51zl2645=::ih7p`=9g`;>5<6sg;9=>4=3bf8yk4>nk31<7?tn0027?44kl1vb?7ib`83>4}i9;;86?=lf:m6<`ej3:1=v`>201966b73td95kll:182k759:09?i?4}o0:bgb=83;pb<<>3;00`7=zf;3mnh4?:0ym57742;9o?6sa28dab?6=9rd:><=522f7?xh51oi;6=4>{o3156<5;m?0qc<6fb394?7|f88:?7<75c?2we>4hl3;295~h6:891>>j7;|l1=ce3290:wc?=12817a?{i:0lh;7>51zl2645=::nh7p`=9ga;>5<6sg;9=>4=3ef8yk4>nj31<7?tn0027?44ll1vb?7ic`83>4}i9;;86?=kf:m6<`dj3:1=v`>201966c73td95kml:182k759:09?h?4}o0:bfb=83;pb<<>3;00a7=zf;3moh4?:0ym57742;9n?6sa28d`b?6=9rd:><=522g7?xh51on;6=4>{o3156<5;l?0qc<6fe394?7|f88:?7<75b?2we>4hk3;295~h6:891>>k7;|l1=cb3290:wc?=12817`?{i:0lo;7>51zl2645=::oh7p`=9gf;>5<6sg;9=>4=3df8yk4>nm31<7?tn0027?44ml1vb?7id`83>4}i9;;86?=jf:m6<`cj3:1=v`>201966`73td95kjl:182k759:09?k?4}o0:bab=83;pb<<>3;00b7=zf;3mhh4?:0ym57742;9m?6sa28dgb?6=9rd:><=522d7?xh51oo;6=4>{o3156<5;o?0qc<6fd394?7|f88:?7<75a?2we>4hj3;295~h6:891>>h7;|l1=cc3290:wc?=12817c?{i:0ln;7>51zl2645=::lh7p`=9gg;>5<6sg;9=>4=3gf8yk4>nl31<7?tn0027?44nl1vb?7ie`83>4}i9;;86?=if:m6<`bj3:1=v`>201961673td95kkl:182k759:098=?4}o0:b`b=83;pb<<>3;0747=zf;3mih4?:0ym57742;>;?6sa28dfb?6=9rd:><=52527?xh51ol;6=4>{o3156<5<9?0qc<6fg394?7|f88:?7<;079~j7?an;0;6727?2we>4hi3;295~h6:891>9>7;|l1=c`3290:wc?=128105?{i:0lm;7>51zl2645=:=:h7p`=9gd;>5<6sg;9=>4=41f8yk4>no31<7?tn0027?438l1vb?7if`83>4}i9;;86?:?f:m6<`aj3:1=v`>201961773td95khl:182k759:0983;0757=zf;3mjh4?:0ym57742;>:?6sa28deb?6=9rd:><=52537?xh5i9:;6=4>{o3156<5<8?0qc726?2we>l>?3;295~h6:891>9?7;|l1e563290:wc?=128104?{i:h:;;7>51zl2645=:=;h7p`=a12;>5<6sg;9=>4=40f8yk4f8931<7?tn0027?439l1vb?o?0`83>4}i9;;86?:>f:m6d67j3:1=v`>201961473td9m=>l:182k759:098??4}o0b45b=83;pb<<>3;0767=zf;k;9?6sa2`23b?6=9rd:><=52507?xh5i9;;6=4>{o3156<5<;?0qc725?2we>l>>3;295~h6:891>9<7;|l1e573290:wc?=128107?l5rn3c353<728qe=??<:361f>{i:h::;7>51zl2645=:=8h7p`=a13;>5<6sg;9=>4=43f8yk4f8831<7?tn0027?43:l1vb?o?1`83>4}i9;;86?:=f:m6d66j3:1=v`>201961573td9m=?l:182k759:098>?4}o0b44b=83;pb<<>3;0777=zf;k;=h4?:0ym57742;>8?6sa2`22b?6=9rd:><=52517?xh5i98;6=4>{o3156<5<:?0qc724?2we>l>=3;295~h6:891>9=7;|l1e543290:wc?=128106?{i:h:9;7>51zl2645=:=9h7p`=a10;>5<6sg;9=>4=42f8yk4f8;31<7?tn0027?43;l1vb?o?2`83>4}i9;;86?:201961273td9m=3;0707=zf;k;>h4?:0ym57742;>??6sa2`21b?6=9rd:><=52567?xh5i99;6=4>{o3156<5<=?0qc723?2we>l><3;295~h6:891>9:7;|l1e553290:wc?=128101?;50;3xj446;38?8l5rn3c373<728qe=??<:367f>{i:h:8;7>51zl2645=:=>h7p`=a11;>5<6sg;9=>4=45f8yk4f8:31<7?tn0027?434}i9;;86?:;f:m6d64j3:1=v`>201961373td9m==l:182k759:0988?4}o0b46b=83;pb<<>3;0717=zf;k;?h4?:0ym57742;>>?6sa2`20b?6=9rd:><=52577?xh5i9>;6=4>{o3156<5<722?2we>l>;3;295~h6:891>9;7;|l1e523290:wc?=128100?{i:h:?;7>51zl2645=:=?h7p`=a16;>5<6sg;9=>4=44f8yk4f8=31<7?tn0027?43=l1vb?o?4`83>4}i9;;86?::f:m6d63j3:1=v`>201961073td9m=:l:182k759:098;?4}o0b41b=83;pb<<>3;0727=zf;k;8h4?:0ym57742;>=?6sa2`27b?6=9rd:><=52547?xh5i9?;6=4>{o3156<5721?2we>l>:3;295~h6:891>987;|l1e533290:wc?=128103?{i:h:>;7>51zl2645=:=5<6sg;9=>4=47f8yk4f8<31<7?tn0027?43>l1vb?o?5`83>4}i9;;86?:9f:m6d62j3:1=v`>201961173td9m=;l:182k759:098:?4}o0b40b=83;pb<<>3;0737=zf;k;9h4?:0ym57742;><=52557?xh5i9<;6=4>{o3156<5<>?0qc;0;6720?2we>l>93;295~h6:891>997;|l1e503290:wc?=128102?{i:h:=;7>51zl2645=:==h7p`=a14;>5<6sg;9=>4=46f8yk4f8?31<7?tn0027?43?l1vb?o?6`83>4}i9;;86?:8f:m6d61j3:1=v`>201961>73td9m=8l:182k759:0985?4}o0b43b=83;pb<<>3;07<7=zf;k;:h4?:0ym57742;>3?6sa2`25b?6=9rd:><=525:7?xh5i9=;6=4>{o3156<5<1?0qc72??2we>l>83;295~h6:891>967;|l1e513290:wc?=12810=?{i:h:<;7>51zl2645=:=2h7p`=a15;>5<6sg;9=>4=49f8yk4f8>31<7?tn0027?430l1vb?o?7`83>4}i9;;86?:7f:m6d60j3:1=v`>201961?73td9m=9l:182k759:0984?4}o0b42b=83;pb<<>3;07=7=zf;k;;h4?:0ym57742;>2?6sa2`24b?6=9rd:><=525;7?xh5i92;6=4>{o3156<5<0?0qc72>?2we>l>73;295~h6:891>977;|l1e5>3290:wc?=12810{i:h:3;7>51zl2645=:=3h7p`=a1:;>5<6sg;9=>4=48f8yk4f8131<7?tn0027?431l1vb?o?8`83>4}i9;;86?:6f:m6d6?j3:1=v`>201961g73td9m=6l:182k759:098l?4}o0b4=b=83;pb<<>3;07e7=zf;k;4h4?:0ym57742;>j?6sa2`2;b?6=9rd:><=525c7?xh5i93;6=4>{o3156<572f?2we>l>63;295~h6:891>9o7;|l1e5?3290:wc?=12810d?{i:h:2;7>51zl2645=:=kh7p`=a1;;>5<6sg;9=>4=4`f8yk4f8031<7?tn0027?43il1vb?o?9`83>4}i9;;86?:nf:m6d6>j3:1=v`>201961d73td9m=7l:182k759:098o?4}o0b43;07f7=zf;k;5h4?:0ym57742;>i?6sa2`2:b?6=9rd:><=525`7?xh5i9k;6=4>{o3156<572e?2we>l>n3;295~h6:891>9l7;|l1e5g3290:wc?=12810g?{i:h:j;7>51zl2645=:=hh7p`=a1c;>5<6sg;9=>4=4cf8yk4f8h31<7?tn0027?43jl1vb?o?a`83>4}i9;;86?:mf:m6d6fj3:1=v`>201961e73td9m=ol:182k759:098n?4}o0b4db=83;pb<<>3;07g7=zf;k;mh4?:0ym57742;>h?6sa2`2bb?6=9rd:><=525a7?xh5i9h;6=4>{o3156<572d?2we>l>m3;295~h6:891>9m7;|l1e5d3290:wc?=12810f?{i:h:i;7>51zl2645=:=ih7p`=a1`;>5<6sg;9=>4=4bf8yk4f8k31<7?tn0027?43kl1vb?o?b`83>4}i9;;86?:lf:m6d6ej3:1=v`>201961b73td9m=ll:182k759:098i?4}o0b4gb=83;pb<<>3;07`7=zf;k;nh4?:0ym57742;>o?6sa2`2ab?6=9rd:><=525f7?xh5i9i;6=4>{o3156<572c?2we>l>l3;295~h6:891>9j7;|l1e5e3290:wc?=12810a?{i:h:h;7>51zl2645=:=nh7p`=a1a;>5<6sg;9=>4=4ef8yk4f8j31<7?tn0027?43ll1vb?o?c`83>4}i9;;86?:kf:m6d6dj3:1=v`>201961c73td9m=ml:182k759:098h?4}o0b4fb=83;pb<<>3;07a7=zf;k;oh4?:0ym57742;>n?6sa2`2`b?6=9rd:><=525g7?xh5i9n;6=4>{o3156<572b?2we>l>k3;295~h6:891>9k7;|l1e5b3290:wc?=12810`?{i:h:o;7>51zl2645=:=oh7p`=a1f;>5<6sg;9=>4=4df8yk4f8m31<7?tn0027?43ml1vb?o?d`83>4}i9;;86?:jf:m6d6cj3:1=v`>201961`73td9m=jl:182k759:098k?4}o0b4ab=83;pb<<>3;07b7=zf;k;hh4?:0ym57742;>m?6sa2`2gb?6=9rd:><=525d7?xh5i9o;6=4>{o3156<572a?2we>l>j3;295~h6:891>9h7;|l1e5c3290:wc?=12810c?{i:h:n;7>51zl2645=:=lh7p`=a1g;>5<6sg;9=>4=4gf8yk4f8l31<7?tn0027?43nl1vb?o?e`83>4}i9;;86?:if:m6d6bj3:1=v`>201960673td9m=kl:182k759:099=?4}o0b4`b=83;pb<<>3;0647=zf;k;ih4?:0ym57742;?;?6sa2`2fb?6=9rd:><=52427?xh5i9l;6=4>{o3156<5=9?0qc737?2we>l>i3;295~h6:891>8>7;|l1e5`3290:wc?=128115?{i:h:m;7>51zl2645=:<:h7p`=a1d;>5<6sg;9=>4=51f8yk4f8o31<7?tn0027?428l1vb?o?f`83>4}i9;;86?;?f:m6d6aj3:1=v`>201960773td9m=hl:182k759:0993;0657=zf;k;jh4?:0ym57742;?:?6sa2`2eb?6=9rd:><=52437?xh5i8:;6=4>{o3156<5=8?0qc736?2we>l??3;295~h6:891>8?7;|l1e463290:wc?=128114?=l5rn3c243<728qe=??<:372f>{i:h;;;7>51zl2645=:<;h7p`=a02;>5<6sg;9=>4=50f8yk4f9931<7?tn0027?429l1vb?o>0`83>4}i9;;86?;>f:m6d77j3:1=v`>201960473td9m<>l:182k759:099??4}o0b55b=83;pb<<>3;0667=zf;k:<=52407?xh5i8;;6=4>{o3156<5=;?0qc735?2we>l?>3;295~h6:891>8<7;|l1e473290:wc?=128117?>l5rn3c253<728qe=??<:371f>{i:h;:;7>51zl2645=:<8h7p`=a03;>5<6sg;9=>4=53f8yk4f9831<7?tn0027?42:l1vb?o>1`83>4}i9;;86?;=f:m6d76j3:1=v`>201960573td9m?4}o0b54b=83;pb<<>3;0677=zf;k:=h4?:0ym57742;?8?6sa2`32b?6=9rd:><=52417?xh5i88;6=4>{o3156<5=:?0qc734?2we>l?=3;295~h6:891>8=7;|l1e443290:wc?=128116??l5rn3c263<728qe=??<:370f>{i:h;9;7>51zl2645=:<9h7p`=a00;>5<6sg;9=>4=52f8yk4f9;31<7?tn0027?42;l1vb?o>2`83>4}i9;;86?;201960273td9m<3;0607=zf;k:>h4?:0ym57742;???6sa2`31b?6=9rd:><=52467?xh5i89;6=4>{o3156<5==?0qc733?2we>l?<3;295~h6:891>8:7;|l1e453290:wc?=128111?;50;3xj446;38>8l5rn3c273<728qe=??<:377f>{i:h;8;7>51zl2645=:<>h7p`=a01;>5<6sg;9=>4=55f8yk4f9:31<7?tn0027?423`83>4}i9;;86?;;f:m6d74j3:1=v`>201960373td9m<=l:182k759:0998?4}o0b56b=83;pb<<>3;0617=zf;k:?h4?:0ym57742;?>?6sa2`30b?6=9rd:><=52477?xh5i8>;6=4>{o3156<5=732?2we>l?;3;295~h6:891>8;7;|l1e423290:wc?=128110?9l5rn3c203<728qe=??<:376f>{i:h;?;7>51zl2645=:5<6sg;9=>4=54f8yk4f9=31<7?tn0027?42=l1vb?o>4`83>4}i9;;86?;:f:m6d73j3:1=v`>201960073td9m<:l:182k759:099;?4}o0b51b=83;pb<<>3;0627=zf;k:8h4?:0ym57742;?=?6sa2`37b?6=9rd:><=52447?xh5i8?;6=4>{o3156<5=??0qc731?2we>l?:3;295~h6:891>887;|l1e433290:wc?=128113?:l5rn3c213<728qe=??<:375f>{i:h;>;7>51zl2645=:<5<6sg;9=>4=57f8yk4f9<31<7?tn0027?42>l1vb?o>5`83>4}i9;;86?;9f:m6d72j3:1=v`>201960173td9m<;l:182k759:099:?4}o0b50b=83;pb<<>3;0637=zf;k:9h4?:0ym57742;?<=52457?xh5i8<;6=4>{o3156<5=>?0qc;0;6730?2we>l?93;295~h6:891>897;|l1e403290:wc?=128112?;l5rn3c223<728qe=??<:374f>{i:h;=;7>51zl2645=:<=h7p`=a04;>5<6sg;9=>4=56f8yk4f9?31<7?tn0027?42?l1vb?o>6`83>4}i9;;86?;8f:m6d71j3:1=v`>201960>73td9m<8l:182k759:0995?4}o0b53b=83;pb<<>3;06<7=zf;k::h4?:0ym57742;?3?6sa2`35b?6=9rd:><=524:7?xh5i8=;6=4>{o3156<5=1?0qc73??2we>l?83;295~h6:891>867;|l1e413290:wc?=12811=?4l5rn3c233<728qe=??<:37;f>{i:h;<;7>51zl2645=:<2h7p`=a05;>5<6sg;9=>4=59f8yk4f9>31<7?tn0027?420l1vb?o>7`83>4}i9;;86?;7f:m6d70j3:1=v`>201960?73td9m<9l:182k759:0994?4}o0b52b=83;pb<<>3;06=7=zf;k:;h4?:0ym57742;?2?6sa2`34b?6=9rd:><=524;7?xh5i82;6=4>{o3156<5=0?0qc73>?2we>l?73;295~h6:891>877;|l1e4>3290:wc?=128115l5rn3c2<3<728qe=??<:37:f>{i:h;3;7>51zl2645=:<3h7p`=a0:;>5<6sg;9=>4=58f8yk4f9131<7?tn0027?421l1vb?o>8`83>4}i9;;86?;6f:m6d7?j3:1=v`>201960g73td9m<6l:182k759:099l?4}o0b5=b=83;pb<<>3;06e7=zf;k:4h4?:0ym57742;?j?6sa2`3;b?6=9rd:><=524c7?xh5i83;6=4>{o3156<5=h?0qc73f?2we>l?63;295~h6:891>8o7;|l1e4?3290:wc?=12811d?ml5rn3c2=3<728qe=??<:37bf>{i:h;2;7>51zl2645=:5<6sg;9=>4=5`f8yk4f9031<7?tn0027?42il1vb?o>9`83>4}i9;;86?;nf:m6d7>j3:1=v`>201960d73td9m<7l:182k759:099o?4}o0b53;06f7=zf;k:5h4?:0ym57742;?i?6sa2`3:b?6=9rd:><=524`7?xh5i8k;6=4>{o3156<5=k?0qc73e?2we>l?n3;295~h6:891>8l7;|l1e4g3290:wc?=12811g?nl5rn3c2e3<728qe=??<:37af>{i:h;j;7>51zl2645=:5<6sg;9=>4=5cf8yk4f9h31<7?tn0027?42jl1vb?o>a`83>4}i9;;86?;mf:m6d7fj3:1=v`>201960e73td9m3;06g7=zf;k:mh4?:0ym57742;?h?6sa2`3bb?6=9rd:><=524a7?xh5i8h;6=4>{o3156<5=j?0qc73d?2we>l?m3;295~h6:891>8m7;|l1e4d3290:wc?=12811f?ol5rn3c2f3<728qe=??<:37`f>{i:h;i;7>51zl2645=:5<6sg;9=>4=5bf8yk4f9k31<7?tn0027?42kl1vb?o>b`83>4}i9;;86?;lf:m6d7ej3:1=v`>201960b73td9m3;06`7=zf;k:nh4?:0ym57742;?o?6sa2`3ab?6=9rd:><=524f7?xh5i8i;6=4>{o3156<5=m?0qc73c?2we>l?l3;295~h6:891>8j7;|l1e4e3290:wc?=12811a?hl5rn3c2g3<728qe=??<:37gf>{i:h;h;7>51zl2645=:5<6sg;9=>4=5ef8yk4f9j31<7?tn0027?42ll1vb?o>c`83>4}i9;;86?;kf:m6d7dj3:1=v`>201960c73td9m3;06a7=zf;k:oh4?:0ym57742;?n?6sa2`3`b?6=9rd:><=524g7?xh5i8n;6=4>{o3156<5=l?0qc73b?2we>l?k3;295~h6:891>8k7;|l1e4b3290:wc?=12811`?il5rn3c2`3<728qe=??<:37ff>{i:h;o;7>51zl2645=:5<6sg;9=>4=5df8yk4f9m31<7?tn0027?42ml1vb?o>d`83>4}i9;;86?;jf:m6d7cj3:1=v`>201960`73td9m3;06b7=zf;k:hh4?:0ym57742;?m?6sa2`3gb?6=9rd:><=524d7?xh5i8o;6=4>{o3156<5=o?0qc73a?2we>l?j3;295~h6:891>8h7;|l1e4c3290:wc?=12811c?jl5rn3c2a3<728qe=??<:37ef>{i:h;n;7>51zl2645=:5<6sg;9=>4=5gf8yk4f9l31<7?tn0027?42nl1vb?o>e`83>4}i9;;86?;if:m6d7bj3:1=v`>201963673td9m3;0547=zf;k:ih4?:0ym57742;<;?6sa2`3fb?6=9rd:><=52727?xh5i8l;6=4>{o3156<5>9?0qc707?2we>l?i3;295~h6:891>;>7;|l1e4`3290:wc?=128125?{i:h;m;7>51zl2645=:?:h7p`=a0d;>5<6sg;9=>4=61f8yk4f9o31<7?tn0027?418l1vb?o>f`83>4}i9;;86?8?f:m6d7aj3:1=v`>201963773td9m3;0557=zf;k:jh4?:0ym57742;<:?6sa2`3eb?6=9rd:><=52737?xh5i;:;6=4>{o3156<5>8?0qc706?2we>l;?7;|l1e763290:wc?=128124?=;50;3xj446;38==l5rn3c143<728qe=??<:342f>{i:h8;;7>51zl2645=:?;h7p`=a32;>5<6sg;9=>4=60f8yk4f:931<7?tn0027?419l1vb?o=0`83>4}i9;;86?8>f:m6d47j3:1=v`>201963473td9m?>l:182k759:09:??4}o0b65b=83;pb<<>3;0567=zf;k9<=52707?xh5i;;;6=4>{o3156<5>;?0qc705?2we>l<>3;295~h6:891>;<7;|l1e773290:wc?=128127?<;50;3xj446;38=>l5rn3c153<728qe=??<:341f>{i:h8:;7>51zl2645=:?8h7p`=a33;>5<6sg;9=>4=63f8yk4f:831<7?tn0027?41:l1vb?o=1`83>4}i9;;86?8=f:m6d46j3:1=v`>201963573td9m??l:182k759:09:>?4}o0b64b=83;pb<<>3;0577=zf;k9=h4?:0ym57742;<8?6sa2`02b?6=9rd:><=52717?xh5i;8;6=4>{o3156<5>:?0qc704?2we>l<=3;295~h6:891>;=7;|l1e743290:wc?=128126??;50;3xj446;38=?l5rn3c163<728qe=??<:340f>{i:h89;7>51zl2645=:?9h7p`=a30;>5<6sg;9=>4=62f8yk4f:;31<7?tn0027?41;l1vb?o=2`83>4}i9;;86?8201963273td9m?3;0507=zf;k9>h4?:0ym57742;<=52767?xh5i;9;6=4>{o3156<5>=?0qc703?2we>l<<3;295~h6:891>;:7;|l1e753290:wc?=128121?>;50;3xj446;38=8l5rn3c173<728qe=??<:347f>{i:h88;7>51zl2645=:?>h7p`=a31;>5<6sg;9=>4=65f8yk4f::31<7?tn0027?414}i9;;86?8;f:m6d44j3:1=v`>201963373td9m?=l:182k759:09:8?4}o0b66b=83;pb<<>3;0517=zf;k9?h4?:0ym57742;<>?6sa2`00b?6=9rd:><=52777?xh5i;>;6=4>{o3156<5>702?2we>l<;3;295~h6:891>;;7;|l1e723290:wc?=128120?9;50;3xj446;38=9l5rn3c103<728qe=??<:346f>{i:h8?;7>51zl2645=:??h7p`=a36;>5<6sg;9=>4=64f8yk4f:=31<7?tn0027?41=l1vb?o=4`83>4}i9;;86?8:f:m6d43j3:1=v`>201963073td9m?:l:182k759:09:;?4}o0b61b=83;pb<<>3;0527=zf;k98h4?:0ym57742;<=?6sa2`07b?6=9rd:><=52747?xh5i;?;6=4>{o3156<5>??0qc701?2we>l<:3;295~h6:891>;87;|l1e733290:wc?=128123?8;50;3xj446;38=:l5rn3c113<728qe=??<:345f>{i:h8>;7>51zl2645=:?5<6sg;9=>4=67f8yk4f:<31<7?tn0027?41>l1vb?o=5`83>4}i9;;86?89f:m6d42j3:1=v`>201963173td9m?;l:182k759:09::?4}o0b60b=83;pb<<>3;0537=zf;k99h4?:0ym57742;<<=52757?xh5i;<;6=4>{o3156<5>>?0qc;0;6700?2we>l<93;295~h6:891>;97;|l1e703290:wc?=128122?;;50;3xj446;38=;l5rn3c123<728qe=??<:344f>{i:h8=;7>51zl2645=:?=h7p`=a34;>5<6sg;9=>4=66f8yk4f:?31<7?tn0027?41?l1vb?o=6`83>4}i9;;86?88f:m6d41j3:1=v`>201963>73td9m?8l:182k759:09:5?4}o0b63b=83;pb<<>3;05<7=zf;k9:h4?:0ym57742;<3?6sa2`05b?6=9rd:><=527:7?xh5i;=;6=4>{o3156<5>1?0qc70??2we>l<83;295~h6:891>;67;|l1e713290:wc?=12812=?:;50;3xj446;38=4l5rn3c133<728qe=??<:34;f>{i:h8<;7>51zl2645=:?2h7p`=a35;>5<6sg;9=>4=69f8yk4f:>31<7?tn0027?410l1vb?o=7`83>4}i9;;86?87f:m6d40j3:1=v`>201963?73td9m?9l:182k759:09:4?4}o0b62b=83;pb<<>3;05=7=zf;k9;h4?:0ym57742;<2?6sa2`04b?6=9rd:><=527;7?xh5i;2;6=4>{o3156<5>0?0qc70>?2we>l<73;295~h6:891>;77;|l1e7>3290:wc?=128125;50;3xj446;38=5l5rn3c1<3<728qe=??<:34:f>{i:h83;7>51zl2645=:?3h7p`=a3:;>5<6sg;9=>4=68f8yk4f:131<7?tn0027?411l1vb?o=8`83>4}i9;;86?86f:m6d4?j3:1=v`>201963g73td9m?6l:182k759:09:l?4}o0b6=b=83;pb<<>3;05e7=zf;k94h4?:0ym57742;<=527c7?xh5i;3;6=4>{o3156<5>h?0qc70f?2we>l<63;295~h6:891>;o7;|l1e7?3290:wc?=12812d?4;50;3xj446;38=ml5rn3c1=3<728qe=??<:34bf>{i:h82;7>51zl2645=:?kh7p`=a3;;>5<6sg;9=>4=6`f8yk4f:031<7?tn0027?41il1vb?o=9`83>4}i9;;86?8nf:m6d4>j3:1=v`>201963d73td9m?7l:182k759:09:o?4}o0b63;05f7=zf;k95h4?:0ym57742;<=527`7?xh5i;k;6=4>{o3156<5>k?0qc70e?2we>l;l7;|l1e7g3290:wc?=12812g?l;50;3xj446;38=nl5rn3c1e3<728qe=??<:34af>{i:h8j;7>51zl2645=:?hh7p`=a3c;>5<6sg;9=>4=6cf8yk4f:h31<7?tn0027?41jl1vb?o=a`83>4}i9;;86?8mf:m6d4fj3:1=v`>201963e73td9m?ol:182k759:09:n?4}o0b6db=83;pb<<>3;05g7=zf;k9mh4?:0ym57742;<=527a7?xh5i;h;6=4>{o3156<5>j?0qc70d?2we>l;m7;|l1e7d3290:wc?=12812f?o;50;3xj446;38=ol5rn3c1f3<728qe=??<:34`f>{i:h8i;7>51zl2645=:?ih7p`=a3`;>5<6sg;9=>4=6bf8yk4f:k31<7?tn0027?41kl1vb?o=b`83>4}i9;;86?8lf:m6d4ej3:1=v`>201963b73td9m?ll:182k759:09:i?4}o0b6gb=83;pb<<>3;05`7=zf;k9nh4?:0ym57742;<=527f7?xh5i;i;6=4>{o3156<5>m?0qc70c?2we>l;j7;|l1e7e3290:wc?=12812a?n;50;3xj446;38=hl5rn3c1g3<728qe=??<:34gf>{i:h8h;7>51zl2645=:?nh7p`=a3a;>5<6sg;9=>4=6ef8yk4f:j31<7?tn0027?41ll1vb?o=c`83>4}i9;;86?8kf:m6d4dj3:1=v`>201963c73td9m?ml:182k759:09:h?4}o0b6fb=83;pb<<>3;05a7=zf;k9oh4?:0ym57742;<=527g7?xh5i;n;6=4>{o3156<5>l?0qc70b?2we>l;k7;|l1e7b3290:wc?=12812`?i;50;3xj446;38=il5rn3c1`3<728qe=??<:34ff>{i:h8o;7>51zl2645=:?oh7p`=a3f;>5<6sg;9=>4=6df8yk4f:m31<7?tn0027?41ml1vb?o=d`83>4}i9;;86?8jf:m6d4cj3:1=v`>201963`73td9m?jl:182k759:09:k?4}o0b6ab=83;pb<<>3;05b7=zf;k9hh4?:0ym57742;<=527d7?xh5i;o;6=4>{o3156<5>o?0qc70a?2we>l;h7;|l1e7c3290:wc?=12812c?h;50;3xj446;38=jl5rn3c1a3<728qe=??<:34ef>{i:h8n;7>51zl2645=:?lh7p`=a3g;>5<6sg;9=>4=6gf8yk4f:l31<7?tn0027?41nl1vb?o=e`83>4}i9;;86?8if:m6d4bj3:1=v`>201962673td9m?kl:182k759:09;=?4}o0b6`b=83;pb<<>3;0447=zf;k9ih4?:0ym57742;=;?6sa2`0fb?6=9rd:><=52627?xh5i;l;6=4>{o3156<5?9?0qc717?2we>l:>7;|l1e7`3290:wc?=128135?k;50;3xj446;38<{i:h8m;7>51zl2645=:>:h7p`=a3d;>5<6sg;9=>4=71f8yk4f:o31<7?tn0027?408l1vb?o=f`83>4}i9;;86?9?f:m6d4aj3:1=v`>201962773td9m?hl:182k759:09;3;0457=zf;k9jh4?:0ym57742;=:?6sa2`0eb?6=9rd:><=52637?xh5i::;6=4>{o3156<5?8?0qc716?2we>l=?3;295~h6:891>:?7;|l1e663290:wc?=128134?;h7p`=7821>5<6sg;;>44?;o3156<5?8n0qc<891194?7|f8:957>4n0027?409l1vb?960583>4}i99826=5a1330>716n2we>:7?5;295~h68;31<6`>201962473td9;4>9:182k77:00;7c?=12813773;0467=zf;=2<54?:0ym554>291e=??<:3517>{i:>3;57>51zl247?=82d:><=52607?xh5?0:j6=4>{o336<<73g;9=>4=7378yk4019h1<7?tn021=?68j0;65=i9;;86?9=7:m62?7l3:1=v`>03;94>h6:891>:<7;|l13<6b290:wc??2883?k759:09;?74}o04=5`=83;pb<>=9;28j446;38<>l5rn35:55<728qe==<6:19m57742;=9n6sa26;25?6=9rd:8h7p`=7c31>5<6sg;;>44?;o3156<5?;n0qc<8b0194?7|f8:957>4n0027?40:l1vb?9m1583>4}i99826=5a1330>715n2we>:l>5;295~h68;31<6`>201962573td9;o?9:182k77:00;7c?=12813673;0477=zf;=i=54?:0ym554>291e=??<:3507>{i:>h:57>51zl247?=82d:><=52617?xh5?k;j6=4>{o336<<73g;9=>4=7278yk40j8h1<7?tn021=?65=i9;;86?9<7:m62d6l3:1=v`>03;94>h6:891>:=7;|l13g7b290:wc??2883?k759:09;>74}o04f4`=83;pb<>=9;28j446;389h7p`=7c01>5<6sg;;>44?;o3156<5?:n0qc<8b3194?7|f8:957>4n0027?40;l1vb?9m6383>4}i9;;86?93:1?v`>201962273td9m>>8:180k759:09;9?4}o04f`0=83;pb<<>3;0407=zf;=ii:4?:0ym57742;=??6sr}|BCG~4di=i1:;hmbcdgyEFEs;=<:v?=0883>5<528>hi?4>6b1f?x}6:931<7>52;37g`7=9?i8j6st132:>5<72;0:8nk?:04`05=zs88;57>50;0951ecn3;=o9?4}z314<<729096<:ldd822f253tq:>=750;296?73kmn1=;m;3:x576>290;6?4>4bf`>40d<=1vw<j>=7pu>21;94?6=:3;?oi7517a73>{|9;:26=4?:3820fb?2842dl?0::n:n;|y265?=83:1>7?;ce7953e3j2wp=?>6:183>7<65<528>hh?4>6b6f?x}6:931<7>52;37ga7=9?i?j6st132:>5<72;0:8nj?:04`15=zs88;57>50;0951edn3;=o8?4}z314<<729096<:lcd822f353tq:>=750;296?73kjn1=;m:3:x576>290;6?4>4ba`>40d==1vw<j?=7pu>21;94?6=:3;?on7517a63>{|9;:26=4?:3820fe?2842dk?0::n;n;|y265?=83:1>7?;cb7953e2j2wp=?>6:183>7<66<8l5b9~44713:1<7<515g:2?71k5<528>n5:4>6b7f?x}6:931<7>52;37a<>=9?i>j6st132:>5<72;0:8h76:04`25=zs88;57>50;095072i3;=o;?4}z314<<729096<;>58822f053tq:>=750;296?729<21=;m93:x576>290;6?4>5074>40d>=1vw<:7?9c778y~75800;6=4=:072=6<6>j<=7pu>21;94?6=:3;>=4<517a53>{|9;:26=4?:38214?6284360o0::n8n;|y265?=83:1>7?:19g953e1j2wp=?>6:183>7<6=82o6<8l6b9~44713:1<7<5143;g?71k?n0qv?=0883>5<528?:4o4>6b4f?x}6:931<7>52;365=g=9?i=j6st132:>5<72;0:9<66:04`35=zs88;57>50;09507?03;=o:?4}z314<<729096<;>86822f153tq:>=750;296?7291<1=;m83:x576>290;6?4>50:6>40d?=1vw<j==7pu>21;94?6=:3;>=5<517a43>{|9;:26=4?:38214>628436?o0::n9n;|y265?=83:1>7?:45f953e0j2wp=?>6:183>7<6==>n6<8l7b9~44713:1<7<51467b?71k>n0qv?=0883>5<528??9=4>6b5f?x}6:931<7>52;36007=9?i5<72;0:99;=:04`<5=zs88;57>50;095022;3;=o5?4}z314<<729096<;;55822f>53tq:>=750;296?72290;6?4>554e>40d0=1vw<<<7?9c978y~75800;6=4=:07734<6>j2=7pu>21;94?6=:3;>8:<517a;3>{|9;:26=4?:382111428433?<0::n6n;|y265?=83:1>7?:4gg953e?j2wp=?>6:183>7<6=<;86<8l8b9~44713:1<7<51477e?71k1n0qv?=0883>5<528?>m54>6b:f?x}6:931<7>52;361d1=9?i3j6st132:>5<72;0:98o9:04`=5=zs88;57>50;09503f=3;=o4?4}z314<<729096<;:a5822f?53tq:>=750;296?72=h91=;m63:x576>290;6?4>54c1>40d1=1vw<j3=7pu>21;94?6=:3;>94<517a:3>{|9;:26=4?:38210?4284321<0::n7n;|y265?=83:1>7?:584953e>j2wp=?>6:183>7<6=<3<6<8l9b9~44713:1<7<5147:5<528?>544>6b;f?x}6:931<7>52;3615<72;0:95=k:04`e5=zs88;57>50;0950>4m3;=ol?4}z314<<729096<;73g822fg53tq:>=750;296?720=:1=;mn3:x576>290;6?4>5962>40di=1vw<7?9c`78y~75800;6=4=:07;06<6>jk=7pu>21;94?6=:3;>49:517ab3>{|9;:26=4?:3821=g22843?i>0::non;|y265?=83:1>7?:8`:953efj2wp=?>6:183>7<6=1k26<8lab9~44713:1<7<514:be?71khn0qv?=0883>5<528?3mo4>6bcf?x}6:931<7>52;365<72;0:95ok:04`f5=zs88;57>50;0950>fm3;=oo?4}z314<<729096<;7ag822fd53tq:>=750;296?720k:1=;mm3:x576>290;6?4>59`2>40dj=1vw<7?9cc78y~75800;6=4=:07;f6<6>jh=7pu>21;94?6=:3;>4o:517aa3>{|9;:26=4?:3821=d22843?j>0::nln;|y265?=83:1>7?:8c:953eej2wp=?>6:183>7<6=1h26<8lbb9~44713:1<7<514:ae?71kkn0qv?=0883>5<528?3no4>6b`f?x}6:931<7>52;365<72;0:95lk:04`g5=zs88;57>50;0950>em3;=on?4}z314<<729096<;7bg822fe53tq:>=750;296?720j:1=;ml3:x576>290;6?4>59a2>40dk=1vw<7?9cb78y~75800;6=4=:07ef1<6>ji=7pu>21;94?6=:3;>jhl517a`3>{|9;:26=4?:382256728403:j0::nmn;|y265?=83:1>7?943`953edj2wp=?>6:183>7<6>=8j6<8lcb9~44713:1<7<51761=?71kjn0qv?=0883>5<52854>6baf?x}6:931<7>52;35071=9?ihj6st132:>5<72;0::9<9:04``5=zs88;57>50;095307?3;=oi?4}z314<<729096<8924822fb53tq:>=750;296?71>;>1=;mk3:x576>290;6?4>6700>40dl=1vw<7?9ce78y~75800;6=4=:04564<6>jn=7pu>21;94?6=:3;=:?>517ag3>{|9;:26=4?:382237a2840?9l0::njn;|y265?=83:1>7?980f953ecj2wp=?>6:183>7<6>1;h6<8ldb9~44713:1<7<517:2f?71kmn0qv?=0883>5<528<3=l4>6bff?x}6:931<7>52;35<4?=9?ioj6st132:>5<72;0::5?7:04`a5=zs88;57>50;0951eb;3;=oh?4}z314<<729096<:j95822fc53tq:>=750;296?729290;6?4>50;7>40dm=1vw<?o7?9cd78y~75800;6=4=:0772a<6>jo=7pu>21;94?6=:3;>9l7517af3>{|9;:26=4?:38210?62843?i=0::nkn;|y265?=83:1>7?91`;953ebj2wp=?>6:183>7<6>8i96<8leb9~44713:1<7<5173`7?71kln0qv?=0883>5<528>4bce?x}6:9=1<7>52;35b`e=?81vw<7?9fgc9<6=zs88;;7>50;095267932j7pu>21594?6=:3;<<=65909~447?3:1<7<51623b???3tq:>=950;296?7088<15k5r{0033?6=8381=:>>d;c5?x}6:9=1<7>52;34472=im1vw<:9n7l;;|y2651=83:1>7?80209fg=zs88;;7>50;09526413i97pu>21594?6=:3;<<9>5c89~447?3:1<7<516273?b73tq:>=950;296?708=o1h:5r{0033?6=8381=:>:5;ff?x}6:9=1<7>52;3440e=m<1vw<:=?7kl;|y2651=83:1>7?807c9b6=zs88;;7>50;09526093lj7pu>21594?6=:3;<<:651138y~758>0;6=4=:0533c<6811vw<:3:7??f:x5760290;6?4>71:g>4713tq:>=950;296?7080>1=50;09526f:3;9n6st1324>5<72;0:;=o6:011?x}6:9=1<7>52;344g6=9:30qv?=0683>5<528=;n:4>419~447?3:1<7<5162aa?73?2wp=?>8:183>7<6?9i>6<:j;|y2651=83:1>7?80ba9503417l:0:9n5r{0033?6=8381=:>ka;357>{|9;:<6=4?:38235c62821594?6=:3;<0;6=4=:053ac<6?11vw<:m:7?8f:x5760290;6?4>71dg>4>13tq:>=950;296?7099>1=5j4}z3142<729096<9>0c82=1=zs88;;7>50;095276:3;2n6st1324>5<72;0:;52;34576=9h30qv?=0683>5<528=:>:4>b19~447?3:1<7<51631a?7e?2wp=?>8:183>7<6?89>67?812a95f3416<:0:on5r{0033?6=8381=:?;a;3g1>{|9;:<6=4?:382343628nn7pu>21594?6=:3;<=8651d78y~758>0;6=4=:0521c<6mj1vw<;=:7?i3:x5760290;6?4>704g>4`f3tq:>=950;296?709>>1>=?4}z3142<729096<9>7c814==zs88;;7>50;09527?:38;j6st1324>5<72;0:;<66:335?x}6:9=1<7>52;345<6=:8n0qv?=0683>5<528=:5:4=259~447?3:1<7<5163:a?45j2wp=?>8:183>7<6?8k>6?==;|y2651=83:1>7?81`a966?416j:098=5r{0033?6=8381=:?ma;073>{|9;:<6=4?:38234e62;>n7pu>21594?6=:3;<=n652478y~758>0;6=4=:052gc<5=j1vw<;o:7<93:x5760290;6?4>70fg>70f3tq:>=950;296?709l>1>:?4}z3142<729096<9>ec813==zs88;;7>50;09527a:385<72;0:;52;34656=:1n0qv?=0683>5<528=9<:4=959~447?3:1<7<51603a?4>j2wp=?>8:183>7<6?;;>6?o=;|y2651=83:1>7?820a96d?415::09n=5r{0033?6=8381=:<=a;0a3>{|9;:<6=4?:38237562;hn7pu>21594?6=:3;<>>652b58y~758>0;6=4=:0517c<5l91vw<8??7736;>7bf3tq:>=950;296?70:=i1>ik4}z3142<729096<9=5181a6=zs88;;7>50;095242=38n46st1324>5<72;0:;?;6:3g`?x}6:9=1<7>52;3460b=:o;0qv?=0683>5<528=9:?4=f79~447?3:1<7<516052?4ai2wp=?>8:183>7<6?;7?827d9752415?:08<55r{0033?6=8381=:<87;13`>{|9;:<6=4?:382371d2:;97pu>21594?6=:3;<>5>53048y~758>0;6=4=:051<1<49k1vw<8357==0:x5760290;6?4>73:g>6433tq:>=950;296?70:0;1??74}z3142<729096<9=97806`=zs88;;7>50;09524>i398>6st1324>5<72;0:;?7j:214?x}6:9=1<7>52;346d5=;:i0qv?=0683>5<528=9m:4<419~447?3:1<7<5160bf?53=2wp=?>8:183>7<6?;h;6>:n;|y2651=83:1>7?82c6971c415j1089>5r{0033?6=8381=:{|9;:<6=4?:38237e62:?h7pu>21594?6=:3;<>n;53738y~758>0;6=4=:051gd<4>?1vw<8hi7=9a:x5760290;6?4>73f1>60a3tq:>=950;296?70:m=1?::4}z3142<729096<9=dc803==zs88;;7>50;09524cn395<72;0:;?k;:2:1?x}6:9=1<7>52;346`>=;1<0qv?=0683>5<528=9in4<8c9~447?3:1<7<5160e5?5>82wp=?>8:183>7<6?;l>6>7;;|y2651=83:1>7?82g;97415nl085h5r{0033?6=8381=:=?2;1b6>{|9;:<6=4?:38236612:k<7pu>21594?6=:3;0;6=4=:0504c<4j91vw<9:?7=m5:x5760290;6?4>723;>6df3tq:>=950;296?70;8i1?ok4}z3142<729096<9<2180g6=zs88;;7>50;095255=39h46st1324>5<72;0:;><6:2a`?x}6:9=1<7>52;3477b=;m;0qv?=0683>5<528=8??48:183>7<6?:9j6>ji;|y2651=83:1>7?832d97`2414<:08i55r{0033?6=8381=:=;7;1f`>{|9;:<6=4?:382362d2:l97pu>21594?6=:3;53g48y~758>0;6=4=:05011<4nk1vw<9>57:?0:x5760290;6?4>727g>1633tq:>=950;296?70;?;18=74}z3142<729096<9<67874`=zs88;;7>50;095251i3>:>6st1324>5<72;0:;>8j:534?x}6:9=1<7>52;34725=<8i0qv?=0683>5<528=8;:4;219~447?3:1<7<51614f?25=2wp=?>8:183>7<6?:2;697?8396907c414010??>5r{0033?6=8381=:=7d;60<>{|9;:<6=4?:38236?62=9h7pu>21594?6=:3;0;6=4=:050=d<392i7:;a:x5760290;6?4>72c1>12a3tq:>=950;296?70;h=188:4}z3142<729096<950;09525fn3>>h6st1324>5<72;0:;>l;:541?x}6:9=1<7>52;347g>=5<528=8nn4;6c9~447?3:1<7<5161`5?2082wp=?>8:183>7<6?:i>699;;|y2651=83:1>7?83b;902?414kl0?;h5r{0033?6=8381=:=k2;6;6>{|9;:<6=4?:38236b12=2<7pu>21594?6=:3;0;6=4=:050a4<31=1vw<9n47:6d:x5760290;6?4>72ge>1g13tq:>=950;296?70;o<18lh4}z3142<729096<950;095227<3>h=6st1324>5<72;0:;9>m:5ab?x}6:9=1<7>52;34044=5<528=?=44;db9~447?3:1<7<516614?2b=2wp=?>8:183>7<6?=8<69kj;|y2651=83:1>7?843g90c1413;<0><=5r{0033?6=8381=::{|9;:<6=4?:38231242<;97pu>21594?6=:3;<89o550`8y~758>0;6=4=:05714<2:=1vw<>>47;=d:x5760290;6?4>757e>0513tq:>=950;296?70h4}z3142<729096<9;6e860==zs88;;7>50;095220<3?>=6st1324>5<72;0:;99m:47b?x}6:9=1<7>52;340=4==?90qv?=0683>5<528=?444:6b9~447?3:1<7<5166:4?30=2wp=?>8:183>7<6?=3>689m;|y2651=83:1>7?848c91=7413i80>445r{0033?6=8381=::n8;7:4>{|9;:<6=4?:38231ga2<3<7pu>21594?6=:3;<8o8558g8y~758>0;6=4=:057fa<2i<1vw<>h87;nc:x5760290;6?4>75a:>0d53tq:>=950;296?7050;09522ci3?h96st1324>5<72;0:;9ji:4aa?x}6:9=1<7>52;340`2==m;0qv?=0683>5<528=?i44:d69~447?3:1<7<5166fa?3cl2wp=?>8:183>7<6?=l868k<;|y2651=83:1>7?84g:91`?413nm0>ik5r{0033?6=8381=:;?2;7e1>{|9;:<6=4?:3823060221594?6=:3;<9=k56108y~758>0;6=4=:05656<1811vw<?:478?e:x5760290;6?4>743g>3733tq:>=950;296?70=;81:50;095235k3<9:6st1324>5<72;0:;8=<:70g?x}6:9=1<7>52;34160=>::0qv?=0683>5<528=>?449329~447?3:1<7<51670g?04>2wp=?>8:183>7<6?<9m6;=6;|y2651=83:1>7?8550926e412<00=8>5r{0033?6=8381=:;:0;47e>{|9;:<6=4?:38230302??:7pu>21594?6=:3;<98k564:8y~758>0;6=4=:05620<1=o1vw<?=o7896:x5760290;6?4>7450>30c3tq:>=950;296?70=>k1:::4}z3142<729096<9:80853g=zs88;;7>50;09523?03<3>6st1324>5<72;0:;86i:7::?x}6:9=1<7>52;341<0=>0:0qv?=0683>5<528=>5i49969~447?3:1<7<5167b0?0>m2wp=?>8:183>7<6?7?85c092de412j00=n>5r{0033?6=8381=:;l0;4ae>{|9;:<6=4?:38230e02?i:7pu>21594?6=:3;<9nk56b:8y~758>0;6=4=:056`0<1ko1vw<?oo78k6:x5760290;6?4>74g0>3bc3tq:>=950;296?70=lk1:h:4}z3142<729096<9:f085ag=zs88;;7>50;09523a036st1324>5<72;0:;8hi:7d:?x}6:9=1<7>52;34250=?9:0qv?=0683>5<528==8:183>7<6??;i6:?:;|y2651=83:1>7?8630934e411:00<>n5r{0033?6=8381=:8=d;504>{|9;:<6=4?:38233562>9?7pu>21594?6=:3;<:>8572;8y~758>0;6=4=:0557d<0;m1vw<<8j79;2:x5760290;6?4>7760>2213tq:>=950;296?70>=21;9l4}z3142<729096<994b840c=zs88;;7>50;09520293=>86st1324>5<72;0:;;;::67;?x}6:9=1<7>52;3420g=?5<528==9h48609~447?3:1<7<516457?11>2wp=?>8:183>7<6??<<6:8n;|y2651=83:1>7?867a933`411?90<;>5r{0033?6=8381=:885;54<>{|9;:<6=4?:382331>2>=h7pu>21594?6=:3;<::k57938y~758>0;6=4=:055<7<00<1vw<<3;797a:x5760290;6?4>77:a>2>b3tq:>=950;296?70>0:1;4=4}z3142<729096<999584=2=zs88;;7>50;09520>13=2o6st1324>5<72;0:;;7k:6c3?x}6:9=1<7>52;342d4=?h?0qv?=0683>5<528==m;48a89~447?3:1<7<5164bf?1fm2wp=?>8:183>7<6??km6:l=;|y2651=83:1>7?86c693g1411j10{|9;:<6=4?:38233e62>i?7pu>21594?6=:3;<:n857b;8y~758>0;6=4=:055gd<0km1vw<77f0>2b13tq:>=950;296?70>m21;il4}z3142<729096<99db84`c=zs88;;7>50;09520b93=n86st1324>5<72;0:;;k::6g;?x}6:9=1<7>52;342`g=?ln0qv?=0683>5<528==ih48f09~447?3:1<7<5164e7?1a>2wp=?>8:183>7<6??l<6:hn;|y2651=83:1>7?86ga93c`4108903<>5r{0033?6=8381=:9?5;:3<>{|9;:<6=4?:382326>21:h7pu>21594?6=:3;<;=k58038y~758>0;6=4=:05457=:;76>a:x5760290;6?4>763a>=7b3tq:>=950;296?70?;:14?=4}z3142<729096<98258;62=zs88;;7>50;0952151329o6st1324>5<72;0:;:52;34364=0:?0qv?=0683>5<528=4m2wp=?>8:183>7<6?>9m65:=;|y2651=83:1>7?87569<11410{|9;:<6=4?:382323>21<97pu>21594?6=:3;<;;>587`8y~758>0;6=4=:05422==i768d:x5760290;6?4>7656>=>13tq:>=950;296?70?>i145j4}z3142<729096<98828;=1=zs88;;7>50;09521?i322n6st1324>5<72;0:;:7>:9c1?x}6:9=1<7>52;343<>=0h30qv?=0683>5<528=<5k47b19~447?3:1<7<5165b2?>e?2wp=?>8:183>7<6?>ko65lj;|y2651=83:1>7?87c69410jk03on5r{0033?6=8381=:9l2;:g7>{|9;:<6=4?:38232e>21nj7pu>21594?6=:3;<;i>58d38y~758>0;6=4=:054`2=oi76jf:x5760290;6?4>76g6>=`?3tq:>=950;296?70?l314km4}z3142<729096<98ee8:45=zs88;;7>50;09521a:33;96st1324>5<72;0:;:h9:82:?x}6:9=1<7>52;343cd=19o0qv?=0683>5<528=8:183>7<6?1:364?m;|y2651=83:1>7?881f9=7641?9802>95r{0033?6=8381=:6>6;;1=>{|9;:<6=4?:3823=7f208o7pu>21594?6=:3;<40;6=4=:05;66<>;?1vw<29477790`><5a3tq:>=950;296?700:;159:4}z3142<729096<97348:0==zs88;;7>50;0952>4i33?h6st1324>5<72;0:;5=j:872?x}6:9=1<7>52;34<15=1<<0qv?=0683>5<528=38:465`9~447?3:1<7<516:7g??2n2wp=?>8:183>7<6?1?;648<;|y2651=83:1>7?88479=3>41?=002:n5r{0033?6=8381=:6:e;;45>{|9;:<6=4?:3823=0520=>7pu>21594?6=:3;<4;9596c8y~758>0;6=4=:05;2`<>0:1vw<2<9777c:x5760290;6?4>795`>=950;296?70019154k4}z3142<729096<978`8:e2=zs88;;7>50;0952>>933i<6st1324>5<72;0:;577:8`:?x}6:9=1<7>52;34<<`=1j80qv?=0683>5<528=3m;46cc9~447?3:1<7<516:b`??c<2wp=?>8:183>7<6?1h?64jk;|y2651=83:1>7?88c`9=`041?k;02ik5r{0033?6=8381=:6l9;;e<>{|9;:<6=4?:3823=b72h::7pu>21594?6=:3;<4i95a1c8y~758>0;6=4=:05;``2n97o>c:x5760290;6?4>79g`>d423tq:>=950;296?700o91m?k4}z3142<729096<97f`8b72=zs88;;7>50;0952?793k?<6st1324>5<72;0:;4>7:`6:?x}6:9=1<7>52;34=5`=i<80qv?=0683>5<528=2=;4n5c9~447?3:1<7<516;2`?g1<2wp=?>8:183>7<6?08?6l8k;|y2651=83:1>7?893`9e2041>;;0j;i5r{0033?6=8381=:7<9;c;0>{|9;:<6=4?:3823<272h2i7pu>21594?6=:3;<5995a808y~758>0;6=4=:05:0`3>97on0:x5760290;6?4>787`>dg03tq:>=950;296?701?91mlk4}z3142<729096<966`8bf0=zs88;;7>50;0952?093kio6st1324>5<72;0:;497:`a0?x}6:9=1<7>52;34=2`=ijk0qv?=0683>5<528=24;4nd09~447?3:1<7<516;;`?gc02wp=?>8:183>7<6?03?6lji;|y2651=83:1>7?898`9e`041>i;0jii5r{0033?6=8381=:7n9;ce0>{|9;:<6=4?:382321594?6=:3;<5o95b108y~758>0;6=4=:05:f`3h97l>0:x5760290;6?4>78a`>g703tq:>=950;296?701m91n50;0952?b93h9o6st1324>5<72;0:;4k7:c10?x}6:9=1<7>52;34=``=j:k0qv?=0683>5<528=2j;4m409~447?3:1<7<516;e`?d302wp=?>8:183>7<6?h:?6o:i;|y2651=83:1>7?8a1`9f0041f9;0i9k5r{0033?6=8381=:o>9;`5<>{|9;:<6=4?:3823d472k=:7pu>21594?6=:3;0;6=4=:05b6`k897l7c:x5760290;6?4>7`1`>g?23tq:>=950;296?70i=91n4k4}z3142<729096<9n4`8ae2=zs88;;7>50;0952g293hi<6st1324>5<72;0:;l;7:c`:?x}6:9=1<7>52;34e0`=jj80qv?=0683>5<528=j:;4mcc9~447?3:1<7<516c5`?dc<2wp=?>8:183>7<6?h=?6ojk;|y2651=83:1>7?8a6`9f`041f0;0iik5r{0033?6=8381=:o79;`e<>{|9;:<6=4?:3823d?72j::7pu>21594?6=:3;0;6=4=:05b=`kj97m>c:x5760290;6?4>7`c`>f423tq:>=950;296?70ik91o?k4}z3142<729096<9nb`8`72=zs88;;7>50;0952gd93i?<6st1324>5<72;0:;lm7:b6:?x}6:9=1<7>52;34ef`=k<80qv?=0683>5<528=jh;4l5c9~447?3:1<7<516cg`?e1<2wp=?>8:183>7<6?ho?6n8k;|y2651=83:1>7?8ad`9g2041fn;0h;k5r{0033?6=8381=:oi9;a;<>{|9;:<6=4?:3823g672j3:7pu>21594?6=:3;0;6=4=:05a4`h:97mnc:x5760290;6?4>7c3`>fd43tq:>=950;296?70j;91ooo4}z3142<729096<9m2`8`g6=zs88;;7>50;0952d493iho6st1324>5<72;0:;o=7:bf6?x}6:9=1<7>52;34f6`=kmo0qv?=0683>5<528=i8;4le69~447?3:1<7<516`7`?ea82wp=?>8:183>7<6?k??6nh6;|y2651=83:1>7?8b4`9`5441e>;0o{|9;:<6=4?:3823g172m;o7pu>21594?6=:3;0;6=4=:05a3`h397j<6:x5760290;6?4>7c:`>a5c3tq:>=950;296?70j091h9:4}z3142<729096<9m9`8g0g=zs88;;7>50;0952df93n>>6st1324>5<72;0:;oo7:e7:?x}6:9=1<7>52;34fd`=l?:0qv?=0683>5<528=in;4k669~447?3:1<7<516`a`?b1m2wp=?>8:183>7<6?ki?6i9:;|y2651=83:1>7?8bb`9`2e41el;0o4>5r{0033?6=8381=:lk9;f;e>{|9;:<6=4?:3823gc72m3:7pu>21594?6=:3;0;6=4=:05aa`hm97jn6:x5760290;6?4>7cd`>agc3tq:>=950;296?70k991ho:4}z3142<729096<9l0`8gfg=zs88;;7>50;0952e693nh>6st1324>5<72;0:;n?7:ea:?x}6:9=1<7>52;34g4`=lm:0qv?=0683>5<528=h>;4kd69~447?3:1<7<516a1`?bcm2wp=?>8:183>7<6?j9?6ik:;|y2651=83:1>7?8c2`9``e41d<;0oj>5r{0033?6=8381=:m;9;fee>{|9;:<6=4?:3823f372l::7pu>21594?6=:3;0;6=4=:05`1`i=97k>6:x5760290;6?4>7b4`>`7c3tq:>=950;296?70k>91i?:4}z3142<729096<9l7`8f6g=zs88;;7>50;0952e?93o8>6st1324>5<72;0:;n67:d1:?x}6:9=1<7>52;34g=`=m=:0qv?=0683>5<528=h5;4j469~447?3:1<7<516a:`?c3m2wp=?>8:183>7<6?jk?6h;:;|y2651=83:1>7?8c``9a0e41dj;0n:>5r{0033?6=8381=:mm9;g5e>{|9;:<6=4?:3823fe72l=:7pu>21594?6=:3;0;6=4=:05`g`io97k76:x5760290;6?4>7bf`>`>c3tq:>=950;296?70kl91i4:4}z3142<729096<9le`8f=g=zs88;;7>50;0952ea93oj>6st1324>5<72;0:;nh7:dc:?x}6:9=1<7>52;34gc`=mk:0qv?=0683>5<528=o<;4jb69~447?3:1<7<516f3`?cbm2wp=?>8:183>7<6?m;;6hh>;|y2651=83:1>7?8d019ac241c9?0m9h5r{0033?6=8381=:j>9;d55>{|9;:<6=4?:3823a472o<37pu>21594?6=:3;0;6=4=:05g6`n897h8d:x5760290;6?4>7e1`>c>33tq:>=950;296?70l=91j5l4}z3142<729096<9k4`8e=1=zs88;;7>50;0952b293l2h6st1324>5<72;0:;i;7:gc5?x}6:9=1<7>52;34`0`=nhl0qv?=0683>5<528=o:;4ib99~447?3:1<7<516f5`?`d92wp=?>8:183>7<6?m=?6kmn;|y2651=83:1>7?8d6`9ba541c0;0mhn5r{0033?6=8381=:j79;df1>{|9;:<6=4?:3823a?72oon7pu>21594?6=:3;0;6=4=:05g=`<689:0qv?=0683>5<528=om84>01;8y~758>0;6=4=:05gef<68880qv?=0683>5<528=on>4>00`8y~758>0;6=4=:05gfd<68;>0qv?=0683>5<528=oo<4>03f8y~758>0;6=4=:05gg=<68:<0qv?=0683>5<528=ook4>02d8y~758>0;6=4=:05g`3<68=20qv?=0683>5<528=ohi4>0438y~758>0;6=4=:05ga1<685<528=oio4>0738y~758>0;6=4=:05gb7<68?20qv?=0683>5<528=oj:4>07f8y~758>0;6=4=:05gba<68>90qv?=0683>5<528=n<>4>06;8y~758>0;6=4=:05f4=<68>o0qv?=0683>5<528=n

    0968y~758>0;6=4=:05f51<681k0qv?=0683>5<528=n=44>09d8y~758>0;6=4=:05f5c<680?0qv?=0683>5<528=n>84>08`8y~758>0;6=4=:05f6d<68h:0qv?=0683>5<528=n?=4>0`48y~758>0;6=4=:05f73<68hi0qv?=0683>5<528=n?o4>0c38y~758>0;6=4=:05f04<68k=0qv?=0683>5<528=n8:4>0cf8y~758>0;6=4=:05f0f<68j80qv?=0683>5<528=n9?4>0b:8y~758>0;6=4=:05f1=<68jo0qv?=0683>5<528=n9i4>0e18y~758>0;6=4=:05f26<68m30qv?=0683>5<528=n:44>0ed8y~758>0;6=4=:05f2`<68l>0qv?=0683>5<528=n;94>0dc8y~758>0;6=4=:05f3d<68o:0qv?=0683>5<528=n;k4>0g78y~758>0;6=4=:05f<0<68oh0qv?=0683>5<528=n4o4>1138y~758>0;6=4=:05f=5<699<0qv?=0683>5<528=n5;4>11a8y~758>0;6=4=:05f=f<69880qv?=0683>5<528=nm<4>1058y~758>0;6=4=:05fe2<698n0qv?=0683>5<528=nmi4>1318y~758>0;6=4=:05ff7<69;20qv?=0683>5<528=nn54>13g8y~758>0;6=4=:05ff`<69:>0qv?=0683>5<528=no>4>12;8y~758>0;6=4=:05fg<<69:l0qv?=0683>5<528=nok4>1578y~758>0;6=4=:05f`1<69=k0qv?=0683>5<528=nhl4>1428y~758>0;6=4=:05fa5<69<<0qv?=0683>5<528=ni84>14`8y~758>0;6=4=:05fag<69?;0qv?=0683>5<528=nj<4>1758y~758>0;6=4=:05fb3<69?i0qv?=0683>5<528=njn4>1608y~758>0;6=4=:05e44<69>=0qv?=0683>5<528=m<44>16d8y~758>0;6=4=:05e4`<691>0qv?=0683>5<528=m=;4>19a8y~758>0;6=4=:05e5g<690;0qv?=0683>5<528=m>>4>18;8y~758>0;6=4=:05e6=<690o0qv?=0683>5<528=m?=4>1`48y~758>0;6=4=:05e70<69hh0qv?=0683>5<528=m?i4>1c18y~758>0;6=4=:05e07<69k20qv?=0683>5<528=m8l4>1b28y~758>0;6=4=:05e0c<69j?0qv?=0683>5<528=m9:4>1bf8y~758>0;6=4=:05e1f<69m80qv?=0683>5<528=m:94>1ec8y~758>0;6=4=:05e2<<69ml0qv?=0683>5<528=m;<4>1d58y~758>0;6=4=:05e33<69li0qv?=0683>5<528=m;h4>1g68y~758>0;6=4=:05e<6<69o30qv?=0683>5<528=m444>1gd8y~758>0;6=4=:05e5<528=m594>21c8y~758>0;6=4=:05e=d<6:8:0qv?=0683>5<528=mm=4>2048y~758>0;6=4=:05ee0<6:8h0qv?=0683>5<528=mmo4>2338y~758>0;6=4=:05ef4<6:;=0qv?=0683>5<528=mn;4>23a8y~758>0;6=4=:05eff<6::80qv?=0683>5<528=mo?4>22:8y~758>0;6=4=:05eg2<6::n0qv?=0683>5<528=moi4>2518y~758>0;6=4=:05e`6<6:=30qv?=0683>5<528=mh54>25g8y~758>0;6=4=:05e``<6:<>0qv?=0683>5<528=mi94>24c8y~758>0;6=4=:05ea<<6:5<528=mik4>2778y~758>0;6=4=:05eb0<6:?h0qv?=0683>5<528=mjl4>2628y~758>0;6=4=:0:345<6:><0qv?=0683>5<5282;<;4>26a8y~758>0;6=4=:0:34g<6:1;0qv?=0683>5<5282;=<4>2958y~758>0;6=4=:0:352<6:1n0qv?=0683>5<5282;=n4>2808y~758>0;6=4=:0:367<6:020qv?=0683>5<5282;>54>28g8y~758>0;6=4=:0:36a<6:h90qv?=0683>5<5282;?>4>2`;8y~758>0;6=4=:0:37<<6:hl0qv?=0683>5<5282;?h4>2c68y~758>0;6=4=:0:301<6:kk0qv?=0683>5<5282;8l4>2b28y~758>0;6=4=:0:30c<6:j?0qv?=0683>5<5282;984>2b`8y~758>0;6=4=:0:31g<6:m;0qv?=0683>5<5282;:=4>2e48y~758>0;6=4=:0:323<6:mi0qv?=0683>5<5282;:n4>2d08y~758>0;6=4=:0:334<6:l=0qv?=0683>5<5282;;:4>2df8y~758>0;6=4=:0:33a<6:o90qv?=0683>5<5282;4<4>2g58y~758>0;6=4=:0:3<0<6:oh0qv?=0683>5<5282;4l4>3128y~758>0;6=4=:0:3<`<6;9>0qv?=0683>5<5282;5>4>31;8y~758>0;6=4=:0:3=2<6;9n0qv?=0683>5<5282;5n4>3008y~758>0;6=4=:0:3e5<6;8<0qv?=0683>5<5282;m84>30`8y~758>0;6=4=:0:3e<<6;8l0qv?=0683>5<5282;mh4>3368y~758>0;6=4=:0:3f7<6;;20qv?=0683>5<5282;n:4>33f8y~758>0;6=4=:0:3fg<6;:;0qv?=0683>5<5282;o=4>3248y~758>0;6=4=:0:3g1<6;:k0qv?=0683>5<5282;o44>32d8y~758>0;6=4=:0:3ga<6;=90qv?=0683>5<5282;h?4>35:8y~758>0;6=4=:0:3`3<6;=i0qv?=0683>5<5282;ho4>3438y~758>0;6=4=:0:3`c<6;5<5282;i94>34c8y~758>0;6=4=:0:3a=<6;5<5282;ii4>3718y~758>0;6=4=:0:3b4<6;?=0qv?=0683>5<5282;j;4>37a8y~758>0;6=4=:0:3bd<6;>:0qv?=0683>5<5282;jk4>3678y~758>0;6=4=:0:246<6;>30qv?=0683>5<5282:<54>36g8y~758>0;6=4=:0:24f<6;180qv?=0683>5<5282:=<4>3958y~758>0;6=4=:0:250<6;1h0qv?=0683>5<5282:=l4>3828y~758>0;6=4=:0:25`<6;0>0qv?=0683>5<5282:>>4>38;8y~758>0;6=4=:0:262<6;0n0qv?=0683>5<5282:>n4>3`08y~758>0;6=4=:0:275<6;h<0qv?=0683>5<5282:?84>3``8y~758>0;6=4=:0:27<<6;hl0qv?=0683>5<5282:?h4>3c68y~758>0;6=4=:0:207<6;k20qv?=0683>5<5282:8:4>3cf8y~758>0;6=4=:0:20g<6;j;0qv?=0683>5<5282:9=4>3b48y~758>0;6=4=:0:211<6;jk0qv?=0683>5<5282:944>3bd8y~758>0;6=4=:0:21a<6;m90qv?=0683>5<5282::?4>3e:8y~758>0;6=4=:0:223<6;mi0qv?=0683>5<5282::o4>3d38y~758>0;6=4=:0:22c<6;l?0qv?=0683>5<5282:;94>3dc8y~758>0;6=4=:0:23=<6;lo0qv?=0683>5<5282:;i4>3g18y~758>0;6=4=:0:2<4<6;o=0qv?=0683>5<5282:4;4>3ga8y~758>0;6=4=:0:25<5282:4k4>4178y~758>0;6=4=:0:2=6<6<930qv?=0683>5<5282:554>41g8y~758>0;6=4=:0:2=f<6<880qv?=0683>5<5282:m=4>4048y~758>0;6=4=:0:2e0<6<8h0qv?=0683>5<5282:m44>40d8y~758>0;6=4=:0:2e`<6<;>0qv?=0683>5<5282:n?4>43:8y~758>0;6=4=:0:2f2<6<;n0qv?=0683>5<5282:no4>4238y~758>0;6=4=:0:2g5<6<:<0qv?=0683>5<5282:o94>42c8y~758>0;6=4=:0:2g<<6<:l0qv?=0683>5<5282:oi4>4518y~758>0;6=4=:0:2`7<6<=20qv?=0683>5<5282:h;4>45a8y~758>0;6=4=:0:2`d<6<<:0qv?=0683>5<5282:hk4>4478y~758>0;6=4=:0:2a6<6<<30qv?=0683>5<5282:i:4>44f8y~758>0;6=4=:0:2af<65<5282:j=4>4748y~758>0;6=4=:0:2b1<65<5282:j44>47d8y~758>0;6=4=:0:2ba<6<>90qv?=0683>5<52829<<4>4658y~758>0;6=4=:0:143<6<>i0qv?=0683>5<528294928y~758>0;6=4=:0:14`<6<1>0qv?=0683>5<52829=?4>49:8y~758>0;6=4=:0:152<6<1n0qv?=0683>5<52829=o4>4838y~758>0;6=4=:0:165<6<0<0qv?=0683>5<52829>94>48c8y~758>0;6=4=:0:16<<6<0l0qv?=0683>5<52829>i4>4`18y~758>0;6=4=:0:177<65<52829?;4>4`a8y~758>0;6=4=:0:17g<65<52829?k4>4c78y~758>0;6=4=:0:101<65<52829854>4cg8y~758>0;6=4=:0:10a<65<528299<4>4b58y~758>0;6=4=:0:110<65<528299l4>4e28y~758>0;6=4=:0:11`<60qv?=0683>5<52829:?4>4e:8y~758>0;6=4=:0:122<65<52829:o4>4d38y~758>0;6=4=:0:12c<65<52829;94>4dc8y~758>0;6=4=:0:13=<65<52829;n4>4g08y~758>0;6=4=:0:1<5<65<52829494>4gc8y~758>0;6=4=:0:1<<<65<528294i4>5118y~758>0;6=4=:0:1=4<6=9=0qv?=0683>5<528295;4>51a8y~758>0;6=4=:0:1=d<6=8:0qv?=0683>5<528295h4>5068y~758>0;6=4=:0:1e6<6=830qv?=0683>5<52829m:4>50f8y~758>0;6=4=:0:1eg<6=;;0qv?=0683>5<52829n=4>5348y~758>0;6=4=:0:1f1<6=;k0qv?=0683>5<52829n54>53g8y~758>0;6=4=:0:1fa<6=:90qv?=0683>5<52829o<4>5258y~758>0;6=4=:0:1g0<6=:h0qv?=0683>5<52829ol4>5528y~758>0;6=4=:0:1g`<6==>0qv?=0683>5<52829h?4>55:8y~758>0;6=4=:0:1`2<6==n0qv?=0683>5<52829ho4>5438y~758>0;6=4=:0:1`c<6=5<52829i94>54c8y~758>0;6=4=:0:1a=<6=5<52829in4>5708y~758>0;6=4=:0:1b4<6=?=0qv?=0683>5<52829j84>57`8y~758>0;6=4=:0:1b<<6=?l0qv?=0683>5<52829jh4>5668y~758>0;6=4=:0:047<6=>20qv?=0683>5<52828<;4>56a8y~758>0;6=4=:0:04g<6=1;0qv?=0683>5<528285978y~758>0;6=4=:0:056<6=130qv?=0683>5<52828=54>59g8y~758>0;6=4=:0:05f<6=080qv?=0683>5<52828>=4>5848y~758>0;6=4=:0:060<6=0h0qv?=0683>5<52828>44>58d8y~758>0;6=4=:0:06a<6=h90qv?=0683>5<52828??4>5`:8y~758>0;6=4=:0:073<6=hi0qv?=0683>5<52828?l4>5c28y~758>0;6=4=:0:07c<6=k?0qv?=0683>5<528288>4>5c;8y~758>0;6=4=:0:002<6=kn0qv?=0683>5<528288n4>5b08y~758>0;6=4=:0:015<6=j<0qv?=0683>5<52828994>5bc8y~758>0;6=4=:0:01<<6=jl0qv?=0683>5<528289i4>5e18y~758>0;6=4=:0:024<6=m=0qv?=0683>5<52828:;4>5ea8y~758>0;6=4=:0:02d<6=l:0qv?=0683>5<52828:h4>5d68y~758>0;6=4=:0:036<6=l30qv?=0683>5<52828;:4>5df8y~758>0;6=4=:0:03g<6=o;0qv?=0683>5<528284=4>5g48y~758>0;6=4=:0:0<1<6=ok0qv?=0683>5<52828454>5gg8y~758>0;6=4=:0:0990qv?=0683>5<528285<4>6158y~758>0;6=4=:0:0=0<6>9h0qv?=0683>5<528285l4>6028y~758>0;6=4=:0:0=`<6>8>0qv?=0683>5<52828m?4>60:8y~758>0;6=4=:0:0e2<6>8n0qv?=0683>5<52828mo4>6338y~758>0;6=4=:0:0f5<6>;<0qv?=0683>5<52828n94>63c8y~758>0;6=4=:0:0f<<6>;l0qv?=0683>5<52828ni4>6218y~758>0;6=4=:0:0g7<6>:20qv?=0683>5<52828o;4>62a8y~758>0;6=4=:0:0gg<6>=;0qv?=0683>5<52828ok4>6578y~758>0;6=4=:0:0`1<6>=k0qv?=0683>5<52828h54>65g8y~758>0;6=4=:0:0`a<6><90qv?=0683>5<52828i<4>6458y~758>0;6=4=:0:0a3<6>5<52828il4>6728y~758>0;6=4=:0:0ac<6>??0qv?=0683>5<52828j>4>67;8y~758>0;6=4=:0:0b=<6>?o0qv?=0683>5<52828jn4>6608y~758>0;6=4=:0:744<6>>=0qv?=0683>5<5282?<84>66`8y~758>0;6=4=:0:74d<6>1:0qv?=0683>5<5282?

    6968y~758>0;6=4=:0:756<6>130qv?=0683>5<5282?=:4>69f8y~758>0;6=4=:0:75f<6>080qv?=0683>5<5282?>=4>6848y~758>0;6=4=:0:760<6>0h0qv?=0683>5<5282?>44>68d8y~758>0;6=4=:0:76`<6>h>0qv?=0683>5<5282???4>6`:8y~758>0;6=4=:0:772<6>hn0qv?=0683>5<5282??o4>6c38y~758>0;6=4=:0:705<6>k<0qv?=0683>5<5282?894>6cc8y~758>0;6=4=:0:70<<6>kl0qv?=0683>5<5282?8i4>6b18y~758>0;6=4=:0:717<6>j20qv?=0683>5<5282?9;4>6ba8y~758>0;6=4=:0:71g<6>m;0qv?=0683>5<5282?9k4>6e78y~758>0;6=4=:0:721<6>mk0qv?=0683>5<5282?:54>6eg8y~758>0;6=4=:0:72f<6>l80qv?=0683>5<5282?;=4>6d48y~758>0;6=4=:0:730<6>lh0qv?=0683>5<5282?;44>6dd8y~758>0;6=4=:0:73a<6>o90qv?=0683>5<5282?4?4>6g:8y~758>0;6=4=:0:7<3<6>oi0qv?=0683>5<5282?4l4>7128y~758>0;6=4=:0:75<5282?5>4>71;8y~758>0;6=4=:0:7=2<6?9n0qv?=0683>5<5282?5n4>7008y~758>0;6=4=:0:7e5<6?8<0qv?=0683>5<5282?m94>70c8y~758>0;6=4=:0:7e<<6?8l0qv?=0683>5<5282?mi4>7318y~758>0;6=4=:0:7f4<6?;=0qv?=0683>5<5282?n;4>73a8y~758>0;6=4=:0:7fd<6?::0qv?=0683>5<5282?nh4>7268y~758>0;6=4=:0:7g6<6?:30qv?=0683>5<5282?o:4>72f8y~758>0;6=4=:0:7gg<6?=;0qv?=0683>5<5282?h=4>7548y~758>0;6=4=:0:7`1<6?=k0qv?=0683>5<5282?h54>75g8y~758>0;6=4=:0:7`a<6?<90qv?=0683>5<5282?i<4>7458y~758>0;6=4=:0:7a0<6?5<5282?il4>7728y~758>0;6=4=:0:7a`<6??>0qv?=0683>5<5282?j?4>77:8y~758>0;6=4=:0:7b2<6??n0qv?=0683>5<5282?jo4>7638y~758>0;6=4=:0:7bc<6?>?0qv?=0683>5<5282><94>76c8y~758>0;6=4=:0:64=<6?>o0qv?=0683>5<5282>7908y~758>0;6=4=:0:654<6?1=0qv?=0683>5<5282>=84>79`8y~758>0;6=4=:0:65<<6?1l0qv?=0683>5<5282>=h4>7868y~758>0;6=4=:0:667<6?020qv?=0683>5<5282>>;4>78a8y~758>0;6=4=:0:66g<6?h;0qv?=0683>5<5282>>k4>7`78y~758>0;6=4=:0:676<6?h30qv?=0683>5<5282>?54>7`g8y~758>0;6=4=:0:67f<6?k80qv?=0683>5<5282>8=4>7c48y~758>0;6=4=:0:600<6?kh0qv?=0683>5<5282>844>7cd8y~758>0;6=4=:0:60a<6?j90qv?=0683>5<5282>9?4>7b:8y~758>0;6=4=:0:613<6?ji0qv?=0683>5<5282>9l4>7e28y~758>0;6=4=:0:61c<6?m?0qv?=0683>5<5282>:>4>7e;8y~758>0;6=4=:0:622<6?mn0qv?=0683>5<5282>:n4>7d08y~758>0;6=4=:0:635<6?l<0qv?=0683>5<5282>;94>7dc8y~758>0;6=4=:0:63<<6?ll0qv?=0683>5<5282>;i4>7g18y~758>0;6=4=:0:6<4<6?o=0qv?=0683>5<5282>4;4>7ga8y~758>0;6=4=:0:65<5282>4h4>8168y~758>0;6=4=:0:6=6<60930qv?=0683>5<5282>5:4>81f8y~758>0;6=4=:0:6=g<608;0qv?=0683>5<5282>m=4>8048y~758>0;6=4=:0:6e1<608k0qv?=0683>5<5282>m54>80g8y~758>0;6=4=:0:6ea<60;90qv?=0683>5<5282>n<4>8358y~758>0;6=4=:0:6f0<60;h0qv?=0683>5<5282>nl4>8228y~758>0;6=4=:0:6f`<60:>0qv?=0683>5<5282>o?4>82:8y~758>0;6=4=:0:6g2<60:n0qv?=0683>5<5282>oo4>8538y~758>0;6=4=:0:6gc<60=?0qv?=0683>5<5282>h94>85c8y~758>0;6=4=:0:6`=<60=o0qv?=0683>5<5282>hn4>8408y~758>0;6=4=:0:6a4<60<=0qv?=0683>5<5282>i84>84`8y~758>0;6=4=:0:6a<<605<5282>ih4>8768y~758>0;6=4=:0:6b7<60?20qv?=0683>5<5282>j;4>87a8y~758>0;6=4=:0:6bg<60>;0qv?=0683>5<5282=86c8y~758>0;6=4=:0:54<<601;0qv?=0683>5<5282===4>89:8y~758>0;6=4=:0:552<601l0qv?=0683>5<5282==h4>8848y~758>0;6=4=:0:560<600l0qv?=0683>5<5282=>n4>8`:8y~758>0;6=4=:0:576<60k;0qv?=0683>5<5282=?l4>8cc8y~758>0;6=4=:0:504<60j90qv?=0683>5<5282=854>8ba8y~758>0;6=4=:0:50c<60m?0qv?=0683>5<5282=9;4>8eg8y~758>0;6=4=:0:51a<60l=0qv?=0683>5<5282=:94>8g28y~758>0;6=4=:0:52g<60o30qv?=0683>5<5282=;?4>9108y~758>0;6=4=:0:53<<619h0qv?=0683>5<5282=4=4>9068y~758>0;6=4=:0:5<2<618n0qv?=0683>5<5282=4h4>9348y~758>0;6=4=:0:5=0<61;l0qv?=0683>5<5282=5n4>92:8y~758>0;6=4=:0:5e6<61=;0qv?=0683>5<5282=ml4>95:8y~758>0;6=4=:0:5f4<61=l0qv?=0683>5<5282=n54>9448y~758>0;6=4=:0:5fc<615<5282=o;4>9768y~758>0;6=4=:0:5ga<61?h0qv?=0683>5<5282=h94>9608y~758>0;6=4=:0:5`g<61>30qv?=0683>5<5282=i?4>9928y~758>0;6=4=:0:5a<<611=0qv?=0683>5<5282=j=4>99g8y~758>0;6=4=:0:5b2<610?0qv?=0683>5<5282=jh4>98g8y~758>0;6=4=:0:440<61h?0qv?=0683>5<5282<9`a8y~758>0;6=4=:0:456<61k90qv?=0683>5<5282<=l4>9cc8y~758>0;6=4=:0:464<61j;0qv?=0683>5<5282<>54>9b:8y~758>0;6=4=:0:46c<61jl0qv?=0683>5<52829e48y~758>0;6=4=:0:47a<61mn0qv?=0683>5<5282<894>9d68y~758>0;6=4=:0:40g<61lh0qv?=0683>5<5282<9?4>9g08y~758>0;6=4=:0:41<<61o30qv?=0683>5<5282<:=4>a128y~758>0;6=4=:0:422<6i9=0qv?=0683>5<5282<:h4>a1g8y~758>0;6=4=:0:430<6i8?0qv?=0683>5<5282<;n4>a0a8y~758>0;6=4=:0:4<6<6i;90qv?=0683>5<5282<4l4>a3c8y~758>0;6=4=:0:4=4<6i:;0qv?=0683>5<5282<554>a2:8y~758>0;6=4=:0:4=c<6i:l0qv?=0683>5<5282a548y~758>0;6=4=:0:4ea<6i=n0qv?=0683>5<5282a468y~758>0;6=4=:0:4fg<6i5<5282a748y~758>0;6=4=:0:4g<<6i?l0qv?=0683>5<5282a6:8y~758>0;6=4=:0:4`2<6i1;0qv?=0683>5<5282a9c8y~758>0;6=4=:0:4a0<6i090qv?=0683>5<5282a8a8y~758>0;6=4=:0:4b6<6ih?0qv?=0683>5<5282a`g8y~758>0;6=4=:0:;44<6ik=0qv?=0683>5<52823<54>ab28y~758>0;6=4=:0:;4c<6ij30qv?=0683>5<52823=;4>ae08y~758>0;6=4=:0:;5a<6imh0qv?=0683>5<52823>94>ad68y~758>0;6=4=:0:;6g<6iln0qv?=0683>5<52823??4>ag48y~758>0;6=4=:0:;7<<6iol0qv?=0683>5<528238=4>b1:8y~758>0;6=4=:0:;02<6j8;0qv?=0683>5<528238h4>b0c8y~758>0;6=4=:0:;10<6j;90qv?=0683>5<528239n4>b3c8y~758>0;6=4=:0:;26<6j:;0qv?=0683>5<52823:l4>b2:8y~758>0;6=4=:0:;34<6j:l0qv?=0683>5<52823;54>b548y~758>0;6=4=:0:;3c<6j=n0qv?=0683>5<528234;4>b468y~758>0;6=4=:0:;5<52823594>b708y~758>0;6=4=:0:;=g<6j?30qv?=0683>5<52823m?4>b628y~758>0;6=4=:0:;e<<6j>=0qv?=0683>5<52823n=4>b6g8y~758>0;6=4=:0:;f2<6j1?0qv?=0683>5<52823nh4>b9a8y~758>0;6=4=:0:;g0<6j090qv?=0683>5<52823on4>b8c8y~758>0;6=4=:0:;`6<6jh;0qv?=0683>5<52823hl4>b`:8y~758>0;6=4=:0:;a4<6jhl0qv?=0683>5<52823i54>bc48y~758>0;6=4=:0:;ac<6jkn0qv?=0683>5<52823j;4>bb68y~758>0;6=4=:0:;ba<6jjh0qv?=0683>5<52822<94>be08y~758>0;6=4=:0::4g<6jm30qv?=0683>5<52822=?4>bd28y~758>0;6=4=:0::5<<6jl=0qv?=0683>5<52822>=4>bdg8y~758>0;6=4=:0::62<6jo?0qv?=0683>5<52822>h4>bga8y~758>0;6=4=:0::70<6k990qv?=0683>5<52822?n4>c1c8y~758>0;6=4=:0::06<6k8;0qv?=0683>5<528228l4>c0:8y~758>0;6=4=:0::14<6k8l0qv?=0683>5<52822954>c348y~758>0;6=4=:0::1c<6k;n0qv?=0683>5<52822:;4>c268y~758>0;6=4=:0::2a<6k:h0qv?=0683>5<52822;94>c508y~758>0;6=4=:0::3g<6k=30qv?=0683>5<528224?4>c408y~758>0;6=4=:0::<<<6k5<528225=4>c768y~758>0;6=4=:0::=2<6k?n0qv?=0683>5<528225h4>c648y~758>0;6=4=:0::e0<6k>l0qv?=0683>5<52822mn4>c9:8y~758>0;6=4=:0::f6<6k0;0qv?=0683>5<52822nl4>c8c8y~758>0;6=4=:0::g4<6kh90qv?=0683>5<52822o54>c`a8y~758>0;6=4=:0::gc<6kk?0qv?=0683>5<52822h;4>ccg8y~758>0;6=4=:0::`a<6kj=0qv?=0683>5<52822i94>ce28y~758>0;6=4=:0::ag<6km=0qv?=0683>5<52822j?4>cd28y~758>0;6=4=:0::b<<6kl30qv?=0683>5<5282j<=4>cg08y~758>0;6=4=:0:b42<6koh0qv?=0683>5<5282j

    d168y~758>0;6=4=:0:b50<6l9h0qv?=0683>5<5282j=n4>d008y~758>0;6=4=:0:b66<6l830qv?=0683>5<5282j>l4>d328y~758>0;6=4=:0:b74<6l;=0qv?=0683>5<5282j?54>d3g8y~758>0;6=4=:0:b7c<6l:?0qv?=0683>5<5282j8;4>d2a8y~758>0;6=4=:0:b0a<6l=90qv?=0683>5<5282j994>d5c8y~758>0;6=4=:0:b1g<6l<;0qv?=0683>5<5282j:?4>d4:8y~758>0;6=4=:0:b2<<6l5<5282j;=4>d748y~758>0;6=4=:0:b32<6l?n0qv?=0683>5<5282j;h4>d668y~758>0;6=4=:0:b<0<6l>h0qv?=0683>5<5282j4n4>d908y~758>0;6=4=:0:b=6<6l130qv?=0683>5<5282j5l4>d828y~758>0;6=4=:0:be4<6l0=0qv?=0683>5<5282jm54>d8g8y~758>0;6=4=:0:bec<6lh?0qv?=0683>5<5282jn;4>d`a8y~758>0;6=4=:0:bfa<6lk90qv?=0683>5<5282jo94>dcc8y~758>0;6=4=:0:bgg<6lj;0qv?=0683>5<5282jh?4>db:8y~758>0;6=4=:0:b`<<6ljl0qv?=0683>5<5282ji=4>de48y~758>0;6=4=:0:ba2<6lml0qv?=0683>5<5282jih4>dd:8y~758>0;6=4=:0:bb0<6lo;0qv?=0683>5<5282jjn4>dgc8y~758>0;6=4=:0:a46<6m990qv?=0683>5<5282ie1a8y~758>0;6=4=:0:a54<6m8?0qv?=0683>5<5282i=54>e0g8y~758>0;6=4=:0:a5c<6m;=0qv?=0683>5<5282i>;4>e228y~758>0;6=4=:0:a6a<6m:30qv?=0683>5<5282i?94>e508y~758>0;6=4=:0:a7g<6m=h0qv?=0683>5<5282i8?4>e468y~758>0;6=4=:0:a0<<6m5<5282i9=4>e748y~758>0;6=4=:0:a12<6m?l0qv?=0683>5<5282i9h4>e6:8y~758>0;6=4=:0:a20<6m1;0qv?=0683>5<5282i:n4>e9c8y~758>0;6=4=:0:a36<6m090qv?=0683>5<5282i;l4>e8a8y~758>0;6=4=:0:a<4<6mh?0qv?=0683>5<5282i454>e`a8y~758>0;6=4=:0:a5<5282i5;4>ecc8y~758>0;6=4=:0:a=a<6mj;0qv?=0683>5<5282im94>eb:8y~758>0;6=4=:0:aeg<6mjl0qv?=0683>5<5282in?4>ee48y~758>0;6=4=:0:af<<6mmn0qv?=0683>5<5282io=4>ed68y~758>0;6=4=:0:ag2<6mlh0qv?=0683>5<5282ioh4>eg08y~758>0;6=4=:0:a`0<6mo30qv?=0683>5<5282ihn4>f128y~758>0;6=4=:0:aa6<6n9=0qv?=0683>5<5282iil4>f1g8y~758>0;6=4=:0:ab4<6n8?0qv?=0683>5<5282ij54>f0a8y~758>0;6=4=:0:abc<6n;90qv?=0683>5<5282h<;4>f3c8y~758>0;6=4=:0:`4a<6n:;0qv?=0683>5<5282h=94>f2:8y~758>0;6=4=:0:`5g<6n:l0qv?=0683>5<5282h>?4>f548y~758>0;6=4=:0:`6<<6n=n0qv?=0683>5<5282h?=4>f468y~758>0;6=4=:0:`72<6n5<5282h?h4>f708y~758>0;6=4=:0:`00<6n?30qv?=0683>5<5282h8n4>f628y~758>0;6=4=:0:`16<6n>=0qv?=0683>5<5282h9l4>f6g8y~758>0;6=4=:0:`24<6n1?0qv?=0683>5<5282h:54>f9a8y~758>0;6=4=:0:`2c<6n0?0qv?=0683>5<5282h;;4>f8g8y~758>0;6=4=:0:`3a<6nh=0qv?=0683>5<5282h494>fc28y~758>0;6=4=:0:`5<5282h5?4>fb08y~758>0;6=4=:0:`=<<6njh0qv?=0683>5<5282hm=4>fe68y~758>0;6=4=:0:`e2<6nmn0qv?=0683>5<5282hmh4>fd48y~758>0;6=4=:0:`f0<6nll0qv?=0683>5<5282hnn4>fg:8y~758>0;6=4=:0:`g6<589;0qv?=0683>5<5282hol4=01c8y~758>0;6=4=:0:``4<58890qv?=0683>5<5282hh54=00a8y~758>0;6=4=:0:``c<58;?0qv?=0683>5<5282hi;4=03g8y~758>0;6=4=:0:`aa<58:=0qv?=0683>5<5282hj94=0528y~758>0;6=4=:0:`bg<58=30qv?=0683>5<5282o0;6=4=:0:g4<<585<5282o==4=0708y~758>0;6=4=:0:g52<58?30qv?=0683>5<5282o=h4=0628y~758>0;6=4=:0:g60<58>=0qv?=0683>5<5282o>n4=06g8y~758>0;6=4=:0:g76<581?0qv?=0683>5<5282o?l4=09a8y~758>0;6=4=:0:g04<58090qv?=0683>5<5282o854=08c8y~758>0;6=4=:0:g0c<58h;0qv?=0683>5<5282o9;4=0`:8y~758>0;6=4=:0:g1a<58hl0qv?=0683>5<5282o:94=0c48y~758>0;6=4=:0:g2g<58kn0qv?=0683>5<5282o;?4=0b68y~758>0;6=4=:0:g3<<58jh0qv?=0683>5<5282o4=4=0e08y~758>0;6=4=:0:g<2<58m30qv?=0683>5<5282o4h4=0d28y~758>0;6=4=:0:g=0<58l=0qv?=0683>5<5282o5n4=0dg8y~758>0;6=4=:0:ge6<58o?0qv?=0683>5<5282oml4=0ga8y~758>0;6=4=:0:gf4<59990qv?=0683>5<5282on54=11c8y~758>0;6=4=:0:gfc<598;0qv?=0683>5<5282oo;4=10:8y~758>0;6=4=:0:gga<598l0qv?=0683>5<5282oh94=1348y~758>0;6=4=:0:g`g<59;n0qv?=0683>5<5282oi?4=1268y~758>0;6=4=:0:ga<<59:h0qv?=0683>5<5282oj=4=1508y~758>0;6=4=:0:gb2<59=30qv?=0683>5<5282ojh4=1428y~758>0;6=4=:0:f40<59<=0qv?=0683>5<5282n0;6=4=:0:f56<59??0qv?=0683>5<5282n=l4=17a8y~758>0;6=4=:0:f64<59>90qv?=0683>5<5282n>54=16c8y~758>0;6=4=:0:f6c<591;0qv?=0683>5<5282n?;4=19:8y~758>0;6=4=:0:f7a<591l0qv?=0683>5<5282n894=1848y~758>0;6=4=:0:f0g<590n0qv?=0683>5<5282n9?4=1`68y~758>0;6=4=:0:f1<<59hh0qv?=0683>5<5282n:=4=1c08y~758>0;6=4=:0:f22<59k30qv?=0683>5<5282n:h4=1b28y~758>0;6=4=:0:f30<59j=0qv?=0683>5<5282n;n4=1bg8y~758>0;6=4=:0:f<6<59m?0qv?=0683>5<5282n4l4=1ea8y~758>0;6=4=:0:f=4<59l90qv?=0683>5<5282n554=1dc8y~758>0;6=4=:0:f=c<59o;0qv?=0683>5<5282nm;4=1g:8y~758>0;6=4=:0:fea<59ol0qv?=0683>5<5282nn94=2148y~758>0;6=4=:0:ffg<5:9n0qv?=0683>5<5282no?4=2068y~758>0;6=4=:0:fg<<5:8h0qv?=0683>5<5282nh=4=2308y~758>0;6=4=:0:f`2<5:;30qv?=0683>5<5282nhh4=2228y~758>0;6=4=:0:fa0<5::=0qv?=0683>5<5282nin4=22g8y~758>0;6=4=:0:fb6<5:=?0qv?=0683>5<5282njl4=25a8y~758>0;6=4=:0:e44<5:5<5282m<54=24g8y~758>0;6=4=:0:e4c<5:??0qv?=0683>5<5282m=;4=27a8y~758>0;6=4=:0:e5a<5:>90qv?=0683>5<5282m>94=26c8y~758>0;6=4=:0:e6g<5:1;0qv?=0683>5<5282m??4=29:8y~758>0;6=4=:0:e7<<5:1l0qv?=0683>5<5282m8=4=2848y~758>0;6=4=:0:e02<5:0n0qv?=0683>5<5282m8h4=2`68y~758>0;6=4=:0:e10<5:hh0qv?=0683>5<5282m9n4=2c08y~758>0;6=4=:0:e26<5:k30qv?=0683>5<5282m:l4=2b28y~758>0;6=4=:0:e34<5:j=0qv?=0683>5<5282m;54=2bg8y~758>0;6=4=:0:e3c<5:m?0qv?=0683>5<5282m4;4=2ea8y~758>0;6=4=:0:e5<5282m594=2dc8y~758>0;6=4=:0:e=g<5:o;0qv?=0683>5<5282mm?4=2g:8y~758>0;6=4=:0:ee<<5:ol0qv?=0683>5<5282mn=4=3148y~758>0;6=4=:0:ef2<5;9n0qv?=0683>5<5282mnh4=3068y~758>0;6=4=:0:eg0<5;8h0qv?=0683>5<5282mon4=3308y~758>0;6=4=:0:e`6<5;;30qv?=0683>5<5282mhl4=3228y~758>0;6=4=:0:ea4<5;:=0qv?=0683>5<5282mi54=32g8y~758>0;6=4=:0:eac<5;=?0qv?=0683>5<5282mj;4=35a8y~758>0;6=4=:0:eba<5;<90qv?=0683>5<5283;<94=34c8y~758>0;6=4=:0;34g<5;?;0qv?=0683>5<5283;=?4=37:8y~758>0;6=4=:0;35<<5;?l0qv?=0683>5<5283;>=4=3648y~758>0;6=4=:0;362<5;>n0qv?=0683>5<5283;>h4=3968y~758>0;6=4=:0;370<5;1h0qv?=0683>5<5283;?n4=3808y~758>0;6=4=:0;306<5;030qv?=0683>5<5283;8l4=3`28y~758>0;6=4=:0;314<5;h=0qv?=0683>5<5283;954=3`g8y~758>0;6=4=:0;31c<5;k?0qv?=0683>5<5283;:;4=3ca8y~758>0;6=4=:0;32a<5;j90qv?=0683>5<5283;;94=3bc8y~758>0;6=4=:0;33g<5;m;0qv?=0683>5<5283;4?4=3e:8y~758>0;6=4=:0;3<<<5;ml0qv?=0683>5<5283;5=4=3d48y~758>0;6=4=:0;3=2<5;ln0qv?=0683>5<5283;5h4=3g68y~758>0;6=4=:0;3e0<5;oh0qv?=0683>5<5283;mn4=4108y~758>0;6=4=:0;3f6<5<930qv?=0683>5<5283;nl4=57`8y~758>0;6=4=:0;150<50;;0qv?=0683>5<52838;=4=96a8y~758>0;6=4=:0;032<511?0qv?=0683>5<52838;h4=99g8y~758>0;6=4=:0;0<0<510=0qv?=0683>5<528384n4=98g8y~758>0;6=4=:0;0=6<51h?0qv?=0683>5<528385l4=9`g8y~758>0;6=4=:0;0e4<51k=0qv?=0683>5<52838m54=9b28y~758>0;6=4=:0;0ec<51j=0qv?=0683>5<52838n;4=9bg8y~758>0;6=4=:0;0fa<51m?0qv?=0683>5<52838o94=9ea8y~758>0;6=4=:0;0gg<51l90qv?=0683>5<52838h?4=9dc8y~758>0;6=4=:0;0`<<51o;0qv?=0683>5<52838i=4=9g:8y~758>0;6=4=:0;0a2<51ol0qv?=0683>5<52838ih4=a1:8y~758>0;6=4=:0;0b0<5i8;0qv?=0683>5<52838jn4=a0c8y~758>0;6=4=:0;746<5i;;0qv?=0683>5<5283?0;6=4=:0;754<5i;l0qv?=0683>5<5283?=54=a248y~758>0;6=4=:0;75c<5i:n0qv?=0683>5<5283?>;4=a568y~758>0;6=4=:0;76a<5i=h0qv?=0683>5<5283??94=a468y~758>0;6=4=:0;77g<5i5<5283?8?4=a748y~758>0;6=4=:0;70<<5i?l0qv?=0683>5<5283?9=4=a6:8y~758>0;6=4=:0;712<5i1;0qv?=0683>5<5283?9h4=a9:8y~758>0;6=4=:0;720<5i0;0qv?=0683>5<5283?:n4=a8:8y~758>0;6=4=:0;736<5i0l0qv?=0683>5<5283?;l4=a`48y~758>0;6=4=:0;7<4<5ihn0qv?=0683>5<5283?454=ac68y~758>0;6=4=:0;75<5283?5;4=ab08y~758>0;6=4=:0;7=a<5ij30qv?=0683>5<5283?m94=ae28y~758>0;6=4=:0;7eg<5im=0qv?=0683>5<5283?n?4=aeg8y~758>0;6=4=:0;7f<<5il?0qv?=0683>5<5283?o=4=ada8y~758>0;6=4=:0;7g2<5io90qv?=0683>5<5283?oh4=agc8y~758>0;6=4=:0;7`0<5j9;0qv?=0683>5<5283?hn4=b1:8y~758>0;6=4=:0;7a6<5j9l0qv?=0683>5<5283?il4=b048y~758>0;6=4=:0;7b4<5j8n0qv?=0683>5<5283?j54=b368y~758>0;6=4=:0;7bc<5j;h0qv?=0683>5<5283><;4=b208y~758>0;6=4=:0;64a<5j:30qv?=0683>5<5283>=94=b528y~758>0;6=4=:0;65g<5j==0qv?=0683>5<5283>>?4=b5g8y~758>0;6=4=:0;66<<5j5<5283>?=4=b4a8y~758>0;6=4=:0;672<5j?90qv?=0683>5<5283>?h4=b7c8y~758>0;6=4=:0;600<5j>;0qv?=0683>5<5283>8n4=b6:8y~758>0;6=4=:0;616<5j>l0qv?=0683>5<5283>9l4=b948y~758>0;6=4=:0;624<5j1n0qv?=0683>5<5283>:54=b868y~758>0;6=4=:0;62c<5j0h0qv?=0683>5<5283>;;4=b`08y~758>0;6=4=:0;63a<5jh30qv?=0683>5<5283>494=bc28y~758>0;6=4=:0;65<5283>5?4=bcg8y~758>0;6=4=:0;6=<<5jj?0qv?=0683>5<5283>m=4=bba8y~758>0;6=4=:0;6e2<5jm90qv?=0683>5<5283>mh4=bec8y~758>0;6=4=:0;6f0<5jl;0qv?=0683>5<5283>nn4=bd:8y~758>0;6=4=:0;6g6<5jll0qv?=0683>5<5283>ol4=bg48y~758>0;6=4=:0;6`4<5jon0qv?=0683>5<5283>h54=c168y~758>0;6=4=:0;6`c<5k9h0qv?=0683>5<5283>i;4=c008y~758>0;6=4=:0;6aa<5k830qv?=0683>5<5283>j94=c328y~758>0;6=4=:0;6bg<5k;=0qv?=0683>5<5283=0;6=4=:0;54<<5k:?0qv?=0683>5<5283===4=c2a8y~758>0;6=4=:0;552<5k=90qv?=0683>5<5283==h4=c5c8y~758>0;6=4=:0;560<5k<;0qv?=0683>5<5283=>n4=c4c8y~758>0;6=4=:0;576<5k?90qv?=0683>5<5283=?l4=c7a8y~758>0;6=4=:0;504<5k>?0qv?=0683>5<5283=854=c6g8y~758>0;6=4=:0;50c<5k1=0qv?=0683>5<5283=9;4=c9g8y~758>0;6=4=:0;51a<5k0=0qv?=0683>5<5283=:94=c8g8y~758>0;6=4=:0;52g<5kh?0qv?=0683>5<5283=;?4=c`a8y~758>0;6=4=:0;53<<5kk90qv?=0683>5<5283=4=4=ccc8y~758>0;6=4=:0;5<2<5kj;0qv?=0683>5<5283=4h4=cb:8y~758>0;6=4=:0;5=0<5kjl0qv?=0683>5<5283=5n4=ce48y~758>0;6=4=:0;5e6<5kmn0qv?=0683>5<5283=ml4=cd68y~758>0;6=4=:0;5f4<5klh0qv?=0683>5<5283=n54=cg08y~758>0;6=4=:0;5fc<5ko30qv?=0683>5<5283=o;4=d128y~758>0;6=4=:0;5ga<5l9=0qv?=0683>5<5283=h94=d1g8y~758>0;6=4=:0;5`g<5l8?0qv?=0683>5<5283=i?4=d0a8y~758>0;6=4=:0;5a<<5l;90qv?=0683>5<5283=j=4=d3c8y~758>0;6=4=:0;5b2<5l:;0qv?=0683>5<5283=jh4=d2:8y~758>0;6=4=:0;440<5l:l0qv?=0683>5<5283<0;6=4=:0;456<5l=n0qv?=0683>5<5283<=l4=d468y~758>0;6=4=:0;464<5l5<5283<>54=d708y~758>0;6=4=:0;46c<5l?30qv?=0683>5<52830;6=4=:0;47a<5l>=0qv?=0683>5<5283<894=d6g8y~758>0;6=4=:0;40g<5l1?0qv?=0683>5<5283<9?4=d9a8y~758>0;6=4=:0;41<<5l090qv?=0683>5<5283<:=4=d8c8y~758>0;6=4=:0;422<5lh;0qv?=0683>5<5283<:h4=d`:8y~758>0;6=4=:0;430<5lhl0qv?=0683>5<5283<;n4=dc48y~758>0;6=4=:0;4<6<5lkn0qv?=0683>5<5283<4l4=db68y~758>0;6=4=:0;4=4<5ljh0qv?=0683>5<5283<554=de08y~758>0;6=4=:0;4=c<5lm30qv?=0683>5<52830;6=4=:0;4ea<5ll=0qv?=0683>5<52830;6=4=:0;4fg<5lo?0qv?=0683>5<52830;6=4=:0;4g<<5m990qv?=0683>5<52830;6=4=:0;4`2<5m8;0qv?=0683>5<52830;6=4=:0;4a0<5m8l0qv?=0683>5<52830;6=4=:0;4b6<5m;n0qv?=0683>5<52830;6=4=:0;;44<5m:h0qv?=0683>5<52833<54=e508y~758>0;6=4=:0;;4c<5m=30qv?=0683>5<52833=;4=e428y~758>0;6=4=:0;;5a<5m<=0qv?=0683>5<52833>94=e4g8y~758>0;6=4=:0;;6g<5m?=0qv?=0683>5<52833??4=e628y~758>0;6=4=:0;;7<<5m>30qv?=0683>5<528338=4=e908y~758>0;6=4=:0;;02<5m1h0qv?=0683>5<528338h4=e868y~758>0;6=4=:0;;10<5m0n0qv?=0683>5<528339n4=e`48y~758>0;6=4=:0;;26<5mhn0qv?=0683>5<52833:l4=ec48y~758>0;6=4=:0;;34<5mkl0qv?=0683>5<52833;54=eb:8y~758>0;6=4=:0;;3c<5mm;0qv?=0683>5<528334;4=eec8y~758>0;6=4=:0;;5<52833594=eda8y~758>0;6=4=:0;;=g<5mo?0qv?=0683>5<528335k4=eg;8y~758>0;6=4=:0;;e6<5mon0qv?=0683>5<52833m54=f108y~758>0;6=4=:0;;ef<5n9<0qv?=0683>5<52833n<4=f1`8y~758>0;6=4=:0;;f0<5n9l0qv?=0683>5<52833nl4=f068y~758>0;6=4=:0;;f`<5n820qv?=0683>5<52833o>4=f0f8y~758>0;6=4=:0;;g2<5n;;0qv?=0683>5<52833on4=f348y~758>0;6=4=:0;;`5<5n;k0qv?=0683>5<52833h84=f3d8y~758>0;6=4=:0;;`<<5n:90qv?=0683>5<52833hh4=f2:8y~758>0;6=4=:0;;a7<5n:i0qv?=0683>5<52833i:4=f538y~758>0;6=4=:0;;ag<5n=?0qv?=0683>5<52833j=4=f5c8y~758>0;6=4=:0;;b2<5n<90qv?=0683>5<52833jh4=f4a8y~758>0;6=4=:0;:40<5n??0qv?=0683>5<528320;6=4=:0;:56<5n>=0qv?=0683>5<52832=l4=f928y~758>0;6=4=:0;:64<5n130qv?=0683>5<52832>54=f808y~758>0;6=4=:0;:6c<5n0h0qv?=0683>5<52832?;4=f`68y~758>0;6=4=:0;:7a<5nhn0qv?=0683>5<52832894=fc48y~758>0;6=4=:0;:0g<5nkl0qv?=0683>5<528329?4=fb:8y~758>0;6=4=:0;:13<5nji0qv?=0683>5<528329l4=fe28y~758>0;6=4=:0;:1c<5nm?0qv?=0683>5<52832:>4=fe;8y~758>0;6=4=:0;:2=<5nmo0qv?=0683>5<52832:n4=fd08y~758>0;6=4=:0;:34<5nl=0qv?=0683>5<52832;84=fd`8y~758>0;6=4=:0;:3d<5no:0qv?=0683>5<52832;h4=fg68y~758>0;6=4=:0;:<6<5no30qv?=0683>5<528324:4=fgf8y~758>0;6=4=:0;:5<528325=4<0148y~758>0;6=4=:0;:=0<489h0qv?=0683>5<52832544<01d8y~758>0;6=4=:0;:=`<488>0qv?=0683>5<52832m?4<00:8y~758>0;6=4=:0;:e2<488n0qv?=0683>5<52832mh4<0348y~758>0;6=4=:0;:f0<48;l0qv?=0683>5<52832nn4<02:8y~758>0;6=4=:0;:g6<48=;0qv?=0683>5<52832ol4<05c8y~758>0;6=4=:0;:`4<48<90qv?=0683>5<52832h54<04a8y~758>0;6=4=:0;:`c<48??0qv?=0683>5<52832i;4<07g8y~758>0;6=4=:0;:aa<48>=0qv?=0683>5<52832j94<0928y~758>0;6=4=:0;:bg<48130qv?=0683>5<5283j0;6=4=:0;b4<<480h0qv?=0683>5<5283j==4<0`08y~758>0;6=4=:0;b52<48h30qv?=0683>5<5283j=h4<0c28y~758>0;6=4=:0;b60<48k30qv?=0683>5<5283j>n4<0b08y~758>0;6=4=:0;b76<48jh0qv?=0683>5<5283j?l4<0e68y~758>0;6=4=:0;b04<48mn0qv?=0683>5<5283j854<0d48y~758>0;6=4=:0;b0c<48ln0qv?=0683>5<5283j9;4<0g68y~758>0;6=4=:0;b1a<48oh0qv?=0683>5<5283j:94<1108y~758>0;6=4=:0;b2g<49930qv?=0683>5<5283j;?4<1028y~758>0;6=4=:0;b3<<498=0qv?=0683>5<5283j4=4<10g8y~758>0;6=4=:0;b<2<49;?0qv?=0683>5<5283j4h4<13a8y~758>0;6=4=:0;b=0<49:90qv?=0683>5<5283j5n4<12c8y~758>0;6=4=:0;be6<49=;0qv?=0683>5<5283jml4<15:8y~758>0;6=4=:0;bf4<49=l0qv?=0683>5<5283jn54<1448y~758>0;6=4=:0;bfc<495<5283jo;4<17:8y~758>0;6=4=:0;bga<49>;0qv?=0683>5<5283jh94<16c8y~758>0;6=4=:0;b`g<49190qv?=0683>5<5283ji?4<19a8y~758>0;6=4=:0;ba<<49090qv?=0683>5<5283jj=4<18c8y~758>0;6=4=:0;bb2<49h;0qv?=0683>5<5283jjh4<1`:8y~758>0;6=4=:0;a40<49hl0qv?=0683>5<5283i0;6=4=:0;a56<49kn0qv?=0683>5<5283i=l4<1b68y~758>0;6=4=:0;a64<49jh0qv?=0683>5<5283i>54<1e08y~758>0;6=4=:0;a6c<49m30qv?=0683>5<5283i?;4<1d28y~758>0;6=4=:0;a7a<49l=0qv?=0683>5<5283i894<1dg8y~758>0;6=4=:0;a0g<49o?0qv?=0683>5<5283i9?4<1ga8y~758>0;6=4=:0;a1<<4:9?0qv?=0683>5<5283i:=4<21a8y~758>0;6=4=:0;a22<4:890qv?=0683>5<5283i:h4<20c8y~758>0;6=4=:0;a30<4:;;0qv?=0683>5<5283i;n4<23:8y~758>0;6=4=:0;a<6<4:;l0qv?=0683>5<5283i4l4<2248y~758>0;6=4=:0;a=4<4::n0qv?=0683>5<5283i554<2568y~758>0;6=4=:0;a=c<4:=h0qv?=0683>5<5283im;4<2408y~758>0;6=4=:0;aea<4:<30qv?=0683>5<5283in94<2728y~758>0;6=4=:0;afg<4:?=0qv?=0683>5<5283io?4<27g8y~758>0;6=4=:0;ag<<4:>?0qv?=0683>5<5283ioi4<26;8y~758>0;6=4=:0;a`4<4:>n0qv?=0683>5<5283ih;4<2908y~758>0;6=4=:0;a`d<4:1<0qv?=0683>5<5283ihk4<29`8y~758>0;6=4=:0;aa6<4:1l0qv?=0683>5<5283ii54<2868y~758>0;6=4=:0;aac<4:0h0qv?=0683>5<5283ij;4<2`08y~758>0;6=4=:0;aba<4:h30qv?=0683>5<5283h<94<2c28y~758>0;6=4=:0;`4=<4:k>0qv?=0683>5<5283h0;6=4=:0;`54<4:kn0qv?=0683>5<5283h=84<2b38y~758>0;6=4=:0;`5d<4:j<0qv?=0683>5<5283h=h4<2bc8y~758>0;6=4=:0;`66<4:jl0qv?=0683>5<5283h>l4<2e48y~758>0;6=4=:0;`74<4:mn0qv?=0683>5<5283h?54<2d68y~758>0;6=4=:0;`7c<4:lh0qv?=0683>5<5283h8;4<2g68y~758>0;6=4=:0;`0d<4:o20qv?=0683>5<5283h8h4<2ga8y~758>0;6=4=:0;`16<4;9;0qv?=0683>5<5283h9:4<3178y~758>0;6=4=:0;`1f<4;9k0qv?=0683>5<5283h:=4<31g8y~758>0;6=4=:0;`20<4;890qv?=0683>5<5283h:44<3058y~758>0;6=4=:0;`2`<4;8i0qv?=0683>5<5283h;?4<3328y~758>0;6=4=:0;`32<4;;?0qv?=0683>5<5283h;o4<33;8y~758>0;6=4=:0;`<5<4;;o0qv?=0683>5<5283h494<3208y~758>0;6=4=:0;`<<<4;:=0qv?=0683>5<5283h4i4<32`8y~758>0;6=4=:0;`=7<4;=:0qv?=0683>5<5283h5;4<3568y~758>0;6=4=:0;`=g<4;=30qv?=0683>5<5283h5k4<35f8y~758>0;6=4=:0;`e1<4;<80qv?=0683>5<5283hm54<3448y~758>0;6=4=:0;`ea<4;5<5283hn<4<34d8y~758>0;6=4=:0;`f3<4;?>0qv?=0683>5<5283hnl4<37:8y~758>0;6=4=:0;`fc<4;?n0qv?=0683>5<5283ho>4<3638y~758>0;6=4=:0;`g=<4;><0qv?=0683>5<5283hon4<36c8y~758>0;6=4=:0;``4<4;>l0qv?=0683>5<5283hh54<3948y~758>0;6=4=:0;``c<4;1n0qv?=0683>5<5283hi;4<3868y~758>0;6=4=:0;`aa<4;0h0qv?=0683>5<5283hj94<3`08y~758>0;6=4=:0;`bg<4;h30qv?=0683>5<5283o0;6=4=:0;g4<<4;k=0qv?=0683>5<5283o==4<3cg8y~758>0;6=4=:0;g52<4;j?0qv?=0683>5<5283o=h4<3ba8y~758>0;6=4=:0;g60<4;m90qv?=0683>5<5283o>n4<3ec8y~758>0;6=4=:0;g76<4;l;0qv?=0683>5<5283o?l4<3d:8y~758>0;6=4=:0;g04<4;ll0qv?=0683>5<5283o854<3g:8y~758>0;6=4=:0;g0f<4;oi0qv?=0683>5<5283o9=4<4128y~758>0;6=4=:0;g10<4<9?0qv?=0683>5<5283o944<41;8y~758>0;6=4=:0;g1`<4<9o0qv?=0683>5<5283o:?4<4008y~758>0;6=4=:0;g22<4<8=0qv?=0683>5<5283o:o4<40`8y~758>0;6=4=:0;g35<4<;:0qv?=0683>5<5283o;94<4368y~758>0;6=4=:0;g3<<4<;30qv?=0683>5<5283o;i4<43f8y~758>0;6=4=:0;g<7<4<:80qv?=0683>5<5283o4;4<4248y~758>0;6=4=:0;g5<5283o4k4<42d8y~758>0;6=4=:0;g=1<4<=>0qv?=0683>5<5283o554<45:8y~758>0;6=4=:0;g=a<4<=n0qv?=0683>5<5283om<4<4438y~758>0;6=4=:0;ge3<4<<<0qv?=0683>5<5283oml4<44c8y~758>0;6=4=:0;ge`<4<5<5283on>4<4718y~758>0;6=4=:0;gf2<45<5283onn4<47a8y~758>0;6=4=:0;gg5<4<>:0qv?=0683>5<5283oo84<4678y~758>0;6=4=:0;gg<<4<>30qv?=0683>5<5283ooh4<46g8y~758>0;6=4=:0;g`7<4<180qv?=0683>5<5283oh:4<4958y~758>0;6=4=:0;g`g<4<1h0qv?=0683>5<5283oi=4<4828y~758>0;6=4=:0;ga1<4<0>0qv?=0683>5<5283oi44<48;8y~758>0;6=4=:0;gaa<4<0n0qv?=0683>5<5283oj?4<4`08y~758>0;6=4=:0;gb3<45<5283ojo4<4``8y~758>0;6=4=:0;gbc<45<5283n<94<4c68y~758>0;6=4=:0;f4g<45<5283n=?4<4b48y~758>0;6=4=:0;f5<<45<5283n>=4<4e:8y~758>0;6=4=:0;f62<45<5283n>h4<4dc8y~758>0;6=4=:0;f70<45<5283n?n4<4ga8y~758>0;6=4=:0;f06<4=9?0qv?=0683>5<5283n8l4<51g8y~758>0;6=4=:0;f14<4=8=0qv?=0683>5<5283n954<5328y~758>0;6=4=:0;f1c<4=;30qv?=0683>5<5283n:;4<5208y~758>0;6=4=:0;f2a<4=:h0qv?=0683>5<5283n;94<5568y~758>0;6=4=:0;f3g<4==n0qv?=0683>5<5283n4?4<5448y~758>0;6=4=:0;f<<<4=5<5283n5=4<57:8y~758>0;6=4=:0;f=2<4=>;0qv?=0683>5<5283n5h4<56c8y~758>0;6=4=:0;fe0<4=1;0qv?=0683>5<5283nmi4<59;8y~758>0;6=4=:0;ff0<4=0;0qv?=0683>5<5283nni4<58;8y~758>0;6=4=:0;fg0<4=h;0qv?=0683>5<5283nol4<5`48y~758>0;6=4=:0;f`7<4=ho0qv?=0683>5<5283nhl4<5c48y~758>0;6=4=:0;f`c<4=kh0qv?=0683>5<5283ni:4<5b18y~758>0;6=4=:0;fac<4=jh0qv?=0683>5<5283nj94<5e28y~758>0;6=4=:0;fbf<4=m20qv?=0683>5<5283m<94<5d28y~758>0;6=4=:0;e4<<4=l?0qv?=0683>5<5283m=<4<5df8y~758>0;6=4=:0;e5<<4=o?0qv?=0683>5<5283m=h4<5gc8y~758>0;6=4=:0;e63<4>980qv?=0683>5<5283m>h4<61c8y~758>0;6=4=:0;e76<4>9l0qv?=0683>5<5283m?o4<6058y~758>0;6=4=:0;e07<4>8o0qv?=0683>5<5283m8:4<6318y~758>0;6=4=:0;e0c<4>;h0qv?=0683>5<5283m9;4<6208y~758>0;6=4=:0;e1g<4>:=0qv?=0683>5<5283m:>4<62d8y~758>0;6=4=:0;e2g<4>==0qv?=0683>5<5283m;>4<65d8y~758>0;6=4=:0;e3g<4><=0qv?=0683>5<5283m4>4<64d8y~758>0;6=4=:0;e?=0qv?=0683>5<5283m5=4<67a8y~758>0;6=4=:0;e==<4>>>0qv?=0683>5<5283mm=4<66a8y~758>0;6=4=:0;ee0<4>1;0qv?=0683>5<5283mmi4<69;8y~758>0;6=4=:0;ef0<4>0;0qv?=0683>5<5283mnl4<6848y~758>0;6=4=:0;eg7<4>0o0qv?=0683>5<5283mol4<6`48y~758>0;6=4=:0;egc<4>hh0qv?=0683>5<5283mh:4<6c18y~758>0;6=4=:0;e`c<4>kh0qv?=0683>5<5283mi94<6b28y~758>0;6=4=:0;eaf<4>j20qv?=0683>5<5283mj94<6e28y~758>0;6=4=:0;eb<<4>m?0qv?=0683>5<528k;<<4<6ef8y~758>0;6=4=:0c34<<4>l?0qv?=0683>5<528k;0;6=4=:0c350<4>o90qv?=0683>5<528k;=n4<6ga8y~758>0;6=4=:0c366<4?9?0qv?=0683>5<528k;>l4<71g8y~758>0;6=4=:0c374<4?8=0qv?=0683>5<528k;?54<7328y~758>0;6=4=:0c37c<4?;30qv?=0683>5<528k;8;4<7208y~758>0;6=4=:0c30a<4?:h0qv?=0683>5<528k;994<7568y~758>0;6=4=:0c31g<4?=n0qv?=0683>5<528k;:?4<7448y~758>0;6=4=:0c32<<4?5<528k;;=4<77:8y~758>0;6=4=:0c332<4?>;0qv?=0683>5<528k;;h4<76c8y~758>0;6=4=:0c3<0<4?190qv?=0683>5<528k;4n4<79a8y~758>0;6=4=:0c3=6<4?0?0qv?=0683>5<528k;5l4<78g8y~758>0;6=4=:0c3e4<4?h=0qv?=0683>5<528k;m54<7c28y~758>0;6=4=:0c3ec<4?k30qv?=0683>5<528k;n;4<7b08y~758>0;6=4=:0c3fa<4?jh0qv?=0683>5<528k;o94<7e68y~758>0;6=4=:0c3gg<4?mn0qv?=0683>5<528k;h?4<7d48y~758>0;6=4=:0c3`<<4?ll0qv?=0683>5<528k;i=4<7g:8y~758>0;6=4=:0c3a2<409;0qv?=0683>5<528k;ih4<81c8y~758>0;6=4=:0c3b0<40890qv?=0683>5<528k;jn4<80a8y~758>0;6=4=:0c246<40;?0qv?=0683>5<528k:0;6=4=:0c254<40:=0qv?=0683>5<528k:=54<8528y~758>0;6=4=:0c25c<40=30qv?=0683>5<528k:>;4<8408y~758>0;6=4=:0c26a<405<528k:?94<8768y~758>0;6=4=:0c27g<40?n0qv?=0683>5<528k:8?4<8648y~758>0;6=4=:0c20<<40>l0qv?=0683>5<528k:9=4<89:8y~758>0;6=4=:0c212<400;0qv?=0683>5<528k:9h4<88c8y~758>0;6=4=:0c220<40h90qv?=0683>5<528k::n4<8`a8y~758>0;6=4=:0c236<40k?0qv?=0683>5<528k:;l4<8cg8y~758>0;6=4=:0c2<4<40j=0qv?=0683>5<528k:454<8e28y~758>0;6=4=:0c25<528k:5;4<8d08y~758>0;6=4=:0c2=a<40lh0qv?=0683>5<528k:m94<8g68y~758>0;6=4=:0c2eg<40on0qv?=0683>5<528k:n?4<9148y~758>0;6=4=:0c2f<<419l0qv?=0683>5<528k:o=4<90:8y~758>0;6=4=:0c2g2<41;;0qv?=0683>5<528k:oh4<93c8y~758>0;6=4=:0c2`0<41:90qv?=0683>5<528k:hn4<92a8y~758>0;6=4=:0c2a6<41=?0qv?=0683>5<528k:il4<95g8y~758>0;6=4=:0c2b4<41<=0qv?=0683>5<528k:j54<9728y~758>0;6=4=:0c2bc<41?30qv?=0683>5<528k9<;4<9608y~758>0;6=4=:0c14a<41>h0qv?=0683>5<528k9=94<9968y~758>0;6=4=:0c15g<411n0qv?=0683>5<528k9>?4<9848y~758>0;6=4=:0c16<<410l0qv?=0683>5<528k9?=4<9`:8y~758>0;6=4=:0c172<41k;0qv?=0683>5<528k9?h4<9cc8y~758>0;6=4=:0c100<41j90qv?=0683>5<528k98n4<9ba8y~758>0;6=4=:0c116<41m?0qv?=0683>5<528k99l4<9eg8y~758>0;6=4=:0c124<41l=0qv?=0683>5<528k9:54<9g28y~758>0;6=4=:0c12c<41o30qv?=0683>5<528k9;;40;6=4=:0c13a<4i9h0qv?=0683>5<528k94940;6=4=:0c15<528k95?40;6=4=:0c1=<<4i;l0qv?=0683>5<528k9m=40;6=4=:0c1e2<4i=;0qv?=0683>5<528k9mh40;6=4=:0c1f0<4i<90qv?=0683>5<528k9nn40;6=4=:0c1g6<4i??0qv?=0683>5<528k9ol40;6=4=:0c1`4<4i>=0qv?=0683>5<528k9h540;6=4=:0c1`c<4i130qv?=0683>5<528k9i;40;6=4=:0c1aa<4i0h0qv?=0683>5<528k9j940;6=4=:0c1bg<4ihn0qv?=0683>5<528k80;6=4=:0c04<<4ikl0qv?=0683>5<528k8==40;6=4=:0c052<4im;0qv?=0683>5<528k8=h40;6=4=:0c060<4il90qv?=0683>5<528k8>n40;6=4=:0c076<4io?0qv?=0683>5<528k8?l40;6=4=:0c004<4j9=0qv?=0683>5<528k88540;6=4=:0c00c<4j830qv?=0683>5<528k89;40;6=4=:0c01a<4j;h0qv?=0683>5<528k8:940;6=4=:0c02g<4j:n0qv?=0683>5<528k8;?40;6=4=:0c03<<4j=l0qv?=0683>5<528k84=40;6=4=:0c0<2<4j?;0qv?=0683>5<528k84h40;6=4=:0c0=0<4j>90qv?=0683>5<528k85n40;6=4=:0c0e6<4j1?0qv?=0683>5<528k8ml40;6=4=:0c0f4<4j0?0qv?=0683>5<528k8n540;6=4=:0c0fc<4jh90qv?=0683>5<528k8o;40;6=4=:0c0ga<4jk;0qv?=0683>5<528k8h940;6=4=:0c0`g<4jkl0qv?=0683>5<528k8i?40;6=4=:0c0a<<4jjn0qv?=0683>5<528k8j=40;6=4=:0c0b2<4jmh0qv?=0683>5<528k8jh40;6=4=:0c740<4jl30qv?=0683>5<528k?0;6=4=:0c756<4jo=0qv?=0683>5<528k?=l40;6=4=:0c764<4k9?0qv?=0683>5<528k?>540;6=4=:0c76c<4k890qv?=0683>5<528k??;40;6=4=:0c77a<4k;;0qv?=0683>5<528k?8940;6=4=:0c70g<4k;l0qv?=0683>5<528k?9?40;6=4=:0c71<<4k:n0qv?=0683>5<528k?:=40;6=4=:0c722<4k=h0qv?=0683>5<528k?:h40;6=4=:0c730<4k<30qv?=0683>5<528k?;n40;6=4=:0c7<6<4k?=0qv?=0683>5<528k?4l40;6=4=:0c7=4<4k>?0qv?=0683>5<528k?5540;6=4=:0c7=c<4k190qv?=0683>5<528k?m;40;6=4=:0c7ea<4k0;0qv?=0683>5<528k?n940;6=4=:0c7fg<4k0l0qv?=0683>5<528k?o?40;6=4=:0c7g<<4khn0qv?=0683>5<528k?h=40;6=4=:0c7`2<4kkh0qv?=0683>5<528k?hh40;6=4=:0c7a0<4kj30qv?=0683>5<528k?in40;6=4=:0c7b6<4km=0qv?=0683>5<528k?jl40;6=4=:0c644<4kl?0qv?=0683>5<528k><540;6=4=:0c64c<4ko90qv?=0683>5<528k>=;40;6=4=:0c65a<4l9;0qv?=0683>5<528k>>940;6=4=:0c66g<4l9l0qv?=0683>5<528k>??40;6=4=:0c67<<4l8n0qv?=0683>5<528k>8=40;6=4=:0c602<4l;h0qv?=0683>5<528k>8h40;6=4=:0c610<4l:30qv?=0683>5<528k>9n40;6=4=:0c626<4l==0qv?=0683>5<528k>:l40;6=4=:0c634<4l5<528k>;540;6=4=:0c63c<4l?90qv?=0683>5<528k>4;40;6=4=:0c6;0qv?=0683>5<528k>5940;6=4=:0c6=g<4l>l0qv?=0683>5<528k>m?40;6=4=:0c6e<<4l1n0qv?=0683>5<528k>n=40;6=4=:0c6f2<4l0h0qv?=0683>5<528k>nh40;6=4=:0c6g0<4lh30qv?=0683>5<528k>on40;6=4=:0c6`6<4lk=0qv?=0683>5<528k>hl40;6=4=:0c6a4<4lj?0qv?=0683>5<528k>i540;6=4=:0c6ac<4lm90qv?=0683>5<528k>j;40;6=4=:0c6ba<4ll;0qv?=0683>5<528k=<940;6=4=:0c54g<4lll0qv?=0683>5<528k==?40;6=4=:0c55<<4lon0qv?=0683>5<528k=>=40;6=4=:0c562<4m9h0qv?=0683>5<528k=>h40;6=4=:0c570<4m830qv?=0683>5<528k=?n40;6=4=:0c506<4m;=0qv?=0683>5<528k=8l40;6=4=:0c514<4m:?0qv?=0683>5<528k=9540;6=4=:0c51c<4m=90qv?=0683>5<528k=:;40;6=4=:0c52a<4m<;0qv?=0683>5<528k=;940;6=4=:0c53g<4m5<528k=4?40;6=4=:0c5<<<4m?n0qv?=0683>5<528k=5=40;6=4=:0c5=2<4m>h0qv?=0683>5<528k=5h40;6=4=:0c5e0<4m130qv?=0683>5<528k=mn40;6=4=:0c5f6<4m0=0qv?=0683>5<528k=nl40;6=4=:0c5g4<4mh?0qv?=0683>5<528k=o540;6=4=:0c5gc<4mk90qv?=0683>5<528k=h;40;6=4=:0c5`a<4mj;0qv?=0683>5<528k=i940;6=4=:0c5ag<4mjl0qv?=0683>5<528k=j?40;6=4=:0c5b<<4mmn0qv?=0683>5<528k<<=40;6=4=:0c442<4mlh0qv?=0683>5<528k<0;6=4=:0c450<4mo30qv?=0683>5<528k<=n40;6=4=:0c466<4n9=0qv?=0683>5<528k<>l40;6=4=:0c474<4n8?0qv?=0683>5<528k0;6=4=:0c47c<4n;90qv?=0683>5<528k<8;40;6=4=:0c40a<4n:;0qv?=0683>5<528k<9940;6=4=:0c41g<4n:l0qv?=0683>5<528k<:?40;6=4=:0c42<<4n=n0qv?=0683>5<528k<;=40;6=4=:0c432<4n5<528k<;h40;6=4=:0c4<0<4n?30qv?=0683>5<528k<4n40;6=4=:0c4=6<4n>=0qv?=0683>5<528k<5l40;6=4=:0c4e4<4n1?0qv?=0683>5<528k0;6=4=:0c4ec<4n090qv?=0683>5<528k0;6=4=:0c4fa<4nh;0qv?=0683>5<528k0;6=4=:0c4gg<4nhl0qv?=0683>5<528k0;6=4=:0c4`<<4nkn0qv?=0683>5<528k0;6=4=:0c4a2<4njh0qv?=0683>5<528k0;6=4=:0c4b0<4nm30qv?=0683>5<528k0;6=4=:0c;46<4nl=0qv?=0683>5<528k30;6=4=:0c;54<4no?0qv?=0683>5<528k3=540;6=4=:0c;5c<38990qv?=0683>5<528k3>;4;01c8y~758>0;6=4=:0c;6a<388;0qv?=0683>5<528k3?94;00:8y~758>0;6=4=:0c;7g<388l0qv?=0683>5<528k38?4;0348y~758>0;6=4=:0c;0<<38;n0qv?=0683>5<528k39=4;0268y~758>0;6=4=:0c;12<38:h0qv?=0683>5<528k39h4;0508y~758>0;6=4=:0c;20<38=30qv?=0683>5<528k3:n4;0428y~758>0;6=4=:0c;36<38<=0qv?=0683>5<528k3;l4;04g8y~758>0;6=4=:0c;<4<38??0qv?=0683>5<528k3454;07a8y~758>0;6=4=:0c;90qv?=0683>5<528k35;4;06c8y~758>0;6=4=:0c;=a<381;0qv?=0683>5<528k3m94;09:8y~758>0;6=4=:0c;eg<381l0qv?=0683>5<528k3n?4;0848y~758>0;6=4=:0c;f<<380n0qv?=0683>5<528k3o=4;0`68y~758>0;6=4=:0c;g2<38hh0qv?=0683>5<528k3oh4;0c08y~758>0;6=4=:0c;`0<38k30qv?=0683>5<528k3hn4;0b28y~758>0;6=4=:0c;a6<38j=0qv?=0683>5<528k3il4;0bg8y~758>0;6=4=:0c;b4<38m?0qv?=0683>5<528k3j54;0ea8y~758>0;6=4=:0c;bc<38l90qv?=0683>5<528k2<;4;0dc8y~758>0;6=4=:0c:4a<38o;0qv?=0683>5<528k2=94;0g:8y~758>0;6=4=:0c:5g<38ol0qv?=0683>5<528k2>?4;1148y~758>0;6=4=:0c:6<<399n0qv?=0683>5<528k2?=4;1068y~758>0;6=4=:0c:72<398h0qv?=0683>5<528k2?h4;1308y~758>0;6=4=:0c:00<39;30qv?=0683>5<528k28n4;1228y~758>0;6=4=:0c:16<39:=0qv?=0683>5<528k29l4;12g8y~758>0;6=4=:0c:24<39=?0qv?=0683>5<528k2:54;15a8y~758>0;6=4=:0c:2c<39<90qv?=0683>5<528k2;;4;14c8y~758>0;6=4=:0c:3a<39?;0qv?=0683>5<528k2494;17:8y~758>0;6=4=:0c:5<528k25?4;1648y~758>0;6=4=:0c:=<<39>n0qv?=0683>5<528k2m=4;1968y~758>0;6=4=:0c:e2<391h0qv?=0683>5<528k2mh4;1808y~758>0;6=4=:0c:f0<39030qv?=0683>5<528k2nn4;1`28y~758>0;6=4=:0c:g6<39h=0qv?=0683>5<528k2ol4;1`g8y~758>0;6=4=:0c:`4<39k?0qv?=0683>5<528k2h54;1ca8y~758>0;6=4=:0c:`c<39j90qv?=0683>5<528k2i;4;1bc8y~758>0;6=4=:0c:aa<39m;0qv?=0683>5<528k2j94;1e:8y~758>0;6=4=:0c:bg<39ml0qv?=0683>5<528kj0;6=4=:0cb4<<39ln0qv?=0683>5<528kj==4;1g68y~758>0;6=4=:0cb52<39oh0qv?=0683>5<528kj=h4;2108y~758>0;6=4=:0cb60<3:930qv?=0683>5<528kj>n4;2028y~758>0;6=4=:0cb76<3:8=0qv?=0683>5<528kj?l4;20g8y~758>0;6=4=:0cb04<3:;?0qv?=0683>5<528kj854;23a8y~758>0;6=4=:0cb0c<3::90qv?=0683>5<528kj9;4;22c8y~758>0;6=4=:0cb1a<3:=;0qv?=0683>5<528kj:94;25:8y~758>0;6=4=:0cb2g<3:=l0qv?=0683>5<528kj;?4;2448y~758>0;6=4=:0cb3<<3:5<528kj4=4;2768y~758>0;6=4=:0cb<2<3:?h0qv?=0683>5<528kj4h4;2608y~758>0;6=4=:0cb=0<3:>30qv?=0683>5<528kj5n4;2928y~758>0;6=4=:0cbe6<3:1=0qv?=0683>5<528kjml4;29g8y~758>0;6=4=:0cbf4<3:0?0qv?=0683>5<528kjn54;28a8y~758>0;6=4=:0cbfc<3:h90qv?=0683>5<528kjo;4;2`c8y~758>0;6=4=:0cbga<3:k;0qv?=0683>5<528kjh94;2c:8y~758>0;6=4=:0cb`g<3:kl0qv?=0683>5<528kji?4;2b48y~758>0;6=4=:0cba<<3:jn0qv?=0683>5<528kjj=4;2e68y~758>0;6=4=:0cbb2<3:mh0qv?=0683>5<528kjjh4;2d08y~758>0;6=4=:0ca40<3:l30qv?=0683>5<528ki0;6=4=:0ca56<3:o=0qv?=0683>5<528ki=l4;2gg8y~758>0;6=4=:0ca64<3;9?0qv?=0683>5<528ki>54;31a8y~758>0;6=4=:0ca6c<3;890qv?=0683>5<528ki?;4;30c8y~758>0;6=4=:0ca7a<3;;;0qv?=0683>5<528ki894;33:8y~758>0;6=4=:0ca0g<3;;l0qv?=0683>5<528ki9?4;3248y~758>0;6=4=:0ca1<<3;:n0qv?=0683>5<528ki:=4;3568y~758>0;6=4=:0ca22<3;=h0qv?=0683>5<528ki:h4;3408y~758>0;6=4=:0ca30<3;<30qv?=0683>5<528ki;n4;3728y~758>0;6=4=:0ca<6<3;?=0qv?=0683>5<528ki4l4;37g8y~758>0;6=4=:0ca=4<3;>?0qv?=0683>5<528ki554;36a8y~758>0;6=4=:0ca=c<3;190qv?=0683>5<528kim;4;39c8y~758>0;6=4=:0caea<3;0;0qv?=0683>5<528kin94;38:8y~758>0;6=4=:0cafg<3;0l0qv?=0683>5<528kio?4;3`48y~758>0;6=4=:0cag<<3;hn0qv?=0683>5<528kih=4;3c68y~758>0;6=4=:0ca`2<3;kh0qv?=0683>5<528kihh4;3b08y~758>0;6=4=:0caa0<3;j30qv?=0683>5<528kiin4;3e28y~758>0;6=4=:0cab6<3;m=0qv?=0683>5<528kijl4;3eg8y~758>0;6=4=:0c`44<3;l?0qv?=0683>5<528kh<54;3da8y~758>0;6=4=:0c`4c<3;o90qv?=0683>5<528kh=;4;3gc8y~758>0;6=4=:0c`5a<3<9;0qv?=0683>5<528kh>94;41:8y~758>0;6=4=:0c`6g<3<9l0qv?=0683>5<528kh??4;4048y~758>0;6=4=:0c`7<<3<8n0qv?=0683>5<528kh8=4;4368y~758>0;6=4=:0c`02<3<;h0qv?=0683>5<528kh8h4;4208y~758>0;6=4=:0c`10<3<:30qv?=0683>5<528kh9n4;4528y~758>0;6=4=:0c`26<3<==0qv?=0683>5<528kh:l4;45g8y~758>0;6=4=:0c`34<3<5<528kh;54;44a8y~758>0;6=4=:0c`3c<35<528kh4;4;47c8y~758>0;6=4=:0c`;0qv?=0683>5<528kh594;46:8y~758>0;6=4=:0c`=g<3<>l0qv?=0683>5<528khm?4;4948y~758>0;6=4=:0c`e<<3<1n0qv?=0683>5<528khn=4;4868y~758>0;6=4=:0c`f2<3<0h0qv?=0683>5<528khnh4;4`08y~758>0;6=4=:0c`g0<35<528khon4;4c28y~758>0;6=4=:0c``6<35<528khhl4;4cg8y~758>0;6=4=:0c`a4<35<528khi54;4ba8y~758>0;6=4=:0c`ac<35<528khj;4;4ec8y~758>0;6=4=:0c`ba<35<528ko<94;4d:8y~758>0;6=4=:0cg4g<35<528ko=?4;4g48y~758>0;6=4=:0cg5<<35<528ko>=4;5168y~758>0;6=4=:0cg62<3=9h0qv?=0683>5<528ko>h4;5008y~758>0;6=4=:0cg70<3=830qv?=0683>5<528ko?n4;5328y~758>0;6=4=:0cg06<3=;=0qv?=0683>5<528ko8l4;53g8y~758>0;6=4=:0cg14<3=:=0qv?=0683>5<528ko954;5528y~758>0;6=4=:0cg1c<3==30qv?=0683>5<528ko:;4;5408y~758>0;6=4=:0cg2a<3=5<528ko;94;5768y~758>0;6=4=:0cg3g<3=?n0qv?=0683>5<528ko4?4;5648y~758>0;6=4=:0cg<<<3=>l0qv?=0683>5<528ko5=4;59:8y~758>0;6=4=:0cg=2<3=0;0qv?=0683>5<528ko5h4;58c8y~758>0;6=4=:0cge0<3=h90qv?=0683>5<528komn4;5`a8y~758>0;6=4=:0cgf4<3=k;0qv?=0683>5<528kon84;5c78y~758>0;6=4=:0cgfd<3=kk0qv?=0683>5<528konh4;5cg8y~758>0;6=4=:0cgg6<3=j90qv?=0683>5<528koo:4;5b58y~758>0;6=4=:0cggf<3=ji0qv?=0683>5<528koh=4;5e28y~758>0;6=4=:0cg`0<3=m?0qv?=0683>5<528koh44;5e;8y~758>0;6=4=:0cg``<3=mo0qv?=0683>5<528koi?4;5d08y~758>0;6=4=:0cga2<3=l=0qv?=0683>5<528koio4;5d`8y~758>0;6=4=:0cgb5<3=o:0qv?=0683>5<528koj94;5g68y~758>0;6=4=:0cgb<<3=o30qv?=0683>5<528koji4;5gf8y~758>0;6=4=:0cf44<3>9;0qv?=0683>5<528kn<84;6178y~758>0;6=4=:0cf4<<3>930qv?=0683>5<528kn0;6=4=:0cf57<3>880qv?=0683>5<528kn=:4;6058y~758>0;6=4=:0cf5g<3>8h0qv?=0683>5<528kn>=4;6328y~758>0;6=4=:0cf61<3>;>0qv?=0683>5<528kn>44;63;8y~758>0;6=4=:0cf6a<3>;n0qv?=0683>5<528kn??4;6208y~758>0;6=4=:0cf73<3>:<0qv?=0683>5<528kn?o4;62`8y~758>0;6=4=:0cf7c<3>:l0qv?=0683>5<528kn894;6568y~758>0;6=4=:0cf0=<3>=20qv?=0683>5<528kn8i4;65f8y~758>0;6=4=:0cf14<3><;0qv?=0683>5<528kn9;4;6448y~758>0;6=4=:0cf1d<3>5<528kn9k4;64d8y~758>0;6=4=:0cf26<3>?90qv?=0683>5<528kn:54;67:8y~758>0;6=4=:0cf2f<3>?i0qv?=0683>5<528kn;<4;6638y~758>0;6=4=:0cf30<3>>?0qv?=0683>5<528kn;l4;66c8y~758>0;6=4=:0cf3`<3>>o0qv?=0683>5<528kn4>4;6918y~758>0;6=4=:0cf<2<3>1=0qv?=0683>5<528kn4n4;69a8y~758>0;6=4=:0cf=5<3>0:0qv?=0683>5<528kn584;6878y~758>0;6=4=:0cf=<<3>030qv?=0683>5<528kn5h4;68g8y~758>0;6=4=:0cfe7<3>h80qv?=0683>5<528knm:4;6`58y~758>0;6=4=:0cfeg<3>hh0qv?=0683>5<528knn=4;6c28y~758>0;6=4=:0cff1<3>k>0qv?=0683>5<528knn44;6c;8y~758>0;6=4=:0cffa<3>kn0qv?=0683>5<528kno?4;6b08y~758>0;6=4=:0cfg3<3>j<0qv?=0683>5<528knoo4;6b`8y~758>0;6=4=:0cfgc<3>jl0qv?=0683>5<528knh94;6e68y~758>0;6=4=:0cf`=<3>m20qv?=0683>5<528knhi4;6ef8y~758>0;6=4=:0cfa4<3>l;0qv?=0683>5<528kni;4;6d48y~758>0;6=4=:0cfad<3>lk0qv?=0683>5<528knik4;6dd8y~758>0;6=4=:0cfb6<3>o90qv?=0683>5<528knj54;6g:8y~758>0;6=4=:0cfbf<3>oi0qv?=0683>5<528km<<4;7138y~758>0;6=4=:0ce40<3?9?0qv?=0683>5<528km0;6=4=:0ce4`<3?9o0qv?=0683>5<528km=>4;7018y~758>0;6=4=:0ce52<3?8=0qv?=0683>5<528km=n4;70a8y~758>0;6=4=:0ce64<3?;;0qv?=0683>5<528km>:4;7358y~758>0;6=4=:0ce6a<3?;n0qv?=0683>5<528km??4;7208y~758>0;6=4=:0ce7=<3?:20qv?=0683>5<528km?h4;72g8y~758>0;6=4=:0ce06<3?=90qv?=0683>5<528km844;75;8y~758>0;6=4=:0ce0c<3?=l0qv?=0683>5<528km994;7468y~758>0;6=4=:0ce1d<3?5<528km:=4;7728y~758>0;6=4=:0ce20<3???0qv?=0683>5<528km:o4;77`8y~758>0;6=4=:0ce34<3?>;0qv?=0683>5<528km;;4;7648y~758>0;6=4=:0ce3f<3?>i0qv?=0683>5<528km4?4;7908y~758>0;6=4=:0ce<2<3?1=0qv?=0683>5<528km4i4;79f8y~758>0;6=4=:0ce=6<3?090qv?=0683>5<528km554;78:8y~758>0;6=4=:0ce=`<3?0o0qv?=0683>5<528kmm94;7`68y~758>0;6=4=:0cee<<3?h30qv?=0683>5<528kmmk4;7`d8y~758>0;6=4=:0cef0<3?k?0qv?=0683>5<528kmnl4;7cc8y~758>0;6=4=:0ceg5<3?j:0qv?=0683>5<528kmo;4;7b48y~758>0;6=4=:0cegg<3?jh0qv?=0683>5<528kmh<4;7e38y~758>0;6=4=:0ce`2<3?m=0qv?=0683>5<528kmhn4;7ea8y~758>0;6=4=:0cea7<3?l80qv?=0683>5<528kmi54;7d:8y~758>0;6=4=:0ceaa<3?ln0qv?=0683>5<528kmj>4;7g18y~758>0;6=4=:0ceb<<3?o30qv?=0683>5<528kmjh4;7gg8y~758>0;6=4=:0`341<309>0qv?=0683>5<528h;0;6=4=:0`34c<309l0qv?=0683>5<528h;=>4;8018y~758>0;6=4=:0`35=<30820qv?=0683>5<528h;>=4;8328y~758>0;6=4=:0`360<30;?0qv?=0683>5<528h;>i4;83f8y~758>0;6=4=:0`377<30:80qv?=0683>5<528h;?l4;82c8y~758>0;6=4=:0`37c<30:l0qv?=0683>5<528h;8:4;8558y~758>0;6=4=:0`30f<30=i0qv?=0683>5<528h;994;8468y~758>0;6=4=:0`31g<305<528h;:?4;8748y~758>0;6=4=:0`32<<30?l0qv?=0683>5<528h;;=4;86:8y~758>0;6=4=:0`332<301;0qv?=0683>5<528h;;h4;89c8y~758>0;6=4=:0`3<0<30090qv?=0683>5<528h;4n4;88a8y~758>0;6=4=:0`3=6<30h?0qv?=0683>5<528h;5l4;8`g8y~758>0;6=4=:0`3e4<30k=0qv?=0683>5<528h;m54;8b28y~758>0;6=4=:0`3ec<30j30qv?=0683>5<528h;n;4;8e08y~758>0;6=4=:0`3fa<30mh0qv?=0683>5<528h;o94;8d68y~758>0;6=4=:0`3gg<30ln0qv?=0683>5<528h;h?4;8g48y~758>0;6=4=:0`3`<<30ol0qv?=0683>5<528h;i=4;91:8y~758>0;6=4=:0`3a2<318;0qv?=0683>5<528h;ih4;90c8y~758>0;6=4=:0`3b0<31;90qv?=0683>5<528h;jn4;93a8y~758>0;6=4=:0`246<31:?0qv?=0683>5<528h:0;6=4=:0`254<31==0qv?=0683>5<528h:=54;9428y~758>0;6=4=:0`25c<31<30qv?=0683>5<528h:>;4;9708y~758>0;6=4=:0`26a<31?h0qv?=0683>5<528h:?94;9668y~758>0;6=4=:0`27g<31>n0qv?=0683>5<528h:8?4;9948y~758>0;6=4=:0`20<<311l0qv?=0683>5<528h:9=4;98:8y~758>0;6=4=:0`212<31h;0qv?=0683>5<528h:9h4;9`c8y~758>0;6=4=:0`220<31k90qv?=0683>5<528h::n4;9ca8y~758>0;6=4=:0`236<31j?0qv?=0683>5<528h:;l4;9bg8y~758>0;6=4=:0`2<4<31m=0qv?=0683>5<528h:454;9d28y~758>0;6=4=:0`25<528h:5;4;9g08y~758>0;6=4=:0`2=a<31oh0qv?=0683>5<528h:m94;a168y~758>0;6=4=:0`2e=<3i920qv?=0683>5<528h:mh4;a1g8y~758>0;6=4=:0`2f7<3i880qv?=0683>5<528h:n:4;a058y~758>0;6=4=:0`2fa<3i8n0qv?=0683>5<528h:o<4;a338y~758>0;6=4=:0`2g0<3i;?0qv?=0683>5<528h:ol4;a3c8y~758>0;6=4=:0`2`5<3i::0qv?=0683>5<528h:h94;a268y~758>0;6=4=:0`2`=<3i:20qv?=0683>5<528h:hi4;a2f8y~758>0;6=4=:0`2a6<3i=90qv?=0683>5<528h:i:4;a558y~758>0;6=4=:0`2ag<3i=h0qv?=0683>5<528h:j=4;a428y~758>0;6=4=:0`2b3<3i<<0qv?=0683>5<528h:jl4;a4c8y~758>0;6=4=:0`2b`<3i5<528h9<>4;a718y~758>0;6=4=:0`14<<3i?30qv?=0683>5<528h90;6=4=:0`154<3i>;0qv?=0683>5<528h9=;4;a648y~758>0;6=4=:0`15f<3i>i0qv?=0683>5<528h9>=4;a928y~758>0;6=4=:0`161<3i1>0qv?=0683>5<528h9>44;a9;8y~758>0;6=4=:0`16c<3i1l0qv?=0683>5<528h9?>4;a818y~758>0;6=4=:0`172<3i0=0qv?=0683>5<528h9?n4;a8a8y~758>0;6=4=:0`107<3ih80qv?=0683>5<528h98;4;a`48y~758>0;6=4=:0`10d<3ihk0qv?=0683>5<528h98k4;a`d8y~758>0;6=4=:0`110<3ik?0qv?=0683>5<528h9944;ac;8y~758>0;6=4=:0`11a<3ikn0qv?=0683>5<528h9:?4;ab08y~758>0;6=4=:0`12=<3ij20qv?=0683>5<528h9:n4;aba8y~758>0;6=4=:0`135<3im:0qv?=0683>5<528h9;84;ae78y~758>0;6=4=:0`13g<3imh0qv?=0683>5<528h9;k4;aed8y~758>0;6=4=:0`1<6<3il90qv?=0683>5<528h9454;ad:8y~758>0;6=4=:0`1<`<3ilo0qv?=0683>5<528h95?4;ag08y~758>0;6=4=:0`1=3<3io<0qv?=0683>5<528h95o4;ag`8y~758>0;6=4=:0`1e4<3j9;0qv?=0683>5<528h9m84;b178y~758>0;6=4=:0`1e<<3j930qv?=0683>5<528h9mh4;b1g8y~758>0;6=4=:0`1f1<3j8>0qv?=0683>5<528h9n54;b0:8y~758>0;6=4=:0`1ff<3j8i0qv?=0683>5<528h9o<4;b338y~758>0;6=4=:0`1g2<3j;=0qv?=0683>5<528h9oo4;b3`8y~758>0;6=4=:0`1`7<3j:80qv?=0683>5<528h9h44;b2;8y~758>0;6=4=:0`1a5<3j=:0qv?=0683>5<528h9i:4;b558y~758>0;6=4=:0`1a`<3j=o0qv?=0683>5<528h9j84;b478y~758>0;6=4=:0`1bf<3j5<528h8<>4;b718y~758>0;6=4=:0`04d<3j?k0qv?=0683>5<528h8=<4;b638y~758>0;6=4=:0`05=<3j>20qv?=0683>5<528h8=k4;b6d8y~758>0;6=4=:0`063<3j1<0qv?=0683>5<528h8>i4;b9f8y~758>0;6=4=:0`071<3j0>0qv?=0683>5<528h8?o4;b8`8y~758>0;6=4=:0`007<3jh80qv?=0683>5<528h8844;b`;8y~758>0;6=4=:0`015<3jk:0qv?=0683>5<528h89:4;bc58y~758>0;6=4=:0`01`<3jko0qv?=0683>5<528h8:84;bb78y~758>0;6=4=:0`02f<3jji0qv?=0683>5<528h8;>4;be18y~758>0;6=4=:0`03d<3jmk0qv?=0683>5<528h84<4;bd38y~758>0;6=4=:0`0<=<3jl20qv?=0683>5<528h84k4;bdd8y~758>0;6=4=:0`0=3<3jo<0qv?=0683>5<528h85i4;bgf8y~758>0;6=4=:0`0e1<3k9>0qv?=0683>5<528h8mo4;c1`8y~758>0;6=4=:0`0f7<3k880qv?=0683>5<528h8n44;c0;8y~758>0;6=4=:0`0g5<3k;:0qv?=0683>5<528h8o:4;c358y~758>0;6=4=:0`0g`<3k::0qv?=0683>5<528h8h84;c2;8y~758>0;6=4=:0`0`f<3k=80qv?=0683>5<528h8i>4;c5`8y~758>0;6=4=:0`0ad<3k<>0qv?=0683>5<528h8j<4;c4f8y~758>0;6=4=:0`0b=<3k?<0qv?=0683>5<528h8jk4;c7d8y~758>0;6=4=:0`743<3k><0qv?=0683>5<528h?0;6=4=:0`751<3k1>0qv?=0683>5<528h?=o4;c9`8y~758>0;6=4=:0`767<3k080qv?=0683>5<528h?>44;c8;8y~758>0;6=4=:0`775<3kh:0qv?=0683>5<528h??:4;c`58y~758>0;6=4=:0`77`<3kho0qv?=0683>5<528h?884;cc78y~758>0;6=4=:0`70f<3kki0qv?=0683>5<528h?9>4;cb18y~758>0;6=4=:0`71d<3kjk0qv?=0683>5<528h?:<4;ce38y~758>0;6=4=:0`72=<3km20qv?=0683>5<528h?:k4;ced8y~758>0;6=4=:0`733<3kl<0qv?=0683>5<528h?;i4;cdf8y~758>0;6=4=:0`7<1<3ko>0qv?=0683>5<528h?4o4;cg`8y~758>0;6=4=:0`7=7<3l980qv?=0683>5<528h?544;d1;8y~758>0;6=4=:0`7e5<3l8:0qv?=0683>5<528h?m:4;d058y~758>0;6=4=:0`7e`<3l8o0qv?=0683>5<528h?n84;d378y~758>0;6=4=:0`7ff<3l;i0qv?=0683>5<528h?o>4;d218y~758>0;6=4=:0`7gd<3l:k0qv?=0683>5<528h?h<4;d538y~758>0;6=4=:0`7`=<3l=20qv?=0683>5<528h?hk4;d5d8y~758>0;6=4=:0`7a3<3l<<0qv?=0683>5<528h?ii4;d4f8y~758>0;6=4=:0`7b1<3l?>0qv?=0683>5<528h?jo4;d7`8y~758>0;6=4=:0`647<3l>80qv?=0683>5<528h><44;d6;8y~758>0;6=4=:0`655<3l1:0qv?=0683>5<528h>=:4;d958y~758>0;6=4=:0`65`<3l1o0qv?=0683>5<528h>>84;d878y~758>0;6=4=:0`66f<3l0i0qv?=0683>5<528h>?>4;d`18y~758>0;6=4=:0`67d<3lhk0qv?=0683>5<528h>8<4;dc38y~758>0;6=4=:0`60=<3lk20qv?=0683>5<528h>8k4;dcd8y~758>0;6=4=:0`613<3lj<0qv?=0683>5<528h>9i4;dbd8y~758>0;6=4=:0`621<3lm20qv?=0683>5<528h>:o4;dd38y~758>0;6=4=:0`637<3llk0qv?=0683>5<528h>;44;dg18y~758>0;6=4=:0`6<5<3loi0qv?=0683>5<528h>4:4;e178y~758>0;6=4=:0`6<`<3m9o0qv?=0683>5<528h>5?4;e008y~758>0;6=4=:0`6==<3m820qv?=0683>5<528h>5n4;e0a8y~758>0;6=4=:0`6e4<3m;;0qv?=0683>5<528h>m:4;e358y~758>0;6=4=:0`6eg<3m;h0qv?=0683>5<528h>mk4;e3d8y~758>0;6=4=:0`6f1<3m:>0qv?=0683>5<528h>nl4;e2c8y~758>0;6=4=:0`6f`<3m:o0qv?=0683>5<528h>o?4;e508y~758>0;6=4=:0`6g2<3m==0qv?=0683>5<528h>oi4;e5f8y~758>0;6=4=:0`6`4<3m<;0qv?=0683>5<528h>h84;e478y~758>0;6=4=:0`6`d<3m5<528h>i=4;e728y~758>0;6=4=:0`6a1<3m?>0qv?=0683>5<528h>i54;e7:8y~758>0;6=4=:0`6aa<3m?n0qv?=0683>5<528h>j>4;e618y~758>0;6=4=:0`6b2<3m>=0qv?=0683>5<528h>jo4;e6`8y~758>0;6=4=:0`545<3m1:0qv?=0683>5<528h=<;4;e948y~758>0;6=4=:0`54d<3m1k0qv?=0683>5<528h=0;6=4=:0`556<3m090qv?=0683>5<528h==44;e8;8y~758>0;6=4=:0`55a<3m0n0qv?=0683>5<528h=>94;e`68y~758>0;6=4=:0`56g<3mhh0qv?=0683>5<528h=??4;ec08y~758>0;6=4=:0`57<<3mkh0qv?=0683>5<528h=8=4;eb68y~758>0;6=4=:0`502<3mjn0qv?=0683>5<528h=8h4;ee48y~758>0;6=4=:0`510<3mml0qv?=0683>5<528h=9n4;ed:8y~758>0;6=4=:0`526<3mo;0qv?=0683>5<528h=:l4;eg:8y~758>0;6=4=:0`534<3mol0qv?=0683>5<528h=;54;f148y~758>0;6=4=:0`53c<3n9n0qv?=0683>5<528h=4;4;f068y~758>0;6=4=:0`55<528h=594;f308y~758>0;6=4=:0`5=g<3n;30qv?=0683>5<528h=m?4;f208y~758>0;6=4=:0`5e<<3n:30qv?=0683>5<528h=n=4;f528y~758>0;6=4=:0`5f2<3n==0qv?=0683>5<528h=nh4;f5g8y~758>0;6=4=:0`5g0<3n5<528h=on4;f4a8y~758>0;6=4=:0`5`6<3n?90qv?=0683>5<528h=hl4;f7c8y~758>0;6=4=:0`5a4<3n>;0qv?=0683>5<528h=i54;f6:8y~758>0;6=4=:0`5ac<3n>l0qv?=0683>5<528h=j;4;f948y~758>0;6=4=:0`5ba<3n1n0qv?=0683>5<528h<<94;f868y~758>0;6=4=:0`44g<3n0h0qv?=0683>5<528h<=?4;f`08y~758>0;6=4=:0`45<<3nhh0qv?=0683>5<528h<=i4;f`d8y~758>0;6=4=:0`464<3nk90qv?=0683>5<528h<>;4;fc:8y~758>0;6=4=:0`46d<3nki0qv?=0683>5<528h<>k4;fb38y~758>0;6=4=:0`476<3nj?0qv?=0683>5<528h0;6=4=:0`47f<3njo0qv?=0683>5<528h<8<4;fe18y~758>0;6=4=:0`400<3nm=0qv?=0683>5<528h<8l4;fea8y~758>0;6=4=:0`40`<3nl:0qv?=0683>5<528h<9>4;fd78y~758>0;6=4=:0`412<3nl30qv?=0683>5<528h<9n4;fdg8y~758>0;6=4=:0`425<3no80qv?=0683>5<528h<:84;fg58y~758>0;6=4=:0`42<<3noh0qv?=0683>5<528h<:h4:0128y~758>0;6=4=:0`437<289>0qv?=0683>5<528h<;:4:01;8y~758>0;6=4=:0`43g<289n0qv?=0683>5<528h<4=4:0008y~758>0;6=4=:0`4<1<288<0qv?=0683>5<528h<444:00`8y~758>0;6=4=:0`45<528h<5?4:0368y~758>0;6=4=:0`4=3<28;20qv?=0683>5<528h<5o4:03f8y~758>0;6=4=:0`4=c<28:;0qv?=0683>5<528h0;6=4=:0`4e=<28:k0qv?=0683>5<528h0;6=4=:0`4f4<28=90qv?=0683>5<528h0;6=4=:0`4fa<28=l0qv?=0683>5<528h0;6=4=:0`4gg<285<528h0;6=4=:0`4`<<28?h0qv?=0683>5<528h0;6=4=:0`4a2<28>30qv?=0683>5<528h0;6=4=:0`4b0<281=0qv?=0683>5<528h0;6=4=:0`;46<280?0qv?=0683>5<528h30;6=4=:0`;54<28h90qv?=0683>5<528h3=54:0`c8y~758>0;6=4=:0`;5c<28k;0qv?=0683>5<528h3>;4:0c:8y~758>0;6=4=:0`;6a<28kl0qv?=0683>5<528h3?94:0b48y~758>0;6=4=:0`;7g<28jn0qv?=0683>5<528h38?4:0e68y~758>0;6=4=:0`;0<<28mh0qv?=0683>5<528h39=4:0d08y~758>0;6=4=:0`;12<28l30qv?=0683>5<528h39h4:0g28y~758>0;6=4=:0`;20<28o=0qv?=0683>5<528h3:n4:0gg8y~758>0;6=4=:0`;36<299?0qv?=0683>5<528h3;l4:11a8y~758>0;6=4=:0`;<4<29890qv?=0683>5<528h3454:10c8y~758>0;6=4=:0`;5<528h35;4:13:8y~758>0;6=4=:0`;=a<29;l0qv?=0683>5<528h3m94:1248y~758>0;6=4=:0`;eg<29:n0qv?=0683>5<528h3n?4:1568y~758>0;6=4=:0`;f<<29=h0qv?=0683>5<528h3o=4:1408y~758>0;6=4=:0`;g2<29<30qv?=0683>5<528h3oh4:1728y~758>0;6=4=:0`;`0<29?=0qv?=0683>5<528h3hn4:17g8y~758>0;6=4=:0`;a6<29>?0qv?=0683>5<528h3il4:16a8y~758>0;6=4=:0`;b4<29190qv?=0683>5<528h3j54:19c8y~758>0;6=4=:0`;bc<290;0qv?=0683>5<528h2<;4:18:8y~758>0;6=4=:0`:4a<290l0qv?=0683>5<528h2=94:1`48y~758>0;6=4=:0`:5g<29hn0qv?=0683>5<528h2>?4:1c48y~758>0;6=4=:0`:6<<29kl0qv?=0683>5<528h2?=4:1b:8y~758>0;6=4=:0`:72<29m;0qv?=0683>5<528h2?h4:1e:8y~758>0;6=4=:0`:00<29ml0qv?=0683>5<528h28n4:1d48y~758>0;6=4=:0`:16<29ln0qv?=0683>5<528h29l4:1g68y~758>0;6=4=:0`:24<29oh0qv?=0683>5<528h2:54:2108y~758>0;6=4=:0`:2c<2:930qv?=0683>5<528h2;;4:2028y~758>0;6=4=:0`:3a<2:8=0qv?=0683>5<528h2494:20g8y~758>0;6=4=:0`:5<528h25?4:23a8y~758>0;6=4=:0`:=<<2::90qv?=0683>5<528h2m=4:22c8y~758>0;6=4=:0`:e2<2:=;0qv?=0683>5<528h2mh4:25:8y~758>0;6=4=:0`:f0<2:=l0qv?=0683>5<528h2nn4:2448y~758>0;6=4=:0`:g6<2:5<528h2ol4:2768y~758>0;6=4=:0`:`4<2:?h0qv?=0683>5<528h2h54:2608y~758>0;6=4=:0`:`c<2:>30qv?=0683>5<528h2i;4:2928y~758>0;6=4=:0`:aa<2:1=0qv?=0683>5<528h2j94:29g8y~758>0;6=4=:0`:bg<2:0?0qv?=0683>5<528hj0;6=4=:0`b4<<2:h90qv?=0683>5<528hj==4:2`c8y~758>0;6=4=:0`b52<2:k;0qv?=0683>5<528hj=h4:2cc8y~758>0;6=4=:0`b60<2:j90qv?=0683>5<528hj>n4:2ba8y~758>0;6=4=:0`b76<2:m?0qv?=0683>5<528hj?l4:2eg8y~758>0;6=4=:0`b04<2:l=0qv?=0683>5<528hj854:2g28y~758>0;6=4=:0`b0c<2:o30qv?=0683>5<528hj9;4:3108y~758>0;6=4=:0`b1a<2;9h0qv?=0683>5<528hj:94:3068y~758>0;6=4=:0`b2g<2;8n0qv?=0683>5<528hj;?4:3348y~758>0;6=4=:0`b3<<2;;l0qv?=0683>5<528hj4=4:32:8y~758>0;6=4=:0`b<2<2;=;0qv?=0683>5<528hj4h4:35:8y~758>0;6=4=:0`b=0<2;=l0qv?=0683>5<528hj5n4:3448y~758>0;6=4=:0`be6<2;5<528hjml4:3768y~758>0;6=4=:0`bf4<2;?h0qv?=0683>5<528hjn54:3608y~758>0;6=4=:0`bfc<2;>30qv?=0683>5<528hjo;4:3928y~758>0;6=4=:0`bga<2;1=0qv?=0683>5<528hjh94:39g8y~758>0;6=4=:0`b`g<2;0?0qv?=0683>5<528hji?4:38a8y~758>0;6=4=:0`ba<<2;h90qv?=0683>5<528hjj=4:3`c8y~758>0;6=4=:0`bb2<2;k;0qv?=0683>5<528hjjh4:3cc8y~758>0;6=4=:0`a40<2;j;0qv?=0683>5<528hi0;6=4=:0`a56<2;jl0qv?=0683>5<528hi=l4:3e48y~758>0;6=4=:0`a64<2;mn0qv?=0683>5<528hi>54:3d68y~758>0;6=4=:0`a6c<2;lh0qv?=0683>5<528hi?;4:3g08y~758>0;6=4=:0`a7d<2;o<0qv?=0683>5<528hi?h4:3gc8y~758>0;6=4=:0`a07<2;oo0qv?=0683>5<528hi8:4:4118y~758>0;6=4=:0`a0g<2<9=0qv?=0683>5<528hi8k4:41`8y~758>0;6=4=:0`a11<2<8:0qv?=0683>5<528hi954:4068y~758>0;6=4=:0`a1f<2<820qv?=0683>5<528hi:<4:40f8y~758>0;6=4=:0`a20<2<;;0qv?=0683>5<528hi:44:4378y~758>0;6=4=:0`a2`<2<;k0qv?=0683>5<528hi;?4:43g8y~758>0;6=4=:0`a33<2<:80qv?=0683>5<528hi;o4:4258y~758>0;6=4=:0`a3c<2<:h0qv?=0683>5<528hi4>4:42d8y~758>0;6=4=:0`a<=<2<=>0qv?=0683>5<528hi4n4:45:8y~758>0;6=4=:0`a=5<2<=i0qv?=0683>5<528hi584:4438y~758>0;6=4=:0`a=<<2<5<528hi5i4:44;8y~758>0;6=4=:0`ae7<2<5<528him;4:4708y~758>0;6=4=:0`aed<25<528himk4:47`8y~758>0;6=4=:0`af6<25<528hin:4:4618y~758>0;6=4=:0`aff<2<>20qv?=0683>5<528hio=4:46a8y~758>0;6=4=:0`ag1<2<1:0qv?=0683>5<528hio44:4978y~758>0;6=4=:0`aga<2<130qv?=0683>5<528hih<4:49f8y~758>0;6=4=:0`a`3<2<080qv?=0683>5<528hihl4:4848y~758>0;6=4=:0`aa7<2<0o0qv?=0683>5<528hiil4:4`48y~758>0;6=4=:0`aac<25<528hij:4:4c18y~758>0;6=4=:0`abc<25<528hh<94:4b28y~758>0;6=4=:0``4<<25<528hh=<4:4bf8y~758>0;6=4=:0``5<<25<528hh=h4:4ec8y~758>0;6=4=:0``63<25<528hh>h4:4dc8y~758>0;6=4=:0``76<25<528hh?54:4g68y~758>0;6=4=:0``05<25<528hh854:5168y~758>0;6=4=:0``0a<2=930qv?=0683>5<528hh984:5038y~758>0;6=4=:0``1a<2=830qv?=0683>5<528hh:?4:50g8y~758>0;6=4=:0``22<2=;90qv?=0683>5<528hh:k4:53`8y~758>0;6=4=:0``32<2=:90qv?=0683>5<528hh;n4:52:8y~758>0;6=4=:0``<1<2==:0qv?=0683>5<528hh4n4:55:8y~758>0;6=4=:0``=4<2==n0qv?=0683>5<528hh5;4:5408y~758>0;6=4=:0``=`<2=5<528hhm;4:5708y~758>0;6=4=:0``eg<2=?=0qv?=0683>5<528hhn>4:57d8y~758>0;6=4=:0``fg<2=>=0qv?=0683>5<528hho=4:56a8y~758>0;6=4=:0``g0<2=1;0qv?=0683>5<528hhoi4:59;8y~758>0;6=4=:0```0<2=0;0qv?=0683>5<528hhhl4:5848y~758>0;6=4=:0``a7<2=0o0qv?=0683>5<528hhil4:5`48y~758>0;6=4=:0``ac<2=hh0qv?=0683>5<528hhj94:5c28y~758>0;6=4=:0``bf<2=k20qv?=0683>5<528ho<94:5b28y~758>0;6=4=:0`g4<<2=j?0qv?=0683>5<528ho=<4:5bf8y~758>0;6=4=:0`g5<<2=m?0qv?=0683>5<528ho=h4:5ec8y~758>0;6=4=:0`g66<2=ml0qv?=0683>5<528ho>o4:5d58y~758>0;6=4=:0`g76<2=ll0qv?=0683>5<528ho?54:5g68y~758>0;6=4=:0`g05<2=oi0qv?=0683>5<528ho854:6168y~758>0;6=4=:0`g0a<2>930qv?=0683>5<528ho9?4:61g8y~758>0;6=4=:0`g1d<2>8<0qv?=0683>5<528ho:?4:60g8y~758>0;6=4=:0`g22<2>;90qv?=0683>5<528ho:k4:63`8y~758>0;6=4=:0`g32<2>:90qv?=0683>5<528ho;n4:62:8y~758>0;6=4=:0`g<4<2>:n0qv?=0683>5<528ho444:6578y~758>0;6=4=:0`g=4<2>=n0qv?=0683>5<528ho5;4:6408y~758>0;6=4=:0`g=`<2>5<528hom;4:6708y~758>0;6=4=:0`geg<2>?=0qv?=0683>5<528hon=4:67a8y~758>0;6=4=:0`gf=<2>>>0qv?=0683>5<528hoo=4:66a8y~758>0;6=4=:0`gg0<2>1;0qv?=0683>5<528hooi4:69;8y~758>0;6=4=:0`g`0<2>0;0qv?=0683>5<528hohl4:6848y~758>0;6=4=:0`g`c<2>0h0qv?=0683>5<528hoi:4:6`18y~758>0;6=4=:0`gac<2>hh0qv?=0683>5<528hoj94:6c28y~758>0;6=4=:0`gbf<2>k20qv?=0683>5<528hn<94:6b28y~758>0;6=4=:0`f4<<2>j?0qv?=0683>5<528hn0;6=4=:0`f53<2>m80qv?=0683>5<528hn=h4:6ec8y~758>0;6=4=:0`f66<2>ml0qv?=0683>5<528hn>o4:6d58y~758>0;6=4=:0`f76<2>ll0qv?=0683>5<528hn?54:6g68y~758>0;6=4=:0`f7a<2>o30qv?=0683>5<528hn884:7138y~758>0;6=4=:0`f0a<2?930qv?=0683>5<528hn9?4:71g8y~758>0;6=4=:0`f1d<2?8<0qv?=0683>5<528hn:?4:70g8y~758>0;6=4=:0`f22<2?;90qv?=0683>5<528hn:n4:73:8y~758>0;6=4=:0`f31<2?::0qv?=0683>5<528hn;n4:72:8y~758>0;6=4=:0`f<4<2?:n0qv?=0683>5<528hn444:7578y~758>0;6=4=:0`f=4<2?=n0qv?=0683>5<528hn5;4:7408y~758>0;6=4=:0`f=g<2?<=0qv?=0683>5<528hnm>4:74d8y~758>0;6=4=:0`feg<2??=0qv?=0683>5<528hnn=4:77a8y~758>0;6=4=:0`ff=<2?>>0qv?=0683>5<528hno=4:76a8y~758>0;6=4=:0`fg0<2?1;0qv?=0683>5<528hnol4:7948y~758>0;6=4=:0`f`4<2?1l0qv?=0683>5<528hnh54:78:8y~758>0;6=4=:0`f`c<2?h;0qv?=0683>5<528hni;4:7`c8y~758>0;6=4=:0a304<219?0qv?=0683>5<528i:5n4:a728y~758>0;6=4=:0a2e6<2i?=0qv?=0683>5<528i:ml4:a7g8y~758>0;6=4=:0a2f4<2i>?0qv?=0683>5<528i:n54:a6a8y~758>0;6=4=:0a2fc<2i190qv?=0683>5<528i:o;4:a9c8y~758>0;6=4=:0a2ga<2i0;0qv?=0683>5<528i:h94:a8:8y~758>0;6=4=:0a2`g<2i0l0qv?=0683>5<528i:i?4:a`48y~758>0;6=4=:0a2a<<2ihn0qv?=0683>5<528i:j=4:ac68y~758>0;6=4=:0a2b2<2ikh0qv?=0683>5<528i:jh4:ab08y~758>0;6=4=:0a140<2ij30qv?=0683>5<528i90;6=4=:0a156<2im=0qv?=0683>5<528i9=l4:aeg8y~758>0;6=4=:0a164<2il?0qv?=0683>5<528i9>54:ada8y~758>0;6=4=:0a16c<2io90qv?=0683>5<528i9?;4:agc8y~758>0;6=4=:0a17a<2j9;0qv?=0683>5<528i9894:b1:8y~758>0;6=4=:0a10g<2j9l0qv?=0683>5<528i99?4:b048y~758>0;6=4=:0a11<<2j8n0qv?=0683>5<528i9:=4:b368y~758>0;6=4=:0a122<2j;h0qv?=0683>5<528i9:h4:b208y~758>0;6=4=:0a130<2j:30qv?=0683>5<528i9;n4:b528y~758>0;6=4=:0a1<6<2j==0qv?=0683>5<528i94l4:b5g8y~758>0;6=4=:0a1=4<2j5<528i9554:b4a8y~758>0;6=4=:0a1=c<2j?90qv?=0683>5<528i9m;4:b7c8y~758>0;6=4=:0a1ea<2j>;0qv?=0683>5<528i9n94:b6:8y~758>0;6=4=:0a1fg<2j>l0qv?=0683>5<528i9o?4:b948y~758>0;6=4=:0a1g<<2j1n0qv?=0683>5<528i9h=4:b868y~758>0;6=4=:0a1`2<2j0n0qv?=0683>5<528i9hh4:b`48y~758>0;6=4=:0a1a0<2jhl0qv?=0683>5<528i9in4:bc:8y~758>0;6=4=:0a1b6<2jj;0qv?=0683>5<528i9jl4:bbc8y~758>0;6=4=:0a044<2jm90qv?=0683>5<528i8<54:bea8y~758>0;6=4=:0a04c<2jl?0qv?=0683>5<528i8=;4:bdg8y~758>0;6=4=:0a05a<2jo=0qv?=0683>5<528i8>94:c128y~758>0;6=4=:0a06g<2k930qv?=0683>5<528i8??4:c008y~758>0;6=4=:0a07<<2k8h0qv?=0683>5<528i88=4:c368y~758>0;6=4=:0a002<2k;n0qv?=0683>5<528i88h4:c248y~758>0;6=4=:0a010<2k:l0qv?=0683>5<528i89n4:c5:8y~758>0;6=4=:0a026<2k<;0qv?=0683>5<528i8:l4:c4c8y~758>0;6=4=:0a034<2k?90qv?=0683>5<528i8;54:c7a8y~758>0;6=4=:0a03c<2k>?0qv?=0683>5<528i84;4:c6g8y~758>0;6=4=:0a05<528i8594:c828y~758>0;6=4=:0a0=g<2k030qv?=0683>5<528i8m?4:c`08y~758>0;6=4=:0a0e<<2khh0qv?=0683>5<528i8n=4:cc68y~758>0;6=4=:0a0f2<2kkn0qv?=0683>5<528i8nh4:cb48y~758>0;6=4=:0a0g0<2kjl0qv?=0683>5<528i8on4:ce:8y~758>0;6=4=:0a0`6<2kl;0qv?=0683>5<528i8hl4:cdc8y~758>0;6=4=:0a0a4<2ko90qv?=0683>5<528i8i54:cga8y~758>0;6=4=:0a0ac<2l9?0qv?=0683>5<528i8j;4:d1g8y~758>0;6=4=:0a0ba<2l8=0qv?=0683>5<528i?<94:d328y~758>0;6=4=:0a74g<2l;30qv?=0683>5<528i?=?4:d208y~758>0;6=4=:0a75<<2l:h0qv?=0683>5<528i?>=4:d568y~758>0;6=4=:0a762<2l=n0qv?=0683>5<528i?>h4:d448y~758>0;6=4=:0a770<2l5<528i??n4:d7:8y~758>0;6=4=:0a706<2l>;0qv?=0683>5<528i?8l4:d6c8y~758>0;6=4=:0a714<2l190qv?=0683>5<528i?954:d9a8y~758>0;6=4=:0a71c<2l0?0qv?=0683>5<528i?:;4:d8g8y~758>0;6=4=:0a72a<2lh=0qv?=0683>5<528i?;94:dc28y~758>0;6=4=:0a73g<2lk30qv?=0683>5<528i?4?4:db08y~758>0;6=4=:0a7<<<2ljh0qv?=0683>5<528i?5=4:de68y~758>0;6=4=:0a7=2<2lmn0qv?=0683>5<528i?5h4:dd48y~758>0;6=4=:0a7e0<2lll0qv?=0683>5<528i?mn4:dg:8y~758>0;6=4=:0a7f6<2m9;0qv?=0683>5<528i?nl4:e1c8y~758>0;6=4=:0a7g4<2m890qv?=0683>5<528i?o54:e0a8y~758>0;6=4=:0a7gc<2m;?0qv?=0683>5<528i?h;4:e3g8y~758>0;6=4=:0a7`a<2m:=0qv?=0683>5<528i?i94:e528y~758>0;6=4=:0a7ag<2m=30qv?=0683>5<528i?j?4:e408y~758>0;6=4=:0a7b<<2m5<528i><=4:e768y~758>0;6=4=:0a642<2m?n0qv?=0683>5<528i>0;6=4=:0a650<2m>l0qv?=0683>5<528i>=n4:e9:8y~758>0;6=4=:0a666<2m0;0qv?=0683>5<528i>>l4:e8c8y~758>0;6=4=:0a674<2mh90qv?=0683>5<528i>?54:e`a8y~758>0;6=4=:0a67c<2mk?0qv?=0683>5<528i>8;4:ecg8y~758>0;6=4=:0a60a<2mj=0qv?=0683>5<528i>994:ee28y~758>0;6=4=:0a61g<2mm30qv?=0683>5<528i>:?4:ed08y~758>0;6=4=:0a62<<2mlh0qv?=0683>5<528i>;=4:eg68y~758>0;6=4=:0a632<2mon0qv?=0683>5<528i>;h4:f148y~758>0;6=4=:0a6<0<2n9l0qv?=0683>5<528i>4n4:f0:8y~758>0;6=4=:0a6=6<2n;;0qv?=0683>5<528i>5l4:f3c8y~758>0;6=4=:0a6e4<2n:90qv?=0683>5<528i>m54:f2a8y~758>0;6=4=:0a6ec<2n=?0qv?=0683>5<528i>n;4:f5g8y~758>0;6=4=:0a6fa<2n<=0qv?=0683>5<528i>o94:f728y~758>0;6=4=:0a6gg<2n?30qv?=0683>5<528i>h?4:f608y~758>0;6=4=:0a6`<<2n>h0qv?=0683>5<528i>i=4:f968y~758>0;6=4=:0a6a2<2n1n0qv?=0683>5<528i>ih4:f848y~758>0;6=4=:0a6b0<2n0l0qv?=0683>5<528i>jn4:f`:8y~758>0;6=4=:0a546<2nk;0qv?=0683>5<528i=0;6=4=:0a554<2nj90qv?=0683>5<528i==54:fba8y~758>0;6=4=:0a55c<2nm?0qv?=0683>5<528i=>;4:feg8y~758>0;6=4=:0a56a<2nl=0qv?=0683>5<528i=?94:fg28y~758>0;6=4=:0a57g<2no30qv?=0683>5<528i=8?490108y~758>0;6=4=:0a50<<189h0qv?=0683>5<528i=9=490068y~758>0;6=4=:0a512<188n0qv?=0683>5<528i=9h490348y~758>0;6=4=:0a520<18;l0qv?=0683>5<528i=:n4902:8y~758>0;6=4=:0a536<18=;0qv?=0683>5<528i=;l4905c8y~758>0;6=4=:0a5<4<18<90qv?=0683>5<528i=454904a8y~758>0;6=4=:0a55<528i=5;4907g8y~758>0;6=4=:0a5=a<18>=0qv?=0683>5<528i=m9490928y~758>0;6=4=:0a5eg<18130qv?=0683>5<528i=n?490808y~758>0;6=4=:0a5f<<180h0qv?=0683>5<528i=o=490`68y~758>0;6=4=:0a5g2<18hn0qv?=0683>5<528i=oh490c48y~758>0;6=4=:0a5`0<18kl0qv?=0683>5<528i=hn490b:8y~758>0;6=4=:0a5a6<18m;0qv?=0683>5<528i=il490ec8y~758>0;6=4=:0a5b4<18l90qv?=0683>5<528i=j5490da8y~758>0;6=4=:0a5bc<18o?0qv?=0683>5<528i<<;490gg8y~758>0;6=4=:0a44a<199=0qv?=0683>5<528i<=9491028y~758>0;6=4=:0a45g<19830qv?=0683>5<528i<>?491308y~758>0;6=4=:0a46<<19;h0qv?=0683>5<528i0;6=4=:0a472<19:n0qv?=0683>5<528i0;6=4=:0a400<19=l0qv?=0683>5<528i<8n4914:8y~758>0;6=4=:0a416<19?;0qv?=0683>5<528i<9l4917c8y~758>0;6=4=:0a424<19>90qv?=0683>5<528i<:54916a8y~758>0;6=4=:0a42c<191?0qv?=0683>5<528i<;;4919g8y~758>0;6=4=:0a43a<190=0qv?=0683>5<528i<49491`28y~758>0;6=4=:0a45<528i<5?491c08y~758>0;6=4=:0a4=<<19kh0qv?=0683>5<528i0;6=4=:0a4e2<19jn0qv?=0683>5<528i0;6=4=:0a4f0<19ml0qv?=0683>5<528i0;6=4=:0a4g6<19o;0qv?=0683>5<528i0;6=4=:0a4`4<1:990qv?=0683>5<528i0;6=4=:0a4`c<1:8?0qv?=0683>5<528i0;6=4=:0a4aa<1:;=0qv?=0683>5<528i0;6=4=:0a4bg<1::30qv?=0683>5<528i30;6=4=:0a;4<<1:=h0qv?=0683>5<528i3==492468y~758>0;6=4=:0a;52<1:5<528i3=h492748y~758>0;6=4=:0a;60<1:?l0qv?=0683>5<528i3>n4926:8y~758>0;6=4=:0a;76<1:1;0qv?=0683>5<528i3?l4929c8y~758>0;6=4=:0a;04<1:090qv?=0683>5<528i3854928a8y~758>0;6=4=:0a;0c<1:h?0qv?=0683>5<528i39;492`g8y~758>0;6=4=:0a;1a<1:k=0qv?=0683>5<528i3:9492b28y~758>0;6=4=:0a;2g<1:j30qv?=0683>5<528i3;?492e08y~758>0;6=4=:0a;3<<1:mh0qv?=0683>5<528i34=492d68y~758>0;6=4=:0a;<2<1:ln0qv?=0683>5<528i34h492g48y~758>0;6=4=:0a;=0<1:ol0qv?=0683>5<528i35n4931:8y~758>0;6=4=:0a;e6<1;8;0qv?=0683>5<528i3ml4930c8y~758>0;6=4=:0a;f4<1;;90qv?=0683>5<528i3n54933a8y~758>0;6=4=:0a;fc<1;:?0qv?=0683>5<528i3o;4932g8y~758>0;6=4=:0a;ga<1;==0qv?=0683>5<528i3h9493428y~758>0;6=4=:0a;`g<1;<30qv?=0683>5<528i3i?493708y~758>0;6=4=:0a;a<<1;?h0qv?=0683>5<528i3j=493668y~758>0;6=4=:0a;b2<1;>n0qv?=0683>5<528i3jh493948y~758>0;6=4=:0a:40<1;1l0qv?=0683>5<528i20;6=4=:0a:56<1;h;0qv?=0683>5<528i2=l493`c8y~758>0;6=4=:0a:64<1;k90qv?=0683>5<528i2>5493ca8y~758>0;6=4=:0a:6c<1;j?0qv?=0683>5<528i2?;493bg8y~758>0;6=4=:0a:7a<1;m=0qv?=0683>5<528i289493d28y~758>0;6=4=:0a:0g<1;l30qv?=0683>5<528i29?493g08y~758>0;6=4=:0a:1<<1;oh0qv?=0683>5<528i2:=494168y~758>0;6=4=:0a:22<1<9n0qv?=0683>5<528i2:h494048y~758>0;6=4=:0a:30<1<8l0qv?=0683>5<528i2;n4943:8y~758>0;6=4=:0a:<6<1<:;0qv?=0683>5<528i24l4942c8y~758>0;6=4=:0a:=4<1<=90qv?=0683>5<528i2554945a8y~758>0;6=4=:0a:=c<1<5<528i2m;4944g8y~758>0;6=4=:0a:ea<15<528i2n9494628y~758>0;6=4=:0a:fg<1<>30qv?=0683>5<528i2o?494908y~758>0;6=4=:0a:g<<1<1h0qv?=0683>5<528i2h=494868y~758>0;6=4=:0a:`2<1<0n0qv?=0683>5<528i2hh494`48y~758>0;6=4=:0a:a0<15<528i2in494c:8y~758>0;6=4=:0a:b6<15<528i2jl494bc8y~758>0;6=4=:0ab44<15<528ij<5494ea8y~758>0;6=4=:0ab4c<15<528ij=;494dg8y~758>0;6=4=:0ab5a<15<528ij>9495128y~758>0;6=4=:0ab6g<1=930qv?=0683>5<528ij??495008y~758>0;6=4=:0ab7<<1=8h0qv?=0683>5<528ij8=495368y~758>0;6=4=:0ab02<1=;n0qv?=0683>5<528ij8h495248y~758>0;6=4=:0ab10<1=:l0qv?=0683>5<528ij9n4955:8y~758>0;6=4=:0ab26<1=<;0qv?=0683>5<528ij:l4954c8y~758>0;6=4=:0ab34<1=?90qv?=0683>5<528ij;54957a8y~758>0;6=4=:0ab3c<1=>?0qv?=0683>5<528ij4;4956g8y~758>0;6=4=:0ab5<528ij59495828y~758>0;6=4=:0ab=g<1=030qv?=0683>5<528ijm?495`08y~758>0;6=4=:0abe<<1=hh0qv?=0683>5<528ijn=495c68y~758>0;6=4=:0abf2<1=kn0qv?=0683>5<528ijnh495b48y~758>0;6=4=:0abg0<1=jl0qv?=0683>5<528ijon495e:8y~758>0;6=4=:0ab`6<1=l;0qv?=0683>5<528ijhl495dc8y~758>0;6=4=:0aba4<1=o90qv?=0683>5<528iji5495ga8y~758>0;6=4=:0abac<1>9?0qv?=0683>5<528ijj;4961g8y~758>0;6=4=:0abba<1>8=0qv?=0683>5<528ii<9496328y~758>0;6=4=:0aa4g<1>;30qv?=0683>5<528ii=?496208y~758>0;6=4=:0aa5<<1>:h0qv?=0683>5<528ii>=496568y~758>0;6=4=:0aa62<1>=n0qv?=0683>5<528ii>h496448y~758>0;6=4=:0aa70<1>5<528ii?n4967:8y~758>0;6=4=:0aa06<1>>;0qv?=0683>5<528ii8l4966c8y~758>0;6=4=:0aa14<1>190qv?=0683>5<528ii954969a8y~758>0;6=4=:0aa1c<1>0?0qv?=0683>5<528ii:;4968g8y~758>0;6=4=:0aa2a<1>h=0qv?=0683>5<528ii;9496c28y~758>0;6=4=:0aa3g<1>k30qv?=0683>5<528ii4?496b08y~758>0;6=4=:0aa<<<1>jh0qv?=0683>5<528ii5=496e68y~758>0;6=4=:0aa=2<1>mn0qv?=0683>5<528ii5h496d48y~758>0;6=4=:0aae0<1>ll0qv?=0683>5<528iimn496g:8y~758>0;6=4=:0aaf6<1?9;0qv?=0683>5<528iinl4971c8y~758>0;6=4=:0aag4<1?890qv?=0683>5<528iio54970a8y~758>0;6=4=:0aagc<1?;?0qv?=0683>5<528iih;4973g8y~758>0;6=4=:0aa`a<1?:=0qv?=0683>5<528iii9497528y~758>0;6=4=:0aaag<1?=30qv?=0683>5<528iij?497408y~758>0;6=4=:0aab<<1?5<528ih<=497768y~758>0;6=4=:0a`42<1??n0qv?=0683>5<528ih0;6=4=:0a`50<1?>l0qv?=0683>5<528ih=n4979:8y~758>0;6=4=:0a`66<1?0;0qv?=0683>5<528ih>l4978c8y~758>0;6=4=:0a`74<1?h90qv?=0683>5<528ih?5497`a8y~758>0;6=4=:0a`7c<1?k?0qv?=0683>5<528ih8;497cg8y~758>0;6=4=:0a`0a<1?j=0qv?=0683>5<528ih99497e28y~758>0;6=4=:0a`1g<1?m30qv?=0683>5<528ih:?497d08y~758>0;6=4=:0a`2<<1?lh0qv?=0683>5<528ih;=497g68y~758>0;6=4=:0a`32<1?on0qv?=0683>5<528ih;h498148y~758>0;6=4=:0a`<0<109l0qv?=0683>5<528ih4n4980:8y~758>0;6=4=:0a`=6<10;;0qv?=0683>5<528ih5l4983c8y~758>0;6=4=:0a`e4<10:90qv?=0683>5<528ihm54982a8y~758>0;6=4=:0a`ec<10=?0qv?=0683>5<528ihn;4985g8y~758>0;6=4=:0a`fa<10<=0qv?=0683>5<528iho9498728y~758>0;6=4=:0a`gg<10?30qv?=0683>5<528ihh?498608y~758>0;6=4=:0a``<<10>h0qv?=0683>5<528ihi=498968y~758>0;6=4=:0a`a2<101n0qv?=0683>5<528ihih498848y~758>0;6=4=:0a`b0<100l0qv?=0683>5<528ihjn498`:8y~758>0;6=4=:0ag46<10k;0qv?=0683>5<528io0;6=4=:0ag54<10j90qv?=0683>5<528io=5498ba8y~758>0;6=4=:0ag5c<10m?0qv?=0683>5<528io>;498eg8y~758>0;6=4=:0ag6a<10l=0qv?=0683>5<528io?9498g28y~758>0;6=4=:0ag7g<10o30qv?=0683>5<528io8?499108y~758>0;6=4=:0ag0<<119h0qv?=0683>5<528io9=499068y~758>0;6=4=:0ag12<118n0qv?=0683>5<528io9h499348y~758>0;6=4=:0ag20<11;l0qv?=0683>5<528io:n4992:8y~758>0;6=4=:0ag36<11=;0qv?=0683>5<528io;l4995c8y~758>0;6=4=:0ag<4<11<90qv?=0683>5<528io454994a8y~758>0;6=4=:0ag5<528io5;4997g8y~758>0;6=4=:0ag=a<11>=0qv?=0683>5<528iom9499928y~758>0;6=4=:0ageg<11130qv?=0683>5<528ion?499808y~758>0;6=4=:0agf<<110h0qv?=0683>5<528ioo=499`68y~758>0;6=4=:0agg2<11hn0qv?=0683>5<528iooh499c48y~758>0;6=4=:0ag`0<11kl0qv?=0683>5<528iohn499b:8y~758>0;6=4=:0aga6<11m;0qv?=0683>5<528ioil499ec8y~758>0;6=4=:0agb4<11l90qv?=0683>5<528ioj5499da8y~758>0;6=4=:0agbc<11o?0qv?=0683>5<528in<;499gg8y~758>0;6=4=:0af4a<1i9=0qv?=0683>5<528in=949a028y~758>0;6=4=:0af5g<1i830qv?=0683>5<528in>?49a308y~758>0;6=4=:0af6<<1i;h0qv?=0683>5<528in?=49a268y~758>0;6=4=:0af72<1i:n0qv?=0683>5<528in?h49a548y~758>0;6=4=:0af00<1i=l0qv?=0683>5<528in8n49a4:8y~758>0;6=4=:0af16<1i?;0qv?=0683>5<528in9l49a7c8y~758>0;6=4=:0af24<1i>90qv?=0683>5<528in:549a6a8y~758>0;6=4=:0af2c<1i1?0qv?=0683>5<528in;;49a9g8y~758>0;6=4=:0af3a<1i0=0qv?=0683>5<528in4949a`28y~758>0;6=4=:0af5<528in5?49ac08y~758>0;6=4=:0af=<<1ikh0qv?=0683>5<528inm=49ab68y~758>0;6=4=:0afe2<1ijn0qv?=0683>5<528inmh49ae48y~758>0;6=4=:0aff0<1iml0qv?=0683>5<528innn49ad:8y~758>0;6=4=:0afg6<1io;0qv?=0683>5<528inol49agc8y~758>0;6=4=:0af`4<1j990qv?=0683>5<528inh549b1a8y~758>0;6=4=:0af`c<1j8?0qv?=0683>5<528ini;49b0g8y~758>0;6=4=:0afaa<1j;=0qv?=0683>5<528inj949b228y~758>0;6=4=:0afbg<1j:30qv?=0683>5<528im0;6=4=:0ae4<<1j=h0qv?=0683>5<528im==49b468y~758>0;6=4=:0ae52<1j5<528im=h49b748y~758>0;6=4=:0ae60<1j?l0qv?=0683>5<528im>n49b6:8y~758>0;6=4=:0ae76<1j1;0qv?=0683>5<528im?l49b9c8y~758>0;6=4=:0ae04<1j090qv?=0683>5<528im8549b8a8y~758>0;6=4=:0ae0c<1jh?0qv?=0683>5<528im9;49b`g8y~758>0;6=4=:0ae1a<1jk=0qv?=0683>5<528im:949bb28y~758>0;6=4=:0ae2g<1jj30qv?=0683>5<528im;?49be08y~758>0;6=4=:0ae3<<1jmh0qv?=0683>5<528im4=49bd68y~758>0;6=4=:0ae<2<1jln0qv?=0683>5<528im4h49bg48y~758>0;6=4=:0ae=0<1jol0qv?=0683>5<528im5n49c1:8y~758>0;6=4=:0aee6<1k8;0qv?=0683>5<528imml49c0c8y~758>0;6=4=:0aef4<1k;90qv?=0683>5<528imn549c3a8y~758>0;6=4=:0aefc<1k:?0qv?=0683>5<528imo;49c2g8y~758>0;6=4=:0aega<1k==0qv?=0683>5<528imh949c428y~758>0;6=4=:0ae`g<1k<30qv?=0683>5<528imi?49c708y~758>0;6=4=:0aea<<1k?h0qv?=0683>5<528imj=49c668y~758>0;6=4=:0aeb2<1k>n0qv?=0683>5<528imjh49c948y~758>0;6=4=:0f340<1k1l0qv?=0683>5<528n;0;6=4=:0f356<1kh;0qv?=0683>5<528n;=l49c`c8y~758>0;6=4=:0f364<1kk90qv?=0683>5<528n;>549cca8y~758>0;6=4=:0f36c<1kj?0qv?=0683>5<528n;?;49cbg8y~758>0;6=4=:0f37a<1km=0qv?=0683>5<528n;8949cd28y~758>0;6=4=:0f30g<1kl30qv?=0683>5<528n;9?49cg08y~758>0;6=4=:0f31<<1koh0qv?=0683>5<528n;:=49d168y~758>0;6=4=:0f322<1l9n0qv?=0683>5<528n;:h49d048y~758>0;6=4=:0f330<1l8l0qv?=0683>5<528n;;n49d3:8y~758>0;6=4=:0f3<6<1l:;0qv?=0683>5<528n;4l49d2c8y~758>0;6=4=:0f3=4<1l=90qv?=0683>5<528n;5549d5a8y~758>0;6=4=:0f3=c<1l5<528n;m;49d4g8y~758>0;6=4=:0f3ea<1l?=0qv?=0683>5<528n;n949d628y~758>0;6=4=:0f3fg<1l>30qv?=0683>5<528n;o?49d908y~758>0;6=4=:0f3g<<1l1h0qv?=0683>5<528n;h=49d868y~758>0;6=4=:0f3`2<1l0n0qv?=0683>5<528n;hh49d`48y~758>0;6=4=:0f3a0<1lhl0qv?=0683>5<528n;in49dc:8y~758>0;6=4=:0f3b6<1lj;0qv?=0683>5<528n;jl49dbc8y~758>0;6=4=:0f244<1lm90qv?=0683>5<528n:<549dea8y~758>0;6=4=:0f24c<1ll?0qv?=0683>5<528n:=;49ddg8y~758>0;6=4=:0f25a<1lo=0qv?=0683>5<528n:>949e128y~758>0;6=4=:0f26g<1m930qv?=0683>5<528n:??49e008y~758>0;6=4=:0f27<<1m8h0qv?=0683>5<528n:8=49e368y~758>0;6=4=:0f202<1m;n0qv?=0683>5<528n:8h49e248y~758>0;6=4=:0f210<1m:l0qv?=0683>5<528n:9n49e5:8y~758>0;6=4=:0f226<1m<;0qv?=0683>5<528n::l49e4c8y~758>0;6=4=:0f234<1m?90qv?=0683>5<528n:;549e7a8y~758>0;6=4=:0f23c<1m>?0qv?=0683>5<528n:4;49e6g8y~758>0;6=4=:0f25<528n:5949e828y~758>0;6=4=:0f2=g<1m030qv?=0683>5<528n:m?49e`08y~758>0;6=4=:0f2e<<1mhh0qv?=0683>5<528n:n=49ec68y~758>0;6=4=:0f2f2<1mkn0qv?=0683>5<528n:nh49eb48y~758>0;6=4=:0f2g0<1mjl0qv?=0683>5<528n:on49ee:8y~758>0;6=4=:0f2`6<1ml;0qv?=0683>5<528n:hl49edc8y~758>0;6=4=:0f2a4<1mo90qv?=0683>5<528n:i549ega8y~758>0;6=4=:0f2ac<1n9?0qv?=0683>5<528n:j;49f1g8y~758>0;6=4=:0f2ba<1n8=0qv?=0683>5<528n9<949f328y~758>0;6=4=:0f14g<1n;30qv?=0683>5<528n9=?49f208y~758>0;6=4=:0f15<<1n:h0qv?=0683>5<528n9>=49f568y~758>0;6=4=:0f162<1n=n0qv?=0683>5<528n9>h49f448y~758>0;6=4=:0f170<1n5<528n9?n49f7:8y~758>0;6=4=:0f106<1n>;0qv?=0683>5<528n98l49f6c8y~758>0;6=4=:0f114<1n190qv?=0683>5<528n99549f9a8y~758>0;6=4=:0f11c<1n0?0qv?=0683>5<528n9:;49f8g8y~758>0;6=4=:0f12a<1nh=0qv?=0683>5<528n9;949fc28y~758>0;6=4=:0f13g<1nk30qv?=0683>5<528n94?49fb08y~758>0;6=4=:0f1<<<1njh0qv?=0683>5<528n95=49fe68y~758>0;6=4=:0f1=2<1nmn0qv?=0683>5<528n95h49fd48y~758>0;6=4=:0f1e0<1nll0qv?=0683>5<528n9mn49fg:8y~758>0;6=4=:0f1f6<089;0qv?=0683>5<528n9nl4801c8y~758>0;6=4=:0f1g4<08890qv?=0683>5<528n9o54800a8y~758>0;6=4=:0f1gc<08;?0qv?=0683>5<528n9h;4803g8y~758>0;6=4=:0f1`a<08:=0qv?=0683>5<528n9i9480528y~758>0;6=4=:0f1ag<08=30qv?=0683>5<528n9j?480408y~758>0;6=4=:0f1b<<085<528n8<=480768y~758>0;6=4=:0f042<08?n0qv?=0683>5<528n80;6=4=:0f050<08>l0qv?=0683>5<528n8=n4809:8y~758>0;6=4=:0f066<080;0qv?=0683>5<528n8>l4808c8y~758>0;6=4=:0f074<08h90qv?=0683>5<528n8?5480`a8y~758>0;6=4=:0f07c<08k?0qv?=0683>5<528n88;480cg8y~758>0;6=4=:0f00a<08j=0qv?=0683>5<528n899480e28y~758>0;6=4=:0f01g<08m30qv?=0683>5<528n8:?480d08y~758>0;6=4=:0f02<<08lh0qv?=0683>5<528n8;=480g68y~758>0;6=4=:0f032<08on0qv?=0683>5<528n8;h481148y~758>0;6=4=:0f0<0<099l0qv?=0683>5<528n84n4810:8y~758>0;6=4=:0f0=6<09;;0qv?=0683>5<528n85l4813c8y~758>0;6=4=:0f0e4<09:90qv?=0683>5<528n8m54812a8y~758>0;6=4=:0f0ec<09=?0qv?=0683>5<528n8n;4815g8y~758>0;6=4=:0f0fa<09<=0qv?=0683>5<528n8o9481728y~758>0;6=4=:0f0gg<09?30qv?=0683>5<528n8h?481608y~758>0;6=4=:0f0`<<09>h0qv?=0683>5<528n8i=481968y~758>0;6=4=:0f0a2<091n0qv?=0683>5<528n8ih481848y~758>0;6=4=:0f0b0<090l0qv?=0683>5<528n8jn481`:8y~758>0;6=4=:0f746<09k;0qv?=0683>5<528n?0;6=4=:0f754<09j90qv?=0683>5<528n?=5481ba8y~758>0;6=4=:0f75c<09m?0qv?=0683>5<528n?>;481eg8y~758>0;6=4=:0f76a<09l=0qv?=0683>5<528n??9481g28y~758>0;6=4=:0f77g<09o30qv?=0683>5<528n?8?482108y~758>0;6=4=:0f70<<0:9h0qv?=0683>5<528n?9=482068y~758>0;6=4=:0f712<0:8h0qv?=0683>5<528n?9h482308y~758>0;6=4=:0f720<0:;30qv?=0683>5<528n?:n482228y~758>0;6=4=:0f736<0::=0qv?=0683>5<528n?;l4822g8y~758>0;6=4=:0f7<4<0:=?0qv?=0683>5<528n?454825a8y~758>0;6=4=:0f75<528n?5;4824c8y~758>0;6=4=:0f7=a<0:?;0qv?=0683>5<528n?m94827:8y~758>0;6=4=:0f7eg<0:?l0qv?=0683>5<528n?n?482648y~758>0;6=4=:0f7f<<0:>n0qv?=0683>5<528n?o=482968y~758>0;6=4=:0f7g2<0:1h0qv?=0683>5<528n?oh482808y~758>0;6=4=:0f7`0<0:030qv?=0683>5<528n?hn482`28y~758>0;6=4=:0f7a6<0:h=0qv?=0683>5<528n?il482`g8y~758>0;6=4=:0f7b4<0:k?0qv?=0683>5<528n?j5482ca8y~758>0;6=4=:0f7bc<0:j90qv?=0683>5<528n><;482bc8y~758>0;6=4=:0f64a<0:m;0qv?=0683>5<528n>=9482e:8y~758>0;6=4=:0f65g<0:ml0qv?=0683>5<528n>>?482d48y~758>0;6=4=:0f66<<0:ln0qv?=0683>5<528n>?=482g68y~758>0;6=4=:0f672<0:oh0qv?=0683>5<528n>?h483108y~758>0;6=4=:0f600<0;930qv?=0683>5<528n>8n483028y~758>0;6=4=:0f616<0;8=0qv?=0683>5<528n>9l4830g8y~758>0;6=4=:0f624<0;;?0qv?=0683>5<528n>:54833g8y~758>0;6=4=:0f62c<0;:=0qv?=0683>5<528n>;;4832g8y~758>0;6=4=:0f63a<0;=?0qv?=0683>5<528n>494835a8y~758>0;6=4=:0f65<528n>5?4834c8y~758>0;6=4=:0f6=<<0;?;0qv?=0683>5<528n>m=4837:8y~758>0;6=4=:0f6e2<0;?l0qv?=0683>5<528n>mh483648y~758>0;6=4=:0f6f0<0;>n0qv?=0683>5<528n>nn483968y~758>0;6=4=:0f6g6<0;1h0qv?=0683>5<528n>ol483808y~758>0;6=4=:0f6`4<0;030qv?=0683>5<528n>h5483`28y~758>0;6=4=:0f6`c<0;h=0qv?=0683>5<528n>i9483`a8y~758>0;6=4=:0f6a=<0;k:0qv?=0683>5<528n>ii483c78y~758>0;6=4=:0f6b4<0;k30qv?=0683>5<528n>j;483cg8y~758>0;6=4=:0f6bd<0;j80qv?=0683>5<528n>jk483b58y~758>0;6=4=:0f546<0;jh0qv?=0683>5<528n=<5483e28y~758>0;6=4=:0f54f<0;m>0qv?=0683>5<528n==<483e;8y~758>0;6=4=:0f550<0;mn0qv?=0683>5<528n==l483d08y~758>0;6=4=:0f55`<0;l<0qv?=0683>5<528n=>>483d`8y~758>0;6=4=:0f562<0;ll0qv?=0683>5<528n=>n483g68y~758>0;6=4=:0f575<0;o20qv?=0683>5<528n=?8483gf8y~758>0;6=4=:0f57<<0<9;0qv?=0683>5<528n=?h484148y~758>0;6=4=:0f507<0<9k0qv?=0683>5<528n=8:4841d8y~758>0;6=4=:0f50g<0<890qv?=0683>5<528n=9=4840:8y~758>0;6=4=:0f511<0<8i0qv?=0683>5<528n=94484338y~758>0;6=4=:0f51a<0<;?0qv?=0683>5<528n=:?4843c8y~758>0;6=4=:0f523<0<;o0qv?=0683>5<528n=:l484208y~758>0;6=4=:0f534<0<:h0qv?=0683>5<528n=;5484508y~758>0;6=4=:0f53c<0<=30qv?=0683>5<528n=4;484428y~758>0;6=4=:0f55<528n=5?4844a8y~758>0;6=4=:0f5=3<05<528n=5o484778y~758>0;6=4=:0f5=c<05<528n=m94847g8y~758>0;6=4=:0f5e=<0<>80qv?=0683>5<528n=mn484648y~758>0;6=4=:0f5f6<0<>n0qv?=0683>5<528n=nl484968y~758>0;6=4=:0f5g4<0<1h0qv?=0683>5<528n=o5484808y~758>0;6=4=:0f5ga<0<0=0qv?=0683>5<528n=h<4848`8y~758>0;6=4=:0f5`3<05<528n=hl484`68y~758>0;6=4=:0f5`c<05<528n=i>484`f8y~758>0;6=4=:0f5a2<05<528n=ih484c:8y~758>0;6=4=:0f5b0<05<528n=jn484b48y~758>0;6=4=:0f446<05<528n<0;6=4=:0f454<05<528n<=5484dc8y~758>0;6=4=:0f45c<05<528n<>;484ga8y~758>0;6=4=:0f46a<0=990qv?=0683>5<528n0;6=4=:0f47g<0=8;0qv?=0683>5<528n<8?4850:8y~758>0;6=4=:0f40<<0=8l0qv?=0683>5<528n<9=485348y~758>0;6=4=:0f412<0=;n0qv?=0683>5<528n<9h485268y~758>0;6=4=:0f420<0=:h0qv?=0683>5<528n<:n485508y~758>0;6=4=:0f436<0==30qv?=0683>5<528n<;l485428y~758>0;6=4=:0f4<4<0=<=0qv?=0683>5<528n<454854g8y~758>0;6=4=:0f45<528n<5;4857a8y~758>0;6=4=:0f4=a<0=>?0qv?=0683>5<528n0;6=4=:0f4eg<0=1=0qv?=0683>5<528n0;6=4=:0f4f<<0=030qv?=0683>5<528n0;6=4=:0f4g2<0=h30qv?=0683>5<528n0;6=4=:0f4`0<0=k=0qv?=0683>5<528n0;6=4=:0f4a6<0=j?0qv?=0683>5<528n0;6=4=:0f4b4<0=m90qv?=0683>5<528n0;6=4=:0f4bc<0=l;0qv?=0683>5<528n3<;485d:8y~758>0;6=4=:0f;4a<0=ll0qv?=0683>5<528n3=9485g48y~758>0;6=4=:0f;5g<0=on0qv?=0683>5<528n3>?486168y~758>0;6=4=:0f;6<<0>9h0qv?=0683>5<528n3?=486008y~758>0;6=4=:0f;72<0>8h0qv?=0683>5<528n3?h486308y~758>0;6=4=:0f;00<0>;30qv?=0683>5<528n38n486228y~758>0;6=4=:0f;16<0>:=0qv?=0683>5<528n39l4862g8y~758>0;6=4=:0f;24<0>=?0qv?=0683>5<528n3:54865a8y~758>0;6=4=:0f;2c<0><90qv?=0683>5<528n3;;4864c8y~758>0;6=4=:0f;3a<0>?;0qv?=0683>5<528n3494867:8y~758>0;6=4=:0f;?l0qv?=0683>5<528n35?486648y~758>0;6=4=:0f;=<<0>>n0qv?=0683>5<528n3m=486968y~758>0;6=4=:0f;e2<0>1h0qv?=0683>5<528n3mh486868y~758>0;6=4=:0f;f0<0>0n0qv?=0683>5<528n3nn486`48y~758>0;6=4=:0f;g6<0>hl0qv?=0683>5<528n3ol486c:8y~758>0;6=4=:0f;`4<0>j;0qv?=0683>5<528n3h5486bc8y~758>0;6=4=:0f;`c<0>m90qv?=0683>5<528n20;6=4=:0f:7c<0?:?0qv?=0683>5<528n2:k487748y~758>0;6=4=:0f:=c<0?0=0qv?=0683>5<528n2ok487b:8y~758>0;6=4=:0f:bc<0?o30qv?=0683>5<528nj>k4883c8y~758>0;6=4=:0fb1c<005<528nj:;488708y~758>0;6=4=:0fb2a<00?30qv?=0683>5<528nj;9488628y~758>0;6=4=:0fb3g<00>=0qv?=0683>5<528nj4?4886g8y~758>0;6=4=:0fb<<<001?0qv?=0683>5<528nj5=4889a8y~758>0;6=4=:0fb=2<00090qv?=0683>5<528nj5h4888c8y~758>0;6=4=:0fbe3<00h80qv?=0683>5<528njmh488`c8y~758>0;6=4=:0fbf3<00k80qv?=0683>5<528njnn488c:8y~758>0;6=4=:0fbg1<00j:0qv?=0683>5<528njon488b:8y~758>0;6=4=:0fb`1<00m:0qv?=0683>5<528njhl488e48y~758>0;6=4=:0fba7<00mo0qv?=0683>5<528njil488d48y~758>0;6=4=:0fbb7<00lo0qv?=0683>5<528njj5488g68y~758>0;6=4=:0fa45<00oi0qv?=0683>5<528ni<5489168y~758>0;6=4=:0fa55<019i0qv?=0683>5<528ni=;489008y~758>0;6=4=:0fa5f<01820qv?=0683>5<528ni>>4890d8y~758>0;6=4=:0fa6g<01;=0qv?=0683>5<528ni?=4893a8y~758>0;6=4=:0fa72<01:?0qv?=0683>5<528ni?h4892g8y~758>0;6=4=:0fa00<01==0qv?=0683>5<528ni8n489428y~758>0;6=4=:0fa16<01<30qv?=0683>5<528ni9l489708y~758>0;6=4=:0fa24<01?h0qv?=0683>5<528ni:5489668y~758>0;6=4=:0fa2c<01>n0qv?=0683>5<528ni;;489948y~758>0;6=4=:0fa3a<011l0qv?=0683>5<528ni494898:8y~758>0;6=4=:0fa5<528ni5?489`c8y~758>0;6=4=:0fa=<<01k90qv?=0683>5<528nim=489ca8y~758>0;6=4=:0fae2<01j?0qv?=0683>5<528nimh489bg8y~758>0;6=4=:0faf0<01m=0qv?=0683>5<528ninn489d28y~758>0;6=4=:0fag6<01l30qv?=0683>5<528niol489g08y~758>0;6=4=:0fa`4<01oh0qv?=0683>5<528nih548a168y~758>0;6=4=:0fa`c<0i9n0qv?=0683>5<528nii;48a048y~758>0;6=4=:0faaa<0i8l0qv?=0683>5<528nij948a3:8y~758>0;6=4=:0fabg<0i:;0qv?=0683>5<528nh0;6=4=:0f`4<<0i=90qv?=0683>5<528nh==48a5a8y~758>0;6=4=:0f`52<0i5<528nh=h48a4g8y~758>0;6=4=:0f`60<0i?=0qv?=0683>5<528nh>n48a628y~758>0;6=4=:0f`76<0i>30qv?=0683>5<528nh?l48a908y~758>0;6=4=:0f`04<0i1h0qv?=0683>5<528nh8548a868y~758>0;6=4=:0f`0c<0i0n0qv?=0683>5<528nh9;48a`48y~758>0;6=4=:0f`1a<0ihl0qv?=0683>5<528nh:948ac:8y~758>0;6=4=:0f`2g<0ij;0qv?=0683>5<528nh;?48abc8y~758>0;6=4=:0f`3<<0im90qv?=0683>5<528nh4=48aea8y~758>0;6=4=:0f`<2<0il?0qv?=0683>5<528nh4h48adg8y~758>0;6=4=:0f`=0<0io=0qv?=0683>5<528nh5n48b128y~758>0;6=4=:0f`e6<0j930qv?=0683>5<528nhml48b008y~758>0;6=4=:0f`f4<0j8h0qv?=0683>5<528nhn548b368y~758>0;6=4=:0f`fc<0j;n0qv?=0683>5<528nho;48b248y~758>0;6=4=:0f`ga<0j:l0qv?=0683>5<528nhh948b5:8y~758>0;6=4=:0f``g<0j<;0qv?=0683>5<528nhi?48b4c8y~758>0;6=4=:0f`a<<0j?90qv?=0683>5<528nhj=48b7a8y~758>0;6=4=:0f`b2<0j>?0qv?=0683>5<528nhjh48b6g8y~758>0;6=4=:0fg40<0j1=0qv?=0683>5<528no0;6=4=:0fg56<0j030qv?=0683>5<528no=l48b`08y~758>0;6=4=:0fg64<0jhh0qv?=0683>5<528no>548bc68y~758>0;6=4=:0fg6c<0jkn0qv?=0683>5<528no?;48bb48y~758>0;6=4=:0fg7a<0jjl0qv?=0683>5<528no8948be:8y~758>0;6=4=:0fg0g<0jl;0qv?=0683>5<528no9?48bdc8y~758>0;6=4=:0fg1<<0jo90qv?=0683>5<528no:=48bga8y~758>0;6=4=:0fg22<0k9?0qv?=0683>5<528no:h48c1g8y~758>0;6=4=:0fg30<0k8=0qv?=0683>5<528no;n48c328y~758>0;6=4=:0fg<5<0k;>0qv?=0683>5<528no4948c3:8y~758>0;6=4=:0fg<=<0k;i0qv?=0683>5<528no4i48c238y~758>0;6=4=:0fg=4<0k:?0qv?=0683>5<528no5848c2;8y~758>0;6=4=:0fg=d<0k:o0qv?=0683>5<528no5h48c508y~758>0;6=4=:0fge7<0k=<0qv?=0683>5<528nom:48c5`8y~758>0;6=4=:0fgeg<0k=l0qv?=0683>5<528nomk48c418y~758>0;6=4=:0fgf1<0k<20qv?=0683>5<528non548c4a8y~758>0;6=4=:0fgff<0k?:0qv?=0683>5<528noo<48c778y~758>0;6=4=:0fgg0<0k?30qv?=0683>5<528noo448c7f8y~758>0;6=4=:0fgg`<0k>80qv?=0683>5<528noh?48c648y~758>0;6=4=:0fg`3<0k>k0qv?=0683>5<528noho48c6d8y~758>0;6=4=:0fg`c<0k190qv?=0683>5<528noi>48c958y~758>0;6=4=:0fga2<0k1h0qv?=0683>5<528noio48c9d8y~758>0;6=4=:0fgb5<0k0>0qv?=0683>5<528noj948c8:8y~758>0;6=4=:0fgb=<0k0i0qv?=0683>5<528noji48c`38y~758>0;6=4=:0ff44<0kh?0qv?=0683>5<528nn<848c`;8y~758>0;6=4=:0ff4d<0kho0qv?=0683>5<528nn0;6=4=:0ff57<0kk<0qv?=0683>5<528nn=:48cc`8y~758>0;6=4=:0ff5g<0kkl0qv?=0683>5<528nn=k48cb18y~758>0;6=4=:0ff61<0kj20qv?=0683>5<528nn>548cba8y~758>0;6=4=:0ff6a<0km;0qv?=0683>5<528nn?>48ce58y~758>0;6=4=:0ff72<0kmh0qv?=0683>5<528nn?i48cd38y~758>0;6=4=:0ff04<0kl?0qv?=0683>5<528nn8;48cdc8y~758>0;6=4=:0ff0f<0ko:0qv?=0683>5<528nn9=48cg68y~758>0;6=4=:0ff10<0ko30qv?=0683>5<528nn9o48cgd8y~758>0;6=4=:0ff1c<0l990qv?=0683>5<528nn:948d1:8y~758>0;6=4=:0ff2d<0l9o0qv?=0683>5<528nn:h48d008y~758>0;6=4=:0ff36<0l8=0qv?=0683>5<528nn;448d0f8y~758>0;6=4=:0ff3a<0l;;0qv?=0683>5<528nn4?48d348y~758>0;6=4=:0ff<=<0l;i0qv?=0683>5<528nn4n48d228y~758>0;6=4=:0ff=7<0l:<0qv?=0683>5<528nn5;48d2c8y~758>0;6=4=:0ff=g<0l:l0qv?=0683>5<528nnm<48d578y~758>0;6=4=:0ffe0<0l=30qv?=0683>5<528nnml48d5g8y~758>0;6=4=:0fff5<0l<>0qv?=0683>5<528nnn948d4:8y~758>0;6=4=:0fff<<0l5<528nnnk48d718y~758>0;6=4=:0ffg6<0l?=0qv?=0683>5<528nno548d7a8y~758>0;6=4=:0ffg`<0l>80qv?=0683>5<428nnh?48d6493a1e3tq:>=950;296?7cmm21;i9i;|y2651=83:1>7?keea93a>43tq:>=950;296?7cml;1;i67;|y2651=83:1>7?ked493a>c3tq:>=950;296?7cmlk1;i7>;|y2651=83:1>7?kedg93a?23tq:>=950;296?7cmo91;i7n;|y2651=83:1>7?keg:93a?a3tq:>=950;296?7cmoi1;io<;|y2651=83:1>7?kf1293ag03tq:>=950;296?7cn9?1;iol;|y2651=83:1>7?kf1c93ad63tq:>=950;296?7cn9o1;il:;|y2651=83:1>7?kf0093ad>3tq:>=950;296?7cn8=1;ilj;|y2651=83:1>7?kf0a93ae43tq:>=950;296?7cn;:1;im8;|y2651=83:1>7?kf3693aee3tq:>=950;296?7cn;31;ij?;|y2651=83:1>7?kf3g93ab23tq:>=950;296?7cn:81;ij6;|y2651=83:1>7?kf2493abc3tq:>=950;296?7cn:h1;ik=;|y2651=83:1>7?kf5293ac03tq:>=950;296?7cn=>1;ikm;|y2651=83:1>7?kf5:93aca3tq:>=950;296?7cn=n1;ih;;|y2651=83:1>7?kf4393a`>3tq:>=950;296?7cn<21;h>=;|y2651=83:1>7?kf4d93`6e3tq:>=950;296?7cn?<1;h?;;|y2651=83:1>7?kf7f93`7c3tq:>=950;296?7cn>>1;h<9;|y2651=83:1>7?kf6`93`4a3tq:>=950;296?7cn181;h=7;|y2651=83:1>7?kf9;93`263tq:>=950;296?7cn0:1;h:n;|y2651=83:1>7?kf8593`343tq:>=950;296?7cn0o1;h;l;|y2651=83:1>7?kf`793`023tq:>=950;296?7cnhi1;h8j;|y2651=83:1>7?kfc193`103tq:>=950;296?7cnkk1;h6?;|y2651=83:1>7?kfb393`>>3tq:>=950;296?7cnj21;h7=;|y2651=83:1>7?kfbd93`?e3tq:>=950;296?7cnm<1;ho;;|y2651=83:1>7?kfef93`gc3tq:>=950;296?7cnl>1;hl9;|y2651=83:1>7?kfd`93`da3tq:>=950;296?7cno81;hm7;|y2651=83:1>7?kfg;93`b63tq:>=950;296?7b89:1;hjn;|y2651=83:1>7?j01593`c43tq:>=950;296?7b89o1;hkl;|y2651=83:1>7?j00793``23tq:>=950;296?7b88i1;hhj;|y2651=83:1>7?j03193c603tq:>=950;296?7b8;k1;k??;|y2651=83:1>7?j02393c7>3tq:>=950;296?7b8:21;k<=;|y2651=83:1>7?j02d93c4e3tq:>=950;296?7b8=<1;k=;;|y2651=83:1>7?j05f93c5c3tq:>=950;296?7b8<>1;k:9;|y2651=83:1>7?j04`93c2a3tq:>=950;296?7b8?81;k;7;|y2651=83:1>7?j07;93c063tq:>=950;296?7b8>:1;k8n;|y2651=83:1>7?j06593c143tq:>=950;296?7b8>o1;k9l;|y2651=83:1>7?j09793c>23tq:>=950;296?7b81i1;k6j;|y2651=83:1>7?j08193c?03tq:>=950;296?7b80k1;ko?;|y2651=83:1>7?j0`393cg>3tq:>=950;296?7b8h21;kl=;|y2651=83:1>7?j0`d93cde3tq:>=950;296?7b8k<1;km;;|y2651=83:1>7?j0cf93cec3tq:>=950;296?7b8j>1;kj9;|y2651=83:1>7?j0b`93cba3tq:>=950;296?7b8m81;kk7;|y2651=83:1>7?j0e;93c`63tq:>=950;296?7b8l:1;khn;|y2651=83:1>7?j0d59<5643tq:>=950;296?7b8lo14=>l;|y2651=83:1>7?j0g79<5723tq:>=950;296?7b8oi14=?j;|y2651=83:1>7?j1119<5403tq:>=950;296?7b99k14==?;|y2651=83:1>7?j1039<55>3tq:>=950;296?7b98214=:=;|y2651=83:1>7?j10d9<52e3tq:>=950;296?7b9;<14=;;;|y2651=83:1>7?j13f9<53c3tq:>=950;296?7b9:>14=89;|y2651=83:1>7?j12`9<50a3tq:>=950;296?7b9=814=97;|y2651=83:1>7?j15;9<5>63tq:>=950;296?7b9<:14=6n;|y2651=83:1>7?j1459<5?43tq:>=950;296?7b97?j1779<5g23tq:>=950;296?7b9?i14=oj;|y2651=83:1>7?j1619<5d03tq:>=950;296?7b9>k14=m?;|y2651=83:1>7?j1939<5e>3tq:>=950;296?7b91214=j=;|y2651=83:1>7?j19d9<5be3tq:>=950;296?7b90<14=k;;|y2651=83:1>7?j18f9<5cc3tq:>=950;296?7b9h>14=h9;|y2651=83:1>7?j1``9<5`a3tq:>=950;296?7b9k814<>7;|y2651=83:1>7?j1c;9<4763tq:>=950;296?7b9j:147?j1b59<4443tq:>=950;296?7b9jo14<7?j1e79<4523tq:>=950;296?7b9mi14<=j;|y2651=83:1>7?j1d19<4203tq:>=950;296?7b9lk14<;?;|y2651=83:1>7?j1g39<43>3tq:>=950;296?7b9o214<8=;|y2651=83:1>7?j1gd9<40e3tq:>=950;296?7b:9<14<9;;|y2651=83:1>7?j21f9<41c3tq:>=950;296?7b:8>14<69;|y2651=83:1>7?j20`9<4>a3tq:>=950;296?7b:;814<77;|y2651=83:1>7?j23;9<4g63tq:>=950;296?7b:::147?j2259<4d43tq:>=950;296?7b::o147?j2579<4e23tq:>=950;296?7b:=i147?j2419<4b03tq:>=950;296?7b:7?j2739<4c>3tq:>=950;296?7b:?2147?j27d9<4`e3tq:>=950;296?7b:><14?>;;|y2651=83:1>7?j26f9<76c3tq:>=950;296?7b:1>14??9;|y2651=83:1>7?j29`9<77a3tq:>=950;296?7b:0814?<7;|y2651=83:1>7?j28;9<7563tq:>=950;296?7b:h:14?=n;|y2651=83:1>7?j2`59<7243tq:>=950;296?7b:ho14?:l;|y2651=83:1>7?j2c79<7323tq:>=950;296?7b:ki14?;j;|y2651=83:1>7?j2b19<7003tq:>=950;296?7b:jk14?9?;|y2651=83:1>7?j2e39<71>3tq:>=950;296?7b:m214?6=;|y2651=83:1>7?j2ed9<7>e3tq:>=950;296?7b:l<14?7;;|y2651=83:1>7?j2df9<7?c3tq:>=950;296?7b:o>14?o9;|y2651=83:1>7?j2g`9<7ga3tq:>=950;296?7b;9814?l7;|y2651=83:1>7?j31;9<7e63tq:>=950;296?7b;8:14?mn;|y2651=83:1>7?j3059<7b43tq:>=950;296?7b;8o14?jl;|y2651=83:1>7?j3379<7c23tq:>=950;296?7b;;i14?kj;|y2651=83:1>7?j3219<7`03tq:>=950;296?7b;:k14>>?;|y2651=83:1>7?j3539<66>3tq:>=950;296?7b;=214>?=;|y2651=83:1>7?j35d9<67e3tq:>=950;296?7b;<<14><;;|y2651=83:1>7?j34f9<64c3tq:>=950;296?7b;?>14>=9;|y2651=83:1>7?j37`9<65a3tq:>=950;296?7b;>814>:7;|y2651=83:1>7?j36;9<6363tq:>=950;296?7b;1:14>;n;|y2651=83:1>7?j3959<6043tq:>=950;296?7b;1o14>8l;|y2651=83:1>7?j3879<6123tq:>=950;296?7b;0i14>9j;|y2651=83:1>7?j3`19<6>03tq:>=950;296?7b;hk14>7?;|y2651=83:1>7?j3c39<6?>3tq:>=950;296?7b;k214>o=;|y2651=83:1>7?j3cd9<6ge3tq:>=950;296?7b;j<14>l;;|y2651=83:1>7?j3bf9<6dc3tq:>=950;296?7b;m>14>m9;|y2651=83:1>7?j3e`9<6ea3tq:>=950;296?7b;l814>j7;|y2651=83:1>7?j3d;9<6c63tq:>=950;296?7b;o:14>k7;|y2651=83:1>7?j3g59<6ca3tq:>=950;296?7b;oo14>h9;|y2651=83:1>7?j4179<6`c3tq:>=950;296?7b<9i149>;;|y2651=83:1>7?j4019<16e3tq:>=950;296?7b<8k149?=;|y2651=83:1>7?j4339<17>3tq:>=950;296?7b<;?149?k;|y2651=83:1>7?j43;9<1463tq:>=950;296?7b<;o149<9;|y2651=83:1>7?j4209<14f3tq:>=950;296?7b<:=1497?j42`9<1543tq:>=950;296?7b<=:149=7;|y2651=83:1>7?j4569<15d3tq:>=950;296?7b<=3149:>;|y2651=83:1>7?j45f9<1223tq:>=950;296?7b<<8149:n;|y2651=83:1>7?j4449<12b3tq:>=950;296?7b<7?j44d9<1303tq:>=950;296?7b149;l;|y2651=83:1>7?j47:9<1073tq:>=950;296?7b7?j4639<10>3tq:>=950;296?7b<><1498j;|y2651=83:1>7?j46c9<1153tq:>=950;296?7b<>l14998;|y2651=83:1>7?j4919<11e3tq:>=950;296?7b<121496?;|y2651=83:1>7?j49a9<1>33tq:>=950;296?7b<0;14966;|y2651=83:1>7?j4879<1>c3tq:>=950;296?7b<0k1497=;|y2651=83:1>7?j48g9<1?13tq:>=950;296?7b7?j4`59<1?a3tq:>=950;296?7b7?j4c29<1g?3tq:>=950;296?7b7?j4c;9<1d63tq:>=950;296?7b7?j4b09<1df3tq:>=950;296?7b7?j4b`9<1e43tq:>=950;296?7b7?j4e69<1ed3tq:>=950;296?7b7?j4ef9<1b23tq:>=950;296?7b7?j4d49<1bb3tq:>=950;296?7b7?j4dd9<1c03tq:>=950;296?7b7?j4g:9<1`73tq:>=950;296?7b7?j5139<1`>3tq:>=950;296?7b=9?149hk;|y2651=83:1>7?j51c9<0653tq:>=950;296?7b=9o148>9;|y2651=83:1>7?j5019<06e3tq:>=950;296?7b=8=148>i;|y2651=83:1>7?j50a9<0733tq:>=950;296?7b=;:148?7;|y2651=83:1>7?j5379<07c3tq:>=950;296?7b=;3148<>;|y2651=83:1>7?j53g9<0413tq:>=950;296?7b=:81487?j5259<04a3tq:>=950;296?7b=:h148=<;|y2651=83:1>7?j5529<05?3tq:>=950;296?7b==>148=l;|y2651=83:1>7?j55;9<0263tq:>=950;296?7b==n148::;|y2651=83:1>7?j5409<02f3tq:>=950;296?7b=<<148:j;|y2651=83:1>7?j54`9<0343tq:>=950;296?7b=7?j5719<03e3tq:>=950;296?7b=?21488?;|y2651=83:1>7?j57a9<0033tq:>=950;296?7b=>;14886;|y2651=83:1>7?j5679<00c3tq:>=950;296?7b=>k1489=;|y2651=83:1>7?j56g9<0113tq:>=950;296?7b=191489m;|y2651=83:1>7?j5959<01a3tq:>=950;296?7b=1i1486;;|y2651=83:1>7?j5829<0>?3tq:>=950;296?7b=0?1486k;|y2651=83:1>7?j58;9<0?63tq:>=950;296?7b=0o14879;|y2651=83:1>7?j5`09<0?f3tq:>=950;296?7b=h=1487i;|y2651=83:1>7?j5``9<0g43tq:>=950;296?7b=hl148o8;|y2651=83:1>7?j5c69<0gd3tq:>=950;296?7b=k2148l?;|y2651=83:1>7?j5ca9<0d33tq:>=950;296?7b=j;148l6;|y2651=83:1>7?j5b79<0dc3tq:>=950;296?7b=j3148m>;|y2651=83:1>7?j5bg9<0e13tq:>=950;296?7b=m8148mn;|y2651=83:1>7?j5e49<0eb3tq:>=950;296?7b=mh148j<;|y2651=83:1>7?j5ed9<0b03tq:>=950;296?7b=l9148jm;|y2651=83:1>7?j5d:9<0c73tq:>=950;296?7b=li148k;;|y2651=83:1>7?j5g29<0c?3tq:>=950;296?7b=o?148kk;|y2651=83:1>7?j5g;9<0`63tq:>=950;296?7b=on148h:;|y2651=83:1>7?j6109<0`f3tq:>=950;296?7b>9<148hj;|y2651=83:1>7?j61c9<3653tq:>=950;296?7b>9l14;>8;|y2651=83:1>7?j6019<36e3tq:>=950;296?7b>8=14;>i;|y2651=83:1>7?j60a9<3733tq:>=950;296?7b>;:14;?7;|y2651=83:1>7?j6369<37d3tq:>=950;296?7b>;314;<>;|y2651=83:1>7?j63f9<3423tq:>=950;296?7b>:;14;<6;|y2651=83:1>7?j6249<34b3tq:>=950;296?7b>:k14;==;|y2651=83:1>7?j62g9<3513tq:>=950;296?7b>=914;=m;|y2651=83:1>7?j6559<35a3tq:>=950;296?7b>=h14;:<;|y2651=83:1>7?j6429<32?3tq:>=950;296?7b><>14;:l;|y2651=83:1>7?j64:9<3373tq:>=950;296?7b>7?j6739<33>3tq:>=950;296?7b>??14;;k;|y2651=83:1>7?j67c9<3053tq:>=950;296?7b>?o14;89;|y2651=83:1>7?j6619<30e3tq:>=950;296?7b>>314;9>;|y2651=83:1>7?j66d9<3103tq:>=950;296?7b>1>14;9l;|y2651=83:1>7?j69c9<3>53tq:>=950;296?7b>0:14;67;|y2651=83:1>7?j6879<3>c3tq:>=950;296?7b>0h14;7<;|y2651=83:1>7?j6`39<3?>3tq:>=950;296?7b>h<14;7j;|y2651=83:1>7?j6`a9<3g33tq:>=950;296?7b>k814;on;|y2651=83:1>7?j6c59<3ga3tq:>=950;296?7b>kn14;l:;|y2651=83:1>7?j6b19<3de3tq:>=950;296?7b>jk14;m;;|y2651=83:1>7?j6e39<3ec3tq:>=950;296?7b>m214;j9;|y2651=83:1>7?j6ed9<3ba3tq:>=950;296?7b>l<14;k7;|y2651=83:1>7?j6df9<3`63tq:>=950;296?7b>o>14;hn;|y2651=83:1>7?j6g`9<2643tq:>=950;296?7b?9814:>l;|y2651=83:1>7?j71;9<2723tq:>=950;296?7b?8:14:?j;|y2651=83:1>7?j7059<2403tq:>=950;296?7b?8o14:=?;|y2651=83:1>7?j7379<25>3tq:>=950;296?7b?;i14::=;|y2651=83:1>7?j7219<22e3tq:>=950;296?7b?:k14:;;;|y2651=83:1>7?j7539<23c3tq:>=950;296?7b?=214:89;|y2651=83:1>7?j75d9<20a3tq:>=950;296?7b?<<14:97;|y2651=83:1>7?j74f9<2>63tq:>=950;296?7b??>14:6n;|y2651=83:1>7?j77`9<2?43tq:>=950;296?7b?>814:7l;|y2651=83:1>7?j76;9<2g23tq:>=950;296?7b?1:14:oj;|y2651=83:1>7?j7959<2d03tq:>=950;296?7b?1o14:m?;|y2651=83:1>7?j7879<2e>3tq:>=950;296?7b?0i14:j=;|y2651=83:1>7?j7`19<2be3tq:>=950;296?7b?hk14:k;;|y2651=83:1>7?j7c39<2cc3tq:>=950;296?7b?k214:h9;|y2651=83:1>7?j7cd9<2`a3tq:>=950;296?7b?j<145>7;|y2651=83:1>7?j7bf9<=763tq:>=950;296?7b?m>145?n;|y2651=83:1>7?j7e`9<=443tq:>=950;296?7b?l81457?j7d;9<=523tq:>=950;296?7b?o:145=j;|y2651=83:1>7?j7g59<=203tq:>=950;296?7b?oo145;?;|y2651=83:1>7?j8179<=3>3tq:>=950;296?7b09i1458=;|y2651=83:1>7?j8019<=0e3tq:>=950;296?7b08k1459;;|y2651=83:1>7?j8339<=1c3tq:>=950;296?7b0;214569;|y2651=83:1>7?j83d9<=>a3tq:>=950;296?7b0:<14577;|y2651=83:1>7?j82f9<=g63tq:>=950;296?7b0=>145on;|y2651=83:1>7?j85`9<=d43tq:>=950;296?7b0<8145ll;|y2651=83:1>7?j84;9<=e23tq:>=950;296?7b0?:145mj;|y2651=83:1>7?j8759<=b03tq:>=950;296?7b0?o145jj;|y2651=83:1>7?j8679<=c23tq:>=950;296?7b0>i145kl;|y2651=83:1>7?j9e59=950;296?7b1mo14l:j;|y2651=83:1>7?j9d79=950;296?7b1li14l;l;|y2651=83:1>7?j9g19=950;296?7b1ok14l8n;|y2651=83:1>7?ja139=950;296?7bi9214l97;|y2651=83:1>7?ja1d9=950;296?7bi8<14l69;|y2651=83:1>7?ja0f9c3tq:>=950;296?7bi;>14l7;;|y2651=83:1>7?ja3`9=950;296?7bi:814lo=;|y2651=83:1>7?ja2;93tq:>=950;296?7bi=:14ll?;|y2651=83:1>7?ja559=950;296?7bi=o14llj;|y2651=83:1>7?ja479=950;296?7bi7?ja719=950;296?7bi?k14ljn;|y2651=83:1>7?ja639=950;296?7bi>214lk7;|y2651=83:1>7?ja6d9=950;296?7bi1<14lh9;|y2651=83:1>7?ja9f9=950;296?7bi0>14o>;;|y2651=83:1>7?ja8`9=950;296?7bih814o?=;|y2651=83:1>7?ja`;93tq:>=950;296?7bik:14o7?jac59=950;296?7biko14o7?jab79=950;296?7biji14o=l;|y2651=83:1>7?jae19=950;296?7bimk14o:j;|y2651=83:1>7?jad39=950;296?7bil214o8?;|y2651=83:1>7?jadd93tq:>=950;296?7bio<14o9=;|y2651=83:1>7?jagf9=950;296?7bj9>14o6;;|y2651=83:1>7?jb1`9c3tq:>=950;296?7bj8814o79;|y2651=83:1>7?jb0;9=950;296?7bj;:14oo7;|y2651=83:1>7?jb359=950;296?7bj;o14oln;|y2651=83:1>7?jb279=950;296?7bj:i14omn;|y2651=83:1>7?jb519=950;296?7bj=k14ojl;|y2651=83:1>7?jb439=950;296?7bj<214okj;|y2651=83:1>7?jb4d9=950;296?7bj?<14n>?;|y2651=83:1>7?jb7f93tq:>=950;296?7bj>>14n?=;|y2651=83:1>7?jb6`9=950;296?7bj1814n<;;|y2651=83:1>7?jb9;9=950;296?7bj0:14n=9;|y2651=83:1>7?jb859=950;296?7bj0o14n:7;|y2651=83:1>7?jb`79=950;296?7bjhi14n;9;|y2651=83:1>7?jbc19=950;296?7bjkk14n8;;|y2651=83:1>7?jbb39=950;296?7bjj214n9=;|y2651=83:1>7?jbbd93tq:>=950;296?7bjm<14n6?;|y2651=83:1>7?jbef903tq:>=950;296?7bjl>14n6j;|y2651=83:1>7?jbd`9=950;296?7bjo814n7l;|y2651=83:1>7?jbg;9=950;296?7bk9:14non;|y2651=83:1>7?jc159=950;296?7bk9o14nl7;|y2651=83:1>7?jc079=950;296?7bk8i14nm9;|y2651=83:1>7?jc319=950;296?7bk;k14nj;;|y2651=83:1>7?jc239=950;296?7bk:214nk9;|y2651=83:1>7?jc2d9=950;296?7bk=<14nh7;|y2651=83:1>7?jc5f9=950;296?7bk<>14i>n;|y2651=83:1>7?jc4`9=950;296?7bk?814i?l;|y2651=83:1>7?jc7;9=950;296?7bk>:14i7?jc659=950;296?7bk>o14i:?;|y2651=83:1>7?jc9793tq:>=950;296?7bk1i14i;=;|y2651=83:1>7?jc819=950;296?7bk0k14i8;;|y2651=83:1>7?jc`39=950;296?7bkh214i9;;|y2651=83:1>7?jc`a9=950;296?7bkk:14i9l;|y2651=83:1>7?jcc7963tq:>=950;296?7bkk314i6:;|y2651=83:1>7?jccg9f3tq:>=950;296?7bkj814i6j;|y2651=83:1>7?jcb59=950;296?7bkjh14i78;|y2651=83:1>7?jce29=950;296?7bkm>14io?;|y2651=83:1>7?jce;9=950;296?7bkmn14io6;|y2651=83:1>7?jcd09=950;296?7bkl<14il=;|y2651=83:1>7?jcd`9=950;296?7bkll14ilm;|y2651=83:1>7?jcg69=950;296?7bko214im;;|y2651=83:1>7?jcgf93tq:>=950;296?7bl9;14imk;|y2651=83:1>7?jd149=950;296?7bl9k14ij9;|y2651=83:1>7?jd1d9=950;296?7bl8914iji;|y2651=83:1>7?jd0:9=950;296?7bl8i14ik7;|y2651=83:1>7?jd339=950;296?7bl;?14ih>;|y2651=83:1>7?jd3c9=950;296?7bl;o14ihn;|y2651=83:1>7?jd219=950;296?7bl:=14h><;|y2651=83:1>7?jd2a9<`6?3tq:>=950;296?7bl=:14h>l;|y2651=83:1>7?jd579<`763tq:>=950;296?7bl=314h?:;|y2651=83:1>7?jd5f9<`7>3tq:>=950;296?7bl<814h?j;|y2651=83:1>7?jd449<`453tq:>=950;296?7bl7?jd4d9<`4e3tq:>=950;296?7bl?>14h=?;|y2651=83:1>7?jd7:9<`533tq:>=950;296?7bl?n14h=6;|y2651=83:1>7?jd639<`5c3tq:>=950;296?7bl><14h:=;|y2651=83:1>7?jd6c9<`213tq:>=950;296?7bl>l14h:m;|y2651=83:1>7?jd919<`2a3tq:>=950;296?7bl1214h;;;|y2651=83:1>7?jd9a9<`3?3tq:>=950;296?7bl0;14h;k;|y2651=83:1>7?jd879<`063tq:>=950;296?7bl0k14h89;|y2651=83:1>7?jd8g9<`0f3tq:>=950;296?7blh914h8i;|y2651=83:1>7?jd`59<`143tq:>=950;296?7blhi14h97;|y2651=83:1>7?jdc29<`1d3tq:>=950;296?7blk?14h6>;|y2651=83:1>7?jdc;9<`>23tq:>=950;296?7blko14h6n;|y2651=83:1>7?jdb09<`>b3tq:>=950;296?7blj=14h7<;|y2651=83:1>7?jdb`9<`?03tq:>=950;296?7blm:14h7l;|y2651=83:1>7?jde69<`g73tq:>=950;296?7blm314ho:;|y2651=83:1>7?jdef9<`g>3tq:>=950;296?7bll814hoj;|y2651=83:1>7?jdd49<`d53tq:>=950;296?7bllk14hl9;|y2651=83:1>7?jddd9<`de3tq:>=950;296?7blo914hli;|y2651=83:1>7?jdg:9<`e33tq:>=950;296?7bloi14hm7;|y2651=83:1>7?je139<`ec3tq:>=950;296?7bm9?14hj>;|y2651=83:1>7?je1c9<`b13tq:>=950;296?7bm9o14hjn;|y2651=83:1>7?je019<`ba3tq:>=950;296?7bm8=14hk<;|y2651=83:1>7?je0a9<`c?3tq:>=950;296?7bm;:14hkl;|y2651=83:1>7?je379<``63tq:>=950;296?7bm;314hh:;|y2651=83:1>7?je3g9<``f3tq:>=950;296?7bm:814hhj;|y2651=83:1>7?je249=950;296?7bm:h14k>8;|y2651=83:1>7?je2d9=950;296?7bm=914k>i;|y2651=83:1>7?je5:9=950;296?7bm=i14k?7;|y2651=83:1>7?je429=950;296?7bm;|y2651=83:1>7?je4;9=950;296?7bm7?je709=950;296?7bm?<14k==;|y2651=83:1>7?je7c9=950;296?7bm?l14k=m;|y2651=83:1>7?je619=950;296?7bm>=14k:<;|y2651=83:1>7?je6a9=950;296?7bm1:14k:l;|y2651=83:1>7?je969=950;296?7bm1314k;:;|y2651=83:1>7?je9f93tq:>=950;296?7bm0;14k;k;|y2651=83:1>7?je849=950;296?7bm0k14k89;|y2651=83:1>7?je8g9=950;296?7bmh914k8i;|y2651=83:1>7?je`59=950;296?7bmhh14k98;|y2651=83:1>7?jec29=950;296?7bmk>14k6?;|y2651=83:1>7?jec:933tq:>=950;296?7bmkn14k66;|y2651=83:1>7?jeb39c3tq:>=950;296?7bmj?14k7>;|y2651=83:1>7?jebc9=950;296?7bmjo14k7n;|y2651=83:1>7?jee09=950;296?7bmm=14ko<;|y2651=83:1>7?jee`9=950;296?7bmml14kom;|y2651=83:1>7?jed69=950;296?7bml214kl;;|y2651=83:1>7?jeda9=950;296?7bmo;14klk;|y2651=83:1>7?jeg79=950;296?7bmok14km9;|y2651=83:1>7?jf129=950;296?7bn9<14kj=;|y2651=83:1>7?jf1`9=950;296?7bn8;14kjk;|y2651=83:1>7?jf059=950;296?7bn8i14kk7;|y2651=83:1>7?jf309=950;296?7bn;214kh;;|y2651=83:1>7?jf3f93tq:>=950;296?7bn:914khi;|y2651=83:1>7?jf2;9=5623tq:>=950;296?7bn:o15=>n;|y2651=83:1>7?jf569=5773tq:>=950;296?7bn=k15=?9;|y2651=83:1>7?jf439=57a3tq:>=950;296?7bn<215=<7;|y2651=83:1>7?jf4d9=5563tq:>=950;296?7bn?<15==n;|y2651=83:1>7?jf7f9=5243tq:>=950;296?7bn>>15=:l;|y2651=83:1>7?jf6`9=5323tq:>=950;296?7bn1815=;j;|y2651=83:1>7?jf9;9=5003tq:>=950;296?7bn0:15=9?;|y2651=83:1>7?jf859=51>3tq:>=950;296?7bn0o15=6=;|y2651=83:1>7?jf`79=5>e3tq:>=950;296?7bnhi15=7;;|y2651=83:1>7?jfc19=5?c3tq:>=950;296?7bnkk15=o9;|y2651=83:1>7?jfb39=5ga3tq:>=950;296?7bnj215=l7;|y2651=83:1>7?jfbd9=5e63tq:>=950;296?7bnm<15=mn;|y2651=83:1>7?jfef9=5b43tq:>=950;296?7bnl>15=jl;|y2651=83:1>7?jfd`9=5c23tq:>=950;296?7bno815=kj;|y2651=83:1>7?jfg;9=5`03tq:>=950;296?7a89:15<>?;|y2651=83:1>7?i0159=46>3tq:>=950;296?7a89o157?i0079=47e3tq:>=950;296?7a88i15<<;;|y2651=83:1>7?i0319=44c3tq:>=950;296?7a8;k15<=9;|y2651=83:1>7?i0239=45a3tq:>=950;296?7a8:215<:7;|y2651=83:1>7?i02d9=4363tq:>=950;296?7a8=<15<;n;|y2651=83:1>7?i05f9=4043tq:>=950;296?7a8<>15<8l;|y2651=83:1>7?i04`9=4123tq:>=950;296?7a8?815<9j;|y2651=83:1>7?i07;9=4>03tq:>=950;296?7a8>:15<7?;|y2651=83:1>7?i0659=4?>3tq:>=950;296?7a8>o157?i0979=4ge3tq:>=950;296?7a81i157?i0819=4dc3tq:>=950;296?7a80k157?i0`39=4ea3tq:>=950;296?7a8h2157?i0`d9=4c63tq:>=950;296?7a8k<157?i0cf9=4`43tq:>=950;296?7a8j>157?i0b`9=7623tq:>=950;296?7a8m815?>j;|y2651=83:1>7?i0e;9=7703tq:>=950;296?7a8l:15?7?i0d59=74>3tq:>=950;296?7a8lo15?==;|y2651=83:1>7?i0g79=75>3tq:>=950;296?7a8oi15?:?;|y2651=83:1>7?i1119=7203tq:>=950;296?7a:o=;|y2651=83:1>7?i2779=6g>3tq:>=950;296?7a:?i15>l?;|y2651=83:1>7?i2619=6d03tq:>=950;296?7a:>k15>lj;|y2651=83:1>7?i2939=6e23tq:>=950;296?7a:1215>ml;|y2651=83:1>7?i29d9=6b43tq:>=950;296?7a:0<15>jn;|y2651=83:1>7?i28f9=6c63tq:>=950;296?7a:h>15>k7;|y2651=83:1>7?i2``9=6ca3tq:>=950;296?7a:k815>h9;|y2651=83:1>7?i2c;9=6`c3tq:>=950;296?7a:j:159>;;|y2651=83:1>7?i2b59=16e3tq:>=950;296?7a:jo159?=;|y2651=83:1>7?i2e79=17>3tq:>=950;296?7a:mi1597?i2d19=1403tq:>=950;296?7a:lk1597?i2g39=1523tq:>=950;296?7a:o2159=l;|y2651=83:1>7?i2gd9=1243tq:>=950;296?7a;9<159:n;|y2651=83:1>7?i31f9=1363tq:>=950;296?7a;8>159;7;|y2651=83:1>7?i30`9=13a3tq:>=950;296?7a;;815989;|y2651=83:1>7?i33;9=10c3tq:>=950;296?7a;::1599;;|y2651=83:1>7?i3259=11e3tq:>=950;296?7a;:o1596=;|y2651=83:1>7?i3579=1>>3tq:>=950;296?7a;=i1597?;|y2651=83:1>7?i3419=1?03tq:>=950;296?7a;7?i3739=1g>3tq:>=950;296?7a;?2159l=;|y2651=83:1>7?i37d9=1de3tq:>=950;296?7a;><159m;;|y2651=83:1>7?i36f9=1ec3tq:>=950;296?7a;1>159j9;|y2651=83:1>7?i39`9=1ba3tq:>=950;296?7a;08159k7;|y2651=83:1>7?i38;9=1`63tq:>=950;296?7a;h:159hn;|y2651=83:1>7?i3`59=0643tq:>=950;296?7a;ho158>l;|y2651=83:1>7?i3c79=0723tq:>=950;296?7a;ki158?l;|y2651=83:1>7?i3b19=0423tq:>=950;296?7a;jk1587?i3e39=0503tq:>=950;296?7a;m2158:?;|y2651=83:1>7?i3ed9=02>3tq:>=950;296?7a;l<158;=;|y2651=83:1>7?i3df9=03e3tq:>=950;296?7a;o>1588;;|y2651=83:1>7?i3g`9=00c3tq:>=950;296?7a<9815899;|y2651=83:1>7?i41;9=01a3tq:>=950;296?7a<8:15867;|y2651=83:1>7?i4059=0?63tq:>=950;296?7a<8o1587n;|y2651=83:1>7?i4379=0g43tq:>=950;296?7a<;i158on;|y2651=83:1>7?i4219=0d63tq:>=950;296?7a<:k158l7;|y2651=83:1>7?i4539=0da3tq:>=950;296?7a<=2158m9;|y2651=83:1>7?i45d9=0ec3tq:>=950;296?7a<<<158j;;|y2651=83:1>7?i44f9=0be3tq:>=950;296?7a158k=;|y2651=83:1>7?i47`9=0c>3tq:>=950;296?7a<>8158h?;|y2651=83:1>7?i46;9=0`03tq:>=950;296?7a<1:15;>?;|y2651=83:1>7?i4959=36>3tq:>=950;296?7a<1o15;?=;|y2651=83:1>7?i4879=37e3tq:>=950;296?7a<0i15;<;;|y2651=83:1>7?i4`19=34c3tq:>=950;296?7a7?i4c39=35a3tq:>=950;296?7a7?i4cd9=3363tq:>=950;296?7a7?i4bf9=3043tq:>=950;296?7a15;8l;|y2651=83:1>7?i4e`9=3123tq:>=950;296?7a7?i4d;9=3>03tq:>=950;296?7a7?i4g59=3?03tq:>=950;296?7a7?i4gd9=3?a3tq:>=950;296?7a=9>15;o;;|y2651=83:1>7?i51:9=3g?3tq:>=950;296?7a=9n15;ok;|y2651=83:1>7?i5039=3d63tq:>=950;296?7a=8<15;l9;|y2651=83:1>7?i50c9=3df3tq:>=950;296?7a=8l15;li;|y2651=83:1>7?i5319=3e43tq:>=950;296?7a=;215;m7;|y2651=83:1>7?i53a9=3ed3tq:>=950;296?7a=:;15;j>;|y2651=83:1>7?i5279=3b23tq:>=950;296?7a=:k15;jn;|y2651=83:1>7?i52g9=3bb3tq:>=950;296?7a==915;k<;|y2651=83:1>7?i5559=3c03tq:>=950;296?7a==i15;kl;|y2651=83:1>7?i5429=3`73tq:>=950;296?7a=7?i54;9=3`>3tq:>=950;296?7a=7?i5709=2653tq:>=950;296?7a=?=15:>8;|y2651=83:1>7?i57`9=26e3tq:>=950;296?7a=>:15:??;|y2651=83:1>7?i5669=2733tq:>=950;296?7a=>315:?6;|y2651=83:1>7?i56f9=27c3tq:>=950;296?7a=1815:<=;|y2651=83:1>7?i5949=2413tq:>=950;296?7a=1h15:7?i59d9=24a3tq:>=950;296?7a=0>15:=;;|y2651=83:1>7?i58:9=25?3tq:>=950;296?7a=0i15:=l;|y2651=83:1>7?i5`39=2263tq:>=950;296?7a=h?15:::;|y2651=83:1>7?i5`c9=22f3tq:>=950;296?7a=ho15::j;|y2651=83:1>7?i5c19=2343tq:>=950;296?7a=k=15:;8;|y2651=83:1>7?i5ca9=23d3tq:>=950;296?7a=j:15:8?;|y2651=83:1>7?i5b79=2023tq:>=950;296?7a=j315:86;|y2651=83:1>7?i5bg9=20b3tq:>=950;296?7a=m815:9=;|y2651=83:1>7?i5e59=2103tq:>=950;296?7a=mh15:9m;|y2651=83:1>7?i5d29=2>73tq:>=950;296?7a=l>15:6;;|y2651=83:1>7?i5d;9=2>>3tq:>=950;296?7a=ln15:6k;|y2651=83:1>7?i5g09=2?53tq:>=950;296?7a=o<15:79;|y2651=83:1>7?i5g`9=2?e3tq:>=950;296?7a=ol15:7i;|y2651=83:1>7?i6169=2g33tq:>=950;296?7a>9215:o7;|y2651=83:1>7?i61f9=2gc3tq:>=950;296?7a>8;15:l>;|y2651=83:1>7?i6049=2d13tq:>=950;296?7a>8k15:ln;|y2651=83:1>7?i60d9=2da3tq:>=950;296?7a>;915:m<;|y2651=83:1>7?i63:9=2e?3tq:>=950;296?7a>;i15:ml;|y2651=83:1>7?i6239=2b63tq:>=950;296?7a>:?15:j:;|y2651=83:1>7?i62;9=2b>3tq:>=950;296?7a>:o15:jj;|y2651=83:1>7?i6509=2c53tq:>=950;296?7a>==15:k8;|y2651=83:1>7?i65`9=2ce3tq:>=950;296?7a><:15:h?;|y2651=83:1>7?i6469=2`33tq:>=950;296?7a><315:h6;|y2651=83:1>7?i64f9=2`c3tq:>=950;296?7a>?8155>=;|y2651=83:1>7?i6749==613tq:>=950;296?7a>?h155>m;|y2651=83:1>7?i67d9==6a3tq:>=950;296?7a>>>155?;;|y2651=83:1>7?i66:9==7?3tq:>=950;296?7a>>n155?k;|y2651=83:1>7?i6939==463tq:>=950;296?7a>1?155<:;|y2651=83:1>7?i69c9==4f3tq:>=950;296?7a>1o1557?i6809==553tq:>=950;296?7a>0=155=8;|y2651=83:1>7?i68`9==5e3tq:>=950;296?7a>0l155=i;|y2651=83:1>7?i6`69==233tq:>=950;296?7a>h2155:7;|y2651=83:1>7?i6`a9==2d3tq:>=950;296?7a>k;155;>;|y2651=83:1>7?i6c79==323tq:>=950;296?7a>k3155;6;|y2651=83:1>7?i6cg9==3b3tq:>=950;296?7a>j81558=;|y2651=83:1>7?i6b49==013tq:>=950;296?7a>jh1558m;|y2651=83:1>7?i6bd9==0a3tq:>=950;296?7a>m91559<;|y2651=83:1>7?i6e:9==1?3tq:>=950;296?7a>mi1559l;|y2651=83:1>7?i6d29==>73tq:>=950;296?7a>l?1556:;|y2651=83:1>7?i6d;9==>>3tq:>=950;296?7a>ln1556k;|y2651=83:1>7?i6g09==?53tq:>=950;296?7a>o<15579;|y2651=83:1>7?i6gc9==?f3tq:>=950;296?7a>ol1557i;|y2651=83:1>7?i7119==g43tq:>=950;296?7a?9=155o8;|y2651=83:1>7?i71a9==gd3tq:>=950;296?7a?8:155l?;|y2651=83:1>7?i7069==d33tq:>=950;296?7a?83155l6;|y2651=83:1>7?i70f9==dc3tq:>=950;296?7a?;;155m>;|y2651=83:1>7?i7349==e13tq:>=950;296?7a?;k155mn;|y2651=83:1>7?i73g9==eb3tq:>=950;296?7a?:9155j<;|y2651=83:1>7?i7259==b03tq:>=950;296?7a?:h155jm;|y2651=83:1>7?i7529==c73tq:>=950;296?7a?=>155k;;|y2651=83:1>7?i75;9==c>3tq:>=950;296?7a?=l155ki;|y2651=83:1>7?i7479==`23tq:>=950;296?7a?7?i7729=<673tq:>=950;296?7a??<154>9;|y2651=83:1>7?i77`9=<6e3tq:>=950;296?7a?>;154?>;|y2651=83:1>7?i7659=<703tq:>=950;296?7a?>i154?l;|y2651=83:1>7?i7909=<453tq:>=950;296?7a?12154<7;|y2651=83:1>7?i79f9=<4c3tq:>=950;296?7a?09154=<;|y2651=83:1>7?i78;9=<5>3tq:>=950;296?7a?h:154:=;|y2651=83:1>7?i7`59=<2e3tq:>=950;296?7a?ho154;;;|y2651=83:1>7?i7c79=<3c3tq:>=950;296?7a?ki15489;|y2651=83:1>7?i7b19=<0a3tq:>=950;296?7a?jk15497;|y2651=83:1>7?i7e39=<>63tq:>=950;296?7a?m21546n;|y2651=83:1>7?i7ed9==950;296?7a?l<1547l;|y2651=83:1>7?i7df9==950;296?7a?o>154oj;|y2651=83:1>7?i7g`9==950;296?7a098154m?;|y2651=83:1>7?i81;9=3tq:>=950;296?7a08:154j=;|y2651=83:1>7?i8059==950;296?7a08o154k;;|y2651=83:1>7?i8379==950;296?7a0;i154h9;|y2651=83:1>7?i8219=<`a3tq:>=950;296?7a0:k15l>7;|y2651=83:1>7?i8539=d763tq:>=950;296?7a0=215l?n;|y2651=83:1>7?i85d9=d443tq:>=950;296?7a0<<15l7?i84f9=d523tq:>=950;296?7a0?>15l=j;|y2651=83:1>7?i87`9=d203tq:>=950;296?7a0>815l;?;|y2651=83:1>7?i86;9=d3>3tq:>=950;296?7a01:15l8=;|y2651=83:1>7?i8959=d0e3tq:>=950;296?7a01o15l9;;|y2651=83:1>7?i8879=d1c3tq:>=950;296?7a00i15l69;|y2651=83:1>7?i8`19=d>a3tq:>=950;296?7a0hk15l77;|y2651=83:1>7?i8c39=dg63tq:>=950;296?7a0k215lon;|y2651=83:1>7?i8cd9=dd43tq:>=950;296?7a0j<15lll;|y2651=83:1>7?i8bf9=de23tq:>=950;296?7a0m>15lmj;|y2651=83:1>7?i8e`9=db03tq:>=950;296?7a0l815lk?;|y2651=83:1>7?i8d;9=dc>3tq:>=950;296?7a0o:15lh=;|y2651=83:1>7?i8g59=d`e3tq:>=950;296?7a0oo15o>;;|y2651=83:1>7?i9179=g6c3tq:>=950;296?7a19i15o?9;|y2651=83:1>7?i9019=g7a3tq:>=950;296?7a18k15o<7;|y2651=83:1>7?i9339=g563tq:>=950;296?7a1;215o=n;|y2651=83:1>7?i93d9=g243tq:>=950;296?7a1:<15o:l;|y2651=83:1>7?i92f9=g323tq:>=950;296?7a1=>15o;l;|y2651=83:1>7?i95`9=g043tq:>=950;296?7a1<815o8n;|y2651=83:1>7?ia`f9=fe23tq:>=950;296?7aik>15nml;|y2651=83:1>7?iac`9=fb43tq:>=950;296?7aij815njn;|y2651=83:1>7?iab;9=fc63tq:>=950;296?7aim:15nk7;|y2651=83:1>7?iae59=fca3tq:>=950;296?7aimo15nh9;|y2651=83:1>7?iad79=f`c3tq:>=950;296?7aili15i>;;|y2651=83:1>7?iag19=a6e3tq:>=950;296?7aiok15i?=;|y2651=83:1>7?ib139=a7>3tq:>=950;296?7aj9215i7?ib1d9=a403tq:>=950;296?7aj8<15i7?ib0f9=a523tq:>=950;296?7aj;>15i=l;|y2651=83:1>7?ib3`9=a243tq:>=950;296?7aj:815i:n;|y2651=83:1>7?ib2;9=a363tq:>=950;296?7aj=:15i;7;|y2651=83:1>7?ib559=a3a3tq:>=950;296?7aj=o15i89;|y2651=83:1>7?ib479=a0c3tq:>=950;296?7aj7?ib719=a1e3tq:>=950;296?7aj?k15i6=;|y2651=83:1>7?ib639=a>>3tq:>=950;296?7aj>215i7?;|y2651=83:1>7?ib6d9=a?03tq:>=950;296?7aj1<15i7j;|y2651=83:1>7?ib9f9=ag23tq:>=950;296?7aj0>15iol;|y2651=83:1>7?ib8`9=ad43tq:>=950;296?7ajh815iln;|y2651=83:1>7?ib`;9=ae43tq:>=950;296?7ajk:15iml;|y2651=83:1>7?ibc59=ab23tq:>=950;296?7ajko15ijj;|y2651=83:1>7?ibb79=ac03tq:>=950;296?7ajji15ih?;|y2651=83:1>7?ibe19=a`>3tq:>=950;296?7ajmk15h>=;|y2651=83:1>7?ibd39=`6e3tq:>=950;296?7ajl215h?;;|y2651=83:1>7?ibdd9=`7c3tq:>=950;296?7ajo<15h<9;|y2651=83:1>7?ibgf9=`4a3tq:>=950;296?7ak9>15h=7;|y2651=83:1>7?ic1`9=`5a3tq:>=950;296?7ak8815h:7;|y2651=83:1>7?ic0;9=`363tq:>=950;296?7ak;:15h;n;|y2651=83:1>7?ic359=`043tq:>=950;296?7ak;o15h8l;|y2651=83:1>7?ic279=`123tq:>=950;296?7ak:i15h9j;|y2651=83:1>7?ic519=`>03tq:>=950;296?7ak=k15h7?;|y2651=83:1>7?ic439=`?>3tq:>=950;296?7ak<215ho=;|y2651=83:1>7?ic4d9=`ge3tq:>=950;296?7ak?<15hl;;|y2651=83:1>7?ic7f9=`dc3tq:>=950;296?7ak>>15hm9;|y2651=83:1>7?ic6`9=`ec3tq:>=950;296?7ak1815hj;;|y2651=83:1>7?ic9;9=`be3tq:>=950;296?7ak0:15hk=;|y2651=83:1>7?ic859=`c>3tq:>=950;296?7ak0o15hh?;|y2651=83:1>7?ic`79=``03tq:>=950;296?7akhi15hhj;|y2651=83:1>7?icc19=c623tq:>=950;296?7akkk15k>l;|y2651=83:1>7?icb39=c743tq:>=950;296?7akj215k?n;|y2651=83:1>7?icbd9=c443tq:>=950;296?7akm<15k7?icef9=c523tq:>=950;296?7akl>15k=j;|y2651=83:1>7?icd`9=c203tq:>=950;296?7ako815k;?;|y2651=83:1>7?icg;9=c3>3tq:>=950;296?7al9:15k8=;|y2651=83:1>7?id159=c0e3tq:>=950;296?7al9o15k9;;|y2651=83:1>7?id079=c1c3tq:>=950;296?7al8i15k69;|y2651=83:1>7?id319=c>a3tq:>=950;296?7al;k15k77;|y2651=83:1>7?id239=cg63tq:>=950;296?7al:215kon;|y2651=83:1>7?id2d9=cd43tq:>=950;296?7al=<15kln;|y2651=83:1>7?id5c9=cdb3tq:>=950;296?7al=o15km=;|y2651=83:1>7?id419=ce03tq:>=950;296?7al<=15kmm;|y2651=83:1>7?id4a9=cb73tq:>=950;296?7al?:15kj;;|y2651=83:1>7?id779=cb>3tq:>=950;296?7al?315kjk;|y2651=83:1>7?id7g9=cc53tq:>=950;296?7al>815kk9;|y2651=83:1>7?id659=cce3tq:>=950;296?7al>h15kki;|y2651=83:1>7?id929=c`33tq:>=950;296?7al1>15kh7;|y2651=83:1>7?id9;9=c`c3tq:>=950;296?7al1n1m=>>;|y2651=83:1>7?id809e5613tq:>=950;296?7al0<1m=>n;|y2651=83:1>7?id8`9e56a3tq:>=950;296?7alh81m=?9;|y2651=83:1>7?id`;9e57c3tq:>=950;296?7alk:1m=<;;|y2651=83:1>7?idc59e54e3tq:>=950;296?7alko1m===;|y2651=83:1>7?idb79e55>3tq:>=950;296?7alji1m=:?;|y2651=83:1>7?ide19e5203tq:>=950;296?7almk1m=:j;|y2651=83:1>7?idd39e5323tq:>=950;296?7all21m=;j;|y2651=83:1>7?iddd9e5023tq:>=950;296?7alo<1m=8l;|y2651=83:1>7?idgf9e5143tq:>=950;296?7am9>1m=9n;|y2651=83:1>7?ie1`9e5>63tq:>=950;296?7am881m=67;|y2651=83:1>7?ie0;9e5>a3tq:>=950;296?7am;:1m=79;|y2651=83:1>7?ie369e5?f3tq:>=950;296?7am;k1m=o?;|y2651=83:1>7?ie3d9e5g23tq:>=950;296?7am:>1m=on;|y2651=83:1>7?ie2;9e5ga3tq:>=950;296?7am:o1m=l;;|y2651=83:1>7?ie519e5d>3tq:>=950;296?7am=21m=lj;|y2651=83:1>7?ie5f9e5e43tq:>=950;296?7am<81m=m7;|y2651=83:1>7?ie459e5ec3tq:>=950;296?7am7?ie739e5b03tq:>=950;296?7am?<1m=jl;|y2651=83:1>7?ie7`9e5c63tq:>=950;296?7am>:1m=k9;|y2651=83:1>7?ie679e5ce3tq:>=950;296?7am>k1m=h?;|y2651=83:1>7?ie6d9e5`23tq:>=950;296?7am1>1m=hn;|y2651=83:1>7?ie9;9e5`a3tq:>=950;296?7am1o1m<>;;|y2651=83:1>7?ie819e46>3tq:>=950;296?7am021m<>j;|y2651=83:1>7?ie8f9e4743tq:>=950;296?7amh81m7?ie`59e47c3tq:>=950;296?7amhi1m<<=;|y2651=83:1>7?iec39e4403tq:>=950;296?7amk<1m<7?iec`9e4563tq:>=950;296?7amj:1m<=9;|y2651=83:1>7?ieb79e45e3tq:>=950;296?7amjk1m<:?;|y2651=83:1>7?iebd9e4223tq:>=950;296?7amm>1m<:n;|y2651=83:1>7?iee;9e42a3tq:>=950;296?7ammo1m<;;;|y2651=83:1>7?ied19e43>3tq:>=950;296?7aml21m<;j;|y2651=83:1>7?iedf9e4043tq:>=950;296?7amo81m<87;|y2651=83:1>7?ieg59e40c3tq:>=950;296?7amoi1m<9=;|y2651=83:1>7?if139e4103tq:>=950;296?7an9<1m<9l;|y2651=83:1>7?if1`9e4>63tq:>=950;296?7an8:1m<69;|y2651=83:1>7?if079e4>e3tq:>=950;296?7an8k1m<7?;|y2651=83:1>7?if0g9e4?33tq:>=950;296?7an;91m<76;|y2651=83:1>7?if3:9e4?b3tq:>=950;296?7an::1m7?if279e4ge3tq:>=950;296?7an:k1m7?if2g9e4d33tq:>=950;296?7an=<1m7?if5f9e4e43tq:>=950;296?7an<81m7?if459e4ec3tq:>=950;296?7an7?if739e4b03tq:>=950;296?7an?<1m7?if7`9e4c63tq:>=950;296?7an>:1m7?if679e4ce3tq:>=950;296?7an>k1m7?if6d9e4`23tq:>=950;296?7an1>1m7?if9;9e4`a3tq:>=950;296?7an1o1m?>;;|y2651=83:1>7?if819e76>3tq:>=950;296?7an021m?>j;|y2651=83:1>7?if8f9e7743tq:>=950;296?7anh81m??7;|y2651=83:1>7?if`59e77c3tq:>=950;296?7anhi1m?<=;|y2651=83:1>7?ifc39e7403tq:>=950;296?7ank<1m?7?ifc`9e7563tq:>=950;296?7anj:1m?=9;|y2651=83:1>7?ifb79e75e3tq:>=950;296?7anjk1m?:?;|y2651=83:1>7?ifbd9e7223tq:>=950;296?7anm>1m?:n;|y2651=83:1>7?ife;9e72a3tq:>=950;296?7anmo1m?;;;|y2651=83:1>7?ifd19e73>3tq:>=950;296?7anl21m?;j;|y2651=83:1>7?ifdf9e7043tq:>=950;296?7ano91m?86;|y2651=83:1>7?ifg`9e7163tq:>=950;296?478991m?96;|y2651=83:1>763tq:>=950;296?478891m?66;|y2651=83:1>7=950;296?478;:1m?79;|y2651=83:1>7=950;296?478;n1m?o<;|y2651=83:1>7=950;296?478:<1m?ol;|y2651=83:1>7=950;296?478=<1m?ll;|y2651=83:1>7=950;296?478<;1m?m8;|y2651=83:1>7=950;296?4787=950;296?478?h1m?k>;|y2651=83:1>7=950;296?478>31m?ki;|y2651=83:1>7=950;296?478121m?hj;|y2651=83:1>7=950;296?4780<1m>>l;|y2651=83:1>7=950;296?478h>1m>?n;|y2651=83:1>7=950;296?478k91m><6;|y2651=83:1>7=950;296?478j;1m>=8;|y2651=83:1>7=950;296?478m:1m>:9;|y2651=83:1>7=950;296?478ml1m>;:;|y2651=83:1>7=950;296?478lo1m>8;;|y2651=83:1>7=950;296?478oi1m>9=;|y2651=83:1>73tq:>=950;296?4799=1m>9k;|y2651=83:1>723tq:>=950;296?4798=1m>6k;|y2651=83:1>7=950;296?479;?1m>7m;|y2651=83:1>7=950;296?479:;1m>o8;|y2651=83:1>7=950;296?479:k1m>l?;|y2651=83:1>7=950;296?479=?1m>lm;|y2651=83:1>7=950;296?479<91m>m6;|y2651=83:1>7=950;296?479?;1m>j8;|y2651=83:1>7=950;296?479?l1m>k:;|y2651=83:1>7=950;296?479>i1m>h=;|y2651=83:1>7=950;296?479131m>hi;|y2651=83:1>7=950;296?4790?1m9>m;|y2651=83:1>7=950;296?479h91m9?6;|y2651=83:1>7=950;296?479k;1m9<8;|y2651=83:1>7=950;296?479kl1m9=:;|y2651=83:1>7=950;296?479jn1m9:<;|y2651=83:1>7=950;296?479mh1m9;>;|y2651=83:1>7=950;296?479l31m9;i;|y2651=83:1>7=950;296?479o31m98i;|y2651=83:1>7=950;296?47:9<1m99l;|y2651=83:1>733tq:>=950;296?47:8<1m96l;|y2651=83:1>7=950;296?47:;<1m97l;|y2651=83:1>7=950;296?47::<1m9ol;|y2651=83:1>7=950;296?47:=<1m9ll;|y2651=83:1>7=950;296?47:<<1m9ml;|y2651=83:1>7=950;296?47:?;1m9j8;|y2651=83:1>7=950;296?47:?i1m9k=;|y2651=83:1>7=950;296?47:>31m9ki;|y2651=83:1>7=950;296?47:131m9hi;|y2651=83:1>7=950;296?47:0=1m8>k;|y2651=83:1>7=950;296?47:h<1m8?l;|y2651=83:1>7=950;296?47:k?1m87=950;296?47:j>1m8=n;|y2651=83:1>7=950;296?47:m91m8:6;|y2651=83:1>7=950;296?47:l;1m8;8;|y2651=83:1>7=950;296?47:lo1m88;;|y2651=83:1>73tq:>=950;296?47:o21m88j;|y2651=83:1>7=950;296?47;921m89j;|y2651=83:1>743tq:>=950;296?47;8?1m86m;|y2651=83:1>7=950;296?47;8l1m87:;|y2651=83:1>7=950;296?47;;31m87i;|y2651=83:1>7=950;296?47;:91m8o6;|y2651=83:1>7=950;296?47;:n1m8l<;|y2651=83:1>7=950;296?47;==1m8lk;|y2651=83:1>7=950;296?47;<91m8m6;|y2651=83:1>7=950;296?47;7=950;296?47;?31m8ji;|y2651=83:1>7=950;296?47;>91m8k6;|y2651=83:1>7=950;296?47;>n1m8h<;|y2651=83:1>7=950;296?47;1=1m8hk;|y2651=83:1>7=950;296?47;0;1m;>8;|y2651=83:1>7=950;296?47;0n1m;?<;|y2651=83:1>7=950;296?47;h=1m;?k;|y2651=83:1>7=950;296?47;k91m;<6;|y2651=83:1>7=950;296?47;kn1m;=<;|y2651=83:1>7=950;296?47;j=1m;=k;|y2651=83:1>7=950;296?47;m;1m;:8;|y2651=83:1>7=950;296?47;mn1m;;<;|y2651=83:1>7=950;296?47;l31m;;i;|y2651=83:1>7=950;296?47;o91m;86;|y2651=83:1>7=950;296?47;on1m;9<;|y2651=83:1>7=950;296?47<9=1m;9k;|y2651=83:1>753tq:>=950;296?47<8>1m;6n;|y2651=83:1>7a3tq:>=950;296?47<8o1m;7;;|y2651=83:1>73tq:>=950;296?47<;21m;7j;|y2651=83:1>7=950;296?47<:81m;o7;|y2651=83:1>7=950;296?47<:i1m;l=;|y2651=83:1>7=950;296?47<=31m;li;|y2651=83:1>7=950;296?47<<31m;mi;|y2651=83:1>7=950;296?477=950;296?47<>?1m;km;|y2651=83:1>7=950;296?47<1;1m;h8;|y2651=83:1>7=950;296?47<1l1m:>:;|y2651=83:1>7=950;296?47<0o1m:?;;|y2651=83:1>7=950;296?477=950;296?477=950;296?477=950;296?477=950;296?4773tq:>=950;296?477=950;296?471m:8n;|y2651=83:1>7=950;296?477=950;296?47=9>1m:9n;|y2651=83:1>763tq:>=950;296?47=891m:66;|y2651=83:1>7=950;296?47=;91m:76;|y2651=83:1>7=950;296?47=:91m:o6;|y2651=83:1>7=950;296?47==91m:l6;|y2651=83:1>7=950;296?47=<91m:m6;|y2651=83:1>7=950;296?47=?91m:j6;|y2651=83:1>7=950;296?47=>91m:k6;|y2651=83:1>7=950;296?47=191m:h6;|y2651=83:1>7=950;296?47=091m5>6;|y2651=83:1>7=950;296?47=h91m5?6;|y2651=83:1>7=950;296?47=k91m5<6;|y2651=83:1>7=950;296?47=j91m5=6;|y2651=83:1>7=950;296?47=m91m5:6;|y2651=83:1>7=950;296?47=l91m5;6;|y2651=83:1>7=950;296?47=ll1m58:;|y2651=83:1>73tq:>=950;296?47=o=1m58k;|y2651=83:1>7=950;296?47>9:1m599;|y2651=83:1>7=950;296?47>9k1m56?;|y2651=83:1>723tq:>=950;296?47>8>1m56n;|y2651=83:1>7a3tq:>=950;296?47>8o1m57;;|y2651=83:1>73tq:>=950;296?47>;21m57j;|y2651=83:1>7=950;296?47>:81m5o7;|y2651=83:1>7=950;296?47>:i1m5l=;|y2651=83:1>7=950;296?47>=<1m5ll;|y2651=83:1>7=950;296?47><:1m5m9;|y2651=83:1>7=950;296?47>7=950;296?47>?>1m5jn;|y2651=83:1>7=950;296?47>?l1m5k:;|y2651=83:1>7=950;296?47>>i1m5h=;|y2651=83:1>7=950;296?47>1=1m5hk;|y2651=83:1>7=950;296?47>091m4>6;|y2651=83:1>7=950;296?47>h;1m4?8;|y2651=83:1>7=950;296?47>h31m4?i;|y2651=83:1>7=950;296?47>k?1m47=950;296?47>j81m4=7;|y2651=83:1>7=950;296?47>m81m4:7;|y2651=83:1>7=950;296?47>l;1m4;8;|y2651=83:1>7=950;296?47>o;1m488;|y2651=83:1>7=950;296?47?9;1m498;|y2651=83:1>7=950;296?47?8;1m468;|y2651=83:1>7a3tq:>=950;296?47?;;1m478;|y2651=83:1>7=950;296?47?:;1m4o8;|y2651=83:1>7=950;296?47?=;1m4l8;|y2651=83:1>7=950;296?47?<;1m4m8;|y2651=83:1>7=950;296?47??;1m4j8;|y2651=83:1>7=950;296?47?>;1m4k8;|y2651=83:1>7=950;296?47?1;1m4h8;|y2651=83:1>7=950;296?47?0;1ml>8;|y2651=83:1>7=950;296?47?h;1ml?8;|y2651=83:1>7=950;296?47?k;1ml<8;|y2651=83:1>7=950;296?47?j;1ml=8;|y2651=83:1>7=950;296?47?m;1ml:8;|y2651=83:1>7=950;296?47?l;1ml;8;|y2651=83:1>7=950;296?47?ln1ml8<;|y2651=83:1>7=950;296?47?o21ml8j;|y2651=83:1>7=950;296?4709:1ml99;|y2651=83:1>7=950;296?4709n1ml6<;|y2651=83:1>7f3tq:>=950;296?4708i1ml7=;|y2651=83:1>7=950;296?470;i1mlo=;|y2651=83:1>73tq:>=950;296?470:h1mll>;|y2651=83:1>73tq:>=950;296?470=h1mlm>;|y2651=83:1>73tq:>=950;296?470;|y2651=83:1>73tq:>=950;296?470?h1mlk>;|y2651=83:1>73tq:>=950;296?470>h1mlh>;|y2651=83:1>73tq:>=950;296?4701h1mo>>;|y2651=83:1>73tq:>=950;296?4700h1mo?>;|y2651=83:1>73tq:>=950;296?470hh1mo<>;|y2651=83:1>73tq:>=950;296?470kh1mo=>;|y2651=83:1>73tq:>=950;296?470jh1mo:>;|y2651=83:1>73tq:>=950;296?470mh1mo;>;|y2651=83:1>73tq:>=950;296?470lh1mo8>;|y2651=83:1>73tq:>=950;296?470oh1mo9>;|y2651=83:1>73tq:>=950;296?4719h1mo6>;|y2651=83:1>7>3tq:>=950;296?4718h1mo7>;|y2651=83:1>73tq:>=950;296?471;h1moo>;|y2651=83:1>73tq:>=950;296?471:=1mook;|y2651=83:1>7=950;296?471=>1moln;|y2651=83:1>7=950;296?471=o1mom;;|y2651=83:1>7=950;296?471<=1momk;|y2651=83:1>7=950;296?471?81moj7;|y2651=83:1>7=950;296?471?n1mok<;|y2651=83:1>7=950;296?471>21mokj;|y2651=83:1>7=950;296?4711?1mohm;|y2651=83:1>7=950;296?4710>1mn>n;|y2651=83:1>7=950;296?471h;1mn?8;|y2651=83:1>7=950;296?471hi1mn<=;|y2651=83:1>7=950;296?471k21mn7=950;296?471j?1mn=m;|y2651=83:1>7=950;296?471m91mn:6;|y2651=83:1>7=950;296?471l:1mn;9;|y2651=83:1>7=950;296?471l31mn;i;|y2651=83:1>7=950;296?471o?1mn8m;|y2651=83:1>7=950;296?47i9;1mn98;|y2651=83:1>7=950;296?47i9h1mn6>;|y2651=83:1>703tq:>=950;296?47i821mn6j;|y2651=83:1>7=950;296?47i;:1mn79;|y2651=83:1>7=950;296?47i;21mn7j;|y2651=83:1>7=950;296?47i:21mnoj;|y2651=83:1>7=950;296?47i=<1mnll;|y2651=83:1>7=950;296?47i<>1mnmn;|y2651=83:1>7=950;296?47i?>1mnjn;|y2651=83:1>7=950;296?47i>>1mnkn;|y2651=83:1>7=950;296?47i1>1mnhn;|y2651=83:1>7=950;296?47i0>1mi>n;|y2651=83:1>7=950;296?47ih>1mi?n;|y2651=83:1>7=950;296?47ik>1mi7=950;296?47ij>1mi=n;|y2651=83:1>7=950;296?47im>1mi:n;|y2651=83:1>7=950;296?47il>1mi;n;|y2651=83:1>7=950;296?47io>1mi8n;|y2651=83:1>7=950;296?47j9>1mi9n;|y2651=83:1>753tq:>=950;296?47j8>1mi6n;|y2651=83:1>7=950;296?47j;>1mi7n;|y2651=83:1>7=950;296?47j:>1mion;|y2651=83:1>7=950;296?47j=>1miln;|y2651=83:1>7=950;296?47j<81mim7;|y2651=83:1>7=950;296?47j?81mij7;|y2651=83:1>7=950;296?47j>81mik7;|y2651=83:1>7=950;296?47j181mih7;|y2651=83:1>7=950;296?47j081mh>7;|y2651=83:1>7=950;296?47jh81mh?7;|y2651=83:1>7=950;296?47jk81mh<7;|y2651=83:1>7=950;296?47jj81mh=7;|y2651=83:1>7=950;296?47jm81mh:7;|y2651=83:1>7=950;296?47jl:1mh;9;|y2651=83:1>7=950;296?47jlo1mh8;;|y2651=83:1>7=950;296?47joi1mh9=;|y2651=83:1>73tq:>=950;296?47k9k1mh6?;|y2651=83:1>703tq:>=950;296?47k831mh6i;|y2651=83:1>7=950;296?47k;31mh7i;|y2651=83:1>7=950;296?47k:31mhoi;|y2651=83:1>7=950;296?47k=?1mhlm;|y2651=83:1>7=950;296?47k<;1mhm8;|y2651=83:1>7=950;296?47k73tq:>=950;296?47k?31mhji;|y2651=83:1>7=950;296?47k>?1mhkm;|y2651=83:1>7=950;296?47k1;1mhh8;|y2651=83:1>7=950;296?47k1n1mk><;|y2651=83:1>73tq:>=950;296?47k0h1mk?>;|y2651=83:1>7=950;296?47kh91mk?6;|y2651=83:1>7=950;296?47kk81mk<7;|y2651=83:1>7=950;296?47kj:1mk=9;|y2651=83:1>7=950;296?47kji1mk:=;|y2651=83:1>7=950;296?47kmk1mk;?;|y2651=83:1>7=950;296?47kl=1mk;k;|y2651=83:1>7=950;296?47ko=1mk8k;|y2651=83:1>7=950;296?47l9;1mk98;|y2651=83:1>7=950;296?47l9h1mk6>;|y2651=83:1>703tq:>=950;296?47l8=1mk6k;|y2651=83:1>7=950;296?47l;>1mk7n;|y2651=83:1>7=950;296?47l:81mko7;|y2651=83:1>7=950;296?47l:o1mkl;;|y2651=83:1>7=950;296?47l=o1mkm;;|y2651=83:1>7=950;296?47l7=950;296?47l?<1mkjl;|y2651=83:1>7=950;296?47l><1mkkl;|y2651=83:1>7=950;296?47l1<1mkhl;|y2651=83:1>7=950;296?47l0<1n=>l;|y2651=83:1>7=950;296?47lh<1n=?l;|y2651=83:1>7=950;296?47lk<1n=7=950;296?47lj<1n==l;|y2651=83:1>7=950;296?47lm<1n=:l;|y2651=83:1>7=950;296?47ll<1n=;l;|y2651=83:1>7=950;296?47lo:1n=89;|y2651=83:1>7=950;296?47lok1n=9?;|y2651=83:1>7=950;296?47m9>1n=9n;|y2651=83:1>7=950;296?47m9n1n=6<;|y2651=83:1>703tq:>=950;296?47m831n=6i;|y2651=83:1>7=950;296?47m;31n=7i;|y2651=83:1>7=950;296?47m:31n=oi;|y2651=83:1>7=950;296?47m=31n=li;|y2651=83:1>7=950;296?47m<31n=mi;|y2651=83:1>7=950;296?47m?31n=ji;|y2651=83:1>7=950;296?47m>31n=ki;|y2651=83:1>7=950;296?47m131n=hi;|y2651=83:1>7=950;296?47m031n<>i;|y2651=83:1>7=950;296?47mh31n7=950;296?47mk31n<7=950;296?47mj31n<=i;|y2651=83:1>7=950;296?47mm31n<:i;|y2651=83:1>7=950;296?47ml31n<;i;|y2651=83:1>7=950;296?47mo31n<8i;|y2651=83:1>7=950;296?47n931n<9i;|y2651=83:1>703tq:>=950;296?47n831n<6i;|y2651=83:1>7=950;296?47n;31n<7i;|y2651=83:1>7=950;296?47n:31n7=950;296?47n=31n7=950;296?47n<31n7=950;296?47n?31n7=950;296?47n>31n7=950;296?47n131n7=950;296?47n031n?>i;|y2651=83:1>7=950;296?47nh31n??i;|y2651=83:1>7=950;296?47nk31n?7=950;296?47nj31n?=i;|y2651=83:1>7=950;296?47nm31n?:i;|y2651=83:1>7=950;296?47nl31n?;i;|y2651=83:1>7=950;296?47no31n?8i;|y2651=83:1>7<>0139f7103tq:>=950;296?468931n?9i;|y2651=83:1>7<>0039f7>03tq:>=950;296?468831n?6i;|y2651=83:1>7<>0339f7?03tq:>=950;296?468;21n?7j;|y2651=83:1>7<>03d9f7g23tq:>=950;296?468:<1n?ol;|y2651=83:1>7<>02f9f7d43tq:>=950;296?468=>1n?ln;|y2651=83:1>7<>05`9f7e63tq:>=950;296?468<81n?m7;|y2651=83:1>7<>04;9f7ea3tq:>=950;296?468?:1n?j9;|y2651=83:1>7<>0759f7bc3tq:>=950;296?468?o1n?k;;|y2651=83:1>7<>0679f7ce3tq:>=950;296?468>i1n?h=;|y2651=83:1>7<>0919f7`>3tq:>=950;296?4681k1n>>?;|y2651=83:1>7<>0839f6603tq:>=950;296?468021n>>j;|y2651=83:1>7<>0`29f6713tq:>=950;296?468h=1n>?k;|y2651=83:1>7<>0`d9f6423tq:>=950;296?468k=1n>7<>0cd9f6523tq:>=950;296?468j=1n>=k;|y2651=83:1>7<>0bd9f6223tq:>=950;296?468m=1n>:k;|y2651=83:1>7<>0ea9f6353tq:>=950;296?468l:1n>;9;|y2651=83:1>7<>0d79f63e3tq:>=950;296?468lh1n>8>;|y2651=83:1>7<>0g09f60?3tq:>=950;296?468ok1n>9?;|y2651=83:1>7<>0gg9f6133tq:>=950;296?469991n>96;|y2651=83:1>7<>11;9f61a3tq:>=950;296?4698:1n>69;|y2651=83:1>7<>10:9f6>b3tq:>=950;296?469;:1n>79;|y2651=83:1>7<>13:9f6?b3tq:>=950;296?469::1n>o9;|y2651=83:1>7<>12:9f6gb3tq:>=950;296?469=:1n>l9;|y2651=83:1>7<>15:9f6db3tq:>=950;296?469<:1n>m9;|y2651=83:1>7<>14:9f6eb3tq:>=950;296?469?:1n>j9;|y2651=83:1>7<>17:9f6bb3tq:>=950;296?469>:1n>k9;|y2651=83:1>7<>16:9f6cb3tq:>=950;296?4691:1n>h9;|y2651=83:1>7<>19:9f6`b3tq:>=950;296?4690:1n9>9;|y2651=83:1>7<>18:9f16b3tq:>=950;296?469h:1n9?9;|y2651=83:1>7<>1`:9f17b3tq:>=950;296?469k:1n9<9;|y2651=83:1>7<>1c:9f14b3tq:>=950;296?469j:1n9=9;|y2651=83:1>7<>1b:9f15b3tq:>=950;296?469m:1n9:9;|y2651=83:1>7<>1e:9f12b3tq:>=950;296?469l:1n9;9;|y2651=83:1>7<>1d:9f13b3tq:>=950;296?469o:1n989;|y2651=83:1>7<>1g:9f10b3tq:>=950;296?46:9:1n999;|y2651=83:1>7<>21:9f11b3tq:>=950;296?46:8:1n969;|y2651=83:1>7<>20:9f1>b3tq:>=950;296?46:;:1n979;|y2651=83:1>7<>23:9f1?b3tq:>=950;296?46:::1n9o9;|y2651=83:1>7<>22:9f1gb3tq:>=950;296?46:=:1n9l9;|y2651=83:1>7<>25:9f1db3tq:>=950;296?46:<:1n9m9;|y2651=83:1>7<>24:9f1eb3tq:>=950;296?46:?:1n9j9;|y2651=83:1>7<>27:9f1bb3tq:>=950;296?46:>:1n9k9;|y2651=83:1>7<>26:9f1cb3tq:>=950;296?46:1:1n9h9;|y2651=83:1>7<>29:9f1`b3tq:>=950;296?46:0:1n8>9;|y2651=83:1>7<>28:9f06b3tq:>=950;296?46:h:1n8?9;|y2651=83:1>7<>2`:9f07b3tq:>=950;296?46:k:1n8<9;|y2651=83:1>7<>2c:9f04b3tq:>=950;296?46:j:1n8=9;|y2651=83:1>7<>2b:9f05b3tq:>=950;296?46:m:1n8:9;|y2651=83:1>7<>2e:9f02b3tq:>=950;296?46:l:1n8;9;|y2651=83:1>7<>2d:9f03b3tq:>=950;296?46:o:1n889;|y2651=83:1>7<>2g:9f00b3tq:>=950;296?46;9:1n899;|y2651=83:1>7<>31:9f01b3tq:>=950;296?46;8:1n869;|y2651=83:1>7<>30:9f0>b3tq:>=950;296?46;;:1n879;|y2651=83:1>7<>33:9f0?b3tq:>=950;296?46;::1n8o9;|y2651=83:1>7<>32:9f0gb3tq:>=950;296?46;=:1n8l9;|y2651=83:1>7<>35:9f0db3tq:>=950;296?46;<:1n8m9;|y2651=83:1>7<>34:9f0eb3tq:>=950;296?46;?:1n8j9;|y2651=83:1>7<>37:9f0bb3tq:>=950;296?46;>:1n8k9;|y2651=83:1>7<>36:9f0cb3tq:>=950;296?46;1:1n8h9;|y2651=83:1>7<>39:9f0`b3tq:>=950;296?46;0:1n;>9;|y2651=83:1>7<>38:9f36b3tq:>=950;296?46;h:1n;?9;|y2651=83:1>7<>3`:9f37b3tq:>=950;296?46;k:1n;<9;|y2651=83:1>7<>3c:9f34b3tq:>=950;296?46;j:1n;=9;|y2651=83:1>7<>3b:9f35b3tq:>=950;296?46;m:1n;:9;|y2651=83:1>7<>3e:9f32b3tq:>=950;296?46;l:1n;;9;|y2651=83:1>7<>3d:9f33b3tq:>=950;296?46;o:1n;89;|y2651=83:1>7<>3g:9f30b3tq:>=950;296?46<9:1n;99;|y2651=83:1>7<>41:9f31b3tq:>=950;296?46<8:1n;69;|y2651=83:1>7<>40:9f3>b3tq:>=950;296?46<;:1n;79;|y2651=83:1>7<>43:9f3?b3tq:>=950;296?46<::1n;o9;|y2651=83:1>7<>42:9f3gb3tq:>=950;296?46<=:1n;l9;|y2651=83:1>7<>45:9f3db3tq:>=950;296?46<<:1n;m9;|y2651=83:1>7<>44:9f3eb3tq:>=950;296?467<>47:9f3bb3tq:>=950;296?46<>:1n;k9;|y2651=83:1>7<>46:9f3cb3tq:>=950;296?46<1:1n;h9;|y2651=83:1>7<>49:9f3`b3tq:>=950;296?46<0:1n:>9;|y2651=83:1>7<>48:9f26b3tq:>=950;296?467<>4`:9f27b3tq:>=950;296?467<>4c:9f24b3tq:>=950;296?467<>4b:9f25b3tq:>=950;296?467<>4e:9f22b3tq:>=950;296?467<>4d:9f23b3tq:>=950;296?467<>4g:9f20b3tq:>=950;296?46=9:1n:99;|y2651=83:1>7<>51:9f21b3tq:>=950;296?46=8:1n:69;|y2651=83:1>7<>50:9f2>b3tq:>=950;296?46=;:1n:79;|y2651=83:1>7<>53:9f2?b3tq:>=950;296?46=::1n:o9;|y2651=83:1>7<>52:9f2gb3tq:>=950;296?46==:1n:l9;|y2651=83:1>7<>55:9f2db3tq:>=950;296?46=<:1n:m9;|y2651=83:1>7<>54:9f2eb3tq:>=950;296?46=?:1n:j9;|y2651=83:1>7<>57:9f2bb3tq:>=950;296?46=>:1n:k9;|y2651=83:1>7<>56:9f2cb3tq:>=950;296?46=1:1n:h9;|y2651=83:1>7<>5979f2`e3tq:>=950;296?46=1h1n5>>;|y2651=83:1>7<>59d9f=623tq:>=950;296?46=091n5>6;|y2651=83:1>7<>5859f=6c3tq:>=950;296?46=0h1n5?>;|y2651=83:1>7<>58d9f=723tq:>=950;296?46=h91n5?6;|y2651=83:1>7<>5`;9f=7a3tq:>=950;296?46=hl1n5<:;|y2651=83:1>7<>5c19f=4>3tq:>=950;296?46=k31n57<>5cd9f=523tq:>=950;296?46=j?1n5=m;|y2651=83:1>7<>5b`9f=263tq:>=950;296?46=m81n5:7;|y2651=83:1>7<>5ec9f=373tq:>=950;296?46=l;1n5;8;|y2651=83:1>7<>5d:9f=3b3tq:>=950;296?46=lo1n58;;|y2651=83:1>7<>5g19f=0>3tq:>=950;296?46=o31n58i;|y2651=83:1>7<>5gd9f=123tq:>=950;296?46>9?1n59m;|y2651=83:1>7<>61`9f=>63tq:>=950;296?46>881n567;|y2651=83:1>7<>60c9f=?73tq:>=950;296?46>;:1n579;|y2651=83:1>7<>6379f=?e3tq:>=950;296?46>;n1n5o<;|y2651=83:1>7<>6209f=g?3tq:>=950;296?46>:<1n5ol;|y2651=83:1>7<>62c9f=d73tq:>=950;296?46>=:1n5l9;|y2651=83:1>7<>6559f=dc3tq:>=950;296?46>=o1n5m;;|y2651=83:1>7<>6419f=e>3tq:>=950;296?46><21n5mj;|y2651=83:1>7<>64g9f=b33tq:>=950;296?46>?>1n5jn;|y2651=83:1>7<>67:9f=bb3tq:>=950;296?46>?i1n5k=;|y2651=83:1>7<>6639f=c03tq:>=950;296?46>>21n5kj;|y2651=83:1>7<>66d9f=`23tq:>=950;296?46>1<1n5hl;|y2651=83:1>7<>69f9f<643tq:>=950;296?46>0>1n4>n;|y2651=83:1>7<>68`9f<763tq:>=950;296?46>h81n4?7;|y2651=83:1>7<>6`;9f<7a3tq:>=950;296?46>k:1n4<9;|y2651=83:1>7<>6c59f<4c3tq:>=950;296?46>ko1n4=;;|y2651=83:1>7<>6b79f<5e3tq:>=950;296?46>ji1n4:=;|y2651=83:1>7<>6e19f<2>3tq:>=950;296?46>mh1n4;>;|y2651=83:1>7<>6d19f<3>3tq:>=950;296?46>lh1n48>;|y2651=83:1>7<>6g19f<0>3tq:>=950;296?46>oh1n49>;|y2651=83:1>7<>7129f<113tq:>=950;296?46?9=1n49k;|y2651=83:1>7<>71a9f<>53tq:>=950;296?46?8;1n468;|y2651=83:1>7<>7049f<>d3tq:>=950;296?46?8h1n47>;|y2651=83:1>7<>7309f=950;296?46?;31n47i;|y2651=83:1>7<>7229f=950;296?46?:=1n4ok;|y2651=83:1>7<>72g9f=950;296?46?=?1n4lm;|y2651=83:1>7<>75a9f=950;296?46?<91n4m6;|y2651=83:1>7<>74c9f=950;296?46??;1n4j8;|y2651=83:1>7<>77:9f=950;296?46??l1n4k:;|y2651=83:1>7<>7649f=950;296?46?>n1n4h<;|y2651=83:1>7<>7969f<`f3tq:>=950;296?46?1h1nl>>;|y2651=83:1>7<>79d9fd623tq:>=950;296?46?091nl>6;|y2651=83:1>7<>7859fd6c3tq:>=950;296?46?0h1nl?>;|y2651=83:1>7<>78d9fd723tq:>=950;296?46?h91nl?6;|y2651=83:1>7<>7`59fd7c3tq:>=950;296?46?hh1nl<>;|y2651=83:1>7<>7`d9fd423tq:>=950;296?46?k91nl<6;|y2651=83:1>7<>7c;9fd4a3tq:>=950;296?46?kl1nl=:;|y2651=83:1>7<>7b79fd5e3tq:>=950;296?46?jh1nl:>;|y2651=83:1>7<>7e39fd203tq:>=950;296?46?m=1nl:k;|y2651=83:1>7<>7ef9fd343tq:>=950;296?46?l91nl;6;|y2651=83:1>7<>7d;9fd3a3tq:>=950;296?46?ll1nl8:;|y2651=83:1>7<>7g79fd0e3tq:>=950;296?46?oh1nl9>;|y2651=83:1>7<>8129fd113tq:>=950;296?4609<1nl9l;|y2651=83:1>7<>81`9fd>63tq:>=950;296?460891nl66;|y2651=83:1>7<>80:9fd>b3tq:>=950;296?460;:1nl79;|y2651=83:1>7<>8349fd?d3tq:>=950;296?460;o1nlo;;|y2651=83:1>7<>8269fdgf3tq:>=950;296?460:i1nll=;|y2651=83:1>7<>8509fdd?3tq:>=950;296?460=21nllj;|y2651=83:1>7<>85g9fde33tq:>=950;296?460<>1nlmn;|y2651=83:1>7<>84c9fdb73tq:>=950;296?460?:1nlj9;|y2651=83:1>7<>8749fdbd3tq:>=950;296?460?i1nlk=;|y2651=83:1>7<>8609fdc?3tq:>=950;296?460>21nlkj;|y2651=83:1>7<>8929fd`13tq:>=950;296?460121nlhj;|y2651=83:1>7<>89g9fg633tq:>=950;296?4600<1no>l;|y2651=83:1>7<>88g9fg733tq:>=950;296?460h<1no?l;|y2651=83:1>7<>8`g9fg433tq:>=950;296?460k<1no7<>8cg9fg533tq:>=950;296?460j<1no=l;|y2651=83:1>7<>8bg9fg233tq:>=950;296?460m<1no:l;|y2651=83:1>7<>8eg9fg333tq:>=950;296?460l<1no;l;|y2651=83:1>7<>8d`9fg063tq:>=950;296?460o91no86;|y2651=83:1>7<>8g`9fg163tq:>=950;296?461991no96;|y2651=83:1>7<>91`9fg>63tq:>=950;296?461891no66;|y2651=83:1>7<>90`9fg?63tq:>=950;296?461;:1no79;|y2651=83:1>7<>93:9fg?b3tq:>=950;296?461::1noo9;|y2651=83:1>7<>92:9fggb3tq:>=950;296?461=:1nol9;|y2651=83:1>7<>95:9fgdb3tq:>=950;296?461<:1nom9;|y2651=83:1>7<>94:9fgeb3tq:>=950;296?461?:1noj9;|y2651=83:1>7<>97:9fgbb3tq:>=950;296?461>:1nok9;|y2651=83:1>7<>96:9fgcb3tq:>=950;296?4611:1noh9;|y2651=83:1>7<>9949fg`d3tq:>=950;296?4611i1nn>=;|y2651=83:1>7<>9809ff6?3tq:>=950;296?461021nn>j;|y2651=83:1>7<>98g9ff733tq:>=950;296?461h>1nn?n;|y2651=83:1>7<>9`c9ff473tq:>=950;296?461k:1nn<9;|y2651=83:1>7<>9c49ff4d3tq:>=950;296?461ki1nn==;|y2651=83:1>7<>9b09ff5?3tq:>=950;296?461j21nn=j;|y2651=83:1>7<>9bf9ff243tq:>=950;296?461m91nn:6;|y2651=83:1>7<>9e;9ff2a3tq:>=950;296?461ml1nn;:;|y2651=83:1>7<>9d79ff3e3tq:>=950;296?461lh1nn8>;|y2651=83:1>7<>9g39ff003tq:>=950;296?461o=1nn8k;|y2651=83:1>7<>9gf9ff143tq:>=950;296?46i991nn96;|y2651=83:1>7<>a1;9ff1a3tq:>=950;296?46i9l1nn6:;|y2651=83:1>7<>a079ff>e3tq:>=950;296?46i8k1nn7?;|y2651=83:1>7<>a329ff?13tq:>=950;296?46i;?1nn7m;|y2651=83:1>7<>a3f9ffg43tq:>=950;296?46i:81nno7;|y2651=83:1>7<>a2c9ffd73tq:>=950;296?46i=:1nnl9;|y2651=83:1>7<>a5:9ffdb3tq:>=950;296?46i=o1nnm;;|y2651=83:1>7<>a449ffed3tq:>=950;296?46i7<>a709ffb?3tq:>=950;296?46i?21nnjj;|y2651=83:1>7<>a7g9ffc33tq:>=950;296?46i>>1nnkn;|y2651=83:1>7<>a6c9ff`73tq:>=950;296?46i1:1nnh9;|y2651=83:1>7<>a949ff`d3tq:>=950;296?46i1i1ni>=;|y2651=83:1>7<>a809fa6?3tq:>=950;296?46i0k1ni??;|y2651=83:1>7<>a`09fa7?3tq:>=950;296?46ih21ni?j;|y2651=83:1>7<>ac29fa413tq:>=950;296?46ik21ni7<>ab29fa513tq:>=950;296?46ij21ni=j;|y2651=83:1>7<>ae29fa213tq:>=950;296?46im21ni:j;|y2651=83:1>7<>ad29fa313tq:>=950;296?46il21ni;j;|y2651=83:1>7<>ag29fa013tq:>=950;296?46io21ni8j;|y2651=83:1>7<>b129fa113tq:>=950;296?46j9?1ni9m;|y2651=83:1>7<>b1f9fa>43tq:>=950;296?46j8?1ni6m;|y2651=83:1>7<>b0f9fa?43tq:>=950;296?46j;?1ni7m;|y2651=83:1>7<>b3f9fag43tq:>=950;296?46j:?1niom;|y2651=83:1>7<>b2c9fad73tq:>=950;296?46j=81nil7;|y2651=83:1>7<>b5c9fae73tq:>=950;296?46j<81nim7;|y2651=83:1>7<>b4c9fab73tq:>=950;296?46j?81nij7;|y2651=83:1>7<>b7c9fac73tq:>=950;296?46j>81nik7;|y2651=83:1>7<>b6c9fa`73tq:>=950;296?46j181nih7;|y2651=83:1>7<>b9c9f`673tq:>=950;296?46j081nh>7;|y2651=83:1>7<>b8c9f`773tq:>=950;296?46jh:1nh?9;|y2651=83:1>7<>b`49f`7d3tq:>=950;296?46jhi1nh<=;|y2651=83:1>7<>bc09f`4?3tq:>=950;296?46jk21nh7<>bcg9f`533tq:>=950;296?46jj>1nh=n;|y2651=83:1>7<>bbc9f`273tq:>=950;296?46jm:1nh:9;|y2651=83:1>7<>be49f`2d3tq:>=950;296?46jmi1nh;=;|y2651=83:1>7<>bd09f`3?3tq:>=950;296?46jl=1nh;k;|y2651=83:1>7<>bdf9f`043tq:>=950;296?46jo91nh86;|y2651=83:1>7<>bg;9f`0a3tq:>=950;296?46jol1nh9:;|y2651=83:1>7<>c179f`1e3tq:>=950;296?46k9h1nh6>;|y2651=83:1>7<>c039f`>03tq:>=950;296?46k8=1nh6k;|y2651=83:1>7<>c0f9f`?43tq:>=950;296?46k;91nh76;|y2651=83:1>7<>c3;9f`?a3tq:>=950;296?46k;l1nho:;|y2651=83:1>7<>c269f`gf3tq:>=950;296?46k:k1nhl?;|y2651=83:1>7<>c2d9f`d23tq:>=950;296?46k==1nhlk;|y2651=83:1>7<>c5a9f`e53tq:>=950;296?46k<>1nhmn;|y2651=83:1>7<>c4c9f`b73tq:>=950;296?46k?81nhj7;|y2651=83:1>7<>c7:9f`bb3tq:>=950;296?46k>:1nhk9;|y2651=83:1>7<>c649f`cd3tq:>=950;296?46k>i1nhh=;|y2651=83:1>7<>c909f``?3tq:>=950;296?46k121nhhj;|y2651=83:1>7<>c9g9fc633tq:>=950;296?46k0>1nk>n;|y2651=83:1>7<>c8c9fc773tq:>=950;296?46kh:1nk?9;|y2651=83:1>7<>c`49fc7d3tq:>=950;296?46khi1nk<=;|y2651=83:1>7<>cc69fc4f3tq:>=950;296?46kki1nk==;|y2651=83:1>7<>cb09fc5?3tq:>=950;296?46kjk1nk:?;|y2651=83:1>7<>ce09fc2?3tq:>=950;296?46kmk1nk;?;|y2651=83:1>7<>cd09fc3?3tq:>=950;296?46klk1nk8?;|y2651=83:1>7<>cg09fc0?3tq:>=950;296?46kok1nk9?;|y2651=83:1>7<>d109fc1?3tq:>=950;296?46l9k1nk6?;|y2651=83:1>7<>d009fc>?3tq:>=950;296?46l8k1nk7?;|y2651=83:1>7<>d0d9fc?23tq:>=950;296?46l;=1nk7k;|y2651=83:1>7<>d3d9fcg23tq:>=950;296?46l:=1nkok;|y2651=83:1>7<>d2d9fcd23tq:>=950;296?46l==1nklk;|y2651=83:1>7<>d5d9fce23tq:>=950;296?46l<>1nkmn;|y2651=83:1>7<>d4a9fcb53tq:>=950;296?46l?>1nkjn;|y2651=83:1>7<>d7a9fcc53tq:>=950;296?46l>>1nkkn;|y2651=83:1>7<>d6a9fc`53tq:>=950;296?46l1>1nkhn;|y2651=83:1>7<>d9a9g5653tq:>=950;296?46l0>1o=>n;|y2651=83:1>7<>d8a9g5753tq:>=950;296?46lh>1o=?n;|y2651=83:1>7<>d`a9g5453tq:>=950;296?46lk>1o=7<>dcc9g5573tq:>=950;296?46lj:1o==9;|y2651=83:1>7<>db49g55d3tq:>=950;296?46lji1o=:=;|y2651=83:1>7<>de09g52?3tq:>=950;296?46lm21o=:j;|y2651=83:1>7<>deg9g5333tq:>=950;296?46ll>1o=;n;|y2651=83:1>7<>ddc9g5073tq:>=950;296?46lo:1o=89;|y2651=83:1>7<>dg49g50d3tq:>=950;296?46loi1o=9=;|y2651=83:1>7<>e139g5103tq:>=950;296?46m931o=9i;|y2651=83:1>7<>e039g5>03tq:>=950;296?46m831o=6i;|y2651=83:1>7<>e339g5?03tq:>=950;296?46m;31o=7i;|y2651=83:1>7<>e3f9g5g43tq:>=950;296?46m:?1o=om;|y2651=83:1>7<>e2f9g5d43tq:>=950;296?46m=?1o=lm;|y2651=83:1>7<>e5f9g5e43tq:>=950;296?46m7<>e4f9g5b43tq:>=950;296?46m??1o=jm;|y2651=83:1>7<>e7f9g5c43tq:>=950;296?46m>?1o=km;|y2651=83:1>7<>e6`9g5`63tq:>=950;296?46m191o=h6;|y2651=83:1>7<>e9`9g4663tq:>=950;296?46m091o<>6;|y2651=83:1>7<>e8`9g4763tq:>=950;296?46m0l1o7<>e`59g47c3tq:>=950;296?46mhl1o<<:;|y2651=83:1>7<>ec59g44c3tq:>=950;296?46mkl1o<=:;|y2651=83:1>7<>eb59g45c3tq:>=950;296?46mjh1o<:>;|y2651=83:1>7<>ee19g42>3tq:>=950;296?46mmh1o<;>;|y2651=83:1>7<>ed19g43>3tq:>=950;296?46ml31o<;i;|y2651=83:1>7<>edd9g4023tq:>=950;296?46mo=1o<8k;|y2651=83:1>7<>egd9g4123tq:>=950;296?46n9=1o<9k;|y2651=83:1>7<>f1`9g4>63tq:>=950;296?46n891o<66;|y2651=83:1>7<>f0`9g4?63tq:>=950;296?46n;91o<76;|y2651=83:1>7<>f3`9g4g63tq:>=950;296?46n:91o7<>f2c9g4d73tq:>=950;296?46n=81o7<>f5;9g4da3tq:>=950;296?46n<;1o7<>f4:9g4eb3tq:>=950;296?46n?:1o7<>f759g4bc3tq:>=950;296?46n?l1o7<>f649g4cd3tq:>=950;296?46n>o1o7<>f949g4`d3tq:>=950;296?46n1o1o?>;;|y2651=83:1>7<>f849g76d3tq:>=950;296?46n0o1o??;;|y2651=83:1>7<>f`49g77d3tq:>=950;296?46nho1o?<;;|y2651=83:1>7<>fc49g74d3tq:>=950;296?46nko1o?=;;|y2651=83:1>7<>fb49g75d3tq:>=950;296?46njo1o?:;;|y2651=83:1>7<>fe49g72d3tq:>=950;296?46nmo1o?;;;|y2651=83:1>7<>fd79g73e3tq:>=950;296?46nln1o?8<;|y2651=83:1>7<>fg69g70f3tq:>=950;296?46noi1o?9=;|y2651=83:1>7<=0169g71f3tq:>=950;296?4589k1o?6?;|y2651=83:1>7<=0009g7>?3tq:>=950;296?4588k1o?7?;|y2651=83:1>7<=0339g7?03tq:>=950;296?458;31o?7i;|y2651=83:1>7<=0229g7g13tq:>=950;296?458:21o?oj;|y2651=83:1>7<=02a9g7d53tq:>=950;296?458=>1o?ln;|y2651=83:1>7<=05c9g7e73tq:>=950;296?458<81o?m7;|y2651=83:1>7<=04c9g7b73tq:>=950;296?4587<=0759g7bc3tq:>=950;296?458?n1o?k<;|y2651=83:1>7<=0669g7cf3tq:>=950;296?458>i1o?h=;|y2651=83:1>7<=0919g7`>3tq:>=950;296?458121o?hj;|y2651=83:1>7<=0829g6613tq:>=950;296?458021o>>j;|y2651=83:1>7<=0`29g6713tq:>=950;296?458h21o>?j;|y2651=83:1>7<=0c29g6413tq:>=950;296?458k=1o>7<=0cd9g6523tq:>=950;296?458j>1o>=n;|y2651=83:1>7<=0ba9g6253tq:>=950;296?458m>1o>:n;|y2651=83:1>7<=0ea9g6353tq:>=950;296?458l>1o>;n;|y2651=83:1>7<=0da9g6053tq:>=950;296?458o>1o>8n;|y2651=83:1>7<=0g:9g60b3tq:>=950;296?4599:1o>99;|y2651=83:1>7<=11:9g61b3tq:>=950;296?4598:1o>69;|y2651=83:1>7<=10:9g6>b3tq:>=950;296?459;:1o>79;|y2651=83:1>7<=13:9g6?b3tq:>=950;296?459::1o>o9;|y2651=83:1>7<=12:9g6gb3tq:>=950;296?459=:1o>l9;|y2651=83:1>7<=15:9g6db3tq:>=950;296?459=l1o>m:;|y2651=83:1>7<=1459g6ec3tq:>=950;296?459j;;|y2651=83:1>7<=1749g6bd3tq:>=950;296?459?n1o>k<;|y2651=83:1>7<=1669g6cf3tq:>=950;296?459>i1o>h=;|y2651=83:1>7<=1969g6`f3tq:>=950;296?4591i1o9>=;|y2651=83:1>7<=1869g16f3tq:>=950;296?459031o9>i;|y2651=83:1>7<=1`39g1703tq:>=950;296?459h31o9?i;|y2651=83:1>7<=1c39g1403tq:>=950;296?459k21o97<=1b29g1513tq:>=950;296?459j21o9=j;|y2651=83:1>7<=1bg9g1233tq:>=950;296?459m?1o9:m;|y2651=83:1>7<=1ef9g1343tq:>=950;296?459l?1o9;m;|y2651=83:1>7<=1df9g1043tq:>=950;296?459o?1o98m;|y2651=83:1>7<=1gf9g1143tq:>=950;296?45:9?1o99m;|y2651=83:1>7<=21f9g1>43tq:>=950;296?45:8>1o96n;|y2651=83:1>7<=20:9g1>b3tq:>=950;296?45:;:1o979;|y2651=83:1>7<=2359g1?c3tq:>=950;296?45:;l1o9o:;|y2651=83:1>7<=2259g1gc3tq:>=950;296?45::l1o9l:;|y2651=83:1>7<=2549g1dd3tq:>=950;296?45:=o1o9m;;|y2651=83:1>7<=2449g1ed3tq:>=950;296?45:7<=2749g1bd3tq:>=950;296?45:?o1o9k;;|y2651=83:1>7<=2649g1cd3tq:>=950;296?45:>o1o9h;;|y2651=83:1>7<=2919g1`>3tq:>=950;296?45:121o9hj;|y2651=83:1>7<=2829g0613tq:>=950;296?45:021o8>j;|y2651=83:1>7<=28g9g0733tq:>=950;296?45:h<1o8?l;|y2651=83:1>7<=2`g9g0433tq:>=950;296?45:k>1o87<=2ca9g0553tq:>=950;296?45:j>1o8=n;|y2651=83:1>7<=2ba9g0253tq:>=950;296?45:m81o8:7;|y2651=83:1>7<=2ec9g0373tq:>=950;296?45:l81o8;7;|y2651=83:1>7<=2dc9g0073tq:>=950;296?45:o81o887;|y2651=83:1>7<=2g:9g00b3tq:>=950;296?45;9:1o899;|y2651=83:1>7<=3149g01d3tq:>=950;296?45;9o1o86;;|y2651=83:1>7<=3069g0>f3tq:>=950;296?45;8i1o87=;|y2651=83:1>7<=3329g0?13tq:>=950;296?45;;21o87j;|y2651=83:1>7<=33f9g0g43tq:>=950;296?45;:?1o8om;|y2651=83:1>7<=32`9g0d63tq:>=950;296?45;=91o8l6;|y2651=83:1>7<=35:9g0db3tq:>=950;296?45;<:1o8m9;|y2651=83:1>7<=34:9g0eb3tq:>=950;296?45;?:1o8j9;|y2651=83:1>7<=37:9g0bb3tq:>=950;296?45;>:1o8k9;|y2651=83:1>7<=3669g0cf3tq:>=950;296?45;>i1o8h=;|y2651=83:1>7<=3919g0`>3tq:>=950;296?45;1h1o;>>;|y2651=83:1>7<=3829g3613tq:>=950;296?45;021o;>j;|y2651=83:1>7<=38d9g3723tq:>=950;296?45;h=1o;?k;|y2651=83:1>7<=3``9g3463tq:>=950;296?45;k91o;<6;|y2651=83:1>7<=3cc9g3573tq:>=950;296?45;j81o;=7;|y2651=83:1>7<=3b;9g35a3tq:>=950;296?45;m;1o;:8;|y2651=83:1>7<=3e:9g32b3tq:>=950;296?45;l:1o;;9;|y2651=83:1>7<=3d59g33c3tq:>=950;296?45;ll1o;8:;|y2651=83:1>7<=3g49g30d3tq:>=950;296?45;oo1o;9;;|y2651=83:1>7<=4179g31e3tq:>=950;296?45<9n1o;6<;|y2651=83:1>7<=4069g3>f3tq:>=950;296?45<8i1o;7=;|y2651=83:1>7<=4319g3?>3tq:>=950;296?45<;h1o;o>;|y2651=83:1>7<=4209g3g?3tq:>=950;296?45<:k1o;l?;|y2651=83:1>7<=4509g3d?3tq:>=950;296?45<=k1o;m?;|y2651=83:1>7<=4439g3e03tq:>=950;296?45<<31o;mi;|y2651=83:1>7<=4739g3b03tq:>=950;296?457<=4629g3c13tq:>=950;296?45<>21o;kj;|y2651=83:1>7<=46d9g3`23tq:>=950;296?45<1=1o;hk;|y2651=83:1>7<=49f9g2643tq:>=950;296?45<0>1o:>n;|y2651=83:1>7<=48`9g2763tq:>=950;296?457<=4`;9g27a3tq:>=950;296?457<=4c59g24c3tq:>=950;296?457<=4b19g25>3tq:>=950;296?45;|y2651=83:1>7<=4e19g22>3tq:>=950;296?45;|y2651=83:1>7<=4d19g23>3tq:>=950;296?457<=4g29g2013tq:>=950;296?457<=4gf9g2143tq:>=950;296?45=9?1o:9m;|y2651=83:1>7<=51f9g2>43tq:>=950;296?45=8?1o:6m;|y2651=83:1>7<=50f9g2?43tq:>=950;296?45=;>1o:7n;|y2651=83:1>7<=53`9g2g63tq:>=950;296?45=:81o:o7;|y2651=83:1>7<=52c9g2d73tq:>=950;296?45==81o:l7;|y2651=83:1>7<=55;9g2da3tq:>=950;296?45=<;1o:m8;|y2651=83:1>7<=5459g2ec3tq:>=950;296?45=7<=5779g2be3tq:>=950;296?45=?n1o:k<;|y2651=83:1>7<=5679g2ce3tq:>=950;296?45=>i1o:h=;|y2651=83:1>7<=5969g2`f3tq:>=950;296?45=1k1o5>?;|y2651=83:1>7<=5839g=603tq:>=950;296?45=021o5>j;|y2651=83:1>7<=5`29g=713tq:>=950;296?45=h21o5?j;|y2651=83:1>7<=5`d9g=423tq:>=950;296?45=k=1o57<=5cf9g=543tq:>=950;296?45=j>1o5=n;|y2651=83:1>7<=5b`9g=263tq:>=950;296?45=m91o5:6;|y2651=83:1>7<=5e`9g=363tq:>=950;296?45=l:1o5;9;|y2651=83:1>7<=5d:9g=3b3tq:>=950;296?45=o:1o589;|y2651=83:1>7<=5g59g=0c3tq:>=950;296?45=oo1o59;;|y2651=83:1>7<=6179g=1e3tq:>=950;296?45>931o59i;|y2651=83:1>7<=6039g=>03tq:>=950;296?45>831o56i;|y2651=83:1>7<=60g9g=?33tq:>=950;296?45>;<1o57l;|y2651=83:1>7<=63f9g=g43tq:>=950;296?45>:>1o5on;|y2651=83:1>7<=62a9g=d53tq:>=950;296?45>=>1o5ln;|y2651=83:1>7<=65a9g=e53tq:>=950;296?45><91o5m6;|y2651=83:1>7<=64c9g=b73tq:>=950;296?45>?81o5j7;|y2651=83:1>7<=67;9g=ba3tq:>=950;296?45>>;1o5k8;|y2651=83:1>7<=66:9g=cb3tq:>=950;296?45>>l1o5h:;|y2651=83:1>7<=6959g=`c3tq:>=950;296?45>1o1o4>;;|y2651=83:1>7<=6849g<6d3tq:>=950;296?45>0n1o4?<;|y2651=83:1>7<=6`69g<7f3tq:>=950;296?45>hi1o4<=;|y2651=83:1>7<=6c19g<4>3tq:>=950;296?45>kh1o4=>;|y2651=83:1>7<=6b09g<5?3tq:>=950;296?45>j<1o4=l;|y2651=83:1>7<=6bg9g<233tq:>=950;296?45>m<1o4:l;|y2651=83:1>7<=6eg9g<333tq:>=950;296?45>l?1o4;m;|y2651=83:1>7<=6df9g<043tq:>=950;296?45>o?1o48m;|y2651=83:1>7<=6gf9g<143tq:>=950;296?45?9>1o49n;|y2651=83:1>7<=71a9g<>53tq:>=950;296?45?891o466;|y2651=83:1>7<=70c9g=950;296?45?;81o477;|y2651=83:1>7<=73c9g=950;296?45?:81o4o7;|y2651=83:1>7<=72c9g=950;296?45?=;1o4l8;|y2651=83:1>7<=75:9g=950;296?45?<:1o4m9;|y2651=83:1>7<=74:9g=950;296?45??:1o4j9;|y2651=83:1>7<=77:9g=950;296?45?>:1o4k9;|y2651=83:1>7<=7679g=950;296?45?>n1o4h<;|y2651=83:1>7<=7979g<`e3tq:>=950;296?45?1n1ol><;|y2651=83:1>7<=7879gd6e3tq:>=950;296?45?0n1ol?<;|y2651=83:1>7<=7`79gd7e3tq:>=950;296?45?hi1ol<=;|y2651=83:1>7<=7c19gd4>3tq:>=950;296?45?kh1ol=>;|y2651=83:1>7<=7b19gd5>3tq:>=950;296?45?jh1ol:>;|y2651=83:1>7<=7e19gd2>3tq:>=950;296?45?mh1ol;>;|y2651=83:1>7<=7d09gd3?3tq:>=950;296?45?l31ol;i;|y2651=83:1>7<=7g39gd003tq:>=950;296?45?o31ol8i;|y2651=83:1>7<=8139gd103tq:>=950;296?450931ol9i;|y2651=83:1>7<=8039gd>03tq:>=950;296?450821ol6j;|y2651=83:1>7<=80d9gd?23tq:>=950;296?450;=1ol7k;|y2651=83:1>7<=83d9gdg23tq:>=950;296?450:=1olok;|y2651=83:1>7<=82d9gdd23tq:>=950;296?450==1ollk;|y2651=83:1>7<=85g9gde33tq:>=950;296?4507<=84f9gdb43tq:>=950;296?450??1oljm;|y2651=83:1>7<=87f9gdc43tq:>=950;296?450>?1olkm;|y2651=83:1>7<=86f9gd`43tq:>=950;296?4501>1olhn;|y2651=83:1>7<=89`9gg663tq:>=950;296?450091oo>6;|y2651=83:1>7<=88`9gg763tq:>=950;296?450h91oo?6;|y2651=83:1>7<=8``9gg463tq:>=950;296?450k91oo<6;|y2651=83:1>7<=8cc9gg573tq:>=950;296?450j;1oo=8;|y2651=83:1>7<=8b;9gg5a3tq:>=950;296?450m;1oo:8;|y2651=83:1>7<=8e;9gg2a3tq:>=950;296?450l;1oo;8;|y2651=83:1>7<=8d;9gg3a3tq:>=950;296?450o:1oo89;|y2651=83:1>7<=8g59gg0c3tq:>=950;296?450ol1oo9:;|y2651=83:1>7<=9159gg1c3tq:>=950;296?4519l1oo6:;|y2651=83:1>7<=9059gg>c3tq:>=950;296?4518o1oo7;;|y2651=83:1>7<=9379gg?e3tq:>=950;296?451;n1ooo<;|y2651=83:1>7<=9269gggf3tq:>=950;296?451:i1ool=;|y2651=83:1>7<=9519ggd>3tq:>=950;296?451=k1oom?;|y2651=83:1>7<=9409gge?3tq:>=950;296?4517<=9709ggb?3tq:>=950;296?451?k1ook?;|y2651=83:1>7<=9639ggc03tq:>=950;296?451>31ooki;|y2651=83:1>7<=9939gg`03tq:>=950;296?451131oohi;|y2651=83:1>7<=9829gf613tq:>=950;296?451021on>j;|y2651=83:1>7<=98d9gf723tq:>=950;296?451h<1on?l;|y2651=83:1>7<=9`f9gf443tq:>=950;296?451k;1on<8;|y2651=83:1>7<=9c;9gf4a3tq:>=950;296?451j;1on=8;|y2651=83:1>7<=9b;9gf5a3tq:>=950;296?451m;1on:8;|y2651=83:1>7<=9e:9gf2b3tq:>=950;296?451ml1on;:;|y2651=83:1>7<=9d49gf3d3tq:>=950;296?451lo1on8;;|y2651=83:1>7<=9g49gf0d3tq:>=950;296?451oo1on9;;|y2651=83:1>7<=a149gf1d3tq:>=950;296?45i9n1on6<;|y2651=83:1>7<=a079gf>e3tq:>=950;296?45i8n1on7<;|y2651=83:1>7<=a379gf?e3tq:>=950;296?45i;i1ono=;|y2651=83:1>7<=a269gfgf3tq:>=950;296?45i:i1onl=;|y2651=83:1>7<=a519gfd>3tq:>=950;296?45i=h1onm>;|y2651=83:1>7<=a419gfe>3tq:>=950;296?45i;|y2651=83:1>7<=a709gfb?3tq:>=950;296?45i?k1onk?;|y2651=83:1>7<=a639gfc03tq:>=950;296?45i>31onki;|y2651=83:1>7<=a929gf`13tq:>=950;296?45i121onhj;|y2651=83:1>7<=a829ga613tq:>=950;296?45i021oi>j;|y2651=83:1>7<=a8d9ga723tq:>=950;296?45ih=1oi?k;|y2651=83:1>7<=a`g9ga433tq:>=950;296?45ik<1oi7<=aca9ga553tq:>=950;296?45ij>1oi=n;|y2651=83:1>7<=ab:9ga5b3tq:>=950;296?45im:1oi:9;|y2651=83:1>7<=ae:9ga2b3tq:>=950;296?45il:1oi;9;|y2651=83:1>7<=ad:9ga3b3tq:>=950;296?45io:1oi89;|y2651=83:1>7<=ag:9ga0b3tq:>=950;296?45j9:1oi99;|y2651=83:1>7<=b1:9ga1b3tq:>=950;296?45j8:1oi69;|y2651=83:1>7<=b0:9ga>b3tq:>=950;296?45j;:1oi79;|y2651=83:1>7<=b3:9ga?b3tq:>=950;296?45j::1oio9;|y2651=83:1>7<=b2:9gagb3tq:>=950;296?45j=:1oil9;|y2651=83:1>7<=b5:9gadb3tq:>=950;296?45j<:1oim9;|y2651=83:1>7<=b4:9gaeb3tq:>=950;296?45j?:1oij9;|y2651=83:1>7<=b7:9gabb3tq:>=950;296?45j>:1oik9;|y2651=83:1>7<=b6:9gacb3tq:>=950;296?45j1:1oih9;|y2651=83:1>7<=b9:9ga`b3tq:>=950;296?45j0:1oh>9;|y2651=83:1>7<=b8:9g`6b3tq:>=950;296?45jh:1oh?9;|y2651=83:1>7<=b`:9g`7b3tq:>=950;296?45jk:1oh<9;|y2651=83:1>7<=bc:9g`4b3tq:>=950;296?45jj:1oh=9;|y2651=83:1>7<=bb:9g`5b3tq:>=950;296?45jm:1oh:9;|y2651=83:1>7<=be59g`2c3tq:>=950;296?45jmo1oh;;;|y2651=83:1>7<=bd49g`3d3tq:>=950;296?45jln1oh8<;|y2651=83:1>7<=bg69g`0f3tq:>=950;296?45joi1oh9=;|y2651=83:1>7<=c119g`1>3tq:>=950;296?45k9k1oh6?;|y2651=83:1>7<=c009g`>?3tq:>=950;296?45k831oh6i;|y2651=83:1>7<=c329g`?13tq:>=950;296?45k;21oh7j;|y2651=83:1>7<=c3d9g`g23tq:>=950;296?45k:<1ohol;|y2651=83:1>7<=c2g9g`d33tq:>=950;296?45k=91ohl6;|y2651=83:1>7<=c5`9g`e63tq:>=950;296?45k<;1ohm8;|y2651=83:1>7<=c4;9g`ea3tq:>=950;296?45k7<=c779g`be3tq:>=950;296?45k?n1ohk<;|y2651=83:1>7<=c679g`ce3tq:>=950;296?45k>i1ohh=;|y2651=83:1>7<=c919g``>3tq:>=950;296?45k1h1ok>>;|y2651=83:1>7<=c819gc6>3tq:>=950;296?45k0h1ok?>;|y2651=83:1>7<=c`09gc7?3tq:>=950;296?45kh31ok?i;|y2651=83:1>7<=cc39gc403tq:>=950;296?45kk31ok7<=ccg9gc533tq:>=950;296?45kj<1ok=l;|y2651=83:1>7<=cbg9gc233tq:>=950;296?45km<1ok:l;|y2651=83:1>7<=ceg9gc333tq:>=950;296?45kl<1ok;l;|y2651=83:1>7<=cdg9gc033tq:>=950;296?45ko<1ok8l;|y2651=83:1>7<=cgg9gc133tq:>=950;296?45l9<1ok9l;|y2651=83:1>7<=d1g9gc>33tq:>=950;296?45l8<1ok6l;|y2651=83:1>7<=d0g9gc?33tq:>=950;296?45l;<1ok7l;|y2651=83:1>7<=d3g9gcg33tq:>=950;296?45l:<1okol;|y2651=83:1>7<=d2g9gcd33tq:>=950;296?45l=<1okll;|y2651=83:1>7<=d5g9gce33tq:>=950;296?45l<<1okml;|y2651=83:1>7<=d4g9gcb33tq:>=950;296?45l?<1okjl;|y2651=83:1>7<=d7g9gcc33tq:>=950;296?45l><1okkl;|y2651=83:1>7<=d6g9gc`33tq:>=950;296?45l1<1okhl;|y2651=83:1>7<=d9g9`5633tq:>=950;296?45l0<1h=>l;|y2651=83:1>7<=d8g9`5733tq:>=950;296?45lh<1h=?l;|y2651=83:1>7<=d`g9`5433tq:>=950;296?45lk<1h=7<=dcg9`5533tq:>=950;296?45lj<1h==l;|y2651=83:1>7<=db`9`5263tq:>=950;296?45lm91h=:6;|y2651=83:1>7<=de`9`5363tq:>=950;296?45ll91h=;6;|y2651=83:1>7<=dd`9`5063tq:>=950;296?45lo91h=86;|y2651=83:1>7<=dgc9`5173tq:>=950;296?45m981h=97;|y2651=83:1>7<=e1c9`5>73tq:>=950;296?45m881h=67;|y2651=83:1>7<=e0;9`5>a3tq:>=950;296?45m;;1h=78;|y2651=83:1>7<=e3;9`5?a3tq:>=950;296?45m:;1h=o8;|y2651=83:1>7<=e2:9`5gb3tq:>=950;296?45m=:1h=l9;|y2651=83:1>7<=e5:9`5db3tq:>=950;296?45m<:1h=m9;|y2651=83:1>7<=e459`5ec3tq:>=950;296?45m7<=e759`5bc3tq:>=950;296?45m?l1h=k:;|y2651=83:1>7<=e649`5cd3tq:>=950;296?45m>o1h=h;;|y2651=83:1>7<=e949`5`d3tq:>=950;296?45m1o1h<>;;|y2651=83:1>7<=e879`46e3tq:>=950;296?45m0n1h7<=e`79`47e3tq:>=950;296?45mhn1h<<<;|y2651=83:1>7<=ec69`44f3tq:>=950;296?45mki1h<==;|y2651=83:1>7<=eb69`45f3tq:>=950;296?45mji1h<:=;|y2651=83:1>7<=ee19`42>3tq:>=950;296?45mmh1h<;>;|y2651=83:1>7<=ed19`43>3tq:>=950;296?45mlh1h<8>;|y2651=83:1>7<=eg09`40?3tq:>=950;296?45mok1h<9?;|y2651=83:1>7<=f109`41?3tq:>=950;296?45n9k1h<6?;|y2651=83:1>7<=f039`4>03tq:>=950;296?45n831h<6i;|y2651=83:1>7<=f339`4?03tq:>=950;296?45n;31h<7i;|y2651=83:1>7<=f229`4g13tq:>=950;296?45n:21h7<=f529`4d13tq:>=950;296?45n=21h7<=f5d9`4e23tq:>=950;296?45n<=1h7<=f4d9`4b23tq:>=950;296?45n?=1h7<=f7g9`4c33tq:>=950;296?45n><1h7<=f6g9`4`33tq:>=950;296?45n1<1h7<=f9f9`7643tq:>=950;296?45n0?1h?>m;|y2651=83:1>7<=f8f9`7743tq:>=950;296?45nh?1h??m;|y2651=83:1>7<=f`a9`7453tq:>=950;296?45nk>1h?7<=fca9`7553tq:>=950;296?45nj>1h?=n;|y2651=83:1>7<=fb`9`7263tq:>=950;296?45nm91h?:6;|y2651=83:1>7<=fe`9`7363tq:>=950;296?45nl91h?;6;|y2651=83:1>7<=fdc9`7073tq:>=950;296?45no81h?87;|y2651=83:1>7<=fgc9`7173tq:>=950;296?448981h?97;|y2651=83:1>7<<01;9`71a3tq:>=950;296?4488;1h?68;|y2651=83:1>7<<00;9`7>a3tq:>=950;296?448;;1h?78;|y2651=83:1>7<<03:9`7?b3tq:>=950;296?448::1h?o9;|y2651=83:1>7<<02:9`7gb3tq:>=950;296?448=:1h?l9;|y2651=83:1>7<<0559`7dc3tq:>=950;296?448=l1h?m:;|y2651=83:1>7<<0459`7ec3tq:>=950;296?4487<<0749`7bd3tq:>=950;296?448?o1h?k;;|y2651=83:1>7<<0649`7cd3tq:>=950;296?448>o1h?h;;|y2651=83:1>7<<0979`7`e3tq:>=950;296?4481n1h>><;|y2651=83:1>7<<0879`66e3tq:>=950;296?4480n1h>?<;|y2651=83:1>7<<0`69`67f3tq:>=950;296?448hi1h><=;|y2651=83:1>7<<0c69`64f3tq:>=950;296?448ki1h>==;|y2651=83:1>7<<0b19`65>3tq:>=950;296?448jh1h>:>;|y2651=83:1>7<<0e19`62>3tq:>=950;296?448mh1h>;>;|y2651=83:1>7<<0d09`63?3tq:>=950;296?448lk1h>8?;|y2651=83:1>7<<0g09`60?3tq:>=950;296?448ok1h>9?;|y2651=83:1>7<<1139`6103tq:>=950;296?449931h>9i;|y2651=83:1>7<<1039`6>03tq:>=950;296?449831h>6i;|y2651=83:1>7<<1329`6?13tq:>=950;296?449;21h>7j;|y2651=83:1>7<<1229`6g13tq:>=950;296?449:21h>oj;|y2651=83:1>7<<12d9`6d23tq:>=950;296?449==1h>lk;|y2651=83:1>7<<15d9`6e23tq:>=950;296?449<=1h>mk;|y2651=83:1>7<<14g9`6b33tq:>=950;296?449?<1h>jl;|y2651=83:1>7<<17g9`6c33tq:>=950;296?449><1h>kl;|y2651=83:1>7<<16f9`6`43tq:>=950;296?4491?1h>hm;|y2651=83:1>7<<19f9`1643tq:>=950;296?4490?1h9>m;|y2651=83:1>7<<18a9`1753tq:>=950;296?449h>1h9?n;|y2651=83:1>7<<1`a9`1453tq:>=950;296?449k>1h97<<1c`9`1563tq:>=950;296?449j91h9=6;|y2651=83:1>7<<1b`9`1263tq:>=950;296?449m91h9:6;|y2651=83:1>7<<1ec9`1373tq:>=950;296?449l81h9;7;|y2651=83:1>7<<1dc9`1073tq:>=950;296?449o81h987;|y2651=83:1>7<<1g;9`10a3tq:>=950;296?44:9;1h998;|y2651=83:1>7<<21;9`11a3tq:>=950;296?44:8;1h968;|y2651=83:1>7<<20:9`1>b3tq:>=950;296?44:;:1h979;|y2651=83:1>7<<23:9`1?b3tq:>=950;296?44:::1h9o9;|y2651=83:1>7<<2259`1gc3tq:>=950;296?44::l1h9l:;|y2651=83:1>7<<2559`1dc3tq:>=950;296?44:=l1h9m:;|y2651=83:1>7<<2449`1ed3tq:>=950;296?44:7<<2749`1bd3tq:>=950;296?44:?o1h9k;;|y2651=83:1>7<<2679`1ce3tq:>=950;296?44:>n1h9h<;|y2651=83:1>7<<2979`1`e3tq:>=950;296?44:1n1h8><;|y2651=83:1>7<<2869`06f3tq:>=950;296?44:0i1h8?=;|y2651=83:1>7<<2`69`07f3tq:>=950;296?44:hi1h8<=;|y2651=83:1>7<<2c19`04>3tq:>=950;296?44:kh1h8=>;|y2651=83:1>7<<2b19`05>3tq:>=950;296?44:jh1h8:>;|y2651=83:1>7<<2e09`02?3tq:>=950;296?44:mk1h8;?;|y2651=83:1>7<<2d09`03?3tq:>=950;296?44:lk1h88?;|y2651=83:1>7<<2g39`0003tq:>=950;296?44:o31h88i;|y2651=83:1>7<<3139`0103tq:>=950;296?44;931h89i;|y2651=83:1>7<<3029`0>13tq:>=950;296?44;821h86j;|y2651=83:1>7<<3329`0?13tq:>=950;296?44;;21h87j;|y2651=83:1>7<<33d9`0g23tq:>=950;296?44;:=1h8ok;|y2651=83:1>7<<32d9`0d23tq:>=950;296?44;==1h8lk;|y2651=83:1>7<<35g9`0e33tq:>=950;296?44;<<1h8ml;|y2651=83:1>7<<34g9`0b33tq:>=950;296?44;?<1h8jl;|y2651=83:1>7<<37f9`0c43tq:>=950;296?44;>?1h8km;|y2651=83:1>7<<36f9`0`43tq:>=950;296?44;1?1h8hm;|y2651=83:1>7<<39a9`3653tq:>=950;296?44;0>1h;>n;|y2651=83:1>7<<38a9`3753tq:>=950;296?44;h>1h;?n;|y2651=83:1>7<<3``9`3463tq:>=950;296?44;k91h;<6;|y2651=83:1>7<<3c`9`3563tq:>=950;296?44;j91h;=6;|y2651=83:1>7<<3b`9`3263tq:>=950;296?44;m91h;:6;|y2651=83:1>7<<3e`9`3363tq:>=950;296?44;l91h;;6;|y2651=83:1>7<<3d`9`3063tq:>=950;296?44;o91h;86;|y2651=83:1>7<<3g`9`3163tq:>=950;296?44<991h;96;|y2651=83:1>7<<41`9`3>63tq:>=950;296?44<891h;66;|y2651=83:1>7<<40`9`3?63tq:>=950;296?44<;91h;76;|y2651=83:1>7<<43`9`3g63tq:>=950;296?44<:91h;o6;|y2651=83:1>7<<42`9`3d63tq:>=950;296?44<=91h;l6;|y2651=83:1>7<<45`9`3e63tq:>=950;296?44<<91h;m6;|y2651=83:1>7<<44`9`3b63tq:>=950;296?447<<47`9`3c63tq:>=950;296?44<>91h;k6;|y2651=83:1>7<<46`9`3`63tq:>=950;296?44<191h;h6;|y2651=83:1>7<<49`9`2663tq:>=950;296?44<091h:>6;|y2651=83:1>7<<48c9`2773tq:>=950;296?447<<4`;9`27a3tq:>=950;296?447<<4c49`24d3tq:>=950;296?447<<4b09`25?3tq:>=950;296?447<<4e39`2203tq:>=950;296?447<<4d29`2313tq:>=950;296?447<<4dd9`2023tq:>=950;296?447<<4gg9`2133tq:>=950;296?44=9<1h:9l;|y2651=83:1>7<<51f9`2>43tq:>=950;296?44=8?1h:6m;|y2651=83:1>7<<50f9`2?43tq:>=950;296?44=;>1h:7n;|y2651=83:1>7<<53`9`2g63tq:>=950;296?44=:91h:o6;|y2651=83:1>7<<52`9`2d63tq:>=950;296?44==91h:l6;|y2651=83:1>7<<55`9`2e63tq:>=950;296?44=<:1h:m9;|y2651=83:1>7<<5459`2ec3tq:>=950;296?44=7<<5719`2b>3tq:>=950;296?44=?h1h:k>;|y2651=83:1>7<<5609`2c?3tq:>=950;296?44=><1h:kl;|y2651=83:1>7<<56`9`2`63tq:>=950;296?44=1:1h:h9;|y2651=83:1>7<<5979`2`e3tq:>=950;296?44=1k1h5>?;|y2651=83:1>7<<59d9`=623tq:>=950;296?44=0>1h5>n;|y2651=83:1>7<<58;9`=6a3tq:>=950;296?44=0o1h5?;;|y2651=83:1>7<<5`19`=7>3tq:>=950;296?44=h21h5?j;|y2651=83:1>7<<5`f9`=443tq:>=950;296?44=k81h5<7;|y2651=83:1>7<<5c59`=4c3tq:>=950;296?44=ki1h5==;|y2651=83:1>7<<5b39`=503tq:>=950;296?44=j<1h5=l;|y2651=83:1>7<<5bg9`=233tq:>=950;296?44=m>1h5:n;|y2651=83:1>7<<5ea9`=353tq:>=950;296?44=l91h5;6;|y2651=83:1>7<<5d`9`=063tq:>=950;296?44=ll1h58:;|y2651=83:1>7<<5g59`=0c3tq:>=950;296?44=oi1h59=;|y2651=83:1>7<<6169`=1f3tq:>=950;296?44>9k1h56?;|y2651=83:1>7<<6009`=>?3tq:>=950;296?44>8k1h57?;|y2651=83:1>7<<60g9`=?33tq:>=950;296?44>;<1h57l;|y2651=83:1>7<<63g9`=g33tq:>=950;296?44>:<1h5ol;|y2651=83:1>7<<62g9`=d33tq:>=950;296?44>=91h5l6;|y2651=83:1>7<<65`9`=e63tq:>=950;296?44><;1h5m8;|y2651=83:1>7<<64;9`=ea3tq:>=950;296?44>?;1h5j8;|y2651=83:1>7<<67:9`=bb3tq:>=950;296?44>?o1h5k;;|y2651=83:1>7<<6649`=cd3tq:>=950;296?44>>n1h5h<;|y2651=83:1>7<<6919`=`>3tq:>=950;296?44>1k1h4>?;|y2651=83:1>7<<6829`<613tq:>=950;296?44>0=1h4>k;|y2651=83:1>7<<68f9`<743tq:>=950;296?44>h>1h4?n;|y2651=83:1>7<<6`c9`<473tq:>=950;296?44>k;1h4<8;|y2651=83:1>7<<6c59`<4c3tq:>=950;296?44>ko1h4=;;|y2651=83:1>7<<6b69`<5f3tq:>=950;296?44>jh1h4:>;|y2651=83:1>7<<6e39`<203tq:>=950;296?44>m21h4:j;|y2651=83:1>7<<6eg9`<333tq:>=950;296?44>l?1h4;m;|y2651=83:1>7<<6d`9`<063tq:>=950;296?44>ll1h48:;|y2651=83:1>7<<6g59`<0c3tq:>=950;296?44>ol1h49:;|y2651=83:1>7<<7159`<1c3tq:>=950;296?44?9l1h46:;|y2651=83:1>7<<7059`<>c3tq:>=950;296?44?8i1h47=;|y2651=83:1>7<<7369`=950;296?44?;i1h4o=;|y2651=83:1>7<<7219`3tq:>=950;296?44?:k1h4l?;|y2651=83:1>7<<7509`=950;296?44?=k1h4m?;|y2651=83:1>7<<7439`=950;296?44?<31h4mi;|y2651=83:1>7<<7729`=950;296?44??21h4jj;|y2651=83:1>7<<77d9`=950;296?44?>=1h4kk;|y2651=83:1>7<<76g9`<`33tq:>=950;296?44?1<1h4hl;|y2651=83:1>7<<79f9`d643tq:>=950;296?44?091hl>6;|y2651=83:1>7<<78c9`d773tq:>=950;296?44?h81hl?7;|y2651=83:1>7<<7`;9`d7a3tq:>=950;296?44?k:1hl<9;|y2651=83:1>7<<7c:9`d4b3tq:>=950;296?44?kl1hl=:;|y2651=83:1>7<<7b59`d5c3tq:>=950;296?44?jo1hl:;;|y2651=83:1>7<<7e49`d2d3tq:>=950;296?44?mn1hl;<;|y2651=83:1>7<<7d79`d3e3tq:>=950;296?44?li1hl8=;|y2651=83:1>7<<7g69`d0f3tq:>=950;296?44?oh1hl9>;|y2651=83:1>7<<8119`d1>3tq:>=950;296?4409k1hl6?;|y2651=83:1>7<<81d9`d>23tq:>=950;296?4408=1hl6k;|y2651=83:1>7<<80g9`d?33tq:>=950;296?440;<1hl7l;|y2651=83:1>7<<83g9`dg33tq:>=950;296?440:?1hlom;|y2651=83:1>7<<82`9`dd63tq:>=950;296?440=91hll6;|y2651=83:1>7<<85`9`de63tq:>=950;296?440<;1hlm8;|y2651=83:1>7<<84:9`deb3tq:>=950;296?4407<<8759`dbc3tq:>=950;296?440?l1hlk:;|y2651=83:1>7<<8659`dcc3tq:>=950;296?440>i1hlh=;|y2651=83:1>7<<8969`d`f3tq:>=950;296?4401i1ho>=;|y2651=83:1>7<<8869`g6f3tq:>=950;296?440031ho>i;|y2651=83:1>7<<8`39`g703tq:>=950;296?440h31ho?i;|y2651=83:1>7<<8c29`g413tq:>=950;296?440k=1ho7<<8cd9`g523tq:>=950;296?440j=1ho=k;|y2651=83:1>7<<8bg9`g233tq:>=950;296?440m<1ho:l;|y2651=83:1>7<<8ef9`g343tq:>=950;296?440l?1ho;m;|y2651=83:1>7<<8da9`g053tq:>=950;296?440o>1ho8n;|y2651=83:1>7<<8g`9`g163tq:>=950;296?441991ho96;|y2651=83:1>7<<91c9`g>73tq:>=950;296?4418:1ho69;|y2651=83:1>7<<9059`g>c3tq:>=950;296?4418l1ho7:;|y2651=83:1>7<<9349`g?d3tq:>=950;296?441;n1hoo<;|y2651=83:1>7<<9279`gge3tq:>=950;296?441:i1hol=;|y2651=83:1>7<<9569`gdf3tq:>=950;296?441=h1hom>;|y2651=83:1>7<<9419`ge>3tq:>=950;296?4417<<9709`gb?3tq:>=950;296?441?31hoji;|y2651=83:1>7<<9639`gc03tq:>=950;296?441>21hokj;|y2651=83:1>7<<9929`g`13tq:>=950;296?4411=1hohk;|y2651=83:1>7<<99a9`f653tq:>=950;296?4410>1hn>n;|y2651=83:1>7<<98`9`f763tq:>=950;296?441h91hn?6;|y2651=83:1>7<<9``9`f463tq:>=950;296?441k81hn<7;|y2651=83:1>7<<9c:9`f4b3tq:>=950;296?441j:1hn=9;|y2651=83:1>7<<9b:9`f5b3tq:>=950;296?441jl1hn::;|y2651=83:1>7<<9e79`f2e3tq:>=950;296?441mi1hn;=;|y2651=83:1>7<<9d19`f3>3tq:>=950;296?441lh1hn8>;|y2651=83:1>7<<9g19`f0>3tq:>=950;296?441oh1hn9>;|y2651=83:1>7<=950;296?44i921hn9j;|y2651=83:1>7<13tq:>=950;296?44i821hn6j;|y2651=83:1>7<=950;296?44i;?1hn7m;|y2651=83:1>7<=950;296?44i:?1hnom;|y2651=83:1>7<=950;296?44i=91hnl6;|y2651=83:1>7<=950;296?44i<91hnm6;|y2651=83:1>7<=950;296?44i?81hnj7;|y2651=83:1>7<=950;296?44i>;1hnk8;|y2651=83:1>7<=950;296?44i1:1hnh9;|y2651=83:1>7<=950;296?44i1l1hi>:;|y2651=83:1>7<=950;296?44i0i1hi?=;|y2651=83:1>7<3tq:>=950;296?44ihh1hi<>;|y2651=83:1>7<=950;296?44ik31hi7<=950;296?44ij21hi=j;|y2651=83:1>7<=950;296?44im=1hi:k;|y2651=83:1>7<=950;296?44il<1hi;l;|y2651=83:1>7<=950;296?44io?1hi8m;|y2651=83:1>7<=950;296?44j9>1hi9n;|y2651=83:1>7<53tq:>=950;296?44j891hi66;|y2651=83:1>7<b3tq:>=950;296?44j;:1hi79;|y2651=83:1>7<=950;296?44j;l1hio:;|y2651=83:1>7<=950;296?44j:o1hil;;|y2651=83:1>7<=950;296?44j=i1him=;|y2651=83:1>7<=950;296?44j;|y2651=83:1>7<=950;296?44j?21hijj;|y2651=83:1>7<=950;296?44j>=1hikk;|y2651=83:1>7<=950;296?44j1=1hihk;|y2651=83:1>7<=950;296?44j0>1hh>n;|y2651=83:1>7<=950;296?44j0k1hh?:;|y2651=83:1>7<=950;296?44jh;1hh<:;|y2651=83:1>7<=950;296?44jh21hh=:;|y2651=83:1>7<=950;296?44jhl1hh::;|y2651=83:1>7<=950;296?44jk<1hh;:;|y2651=83:1>7<=950;296?44jkn1hh8:;|y2651=83:1>7<=950;296?44jj>1hh9:;|y2651=83:1>7<=950;296?44jjh1hh6:;|y2651=83:1>7<?3tq:>=950;296?44jm81hh7:;|y2651=83:1>7<=950;296?44jm31hho:;|y2651=83:1>7<=950;296?44jl:1hhl:;|y2651=83:1>7<=950;296?44jl=1hhm:;|y2651=83:1>7<=950;296?44jlo1hhj:;|y2651=83:1>7<=950;296?44jo?1hhk:;|y2651=83:1>7<=950;296?44joi1hhh:;|y2651=83:1>7<=950;296?44k991hk>:;|y2651=83:1>7<=950;296?44k9k1hk??;|y2651=83:1>7<=950;296?44k8:1hk?9;|y2651=83:1>7<3tq:>=950;296?44k8<1hk?l;|y2651=83:1>7<=950;296?44k8i1hk<=;|y2651=83:1>7<=950;296?44k;81hk<7;|y2651=83:1>7<=950;296?44k;21hk7<=950;296?44k;o1hk=;;|y2651=83:1>7<=950;296?44k:>1hk=n;|y2651=83:1>7<=950;296?44k:k1hk:?;|y2651=83:1>7<=950;296?44k=:1hk:9;|y2651=83:1>7<3tq:>=950;296?44k=<1hk:l;|y2651=83:1>7<=950;296?44k=i1hk;=;|y2651=83:1>7<=950;296?44k<<1hk;j;|y2651=83:1>7<=950;296?44k?>1hk8l;|y2651=83:1>7<=950;296?44k>81hk9n;|y2651=83:1>7<63tq:>=950;296?44k1:1hk67;|y2651=83:1>7<a3tq:>=950;296?44k1o1hk77;|y2651=83:1>7<=950;296?44k0i1hkon;|y2651=83:1>7<=950;296?44khk1hkll;|y2651=83:1>7<=950;296?44kk21hkmj;|y2651=83:1>7<=950;296?44kj<1hkk?;|y2651=83:1>7<3tq:>=950;296?44km>1hkh=;|y2651=83:1>7<=950;296?44kl81i=>;;|y2651=83:1>7<=950;296?44ko:1i=?9;|y2651=83:1>7<=950;296?44koo1i=<;;|y2651=83:1>7<=950;296?44l9h1i?h>;|y2651=83:1>7<=950;296?44l821i?hj;|y2651=83:1>7<=950;296?44l;<1i>>l;|y2651=83:1>7<=950;296?44l:<1i>?l;|y2651=83:1>7<=950;296?44l=91i><6;|y2651=83:1>7<=950;296?44l<;1i>=8;|y2651=83:1>7<=950;296?44l?:1i>:9;|y2651=83:1>7<=950;296?44l?i1i>;=;|y2651=83:1>7<=950;296?44l>21i>;j;|y2651=83:1>7<=950;296?44l181i>87;|y2651=83:1>7<=950;296?44l1o1i>9;;|y2651=83:1>7<=950;296?44l0=1i>9k;|y2651=83:1>7<23tq:>=950;296?44lh=1i>6k;|y2651=83:1>7<=950;296?44lk=1i>7k;|y2651=83:1>7<=950;296?44lj=1i>ok;|y2651=83:1>7<=950;296?44lm=1i>lk;|y2651=83:1>7<=950;296?44ll=1i>mk;|y2651=83:1>7<=950;296?44lo=1i>jk;|y2651=83:1>7<=950;296?44m9<1i>kl;|y2651=83:1>7<=950;296?44m8>1i>hn;|y2651=83:1>7<=950;296?44m;81i9>7;|y2651=83:1>7<=950;296?44m;o1i9?;;|y2651=83:1>7<=950;296?44m:o1i9<;;|y2651=83:1>7<=950;296?44m=o1i9=;;|y2651=83:1>7<=950;296?44m7<=950;296?44m?o1i9;;;|y2651=83:1>7<=950;296?44m>o1i98;;|y2651=83:1>7<=950;296?44m1o1i99;;|y2651=83:1>7<=950;296?44m0o1i96;;|y2651=83:1>7<d3tq:>=950;296?44mho1i97;;|y2651=83:1>7<=950;296?44mko1i9o;;|y2651=83:1>7<=950;296?44mjo1i9l;;|y2651=83:1>7<=950;296?44mm31i9li;|y2651=83:1>7<=950;296?44ml<1i9ml;|y2651=83:1>7<=950;296?44mo91i9j6;|y2651=83:1>7<=950;296?44n9:1i9k9;|y2651=83:1>7<=950;296?44n9n1i9h<;|y2651=83:1>7<=950;296?44n8k1i8>?;|y2651=83:1>7<=950;296?44n;=1i8>k;|y2651=83:1>7<=950;296?44n:>1i8?n;|y2651=83:1>7<=950;296?44n=;1i8<8;|y2651=83:1>7<=950;296?44n<;1i8=8;|y2651=83:1>7<=950;296?44n?:1i8:9;|y2651=83:1>7<=950;296?44n?o1i8;;;|y2651=83:1>7<=950;296?44n>k1i88?;|y2651=83:1>7<=950;296?44n1<1i88l;|y2651=83:1>7<=950;296?44n081i897;|y2651=83:1>7<=950;296?44n0o1i86;;|y2651=83:1>7<f3tq:>=950;296?44nhk1i87?;|y2651=83:1>7<=950;296?44nk<1i87l;|y2651=83:1>7<=950;296?44nj81i8o7;|y2651=83:1>7<=950;296?44njo1i8l;;|y2651=83:1>7<=950;296?44nmk1i8m?;|y2651=83:1>7<=950;296?44nl<1i8ml;|y2651=83:1>7<=950;296?44no81i8j7;|y2651=83:1>7<=950;296?44noo1i8k;;|y2651=83:1>7<;0169a0cf3tq:>=950;296?4389k1i8h?;|y2651=83:1>7<;01g9a0`33tq:>=950;296?4388<1i8hl;|y2651=83:1>7<;00g9a3633tq:>=950;296?438;<1i;>l;|y2651=83:1>7<;03g9a3733tq:>=950;296?438:<1i;?l;|y2651=83:1>7<;02g9a3433tq:>=950;296?438=<1i;7<;05g9a3533tq:>=950;296?438<<1i;=l;|y2651=83:1>7<;04g9a3233tq:>=950;296?438?<1i;:l;|y2651=83:1>7<;07g9a3333tq:>=950;296?438>91i;;6;|y2651=83:1>7<;06:9a33b3tq:>=950;296?438>n1i;8<;|y2651=83:1>7<;0909a30?3tq:>=950;296?4381=1i;8k;|y2651=83:1>7<;09a9a3153tq:>=950;296?4380;1i;98;|y2651=83:1>7<;0849a31d3tq:>=950;296?4380h1i;6>;|y2651=83:1>7<;0`29a3>13tq:>=950;296?438h?1i;6m;|y2651=83:1>7<;0`c9a3?73tq:>=950;296?438hl1i;7:;|y2651=83:1>7<;0c69a3?f3tq:>=950;296?438k31i;7i;|y2651=83:1>7<;0cd9a3g23tq:>=950;296?438j?1i;om;|y2651=83:1>7<;0b`9a3d63tq:>=950;296?438m;1i;l8;|y2651=83:1>7<;0e59a3dc3tq:>=950;296?438mn1i;m<;|y2651=83:1>7<;0d19a3e>3tq:>=950;296?438l31i;mi;|y2651=83:1>7<;0dd9a3b23tq:>=950;296?438o?1i;jm;|y2651=83:1>7<;0g`9a3c63tq:>=950;296?4399;1i;k8;|y2651=83:1>7<;1159a3cc3tq:>=950;296?4399n1i;h<;|y2651=83:1>7<;1019a3`>3tq:>=950;296?439831i;hi;|y2651=83:1>7<;10d9a2623tq:>=950;296?439;?1i:>m;|y2651=83:1>7<;13`9a2763tq:>=950;296?439:;1i:?8;|y2651=83:1>7<;1259a27c3tq:>=950;296?439:n1i:<<;|y2651=83:1>7<;1519a24>3tq:>=950;296?439=31i:7<;15d9a2523tq:>=950;296?4397<;14`9a2263tq:>=950;296?439?;1i::8;|y2651=83:1>7<;1759a22c3tq:>=950;296?439?n1i:;<;|y2651=83:1>7<;1619a23>3tq:>=950;296?439>31i:;i;|y2651=83:1>7<;1929a2013tq:>=950;296?439121i:8j;|y2651=83:1>7<;1829a2113tq:>=950;296?439021i:9j;|y2651=83:1>7<;18d9a2>23tq:>=950;296?439h?1i:6m;|y2651=83:1>7<;1`f9a2?43tq:>=950;296?439k81i:77;|y2651=83:1>7<;1cc9a2g73tq:>=950;296?439j;1i:o8;|y2651=83:1>7<;1b:9a2gb3tq:>=950;296?439jo1i:l;;|y2651=83:1>7<;1e49a2dd3tq:>=950;296?439mo1i:m;;|y2651=83:1>7<;1d49a2ed3tq:>=950;296?439lk1i:j?;|y2651=83:1>7<;1g09a2b?3tq:>=950;296?439o31i:ji;|y2651=83:1>7<;2139a2c03tq:>=950;296?43:931i:ki;|y2651=83:1>7<;2039a2`03tq:>=950;296?43:831i:hi;|y2651=83:1>7<;2339a=603tq:>=950;296?43:;31i5>i;|y2651=83:1>7<;2239a=703tq:>=950;296?43::21i5?j;|y2651=83:1>7<;2529a=413tq:>=950;296?43:=21i57<;25d9a=523tq:>=950;296?43:7<;24f9a=243tq:>=950;296?43:??1i5:m;|y2651=83:1>7<;27f9a=343tq:>=950;296?43:>?1i5;m;|y2651=83:1>7<;26a9a=053tq:>=950;296?43:191i586;|y2651=83:1>7<;29c9a=173tq:>=950;296?43:081i597;|y2651=83:1>7<;28;9a=1a3tq:>=950;296?43:h:1i569;|y2651=83:1>7<;2`:9a=>b3tq:>=950;296?43:hl1i57:;|y2651=83:1>7<;2c59a=?c3tq:>=950;296?43:kl1i5o:;|y2651=83:1>7<;2b59a=gc3tq:>=950;296?43:jl1i5l:;|y2651=83:1>7<;2e59a=dc3tq:>=950;296?43:ml1i5m:;|y2651=83:1>7<;2d59a=ec3tq:>=950;296?43:ll1i5j:;|y2651=83:1>7<;2g59a=bc3tq:>=950;296?43:on1i5k<;|y2651=83:1>7<;3169a=cf3tq:>=950;296?43;9h1i5h>;|y2651=83:1>7<;3019a=`>3tq:>=950;296?43;831i5hi;|y2651=83:1>7<;3339a<603tq:>=950;296?43;;=1i4>k;|y2651=83:1>7<;33f9a<743tq:>=950;296?43;:91i4?6;|y2651=83:1>7<;32c9a<473tq:>=950;296?43;=:1i4<9;|y2651=83:1>7<;3549a<4d3tq:>=950;296?43;=i1i4==;|y2651=83:1>7<;3469a<5f3tq:>=950;296?43;7<;3769a<2f3tq:>=950;296?43;?i1i4;=;|y2651=83:1>7<;3669a<3f3tq:>=950;296?43;>i1i48=;|y2651=83:1>7<;3969a<0f3tq:>=950;296?43;1i1i49=;|y2651=83:1>7<;3869a<1f3tq:>=950;296?43;0i1i46=;|y2651=83:1>7<;3`69a<>f3tq:>=950;296?43;hi1i47=;|y2651=83:1>7<;3c69a=950;296?43;ki1i4o=;|y2651=83:1>7<;3b69a=950;296?43;jk1i4l?;|y2651=83:1>7<;3e29a=950;296?43;m<1i4ll;|y2651=83:1>7<;3eg9a=950;296?43;l<1i4ml;|y2651=83:1>7<;3dg9a=950;296?43;o<1i4jl;|y2651=83:1>7<;3gg9a=950;296?43<9?1i4km;|y2651=83:1>7<;41a9a<`53tq:>=950;296?43<891i4h6;|y2651=83:1>7<;40c9ad673tq:>=950;296?43<;;1il>8;|y2651=83:1>7<;43:9ad6b3tq:>=950;296?43<;l1il?:;|y2651=83:1>7<;4249ad7d3tq:>=950;296?43<:n1il<<;|y2651=83:1>7<;4579ad4e3tq:>=950;296?43<=h1il=>;|y2651=83:1>7<;4439ad503tq:>=950;296?43<<21il=j;|y2651=83:1>7<;4729ad213tq:>=950;296?437<;47f9ad343tq:>=950;296?43<>91il;6;|y2651=83:1>7<;46;9ad3a3tq:>=950;296?43<>l1il8:;|y2651=83:1>7<;4959ad0c3tq:>=950;296?43<1n1il9<;|y2651=83:1>7<;4819ad1>3tq:>=950;296?43<0k1il6?;|y2651=83:1>7<;4`29ad>13tq:>=950;296?437<;4`a9ad?53tq:>=950;296?431il7n;|y2651=83:1>7<;4ca9adg53tq:>=950;296?431ilon;|y2651=83:1>7<;4ba9add53tq:>=950;296?437<;4e:9addb3tq:>=950;296?437<;4d49aded3tq:>=950;296?437<;4g09adb?3tq:>=950;296?437<;4gd9adc23tq:>=950;296?43=9<1ilkl;|y2651=83:1>7<;51`9ad`63tq:>=950;296?43=8:1ilh9;|y2651=83:1>7<;5079ad`e3tq:>=950;296?43=8h1io>>;|y2651=83:1>7<;5329ag613tq:>=950;296?43=;?1io>m;|y2651=83:1>7<;53c9ag773tq:>=950;296?43=;l1io?:;|y2651=83:1>7<;5269ag7f3tq:>=950;296?43=:k1io7<;52d9ag423tq:>=950;296?43==>1io7<;55;9ag4a3tq:>=950;296?43==o1io=;;|y2651=83:1>7<;5419ag5>3tq:>=950;296?43=<21io=j;|y2651=83:1>7<;54f9ag243tq:>=950;296?43=?81io:7;|y2651=83:1>7<;5759ag2c3tq:>=950;296?43=?i1io;=;|y2651=83:1>7<;5639ag303tq:>=950;296?43=><1io;l;|y2651=83:1>7<;56`9ag063tq:>=950;296?43=1:1io89;|y2651=83:1>7<;5979ag0e3tq:>=950;296?43=1k1io9?;|y2651=83:1>7<;59d9ag123tq:>=950;296?43=0>1io9n;|y2651=83:1>7<;58;9ag1a3tq:>=950;296?43=0o1io6;;|y2651=83:1>7<;5`19ag>>3tq:>=950;296?43=h21io6j;|y2651=83:1>7<;5`f9ag?43tq:>=950;296?43=k81io77;|y2651=83:1>7<;5c;9ag?a3tq:>=950;296?43=ko1ioo;;|y2651=83:1>7<;5b19agg>3tq:>=950;296?43=j21iooj;|y2651=83:1>7<;5bf9agd43tq:>=950;296?43=m81iol7;|y2651=83:1>7<;5e59agdc3tq:>=950;296?43=mi1iom=;|y2651=83:1>7<;5d39age03tq:>=950;296?43=l<1ioml;|y2651=83:1>7<;5d`9agb63tq:>=950;296?43=o:1ioj9;|y2651=83:1>7<;5g79agbe3tq:>=950;296?43=ok1iok?;|y2651=83:1>7<;5gd9agc23tq:>=950;296?43>9>1iokn;|y2651=83:1>7<;61a9ag`53tq:>=950;296?43>8>1iohn;|y2651=83:1>7<;60a9af653tq:>=950;296?43>;>1in>n;|y2651=83:1>7<;63a9af753tq:>=950;296?43>:>1in?n;|y2651=83:1>7<;62a9af453tq:>=950;296?43>=>1in7<;65a9af553tq:>=950;296?43><91in=6;|y2651=83:1>7<;64c9af273tq:>=950;296?43>?81in:7;|y2651=83:1>7<;67;9af2a3tq:>=950;296?43>>;1in;8;|y2651=83:1>7<;66;9af3a3tq:>=950;296?43>1;1in88;|y2651=83:1>7<;69;9af0a3tq:>=950;296?43>0:1in99;|y2651=83:1>7<;6859af1c3tq:>=950;296?43>0l1in6:;|y2651=83:1>7<;6`59af>c3tq:>=950;296?43>hl1in7:;|y2651=83:1>7<;6c59af?c3tq:>=950;296?43>kl1ino:;|y2651=83:1>7<;6b59afgc3tq:>=950;296?43>jl1inl:;|y2651=83:1>7<;6e59afdc3tq:>=950;296?43>ml1inm:;|y2651=83:1>7<;6d59afec3tq:>=950;296?43>ll1inj:;|y2651=83:1>7<;6g59afbc3tq:>=950;296?43>ol1ink:;|y2651=83:1>7<;7159afcc3tq:>=950;296?43?9l1inh:;|y2651=83:1>7<;7059af`c3tq:>=950;296?43?8l1ii>:;|y2651=83:1>7<;7359aa6c3tq:>=950;296?43?;i1ii?=;|y2651=83:1>7<;7269aa7f3tq:>=950;296?43?:i1ii<=;|y2651=83:1>7<;7569aa4f3tq:>=950;296?43?=i1ii==;|y2651=83:1>7<;7469aa5f3tq:>=950;296?43?7<;7769aa2f3tq:>=950;296?43??h1ii;>;|y2651=83:1>7<;7639aa303tq:>=950;296?43?>=1ii;k;|y2651=83:1>7<;76g9aa033tq:>=950;296?43?1?1ii8m;|y2651=83:1>7<;79`9aa163tq:>=950;296?43?091ii96;|y2651=83:1>7<;78:9aa1b3tq:>=950;296?43?0o1ii6;;|y2651=83:1>7<;7`49aa>d3tq:>=950;296?43?hi1ii7=;|y2651=83:1>7<;7c39aa?03tq:>=950;296?43?k21ii7j;|y2651=83:1>7<;7cd9aag23tq:>=950;296?43?j<1iiol;|y2651=83:1>7<;7bg9aad33tq:>=950;296?43?m91iil6;|y2651=83:1>7<;7e`9aae63tq:>=950;296?43?l91iim6;|y2651=83:1>7<;7d`9aab63tq:>=950;296?43?o91iij6;|y2651=83:1>7<;7g`9aac63tq:>=950;296?430991iik6;|y2651=83:1>7<;81`9aa`63tq:>=950;296?430891iih6;|y2651=83:1>7<;80`9a`663tq:>=950;296?430;81ih>7;|y2651=83:1>7<;83;9a`6a3tq:>=950;296?430::1ih?9;|y2651=83:1>7<;8259a`7c3tq:>=950;296?430:o1ih<;;|y2651=83:1>7<;8579a`4e3tq:>=950;296?430=i1ih==;|y2651=83:1>7<;8419a`5>3tq:>=950;296?4307<;8709a`2?3tq:>=950;296?430?21ih:j;|y2651=83:1>7<;8629a`313tq:>=950;296?430>21ih;j;|y2651=83:1>7<;8929a`013tq:>=950;296?4301<1ih8l;|y2651=83:1>7<;89`9a`163tq:>=950;296?430091ih96;|y2651=83:1>7<;88:9a`1b3tq:>=950;296?430h:1ih69;|y2651=83:1>7<;8`59a`>c3tq:>=950;296?430hl1ih7:;|y2651=83:1>7<;8c59a`?c3tq:>=950;296?430kn1iho<;|y2651=83:1>7<;8b19a`g>3tq:>=950;296?430jh1ihl>;|y2651=83:1>7<;8e29a`d13tq:>=950;296?430m<1ihll;|y2651=83:1>7<;8eg9a`e33tq:>=950;296?430l>1ihmn;|y2651=83:1>7<;8da9a`b53tq:>=950;296?430o>1ihjn;|y2651=83:1>7<;8g`9a`c63tq:>=950;296?4319:1ihk9;|y2651=83:1>7<;91:9a`cb3tq:>=950;296?4318:1ihh9;|y2651=83:1>7<;90:9a``b3tq:>=950;296?4318l1ik>:;|y2651=83:1>7<;9349ac6d3tq:>=950;296?431;o1ik?;;|y2651=83:1>7<;9249ac7d3tq:>=950;296?431:o1ik<;;|y2651=83:1>7<;9549ac4d3tq:>=950;296?431=o1ik=;;|y2651=83:1>7<;9449ac5d3tq:>=950;296?4317<;9749ac2d3tq:>=950;296?431?o1ik;;;|y2651=83:1>7<;9679ac3e3tq:>=950;296?431>i1ik8=;|y2651=83:1>7<;9969ac0f3tq:>=950;296?4311i1ik9=;|y2651=83:1>7<;9869ac1f3tq:>=950;296?4310i1ik6=;|y2651=83:1>7<;9`69ac>f3tq:>=950;296?431hh1ik7>;|y2651=83:1>7<;9c19ac?>3tq:>=950;296?431kk1iko?;|y2651=83:1>7<;9b09acg?3tq:>=950;296?431j31ikoi;|y2651=83:1>7<;9e39acd03tq:>=950;296?431m21iklj;|y2651=83:1>7<;9d29ace13tq:>=950;296?431l=1ikmk;|y2651=83:1>7<;9dd9acb23tq:>=950;296?431o?1ikjm;|y2651=83:1>7<;9gf9acc43tq:>=950;296?43i9?1ikkm;|y2651=83:1>7<;a1f9ac`43tq:>=950;296?43i8?1ikhm;|y2651=83:1>7<;a0f9b5643tq:>=950;296?43i;?1j=>m;|y2651=83:1>7<;a3`9b5763tq:>=950;296?43i:;1j=?8;|y2651=83:1>7<;a259b57c3tq:>=950;296?43i:n1j=<<;|y2651=83:1>7<;a519b54>3tq:>=950;296?43i=31j=7<;a5d9b5523tq:>=950;296?43i7<;a4`9b5263tq:>=950;296?43i?;1j=:8;|y2651=83:1>7<;a759b52c3tq:>=950;296?43i?n1j=;<;|y2651=83:1>7<;a619b53>3tq:>=950;296?43i>31j=;i;|y2651=83:1>7<;a6d9b5023tq:>=950;296?43i1?1j=8m;|y2651=83:1>7<;a9f9b5143tq:>=950;296?43i091j=96;|y2651=83:1>7<;a8;9b51a3tq:>=950;296?43i0l1j=6:;|y2651=83:1>7<;a`79b5>e3tq:>=950;296?43ihh1j=7>;|y2651=83:1>7<;ac39b5?03tq:>=950;296?43ik=1j=7k;|y2651=83:1>7<;acf9b5g43tq:>=950;296?43ij?1j=om;|y2651=83:1>7<;aba9b5d53tq:>=950;296?43im>1j=ln;|y2651=83:1>7<;aea9b5e53tq:>=950;296?43il>1j=mn;|y2651=83:1>7<;ada9b5b53tq:>=950;296?43io91j=j6;|y2651=83:1>7<;ag`9b5c63tq:>=950;296?43j981j=k7;|y2651=83:1>7<;b1;9b5ca3tq:>=950;296?43j8:1j=h9;|y2651=83:1>7<;b059b5`c3tq:>=950;296?43j8o1j<>;;|y2651=83:1>7<;b379b46e3tq:>=950;296?43j;n1j7<;b269b47f3tq:>=950;296?43j:h1j<<>;|y2651=83:1>7<;b519b44>3tq:>=950;296?43j=h1j<=>;|y2651=83:1>7<;b419b45>3tq:>=950;296?43j;|y2651=83:1>7<;b719b42>3tq:>=950;296?43j?h1j<;>;|y2651=83:1>7<;b619b43>3tq:>=950;296?43j>h1j<8>;|y2651=83:1>7<;b919b40>3tq:>=950;296?43j1h1j<9>;|y2651=83:1>7<;b819b41>3tq:>=950;296?43j0h1j<6>;|y2651=83:1>7<;b`19b4>>3tq:>=950;296?43jhh1j<7>;|y2651=83:1>7<;bc19b4?>3tq:>=950;296?43jk31j<7i;|y2651=83:1>7<;bcd9b4g23tq:>=950;296?43jj?1j7<;bbc9b4d73tq:>=950;296?43jm81j7<;bec9b4e73tq:>=950;296?43jml1j7<;bd69b4ef3tq:>=950;296?43jl31j7<;bdg9b4b33tq:>=950;296?43jo91j7<;bg:9b4bb3tq:>=950;296?43joo1j7<;c149b4cd3tq:>=950;296?43k9i1j7<;c069b4`f3tq:>=950;296?43k8k1j?>?;|y2651=83:1>7<;c329b7613tq:>=950;296?43k;21j?>j;|y2651=83:1>7<;c3g9b7733tq:>=950;296?43k:<1j??l;|y2651=83:1>7<;c2a9b7453tq:>=950;296?43k=>1j?7<;c5c9b7573tq:>=950;296?43k<81j?=7;|y2651=83:1>7<;c4:9b75b3tq:>=950;296?43k?:1j?:9;|y2651=83:1>7<;c749b72d3tq:>=950;296?43k?n1j?;<;|y2651=83:1>7<;c619b73>3tq:>=950;296?43k>k1j?8?;|y2651=83:1>7<;c929b7013tq:>=950;296?43k1=1j?8k;|y2651=83:1>7<;c9f9b7143tq:>=950;296?43k0>1j?9n;|y2651=83:1>7<;c8c9b7>73tq:>=950;296?43kh;1j?68;|y2651=83:1>7<;c`59b7>c3tq:>=950;296?43kho1j?7;;|y2651=83:1>7<;cc69b7?f3tq:>=950;296?43kkh1j?o>;|y2651=83:1>7<;cb39b7g03tq:>=950;296?43kj21j?oj;|y2651=83:1>7<;cbg9b7d33tq:>=950;296?43km?1j?lm;|y2651=83:1>7<;ce`9b7e63tq:>=950;296?43kl81j?m7;|y2651=83:1>7<;cd:9b7eb3tq:>=950;296?43kll1j?j:;|y2651=83:1>7<;cg79b7be3tq:>=950;296?43koi1j?k=;|y2651=83:1>7<;d109b7c?3tq:>=950;296?43l931j?ki;|y2651=83:1>7<;d1d9b7`23tq:>=950;296?43l8<1j?hl;|y2651=83:1>7<;d0a9b6653tq:>=950;296?43l;91j>>6;|y2651=83:1>7<;d3;9b66a3tq:>=950;296?43l::1j>?9;|y2651=83:1>7<;d249b67d3tq:>=950;296?43l:n1j><<;|y2651=83:1>7<;d519b64>3tq:>=950;296?43l=k1j>=?;|y2651=83:1>7<;d429b6513tq:>=950;296?43l<=1j>=k;|y2651=83:1>7<;d4f9b6243tq:>=950;296?43l?>1j>:n;|y2651=83:1>7<;d7c9b6373tq:>=950;296?43l>;1j>;8;|y2651=83:1>7<;d659b63c3tq:>=950;296?43l>o1j>8;;|y2651=83:1>7<;d969b60f3tq:>=950;296?43l1h1j>9>;|y2651=83:1>7<;d839b6103tq:>=950;296?43l021j>9j;|y2651=83:1>7<;d8g9b6>33tq:>=950;296?43lh?1j>6m;|y2651=83:1>7<;d``9b6?63tq:>=950;296?43lk81j>77;|y2651=83:1>7<;dc:9b6?b3tq:>=950;296?43lkl1j>o:;|y2651=83:1>7<;db79b6ge3tq:>=950;296?43lji1j>l=;|y2651=83:1>7<;de09b6d?3tq:>=950;296?43lm31j>li;|y2651=83:1>7<;ded9b6e23tq:>=950;296?43ll<1j>ml;|y2651=83:1>7<;dda9b6b53tq:>=950;296?43lo91j>j6;|y2651=83:1>7<;dg;9b6ba3tq:>=950;296?43m9:1j>k9;|y2651=83:1>7<;e149b6cd3tq:>=950;296?43m9n1j>h<;|y2651=83:1>7<;e019b6`>3tq:>=950;296?43m8k1j9>?;|y2651=83:1>7<;e329b1613tq:>=950;296?43m;=1j9>k;|y2651=83:1>7<;e3f9b1743tq:>=950;296?43m:>1j9?n;|y2651=83:1>7<;e2`9b1463tq:>=950;296?43m=91j9<6;|y2651=83:1>7<;e5c9b1573tq:>=950;296?43m<81j9=7;|y2651=83:1>7<;e4;9b15a3tq:>=950;296?43m?;1j9:8;|y2651=83:1>7<;e759b12c3tq:>=950;296?43m?n1j9;<;|y2651=83:1>7<;e619b13>3tq:>=950;296?43m>31j9;i;|y2651=83:1>7<;e6d9b1023tq:>=950;296?43m1?1j98m;|y2651=83:1>7<;e9`9b1163tq:>=950;296?43m0;1j998;|y2651=83:1>7<;e859b11c3tq:>=950;296?43m0n1j96<;|y2651=83:1>7<;e`19b1>>3tq:>=950;296?43mh31j96i;|y2651=83:1>7<;ec39b1?03tq:>=950;296?43mk31j97i;|y2651=83:1>7<;ecg9b1g33tq:>=950;296?43mj<1j9ol;|y2651=83:1>7<;eb`9b1d63tq:>=950;296?43mm91j9l6;|y2651=83:1>7<;eec9b1e73tq:>=950;296?43mml1j9m:;|y2651=83:1>7<;ed59b1ec3tq:>=950;296?43mlo1j9j;;|y2651=83:1>7<;eg19b1b>3tq:>=950;296?43moh1j9k>;|y2651=83:1>7<;f119b1c>3tq:>=950;296?43n921j9kj;|y2651=83:1>7<;f029b1`13tq:>=950;296?43n8=1j9hk;|y2651=83:1>7<;f0a9b0653tq:>=950;296?43n;>1j8>n;|y2651=83:1>7<;f3a9b0753tq:>=950;296?43n:;1j8?8;|y2651=83:1>7<;f2:9b07b3tq:>=950;296?43n=:1j8<9;|y2651=83:1>7<;f579b04e3tq:>=950;296?43n=n1j8=<;|y2651=83:1>7<;f469b05f3tq:>=950;296?43n<31j8=i;|y2651=83:1>7<;f739b0203tq:>=950;296?43n?21j8:j;|y2651=83:1>7<;f7f9b0343tq:>=950;296?43n>?1j8;m;|y2651=83:1>7<;f6f9b0043tq:>=950;296?43n181j887;|y2651=83:1>7<;f9c9b0173tq:>=950;296?43n0;1j898;|y2651=83:1>7<;f849b01d3tq:>=950;296?43n0o1j86;;|y2651=83:1>7<;f`49b0>d3tq:>=950;296?43nhh1j87>;|y2651=83:1>7<;fc19b0?>3tq:>=950;296?43nkh1j8o>;|y2651=83:1>7<;fb29b0g13tq:>=950;296?43nj?1j8om;|y2651=83:1>7<;fbf9b0d43tq:>=950;296?43nm?1j8lm;|y2651=83:1>7<;fec9b0e73tq:>=950;296?43nl81j8m7;|y2651=83:1>7<;fd;9b0ea3tq:>=950;296?43nlo1j8j;;|y2651=83:1>7<;fg49b0bd3tq:>=950;296?43noo1j8k;;|y2651=83:1>7<:0179b0ce3tq:>=950;296?4289n1j8h<;|y2651=83:1>7<:0079b0`e3tq:>=950;296?4288n1j;><;|y2651=83:1>7<:0379b36e3tq:>=950;296?428;n1j;?<;|y2651=83:1>7<:0279b37e3tq:>=950;296?428:n1j;<<;|y2651=83:1>7<:0579b34e3tq:>=950;296?428=i1j;==;|y2651=83:1>7<:0469b35f3tq:>=950;296?4287<:0769b32f3tq:>=950;296?428?i1j;;=;|y2651=83:1>7<:0669b33f3tq:>=950;296?428>h1j;8>;|y2651=83:1>7<:0919b30>3tq:>=950;296?4281h1j;9>;|y2651=83:1>7<:0819b31>3tq:>=950;296?4280h1j;6>;|y2651=83:1>7<:0`19b3>>3tq:>=950;296?428hh1j;7>;|y2651=83:1>7<:0c19b3?>3tq:>=950;296?428kh1j;o>;|y2651=83:1>7<:0b39b3g03tq:>=950;296?428j=1j;ok;|y2651=83:1>7<:0ba9b3d53tq:>=950;296?428m91j;l6;|y2651=83:1>7<:0e`9b3e63tq:>=950;296?428l;1j;m8;|y2651=83:1>7<:0d:9b3eb3tq:>=950;296?428ll1j;j:;|y2651=83:1>7<:0g49b3bd3tq:>=950;296?428on1j;k<;|y2651=83:1>7<:1169b3cf3tq:>=950;296?4299h1j;h>;|y2651=83:1>7<:1009b3`?3tq:>=950;296?429831j;hi;|y2651=83:1>7<:1329b2613tq:>=950;296?429;=1j:>k;|y2651=83:1>7<:13g9b2733tq:>=950;296?429:?1j:?m;|y2651=83:1>7<:12a9b2453tq:>=950;296?429=91j:<6;|y2651=83:1>7<:15c9b2573tq:>=950;296?429<;1j:=8;|y2651=83:1>7<:14:9b25b3tq:>=950;296?4297<:1769b22f3tq:>=950;296?429?h1j:;>;|y2651=83:1>7<:1609b23?3tq:>=950;296?429>31j:;i;|y2651=83:1>7<:1929b2013tq:>=950;296?4291=1j:8k;|y2651=83:1>7<:19g9b2133tq:>=950;296?4290?1j:9m;|y2651=83:1>7<:18a9b2>53tq:>=950;296?429h91j:66;|y2651=83:1>7<:1`c9b2?73tq:>=950;296?429k;1j:78;|y2651=83:1>7<:1c:9b2?b3tq:>=950;296?429kl1j:o:;|y2651=83:1>7<:1b49b2gd3tq:>=950;296?429jn1j:l<;|y2651=83:1>7<:1e69b2df3tq:>=950;296?429mh1j:m>;|y2651=83:1>7<:1d09b2e?3tq:>=950;296?429l21j:mj;|y2651=83:1>7<:1dg9b2b33tq:>=950;296?429o>1j:jn;|y2651=83:1>7<:1gc9b2c73tq:>=950;296?42:9:1j:k9;|y2651=83:1>7<:2149b2cd3tq:>=950;296?42:9o1j:h;;|y2651=83:1>7<:2079b2`e3tq:>=950;296?42:8i1j5>=;|y2651=83:1>7<:2319b=6>3tq:>=950;296?42:;k1j5??;|y2651=83:1>7<:2239b=703tq:>=950;296?42::21j5?j;|y2651=83:1>7<:22d9b=423tq:>=950;296?42:==1j57<:25d9b=523tq:>=950;296?42:<<1j5=l;|y2651=83:1>7<:24g9b=233tq:>=950;296?42:?>1j5:n;|y2651=83:1>7<:27c9b=373tq:>=950;296?42:>:1j5;9;|y2651=83:1>7<:2659b=3c3tq:>=950;296?42:>o1j58;;|y2651=83:1>7<:2979b=0e3tq:>=950;296?42:1i1j59=;|y2651=83:1>7<:2819b=1>3tq:>=950;296?42:0h1j56>;|y2651=83:1>7<:2`19b=>>3tq:>=950;296?42:hh1j57>;|y2651=83:1>7<:2c09b=??3tq:>=950;296?42:kk1j5o?;|y2651=83:1>7<:2b09b=g?3tq:>=950;296?42:jk1j5l?;|y2651=83:1>7<:2e39b=d03tq:>=950;296?42:m31j5li;|y2651=83:1>7<:2d39b=e03tq:>=950;296?42:l31j5mi;|y2651=83:1>7<:2g29b=b13tq:>=950;296?42:o21j5jj;|y2651=83:1>7<:2gg9b=c33tq:>=950;296?42;9>1j5kn;|y2651=83:1>7<:31c9b=`73tq:>=950;296?42;8:1j5h9;|y2651=83:1>7<:3049b=`d3tq:>=950;296?42;8i1j4>=;|y2651=83:1>7<:3309b<6?3tq:>=950;296?42;;21j4>j;|y2651=83:1>7<:33g9b<733tq:>=950;296?42;:>1j4?n;|y2651=83:1>7<:32c9b<473tq:>=950;296?42;=:1j4<9;|y2651=83:1>7<:3549b<4d3tq:>=950;296?42;=i1j4==;|y2651=83:1>7<:3409b<5?3tq:>=950;296?42;<21j4=j;|y2651=83:1>7<:34g9b<233tq:>=950;296?42;?>1j4:n;|y2651=83:1>7<:37c9b<373tq:>=950;296?42;>:1j4;9;|y2651=83:1>7<:3649b<3d3tq:>=950;296?42;>i1j48=;|y2651=83:1>7<:3909b<0?3tq:>=950;296?42;121j48j;|y2651=83:1>7<:39g9b<133tq:>=950;296?42;0>1j49n;|y2651=83:1>7<:38c9b<>73tq:>=950;296?42;h:1j469;|y2651=83:1>7<:3`49b<>d3tq:>=950;296?42;hi1j47=;|y2651=83:1>7<:3c09b=950;296?42;k21j47j;|y2651=83:1>7<:3cg9b=950;296?42;j>1j4on;|y2651=83:1>7<:3bc9b=950;296?42;m:1j4l9;|y2651=83:1>7<:3e49b=950;296?42;mi1j4m=;|y2651=83:1>7<:3d09b=950;296?42;l21j4mj;|y2651=83:1>7<:3dg9b=950;296?42;o>1j4jn;|y2651=83:1>7<:3gc9b=950;296?42<9:1j4k9;|y2651=83:1>7<:4149b=950;296?42<9i1j4h=;|y2651=83:1>7<:4009b<`?3tq:>=950;296?42<821j4hj;|y2651=83:1>7<:40g9bd633tq:>=950;296?42<;>1jl>n;|y2651=83:1>7<:43c9bd773tq:>=950;296?42<::1jl?9;|y2651=83:1>7<:4249bd7d3tq:>=950;296?42<:i1jl<=;|y2651=83:1>7<:4509bd4?3tq:>=950;296?42<=21jl7<:45g9bd533tq:>=950;296?42<<>1jl=n;|y2651=83:1>7<:44c9bd273tq:>=950;296?427<:4749bd2d3tq:>=950;296?427<:4609bd3?3tq:>=950;296?42<>21jl;j;|y2651=83:1>7<:46g9bd033tq:>=950;296?42<1>1jl8n;|y2651=83:1>7<:49a9bd153tq:>=950;296?42<0>1jl9n;|y2651=83:1>7<:48a9bd>53tq:>=950;296?421jl6n;|y2651=83:1>7<:4`a9bd?53tq:>=950;296?427<:4cc9bdg73tq:>=950;296?427<:4bc9bdd73tq:>=950;296?427<:4ec9bde73tq:>=950;296?427<:4d49bded3tq:>=950;296?427<:4g09bdb?3tq:>=950;296?427<:4gd9bdc23tq:>=950;296?42=9=1jlkk;|y2651=83:1>7<:51a9bd`53tq:>=950;296?42=8>1jlhn;|y2651=83:1>7<:50;9bd`a3tq:>=950;296?42=;;1jo>8;|y2651=83:1>7<:5349bg6d3tq:>=950;296?42=;o1jo?;;|y2651=83:1>7<:5219bg7>3tq:>=950;296?42=:h1jo<>;|y2651=83:1>7<:5529bg413tq:>=950;296?42==21jo7<:55f9bg543tq:>=950;296?42=7<:54c9bg273tq:>=950;296?42=?81jo:7;|y2651=83:1>7<:5759bg2c3tq:>=950;296?42=?l1jo;:;|y2651=83:1>7<:5669bg3f3tq:>=950;296?42=>i1jo8=;|y2651=83:1>7<:5939bg003tq:>=950;296?42=131jo8i;|y2651=83:1>7<:59g9bg133tq:>=950;296?42=0<1jo9l;|y2651=83:1>7<:58g9bg>33tq:>=950;296?42=h81jo67;|y2651=83:1>7<:5`c9bg?73tq:>=950;296?42=k81jo77;|y2651=83:1>7<:5cc9bgg73tq:>=950;296?42=j:1joo9;|y2651=83:1>7<:5b49bggd3tq:>=950;296?42=jo1jol;;|y2651=83:1>7<:5e49bgdd3tq:>=950;296?42=mo1jom;;|y2651=83:1>7<:5d49bged3tq:>=950;296?42=lo1joj;;|y2651=83:1>7<:5g49bgbd3tq:>=950;296?42=oo1jok;;|y2651=83:1>7<:6149bgcd3tq:>=950;296?42>9n1joh<;|y2651=83:1>7<:6079bg`e3tq:>=950;296?42>8k1jn>?;|y2651=83:1>7<:6329bf613tq:>=950;296?42>;?1jn>m;|y2651=83:1>7<:63f9bf743tq:>=950;296?42>:?1jn?m;|y2651=83:1>7<:62c9bf473tq:>=950;296?42>=81jn<7;|y2651=83:1>7<:65c9bf573tq:>=950;296?42>=l1jn=:;|y2651=83:1>7<:6459bf5c3tq:>=950;296?42>7<:6719bf2>3tq:>=950;296?42>?h1jn;>;|y2651=83:1>7<:6629bf313tq:>=950;296?42>>=1jn;k;|y2651=83:1>7<:66d9bf023tq:>=950;296?42>1>1jn8n;|y2651=83:1>7<:69`9bf163tq:>=950;296?42>091jn96;|y2651=83:1>7<:68:9bf1b3tq:>=950;296?42>0l1jn6:;|y2651=83:1>7<:6`59bf>c3tq:>=950;296?42>hi1jn7=;|y2651=83:1>7<:6c19bf?>3tq:>=950;296?42>kh1jno>;|y2651=83:1>7<:6b29bfg13tq:>=950;296?42>j=1jnok;|y2651=83:1>7<:6bd9bfd23tq:>=950;296?42>m>1jnln;|y2651=83:1>7<:6ea9bfe53tq:>=950;296?42>l>1jnmn;|y2651=83:1>7<:6d;9bfea3tq:>=950;296?42>o;1jnj8;|y2651=83:1>7<:6g;9bfba3tq:>=950;296?42>oo1jnk;;|y2651=83:1>7<:7149bfcd3tq:>=950;296?42?9o1jnh;;|y2651=83:1>7<:7019bf`>3tq:>=950;296?42?8h1ji>>;|y2651=83:1>7<:7319ba6>3tq:>=950;296?42?;k1ji??;|y2651=83:1>7<:7239ba703tq:>=950;296?42?:21ji?j;|y2651=83:1>7<:72g9ba433tq:>=950;296?42?=<1ji7<:75`9ba563tq:>=950;296?42?<91ji=6;|y2651=83:1>7<:74`9ba263tq:>=950;296?42??91ji:6;|y2651=83:1>7<:77`9ba363tq:>=950;296?42?>91ji;6;|y2651=83:1>7<:76`9ba063tq:>=950;296?42?191ji86;|y2651=83:1>7<:79`9ba163tq:>=950;296?42?091ji96;|y2651=83:1>7<:78`9ba>63tq:>=950;296?42?h91ji66;|y2651=83:1>7<:7``9ba?63tq:>=950;296?42?k91ji76;|y2651=83:1>7<:7c`9bag63tq:>=950;296?42?j91jio6;|y2651=83:1>7<:7b`9bad63tq:>=950;296?42?m91jil6;|y2651=83:1>7<:7e`9bae63tq:>=950;296?42?l91jim6;|y2651=83:1>7<:7d`9bab63tq:>=950;296?42?o91jij6;|y2651=83:1>7<:7g`9bac63tq:>=950;296?420991jik6;|y2651=83:1>7<:81`9ba`63tq:>=950;296?420891jih6;|y2651=83:1>7<:80`9b`663tq:>=950;296?420;91jh>6;|y2651=83:1>7<:83`9b`763tq:>=950;296?420:91jh?6;|y2651=83:1>7<:82`9b`463tq:>=950;296?420=91jh<6;|y2651=83:1>7<:85`9b`563tq:>=950;296?420<91jh=6;|y2651=83:1>7<:84`9b`263tq:>=950;296?420?91jh:6;|y2651=83:1>7<:87`9b`363tq:>=950;296?420>91jh;6;|y2651=83:1>7<:86`9b`063tq:>=950;296?420191jh86;|y2651=83:1>7<:89`9b`163tq:>=950;296?420091jh96;|y2651=83:1>7<:88`9b`>63tq:>=950;296?420h91jh66;|y2651=83:1>7<:8``9b`?63tq:>=950;296?420k91jh76;|y2651=83:1>7<:8c`9b`g63tq:>=950;296?420j91jho6;|y2651=83:1>7<:8b`9b`d63tq:>=950;296?420m91jhl6;|y2651=83:1>7<:8e`9b`e63tq:>=950;296?420l91jhm6;|y2651=83:1>7<:8d`9b`b63tq:>=950;296?420o91jhj6;|y2651=83:1>7<:8g`9b`c63tq:>=950;296?421991jhk6;|y2651=83:1>7<:91`9b``63tq:>=950;296?421891jhh6;|y2651=83:1>7<:90`9bc663tq:>=950;296?421;91jk>6;|y2651=83:1>7<:93`9bc763tq:>=950;296?421:91jk?6;|y2651=83:1>7<:92`9bc463tq:>=950;296?421=91jk<6;|y2651=83:1>7<:95`9bc563tq:>=950;296?421<91jk=6;|y2651=83:1>7<:94:9bc5b3tq:>=950;296?4217<:9769bc2f3tq:>=950;296?421?31jk:i;|y2651=83:1>7<:9639bc303tq:>=950;296?421>31jk;i;|y2651=83:1>7<:9929bc013tq:>=950;296?4211=1jk8k;|y2651=83:1>7<:99g9bc133tq:>=950;296?4210?1jk9m;|y2651=83:1>7<:98a9bc>53tq:>=950;296?421h91jk66;|y2651=83:1>7<:9`c9bc?73tq:>=950;296?421k;1jk78;|y2651=83:1>7<:9c:9bc?b3tq:>=950;296?421kl1jko:;|y2651=83:1>7<:9b49bcgd3tq:>=950;296?421jn1jkl<;|y2651=83:1>7<:9e69bcdf3tq:>=950;296?421mh1jkm>;|y2651=83:1>7<:9d09bce?3tq:>=950;296?421l31jkmi;|y2651=83:1>7<:9g29bcb13tq:>=950;296?421o=1jkjk;|y2651=83:1>7<:9gg9bcc13tq:>=950;296?42i9?1jkki;|y2651=83:1>7<:a1a9bc`?3tq:>=950;296?42i891==>?1:x5760290;6?4=5`3b>4678h1vw<0;6=4=:37b6=<689;h7pu>21594?6=:38>m?h511211>{|9;:<6=4?:3811d5128:;>h5r{0033?6=8381>8o73f<=0:<==j;|y2651=83:1>7<:a5`95563=2wp=?>8:183>7<5=h?96<>?4b9~447?3:1<7<524c6=?778<90qv?=0683>5<52;?j:=4>017b?x}6:9=1<7>52;06e31=99:==6st1324>5<72;099l8j:0232==zs88;;7>50;0960g0=3;;<;h4}z3142<729096?;n7b8245113tq:>=950;296?42i191==>8d:x5760290;6?4=5`:b>4670=1vw<7??09a8y~758>0;6=4=:37b=2<6893:7pu>21594?6=:38>m4h5112:=>{|9;:<6=4?:3811dg328:;5h5r{0033?6=8381>8on9;334d573fil0:<=o7;|y2651=83:1>7<:ac19556fl2wp=?>8:183>7<5=hhj6<>?b79~447?3:1<7<524c`5?778kl0qv?=0683>5<52;?jo54>01a;?x}6:9=1<7>52;06ef`=99:o=6st1324>5<72;099lj9:023`d=zs88;;7>50;0960gcl3;;=950;296?42ilh1==>i5:x5760290;6?4=5`d1>467nl1vw<0;6=4=:37a45<688;;7pu>21594?6=:38>n=951132=>{|9;:<6=4?:3811g6b28::>?5r{0033?6=8381>8l>5;3357d73e9j0:<<=;;|y2651=83:1>7<:b3195574l2wp=?>8:183>7<5=k8j6<>>479~447?3:1<7<524`05?779=l0qv?=0683>5<52;?i?54>007;?x}6:9=1<7>52;06f6`=99;==6st1324>5<72;099o:9:0222d=zs88;;7>50;0960d3l3;;=:=4}z3142<729096?;m5582441d3tq:>=950;296?42j4660l1vw<0;6=4=:37a35<688k;7pu>21594?6=:38>n:95113b=>{|9;:<6=4?:3811g1b28::n?5r{0033?6=8381>8l75;335gd73e0j0:<7<:b819557dl2wp=?>8:183>7<5=k3j6<>>d79~447?3:1<7<524`b5?779ml0qv?=0683>5<52;?im54>00g;?x}6:9=1<7>52;06fd`=99;m=6st1324>5<72;099ol9:022bd=zs88;;7>50;0960del3;;>==4}z3142<729096?;mc582476d3tq:>=950;296?42jjh1==<>5:x5760290;6?4=5cf1>4659l1vw<0;6=4=:37aa5<68;9;7pu>21594?6=:38>nh951100=>{|9;:<6=4?:3811gcb28:98?5r{0033?6=8381>8li5;3361d73enj0:7<:c1195542l2wp=?>8:183>7<5=j:j6<>=679~447?3:1<7<524a25?77:?l0qv?=0683>5<52;?h=54>035;?x}6:9=1<7>52;06g4`=9983=6st1324>5<72;099n<9:02150;0960e5l3;;>4=4}z3142<729096?;l358247?d3tq:>=950;296?42k:h1==465il1vw<0;6=4=:37`15<68;i;7pu>21594?6=:38>o895110`=>{|9;:<6=4?:3811f3b28:9h?5r{0033?6=8381>8m95;336ad73d>j0:7<:c619554bj2wp=?>8:183>7<5=j=j6<>=f39~447?3:1<7<524a;5?77:o30qv?=0683>5<52;?h454>0223?x}6:9=1<7>52;06g=`=999;;6st1324>5<72;099n79:0204`=zs88;;7>50;0960e>l3;;?<;4}z3142<729096?;la582467d3tq:>=950;296?42khh1====3:x5760290;6?4=5b`1>464:h1vw<0;6=4=:37`g5<68:937pu>21594?6=:38>on951110b>{|9;:<6=4?:3811feb28:88;5r{0033?6=8381>8mk5;3371b73dlj0:<>;;;|y2651=83:1>7<:cd195552j2wp=?>8:183>7<5=joj6<><639~447?3:1<7<524ae5?77;?30qv?=0683>5<52;?hj54>0253?x}6:9=1<7>52;06gc`=999<;6st1324>5<72;099i>9:0203`=zs88;;7>50;0960b7l3;;?5;4}z3142<729096?;k158246>d3tq:>=950;296?42l8h1===63:x5760290;6?4=5e01>4641h1vw<0;6=4=:37g75<68:k37pu>21594?6=:38>h>95111bb>{|9;:<6=4?:3811a5b28:8n;5r{0033?6=8381>8j;5;337gb73cm;;|y2651=83:1>7<:d419555dl2wp=?>8:183>7<5=m?j6<>5<52;?o:54>02g1?x}6:9=1<7>52;06`3`=999n56st1324>5<72;099i99:020b5=zs88;;7>50;0960b0i3;;?k:4}z3142<729096?;k7g8246`>3tq:>=950;296?42l1<1==:?0:x5760290;6?4=5e:a>4638<1vw<7??41a8y~758>0;6=4=:37g=d<68=;?7pu>21594?6=:38>hl?51162f>{|9;:<6=4?:3811ag028:?><5r{0033?6=8381>8jnd;3307173cj:0:<97<:dc`95524=2wp=?>8:183>7<5=mi86<>;3e9~447?3:1<7<524f`=?77<=90qv?=0683>5<52;?ook4>056:?x}6:9=1<7>52;06`a3=99>?j6st1324>5<72;099ijm:02710=zs88;;7>50;0960bb;3;;88j4}z3142<729096?;kec8241023tq:>=950;296?42lo;1==:9b:x5760290;6?4=5ed:>463?:1vw<0;6=4=:37f4<<68=287pu>21594?6=:38>i{|9;:<6=4?:3811`7>28:?5>5r{0033?6=8381>8k=1;33073b:00:<9o<;|y2651=83:1>7<:e239552fj2wp=?>8:183>7<5=l926<>;b29~447?3:1<7<524g75?775<52;?n844>05a0?x}6:9=1<7>52;06a06=99>hm6st1324>5<72;099h;7:027`7=zs88;;7>50;0960c2n3;;8i74}z3142<729096?;j658241bb3tq:>=950;296?42m?31==:j3:x5760290;6?4=5d4f>463m11vw<0;6=4=:37f3=<68=l97pu>21594?6=:38>i:j5116e3>{|9;:<6=4?:3811`>528:?jn5r{0033?6=8381>8k77;3315773b0j0:<8>9;|y2651=83:1>7<:e8395537j2wp=?>8:183>7<5=l3=6<>:119~447?3:1<7<524g:f?77=8?0qv?=0683>5<52;?nm=4>043b?x}6:9=1<7>52;06ad3=99?:j6st1324>5<72;099hon:02661=zs88;;7>50;0960cfn3;;9?74}z3142<729096?;jb582404b3tq:>=950;296?42mk31==;<3:x5760290;6?4=5d`f>462;11vw<0;6=4=:37fg=<68<>97pu>21594?6=:38>inj511773>{|9;:<6=4?:3811`b528:>8n5r{0033?6=8381>8kk9;3310573bll0:<8;7;|y2651=83:1>7<:ed195532l2wp=?>8:183>7<5=lo36<>:639~447?3:1<7<524gf`?77=?=0qv?=0683>5<52;?nj?4>044`?x}6:9=1<7>52;06ac1=99?<=6st1324>5<72;099hhl:02633=zs88;;7>50;0960`793;;9:l4}z3142<729096?;i078240>73tq:>=950;296?42n9h1==;75:x5760290;6?4=5g33>4620h1vw<0;6=4=:37e5d<68<3?7pu>21594?6=:38>j{|9;:<6=4?:3811c4328:>5h5r{0033?6=8381>8h=9;331d573a:l0:<8o7;|y2651=83:1>7<:f219553fl2wp=?>8:183>7<5=o936<>:b39~447?3:1<7<524d0`?77=k=0qv?=0683>5<52;?m8?4>04``?x}6:9=1<7>52;06b11=99?h=6st1324>5<72;099k:l:026g3=zs88;;7>50;0960`2<3;;9nk4}z3142<729096?;i5c8240b03tq:>=950;296?42n?81==;j0:x5760290;6?4=5g44>462m<1vw<0;6=4=:37e34<6821594?6=:38>j:85117e0>{|9;:<6=4?:3811c1e28:>j45r{0033?6=8381>8h70;331cc73a0<0:<;><;|y2651=83:1>7<:f9c9550702wp=?>8:183>7<5=o2m6<>90e9~447?3:1<7<524d:0?77>880qv?=0683>5<52;?m544>0734?x}6:9=1<7>52;06b5<72;099ko<:02564=zs88;;7>50;0960`f03;;:?84}z3142<729096?;iae82434e3tq:>=950;296?42nk81==8<0:x5760290;6?4=5g`4>461;<1vw<0;6=4=:37eg4<68?9m7pu>21594?6=:38>jn8511470>{|9;:<6=4?:3811cee28:=845r{0033?6=8381>8hk0;3321c73al<0:<;;<;|y2651=83:1>7<:fec9550202wp=?>8:183>7<5=onm6<>95e9~447?3:1<7<524df0?77>?80qv?=0683>5<52;?mi44>0744?x}6:9=1<7>52;06b`c=99<=o6st1324>5<72;099kh<:02534=zs88;;7>50;0960`a03;;::84}z3142<729096?;ife82431e3tq:>=950;296?418981==870:x5760290;6?4=6124>4610<1vw<0;6=4=:34354<68?2m7pu>21594?6=:38=<<85114:0>{|9;:<6=4?:381257c28:=5o5r{0033?6=8381>;>=2;332d6707:>0:<;o:;|y2651=83:1>7<903a9550fi2wp=?>8:183>7<5>99:6<>9ag9~447?3:1<7<527202?77>k>0qv?=0683>5<52;<;?o4>07`:?x}6:9=1<7>52;05416=995<72;09:=:::025g6=zs88;;7>50;096363i3;;:n64}z3142<729096?8?4g8243ec3tq:>=950;296?418<>1==8k2:x5760290;6?4=617:>461l>1vw<i7??6ea8y~758>0;6=4=:34326<68?o:7pu>21594?6=:38=<;65114f2>{|9;:<6=4?:381250c28:=io5r{0033?6=8381>;>82;332c6707?>0:<;h:;|y2651=83:1>7<906a9550ai2wp=?>8:183>7<5>92:6<>9fg9~447?3:1<7<5272;2?77?9>0qv?=0683>5<52;<;4o4>062:?x}6:9=1<7>52;054<6=99=;i6st1324>5<72;09:=7::02456=zs88;;7>50;09636>i3;;;<64}z3142<729096?8?9g82427c3tq:>=950;296?418h>1==9=2:x5760290;6?4=61c:>460:>1vw<0;6=4=:343f6<68>9:7pu>21594?6=:38={|9;:<6=4?:38125dc28:;>l5;33315707km0:<::m;|y2651=83:1>7<90e795512;2wp=?>8:183>7<5>9nj6<>8599~447?3:1<7<5272f5?77??;0qv?=0683>5<52;<;i54>064;?x}6:9=1<7>52;054``=99=<=6st1324>5<72;09:=h9:0243==zs88;;7>50;09636aj3;;;:j4}z3142<729096?8>018242>53tq:>=950;296?4199?1==977:x5760290;6?4=602b>4600j1vw<0;6=4=:34251<68>3=7pu>21594?6=:38==<75115:f>{|9;:<6=4?:381244728:;?=7;333db706:l0:<:l9;|y2651=83:1>7<91279551en2wp=?>8:183>7<5>89h6<>8c99~447?3:1<7<527377?77?m;0qv?=0683>5<52;<:8l4>06fb?x}6:9=1<7>52;05507=99=n?6st1324>5<72;09:<;7:024af=zs88;;7>50;096372n3;;;k;4}z3142<729096?8>678242`b3tq:>=950;296?419?n1==6?7:x5760290;6?4=6051>46?8j1vw<0;6=4=:342<5<681;n7pu>21594?6=:38==59511:11>{|9;:<6=4?:38124>b28:3>n5r{0033?6=8381>;?65;33<657061m0:<5=m;|y2651=83:1>7<91`7955>3;2wp=?>8:183>7<5>8kj6<>7499~447?3:1<7<5273a5?770=l0qv?=0683>5<52;<:n54>097;?x}6:9=1<7>52;055g`=992>j6st1324>5<72;09:50;09637dl3;;4:?4}z3142<729096?8>d5824=1f3tq:>=950;296?419mh1==671:x5760290;6?4=60ff>46?0=1vw<0;6=4=:342a1<6812j7pu>21594?6=:38==h9511:;`>{|9;:<6=4?:38124cf28:35=5r{0033?6=8381>;?jd;33<<5706n90:<579;|y2651=83:1>7<91g1955>>12wp=?>8:183>7<5>8l=6<>79b9~447?3:1<7<5273e=?7700l0qv?=0683>5<52;<:jn4>09c1?x}6:9=1<7>52;055c`=992j96st1324>5<72;09:?>=:02;e==zs88;;7>50;096347=3;;4ll4}z3142<729096?8=09824=gb3tq:>=950;296?41:9h1==6m1:x5760290;6?4=632f>46?j=1vw<0;6=4=:34151<681hj7pu>21594?6=:38=><9511:a`>{|9;:<6=4?:381277f28:3o=5r{0033?6=8381>;<>d;33705:90:<5m9;|y2651=83:1>7<9231955>d12wp=?>8:183>7<5>;8=6<>7cb9~447?3:1<7<52701=?770jl0qv?=0683>5<52;<9>n4>09f1?x}6:9=1<7>52;0567`=992o96st1324>5<72;09:?==:02;`==zs88;;7>50;096344=3;;4il4}z3142<729096?8=39824=bb3tq:>=950;296?41::h1==6j1:x5760290;6?4=631f>46?m=1vw<0;6=4=:34101<681oj7pu>21594?6=:38=>99511:f`>{|9;:<6=4?:381272f28:3j=5r{0033?6=8381>;<;d;33705=90:<5h9;|y2651=83:1>7<9241955>a12wp=?>8:183>7<5>;?=6<>7fb9~447?3:1<7<52706=?770ol0qv?=0683>5<52;<99n4>0821?x}6:9=1<7>52;0560`=993;96st1324>5<72;09:?8=:02:4==zs88;;7>50;096341=3;;5=l4}z3142<729096?8=69824<6b3tq:>=950;296?41:?h1==7>1:x5760290;6?4=634f>46>9=1vw<0;6=4=:34131<680;j7pu>21594?6=:38=>:9511;2`>{|9;:<6=4?:381271f28:2>=5r{0033?6=8381>;<8d;33=75705090:<4<9;|y2651=83:1>7<9291955?512wp=?>8:183>7<5>;2=6<>62b9~447?3:1<7<5270;=?771;l0qv?=0683>5<52;<94n4>0811?x}6:9=1<7>52;056=`=993896st1324>5<72;09:?7=:02:7==zs88;;7>50;09634>=3;;5>l4}z3142<729096?8=99824<5b3tq:>=950;296?41:0h1==7;1:x5760290;6?4=63;f>46><=1vw<0;6=4=:341e1<680>j7pu>21594?6=:38=>l9511;7`>{|9;:<6=4?:38127gf28:29=5r{0033?6=8381>;705j90:<4;9;|y2651=83:1>7<92c1955?212wp=?>8:183>7<5>;h=6<>65b9~447?3:1<7<5270a=?7715<52;<9nn4>0841?x}6:9=1<7>52;056g`=993=96st1324>5<72;09:?m=:02:2==zs88;;7>50;09634d=3;;5;l4}z3142<729096?8=c9824<0b3tq:>=950;296?41:jh1==781:x5760290;6?4=63af>46>?=1vw<0;6=4=:341`1<680=j7pu>21594?6=:38=>i9511;4`>{|9;:<6=4?:38127bf28:24=5r{0033?6=8381>;705m90:<469;|y2651=83:1>7<92d1955??12wp=?>8:183>7<5>;o=6<>68b9~447?3:1<7<5270f=?7711l0qv?=0683>5<52;<9in4>08;1?x}6:9=1<7>52;056``=993296st1324>5<72;09:?h=:02:===zs88;;7>50;09634a=3;;54l4}z3142<729096?8=f9824=950;296?41:oh1==7n1:x5760290;6?4=63df>46>i=1vw<0;6=4=:34041<680kj7pu>21594?6=:38=?=9511;b`>{|9;:<6=4?:381266f28:2n=5r{0033?6=8381>;=?d;33=g0704990:<4l6;|y2651=83:1>7<9301955?ek2wp=?>8:183>7<5>:;=6<>6bg9~447?3:1<7<52712=?771j80qv?=0683>5<52;<8=n4>08a6?x}6:9=1<7>52;0574`=993h46st1324>5<72;09:><;:02:ga=zs88;;7>50;096355k3;;5i;4}z3142<729096?8<30824=950;296?41;:31==7j2:x5760290;6?4=6262>46>mh1vw<0;6=4=:3400c<680lh7pu>21594?6=:38=?88511c31>{|9;:<6=4?:381263c28:j;=94;33e41704>k0:7<9360955g512wp=?>8:183>7<5>:=26<>n339~447?3:1<7<5271;4?77i:h0qv?=0683>5<52;<84:4>0`67?x}6:9=1<7>52;057=c=99k?h6st1324>5<72;09:>7::02b13=zs88;;7>50;09635>k3;;m8h4}z3142<729096?8=950;296?41;hk1==o81:x5760290;6?4=62`2>46f?h1vw<0;6=4=:340ff<68h2<7pu>21594?6=:38=?n>511c;f>{|9;:<6=4?:38126e328:j595r{0033?6=8381>;=l7;33e<1704kk0:7<93e0955gf02wp=?>8:183>7<5>:n26<>nb79~447?3:1<7<5271gg?77ik30qv?=0683>5<52;<8i=4>0`a2?x}6:9=1<7>52;057`1=99khm6st1324>5<72;09:>kj:02b`==zs88;;7>50;09635a93;;mil4}z3142<729096?8=950;296?41;oi1==ojc:x5760290;6?4=6520>46fnh1vw<;:7??agf8y~758>0;6=4=:3474d<68k:>7pu>21594?6=:38=8{|9;:<6=4?:381217?28:i=n5r{0033?6=8381>;:>b;33f4`7039o0:7<9434955d482wp=?>8:183>7<5>=8o6<>m3d9~447?3:1<7<527604?77j=;0qv?=0683>5<52;0c6:?x}6:9=1<7>52;0506d=99h>>6st1324>5<72;09:9:=:02a25=zs88;;7>50;096323=3;;n;=4}z3142<729096?8;48824g0e3tq:>=950;296?41<<:1==l84:x5760290;6?4=6574>46e0;1vw<>m7??b978y~758>0;6=4=:3471`<68k2o7pu>21594?6=:38=8;;511`:2>{|9;:<6=4?:381210d28:im95r{0033?6=8381>;:9f;33fd1703?:0:7<946c955de02wp=?>8:183>7<5>=2:6<>mc79~447?3:1<7<5276;0?77jj30qv?=0683>5<52;0cf2?x}6:9=1<7>52;050=`=99hom6st1324>5<72;09:979:02aa==zs88;;7>50;09632>13;;nhl4}z3142<729096?8;9e824g`43tq:>=950;296?411==lic:x5760290;6?4=65ca>46d8h1vw<ji7??c1f8y~758>0;6=4=:347f7<68j;>7pu>21594?6=:38=8o7511a2a>{|9;:<6=4?:38121e728:h>n5r{0033?6=8381>;:l3;33g7`703k>0:7<94bg955e382wp=?>8:183>7<5>=n>6<>l4d9~447?3:1<7<5276g5<52;0b7:?x}6:9=1<7>52;050`5=99i=>6st1324>5<72;09:9kn:02`35=zs88;;7>50;09632bl3;;o:=4}z3142<729096?8;f0824f1e3tq:>=950;296?4146d1;1vw<7??c878y~758>0;6=4=:34643<68j3o7pu>21594?6=:38=9=j511ab2>{|9;:<6=4?:381207328:hn95r{0033?6=8381>;;>7;33gg17029k0:7<9530955ed02wp=?>8:183>7<5><826<>ld79~447?3:1<7<52771g?77km30qv?=0683>5<52;<>?=4>0bg2?x}6:9=1<7>52;05161=99inm6st1324>5<72;09:8=j:02`b==zs88;;7>50;09633393;;okl4}z3142<729096?8:44824a643tq:>=950;296?41==i1==j?c:x5760290;6?4=6470>46c9h1vw<:7??d0f8y~758>0;6=4=:3461d<68m8>7pu>21594?6=:38=9;?511f1a>{|9;:<6=4?:381200?28:o?n5r{0033?6=8381>;;9b;33`6`702>o0:7<9564955b282wp=?>8:183>7<5><=o6<>k5d9~447?3:1<7<5277;4?77l?;0qv?=0683>5<52;<>494>0e4:?x}6:9=1<7>52;051=d=99n<>6st1324>5<72;09:87=:02g<5=zs88;;7>50;09633>=3;;h5=4}z3142<729096?8:98824a>e3tq:>=950;296?41=h:1==j64:x5760290;6?4=64c4>46ci;1vw<0;6=4=:346e`<68mko7pu>21594?6=:38=9o;511fa2>{|9;:<6=4?:38120dd28:oo95r{0033?6=8381>;;mf;33`f1702k:0:7<95bc955bc02wp=?>8:183>7<5>ke79~447?3:1<7<5277g0?77ll30qv?=0683>5<52;<>h54>0ed2?x}6:9=1<7>52;051a`=99nmm6st1324>5<72;09:8k9:02f4==zs88;;7>50;09633b13;;i=l4}z3142<729096?8:ee824`743tq:>=950;296?41=o>1==k>c:x5760290;6?4=64da>46b:h1vw<0;6=4=:34547<68l9>7pu>21594?6=:38=:=7511g0a>{|9;:<6=4?:381237728:n8n5r{0033?6=8381>;8>3;33a1`7019>0:7<960g955c182wp=?>8:183>7<5>?8>6<>j6d9~447?3:1<7<52741;0qv?=0683>5<52;<=>n4>0d5:?x}6:9=1<7>52;05265=99o3>6st1324>5<72;09:;=n:02f=5=zs88;;7>50;096304l3;;i4=4}z3142<729096?8940824`?e3tq:>=950;296?41>=21==kn4:x5760290;6?4=676e>46bj;1vw<>7??ec78y~758>0;6=4=:34513<68lho7pu>21594?6=:38=:8j511g`2>{|9;:<6=4?:381230328:nh95r{0033?6=8381>;897;33aa1701>k0:7<9660955cb02wp=?>8:183>7<5>?=26<>jf79~447?3:1<7<52744g?77mo30qv?=0683>5<52;<=4=4>0g22?x}6:9=1<7>52;052=1=99l;m6st1324>5<72;09:;6j:02e5==zs88;;7>50;09630>93;;j=950;296?41>0i1==h=c:x5760290;6?4=67c0>46a;h1vw<0;6=4=:345ed<68o>>7pu>21594?6=:38=:o?511d7a>{|9;:<6=4?:38123d?28:m9n5r{0033?6=8381>;8mb;33b0`701jo0:7<96b4955`082wp=?>8:183>7<5>?io6<>i7d9~447?3:1<7<5274g4?77n1;0qv?=0683>5<52;<=h94>0g::?x}6:9=1<7>52;052ad=99l2>6st1324>5<72;09:;k=:02ee5=zs88;;7>50;09630b=3;;jl=4}z3142<729096?89e8824cge3tq:>=950;296?41>o:1==hm4:x5760290;6?4=67d4>46ak;1vw<0;6=4=:345b`<68oio7pu>21594?6=:38=;=;511dg2>{|9;:<6=4?:381226d28:mi95r{0033?6=8381>;9?f;33b`17009:0:7<970c955`a02wp=?>8:183>7<5>>8:65<52;<<>54>1132?x}6:9=1<7>52;0537`=98::m6st1324>5<72;09::=9:0336==zs88;;7>50;09631413;:=950;296?41?=>1=<>47705f8y~758>0;6=4=:34417<699?>7pu>21594?6=:38=;8751026a>{|9;:<6=4?:381220728;;:n5r{0033?6=8381>;993;3243`700>>0:==98;|y2651=83:1>7<977g9546?82wp=?>8:183>7<5>>=>65<52;<<;n4>11;:?x}6:9=1<7>52;053=5=98:j>6st1324>5<72;09::6n:033f5=zs88;;7>50;09631?l3;:=950;296?41?021=<>l4:x5760290;6?4=66;e>477l;1vw<7?>0e78y~758>0;6=4=:344e3<699no7pu>21594?6=:38=;lj5102f2>{|9;:<6=4?:38122d328;;ik5r{0033?6=8381>;681;325de70>:l0:=?86;|y2651=83:1>7<99d`95455>2wp=?>8:183>7<5>hk365<52;?4>147g?x}6:9=1<7>52;05ga`=98<:m6st1324>5<72;09:i7l:035`2=zs88;;7>50;0963c213;:;4:4}z3142<729096?8i17825=363tq:>=950;296?41n1?1=<6l0:x5760290;6?4=7161>47>?m1vw<:?m7?>9978y~758>0;6=4=:35317<6902o7pu>21594?6=:38<<8o510;:1>{|9;:<6=4?:381350528;25i5r{0033?6=8381>:>9c;32=d1717?:0:=4oj;|y2651=83:1>7<806f954?e02wp=?>8:183>7<5?92<65<52;=;554>18f0?x}6:9=1<7>52;044<`=983om6st1324>5<72;09;=o6:03:a1=zs88;;7>50;09626e83;:5hl4}z3142<729096?9?b`825<`23tq:>=950;296?408j;1=<7ic:x5760290;6?4=71aa>47f8?1vw<:o>7?>a1f8y~758>0;6=4=:353`f<69h;<7pu>21594?6=:38<{|9;:<6=4?:38135cc28;j>55r{0033?6=8381>:>i4;32e7`717nl0:=l=6;|y2651=83:1>7<8117954g382wp=?>8:183>7<5?8:m65<52;=:>=4>1`7a?x}6:9=1<7>52;04571=98k=>6st1324>5<72;09;<=>:03b2f=zs88;;7>50;09627403;:m:=4}z3142<729096?9>43825d1c3tq:>=950;296?409=31=47f0l1vw<;>m7?>a878y~758>0;6=4=:35221<69h3m7pu>21594?6=:38<=;l510cb2>{|9;:<6=4?:381341228;jn=5r{0033?6=8381>:?8c;32eg17160?0:=lm>;|y2651=83:1>7<819f954gd02wp=?>8:183>7<5?83<65<52;=:m54>1`g0?x}6:9=1<7>52;045d`=98knm6st1324>5<72;09;50;09627d83;:mkl4}z3142<729096?9>c`825g623tq:>=950;296?409m;1=47e9?1vw<;n>7?>b0f8y~758>0;6=4=:352af<69k8<7pu>21594?6=:38<=k=510`1a>{|9;:<6=4?:38134`c28;i?55r{0033?6=8381>:7158l0:=o:6;|y2651=83:1>7<8207954d282wp=?>8:183>7<5?;;m65<52;=9?=4>1c4a?x}6:9=1<7>52;04661=98h<>6st1324>5<72;09;?:>:03a3f=zs88;;7>50;09624303;:n5=4}z3142<729096?9=53825g>c3tq:>=950;296?40:<31=47e1l1vw<8=m7?>b`78y~758>0;6=4=:35131<69kkm7pu>21594?6=:38<>:l510`a2>{|9;:<6=4?:38137>228;io=5r{0033?6=8381>:<7c;32ff17151?0:=oj>;|y2651=83:1>7<828f954dc02wp=?>8:183>7<5?;k<65<52;=9n54>1cd0?x}6:9=1<7>52;046g`=98hmm6st1324>5<72;09;?m6:03`41=zs88;;7>50;09624c83;:o=l4}z3142<729096?9=d`825f723tq:>=950;296?40:l;1=c:x5760290;6?4=73ga>47d:?1vw<8m>7?>c3f8y~758>0;6=4=:351bf<69j9<7pu>21594?6=:38{|9;:<6=4?:381366c28;h855r{0033?6=8381>:=>4;32g1`7149l0:=n;6;|y2651=83:1>7<8337954e182wp=?>8:183>7<5?:8m6;0qv?=0683>5<52;=88=4>1b5a?x}6:9=1<7>52;04711=98i3>6st1324>5<72;09;>;>:03`50;09625203;:o4=4}z3142<729096?9<63825f?c3tq:>=950;296?40;?31=47dil1vw<9cc78y~758>0;6=4=:350<1<69jhm7pu>21594?6=:38{|9;:<6=4?:38136?228;hh=5r{0033?6=8381>:=6c;32ga1714i?0:=nk>;|y2651=83:1>7<83`f954eb02wp=?>8:183>7<5?:h<65<52;=8o54>1e20?x}6:9=1<7>52;047f`=98n;m6st1324>5<72;09;>j6:03g51=zs88;;7>50;09625b83;:h=950;296?40;o;1=47c;?1vw<>;>7?>d2f8y~758>0;6=4=:3574f<69m><7pu>21594?6=:38<8<=510f7a>{|9;:<6=4?:381317c28;o955r{0033?6=8381>::=4;32`0`713:l0:=i86;|y2651=83:1>7<8427954b082wp=?>8:183>7<5?=9m65<52;=?9=4>1e:a?x}6:9=1<7>52;04001=98n2>6st1324>5<72;09;98>:03g=f=zs88;;7>50;09622103;:hl=4}z3142<729096?9;73825agc3tq:>=950;296?40<>31=47cjl1vw<>3m7?>db78y~758>0;6=4=:357=1<69mim7pu>21594?6=:38<84l510fg2>{|9;:<6=4?:38131g228;oi=5r{0033?6=8381>::nc;32``1713j?0:=ih>;|y2651=83:1>7<84cf954ba02wp=?>8:183>7<5?=i<65<52;=?h54>1d30?x}6:9=1<7>52;040`4=98o:h6st1324>5<72;09;9k6:03f61=zs88;;7>50;09622a;3;:i?k4}z3142<729096?9;f`825`523tq:>=950;296?40=9>1=47b?:97?>e428y~758>0;6=4=:3565f<69l?<7pu>21594?6=:38<9?8510g55>{|9;:<6=4?:381304c28;n:55r{0033?6=8381>:;<7;32a24712;l0:=h96;|y2651=83:1>7<855:954c?;2wp=?>8:183>7<5?<>m60qv?=0683>5<52;=>:=4>1d;a?x}6:9=1<7>52;0413g=98oj96st1324>5<72;09;89>:03fef=zs88;;7>50;096230j3;:io84}z3142<729096?9:83825`dc3tq:>=950;296?40=1i1=47bkl1vw<?2h7?>ee:8y~758>0;6=4=:356e1<69lnm7pu>21594?6=:38<9lk510gf=>{|9;:<6=4?:38130d228;nj=5r{0033?6=8381>:;mf;32acg712k?0:=k>>;|y2651=83:1>7<85e2954`7j2wp=?>8:183>7<5?5<52;=>i54>1g00?x}6:9=1<7>52;041`g=98l996st1324>5<72;09;8kl:03e62=zs88;;7>50;09623bm3;:j?74}z3142<729096?9:f1825c4e3tq:>=950;296?40=o81=47a:o1vw<?m:7?>f238y~758>0;6=4=:356b=<69o987pu>21594?6=:38<9ko510d01>{|9;:<6=4?:38130`d28;m?:5r{0033?6=8381>:;ie;32b6?711890:=k=m;|y2651=83:1>7<8610954`4l2wp=?>8:183>7<5??:?65<52;==<54>1g60?x}6:9=1<7>52;0425g=98l?96st1324>5<72;09;;>l:03e02=zs88;;7>50;096207m3;:j974}z3142<729096?9911825c2e3tq:>=950;296?40>881=47a<::7?>f438y~758>0;6=4=:3555=<69o?87pu>21594?6=:38<:{|9;:<6=4?:381337d28;m9:5r{0033?6=8381>:8>e;32b0?711:90:=k;m;|y2651=83:1>7<8630954`2l2wp=?>8:183>7<5??8?65<52;==>54>1g40?x}6:9=1<7>52;0427g=98l=96st1324>5<72;09;;50;096205m3;:j;74}z3142<729096?9931825c0e3tq:>=950;296?40>:81=47a>o1vw<<8:7?>f638y~758>0;6=4=:3557=<69o=87pu>21594?6=:38<:>o510d41>{|9;:<6=4?:381335d28;m;:5r{0033?6=8381>:8711<90:=k9m;|y2651=83:1>7<8650954`0l2wp=?>8:183>7<5??>?65<52;==854>1g:0?x}6:9=1<7>52;0421g=98l396st1324>5<72;09;;:l:03e<2=zs88;;7>50;096203m3;:j574}z3142<729096?9951825c>e3tq:>=950;296?40><81=47a0o1vw<<>:7?>f838y~758>0;6=4=:3551=<69o387pu>21594?6=:38<:8o510d:1>{|9;:<6=4?:381333d28;m5:5r{0033?6=8381>:8:e;32b711>90:=k7m;|y2651=83:1>7<8670954`>l2wp=?>8:183>7<5??5<52;==:54>1gc0?x}6:9=1<7>52;0423g=98lj96st1324>5<72;09;;8l:03ee2=zs88;;7>50;096201m3;:jl74}z3142<729096?9971825cge3tq:>=950;296?40>>81=47aio1vw<<<:7?>fc38y~758>0;6=4=:3553=<69oh87pu>21594?6=:38<::o510da1>{|9;:<6=4?:381331d28;mn:5r{0033?6=8381>:88e;32bg?711090:=klm;|y2651=83:1>7<8690954`el2wp=?>8:183>7<5??2?65<52;==454>1ga0?x}6:9=1<7>52;042=g=98lh96st1324>5<72;09;;6l:03eg2=zs88;;7>50;09620?m3;:jn74}z3142<729096?9991825cee3tq:>=950;296?40>081=47ako1vw<<2:7?>fe38y~758>0;6=4=:355==<69on87pu>21594?6=:38<:4o510dg1>{|9;:<6=4?:38133?d28;mh:5r{0033?6=8381>:86e;32ba?711i90:=kjm;|y2651=83:1>7<86`0954`cl2wp=?>8:183>7<5??k?65<52;==m548229~447i3:1<7=5264b=?15<3=946st132b>5<72=09;;on:606>24>2>8j7pu>21c94?6=;38<:ll57349371711ij0<>o5r{0033?6=8381>:8ma;05g3=zs88;m7>50;05>711jk09:n9527a;>70d138=ol4=6b`963ed2;m31>;jn:35;b?401909;4?526;1>71>=38<5:4=78:962?>2;=2m7<89c8133o6?96e;04=c<5?h:1>:o>:35b6?40i:09;l:526c6>71f>38m;0qv?=0`83>5<52;==ni4=6e18y~758h0;6=4=:355f`<5>m=0qv?=0`83>5<6;38<:oh5260e>7148389<6?9<8;047<<5?:k1>:=m:350g?40;m09;>k5261e>71382wp=?>n:183>7<5??i;6?9;1:x576f290;6?4=77a2>713:2wp=?>n:183>7<5??i96?9;3:x576f290;6?4=77a0>713<2wp=?>n:183>7<5??i?6?9;5:x576f290;6?4=77a6>713>2wp=?>n:183>7<5??i=6?9;7:x576f290;6?4=77a4>71302wp=?>n:183>7<5??i36?9;9:x576f290;6?4=77a:>713i2wp=?>n:183>7<5??ij6?9;b:x576f290;6?4=77aa>713k2wp=?>n:183>7<5??ih6?9;d:x576f290;6?4=77ag>713m2wp=?>n:183>7<5??in6?9;f:x576f290;6?4=77ae>71282wp=?>n:183>7<5??n;6?9:1:x576f290;6?4=77f2>712:2wp=?>n:183>7<5??n96?9:3:x576f290;6?4=77f0>712<2wp=?>n:183>7<5??n?6?9:5:x576f290;6?4=77f6>712>2wp=?>n:183>7<5??n=6?9:7:x576f290;6?4=77f4>71202wp=?>n:183>7<5??n36?9:9:x576f290;6?4=77f:>712i2wp=?>n:183>7<5??nj6?9:b:x576f290;6?4=77fa>712k2wp=?>n:183>7<5??nh6?9:d:x576f290;6?4=77fg>712m2wp=?>n:183>1<5??nn6?963;04=1<5?0<0qv?=0`83>5<22;==hk4=7e;962bf2;=on7<8db9~447i3:1<7<5264f4?40lm1vw<1vw<1vw<=;=7<8a`9~447i3:1<7<526536?40ik1vw<=;?7<8ab9~447i3:1<7<526530?40im1vw<=;97<8ad9~447i3:1<7<526532?40io1vw<=;;7<8b19~447i3:1<7<52653=;57<8b39~447i3:1<7<52653e?40j:1vw<=;n7<8b59~447i3:1<7<52653g?40j<1vw<=;h7<8b79~447i3:1<7<52653a?40j>1vw<=;j7<8b99~447i3:1<7<526524?40j01vw<=:=7<8b`9~447i3:1<7<526526?40jk1vw<=:?7<8bb9~447i3:1<7<526520?40jm1vw<=:97<8bd9~447i3:1<7<526522?40jo1vw<=:;7<8c19~447i3:1<7<52652=:57<8c39~447i3:1<7<52652e?40k:1vw<=:n7<8c59~447i3:1<7<52652g?40k<1vw<=:h7<8c79~447i3:1<7<52652a?40k>1vw<=:j7<8c99~447i3:1<7<526514?40k01vw<=9=7<8c`9~447i3:1<7<526516?40kk1vw<=9?7<8cb9~447i3:1<7<526510?40km1vw<=997<8cd9~447i3:1<7<526512?40ko1vw<=9;7<8d19~447i3:1<7<52651=957<8d39~447i3:1<7<52651e?40l:1vw<=9n7<8d59~447i3:1<7<52651g?40l<1vw<=9h7<8d79~447i3:1<7<52651a?40l>1vw<=9j7<8d99~447?3:1<7<526;26?43991vw<4=403961752;>:?7<;1581043=:=;=6?:>9;075d<5<8i1>9<<:3610?43l0098io525fa>72ck38?hk4=4d3961c52;>n?7<;e5810`3=:=o=6?:j7;07a=<59kn:36ff?43mj098hj525gf>72bn38?j=4=4g3961`53tq:>=o50;292?4018>1>9?8:362`?439l098?>52502?x}6:9k1<7>52;04=43=:=;i7pu>21c94?6=9:09;4?9:364=?43?h098:l5255`>720l38?;h4=46d961>72;>3=7<;83810=5=:=2?6?:75;07<3<5<1=1>967:36;=?430h1vw<3:;7<;8c9~447i3:1<7<526;23:57<;8e9~447i3:1<7<526;2e?430l1vw<3:n7<;8g9~447i3:1<7<526;2g?43191vw<3:h7<;909~447i3:1<7<526;2a?431;1vw<3:j7<;929~447i3:1<7<526;14?431=1vw<39=7<;949~447i3:1<7<526;16?431?1vw<39?7<;969~447i3:1<7<526;10?43111vw<3997<;989~447i3:1<7<526;12?431h1vw<39;7<;9c9~447i3:1<7<526;13957<;9e9~447i3:1<7<526;1e?431l1vw<39n7<;9g9~447i3:1<7<526;1g?43i91vw<39h7<;a09~447i3:1<7<526;1a?43i;1vw<39j7<;a29~447i3:1<7<526;04?43i=1vw<38=7<;a49~447i3:1<7<526;06?43i?1vw<38?7<;a69~447i3:1<7<526;00?43i11vw<3897<;de810ac=:=o;7pu>21c94?6==38<5>852400>735<38>>84=5348y~758h0;6=4=:35:72<5=;=0qv?=0`83>5<52;=2?54=53:8y~758h0;6=4=:35:7<<5=;30qv?=0`83>5<52;=2?l4=53c8y~758h0;6=4=:35:7g<5=;h0qv?=0`83>5<52;=2?n4=53a8y~758h0;6=4=:35:7a<5=;n0qv?=0`83>5<52;=2?h4=53g8y~758h0;6=4=:35:7c<5=;l0qv?=0`83>5<52;=28=4=5228y~758h0;6=4=:35:04<5=:;0qv?=0`83>5<52;=28?4=5208y~758h0;6=4=:35:06<5=:90qv?=0`83>5<52;=2894=5268y~758h0;6=4=:35:00<5<;?0qv?=0`83>5<52;=28;4=4348y~758h0;6=4=:35:02<5<;=0qv?=0`83>5<52;=2854=43:8y~758h0;6=4=:35:0<<5<;30qv?=0`83>5<52;=28l4=43c8y~758h0;6=4=:35:0g<5<;h0qv?=0`83>5<52;=28n4=43a8y~758h0;6=4=:35:0a<5<;n0qv?=0`83>5<52;=28h4=43g8y~758h0;6=4=:35:0c<5<;l0qv?=0`83>5<52;=29=4=4228y~758h0;6=4=:35:14<5<:;0qv?=0`83>5<52;=29?4=4208y~758h0;6=4=:35:16<5<:90qv?=0`83>5<52;=2994=4268y~758h0;6=4=:35:10<5<:?0qv?=0`83>5<52;=29;4=4248y~758h0;6=4=:35:12<55<52;=2954=4ba8y~758h0;6=4=:35:1<<55<52;=29l4=4bg8y~758h0;6=4=:35:1g<55<52;=29n4=4e28y~758h0;6=4=:35:1a<55<52;=29h4=4e08y~758h0;6=4=:35:1c<55<52;=2:=4=4e68y~758h0;6=4=:35:24<55<52;=2:?4=4e48y~758h0;6=4=:35:26<55<52;=2:94=4e:8y~758>0;6=4=:35a61h997kl0;g`a?cc83oo>7kk6:x576f290;6?4=7c04>`c63tq:>=o50;296?40j;21ih:4}z314d<729096?9m278f`4=zs88;;7>50;0962d1;3om;6st132b>5<72;09;o8;:dd:?x}6:9k1<7>52;04f33=mo20qv?=0683>5<52;=i:o4jf`9~447i3:1<7?n:35a2f<4i238e6684i278e6244i2`8e6gi4i2d8e6ch=j7kif:x576f290;6?4=7c53>c643tq:>=o50;296?40j>;1j<<4}z314d<729096?9m738e5g=zs88;m7>50;0962d1l3l:;6st132b>5<72;09;o8j:dd`?x}6:9=1<7>52;04f`>=98ln?6st1324>5<72:09;ok6:7f92c=zs88;;7>50;`1>71emh0n`64;3o;?:4j02`9a55a2l:??7k?468f41d=m9>m6h>:3;g312>0n<;l5e14e>`60;3o;;:4j06`9a51a2l:3?7k?868f4=d=m92m6h>63;g3=20n`6e;3o;n:4j0c`9a5da2l:h?7k?c68f4fd=m9im6h>k3;g3`20n`6a;3o;j:4j0g`9a5`a2l;;?7k>068f55d=m8:m6h?>3;g2520n=?l5e00e>`74;3o:?:4j12`9a45a2l;??7k>468f51d=m8>m6h?:3;g212>0n=;l5e04e>`70;3o:;:4j16`9a41a2l;3?7k>868f5=d=m82m6h?63;g2=20n=ll5e0ce>`7e;3o:n:4j1c`9a4da2l;h?7k>c68f5fd=m8im6h?k3;g2`20n=hl5e0ge>`7a;3o:j:4j1g`9a4`a2l8;?7k=068f65d=m;:m6h<>3;g1520n>?l5e30e>`44;3o9?:4j22`9a75a2l8??7k=468f61d=m;>m6h<:3;g112>0n>;l5e34e>`40;3o9;:4j26`9a71a2l83?7k=868f6=d=m;2m6h<63;g1=20n>ll5e3ce>`4e;3o9n:4j2c`9a7da2l8h?7k=c68f6fd=m;im6h0:<4l<;|CDU}zHI \ No newline at end of file diff --git a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.prj b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.prj index 4d595427..e36498ed 100644 --- a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.prj +++ b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro.prj @@ -67,3 +67,4 @@ vhdl work "../../../../../contrib/NetSID/src/sid_components.vhd" vhdl work "../../../../../contrib/NetSID/src/sid_voice.vhd" vhdl work "../../../../../contrib/NetSID/src/sid_6581.vhd" vhdl work "../../../../../contrib/simple_sigmadelta.vhd" +vhdl work "../../../../../zpuino_wb_audiomixer.vhd" diff --git a/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro_routed.bin b/zpu/hdl/zpuino/boards/papilio-pro/S6LX9/variants/retrocade/papilio_pro_routed.bin index 751deafae361f868b0c6c9c7321ca255432e04c0..d2af49e2e07154c0d969795f133d0001e32cff6e 100644 GIT binary patch literal 340884 zcmeFaeXJ$Pb=Y^hZ}+h8Xvo*sT1J#(W2>cguwf|hQIuAUa=EX11py2bf+K?i1p;Z# zIPy3GBvMLXW(DkWYx?#x?;`~0UP(5*FckGOL_itQBq8gc5UbsOJ0KKWOjdxv5Jmz} z3Sm*9jPOazCB^k{Z+@q$`+mH4-+U~WAF=1W*Hx!ZovJ!@s=B&PRdwIRViT@EdFkrz zp}fk-n+^x=P32qVO;?8h@0*@YB>&ajKauBlkK~$>+x@-y+F0InExYzZg~$&^a{rtD zWU+X2A?ce-N!}bq@`G2PU*kIDI^y~hkvAXy$N%@6pA|rJ-~B$tkR-ZzS>k{4&o%Uo zL7s`^-&hv+Qg<5o%~w+8-wq|% z!Q0L*_DyF;y<#z0yqc6G$t#xay^QWw1L*$KnkKm*?rzh*V*K{oJ6X*CNvMgN-KIvS zi|>7r=`uyUHs3w{fNkO1V&5%OzY>UBYeDl$WO%DQ{5^YSDUPHLEjWLd`w$e7k#}E) zV>65XY@aelmyyv>c${Xd(L{-WKHV}SB#DM%G-SD685qfFL)+a&)7q9DQwo|=`x?xIP8dtlX=6yLMIqYa+A+j>x4Rq;M`j9fGjM}L4RTQX){?Hf{SYlOPzUKErs`_Lw$u^!My zk8b+Q3?a8ZD!3j^(%Mv|7-K3iiSPSa*93)U2u{Cll2h-g7Q{cPh}3J@Xs0#cW4AeW z8*zgcZ43{&Z*%SqErY9>C9`~5nW z$GE`NH?M}0?g}v{T!smW#m;)Znat?JGrE|OteV-%oJernpcWUvc7C#~Qb&j~i8>T7 zL4Zu_82I9uoi$}l!^KS!$Fr&pRahmsEmo~7+xkWbiqw==+j}5`5l9D4oW>jOy-XFJ z5swTKYlQRnB@A6Hx-@s|t>3iU(zc#hNa6j^T@|Gnym+2`7fuYX+u)BjLZ<^2^$vF< z9#5@2Zw#1~*)*H21cG#DpygSS%MxGK1eednm(L|@VMX=7hjSwQFZnKZ=|ryeIV>rC zk~91+r@A1sGg!_d|BoMd^KKvag)cn6`=x*T`9Bsp9v_dt_IsCquaEg=`d6>6a)01~ z=Xc-uhVM5bR~J|1YY$w0U=?>8%s1F~$NHejqnY-GZ#ftfiZmvn-R}k-dElLI?D@x6 zcNWk7<^TSY$j;*Gc<{l!5B4$NRR4jUbqOT?!Ph*D1cC&Q>^<_bOa6QEs&>an`uO?1 zPwhO=NEenX(ECSK$ows|T(rUWoY5Ffp;(_%X(wUxX3e|cY<80GWcd2=izi91RM=@o z*wVjr%M2fszdF7ZgIkVIx8i!mFD#hUH6gnat`EcPe z975qzd>zbsU^^afCkLuK6{)6(N@PUibarr;!^o)|HwhR@KpCr8Mp3|cmkG0p0_K8; z+j=qF)U8!x6}x1+8-(O!W}@I*O4mUk@h0PtS(1MuuPQxAast-G@b{8h&~FU-(ITif z%s>Wx_RL56@&{T>8=GnFe1Vzq9**mgm+SkiNj&J3?(>N-i2$?^6*52&>{+o=My}Ym zOyIz#I$P7O!8DdUZacs!b118?lv0+9B%?~IVHJDp-Dyj-Tn#hi+Pj(5PW9f_tIGC!2!KuH798wBoD<<*J)-2fUG&ax_PhLboOOfVrQgFMR|wXCyv z;&g$eri+>`)6BK3p|nFI2k5{;^=@4CYbZ^}c&4{rf6rw4K5Pi~7ix;>`o0ac3`4C` z;!AO4pj@tVK?8)LTQX(4E0|VMGR>y(JZ`B>6<0p>mK$q2tp?3u6GcX0s!i`KEqe3|t9A%@yzDwCZQy`UCQY&uN zxm!rxfo347YiMGWO=d%Jjyid9RIA`LLgtM_OUE;WGdCCGK%m!7hY_{^W3Ib{K_f|p z?Q5;~O`;D3lsbzeV;Sl!*%^r-X(woK1$-u!`?5+Z9heP`$Abb)5;zn5JA%HYv)m+Y zTpd|!lh!sj#}O6KQ=u zk-GXcYUluM6f<)(GX|hK!BsuwO>8aL%Ya7Yvc#7)W$#~9E1vMh^WHz_t@`!&Kwt8& zQudsh+noT#_>y)%zW4F-doS)?dUWyV|M~}i_3t!ovv_K8GLP%muYXbo?Tw!Pbo=zp z|L%*AVK<(C@#f8)k1jsi$K0*I+w3*xcVFCZ_{@Ld~dtq^;6$zWd#alVcK+zQ z|Il~E`|rOmNRW;%^m*;?H|NkYbeQXimiorG9?n+V2NKGiTed8%O8(=m<+XA=e zIGxILty}u2uIW#+y+a6#D&HAMeb*C<-BCIG_5(?6` z(1k3D3fYCt*iJKu>hA&V^`SQeCf|NKgu)#tcUpSKXG)ZxNl}RzOl?wN0bv}NR0i|} zy)X4h0rtW&cirYw=u7iDA*`AknNCrjqjzkNZ1|e@J-DZVdm6Z>fqNRbr-AQ04Oq7&lp_hT-fsfDgTCEnV&uI?^S7&SRNh}d=>-k#fQ!aftdwWt*k9*_}9m7-A-65~C zxusku6SK-}eyHgaZ7g_2l zTGP%%ji_ogigX5)nUat~*cRmkrY;-@aT=$aDq32F%QCR?S#?f9nCpe<=BY?e=w_PW zOq}8Ni~= z#;0V3;3}5WC$@rts#hJjS1F*K1-(vA#oAsxbDZXn#EvXy4wBvbbyxSrtO!+KMjO6xUHn$C7GmEW3PwZg??vFBRdGohmHi9sJp_Z9)|=h#Q=9sDNY)b=abBJhZUSN z${!+?p@(c=;?!aXTN&(UuArPjmNm2L(3$y&R&=!czBEodguZ1gmJ^|>3@s#Fs$x%Z z;w(7#jIwiIj4GF@1S6`c^ujrK6!ZCb-&l5$M==_mHPs|LtV|O{(ZT$18fS;|Y{>qP z!FFxCjc~p5rnZTEM@+~;FLI;Jt;K8jt7I1CtoY2#C@Sro(S@){$cIL#+8`{6VxLsR z#FEDI=&-5=$x&^OOv>r~`9a&xqIgh{b4sE6Q41FO*2K=LDbG>D6z?AshOz-24jN(e zQt~XXV_QVU>>!?7ZvR<4omxY0NZOg+!7FG)P_rvIfML>^F??O+a5l?l2aOwKrq$}x zpX9LE_dbneXQYtWr4;KdaRckK>wAKA#KVEU^U-XQ?fZVn} z^Ah#s2i1If5GC3Ou@NINcc=~Acs*PbxvV(1srNxIpHqVO-*#Tkhcmi?gnOQNtwjZ0 zeJQJsqJa)pIRru%7W{LbA2P-Gl0Nvst5+9`4_ws~w_keW=f8h({Ln+k$GY#e`1|L6 z|J?8U98Ackcb}Ah+Uvb1b^c3V`QX*@&G85K#t%O{9>4U|`~Uv?$Xy;9k8gf(Jihv~ zi^Z$G)a%J!`rudK+4U!G|Lq(8*zCwd4=n_#WbxJC_}p)NuH)_X?1N9rlj~Wm;Wv-R zGQKc=-R}4;ZyArXH(dVdzk7A@FNJ-%3$GiG$3Od*x}V8d;m_#ee|Pk&XVitp3Xhbv z++R5~?DC29KKqNn&Uk11hWGvRFYW9g>p1LuIrpc3s^z}!bzj}shk6~bU7i@##gDx7 z!N6+ui-#J5Qw?+_=H*^z2pIA$$A5A8;y~(v${3 z4E&pWaj$#yb&m%6N!LptFD?GYI3GW9_3~9jAcvR!R9^Rm#ScN--94Ft@D&@*?O#NU?F=0l6eV4 zTA%z_f&|FwCF*ls{Q0dVcqtkG?xV+BB~ubz||w z&J%rY-@9|kGnVC|^vFiP40)V(7cVS6OP@NEx4R8(NO_DB78huaMf_kaNKh@_$O-X_ z1d3y6VmE3Tj@`|5A5*x3mn%Eu` z)7Y9z@h&RLpS1d zV8mT~FpQc3FDglcGLa&}(N`Yy>PsZ82v zW%6OIQ#50>Axij4V`eUEGJgb5%MTW}nAU|MxG~n~CHytmtW3Ej*OUsHy;pMxo^qQa zFhuT94yOYS26`5H;(jhpD+@Tyr^pQLvH@zv6)I#@>ijMI!Le~nVvW9$crB2nwuqCM z5~x*5>OAM<5rZ~iLN$dXyoajBifynztjJ+S<+(ZtuX`D<{Gid$ebGtRAk^RtC?tie zG%j%>^CGpJ_DkrIyeekmbZ}_JrCAb3!j>pHkN|8^0)txJLY-C}r^O1laqwpu{ea8& z1Gwy3-&wnrlR~pNVkKukuMO=TH-#-ZDK~5l#@j$8BJ;#>^I?&bxiu)6p5Rxo2xI#* ztDk8B!v;N7(gATYoEmkPGy*3fG&GgVn3?NX063oD&?9YNAkr(0Gu>bfMYREs!2|MX z5fHEQkxJ8Rzo(z+x1%h}53*4{rI5Hn5{b*CHiM(XyqV>r_6S>wb&I4aCkLadRe>6b z$&ZH7T>WjPm@e@Y(>BW+48>VC<;+HQt!?YCMAZ%VsPg6;9!65CK}oIAJ2Hg$p@3+Q8D8f#K37y z;>>1Et*0AIT8gfvmGqFTp`Q%0*u->E{umiB>bC$SS=-{nYuaahE8R7LYB zZeyMrWphY{Bka;c^3$HHfZu>|`aPB*&2Cs?$Y!w}MZUwK|`n&tzo?-^o+ZqAQoga1BKSPij&=w zOq&R>-reRDHs3$Q)+d*kZltQ5Qbv9_n3nXbVc~{|mKnoZNABnb+S#;JgWH1vp*v)uzzxAQjF?iy*y+>-klizG*7F*;=E<59<>CZg@pL2iT)!gyZk zq)@2=QgRQ%5SgRdtYL;nFQE7M$Xh#?&q?VeJ*RwXodu5$9k5FFFyVBk79hZRiDEt_B8^zY9gS$DcAGAp8xe@xj9afV>u>I&&aHO zk5X^m>?8>6Yk34Tm5*Nks7jDtd{Ixjeu~t4kDp>YLAVe2vR*xU{I=FP{z3KwGd-LXa{4}A9fTnon{*P-E|L5i5^G{!=i1#S{wR^8s?*x42Gp~Iu0$frF zKAC?qf8&>6xFG1_){tH~zmvXN8vNI!zb?_fw~5okk6ihw?@Cj8{*{XvCST9pbxoeo!8~^xjJsFF}7V z$YYN`{`lgFOP3Ze-Ms1fE>)lP{y64cUxkYjVlITBcNae??|SeJkNZBpMm~+IMQHNf zF2YL^zy2qmPzi*%&wW-U;9htf37!sCv-^zu%w|Rxg_GkzEU#HcEWRqq_zl7GToSh+ zdr$1V_miLeq%XMvJWEFRd#_x)@Ay7{_qk7e;uAN4-|lp^yZij}o^R(y*MpvWu5CSK z&3W(VF6JM{z+WS6Mfdi0c97tcKJt=W^1e!NKO-*^V6pGGPq zm*?j`_n8w~5qV-^=^PJkEp?sP5}2mOKM)eyBaxQDR!1_{LdAM~ifh1?7AF1;`1&-= z`mj1?i3OxS5#AO|WqGUC<%4V_)1un4)d~5~!j@5+Dauy`W6oLXrXnE# zRO{na6CP~mZ+e@x+l)ISWYd-@O|9h(lcciD{Rg$t86d(ATd`&1h2W{-b3jNH6j*7< z2TxFzlAfUVyuBZGl}wY@sNPU<%*~9NYtv*jhuQ(~?Yz(z)NMM7rny@v+N@2dk_}Cna2P?4 z;N)3M>+_18i7n?rIHB!pjXyK>KsyXTFR=bru5}eb^<$xDvynCeX_Ru7JeIf~us*^N z<1>@AOB2_Wp!(4I1&xCFk)jDnJ%8Z#0# z)0V!_7G_`y9WAt%h;2E?3!Z3KP0W-x(HSq$9DSrf;t~xQ6*x{|2oSKYhCT6~e@_GV zG;mJ?_cU-%11B_K2-`uElzHWkgz}uT;&}G(WHI^-;=u?{3|2<)@*si`o|Xhmh*f&j zFa3u|Tzzb9fY}3!I(x*(o=3R_}_qC`p{xN=FFKVkC}Hr-JkD z7RPcpkNviKs4x9%=1kgQ>nFpJp-?~JVH)T(n|%pc25psHmNpr>xU99M!fXIe0JmTL zG#cInliQ?@jg!G4tx(7$tM-TV2_~L-8T0j4jtw4GL#nA~NyA1#O$p4InNO+TL2SIx zwFV*Up&^gNsYxV9APt{@q6<^o6h)a@mkwhYSUn+1m{rBJk*F|kVj41V6`oOi=YL~a(c_a~-G_)gNK;V#JH#HjsPt5Px3IQ|#6bT@q!aXM@mNpu*T zLY*aCydRlRcY3v}ngqe4CK?)tE?O}HO~_k`E#_#t zAJzNlz@}oeIVU%hq;0CE8XXy1w5CSko%2yFtVfw8QP+{o}9 zBm~^g3pe1k1}Br;w0x|^74~Rg_Z?l!u@&wtSLqx(NNi1Ooo!-ln;C0Uto0`}s-p&Ul8$$d=QIkel zokXoPj4D%(R7lM+FrE`7#{VHLeG&}K*Vw6J?O<3P%rl$jlf$DHxmrqVn|Q>IDD4~* zW|@h;Y>ayXxx!5pFVC3Gh#=V@Bw z=u!!_0O)|^?RcthhqledG!ivUZQ{6&xWyatQjIpWe0CIbs=l(?qIJlTa;6M=Jh)Zq zA}OEvvLo(G9$hM395swj1sR1B?Gc-*9Di;JR{nks^xnNJqW^!5FusS&=ajXFNBkb! zInE6$UEX#r_!8()AoEXx3P175LfA(U_9-s2T&8>%J;S>5GjdM8Z+kNK~?|dii@<6{2)$eoeum|YtplN``;>Vr{TaX;J zd~zUrnqaxNG9K^jJn&P>*PpCZdU?1xwxUsn5S@hoNK+oP@z4`>_b;ob*X&e6M{t@=}8t%p`dQw*O?Cc(AXAcQy z>D+U6yMDWSaAplTw(Fa5Yho-Ge-P?KhxyXa{ljoaY5euwPd@kfh0+~Wp=NT)??e5l z{HT1vsoqfuLOD|elUm{Yi;Ug&qy)EWjY3Rj;{BLs4HiJL z&jZ~b!V@|fyL^ceYpuj1wwjoz8DEOwvEdPYKq!s>IuJ~QZ%KRSU}iN(hfGn zAZaqenVBvVCr+^#Sz1N#nVVQeJ23cZAHa8O_#L2j$x|DH7<$GgKp#^Pbb*AQC}vjS zF2XBfiQhSrx5J21E7Unli+yY_(rQS-mqlo>#F^Ynq*^w)=kUdv4&C&YI$0Z=HaSAb z#ly^UIFcFNAd$#{@#zmcqOQz_GhUvYx`uCkYS_@&xofRH_&eBnYE@v$1< zRaWQoTQT|xWFMp#P%s}$rQ(U16$5flD~=-8woa)n9W~*U8X3;82YKf&GGwi`ww_hh z3YNHqWUVfGIBBicUgLxpb`5fKDhmq`;u&C=)@3-BX=G~B#&YJ&xLK*+uGM6*wYU_I z!IOjs7rE-GIBhP4#I#@mN8rw&Y%~hcP7RE@&gD)w3VS@5i#d{GFGO{rSrxJG1k*#3 z7UJSUtvL22J=GfIfWgOUeG@HQ2{SGni_W|bG^i4JP#>n#0!U?sbVXr3FHh%Yf&obF zvkK7>tf+MBtzo|Ew7cqipaA27c22*pq2UffZR;YUzeJ{SzI02G&cLC)hZt?B6Dfx? zDp$jj@E;}C)bN*lCa2W|?0-%tM$90wNH%CyCnd~vjYjIwESy`bhk5AE1^Uo+AZlwV zS_|g9EPib&U1N|`dz6CKAppIJH+^NFnz`yg=5U#&pc}^(uiIG-ar`r?TWQEwMHLJI zN+5>%fvDRMW9S=mERA^cSt9!y) zrc)2WG3J309P|jIcqn&&I*16wA(_(8hN_)46HLLp8O$2XQMzanC;Hv{XM8)eSwjt}9oZKX8LND`5%5dOZgu>>>5| z;IOu%R9Jg*x*E&65Z#xY7-9KyzFVzQfHCB=?8iDy#B0Jar;}T4EtD7;Vo~amQ7?)t zX)B_%t9p`>H-HY(Xv?P(so%CZQ*t6V^c=cCt$|Z;Sx?GdQ`=jvRhPY0e%X)y=ajWn zy011w4}?9?8{ZZ%?}i*adbuOxUsM#|gZkG33ej8rSYYQb$b%0y*I(GZn7!|PFN8U9 zcpFXe^-Gf;`}D7Qddt~Qwei$ik1w4df!eGpK@Tc{Lhr9hkcanNd8?GujbnLd`OY%DJGfbKE%L^VUEhTew*Xd*>nXb9?JYRkOCkKk(ci+W}&t1^>Umv>@ix{i=H^2O`mlo~` zdY|?VZl0H@{+?Xi)B%OtP*x{~4=i*=A`SFj0u8AI-S`qBx4L1#8;_HBz2@(z1Y?z8 zkHI`hAUE}`;tsk}Z6Dt7$>drq^?v>wsV_e}|#)sEhLDq8qE>&?K7YDWEicT6TcFR#EL5ikhBk2nCZ% z{UPRK0NuK-4Hu&1R?8^F;6d`0^{@Ro4FJx&E5`(RA(Shkzsr2kF_GaNGQ}gOl2>fJ zWma60j8-#IubO6KdLedSBJ1-A6(&zFFtl*4vF3KycxuRBqv#gMl17O13QSBebwj|F zajQT3ppZpnrFOmbp{|`H@{KxzFt-CWeK53vc2{I~G??pSPWe+dy(bc}w~QhUd7Iib zv8`IZY+DO9OhS?@wwgIx9U4Ml@9~=p1|;L>6C16qu6_Wz%!1rbacEYtMuKqor=Ubt zMhsIaYxo4wERL+TSm0`LQm>W-6;nB}DVZexHLj$jgo3QCF`8dwXbe(oxl=W23{8l= zZ;4Yd-L-zZD!m8Zti}c{2^LL~&FKRe#UT=lHN|cvG^s1)ATwR?0krPETZ%7emRZX| z+ri90w=%*63O|TS*n=T~Ptk?fylhJ4qRi5V*t$+*-D}PgmJMnx$Di^ z-4>wp3TFsPG^XFv;l$C%&0-r{YFb%# z+OZ8RzqvfaGZav_oJXK-H8UgHT2TG4(4Y^CWULXW z2@OdKhkqCwR+P+akQmyTbIg|P71+0?K8BYr4WX%$JcJ%UHI$>8!B^3=JXWQUD7IjZ z3po-WrT7W3c`(ZkFx{8x3Gs(>*I?O`m>rldh1QKv6_Gy* za}J4}#vC0Cvysyuv~kKY8kM}6h*sf11CGTRNx)8k>BGjAaxf}~BWYxkRz6p~Jxap) z+)-oSx`Eva@w$?fsygtr5Y7T{48XRawZk>sP3#@B5HZNo3Vo?qGPjSml6c z!ayVQ2}fsiIY7j4c_4{`X5)byuwvW#00H7Q!+i7wP#hg;7H5U$BugdRu6R&Z7Fb-i zNJTe^rs;6FUoZl5*dFzji5W_18OFG;(Lmc3-<9plt-Pzw;r_%7;g^aImJbZ+m@04r zf_~<-5%ttuk&(Ud;;ZIL#~9cV)pCNl%_#uxrGs>S6ccv5E6w6>Gbn~5=Q&h|V7?jD z@4@v5Ccl}n-jX8dAw)fMP~bBb&YfQ~bA)st(z_9WA2;P(h+`TB8Xd;-_Gpf*BR53^ z$G5IV=_o}wH*n%pS@_n$t_N5;qXR^Y9unpS-ObZ76Y>utDo>2+A0}15x@b0{b}di! zXwD)KXHhs6!$AaI&5mlKqbTBF2+P5m^wv;{n$c6vBdJfohkY7P4KX>3|$K75_n><#~}tbx&aMivj%Ug`^Y2z{Ufh? z-J_2lAAjvQ`itS$dZt&MyZ<+S_UhQ@*weQ859sLV65q9CcJ(XD6C@bE_1tgt5^PJ^ z)4T-!yH(6FjEE<{4SVjwUVI3zL|A^EN=ZNb!<5a7os^9n2e-#jH{r#3binO z^(UQ~0q|sO#26z}Q%egMnCH}6sJH4pp?5|-a(iFP3Bv==#}3Qs;rgg5tnnFG9-D=@ zs5n(6k^qi`ArBu^$1btkB9U@9&BPZw1v~N-5u@}_R|CnfW;jI@ydI2C9SAGe1T-o1 zVILsm(gjXugaOpUd3wMx!{E)kiZyx#=I4w)Vj_ck7C4RY)zT(2 z8dC82$t(oa&&|X%8gGmCh_`I@BcaAhqT+PGOkQW~`j^3|pkk{Zc+`y1tW`Yg@h#H= zr#xFBB3+d=5;X}sRkf;s52^0!V67K0)3P0ZQz^XKeIZM%RGvnqDY>StVruCtm;NZK z0y!C>FNN8fQdTf@%xSTW8$U@Rb!FLWrBHHc^j-jqN}JRqQ4780Uce-1G>1wZ01cT4 zMUWF}#nREW03Wk-+!8y5Bv$3h+W|sa%Z@*DJC#-VMI5GWTCj?5(Nc|l=~pD|EMX_O zWC{kALjeX(;%L)?za+AxSpz-dt+CUfg|!%uCbsfAnEKYY%3SJ>b4$53y43ZU%82MM z$<`_h(9+2bnbIHAFs*D89!**dXLc~vuryTk#IFN*Z6um*JG8mU85MzPRA>*MO&AU| zm7{cR!IIW5LP7n_si(yC_%kOjV4>#-IgGTDTClE*@ykNPw$VU}K~X;lxB*g3DETWi{*Dq#_Yg8`&v`_snSNRiV%P*F?02WWS(h8l;(f~4ie;kv@= z$6(UBaQfb$uruI*Q`XXGv@wRBkQhsff!=<#Wgm7J_O@px8qA8s($<tK(Pi4V83-^?QRM5BGNN@1-N7n|H5T|5|! z=C!}Ad_Pcz0R98nY|ch)W~MWKg*3BsB%7H*Y~#4qyQ*uPF2PC9sg=f(;&6gG2f59U zih>s@45ezjigQi_juXH2)S!6UyNBG@Z$8S3=@3)NvDyZ^!*mh?^U3~XI&jp_3(+S! z&i}7l%wzi%VGfG>Kt^M;Rf7#Y!JJglLPIEjg!1HhPPzP{EfX zWf_P=GDrl)k&SB*+fLfaw4Aq^Ld7M1JG6y~NFL5gOb_J9h&gN%9Rul#f=$lnz8L;z zM~u0KX6a#xVgDBqjcBIvbXL(1+Bi1!0d<=)?)iGZPrvdXQ5(CG%Nt*(61nDg#!Kb9 z?Bl&3lAQ}4^bQnLn*sl_Tw8H^j?1fX&%fc1$Ja0E-(vBP|MBt8@y_+@pZ+vEb4wmQ zx%!(Q`|?YCFzUE@p?P5$aSB;H^Yk-z@$4e5UV8fapMUX-y3==Yao;MdQz-(Wmq6r9 z3DV>>@A^BpmcVFc`F*)OBX1SAc;@;(~kISEja&F=J`ug2+mzBzo09Z%B!@M7`V7cPD7(tDnHW{-R>y<_p%3$CzwJu>L#_Hny(0zp+7#~J z=2NLOeTirJi^X3Z^Fg%53om^21*+`(Pf{m!&;OzHLmztfrEo$Y8nkPPH-theK;-=aJ3P_+|Nb@HE=vGVk zAAbJFED|ikYsmOKGGOd0*qwK(=RPs{7vu2{kDWZ@WxkaJI=7wjmvBW+95YsxMMrWm z>fqux_)Oi|ev*ies(hK!2Lj;Jbpymc1fIa-@ZIhEpt312L-d6yu1`pB-x&2R&w0E^ zam_kuv>1o4d@8K*g@LSt_0KNW$=8WnaAs*m*eWBlNQ<4qrI*f{)Zdq(elt^xxuZp$_&bSrfY%xqd95$IzrSecVYAEsCW#Yw?Rw<}GHZAw1ZhS;GG71H_ zR$mP}!0P2sv}|-E*>Vy|$b#B5BM|kdhP{$2wK$y5yijv#- zuy$e~LY_gWki>G950o&(LqRYK9ASts*GwA1vBs`JXyz56M#+?(4QK|E7VKZ@{x198 zbKQd@6lsM$6fVKiC+wlsevnU(vRT_rcgi^5Gh8g@(U~OQGgUtYW&sBw}!p{Xi*eu%;7BN&I|k zOntGIrLigmhi6jQb<;zE`;OjP>iJ8)!z`9>%W9Ps@P|yG^v+CcgvbYtr+bI|5~nQQ zzV@Up$AAa7;y#<1hFb5-ybd4PYLfiW2;DPjNKut4hjrpqnYB;WTI0ysR4OQC)G>2) z{KU|w3XF^@-`8q3dFA5}ZTaY^v3%pWWh0hXpPP0zYD#{f#~jfJ z&3@H33?$q_aXyVB)OA3edCrhN!Ti$uqygU!x{_5QBk401YI5DT#sDdlyW$#UlY)1t z;)LV)WY_39cS8yLY?xCWgq*v?=TJ?v{dOW&-;7t;ooWrhqV@h+juSU`GjUCBT%EQD zHZJ(YG1-uVBO_-SbB1ofU3<8%-=Nq1+0-E&FFbQ7UPl04&_B@iyM6j=do*F~VrEHA zk?OLLWz_^HxD~LC%chuSC8zz#x^1F{8Z-vGnKJ}Z^Sxy-c+f^O9My@m3;=D+%Eo?E z&iA$F%#y=wVxrm1HWB;S*zcNyu7Rl~eSqKCdbYKAh=+#Np4maEEnY?u4afV-`*KK> z?-yEvVhyJFQ8TTNTJ4z9nt4>!dPpE@xNVYITh?*J^DBqXPG^ItrEnGz=mzu;8Q`A* zv3??8lJgTqd6E{q^m8<)SJ0&6K;s#9LOnr2guu|=#mAG+y; zGZ3S6n$22D-N%O3!{Yn0@6V}Iqck}~0Bl{|E_YIlMn!zc_|brIcHQ$khJj(MYhB`U zdgokHSHjJ0$8&_m9`=eOS3A0=n;VxFmY;>9WbN9z%}^vg}F!!$~(VbOYy4{Zz1iLTIZyKF5x^TwRY_e6_P!)`=>??gP6$X^18H z<)8jFuT>=2z3gM&*GbUZJdwQp?MR@#DhyA3@^8TZMs~cxe zH}$nutbOdU-Uc%_#cb@Aa|jsCBzA#!K*|`Mml3Y{p>GNnm>k9taX3 zz@AUk(G~BZx5DvX{i&f*8(hB>9d*9m5qpA3N&LfUDu?;sGp}mjX)?mYR3CcYzW>w^ z?8^E7+*7WQLeJn|k%!y2;s1g>)V|i2_m;lYlm6nf&;9=1V6ZpVdqQo-8~iEUckx|( z@Awk$HT2qDCVk;E|KQTod>OnWJb2^JAOHG;+0F6OJtg?N(kcf>wNK^HrS#t7v;4uP z67bFDo&1m9qQ5ETB{=pHgw+#1cKx`g|TD?BlwV@J@ZWeE%WdCclzRphW?Q*rwIW#bl@q^bi7RVdQSBhi?4@w z`PYdZu0u-AgFrWj3MY;qgAhZvGK?XX7~_W$##A7_Ys07z{4FEk25iOHmYyp67O>_ zcrI&#ph`uo7S6O5t=1ZI5?n`c*x^`4USbLXPw=ep?K4RnKa1ig%(G-dEp@V@a%oX& zrzs=`C!LK1>(+v2u~n-=%Z|nQ&WL+!hz;?6Uj^R=6^dHG*f37TPeO*oGF7u)21QRo zW=>KB3TZWY2di$>Q`B$uU4?|T3B{)-j0C8`YNWv(h{H-hlmROe`bD)`*Du(`l4Q^t zO@%^qW?ELWNboVfG$a#38|7$SjqHpRb9LoTeu^`iN*_YMvctF}+3C zdX7{HSw7lNxHZZsYe-ddsbs3Hn8&An%53JQ_j0sIjdO;g=D1c=+n(4a2|tnTqwrG;@>%wfa@vAO}QJGeiKWH%Nta@5TU(q+y7M-xcnohZgbpQy^Vn{01@|LoP zUI@LLmavp)ezc#WPWqd{8@go3xAClNMs7}x&`FbVL_+r6(D1v{Vg_PFGtQkV)oF(5 zWW*cV+Kd5Q>J%9|=lzaLN$X(x01KD}-$yG`rwv|}yvW0kQA;kQA8v^ux7hL9(N&`t>5RW5}qsWXV^(3A* zbL{X#o7H*B&Uc&bn}psWO(Dt#=x}H2BLiv}HMvV7RzvquJrw`Gy64o>afwZmbeQCtv5xOnteXs40{oXa9q5U4ZO0SP6sawTNjBjv zb$%SGuvH%KyTg4~a=ST~GZ@aN)TS6^hg$3sjB9;?ana<%(xs-m8>obiT1XMj&g%p7 zmT=Ud8J4|{8kA(YElNI|5Fh5Vqba{8-SA=q-=~}&s`}W)S49SBg+?-3A(mba=yg%A zSUt?H&_*VVm*BSo#Jzlu?ob9>8v|a$S_$>5&i4r6i#k`ltV9LUDTEX6#WkP9BNBY( z8l}&nlxs^)&wjDrV_#bHI?L8~t^JPfB7OTuzDSMuJtCe!|3Y`nM1Je3|L4?9SNFA# z|KiIhcf!L?rOxixFJJPlos|S1x&9HPQF$)1WA;l=AwlYX?dUT;{aP$s~fE; zpKrUL^$~QsS#ecD$lD`pjxmYv(#EV2y##8p=$ioQw?zI?{zth=5GuCLt-swAeb@L6 zN<#v_JLz!^uV3V(^jpD?f8J#+?}p>=wcr2G*zx9^FJElycf5PsT2}kpuj0#XLRfde zQ^o9C!ANobp-;a$ys!T0@V+|XNejm=S3`>M%T5H=Ve!^Q`WJuk7mLqgyK4P^^3va4 zy%&AFyQ@9Kt|wT-t-ocI@ZcRBbWlDvwpAznxplv2_|~_kDVyhuuYPsMpV8Ly1|6R! zk!ODUwUPR-e^9$&c>Y@@DEJtiApIGC>##O*t&@8RSZ#G(uXgd`J@0u>^HgZ9-vNH^ z%6oo7?P#B{DsSv8E_r+W-Q90@ZDi=bShrx|Ye}^o=9ZK#2!&fE2O$<0E?j7yYP_}o zJMue=kNva%=~q(TkXH`-tbKMzf&~4qhbesp`b7Z5U91n+tJv*WS9#m{FqzIKR^RYv zy&FwSw1i2keNCiaA$P$o>f^Z ztmnErKd~Ku%kB-e)?mptof;AzR0=(=;swz=#d>mZG_0T&gz_7%+d-e^BwwgWdxCtr zey1rXk_7E};_Y~~#j%S0j*d6YXvIQ`y3jV5>Z5}r=xqTPIVoRlG+*B`&{_@9EA$9; z4=qSNP$lF=C!tZ=ex6La04?4! z(9x8V20Zt5YSr#anP{#i+8WQ7qWWHemgo~e*P3hy_d2k`N{k_f>m(qrr*eYAN0G}t zxTk@88n~x{dm6Z>f$umCu*KxK_yo%GkLOyF)W%QU3<5i@EnuM86`jW#zE%Fpc>>Id@J8??e~`#+@E}SbHcLz?%fvlZeT)uZ zEsX|m0j`VlJ`2hi!i@feO36Z49GoI3*UD*=*uw4R$$}F`-yi?JFB!D zL0U>)C}Uz8F-Zm1W)s%=CIw4{`ckLbQ7gHeq@Cb8Mb=_1&i(1BLtns0{aCDVil@|Ld>Y8&U#_=mqPMje-)duQ?0vKL+ z(?6%n%LAt*z-hc9UHv^8oFHPaa;BGNEz+ZfVdKaYOf+MgO|6OoQ1sOJ`LI?tV}Vx> zBjW1PuAu6K=JBp_i(#{k18)2oA3o)*Bse3=)TJR`UrYR%F|=dNk-M4%=t08@#^{uo z(h(G+0$Yx&GozhiFB>9F>AK|jQHQhp1U+;6%W%{i0I~)?*2}d8e+c%?Q1a^=ZAUEIZKB6$w6(2K@|Rcf~DhAE<@{xZl$cnn!Lpx zW}Ew{WmJk=DlWFwSDV@W!Iq1(50x|qCq==6su2m$Ed{`5wy#(RZ9`Nu>*~@|*L|N$ z$ON);qJRC}M3{KOqtUde7_H`1vw$$?@*lq^jqziUTh^rXHk*o4a*;UvgKr0?Td@Z$hM2Mto+dCEh?rNtZtJwoLXWGCs_GOF)jMV{rJ6KU_Q~`(otKRb@6B92CY9le@#j7>y%} z_gEq6$gIAhJrgCf<4O(yd;+V3dP{(-1NP)n4dL%A$%iSm;4#f{TTT-D!gT4N(#(O- z5w$Q?1iQ7%UZriMwA<9*b1j`VGW3N+_vZ8kWj@qr=mG3JEEHrr;* zZW|=HJfmbe=45Cm!TbG|RbcM){N-Q!>DzTM_}?l|kn~qKi&+oRTUNV$>k-><<7>4o z<{P2)yM1pkK9`;6Z_3WqPxC>lugKzf=iIrJcRaVhMri*vr)qBt+2UaFr9bWViEhgc z!_MGBkU+n1b@i&3K*q}*M4yH2GI}!pryX`H@2m0w^Pk*`VKZ(}4c>A=_?mU^e}3@r zh2gVpx6i2iiu#Qy-A(l0n&PWgJL!e>H*GbBUx;d4;B3g>50;zdY=ky;`!-^_z?b9? z!Z2;0eKwH7*U|&dZm-{c+eqp6d^ae!3NWC%u7~~7Rz7_b#@~$n9eMuJdsmEGB?#}m zub6I$QMkP&1N(Qs|2f?Y@6YVEz$yjr(suiciLC83t{85Eb(OcBzmyY^%tPR zncY8oMI|_09jcY0}YiWBtRazfV`~;X2;g=EY z#@S^`*I~WCjJOSQxBRQ@Hd9*qW>B<+WnJa#_$u`ZQ+|cp@dd7RH(L*I)X@jK`M2VO z&<35V$_;McLrzduL9;^d7^|6~)M|;+^V%@uMnJy7M3{rYh@&4kUIOAI%Bv|>6w08M+Z*Ea+6EhWo?>Xdhz!<-D4lJpuVB_|q;>ION6fszS%*eb1qB&T53WaV z?V!DOnXwk2*OBfoN}V2YHnj!Q+<^gl2bk8TDsvkyeO=8%`1(yhbJcwA2rMn&m%T<6 zXH88~5l)7oL^9Cj0)Dx<2aW9>zW)1Aq1m9RXf2TqzRGL7?;Y(SF9Ytl25Whz=+6(P z_*>HJ*=M`P-lNkdGG)c-V~j%XgtG<4uxBkpYswdho2qH4FFx5q!Bn&4m~AN-@=35t zSh9{Vo&A|yr(_APM^8Aei^KO~t~mP`Qfp(}A*@^PbL=(e7=tkPGqyCtKC5tf#^BB))XV7Z~_ab!jI}meige4$>#!t4^p&N8t&!S>unts`6V{ z)Yxu3#+%S#l&J76*H&l(6?42pD!!jSn-{#XT2(WSJOFWsqO=vvRH}B?W{qvtG@Myq zMoZ&2+Cx+XMid%I8(2lSHLN%ieIK^2^VkW0lV!3L%q>Gp#06RsJkJ73O6?tr*`@q) zOMtfnuu|I}pO2JvS%o+oyfxQRM9j5D5f*n(S6Q`c!jn*-jHRiBlQ zh8iSMP_XA(cN$5!86!p|7Tkc2t)+AFu>c5qd05_QJz=Y_T-L{2?#|}QxsLIq-;sOo za*v$y$tghZpW=Y9X1!n5c(pzrz5XbtLq$Hi^U-b|t}&-!S1#`7groCnQ(>W@IlG8`!(M#%oR6crawzGNa&GDdZpMSGl5Nu80vB$1mqg~pLa;Fl| zSl)WqENBV+1nr9#uStK4vs8jl=AZOm%;=4@t!Y2<@$=`KW7%zY_IBInb_T3`Tx@s)bV<2$ z2{!Xzp2?NBXN&=jV~9~78`=9yZvTw_6_L*i@4vz(3$ZwrW zxy<5L$erCjx60wJ;(F`dxw}gC3NkZ%JMD3a@6fMc#&?<(h1)yj{bulWx7!IBOji^) z2M}Dvj5@C@=o9=K0P_u`?UMFSuWvl3Z*~s$n_a*+mcO5=eq&jjNL`)?7bn6R487CG zw9DvviG7n0-QDiXzM~@4$X_?~?ywVwO3 za=4f1J4FMw6QuRqI%X->w8PADyGP^n($?Pu`8xGh zp>FZ0iK=luO)0uIpfshPwV@tXsx;ME)8eVmVddy=gz}?Qj;(&%zc8)7o{iM)e=SjQ zT|ce}D|a|I376G}zLu1jAQ>9Bob=|yx)nRdDu;3?DDJ_8`t`wZ?lPoSx99V}&dkfj zA%@-O8F5qFC&O2L=&rPa`hI~2f8@K#K!%0@5ON6kc6Z%|>C4~Fd6!gocl@DM2Tjm- z&_!RXRZhjp3N6F%2E1Bvd+cQRMD#M4*1F$vvdD19l@;Io?Kt`Qfr{7klhDihcYk%m zvj-Za;=TDQ-PlB5#joz;^;CW8&iDOCI}pgSUHC5C$N z%McLmve>t;(N_tez7`^6gE*`!gb4AZn1rGHL+mc=YGU&buQLXuL^6(bDl?e?W9X z{koR-s^>?2bFG#pt2IU4pPQ&((A2%@Xy|M%?DNm>jE8sn*XDFV z^Y=EJH;MWkI=k0~59)AttNxvCd)fyq_SSdf>E0v}zjwczQzlVRkV}7oiZ_Y;ojK>M z^5gz^Ig@*G3hK?{!q(4!xh81x`JpfS9xY&NXQK9ZYRp?k2yf#1T6cdQ?!rF*{4Q8; z2H*Ye*UvR3F6{3@#^*`AS&DDw{@u&@J4(sW1_CYP!v2mTzh&SzOYsfdKk|-!N*DH9 zru!XvzFCT|agT1#fLz$$Q66s@_{~y$GxxVl?EmYY_MrYxwS8XL-@Wp_S&H|&2Qv3G zh70?(k&;;ihkfq0?u7mzCtz z)$!M2YYI+cep5I73s`6CbQ_d1-v zhc|G0Qzx%w02KBPZaAQ-7^3o?qm(Okw`@YyVh*5Z02M=_{EY(S_>=QJo_cUs(BNDL zn(wJ0LBpw!jk;#W1A8xA)cH-n)Sv|(kv9hNnK3#yo_ws!9#n{)9yQZ##vH6mGCjY7 zySEe;tt{PSiGr!7!&~i)M}GF!_k2${Rh+F{aU^f;2TwKfvr+HWY#ag9c(#ov-3Fjl z=qY6@x}g|`Tq_r?RG$1e`q{M`y}Z_NzO-VhkqzfoDf*Xu6UwWt`OXSQ8Z9cYs`IUK zz9VI|4L%iLj!=397%1YmH;!_(I2%3-M1gaI-fT8?93^;D@PQt7Sx7nO^jvOXQRDN! zKEREQ`!DpebLeiPK>)PNwz|EsDHfohb6PtnUUPnS6?#JMx055L8VQyVpgGjFBQuAu zPH^=4R)d$UpBwQRKu_WZQ{BGI#cvugWLdLSTS5dxDl<4j&SkKi+l_OccdEyNEj2;l2%MR$-6d~){ z>&|kJkWDD|#08ix2!CA8m9zwzn^C*nm#Eiw${(}6&%^1v65yMepa>(?y>Vev(h}Sj zrAY5PCMQP4L?tBZTW7pAC6_Nz!5eF}hP1nLVn)aHT>Tb60LDWP+7?@nciqn0z8uPV z1-0-A`K%ORjJ5(o=#JnaI}~ISoP`27U4r?vWic)?A@-o%9o8HbMR~i_XyT*&mDZ5npgB$a@2J#6eEEb!^GMJTAG>H(nEG!Ht zgs-+;Rh0qs*0FQG*=$3JiYh$<-Bg$bkufa8Hb{tE*i>%Axa_zoibI;Yz$x~4>Z#;Q z{Bwrq52b?@Kf}*cIeH!)M?=rTv|TUEg1f`lp)w2ccEOnx>)LSFK^g{-zU4;waXBrv zLbk*vaoXToRmOkE}FU@p%vq2#;ErIl}?n_fsZ@J@rfBJw3p=ObG=`K zv9VoNZR|nXM%prEw{B&{xiN0$eOS#Gvu5als@ckFMDCaePbE+dVU%UuhN#7b@x(>XmF0l)i<<4Y^53KGQdF<-28Z`;O0d`s%Egixp_S%!vEkZS8&Q-xU* zAPK&GSG%&AXL{BP@;ISlV;ncG6VnGr2#h|0y0rR*3Hf>VvCXgAW-$qdqHH$ac3i_( z+k$-PO*ka7n7nJ89u1PHayF8T+_%{mMCyu7j!Hk&k5=z|CyfXlx%HSlY0?AG!&!0T zo(6DGQn+ho;6}~$OWE2#x_19PWcTZGJ=>l3eEV?ur0{DRm~VXbsDX80IEFR<(xdEY&|d-|K-ym?dI)%^48&pt~x5cFMF zMui>5=~hbmy*Dp>?S-$Mo!z)``{2fnojmf$Q^yY;|_McyW^ighx%;>&#i@Tfr?(0DC_wS~o z5a|8WYBZ-`IO2A!M;+L=`P6ETGmxW4aC`&vt#9pazk&1r%}$5%AD!pu)IPlWkKcFvK21IZeco#nxi`)o+#QcgyYC07k3K4A z3IR5z=l{_gPftI-dyF%=UwH+m!9|2F=dC6FFsG;iG@r<)g?X|AWB%jevKKUM*F)%~T1 zIF;aYIpkm1-wbx7#{lP;@=&%PNPkLWLOuH%zi}kQzb_A@Lnqi*(`T_UT%i5Hzu0|! z_rn&i-+yWEbar~Yd-wWY8wd^s2r&9h8#p}{ zh2TgoXkK@?WeC2l_6LtXc;TU+_nE`$7$Q?s$>%?Rd*3#?pZS@+o4898eZaNjPuaRK zr)Rt4_q}g-dd$nY|Al2l9{;qsqYoY*-@5gCImL_eYY-)di=eNy6@uLl?2a#Ae&=Ow zE6Wgc-80YRa2|@9cmsmQ`EYtBKyV^J@Y-bFo2gEYFb4-05PbCxoNomI<2&f};`ke| z88kEgYPDzT>V)BqfmC?w7^Pd;@}BrYy|^Jh;dzHp^e$J9_2JLVH}t+veD0SR&^t#Q zZiE$QJn>yR)tWGhx&6t z3~zhm@7S}P%07h4DQ7PY$~f#gxJ)7^i_~S|3mEJGLG2;`6d(%_mTk={tt;1|ZXBhh zPZcDJdQ0X+nfZ04bLAlh349OyLQO$x=QUbpD*!(bPYb4EU`@aZPMmv4JL?9&>=4|* zEJsCkREPkl8F<4o6L<#_St3@BU~Z=MK>Z*zm}zDr(%;JTy8fM|c34Og?23JmRlO== zMk%r3;+>h<4oQny3qv{`*OHdCN$?X%bMOT)c&-fPO-s#S?>Z+K?ROGM5r&@>8@~64 zig_xyDs*c&j6JR#aYg!?n{qpe;w!hLMxUmjTp|G`gdjGgi4C`*Yw3M;r#aZF8g5PF zqVL!gjzQhK#jrBA_mPMWiUdWzAf(ZZeVeagaiukr1-gZYNb%ohJV}Jyna!vQfX$|p z(mM*HMkhn`eqopCbX*V?*3bJXz#P@dPh>OrVc~-$6{ff;sWVkCFu^0&wMa)A+{dGo zgsG|?5oI>`E^gA;jfh>i7IxVoA9H+{!bPhCo`F*859Caayun5jmI3b!C41n-!)Mns zBr+pZksY0DD-|d)go9*+`*1{4(-z4AgUZ&C5XQDcs*L!=&*E>_)XFLYi*Mjp<`eij zu-7wPVwGwt*gT?foOsD~)&1DjrI#Wtmr!J=Jv3s6s-AOl7F&}y-O44?%(d_B%#em@ zR*qD~(8j9oQq}1BU1lN$+a)N!Z^icHJAeY}d>(lY#O)Oau^WR4UQ(d;Bx>WQdK^!x z!_=;B2ioamsfgCnli=c#bIn?ugIy*8P1HI^Y%fuFS#)sHZ2|^AL@-H;P0S5YrC3M0 zUL+)nt+}~sR;(u)6^S>g1<0nJ_m;Ve)^YH7PZ5XG$yg$`+tl?`7L+H z2pn`80Yfh>v!-aLd19_`-|&S)|>lD(K zaP^E{VLqC*bhH`7U;zYV`6XEpu5kd@&Fes$t(bVx9yN#qyFRWENf%6CNCa;yp}*66 zy$X6uC0@@w2=P7dO|$5$sVcY4mUCMA*7jR!rtXIM*3d6NVkxE#uZemJ2amXRCe@yMl%%Mca(D zz&6o+%xljnAuI89QzrUDvqb>sHf=91t?9$)mP{G9#H=~|uU8VB$Tv#C9nf3oz={Ke zrtR(4aJ#|Cqcy9FZfWV8&VsJc@|?*O#;~oYW`hI{C5jJBF?NBPT!T5Tbq|vZ6ujz( zY1442pOLa1(pG1-HW2hwEtYLvGmBMrEx0!&cMzsVD*+TpXy`^eq-5>`Tnpn5tq3oj zNpQUTE!R|T`3Sv!hxwxJS6)^Y_&ndTUA48zCpvDJwIY{UD*>>h-V|07U;ylKMcudD zamGM7Z|NRtsWf#EOq++MHr`c}@sJx%+NmoQyc`1w0=~!?)tsW zv)6C^lz7n&*W}H04I$6Tb65T~ zy@e@r_s^@XhI^+DPfyM`8}0E2e-(3l{PKgl-GA}#%I^8+PfykTjH`UV_EQ@4*M9Bz z_zTyLZoPPLd{cd|mb=|w`L`c@^)`~u$L{dUD!yg9G~31aqH~t;~y_yynRsKE^q(*>Cc~>ect2>qJ}zj^S- zCs_c=g}U}{oxS)=ul~}j=OvVr-SOcc|HBVGq!3&!ua@e5e1eR5Za(+9!$XDOMgA0m zL(+VlGt~acyG1^5cyjV;#hqpc3c<qdTCg~y{?%zEq7^5n}e>$?gyYL0h%*;$j%4sz|e zl_z7|yYHVD5Pbggzy9m$LdU4^9}6w-;fK{FLy*e`1eY&gjv0baeBw7B{LMRUfmtT4 z(4CV+40fFw}O)j$`9XM{> zJw34gwdY~loQiT#W8n~JeGZe0hz|mCj<2rw7-c2*roLiDiGI~ERT13$j?0c4}+UR`FmkYe=!Lu0aSH7$ZS#=Ed-g|3C+{f9_ zO{8R4R_G09E+vVJh#;M7ORLE{vcdGcDyAZN=UT6sIqtbVwuZS-VxS~2hb#=*rb}&v zapI<5IG_QPL2R9$jWCK`<5g;NriHbwSn+keF|FxHp|VZym%=&S&D?+(t!70Wvc?EE zM&>Ob01~FxvySwYU#{%B?k1?L_DF2bv2drMNJ~k{@PrDqx5J+br?HUw)Q%F{h$oB> z7e@DUpsR{7iv>6!Eol%Zw8dGfQQJmCyVJJEvqXqQT&1Zf0BAkH&}w#Rvl!JRpr=X9 zW7*q&FRT%gh7cA3#&k)Y@7oTEvPO*{oD?s!fm}VDx<$-P0>1{G^qsy*+^@-*z+>BuBJ7>ouAi#OEJo^BZVOs zL*^6u1xZWMl2B80kfpewmD9I9AWnN)P=C(p@76O9x=1fVSxp&LP9~z$*xA_mDzKtw zQ^tZKzvnmbr_CP63lo_^Xl&oHzVB;NOneL6H?kz_nt)MKYja?A)G|%gd6M!-`KfUG z*-r$2`otQ9V&=*7biLzAdzp<}UPcAG6LqVb87wop61;0qNQYC>%9 zq`+}je*$yfn!vmkXe)D&bRIDTij_--pZY7aMRi>iJOoANeIZIhFk+fJDL4)BCpOd< z)wxz#Gv}+7aj(I@l1=u{KIi^a(S?ah)}&Ar;?*tn_jszq+ZnPL$lve7y)H5rIn$Ki z+@ENq@HQdd5{4Sz0F7?ArkVOUzf^zvqDa5M# z{r*dJqOLOp7a_F&!t7Jn=<9c4KJyNhd_VHoKF)=GH-)$JXMOB#Qn;Je|NnM@fnWTO zFW%nB{$Kyj+xd&UeTX+o`L5d&|E%=;FY|-Hf9`y6;gUZ2H{Z@*E&>4Yf5><1}QeC&$tb01pKZ>nu}kG>25Vrf{PjV`AikL!m7=!#!g%G|77LofjK2 z-@*j%I9eDPFU-&}lSzC-v#K3zWWjifDj0rlWNxicDI#Rq!WZO@>G=6Jzvfm|6^;;& z7`PWa@nfnj^9LFSm%`DO6J4seluCr3bIfWpzBhd7@2)ZAs7*g;e-U__yNUU{x=?4h zuk4N8EF~bTRhH$GC7e_192|PZ&_kv*46DwQ(ji|d0jQ+?Qgq1?9 z=@lq`MKc=8Xz-+qtAZofz&c?wiS<1kigrB>oF(?G@!rv4bq4km&S+daadj-K+V=2} zbJzawM6n~HMO4n7TvV~tu?kohEPZ-bKPZv&dch8}&Xm66!XxTrp|`GpAB~~P$jt8c zbk?kOFrPUON&%pn3SI*UoMDfHkD>9~x<_b0z6vtwNRk3R!IBJ9uWQ7|mV&AYVhS09 zR*aPV2r!ZW+0NSp8%Ua(dGG<)RLPakISE;6-JqQtDINk_|4jvj%^lO-!IIakvlS!#5o2J4)! z%f!vS1uG%8W8v9Ha8C)TRN~qcXdlfG=40;*C(#inxuPBh*1M?pA(7B%DiTFBFH|@P z#t23M1ss5HtzM~I^-PkD#^E(N)!0qkk35pdjVcY~u^sYSYlJSXafrAEk7Y{Jta|3kK&@J6hP&f-tM#K~jLQbjJ(kvn{&kHA$ z9gDRam)_O07~N`~M#It=I>xLw7vofn<08)ck+m|}+K!6a#5f|TH`djgHX$L`aha;M zxcT4~b0~4DstGD*-fV2qUZ7tpTRYM)QRV)XNJ&1&DOoi*F7tw!wB&nnjL36tOhE7X+ zoCQ+RwxwdqWs7oYvw-B%dPZB4V!NJMzGvJR=EnxbjwQ>nlaI@K1o`OAE)DB_v2qnS zlO?T3$a|EvSi|JqoaAvDi&#WDgE+>;f)%zka_WNls|nOIy~5cDRAH+eaoH{=Sw~sV z87ip$xGqxR*GzVmX)p|m$<3m-hIJ$}F2}x*&DJP>nr0T8Vm=JB5$Ng8$HHuF(kz;k zCPx=LZo-- zE{$_TF3TYaBMD@QSee9*nY`o5ua;7fOvMV^D5$G5b2SBei)zzB|82#fTW#B>t=e&* zG7$N6Oc6+=OTt1ND~j8$Rjuyzd;_{^o+XOG1f-i}a>vLPCH)vGkh>|9nP@@)OFh+) zEPY3q(UvyBmMiMtwr#_*Gu<|%0e!S9dRE2^mO~k=9K9XG3Jq~JrWQ?nq)%F~g!yc- zqQ+UhD_nH0FSec2VNw@)v$kjU19TBi&Su`<>H5K?OMm~89DVI; zEFA1!c;V{RvtKwn+6QFj{{~b4ay#M5Ed7!E&=1{Cx07nio;`KEd+MpDZrqlvrYL9p z-MI0}Hy_1Z#QxOnPaW^bSd&u-N|xqk)6)&G{Oo7-yJS^M=Ofh?AehbObDRyo1NFxo9X)#Vg8xT<@qeB@^7JF0eBs88 zM;^KK*{9E*27(`Y$^Q3H#0`tlpoj9fm~WVG{L102Ln=B$c1bR=3`K_bK%jo_`797T zArBlp^o~^iL5aap$09=O^H0<|AX%5 zu{pc{=<3z0yJL-~=Gv>zJ%^U>HF;17djSFKlc#_9he}auG6Xk|cMx`lKq*$uHSHJg zKydBywR<551ULhL>aXu1D4HLh|A@x=(H~VMc3qpy#Q=iKmkU^G534s=wx;NMf^17? zSa6~>jCPn?@8EAz@ZxG-=7VijGOavnEl4mx-kBBw-n$kR9aA~$N4=4P@tng`20{$1 zh&fZh11INUp~ScVYYA+FaV!*&r38LD)JWFap-D|mc55Qstbjp!^4waVsFfPx~U3blj<)(e<>SfqgTI~1L`uBC9~ zxT;*~d{H~^0x9qV4x{B~*i%Yo3nv_m%0Pn-rc`!|8WCtG*D4K6k@P`UgV>?pnqZWZ zm!Z#a6NbI6ra^XK9c`IR>8K&%8SdCB5Pxew>ktQn4NEt~S?~ef)0L4mxsi%=Vmx~$ zL2+e$71*(ACe=$rSU}4%_<_;hL>WDtUo)UzTX?7HL-i2A1Zg06LI#5;&pOL?aDZZ3 z@l=DfEN1}V1TSk6Wwu(Mb|W?3%mTRN9sVYG-B}FjS*?d2wINUw(xYP9X2m|^ZPk;gNFr;UrXoi`ad2n|P{FFOEH+F-0mEZ#mh!XQ-9Zt^k%lX# z+AgxqdGOtmYG7%Rw#5dw(!(MGm!oRXx`xCI@9=qj8_BO@4<++t=!cqQAa${TJCxLV zS&xG(H-jPjj0NMtu9S{g0rc}#v|9niY<~rV%zyARlSevi1|~^RK%Flkv7vR`00qrj zXq7uQ) zxiTU&*X`2y+0;t60H)|9^yp|BZ3B)n$&0h&mj5hj7$yh2vH(V zN!-vz5n+akIgh!EwHug%Ff4*b1RqFt0xK;rIeu%jKLd7&0=t{qXkr&pQ>R`K>KEYF zFPs}Jvm*2_mb@pXkru{M)t+{jOIftCRlXJMlnSSG7E;j-g-n95-a4|+*n&T@@$?H$ zJn^VYsHuf==^OPLP>7kPbn(Ld9?X zqmXq-M1~rIK8w02#U&|Jk6kplfJw5HLSMa<{Ia1+0Yy#BPkl7>1R4kq-D`L!jvj+X zM*ASVM_Ae$D3f4N1`@%FXNpyyntp?Vv`M4wWjjxEdQSk7vFB-{+v*2^si+BKwPmX# zITpn^mi~EgJ8hyyBL9k*3!~JOm`$o;*SRNYf;^%9DXVrB9#(1bU+sR6LFJ z0iouhiT0V7Vh2b26jTtkZUlOpK)1m1*HA^R`9foc6p$&{B<&;Kh-Hla2%l zxUNeSXDfVSmD|?XvaZX#P(Z9l<_V~KIA<0iNn#qaTeoyD+l3E~j>|FwPD?LK9S4T=S29BA*l6$G7ImnJi( zgPliaJGXu=8>YWTw=i?)HyX_aUc)0-7ro!09|GzPMQU8(d9Rv!JIZ!R%cU}xI%H1; zRm8lUr`xRA09|a#VpVKdkuMbFWT{aV`o{t>oUR4>74k`RYqIJ$e3x~F`mdNZRZiti zJX0~$_$eXG5HoQ$n;98Vk5wTZQ+xO^Pf?V1T5(Cawl1O$q|2sihJ8XBfGkq4MbK-+ z4R93niFC!G>Q-6-vO((YJ5NrAMQ4`^r~arhFtY5JD>;+bl~*-OqKWfMM27HQ!OLXlKp@ZHmmnG$F{K z^k&C3L&y;4T_^LF-~d-z=4y~AJvzMFd!dkJjRzH&ez0~0HkrO^(WIF%4Z}zQ;PZI} zLDt*FCM~-(L!E$ex2`vJ3oB8w3&m-*HS@;1?Zh|%g)r*Upy$a%Ppgd1qs2Xv#|Erb zR3fx6ZJG7q8~pjAPylE=%BYMeLn{>&k_PmI#jEN7`sN$~z^HDR9aDBlV{A2Bs$ss^ z$G;{jjrW?=b$zHgm)5bfxxTm5Kfe+_oBXkVyQJvL-lUgPN-C) z*Y%;&iV}wB{U*O^zkN@3PaeIv+o6?vc6fNkAA$nHpz7!9^ZXk>m6Z<TJ2yT+nYak&0 z>mX1a&euaAat^_jD?cEz-|t8!me{scs@h@8Q<>^E5xb`?P9MD$W!O;PvbxC57Ng6|VN? z%kq2jt}nd*3-3RX3_*^d>1b??dlB!VCR9)LE(98aw9X+AN&VF)N%&y*`0jB{K_O6c zF9a&t{yp}&$`eQ200yz1OSJI`|FBBL{)vz^aIqiAy1P$=L$xoyMRj$*N40h@LgsJP z@_l0XG4nopmM2K}At>yLUp}nf_qUAy15Iht6i8Xj<;&I{pYI|C+E-u>c2aA z_q!i|{N3;VuYUP^>*LeoFWkC$020pfzF2wi<(>Ah$H(V;I!V-8VQOoyV(Mu@CvtXd z{>=w|HcQsxoTdo`r!UiLxI>Q7nA*v-@UENh%I*EjU;Ofyst!vb%hIdWG3AZO61XC zYkQjEP0i6+$~Gyeogq+p`s~WL?u9`6Fx8J%X@2+4I}iv{A6HNTKdh>=Go{0m6Cl`S z2rj>jrw9<_l;f3`uYC2Z-}}91XU{!%rM^YTpW__#SM3=0PAH-dFit#y69yJCH%D?_l@>Bg?1*s7Q(DU9Tm0WQv zawL6>`!`}3ZxRRABz2hh%cdoEBY7|+d&>?YWsfj@*&!A3Vi+g~N}(FLjq@a^yMkb% z;TaifJ!s_}mI~R2_RV-o2ITC-m|vA`1*cWn8S1lDGaowYn0>KS z2DL=Oc`#H0X#Bi~H(>h{f*EHhAg%M`tp0CFdj-XN-vn2 zIU9Zw%b~Me3hr69_qemB$y}5Lqsd1fK_P1%tcN0!u#1cQ5aV(RBcY*zZY(uZ(63}+ z*-&c{+6dN5?2<$#Nr+`5?G15gfCXY*lCU*%RH~2bSmFb@F>h9`SbEp0LpI{%Ar1;c zmw99fXKE)F*_}$>UZ?^`sk}42k8@{ynL4n_=}Javp@Iqwii%Za@d|Ep4{YPWl;j2) z70{LufLxGd!IKAXWL!#+Jb3|?xrS|;FoxY;-htG$(b5W{a>m5vo5X?6gZRc#mvkH> zZ@A}1VyeWvUg^9oN>9b%&U6^lI;_bKAX!b_Dl)yn-w}=TxtezH?EY>eRfP(5OG|6v z9#sSeRg<<5K#H_CK!Ig0IbW$AStTVMS_5dWM~J7XsFVsf?LhXGj%4j2Wik%nie{-r zpvhHg_pM_ScF(~|c1ce$f@uyAQi`E`Xr)jNA|k~DbfUn0?tSw|M3@-F2vG@*cWGv1 z-T{nrQc!#3f~ZIQ%F$+uKtjYBgR2Oh$#=5GM~QU4G}_jAHJ03cnGnPV?E^ZiYn?9P zDAh>(XswM%b5ax}17N8TS1h3Ug0Hw$+DFVy>P`U_?xHUiMUlvqrEuZ3ph=g6Ai&)a zxz$iaU7su18lawUX%Nxy57GsXlE6Z`ZK>gb0Q#9i=+^$+zw1J`=#Zoc9fB;%uG$8o zb|8G8LJmO+ordU0q3bln+n4~9RyMjVDa$z0Qv;>cnPN&^>crwXJ*Y*ARE4@Ckn^ zA#I(mHM2a8pJaKp@w%l%L#+29yrxk2t;01E>TL|A%m$mzECCUcc!DO9m?gFAwMH~E z>;>Ooe7nG3-MzZ%8{hj7<#~*Y5FEjV&)XRmwycJkSL-g29XUWoAhl(^*gzrZrGRVd zcfm9JQv>?VJ4Qn&?a)HE3wM8rWtW4z)x&OOwvOd`*ao}p%B@>L5OXrvhV5!g6T*L6 zm8&F51tqi=c$Oh-(K>-{>5$OtSkz@)5o56}w;Fk} zVv8tT^z$V>B<1+ds+j2OdYq2U;O!_h%QY7z9%JmNj(lV=?eCZa8Qd97`(fX9! zQfcUI44So=k7Ny7?8k=v_haYocWm6RtN(cJzYo_vMrU?6Mh?pJ@H5_F{Cj})A0;K zUZi77fmY|O2gJpazE>E(ooH|)CK;TyxwE1dh{F)w652T8-~}sQ5mLnCYNgU>;u-Vi zo+odfWuT5X_}x-mmxyO{z$X)a_!Rjq>_2e8tFwIR`Lfn@g~|yf-?_KTHpS~JVKt=B zpJKCZ2aQEY6102tX0y}09Q#+ z0_zrR!y~p%5e}lPqhXC7W_ENiN4jBaggPj#u}d-0EnCWGhR9My)y@iLNc+0>eWzFj zdoz3$3nn2Zpzlek2EvcVDUa0_8VcV6{$4uAptIt*=-*zd|32- zgib=Dw3fXn>)Bjz6nK0L>$_oD$)|e!B#67fEiVtWATO>9+X#R z*)&nl1aMFmORZ!ebJ>yrJHa@A2f#q0Rs>H^Q&G5Wg2_i}?7(chZgs7w*9;IKjazY7 z3{6o_3UURz4(A(~G;eD|#?+i+v5ReS?UplLnFa8+N@UHPu|s>R^=%@@8p#$8zVbHO zO{>vZMrYX~TZao?f-;y~`ixEO1L0coqDVK00RobyZa>}1dQzNiizy>3q5n`AzNcxS+2BuLQzS5Mwi(Nlx??B{aFt2o z;Qine>ur>2!=fE}l+mUst!=;6KnS&3HykM9E0p=B+9y+NEA$+JX4`n_JpuA!xg#zF6z)sT0<#f;d<=T`=iLPMgHu#NY(a`~$$ytY_txo*mh8zBIvVNQb|j zx*>JwJ;xcxjmg%fRHhh)8Ea(TQu+Yh%>$H0OLfzrgMu`xT5yUoBnf0Z3$~{gW4Qr6 zr7SYBkv{5~aDb%L2f(`_0cCMVp^^6seX{4X=TXZuGvBZNQ z??JUFjD-wn%%aVKPg;Xnh%h1DvWZ;M#Cn$ute@;xEjJnlYOkj4=-n_%n+m%H;$mCZ zQxwa9=ww4<0>-$Jx!aZ|f#}7y82n}nL9jwI^inG4DFwahR8=#&(CZ`o9mB@DM%RA1X_Q+JA(#N-QEc_PlvHP;C^}V9G8A%< zAWEJr*KcaKp3izSpAUV|HcKDCCTtFQqDt3py{aqpZ#fhQ^;qh@3go|@uQ<;j%m?NT zwjuh>I##Tp)oH!1)`4-1Gq6&m=EwQ03$Q~oS}9;ju^oCg8Vq^1REM!OYie`G$Sv!r z=rNZQi+6n}w;Rvt$3QqPRs$1*^G!@7;!RpR3LwZ`j^sdNLDMvCv4t!14dOz1;ol-8 zp~BG-EEU9%in0MgwP=eJsZp6?A3G{AEqkS@Iur5njPl^c6z=dW#sbfZVpA zZAw&jKBZRV&b`0q-xu!Ka*2#E*U$I-VasECr~GWQ&pi%uUF0+RjhziKu9J>_e?%Ry zA5$pFkHqK1#Pr!d`tN2z2gWb5)4m9)gDs9y)yN zGjihs0wLL|`o=e=*^O^@9W-_h!KdZZpFSw%SRqjRpuEZPGFdn9jSBWUxBK*4gHt;A zE*Vzjbone7d;gw`u2{h2HMqPE_eR;BUEh6^RUSDyfNY>Kfm(&pvVof+O4%g2P)0CFXQg{z14Z ztihond-eI*-aglu{m{An;e&^MJ2g+Z!@Yj1Ki8D?oV(L#Gx^I8{&^|>mdGCnF4<*nf5!oaL@Msnb= zn&m7C=2fHjzSlW$P~JL_)ABbo^i7CM*9i6dU^uKT4KBhAV0zO$Z{m_TbSH>+UPbr%qz z8%w{f5actF(3H)P9Gw0g+&R}q&(=9PvDL>;K9nJlv+ib>{z%v6SWee4xA|r04(Wp` zrA=LT%R0X-9iF_bVJ^(+bAOcM2~!~gEGScY zDf8*Sr$7jd$8uGdptYQ;i^drJLNkxV;eZs|B05#p5dx{4Q+cc%s}$1Ym7QjF!Na;JQ{%*w zYhpNZ@TnQX{2X|-!0533LQF>hAqUUjxgm8xAQ+^o_PIeg!&q^JFW`3xpBWvQFA0YX z0`H30NBNogbRzg_E}9py&}{`ZDg_|1t3$RC$9M-?Z1ivV={pMqyx%p3SBpNxqkp9V+LkLNkG=eyaX?g@A$|ZIxQ*fokTD=HBxtJ_<4!Ne% z843hN;23c=LD_0-1WCe3HdN-+z!8;QG^@n+#KNPpSOFSD+$^H*Gct9)-C>%g7Gwub zkq@}G(1|ANlFkF^a+cOo?^u@tZs{V3Co>!Q&@+4DXI5U*tZfrid6+!ZfR((3gY2VzQeg%5G$1HoLw%ly zNSWhUy z8HD7wsp-qe8r8x}6+8S8wyo_+6-*h8tIZ*Ce91ud^=ZbDO-ur@u-@q^pRZfnl6TYF zrr}s-HoI|Gi6B&Rx?)i}&kU08O;iOfKQfcy~fn74Qv0j8Du6HJifO zG<4B3U9d$}uN&>ij$epDiIDlnaTZa~8_X2d5wxS*XEqMhgJx6%m=?mot*a%*leC5+ zGrZLl{3zLl@#6SLeUQK`_K~JD$!4M^I}Np;56})!pmxm#iJ9h2{>38pRBO-qPHZH% zL(&d%A_tCEwXr8O_M)e`r_B^?YSI>`%{e5Rxb~iVvz1}RCOs7Vn1r&taiI@u$SE1N zDnv7If-Q^g12hHbgkBFsWS_6k8l&xYJN)U*zfYsW^^AlRgAXZR3%7U*o6l72pP6??fm`XasV zWMUL+K_9zmWyPXhFH?WcT9KosSRe~r7KsBWnFH=^kBvG$0p7P6dcHFtCF`Mr8Bbjtm&akYa3ZM zY3*Y#McigexgUrz8oj~?dPgai#ZtCC^i5234pBC;NH)2}a?x)|e`^BpFOxvhuzu;v8u^0)pl2Snr`LgghvNeGqKE@OF%oN%!ZjUnU_Y&IUH7CPuLY zN$N}qWM!q_+Nzr3a=neP3=CX}EwHFu<0S<%Jw=Or(OdY7k2t`0IZIh@*u)3aiHu-VBMe-(PMq}f~mYo)! z^tFkARUo06w4OtQLp6s0eF)GA$5977HgoDAEE}p50K>jj;(pg`mO8<1Ovvpc_zlG% zNxNDtpl8RpHKRXF9&nUDp#Pn*(lPV&x?AVwhLFV zwV~L~T`Zs;oL*zLdScx`4?RIS(?|!mNoGvNmM!SCu7yecl5J20oi($y39(-alF^i| zUy{#gYS`J_XM^s0zu&PBcWoc?waT1>UDa0bX7P7me<{% z75TG|itsZQK}t>$gr%pL_1;_=mEt-X4Oa^;w?D$FseMfU+~dzA*$y zKS&QifVSf|Ui`*4H1+!DKL5vRLuzwM`_)CyI z^}VlhCKBW~lom1s9HP3H4U;6H=!e<xkeGA0e*M}t0D1!mtQGn1-ucDmo$o9P zmHD(n-~aVJ1aK;WO4!2y2mr!AMj=qi7Clz%bD5YekF$3qWa-0$+26|c^ZVVWxaaSO zbr-5ezu&|sKK_tAjhpJ&<`h-;_8phxpUMM?9(SKpwvn-6ho5-x0d}8$PE$EJ*xx~- zGW6&E+v=gCqYr*i-M`HYhT0tA$_~)WmsL94v5)pl<*wHmCVZL`lt(W-POeqrj#Xb< z<==CeT4iZ9Xe(d7{GQ7kmAenmmdxzVBYvKd_x$_A?1of#m0wT4AQf~?26uw0*F8od zX!1d_>WHRqhQMhG>|JHA=E)H>efk?YN`~O_W!TGXIMVh^Uq1i&&!4{ZUw_Q%CRP2u z2QaCwR!8Q~J^bM;RjcRgj7Iqu7cOOwUGsIaK4fAcf1aG2o_y-~8t>;=jmOV09dN8N zwgw>79SA;VKW2{vXfZ5JWLqEAA$`Ho!SUlzdhT8B_*}f~SoX)NtdjmKaYxoH)@iJ%OHGiDcwA4p~SN;eYz`(+S-fQ8lpOKPNua5Y@O`e**^N!KY z32mcsg`-rFr2<$H7*(X!b;8mN8L{^^ER`$SQU>J~%J(iATL#8d=HqpkA$wnR*kW{i zBQ00u_((BNBMW z4l2fFT|+Ms5?#q3VJ*Uk3W6u<#*HV2xXxG#z6Jqhh)7L9hsu|AumA ze7%V5ld3n)N~HSzqFEqNus-E=qN$${401YpF-&bCmefUYP(;+F&#hnfT7r$%5EaJ; zXQp7R3$k&o76UipOf(u4Es?DdYg03Wiw2B}QZbXmAuv6Kgj9P30h{c=Dk-%L>OHuC z$&aL?p+%8lW-Vu_fkBvg!_*1{@~>lh`l>+HlJkDW}3|CDC6YtQeu}Oy^L3=AU}sx3JUnYJHp=l%Q(*Ul)It_pi8KpkyN9!so z(OFOTBi?zi8wETVoxUQ(NP0yv4kg@^-H_YF9AJt_5s5RV*h0?UW=%&QhO>f3)h_bl zCyxVrHDhj^zL+Cyj0JrdZG-+YB|Xx)=?ZP=&|IP21b8Tlq9-EtPrXn}LDOvs$n7Na zrs^XwwINjqOG|1B&3!fl#x;u2`XwQ(ps=8?U`I_L^@%6F12ax!Aufls>-iXklZd)m zOYcywx~X(6Qdr|k2QG=P$%GE2?bd^@%Be6jRbjyncyB_3R~M-Mv0GSfj#)LKGToA# zD&l2>%|D|aiUmlaVHRpv;u|c^3KJC($fpAg6h4DW-}Dztom!3bMk8QM8nkdlF)X&l zvVaihzLIDdWyLeNq<(5=Rnu-a#RS&i^`SGc-k?rH-ZD~R>)u+Zo?Zx^+i* zpw>busRyq9HKm`?du{vRsMio86cX6ND~{^vy69AUr(*?^i4|FaQXOBHqy~Eu`mprK z;5Ccqwj(-7sg}N~mtpc-Se_Df;4S1DS2}51yVbfu%6FP~)diHgNDJy>0Rug?)x>?q zP<-fu2~k4ql^nrbO6EX^baZU7O>`{Qb?yW zk3k8gMzOZj?rBB5MXGpOT3O5u368BdK6>q{n4MNFN$ZW-6rijiWI-jZ%m5*)QLD&* z`h}pcxHW}~b_uEqQYe^58w->-2$nwyZJ6fKcuEM9%&vWAFP--y*GWaq^-G}TBia7Q zW7qfJ@7RA!|C#)K5$-%VF-Q$_NrnfZ1pQWnO$w}l^W6~wn`zm73YX-3m0$V)y$rB_ zQwje1^`~y+6!dWiYG2RCkX!+UVxt&3nUZzo+Id1W!MG^f%PyUy*A^Soo`TuW|X~fTc@)c_RpN zfO{VIt+n6d{wII^lc&JqE8?SW;Qct}-Iv~dNp61aYj<+u)UMBdR@|L$3aIO~YVJxr zrR+}x?oF_j92yxhgN+Q-jc z{W8)S7pU-;%^e7|WxRp8!OgPS`MeR`h2S4P^pk%OKAis5$#{0sruR7`Pl!?JU)S2x zU!=V~@$duOC|l(nx%sRqf0z#FMwxG@IJ<>(^$yyPlJof`$W-`H@5) zMTj4)@@ZD|ADyO5xJ(t$1&35!ee&=`*}a#L>MshR4QP*TTq-$mawU7Qgq+Rk?vKuQ zpFYFERxFNxNX{uXouxAkLW(zEu5RDnot|+f+ebf|Fo@*!L%WBvjBS-az#wv8%vIDn zRiSQbG`ZbZcYhqtQR~!E%@v=mdm(t{IRN-jy1DmBXTP5z_y_+$Awbsz2yV(pKl+Dh z_dinlTJEl7X9zU8le6FD?5JlHvVyCd?(D4PRIB4h9(g1~tl{3wG(VGxGt=ij%;oc| zYHuIB{POPjOmD8b`7iVYFeoDC)1@fi&E1dZ(CCqzaI6*(MEyQG_)^vy(DxlM(n;+h zxbnJYu0gVCe&$1*z(vR}UAWwZpsFN8kT>{pewSSS;@KC!sNn$kad@=g*@VG@9Fx!k zY&-{;BKtTQxJaX33@kCla#(r0gwaNPu`Sb*MF~HEJm(sbBsO?hN!DM258Dc1ji$J2 z+-$5yagC)6*=8t3^0JPc2NsMi-FH841D~voTN5N`6Q(V({$p^(3?lyg)yxay0Tqfi zgxe2?UOb^7CNx749>seHX9Hu#&yjws3%!Not0I)LyFeQ~-|FIs?GUN@YGCk_GS)Dz z4*3D8H!^bfdW@AOYaN`0sQw-2YZh<`Q4^q`Y8O1BOeN>vP!d_P3zXH0d{zl-cP+`0 z2|0PhLO&@4IB1`sWl{dd!|8CkWq>+O&)LxHxU6(te%`Sj@5Ig=g$q5Qts5Qt39Wd- zq7}~w?MO>8j7+XFZcZ0H2qY9F7x)Q>d>&NBvoHiYk>X$wH565VtH{!$b3lkaQhjQH zRFvi8Y+3X%32Q*3YR_l>mts41OR_QnMx2DA%H_}$VP6F78xqhxg{-R}iGmtx1xR4n zJ=ydIjA2<#Q9B-m9n)(GnifyS+&X{|v<;A?$^kB9$+dr5U`-w@z(~!)hP)93Iu;lR z85yQYKx_aln;4ceM5YBI4O>EbX*-Z1wVNY$umad_TOz{-X7VD{iCF*`O-yh5C>DiM z@0_CqT$h<_Q7=5x7e=cJ*?TbyZQ5vcRTEqjvKz>$yCgXU>AMD5hZPJ;mRPIROp>oH znFMD?@>Qh_F zdSoJFBlw_GO!cVopuB6!F;w;7G*O)R>23r_1-h#3h-;0Ct<~IH0MzwXL`mUwa`X0E16=! zADNh9v=mlb#2$ft@qe)Q{xOF ztBqh3mdhHcAOtSL76u|B{KKPAl!ogM$$g)U$M~&SE2H zjY9;ZTE?s442>Y*<(ONeTaFYRa3x+9CLX}f%ol~J7sS`p(7i{aM9+YGLH3oi1?Oic zyh2uI2bnKwcfckEHPdsr?R!IC)bsQf`I)hc!7NDGf+Tk^Gw*17DKQIeG6#Cr&rRig zyQp`^yIdLXGP`Fi>)mFlnqfP#LiyRMU1OiZWHCR0jbsjcx@iUx=|{e|Gs`1Pw5sem zIOq}mJCUHmr_NG}JnanA*f=#^Me}xm~_DZ+Bbv0c08JvsJJ-h=H!_uxYD6I!0q??oG|Ntbqtt|eVbL$Z$OQ)MjjMxW zCYG`oCZmd(7Jy7m(h1HS1+{{aPu3u6vnGJ7O^Ucfs&Dc!O(z_8w6SWyfZ$7&B$bBD zRyTucjBOecioG|$(H&UcpX2T0$*iHTWKRWi!C>zAk%%n5fQ8-(iPvVRYbWlEzEC&3 z_xkTYs5``PHy7Ir(qmNU*R*CXchg*#{-8*R7^3M~v{eb>YLw2I5gtOA7~*P#k8meG zA4JKjo1T&&FVH=oCNvES}j=AwzRja52Ns3gt2g!bvTbZ?P|*35lvYMH^LKw$UEHKq}DH6WhQ0t>6E~KNs$=a+%Ou-x_lPpXXo_ zdvHA&D5bR_<2{y;xX1NCU(LXB}wHI3JCuj1FDsI;-2E| zZ+q#b6+LS`+BWaa1j9wckpj`L^q->Ok*gQ_d+EP)53`!hYggaf=Va<5Tkq=Qjm}Y* z?EC{rzMnRamr0o`0z!o$dV9`P^Xg<+#-RHb@xOFJ|=YIE}enI-i zXNP5FS)yVoIhMT7e|~%Q)elk^zo2z{ILBMFtDU#K?E_cS9njA`_w2JftA`)fEox6a zb?K6O=GT8+2wq-6!{!qoU!7RPm-|;Qef5$ybgwB0zq1r*+k`lj@m&@qhep+c#E`Ynq|^x3_NHx&p@EzlmD)x3^cnqzHyUlObrL zfQf*Y+`whhdvk_n;U2doo zijuz?Kb&K*_%XJ3CS(gb0EWPL(k*4I^)_Bi}VcUkXYxiwGE%pp6;x(88!*=2Zt}bnl zo}=%9$7canDdv3SO=W5)Y)W}vVw*EcD|6gS($N5E*tkC9Hp)?iGFb(bc*kIjR)oc4 zY~=!Zohv^%#n5_+P0>`~w@uzN`{p#w~z-`QC0X8n%usOTuG+JvJqMA`p zd_AJC-RJ0@V=AVq#7+3;c%~T9L^QY_o$}10+g`~_9drDN)QR9xRvR?d6BrYBWya$a z3eHvsc07_eEqEB)k$j|l2d{h{n96`P`F`iTDV>gO8={$*&NA+Bx{lkCvEFO!(y}Nh zU!-O`!&DpJ*|0F3@wu*vL1;yMNety4PX`NwvQ>n`DKd>wDMb`{#Pr-|GIcf!oF^d1 zII7B~N<=**!kK4Ai&DVCw^_FE1OzbD!7`0o4RR5p6j=h}x>>c$9nYMAW$5lZ*v_3{ ztb{UxG&aB)aFYWS9}u95&LFLV(zcM)8^`fn1gwCUw)*c7h~@z0t;vQ2KQD% z*r<_zVgkZwgNeZ^6CWc1uO}sk5y)~%1KTDu_7$-5jF3hp zX(^@L2u#r-Ut(1zawIR+OyeD->p#=<4@xOXDrPHX$d_0$*H0j;pWt9Z5~RXeI1~ zlu~ATxZZcn-V&#i7r~Ozy3ZLAB}bTtB<4mTwg`c{dciiC72U31j@WhHddZc+(E1S~ zDn-aNsxg83Y`WYlVeEuAftnR2)4DUJcWkZBTjPPtH9u=Y)tbClgKyauQ3o1HZcNs$ z3>H}%LiW!4g8*Ql+3UE0q(d}C`enD#l1kjoJJzd>_(ev{zzmQ35f@T%gZpA`C^p56 z{Gs2j%wF~I zs2%S%aArgiS+cgx2!SwSKGGIh=UO)Yw1ru)qd+@U+10@4AK7TMyjM|} zWUZ!tvb?u@e1$60e@C&&IQ+nDRL?OD#^27^?jX>0xQ^>k?1WZ>{vbEw+1wUJF_AT7 zf_h;XY}&9G_aR_2E)=dD?IIyVD4W3@$5D!1vm07&d$PZo#~nVY5JKIc?x5ZWKk4pHpfuXajz~x1p<6)YQw$Pbr{do}9v#x4!lsUfa;ryK_H+ z`Sf2iPd&=$?2Jx@E2~j#eS!)|Fge_%T{JW9wNNq1B6pdWAT=F(`Fb)NKpMKTXq#lr z(Rx9W0WA%pCkM*|+gDS7Gfzm`A4$5`Ko}+7skttFcJX?$BfCcTi&rlqQ=6-xiguIW zWE~))5ovmw>uaNVXzW^Y5u;kq$iH;KY50Nn>Xo^`L~=y zNI@pohM?a^?G11E(LCog<5zU>q#0QFrc z*!cC0jl;u!^}qucM8O-j-+Ovy6^&di%)X{^cT4)`k_a33Z0gZmF<4)kmAi1uBYgDkLf3n^3k`n!+ue?i+`0I?a0(e zF21XHBQEJ3CLoaB--!D!BE7%SO3qgu7p9{BCv)j>=e%KU9ZYZ@xf)dzPhFz6voU>h z`{uK&XGQqxHjF`SZ9V@yZKx^V{R4khcB$1fpZUlOFKFh&uV^aF$Vf7k&lh=FN&2Ul z1Gvf`*KB_lNmPzZ$*k^)Q&OFIAwpfj8)9_vJcXiz{1;$7+E%D|Nsg=2G9+zDdY4^Ue9KjrK z;!jN!9^@S#QSjb-e>@8Vmh6A7pSv|s@Zu^_@bQl~&1xkIR*&bu5#B1^Ow8+#kULB) zarlbWW@kKYiQ!PDH#XQXE>tq$&$M!gD|DZZ!J;V`CnAyW(?i-oD)}p5>ul^`ur-y* zLxs%Xj2i(TD$~kbf`L%ZaM>34U4b7qx)H)Kf*ko+UPET03L1mju5{iRBB4KWd<}yT zDUO)|=Vo-kF4@5$JW(+c;cRV(nbB^S5UH~#?&v>0@iowbvpixW$q2$>hOTVMm$4p2 zJhXzL5)Dewkuhrrbi}^sDksk`@I!vbB5{LCD@A9HiM`*LD>?Y#Z_K(B_#G09E8UpZ zN>PeM>Yf?b(rxxe;}zMpV52=PdS6hs229df6=$fz&+hT#7BS~@-1lGuFbGtx4N7b2 zY{ziRDIKDSG3y-KJaPlmSeDGl8PTZ6X2{8HPaUg41u{zID$(09Z6IzUE3@Sf7@*qkwTP2Gpg zEPb|Q$ilc3q6)Y_WrYil5XiIJkzTrHTn{ZpzTYsCgBM^cz#feC9V0lf&8z)~SUISg?F`Wv8Z9o7?PaK0?{&RDfGeT16tCdS zxTkPr2#5s{5XH2wz+qUCBbR2@f!s9vrVWf@i4v}xrk)^AllMS6>>?d($`NCH9ATHF zST;>q1Te_U8Am>vJUAV52+npm+F+P;fySo7ntP_=kU~cexno!PY>{UPB93ry-!y@t zD2ib&V{(IdNcoVed*kzHf{=OPz^p^qok~cZnTmRC_Bwd)2?@Q6vesx=aMX*O>kG=f z=Sn*hmgANp*RpGMl6M9l;+gc`JA}a=T8I^H&x#3Ci7kaH4z*a+?ik`Pjgl(3J|K61 z+NjJCN^ESIBOuQ!&m=~dI|%WCN;b(VQGzqFWNBMkI>zQbQ%Qy=Ou@&k+$6a4$nrWn zPG!>sp;k)`lVflUo)ZOGRdq}ZvBRN)7nWHU)k0>$5X2CYiDP1+epYpUws4*+$wC#_ z(_kCKbks0tv>r-Y2PCR>N)cr=+6-KXM#sp1Q1hs_YirV(jM&4J4Z$$2G02~cLVyTh z^RDwG9z`?5%Gc7(Y)|S--b^MzEUj6`6;jBNO<~Bok!w5!mOll{n!cN>RZ5BtEUc81 z6y9fb3)4*OQj|9}#d_X$tZ^9^A!FN)HqRK=XtfH9cEK9Zh@6h z6TC=-?8qs;*inf|I6G=-RD}W{V(o|05b}VK`wC=nmxmdVuf*XZ27gdTv%z^1#l`UE(#=0zX+)+^4W#9M(a~WmERl{z- zvq*4+)42kY)x&`co39jxt%O)81mlcFo<6jQ#LrP636ocub1Bp^y@vJB00%L?UU zGDn;}m{+uWU85#=!R{GJm%v)0BoAmBAeu1NsrSVok{me3GaHy?nNpWRZ$_+@GvCG5 zjy<*xP*k`IKz*YpvJMu~I?|xzgv&k63pjmP(uz9bYWDJ)auCMj12A_XClqBM=z0d# ztlaivPacip)g@Fd(h~5eFb3|Oui$rX_8dpueV87wVF)?`p#zvAn5@y{;W6YIcpa!O zI0iC!FEsL=mg8V71~1EKI>(=7dn_n=2hW66ZaT4>7~8( zZwxV+9wa18n6C->)dwY&Uo~yiYn+pmjwkNs=uWwZBD`tV?s(xX2o#WwY1SC3746yY8;*CVExIpk%bTN}4-YjnNMAB$t#49YKVzCG>8jWXhiWwgt;9_IyAjgi-C ziw9B_Qk$1=@a)anJ?c9MAaSH0B~6+5vG#nVb0-`W5aI2(CVhizt9S(mf5e8>DmNVa z-MqL-d=X0tyDwjT{A$yjLqWl0M1;&@^b~|0zZ2t=lo(?@O97I{YR7!x3!nSk<_>?T zFZ|9w``qeqGP%!~`|g8NJQj8DU->I~!^msgt}kMnG*I(Mks%=u6$qt7;5=Eaik z$?nMrc=gf;KD7OxB6RmF$<&X(w0&Fd%1-e)%YBgj(0sjC$y`$)x0CATP48bN1#FqW z8wFRGEs#vjU3B6dJCb+{>Tz$23%5p(1laj&nmhgGm4Jx zq*pI!sr8pQv1y>H5H5Z(b+VC^Gq{0`B`l)WzHrhxL=)kMPYS$tIcf8Q<64&$E}}fY zlaQ4h?@)DgLH2>*hS)VSmxR!xr(Kxx6Gpiva})ha*Tt}muFwUI+|4&al9LL({>r3o zejXX#I`9<2CVYaQHLzA2hlzgT$YFujtr2y>8^#q#)$%t}#~KK(Qk4XHVwG8_D#N*K zjA>BItV7R+WD(Ty6GJ4QGRUFCXdw@ux+l@n9gD$^NL)ASb##>biy#F`*bS^zJ`UDG zZ@jL%t-H9}nb9FozVk9!Z#+`S+05=lR~}*U zx6VwV$=jGm&d%`2Nz2Ky8RO(SG4-7&AT5c2*|qbe5-utPg>1seN7A?;YKO?%N=9Ot zgK?}7=00KYvB=CoDK(E@%%0>i^^_Cb6E6C)Oc~SWW(w*75q%gFCh3lk;iE9%h&-1} zh?D9gaZRd@Ps9VD2TV%fQYk0%jKEoNOJsPeP%ob&C5ile)f{`JQnSVk@yb3^90Lp{ z99_#naaO!IveH*gCAvFCch;+fi)!*6Xle;1gYOvmB8HALP?#tw1nPxeE2@d7goJ+V zNy!WjKiEfB(BO-{J-qd5-#kv5BIcI4m`~PfnGzM-IL6x8PI915$oaa##0t4ougp=5 z$I6|s;{oQVa3*3Qh;&1v>tu=yWFG~k+c7yYLqeS6Jd41%4!G@_r5;a(BNH8($$NYV z;RwLL8}XfDx0u-Qjtpcv=H8ejOIc+y^-f-&riV8MSr{jiQEOjO3Gm-t5ov7#Y#N9C z+*ihgP_qf3-ox!RP>~NrwTKLxE`!w?AcvIoS-u7YC<|iIamodgFFkV(a&k-bp}ffc z+9(wsi1l7IEtZ|D2n_q#Tr-0;be z_rLJE!RdRfF)vxr5@{`Jk}>+Rb0i76Iz~3e?rBV58<8M($>0iP4MMo!oNSK3KHxE^ z+*S02>c{c1nff}08#BI^snkqSNjdK<0MV?@Hj5@>3AzT6A>^&F)yenNSE#ZhV1<)X zM8-LNV+LmqF#Sm(i)D`9JpyNeKa=5H%P*=Tdbw!s*_#CYQPr8oK*PW^x@a_gT4{I? zi0Ifo5N3=^h=0cT7$C%Nj=TPoO&nLIbByqTy;ZV+s0AKKE zX}|~GgojF{!WkP3ijA8dpN&Lvy&A!|Zh4+otChn~R_Mhk8j z!q-AzC(o9#qj#orirxWSdjcq0jlaY5OIctjaWR=3l0>Uz zBr7Wl=0uLC4$#XVkQi3NXTn_yY03Lv2dM7}PDJd-j!+8pl4sB`&C7 za%n!cFfs9rfI$;s|Oa0B7E7QzD930-7Rm{7xyQO)60mjU1Nb!WSRSgy@nC)3!d#Guq!s zYnhQ;G??@0ew2#XAVGYm5m4rc2w4Z1XT;7B9B95IFU$` zTa0rD$Ub{CS&|X?kTT;WXIJ-bY8QsnEgi0ubD`$n#3%;SbPPn%(5VJ9l@Cij#s-ok z0`~Tq+bh^fP2y@hZxF%VV%in#c!ej1{kgFi!)DgWU^VMzk+HN6^LoT1N;t}WnK&Pp zvvFx<2>t=Ks%GG`;XS$4Cmu)EG4aBr63EvmfSxBCnTGNXAe zNeJpYh@V;_%*;u-D7}rdTrWn6)H$U>Y7R)EG`cW*kRVJeAC`?9*)!Auk5;u5vpwsH zL*wdNHI-k8(-}}>ru9Uy zTD?d0tYGiWdb>E#UfyXDp#$(S6ad-Uv}n0kUu7iucyucavx9C*K^z4-8-5hGgC)hp zvmi<*ue~^3)fms8Du6Z~WxVHyC2^O1D#hmlT(WTE^Yz3ljP;Ak4XjH~!1_Yf+ z=CDQg7(y5@WNhrvc!87Eqfz6_>|o}#!$oU3*DjzvWRi{%`-A4{U{CHyE{)u;t9V6t zbfa+0{jXgjrt7+=DnhguqTXDKdv?KxQiy92Gpn`_Cb)n3qSl30EA6h0>rbq!96oyZ zsNhek=!b)rN>2WcZCZ;s>2ucnh2MJnk8W;0v)a_Mi?Fa0_#KZPavM^l;r53=3PJo5|*=ByvbC=W%!E2&q1*VHRvI?sQ#dgB`(do1m5jxaa3 zISrduw$6lscjAy(9sSq#=(6^?yUxn$;XnVz?|*B1ljSYcAOGxxN_17Ym1(>dA6 zBkmsVpejfI<>+0*i&05$Mq3-^*Lpg~KN-D?x21aNYltrw(f-cie(bF;XHCya<#7A8 z84EKQuLwS-X83Gvee7c|6fYDU4nDPp_JI!=7RRXTe-Ll@S~nFZgR;8EjqNwsP93?t z_Bv$uuJ-C~-+n5d8s*id6+3frOt9fA;VTI?L`|nr@1y|HiASO0^7~Eu`)k~1bgeH^ z<=xvq`ot+8Qlh=OEb+&k#A^z)KmLZO-D$ph68=4`QFAaF3e#Gv{8&vl-IXV=D96~c zA6ExYhB;|IhR~Z-Yo8UH(7FC9=Ktl(&+)X=<|g}}pEBL{_Ih{u8unZYww~PTprC1< zI=Vk=9p+d+32};o&wlo^+AScr958He+P zUu@t95DnVb%3+uRrNuJsKFaw@%?4d2b#cPva9{fyu3b#}rs7z4T+%&%6< zMfN&|7zM+v(@HUQr=3pN!U=OS-sy50gl-pyvruR4fE=lCWD?Ikwi$Y2VGr?gNlN}& zAWGblIfdvktvT4?uMv*eDlCGMlKkPLY3(Av1S8n9DtNKT;UhDzB4?0WyWGMbKlYseIc*K23@VUms1~iDEb# zJfjv)T2AF~Aai#4rN5aon2r^Lcp{|CIJxEegy9$ERXLnig6a8n{QU4$NQ+%V#@Y%Z zWg7-lI%cc}mn36HSAAl93U{iym9@jgMbz?KGXdkBDlXt9=a|n9bD1TFh}mN=AJ6x|)?Ji}WG6J|X}~A@Dwhx$C#VwX^z4X;-g{c@37(x#&0~ zNYvRt=^}UZb{A^lNGmi-F60g=To7&oTF4@cX0F9TDbaH?s7@bLa+PUaBH0BmDnf(CnCKN{W}RuvY|U z1fEo898$ZD7RQ(4v0#LGGV>cMVy&ypSwI{V>7^XZyse?E=O@*zaT?>X9u>t*o~SnY zA44T)XM%Uhc9m~17A--7*dQo@OaFYZ>uW+CT}H$oT||VHCsT#Sh1?u0EMH9~?!BtmjRi3* zpXP+;+`7;UGfHm;OP_>XZZT>ckms1Dl!p{j*0|f}x-*Wl?joP&uy?f5rC82Y4L%tVyIHOJJg9}`+9ZyH_8mFzyP5Trv!C#jWIfv7W) zePj@)fE^ZC11onqj8ltCi$!$+E5wdkzUL~6N>06LtD0?UOSB#v%Q4#W#ikQK~|ASFBB~ z-uTTpmhS)PkDd<*RBJ2`uf)CYR7skD{OaSk`hUZlI?vsDk!^anbX)Dtc_iRG|NzDJU*qy$l8YzcDutpTGIs^Dk~*d6N5z4i861Eh!`T z_iSz5Fi&0DG?yMfeBm1}ouG~FiR)dUCtY8`?AQKX$E{YEZ(`lvet45@%SbM7=H}vO zo|#N^pm`0KD0s41ZC-lZT%CM0y1V4N`rYqs(Lz-`3t<@)_wIc=;bGU7Zvy%q(8HLTOpc(5DK{5%?*?<|g}fkvL!XDpzS!vvCRq zmLm$x84At<-o?JTdF!euu(6f73&35SQi(s|wR8A_YzXlmi_*H;)SSkvRD_~b-+7bN zs|-GXC8<495L<=+hZG2a`-#{J3b%y%d!$FAL^JGRKQ zE;*Gf$4OYz-k9jo#iW#4BIVL>21F+$!qaIInpda#0qk`7IK~!tL~B~0yBogoU0v@6 zdE{n~OyAz1F|0-}|F2Ulze7{S>CHPx>+W#Dp}w1AbQ7L$=O4ZG=>}7ibjuo}+7YrXNwMY~Dvs!r!$WP(yy&(~#m9V*3kQjT8x zL|t17juc^=v*Cw|MtmMP{qCy5U0rcp(|@{TA4A_6-?88K+LUsBJ+%DJV;fqe^SLvi zUdMN5OlQl)8e)xkZNEbg$;A&IYkjaDYato^vCA3Lc|NBL+L=JI4x}UOM0H+1Q!mc* zKQH7^G|t7C5U#)7U|3hWwfkB9JXRm2I&J{7CwFq@3@6p3iyiXJQx%l3(j* zypOEs`=94?p8FBXBZG4`HY#iV$m5LReE;)&&T~J5_%E#D0P7n;nYPx?Y( zd+58O{N6d9l~7ofq4m~M=JBvvCau_{@|fiCV~CNP;geu6n6lG1DY z@yp?0_9NiZCMdqiU#mfFH1h!hGqC9|LBu%{L3CO-ZZJvL{s#Fcd~y}WwMsRridM%A zQZjj9SVH1YGk)TMrVV2_0%%=JaJu((Sf7vfxaSlohpTSNrjO#6Fydr<{I76LvC8dx zud;KZNOV;vnGtCn+zF-2YE$}j^j7BTL~4XqfyE`MRB}@2=n#FA>G*rLd_|uV({N?c z$*F23bg?O)Z_zTb*K&*;MerG#a#X70Hzc5tS-)gYWnu8c^;ktWefXWs2S0!etClHf zbUfaaPj;^30~$+IVhf#HeUG6&84$3|Th;?FYe2QaTgp$|sca1KlO3vD+ z)E)bO?@VjDj$$~@)l>pxPdORCr>5XkQjY!CD1$ol+T^v*u^O3 zIFAD1fWK~KKnD@-Y_)FdoUouoOH|1|xUL<)6FvV<0)1b? zoMFmqNkd4H<%9le*HXTVB&sAyp;P9*FDjNj3ThbB%lh3^ubikcEoJy_ zM)@7#^50RY?_Qv57r;ezjQV#E?f-W$Y3Fdrpcnn`W#Rin_(R%YgL)`wul=`kHg-Vh z_O*}wFJc@xU^mKNRm(*lME$j9OdxVkWsv@TQsZGhDdSqsyD4>Dj=waDJqt67LHg+L z7^)_gcE)3o`>+8qb%hCluPT9Oh~}b;zR?ssroivjs*nJ?)9@kU82nCq9odp^ zf;@7Bu;d;`e$3uyLP3QgN4qZ^zmht-XcZs6Fu=FaHpC$sM&NPZM$Oyi-UW(v|GX zagiXITU!n_=GLj_%y^d(zkb>v-#BGpPW;u19XHuFnzRO6FG-U8wA*GXKRT#<|` zRk10XeKuKbJzZMCQ37p#)w;Iuacq`fO$aB+Ae+QkXZWO_{2Jg$l-A}7{HQ9FIQRH9@H_(sOnq;BeB<{*BhotU7}$j@ei1hY_eF#tv|r_{9a zCpITXif87~wmHQ!Qk z(jL_0|EQymG}XLlR5FO$@q7-v&^#0pW*7KMW$_EsLNqzPEjHuijvm>?mjZwd6^0Pe z68*i5nd394V+c%QKonJM7`z9K%;RQ(y@t!Nxyx?B^FGE@(^=_SXNqR8t082mntPzR zB;QlUK?f?%x9=I4C_Jw6rmd(iq*#?VtM=I6RKSb8BGHv4R8K7M#_%9^RU+K>WmoNn zrQbE4E&YK9o^lW`0F|;jioe9*ICu>YZHJp?)b?u!8S{G1j`q$tqOr`cn9zl8J-Nq| z!N!%YcN7-R0`ZH*6!L>p@vBG#*yU-+b8151rDm=L(5rMQK_<&8s;a??qbr*_LYV8Up`o6&-=@sI8K-fr2?IlfTUaME9Da?7iHJZ1(0sX!U&%I07;@3?QB6XIN|Ko`51C1N zm#&*Oqxob!adb1*kQW_IH4jQLFyTQ&fVk0m-edDkM+g*sM|F@pa(gk!tD-#MhI!$I z1R=H=I&TMB@}7L4yl{uaoEP278AL2xmlFY*tdDp~*~zBZDWP(iINtstP)TyUSwg7i zfJe@qjnp7c-763nGoGkt8~vo@c8MjpV{IjG^Xb&prRV)g+O&GYfq;X_Xrg;rjfuH8 zpVkMvzOf!=)H+hsQ3dO=;yu4vKAr*?LZFlcPN#6QfLjLjdsWE8oT9OyH0lf>uX@`& z@NOYH#v+SXZya0x^ zz7X~1p$DU0t@ZfoHZ!Kzugm>fbG<=_l&d=5U>{7B;PT)F6J6>H@DjUeuABT?^lswO zh3nTi41qiU!^a%U(jP%Z*b|>C-`x7<^IO0C%O{+UjGRnRJs8yL8|lOY3#U&Xxy2LO z*z~6Mp}DCWymh<5JGPAZ#6SGR8`f~#bZ{thyeWI_IOta{Y+ZPM>%k$BYtNWLoCa9i zr9;6tqJEl!e=WCvxp~ukQxssn_P4J6t#@o);6Z!&Bn1J#ur_~x^=sE2d+a0yPxF|Q z>>t_uNZdQV*4Hpk!0@#hT}P-|No|{fr1ngT^xQXC|I-*_@H;d z#xj#l5(!?=$$Yzo-AC%Q{{JH~8cJ0QaS5=)4r>d!4Z0HuO)6q8qFA zP2sW`^PbK3#1w3=o_%(8|Ka_~_4s@Et#r5j_EWcCjvRmDCw}W=-&+0Y>Q9ZioX+?U zz&B$Vgx9Mp5hG8J4ZMjL>vPwH!axDXYmV_lfq74|-+cDj&HK&${-h`7!{-wP@qCc{ za`&l6{$%y=lMh3|jg!FrKmk|bCklqw&(x*;%;wLCg4Gj0^GiQ{GR*4m{`)_(`I#e1 zU;6rce|-H~x8PPc-~av}`?1THkHc`a#r6-s`FFm+FsMuKr-_bq+xZ%bk{!#o?gCl6 zMV&hke*S$Q^{JL8pG^Y=<}*h;`NQSC+4{9^L2R4%zkmA_1w0+FGkN{z{uxbuNbjcs zb!+=nZv5DfZN)a^13UlwS5iFbd-?K>8#d4+2Yn@o&FI=Zjk*LhHi}L6O&>c0bx2ay z>{FYZ@xeHUeULv3j2axsDSqZwMn@>=z2SIU2K1e6>>7j#U(QyD1qdy=ZBb}gNfa~PPzN(%vo?{&zyQF-2 zNWwd)bM%-q+~#3o6}?^tCX6SmrPF0AX~LpnP#DHHnadn}@W$H;KS2tna@-k(uACR) z4&q`FvXyhPp(a_)S0V(VF{QX9v(KX&90yxpdU7sLVPGwCx5j~!TtQ%k(2?5Qld`T- ziu|-3dGVTSqcrm9N0r-`2o-b(ZXZlo@-DCLVc4@sw@&nm@*XS#1iCqf@A?Nlvl_+-(_G+NiI82g{~nA(z|`QoHy_a zL0mz+=3tC-<$)JRG%Cj$3GFcTVB;5zBgHO51k5Nugw&63*9ks`43tv14(-?xHS@7$ zwkOw>*S4{g4NBYG+roje^lfM=?~R=>2gRtd;_+n#lh6*QN{xaMFLQ@fg=$OVs0F19 zh>yV(WV>?TL+8{NDu{4u$^GvIC6^)fBy`gX zNmUQ1``jub{$}WE10coZKJ(V~m>|(oLMRLQRGDc)&PU3_ot{G4Ge(EJ5iI~y3+-s3 z+G{Ib6XNBd$%4wv$zP}R*w%WkvTAD{qN=E9UCBedT%c)t$H+JB=iu_UNJ(v|WMqV> zaTJ$I{2{dtNj`WO4Q5gpspWP1vT_Jzki-iCFw;hItowj zdy`H+_pnV;n;qHx9tIx3j*G9vfGn}{$M(7qJ#;HrBV&c zyKFMedn$IU+NSnX-uO1ogrM-D2?h(98wzm{7l~Pha>X61t{C~EA5l20Gd{*A5Lm@8 zuP39aL)_;+y0#X1lSRExdQgfhL7@c?0|F$57}I3e<=(ofE4#q+>@zbC6xz@S8$<@u zJQFaMXTSr0P~q)#uds7oX52I0DQ&dTG4a!V=-`DxSI?afelF+nv=UolqSUM^Df#~H zxNo`3y6Tz(-vw^hP&>vQ{?jINOGNW#$t46M%ez~1)6*vO`}uNQI&YcCYue&qzU!*d zxS$p?CEAeTJbyUL`Zm+8z(|9<iJiDiF#WU0G)>VajrXyq$G4aTHI=3+_0#v%FY(UZ%)kcq&HdDrgxJJmF1En2tNU zo5}eaJV^1(J6f?~>J(oH z1vfy9d>Q<7o;xnQZ(Khv=JVi9ppOM}*xj3!d$XGNzDCaLatvPea(Y2Re}-682K1!} zOvv<6Ne!6i({3{I%|1he9Ixmv7?ii6Cm)KI=$U;oMek-==D1L^A%jR#Z%k9ew4Pk^ z%;e6O(=I1R$%ZAI<6V!&b>f(2_Gxm!X#l1KXc|RovOnI<$9ohDy4ySz#OPr_Nyg

    8VRk zUB3MI(M@1$_hbFytt(feZG%g>?s9g&>lh!uY;JuW!TI*>i$`PXM1k%pyMa2UAbf83 zpB`f;#aD0Ly2{^4x6|f}x3;#{6zKH*XQ+}Sy%pst}H;eZ0f>@7WOmK@e!78p`B#sLam zzp?S~RbBS>!f*ax-5N$Z6ns5KjY&$G^yv>@-2Bq!)~6nNyuI?px8MJRNBW0lcR!e9 z=(jAQtbA{=C_ZMc^iMOmh%)zE7Id4*)B*88ac|KT+yPiHN(zsddudoq@ppKq8+Ria zdYl#J5g*-6y7!>F{qV-a7q8q(We2LRys-6xsFRXRef#zcMSNCnmH6dwU(41vwg(AT zz~-r^9=?dgc=cy>FTNu6Et`u8^ zbScTy8ynmusE6&yv*da#TSLLx3H{O~QP6cC`p}{IoGAFh z?r_Kq!maM#y#Lu})9dL+_2>j%8A<95<-g3DPvH5lSQ2>-JeE+oD^EpZ*Xb2JrIW|Q zpT4yH)Tz4gEGokCKA?2nA;|m$6quW`AJrpSPAHOkXFFktR0?oUZRQ1G@0MWdP>n(&0RSeu{bp0S}$Ad9eXQPQf>UY zn6xx3z`@F~)4C+GVNCRpaNN|(w(=?XSr!+J3Y-4)(C4SZuJ}rs*g_nRzw$*bxMwn6M79r|~V&y}^9~1Cbgr&458Fz^tA;t|fZ; z%T^=iX%!uv$r_}i5mZh)J7;0b@Lp?=d zT+qePvIDO;fT+p0H67Po^`@V+@Ll9VD^eA2IWq>(EeCNhLh$jtX7MNnqMR}g_kEU^ zb;f9C&oqvhk|JH5Qs}(lDa+h5q|IsunGh&|MxRvhsXwwzV1#gj+X7{cz(Mpf>(oL%=MLkKIJ4)GnO5g1yoEcHN;Yvfr}_>(yl zow|>vIcw>v$qcG+K#f^N0zzuSKv^Y%p|{X!7|Lht)+rVDQyx}aeO1zr;EjubYYQ0g z2qoTk%FS_)H4Vh(sq%WbWQ^y>s!=xAE4*^)ao`J<*2~lkQIlaT_>P!AQPIMCHZB?e zm4#)-1bJ&8x2YTTB&R1B7n@BcEu-u`>*mVxk&goM93I7b1drw%bLf~1Xq2IjnSmKJ z79xVi3}u}eHzNpFmcrGxQd#CVucKB0Ou;I-tPNy~Ch$Z7z7|jgpHwaH+1XueH8TP+ z2+m4%YQV%PsYo8>Z$z<%0vuGEG=$(?bJ-~kf>rx7DVyo6Vp(7Fa3l$TqRS~lbC#yS z#HH-;e&lS{);Px}uAECKSJWLZyKeUq6EIl4RY__hWz zuNDA>D&$md6N0HjUGIhzjQ%M3*Z4Pg`U^^F-y0Ax1G+^!YZQn24aIPN{YY z6Y3G4Wo?#1bJ~A?hrbb5qGCuE6p}Qg3W9y0Y=F4CmdUxNj==*GvFz!TGIGgh2vT^S z@SjoOJfiI>{{cc+R0jFy^=LwY47{zruc-PX2nrC~T>9j&9Nhask2f^BJN?(*(jgLv zk(c?^tFQ)zjfN(EnWC=9hp0l*Kui+v;=lGrj|m4TVHk?n3IDqq1BsGH@Vk78Jx~r! zO-vz-@WCSgJWTfP>Tmo2fp091DU4_(tXHaDLHU7#GEs-T9_v0>%Q zv>RAry);!ox&f7;YsAFlcyBZhshX<5wW$g;RN#-;A|0~17HdX8G^vCy8s)U^v7$o& zE`?8(QQ@NUP^V}zBH;&h|}rk)tr;8+>GMO({4LOTFz<5+C%Q)p2_n&L%@|BLXI$o(<`nNpJZcXc8hsCg7#8S+l!-`#1UI!#mZCR7>tYRjn?S#$ z5ikc}0{(3LQC^vVpX~TbDiJZI+2`AfWn^9EthGTc+tf8)al}2Hcro+u$~b1h)p;{@ zh^qa8^A$tUz9>g^?g9;98=JR46p}_PxIJvmOFyZZD0K{U;$qWs%E}}wrSb>BFm|k* zaXC9U(I{LjjChByY;HD~AR^Beo&h7A@%WO`!17keOcOYr8(4{QW7G7kY`|25Q^r^6 zr2k+P4IIXq?A8SM(KE|k!Ji^nAHU5Elgz*$irUtJQ?HpnIHl{9W@yz2IdBy*A=%bxj25BHczW9 znugb0upfM4Q8J#arW=_!B&+?x+Ucyz##v|Ga-T80pE%27)>%C-=Pn;*;N-{TbvGgx z8P~F#6p2-}t*Mc4=Axfoj$LY8ic89oRUJf#cH8-e@nMXYTx-0scHUX;#jYDf0arS<{~;`}*ec3<_@ip=D8wy15C(HV4BXj`U;*FgR2hYP zi3ySL$PO33wX1V>B+i)xcT+pgMlJLa=@Rid52dx;yjBM79#{k%Y0!AsvFOWsZDr}u>^Kro>x7s-t z82Q@fn(uz;mTHt2ld|5M&GjZ!o!gf62g*scXAY{S zJg65;3_%|Ruv8c2AL@)_aFU9*I6Eq7F<413V<=I(*ug6jM4oko%J{JwGAkE-YkB+# zS&^-ksLBy&0+oZBQo=GsP>Q9?x}GJ71J7g7v^j%fMGBTkN{LBDqXJNOGvn9|sXXnw zd6c?)GoNyub=@DZmlEl`@5%)b&>El$vYHS~2oqNOF_Jl|z_>GOL%xpo$h92*J}eAv zVZcjSfC}u?EJpZ_7dfc%sav?l<5@1)qe+eg#+yNaG&AT8I0|fqS;QE|oNBjq7pNfp z?lL#lkJwa7F;dQ2qE)_2{ykTk0(vKHY-u?Eo_O7nXi-wx{jvi`}BCI=I)u_VxOW#ZFJc0?>3~ zd7PRuP_P0$FWBD;|CTM8nGq+0)GQb4Gv#m0<%;yMTC~tJW94{xkY>kvq#0wJTRJxA z!|BPWnU|BUX>EScj5@!pN1FC2XuU`}=(CJ=W$Lrpm}0>u1B<%xKkSFG>%c)C z(k9v9Lt11HL9upXooQ#}qzOVM_K)i^Ig!sAfIm(yC)0qntF8ov+FUf9iB_|%5r z8bkBUvvGmGk#$68DI^W3rqHwpPMKA}a(+G*Ia(?eq}qCROE|V4JLf2`zyYsh13MNq z^`gRJbK}rZ+Dgn{9;Thp+5Vt|U8H#$`@T8<=KS}5?>9lIQx*c7<>rq;FAI>u=K+OSWN-uuu0{Mt2P78Nodhfb!A z$2P7VzSF$(`RBK`1S9)}+ZRqy09+0QKYKQ$>_;)LDPWoHECqK9_u9H`ZC$zYL+}01 zp7`Pa>47i2?!Ws-?E1XB`sjcEi%+}_#h%;St4Gs&Us@eZ(A(R?4c#XKA7X~-C)Jf? zc{*zMz5Tv#Y_QIF?Xhc*Wp8=k&*i&6`cKOL>L31@D$d>1`)Q(K#j?NGeA+xheYh9`}5G`PiR)OX*3se6vD&d@Vaqd%fvR@9e+Ekk9{or`q#gnT+-M5#HW5srn^zFV-f`k#h?Az$#BNJoGAFJF&~Yq^0EUF zB9x-Tq?KjJj|j95x3l`GpUS?je#Dp;F1_%S^o@<%x1afgfAlM~bw_G*=lq-RKl2&3 z=9`^i4LPOzg+11tkJd&ny*YVazI^%ZLdnAajfi^Sk=FU6`=u^YaPii~TmSig^doBP zj})tzr`9ax5km6*%x7Y8OBR*;CtlbS1z&mn;1n)h8cbUBmReI#u5&3fKK3q7zO??H zb+BDe$I7_788PxzuPct&*atjE4=TU%R!j_K*9EQo5vH)%kiHo6Fe8DdD2p;baW5f`(GY_^ zVj*}1KLDk-M+(mzsi}tiPLfE#&W={&dQVD}BA@NC*BP|LD@t*LBNZ%wL7C$_6;7B^ zn7yuu?kU41G}mG`>pr|TtB_t}C}Cx=rNlDyWu-+4*RD#|-kK_x2Nqi{kv}upa%*$9 z$087Wqx9f7LUxk$$qQ_#+Es$|wXJ0B@|HLW$;8yeSH9w*a%DpWcW1fcuRIH&W$sPx z+Op3(FcX~RIoHN~4CX)!!5ncFJ&f8(cy9I^2N(&6gb^jI3^>%BW|~eMoKQIt38CY| zFplA+AZ7?l1FeqHQ}o2SrBw6+L1_n@K)aB;XeSz}G@>&BVHleuYLiN<2y+b5frHSn z7aEgc>)5WTkYZ{lT0QA>zN`;iRxqla?ApFU@U`9_rJ#XB?!KVVeZZBvFjOZ8h3cE-x90bv_`G+nt@ z@|INaeln6!HeU2hF&a_~<>kyZ9dizbJ&GJf?-AohBh9hsx2we*2dK*g5Avl|npO7X zOo<3>)Mss^r*=WUV5wZb+f;^sQ@PUZYrHwG*%Mj0T~nx~Y*@Usv#INmZYS)_wEJyN z3@R<8(2!nNF55V>_rn$X-9Kc4^nfyLQn*Ny09kfpr>~Y@F`}-h&Rv zyG-FZ{szv$Q-DCizc5Lk@pb)P-S`=W9ubn+%y~Ou@9f+;mV7O>z*;H>O(2rD8|QWC zTBd#S?qHI0s?yR1Nxsz2(Q&vC_F3?qAeZfhg3`r1)<}FPYXVai$bJqhQRCDV#)p=q z=Nz}?kS3dIEsU&k2{gB2o6Hs&3-i^iwAq3jmH_I^tSRQj z9w#7}$IG&o%xYNAr-{udM@%tWnwrwKrr}9IrpRp1@@$@UnwNvUZLwsQ!t!UxWNK@^ z%-MrMt}1pyLJpHCJ@uhuLTSI474v2^E}11-0MM8FC6AE{m}*3Oob8wMyjP{03xP9x zW;dgU>U-Y`Fzm%{_Kk(H zWpIV}&bn690`c|r$^;)gL{k`JkQG-A^n2hqVcG-LW`g)0np}E2<5GA(OQ1iRG zvu=JsS5X`|a?&$<(bW@I=uQgSaTt*Dxu`X);XSx~M*lQ+)4FDpPH+@KngPm;qkxyp zX3m*E+nv?eYrQQ`jfLsZhEj7FOb#8vU?|JIN=I_os$J%j@igN|QN~=R>+N!{b`9}k z<=68in!T)ZeO-)HWes_hRcyvBV>aQVW>LDwANvLDQHnS6x)wuV zVB;-5w0Wnc^y@JO^Pp+u-T-*=r0whn^_GwJ=*j)^3y;5`w|`H*i7R(0xt(x#(J_57 z@=oxLt9k+$r9tr<-r#;j=C#^-&w6Z(%PsSAclm|OWKki1y=S!Eqj$M`y!!=I#~HnK z*tH(_=(10Du)DSUptHw!A~6KD$<)o|%V);;7ZU|r%G5|fciBS02RN1Y#LxfC`(FOW zfAN6F5`QZEBw0cvLE7p$afBO5M{kypDtUh%3p`D$-vGefND_8z>kUQ4G0MgdC zR;zyxdHC`rXh30%a>D%srqvbrg=F;QjX%71bz}9`4}S2gU;U+z{KYpDZK+FSg z{mc2AcGe=|+SQo<_NRW#zUjuB%;tv-6zo93)>e{|1T#p7QCgS%1O@Mx{fL4jx4?;I zwrW;66zm-Bv|aDG9rofPJHP8o$j<2ZAVX`%?F0S)0ZYy^YibMRcAM)Z>~1k zb(>z2)*fBC-B=xNZ=_brUJ zO&BPnv5Z9X$M!C{iwrgs}s~ zY$_=4xmAJ@45hiQAj14-a2``0Bcj+`ipCDL7up zetKuMxxMxLbI;x0puVg_MV|ce;-$Frwh{<=Y$7=Tiy-w!Jszhyoyo2IvS5(fMu^Al0^|23k}I zIupja?2sZ*p&>Df6*^CB(JYwU6@@xMwJ2C8spqIBi6yZY;KT8gDdP%TwDdN#shAw# zGnoiOe?D>GWK7Tsl~f(S`skIYDV@63mQbBd?Yg2fK`tas=CjI0Y{@kMsY{W9tXXnf zf2U?CBgH1BT3S*k2x;j-qKd_AFdq{s4!I*&86rV(CVL$c$1X-4cZZjK=gmJ_x`cAC0BiC?R{#$KIie=?;O((9R*D7q){FY$Tza%80)%U?Y1m6 zAk1UpW`w1rUnfHkWUSbO*Lq(P=#hc_+$ zaF2|JXfhJf7|CdC#Ur@TZZovozVrE3?R|f|ey@Lke*`7#-m~l1s#U92{n)kY*Jcqm zEhq4tvr94S%A(rhqfvMc<=tkxL}Abv2^q8`Fp)LCk%MF=4T(`DVn#bANqw|>>L;$N zI)?9tF$I!xsM11GAg&LVh(fMBqx03~+?QP+s5G|Q$i+fY(=oo68Fp~xR+eN1d& z8`TLGd`-Std_=4)ytbS)>KW7-fog+SeP+nX9uSt9bWAeMO(B-6+OU8OS^2J|nmnHSakvav+d{-@s0CFl=KAe*xYi1;o>W*>P zCT&d>TueE8#s=cLV;{S%Q0QnS3SC-f9ks0NXbTN2)R`iCAyRE>8R z$j(;J(VywMc`yNIA9=haFq%;~=|@v&r@D%SHoC*VFl-gkIS$dr00XVrCINTz_`0?*OcQ1n3^r)0Y@okm z)pZj>v+_f@C`_99Dp62)rXcK>fWWRzgbN|e19p&ZS%M8s*ujJt;lWM_G$SxjE)Ecn zVg&jc$tCb3519hyL36mDiQ1lWvTT4vM7HZZn9qJJ3(#|2

    =$OWb>D zMF%GCD59dB_qtzQ!e3J5GlfG@*ogu=7Uqe={fltjjc zC+zUHJ4D~dy*tbp5p`YeNU)ID>>h8SkZP=g?+BD{stI(8J9<>)2?qfk)znBk5HFaS z=9e)`zov@sgwak)Fjm|2nR8wxDV!K~2tP-Gz&it_PfhSl4~E^rZnA4A?t^9$g3@7T zm8>-;Y#iGNcCa`1J7xl#tuQuW$1ba8F3cIn4yZf3&7^5oClmrwg~H3gF4cscuY7&5 zqkoB>8Loob(Jy@-CD8kjig$~4zTPmC5tl`4iqeB~K#f2qOJ5f8aOY>fE0`GZG-^?p zPdx?qGU;pYF7V(3+M_FTIk-oEwCL=UcKHsNDaNgs;N5`&=zks{RrZ%;^sW9SgKw*` zymXB7q3*o%HmrTFvNAd1Oh#c z#iRDC0z=?tE-)KsqgppVC(nRs^|Z!e@#+|O9c!13=M8KaL8jL)sEQ_VrAwwwPxTz3 zFtC!rronik3L6g3;F2FUfPt488Nyj-E{jA3ci^vEx@5yO!;*pbd5We*7Wg~YI+2cM zj8CAEjRiV5PCQSI_Z}~&%mE4yQzq2Z#L9*~I*0($mvpjqD!N z_=z5v-(+wdu@gIAIUAHJE@+Eg=%&4CIzd>a zY~h}igfLWg##PjXt(J@zjg7`NiPy@w zSUIQUqcMl3g87{nD@vPO7hZ(|_%u9*USa9sX3Qi_dniM%Cymvxv2PuyYG_)}gM#u8 z?63t{oH4;nz*p~&3(AbPR^Szye8*Irj?_iC6-%ab$d^B8dHFJ^5p8ZzP;o@|Au?3+ zQ%gSWfn``-7#36*dZR`yo%bLUbxL(cj108(=S7TTmbN7UemGk+@YzaN5<|90{8Nt{ z=|j)h8gW<+34H?_31ecFX-N z^f5Jm)|<5jAGMDfe`riU=gJ?7r)LG$))3-E-^6*iri5St-N2N6KmjRBI%<+25dfBk2W%I-Lft{*`LCg+5uZk7^8ah6sjw4E{*~yf0H$*e_^>`&L}#E2epC>Ia6{E%WBT{-iGDQ zaL6G?5~M`Swpwob4L2THhA>#`55G zDMrDwL&{VEZY+yk=a*g67xu94r~;x1FNk%4+6XEoLEFUCJJ1qBiO7soE|g}51UkGc z*izHf>?fZWn?;$T#f1Zr=!eN%3_D-P+iaU_52PKigGmq7YHh8}x8GRPV7-2Ube1Ns zxj|}?eHvqa>w-udb3Og%hqMVOJYdY@g(ztq5tFjaYjZ;sMLUJ#qxZh|r;dMW|LD=< z{rA1^`t=Xp`=N9X?eWp0X~kn)uK47!KaF%^<2s$AfBSFmyKnShkEFIoevTb~d7mVX zeJxD@Ty&aOKytYbPpw_?3lg(((GsBvp4J*C%?166*AoMa``fg zemC+uYp#QPdwrkrKKK(K{0VFB{r00L*fc6gvO+Y#zxl;CJ1X(f@y%=RPhrEOI-+B` z`N0p$2?v937)+DPVxFBxT+ySQwk-PHNM7#vGb3U)-|gS+#esQv*xoyEpdGV6*WLpw z_uK;qK6Ob%Ir^fp>B8Qd-k+xwl5?yUH@VsO;fM2$JR_c#H-Vwlr*F;9W0vi7ac%W* zeRV63e#fz%09S&!v7^d3t-t1rx`^=$XMZ>7u z@K-u|y@CNB0!BfBWi=EI5mXpg8Ffy^8#fH2jdWpeQ-nV3``w9hIhJU?4eNT zVKNNtPq5_9(DKem#pMT&02e{S4^i!~0r}Y{U%9;=rXPN?Q(-LL^$?YJtZEv=VA0k_ zBb@6LBN4G0Vqz0yO!fp3mO>a?Gq&7Fx^qT?=|;&}hgPth zScaw3($9LPNu;OD`wBV%=Dls4hEG%Ez#y>*4CeT%3cOHFoChZ`GT`Oxq{P-rcr9-A zzNM}#y?m^~5U{u8DPSv&Q!WXbGS(=Yrbb;}YgVSA&UN@JH!#{@U$r~j2%ODFrgOxc zb%FeAeQwpldpZ}2a>M~pVxlVP;tSJ5fsI9|;b=yPQp3?CLPKte>Z=wXrV7L+XSf(g zFBHF96VZpPm#g$7P$CBiRzCC|v1>82Mn5G7J(viFK`|>dWFtYtiWMvXd<21{E3~aD zq6mns2)<$#6*0joP4CuW8fGK#zsT7orOC~0Yrz}&wFpIq*1eGfWd}B zsxSrTRdmz@WtosQ9O$b&b7w41c?YVyWBrV9N!yxjSYn?-x0~wbB=}i617op9t0qlU zUvgKfq~&Uy@y=WB2$;@TCEB#^V$xhwFh}S-Ku}!P-3ENS< z(U0KUmCM<3)~7r`qmWq60J?MF9wDDr`KXM93rfs7TTh?LTYu_Iw!{|AGp>+JAY6r< zxjm$-Z%oKCGYjSo)}G#i@SaiwBHhunwJ~;%=_$N&pIF)*x+9GUW|ia#WO?9Z#$f81 zIIytWGcgyZ$diMfWRij=Jhql3yp8j6w-k#RCV?}bCh%liBVH38Z9v@#CO|xB8pPut zO24RDp2nI(+_wQ@;2T14IWW2P(BVe~U=6Y?pe##{7{14gJCzD~lt17}@L>o@XJmdtG8!_ux2qL7z% zJ!gWE1Gjw_(ZN~ALwj%IAxv?b?7Sq|zG&6%cV=sRTabEDE9#4YSC+H#wMK1=-3-${ z;+!Dg=+y;#BRBh7S>(YYs-RIZVtPZR^5r8nJ=-KGNG4qUs$E*%Dhw<1V|06n%(RJ>_3^-q6keEK z*m(*Ak@3pf(86l&ESk3mYmc3+i6?^1=by{dbnLF$Lpr$!DSOk%JU zaF9bl*R-r*Sz_`5QCZUySA9pz)53MG?JgwmT&dvdHXw|EzkYzKpk$nCg{cUmg5#q* z)>F^H6WF-E+WAiYROReEiJEKK9g8{GZ#`rm$1E^ulv|g5tTy ztiATo!~C^?`zzn`#)NTn<2?+OpV`~n`}yR)l1It1>uj3@eG3d)efG^9v`>kPTky^} zFTGGzJ)3Q?8%I%81o*pGupE?0E56JC`rO0^LO zw?g$JdvAaGJF2buN%pZ8)f3fY??HF|E>4kRUS|KR_Q7JBAK%lLU`}?<-bx4eiLQM2 z1-|rx8}l`=^oY4De(!5b-v(n`TUL*}=W_K6=7~h1oZjQG96xvbTylTx=EsuxwxhQt z^W7U zfXYCRV9Xy5chO#Z{>;hAkBfy>=eA7HK>QM zQG>83Dh`GEo{ecsy#+h}q{*|u2k3PijrRKS$5XnNG!WP@ zCiF=LQH8-z`>YdZDjKc=+e$>0`12D6$izm=fy+wYgRpg|*aF#dgvQOH)u=$+G9YG| zq^UJrC697D&aNpnhGd*6-Ao&qzIVnI@@x!sCxNO7Y4MxzsG+6>CdJiG*34OHoKhj# zq@$xjM>lbjY$`!;r*7>Lg^y4wOv!U7NYwi@g0fb_C(yNKqnwM+3_bd;MvjSA_MXo} zk)+f~afH>Li+V=<0vXj^$)ZQ6MNzR@OX&uQQF5TB%C>{4c}rLBmpZ44t_lG!H4%s~ zNIvsUWMcwX`~dJ&5eTVr-i0{>RWM6W<_$m6sn(NrYmwxlv$5)pMMvfU?2@Q0NrOhB zi6Ldil1Rjdm0jvjOP%X+E%}ju4MNeTyqm(TF(`)+nX+u8cu--n$yLsDRNXGXAXt6r z(fd_xTb8ica?{n$^(}+xgm1Klmo!!HIy5f^^4v-0jI~|KO7qr)7}nHXiQZXp=}Yu* zYDY)Op_R|jBeZm^AulEFZS=l2lL#i4Y^A!8T|&; zCTBhJv?jtCvo0N)y0N_}NYJQlPnkzz+pw+MdKok&;0)mTgOno?j|9kh;=F)ER=*}` zfibn5Io+NqV}t&2NQ`ORP9p7?xtZGe1G6mp4Vs&&9olVZMcU~Bcs-<-(c-yD#)T<9 z{1Y1i5>jyR0jKYYbBGU8GLB{L!mS-tT<=lY@Jb}6f?(2yVCt}ns}L$a`BAgul5d7g z>y|AcGbX-Ju{gE}W$JMFWjBDv*;Tg5F`TUowf+A#^pf#+bu0UBi>5_6j&G$@&@tuGLNJzb#f z<8Dk`93&sUcNn{sH<7gQ-JJBDk2Q5qt7jiR|PDLKIDv^kLhGtEd3NjX@2Cu^C4Z<-=WD=obo^y7yEgba)bJ^>yg=(_r{C^ z!u6ak%xxxHzYb;+D5_Ao5Q1@$dAbrzN=~1$VH-z5jLB-Y5C_S}E&^6R3+lUeh?(gW zt*9gPgHTmFyAo!()9W07DvxLaS8g}U4O;+~TynK^^SbA2x2kQ*0BE5~m@G|j%#}R_ z%fMmZz^092v(-nos1x`sjS95Tt{>w-a$egHI%7Nye_a)L@ntItp~G54u-Vj5M3snq29VP3D6V-^x^_)Q z<~SK=#F7nV`e2ZF&0Jo;z#d#&m@-byZ!wRj9k|Yz*YfvUn9buUyiIsmF)2$K1jrmI z;2NMwF|H9eY3&!TCwB@Y#Y8D%&i3~oJ$m$Lh?a~=ar~8MfXl3%zvqb$eF*a?Uz#J$ zl^cdwzSRmW%enkceKL*z3(&1o$G^A#dm~jfl&nqWRj>0JFskh>SKv%0OdLG(rZ*jN z{f#)lOdLpe7M$nc)F-ER%!h5XZ{X(dnG+5aa>+ak>gl@MJJj=c_0Qy3-^?fSSYP<( z=A&nMxclx4mk~DqZ+g?^<7eK)0_3o9fHmAf0+Oj*!ulw&PvuIfJ}PRu-)f|K$=qkY zAmmb|r&aP(d~nwH{d(pg3=tUl%v8KgzdVyUxOp?DHFBW%=Q$YDQVN-abib7uX$n85 znmM3}JmT&$Kg3vb_(qMHwz%)AzQgqXk?_8M{|ob{|HUJJ>mPjkFO=mw|K3OL zj^F*WB`fU4)IUpJ{J#iyMK}6(f0ZN@275P+U2JG%`<4Hbm>PpJH3}VC=LpS zOqqlJ$ro{u2#g%Wkpm_%&QebHo8~5FlEIPg+k%rTU%2vx7vT*a)I8YG@DE6YbCuCz-9DfP)0(i z%dj!g0L5rTr~zZrW}S3Ai;$#k2u`wS8KWa)ho3?eLql8v?!agUn||WN;x+-TWAI_n zhc-Zn(}Mmoc$B*pLkdwsdx34u18^m=Ip{67$QE!B3+XDgS@bnn2G`UyMP1O_n94CH zVZ}oemE$Zg6#+{@JT)vJ78zC!H3rd&V&+lxve6&w)7mXS3ShAQO<^$-C${V7qykgE zb>dHS7!NTD>q`a{8dPx{vTOWiMod&&*QD-kby+d-cn z^u`1OGXhRQlOJVkt;{-f!7Zyn%U(DR|7lD$dI_7W8f{fYL%K1>*;30_y>D3eEy+N` zNSg^MGF3;^QJQ#ZriZ>GDQX9LJ)2-j%aUrl)p~ni(r2Y1oN#r_mX+BF8mu{7 zS99l)OCu%=L|s;8)rS^atfsYVoI#;ubQM1O~$FiXL%z82vOs_9B9V$hr*xrZ3AS?0{E7bAMuud&6vt$P> zqzyd?6DYXh1q^qf`HK+wp(zGR)eB>ZFuFKha?g(`{dDfQ1(()cG;IxyEoDkQff3|w znHE`kqrZ}BW82CZSGUvvgEZ>UHY7G-UZRe5$2Gx`3gGy8X3qHRbXc*U`;gt(#`w8w zG1$B9>t)lTNx+Fvn3=$;#XJOXYs$rK6eeM~s((#<1L zr?qx2@z?YVw%Ve@m$N?hP;mVEdB+t*kvOP}Gfk+hJsELo8HF~Qm|bCdxIz1JxReGR zu-x?H*^S}R(bsFwX%XM`^VJfs36WH^1)MfTiF;G5qoqC3w^8b(uu4T(qN46p|hSDbyGwz(~){oyJLEXl7C*r>jZPm64*g zAQgHq5XI=M)D%LKIXzOe*yalt3td)JtT`kypLUCBHQ~E;e!b-?g@W{X=O`UyxFcCo z$vQZb2)lxw0K(DXIl)biKrEu!>b&`??`fZ|QaUuNqN5snNU;GQhUs8Z4=s{C)t;Kx z6+2H^$9k(Mj0$$ty^gM~fawLFKDCH>f^NUqvD2O}(am<$Y6_;JWUZ~j3=RZkpeH<} z3uKcUIj95VY&G%Inn@EjygOc?VY;d3^&uA&GWW75J<|mldt9W@|Nt5G6lpZuF*ws6xWhh}%o4$Dgqrs3CQ5uWI!=Nmo}Rvt#{7al59E{O9g zG7XoA>FUci`bIGmJpO=m>g(ol!-Ey_#~)8RwzP5lA7Jf0nPa$$8vpiUYqSskf!7kJ z%`u=U3YrNZq%qfUUr4n_CiQGw<(obt>8gCCjX(VH~{Em-*@+U7} zzIl_oXF!@vR9}-8OxU*TskNrU*OxDAWzd2hVor{DNtBX#^d z;Jylob0e2~|95?W z+lr19CK&Zs_J2YSY z;;;NmT5!5~>9V;dTH1LIRX){AdQU#~uWmeGJ5?%YPw3;F`CTqmzb&tGZzxsajOX$jXMK$tA_O3piZhYRm zgw8yD=imLv<;s18ee8R0H_T9)>wA|g=F`xzh1Z*#W^xfFRZvwlr)y^K(%`=N`Qy)< zJ#(!r^PYX-NLBpT(vT&rJ?+_k;<@Uf4?OaLM-<8cEk1X(x_aaI;~&37?wxbg@8h)7 zj z?C4Z72YVIQH;aRukr0l<^EaNlap}_je&*mRH)x&T4RDV(+>`fB6=@yU)BwC z@e)mWgyRp>?WH

    -qTc$CLjbBqe%4dHCYP7oU1+@7XbiOw|RMU;N^?$ZU_U?WKNC zen3bX@5lkNy)iVEwoN-7Ud%z2cbAxtKLiI5|AXVpU;Y+R61k_Iy62v@9XaUb#Zrr* z=MadK=|@a|Dg$dA{=-YuBv^&F#v>C4J_6IMMC# zP{}N@=j^8TRA$6#tRt&bqg|rOW3Jl4SQD^}z$gvsuM=ZbP(O6`3V|5oO-F4^N&ygMu(kRK_CVzy{)6UT1$XBqsd~f_%lk01$|RC^%QyestDu- zR!tw8t#vKv`WaNtfp!%UE+sX0wVgV<6h)@iOzn-=5E&Vnx>FpE>wD=fwl}`n+e}N3y1$rsedK8AUFSj}GW>FvA{VTsCz3^)RAm$- zio(L8mhj4!=1WMz;mAbA(O}p|m8c*@weH1nh?JzW#&__AJxy(uqRcvZPk?bw%dMLMggZ)}Um5sZ>X6??7@qFZBCvoE;+*KF!exeYe(q$(it5R4pF4|N=Z;F8gW4*fG= zUs6y4ntB3M1G0&_OLRiQbh<6q2M4o7Ss$Rn@WCgC9^M9tOfQyc7sA{HW*j5m971S7 zAg(u)Z50{<@JLC3ObEmqXF4;frVFlEHht-6TPa$Z5R|xXL7J7$vx9_|#ms$s*DVd(_$00g5FntAyomLo01w;+IFHRmARmDE2QAOIOoy375BGO?kkkTc}qu{bZszb;ssm2nG@OA z);OZ<%xdKi*QRBnHzgoWoyl?4;l>cO_Hl4Z1`OsM*19H4hP} z2`Q7tI~O#IwziwO4ZW#%6~fsCUSOa&%3imzQ1xu>4^l*GPg7UZ#-R?^e&*{5ADkx6 zcF`jd`ia(e)@+;Ydeivza>kdPcsZ5oQ$h@aR4Qae93+x~CSVK|WD}UQ2}8}HP;8*8 z2IN!+b}W^VS)u^r%s!QIRcS2UzVF&D{FY^Sc^AUB`%o@095lizd2Jo7DE z)6hA_1vT zl3c7meH@UT#$IICN8}*3bXf z?OWaF?u#*b43DdO`Ml~(DWBosEY8=*{tDLC2ZiQ4zeg6`N_?J^zpAX=GXutTY2khD za}$ov3iIgbSC3@=`mfL4CsXItFTL=kFTLe0<2tK+jrrW?E`3_}4kG|F{nYeR(mb;! zHL6tqQ{e zTi!A#zzPKJyYJGc|Eot62Ol*z@BN*m`{K{pZ_a|Z(d2dN!m!Uh?|U~F=s>6D5q}}_ zp3r)B(zO--3)GiK_U_03mmu-To&{58(&taK@<;X*W1H62zh9Ktdyh6x_-iVbKVJ9a zZ@B#Q54&I5zqx<&0Z)9u^Ug|^TOUU^vmUy4DarjzuL0)I{LF9u=HRi0y^0!t<+10V|IBZH=eLgez4C=;pG`Hk`0DXLeceH!@)QSS+*{4lMya_gV2?i_ z=mAVGs?J#Z&Uaoi`zb`eKlDR4QB0rQ+k0*g4z6AM_rLY+bG~9^Zay2$l`rh=jU2r0 zbwzPB?8R5S1ou7h#Av;($xN91`{(-SPQ#hbphD%ADCQn|h#JaV*=IiWx4(bf;r>F3 zcN*vJi(h@-{5x~c7rrpur#%KeekTs}3RSJowg1YM$5?M40S=x$zWkLmizPqy<(Rht z|Ii~igVek80(FUGeyVGd%^5q3R1Ss($<4@;Dz)M_Gqq`Q zFJ>Id##D=kzU$nKaSAUF&xleJ@-CE&t{F71myAu8GbcJwbRM(9mPSJ)mB5Y`OqWVK z$fs3@ox=jYfz$$gJ7&|#r7-{}O_`UlX_W4G zoe3brUrv}YLqc~<5~w)1TP@*?4^1XF{5&xXr404JtD%_bu6#}2Wb-8x^yE+})gIN5+iz<^GN!X^KLML1_J9w{{hU=k2D>}N9eOi6 z=wm}Z^sk!S_QoN=9?hlXZ>n1!WFZfw2}#Bc)H>_fF=1zNbWeKvCo{0e9(E-K6(u7iE*=h5o{f4H9Agpl5ew zVvHCEnxu1aQ7#zwvfO5L6KwNeaBbkuN(Jk*T{JekG7$4(;c1bMZV98Lq8%CbWm zbzrkZaLR?(XP`B6hQNBX*2%Q&LNval`MO9$>`Rj9Yne7<9>=$q%^O;2wCe+eTSHVr zrLSO;~ju?x1t<8K5qu$(n#QU+Iaa9;n0k69#Z4o@y7Tz?HdR%yzO} zBY5yT7KJ&qzG8Kjz;*dG2L$jW5 zxJEee1|(sPn{?XUNu_?@5t7IDD#pJE<0Nlv@6P| zV%@P^wR2k*?27TIk8M@Y878c&6*{^%tA5Ru6Ad$F2W`VF05duL!MuX-;lY{&EN2hm z+=f|bcV2aiR-4wPL+B_eB*?EK>#N#MC=Lc6Y!IK+IJw=@gl^gS`Y_UwZOd)fhQso( zs{LVzEJ#<>1V{0&Ho5~&3NrHuO&Jd({Cni8S33(SyO5h{G?w`7ivfgyPY9obI`=qVeo+r zE%=qDQ!S<4pojM~|8?P{o#!3^!LN(1OK#o#7L6&R1}2mtRg%iNI*6`b_5_$2CpZw? zuH7}=LC;>Y((zWb#xILr(>#%BnccdgB6&77QC7aESu@`>Xh&5Vd6|$y%G^V=_WH%kOHSs@QtIB z>#CAweHFz+hyrQu`cjPS8m(V@{@U|-w>sMO&Hcyr)96{>P#RaYMR9j?ck}Y`+uo*8 z_7|T2^7DH~A9!w`!M$$WdgQYo_+x54a6PGz`}-HAQx3y^>Gl^5cS@abpf5+IA2IH^ z=c%VOV$U3e;(_Am508&|y`}F+{cFI1b^rYrFJdsrf7{!%Li*(s4&=9g!~No$|4j-v z_a%W`{K1PCe{KG2RG|TGAU0MG<>Es*Nn68utPfQkf98)rldwKxeqU?$H~#+d@h6Xu z|M~H!k9dWC`ms+p`=2;|SX#{G%m3sx&pr1y{)Tid?rXd238Fo8`5{(3k01KuFaGBL zcH_n`-$=>hFAko+MzRC2lYjr>#q=fJ>2N4rA;(WX$s8nmnO|$(zh{2kB;6_c#KF}+ z_$1$4YA-!@soDQ_IAC>F96bGWRqf69=EoO~|7X^SAtKu5p}+a&yDZ$_K%>3;X*5~s z##P$uZSIPfX#ae%`04L-J-YPW^5>?utJQ0&t#oPW)`ug0(wVcijqXec6!U3wXS50` z_vQHglsGHmCZ(`<7iPx$iwOfU-#9Jrx@=k5aK*i<)g5wWn4OW`!_ys4m(HmE8yutiq!`GOPZ}5$!t7EKl=Ke#e z^-ia6eB(L)J2}`j5((RLxvBgIqzea+DZQ+%>kCfGjd;3pDGeGrpD%i zT&(%Ya4Y%bx&AR-VMhFO3{Q-#C;NdyM_9)TS{ON-dhZKc8iZ0%ip#-o42dZ$%WerS z>IQEc-k8|+4$RzXPM|^a*Dy2J4qnQTT6AKQT8B%s7ou?^#+~vIr%r(eym(u7OMjMssnrD{(K@D1FEK7~NMnZJQ1ad}(~_ zr_BA}*YT2WNr1s0qf~O1RsQO5dbridXWsOa2wt!3p}fH?3Q)(6AZ_LLgg}KrN|Lp? z(~_}pzz?v_pee~lQhvlB+B!uiN$=5vOa%g8RF&MpRvjucvM?Ev0HT5?U{hQz)c`6* zCDEJSby)^XA;iEioq%~`QB-qenUNomD`fCk`MKUY-*F%D6j~m#8kV&*Cdo&Ith#{7 zs%BSuvve%C8YlXt!p_(3u^!A_0D*k1g`>umPDEf=Mkq}MEO*!eyc7=>cxnvH+92Lq zCarobou>vR7|m8L*d=D40wT^_%c%;09%bNxG9BlU!?M&Dx*pBQLgGWwM)RntN-xnYwsIDxvJO1d5*4n{?;!51Qb5eIuHAqo+OTiPM?vR>I zg^`^v*BMWE&3YCdxRhHe8zqH^1ultwiiYo*Ng$NbXwsKO$-xpnhWVp}Jhj9tS-#8J zB#enN(ZF*Fp1NJld_-e|YPoF;7s&{RrbwZrw&J2mkoLk5uAI4C>8L+Rs!W6m#Uo=+ zJlmI%_>p9hYDs{0l$MS!qk#g@zZUW@B(ZtH_Oh;!w~q=P!YShv2x{!&NqFun5(O|4 z1)h;x=oN9KptvsuNUl-1MRlDooUC#;UEm3f;iV03K8Mv?!cQ?+K{N~YJeUy9QBagS zLdrxy#|MKR#VkpWO)J6=Vq^?&$8c(dI}?72u|q}^#CYJzjN%Uzvh~i-LP60OhoJ?{ zrc{|wIj9Gg+Bk;S=fa(8P6|K8zz=L%gEGU06JWrQ^`#(=fxHHkG^^>!CqGX*Ap!`5 zaB-L;jNxZ66rJoB)p-hI0(UaQ7(gLPyjACtPje#5q;@4dEvkvtdT02_7rM$X%j7 zJJMy;qSc8Ga}tgl*lJ=c!4Upp3~v6r1tU9O^7%jxeK4Kz<_!3NoX&#F{&L~31Y@B2 zpN$tw-~<2ti~UZ0{_kITxo~4%9^;hW?QD+5z)KA2d#$aCW zD16aEFb)0Vp-iXIia@kAQqx#QKAxY#GzyZt?L{Ht7XRGg;}nB2KEFH)?kpp;1iDaj>LW0s6<4=hSPuO#|pC&3cS2_y5uvv2sZ4G|wc0;^3|OfWOPVWB}Pf86Ji3c`nhTeG8jAaT>kB~|O zs~3A9M#(JMM|z5HB`ZgWZFAQeN9pxWPZVjZ)_Qc*z?E%N*2+x^=plwn# zNJQ%x#xuyYQ)lYlcd5q4>4ApBO0P5;e@{*Ds(Hik40FhgMf;^zOx(65d>czjoEo$P zw{|Rx*v31@l1EYRYGMJ%LE2e*k0&Y`q=`43^M$*U3 zq?NEzNShTcG)#xZL}!s%@3EHpO}oA~oCzj#62XB(R)fs(mq30Kly`(AY(f@aKR zmJZS?glf9LBN)$L29}Qy1go|t_G?${+Sv6QH;LRKMqjm}+Bmarx4lD+8HuC@kfN)| z%w&6L4^3~kW$)~K?f9tAiUlS+j`g&ZPqU3&O~rCgjPrTibn7y4r=!O$c46X_4v_165mG<4)-|xRh(%`6RG*QL}vK7a=e(gi^!u51SCRaY1Yx z5VwI6K}ZrK?5KPp^!SO^lD~P^GAKW z)uJ_%HH|tPZ`{^}8lmyQp%wQ^PiB4r)!@TCSdt>40JD^grLzxM?4jKT&xvmNa`1A= zR#g<|^>^NA;3!&KA9n%e#{l_90b&3*wx#a?>qUG4>dd)Ud zV_CO9D0(|*PXrawnwYTFv8!J3Ob{2mw3)?O^5U@TP1i*h67_}GqNn>8_2nVAEleZ2 zSRc#i3QJ|<9QD@tzTEDh!|K~&r}xGzbgUj4L=}CYJqFIQB4~ENR)@w0cGWZcgfJ-p zpNhfS%9zkuUO2%c)5EZCk?e$TU_(*6QKmf0z(U))4V7`h?z-SGH+BP!5UOrRHdLi2 zp$=P$3{O%HsuO01U5#)hN|=Jigk+929e=yAyr!nJK!qc^XXi?f9^wfqm)j39{F?i|s9TR8lVOU2f8?D+I{*&2elP$cD z%e@@@@vd>(ga?W-*T7E}J+L*emC5>F`*<=t<_zmXrdUTY& zFZDv6!AEZ9_|fB|t5-k$X@bqwPw(x0>4I*);n?f`PyLjr9v?sY=yb~382f%j=h1B0 zd+*VEal*j^dk@?`_2kPU%WrS~3z{=4Xb|R0NG5$>>gY_IM|Hn+j30%Ay|}k`;Y&w+ z_vlf!MrIDqV1ARV+Fd)D{H2Netoy9Y*Wdg4d+*iyAiyT$t8cmdmb94k7th=?eafmZ zOvizCJl|8TyMI_;g+mgoKBXUyd<{Rsj;e%HIwZfI5o&9PE8H}{^E zc`rA7@o6w|@ZrP(mpchy;5Ms9!W>eAgS|a1;f@^au{7lU``@n$f8oOSeIKaQECUA@ z(iZG@z02&Ub;f&5Up?{zzc;!1%xlt@p{`zf&2L2F-DUpA%=_^TYS3+< z??2JYn@%jP?y+t~rhxgiWSC2P_&+4M40~wJ{>A(6{hi-IELkqJt0Xn9TuQ5a4?XlF z59O#uDYG5!jN-2GC$j(WOrgf~fPBtyfP`T{4`4cd>s#SK-4Cm3dX5~Vi^!74z{%cu z4s!aZ9PB;&g)f+pBc!L#_LLk}j{J_+A)rm}uM}(orwV@LGZ*(RUd=%&@f+p_N2{~@ z{8Kvx2A@RX*=IlYF}e4iy>jI|=HPnHCd=o|=W{%fPtejylJq2VaO3#-z2^rG@Qzp7 zU;b+G?u7WF#~@xc9bj)SbHK|soTBnVH96(vmqL7c%C}55UPXFczX&u6aQI3EWXB+P zUJNKzLDBJYNNygCXEK%*I^a%NQz=^$l5cvXbOP}QE!>$Nf6wnkBG?BA16wa<&VdmM zJu%bI@swXOzLt+5vUeaD2)SKYmW`f_UWqFk1Dj+MsWIG>bA>a=k~e0TOiDia#N={3 zOWa5e{}DtnuSiN26-8m_kKrQEz#CIAWyH=Wbs6$CxLB04lvomz!Q*#i4W~hNAMCYI!u@}v9esU6P95t+|`d}B4F4ce{ z;c1M-8i25H6PnqhvIw}2*7c;VR2Zh($TLDuvXPP?C`gf5*0~^uSP)XY6n>Wa>G=5I;iBOUt>J@8e}mdby}8VWQHp^r5hvz026IH z7#JfHxcG%$<~i_IWqlqHC%z|O6EjZn(;rER1@c3>-WG$jaKUZhv3A@OrDNc1Acp5^ zs1 z4GlA=+S$8&t-a#)xGBg0cF2Wvh`^CBz8+13t7JJPIENLhe^a`}{LsxNC3)4l0(nv` zKn9-uY{UdW%dk|AQNQR3br+pl5^A3{u0od~r%2Zn)FM|o(a=I4DL`Z5O&Jo60>dX; z;tyBPHc%HJJ2W&HQPc#5Y4qzzcElJ8BhLwo%N;8I(&I=)QWRW_3x6@aJ=BDV zb_yHTK$mSqe`E?0JhaJBnjioPzIP2@>M@-+Ta+6UmOH=LsEH0B9X$gVoH?z!Zivdx zUgBn0ESkyTf_gi8jV8g$$R?PrQF7(R2g-~OJTDpq9#qD&U=B?<`j}j!u2(Rx^xU zC)Ca$?K39UHT_$)MTZj+_J*$6M9WmiDz1CtcGL#?(u2ujvStO}95$0Fcf)h&;7~FE zr1~&F-*wcC)Lj0YU#ep$Rx&+c@z>r3}HGv>IdlW-;Si)WS!`0bC|; zFezgK`O)w8>m3TL=Qb+#280qn3$~_=n0D;BvY@l*-b>MFR&z}nKrcbyY4w;QC~v$6 z$59r<1k!t{c6CFz_5@=RKIF~}G{S6?t8?BQMON7QfU+OWl23wj7-%v%=+~BZQr6zH zQFlR8TXrI)*AlZl9i(^*A#MElq4%$R?1hn_s4$jlj2U9#vSoN$P1yLv&VdAI9!NTO z;`V}YlKX+dOu4v@{{?p1^2ne)x(}N2Iy-Lh1;BV z-#jC7DgbS%91$e59Fl#=LG`0Q`eQ%#@U=^q9%3i*F`GC(_;z0$-)rvuhmZZ`E8j_F zNl`NT^o_=4+sRWLeDZa_d{O{oz`4wy;XuPvI7l+!4ku&&kzog|hO2IBJg?n*4LUZ} zdGN2y#aZ<_(-SGhsmu>d3DuXPiZ~&D)~>ai_uh+dtxT1)>`SkCZR7;)TLxYEEzev! zKvzclz9dQ)?|)h*H$KN%X8d=5*Bn7ALmCBTnWf!L2v9q2$KcTv#(VakI3u1hv4rkYu9-sJf?y(6>BcdQ52&|Al96mK5-8DrDB|e?86R`KmU-W@aG{P zqqOf-&%XhA2uh<&HSQab+Sw$=V)EIuI2-oOu#fzFGt$m!hOd3nFP|wtB>K&(gWvR$ ze;nP~nBN%I(!chF@aKXeebKiTA-$cxwfG-izTSMjGUbP){nsmjm!j*n8wGGKn=gg? zpToXx60m7(r7=yOJN&vObO*u#f3Gm=Vlrj_#zL_QIl+&vZ<8<+JpakVZN%~_y)^t9 zhhVQV>emWgot=LFsR8>>ZiT;~qvl@|h<{2dFIN}b8M-%k!nDBF-Ye9Ho=A`XLtPdFq*ho~O3;%W^nSd!@$Opl^?WvJd$59Mfd> z2=_Ol?e;0%Kl>x+;ubnNJMk9ZJ2*4)82EC{WyE`>=6UKbj^$3g`V(8WjI(X${clfC zC3e0(y{PK=p{R#c&eD+#*_n|-PW)3P$kILW8{&TLj6WOY+m`*SVJ@wBp5|R`LmZvw zD!azC+E+vW1edbm_7gc%e6~+Hy`>xD5d5H|b_zZRFY;;51^=lWV?O>=k-xT)d?uH7 zWeuy~c%_Q>N>U0LNkSQ{+2a*I$6YRzPJ%^{p^b{K5YAMT_zI&8XhRYlT*}Z7rXS2# zW2|2d@||&0&j&xre8nQTGrd<}0A`9}CJ{vpugKsPpq;trMy2jQQ4NYF$%qncrB@ zVHV)QTg>eH3Z8%3S)Orz2s6Es*hF>Cd<9AWXOvL__D|i7jWO+|{C>jx$LgO(b4=l- z&96-d?y39%QfJMVPWNnRSf6#h8hgI`#7zHLrZ5}ki$?R+kkY_7|DBB$&pMKwO{OpM zlaJzNaDzD-I>~8_<4@g&OrX}|RtP5l-RggS6z`URSLF1@ zC%j_B=JXzUqV#iB(l*^3#xrCjxr-s=STp+?(y$ek=c|OE`raB+WdtrN^yT=?d3GY@ zteX-h8#OYI(%I2%z0PqjQyk8OI>iL=y*=b`J#|tugIvOI$fI>w93&H@9uQWtdyG5Y zy{-#~NE1x$0rR*@OotX-V>`paIP;K+-tx>;2Tw=zfIVQI1^J(W;*5Q;`oSE?vBS;2 z7zrvhKSeK$b9(X%dY(X#jT?5^{!Ex7C+n%lbqZ5Hh@D){^ryZCVY${7&h;*^F`z!( zBya|aoOS#pd~0^d$Ps9r+(byiY*H4s@*x?A@aIhsi+w8Phz$xsMtU}~^!kf&c3=*t z#kLAMo&mmSo(D*Zer{trvtYd&F?2h<&b0u;~FgXwyVGFjBWQGT~P6fd>^%xA*w)AO`TO3uJjKcL7)wuR(Yd~9cd zoM#diTTv4fihv%fy}x8kAi?EENd4xM%7Emh(n?2e42M+YB8R!pWB!1%K}&L971Ldv zj>8jdtviTelZzGtC(vcC0v<}TcFuLClh{x5fWHc=qFDt@0i{4M(PT$2gLCf`Sq3L! zYz3kI^^gOqgKe>MbRBZs3XwhL0?cf|?UN5*X@-%4i?1`VcYclpma|3O*Ngcsa(raY zZv^(oa72N9C}N*y&O^cWZ2mZC50oSB8M8zP%ApBW7`&4kQLEeIZh^37gN`GN%Q_N9 zQ`e!^$G3xFcY1A znHNM0N+f1Bxll$_r;2rcDajPPQv(P4he!e$E^a9fb~<_ zGMB=XC1J>vfYWIMte6F;R0uB;n3TPpuGg)Zuyvl(36$=1I++#qa#Jojg|v)uEZsGk zOy$qT{UkvmH8eQ)Md?g!$?+}+Z778FKRgT|4@z9+w=vw#fHRa7V!-6H*@mkF0-uIN zwjub`7MIfrCk0lDX>`H6V1`SR#zQ$%n0zITf@zX$Ir+l(Fd#P1{cDOMK?ME>xs9g| zLK2XI2n4o=X2DhQj)bUI5G;B#+p?W<61k`67Cnv82`7VkEY}d^&k9vSmd#L={sP+X$apM@UimX8HY0herUPxVROJ)0awJG-fpVs zhqx)bfqRVo_ZrDU?ht!F@Aya54>en+b|2x?fi0tOze&vas+>k}ubi8H|Cj%ou9t3K zcBd`Xo2Rsz4B^)9OoNLT9*y_^@o(HlgTq7iL9D^irK1aL z&i~8SJ$j(+?I)f%#(>jV_U?oMug3`tHZN^n2)~VTWyI>csXooIYb_VPkt=XZo22Z< zyW(T`_1%o)cxk>zm8uyVb$+#%I3(Wf;8gdw3^{hWrI(D3{ny!htlIN*N<~f{tc3Jd zZxe>HuhBi&KIUzPJNA+BhxFxYWZ8-s5MouS^l=2Sfus0L`H*)ZeSx9HHyGB-;S8>m z;7&S^U29FyXtEy>WT{(f36WIt^C0w*p`Pr-sIbYlM8L{JGsyUKf|(DU5~bni<6}~;=_p*2s4Is0;^%#bA;T3jth36A&pKqwYD^hU zS}SQ8%!Z8~Q8GG3a;_Qe6%6kNoSn^XTme(dv$G3l_}T{91lcT5Rs~j*mgBLJ(Sngo zW+d4lYr>ka?qFw0zJzjVk-7!FW3B>&o4vu_ulC+#?wbV1GM@O09e+<^rX838s7>Hh zO?n}P+*$>awPCc)9b{6#Q$q|k*v1UG}Hd$6`iw98nr}57oD|U3Ng_a+G#<*?;M&l}1S|XSld7vhn4!UtO zxcg%Bs)U42#a3B_5}O4aIbOgAVFm+FOlB2FmO%-ClLC#Z6S?!ek;;l_!uzizkZa{; zj>52V8llK_280KUxMQf8X}MMJHlVHo88bH)6Pz&WFu+cxjz45X>=I8*$-gtsS}Dtg&MJmbvOCmxGfu6ihVsSHVBC1Ghc0%~IEp3` zMjZ|-&P5-3dP2}Hh(IUg1%cFYA^WVIFdaF_!L?|5DqnG1QxnBQ)zew9mtGB4SbVOP|#Geb3Tr_ zn$J#?zzftI^V&`;PH$`lQ_p*iB&J{y(o4D3fF@#wfH}ApYikX6x+hg*Okq^fGrAI0@G-cGnUm?HrJ2IP zn3+n+2CQ5fN8Fc9odGv?X_}2VEdxx`p-rPOEagR*5++}cG0iGe6@Hg4S@VyUj2E^8Stemw}b6cIdOX z`kZ>mTki1Kd3^D>kpDJ-4kEP+J;dCUgA@btE-PV3KlkJByUqJfM6nV}&flYYk1j53 zHwWy2dFMMRUCHmU3lBg1;fF6>SS&U-KM&nH2*Yh}=?0}s-;?GiKK7&5XK~W|HWznd zzXb_c8ii8`-g-v;uj-5%e3BM2iAcu0?Pt`Fs^rlt8~6L0#S~}z-5>uN-Qg8t{u-kf z?iBm-U2pGY zf2hTFb#MC#NpsIVQXz4Rc_(w-y z@7jX*xD~5E!$4$c-wNE+t+t;K96Cu=+;;}2(og-wAFjd#1E0gn=cGmWt_Q20T>Q+> zZ~>eLFaWPhd-t4u=_R4Y?#`vXog0wg?84uacHe!AxTDrG{jvG+k8}5)0R7diqg$KJ z(Ty9&p|{y=_XZ00yt??vM{aI+F**#klwk1EOUTgt?cCV8arP`!fl6{`+d2krEn{vT z++RB5qZkajGXR%<>t?y``1bAH7s01vEGX;(bWi$c&44y8yC&$q3qJh+&ByJ><$oKc zM|X_Nmxu4HMU!$(JJ`cv2;x&r3w`NbLsA=D=zOtxhTuLtL%Jyb1cJG zseCT|!*r$(vy3{LzAFp+@0cYVbxp6?wv0e*DDkG)rbvT5&e(K{!fjn`M zguyA8JAnoi3@2^Fy>F{ zZ^~hj9;YSfb~r&Ie`A6&D!e@&K9P@mim&9nI+`#sq-nq+q?e<7tF&EK#1P>a$Yfb_ zUrfUSJMQ)+a)l(^#gl@dyCNIZt+m+rVsc5BsMdQ(qM9`F21pHS|JQJ-VTJH3EdnPF zrzRcfJSFUnL5M2tMFC5i(6abgpLV)3q0cEGo}}w^;#kXEcw(9_qcxGeGbb<+0q^sA zan)kt>w!<7DqW^FSmehOF}t(%TtXcg`Evf+P}w3bsHwN*j0H`Y7kQn*gt|f06#9Gz zzC(fUP~bZh_znfWLxKOFP#{>tb18G!H9tQ95_i1vG^`!!9exPGXIVf#?|j#_xC}qb zufiq^^)l?Q1*^|65gdO4-P2o_kM-|6NOxr}1H1TT=M2Op;~X*NDWB%r+t(jUA6d8K zYtHa4S7hz@DH&i0SpQ_KrIAVaQTe{;pbuhnAgMfvx%`O-^kC@beViZri2F8>;i=MDxLYHObmL zQ=^PX4dWoIxk6ThZKGLdo1js%Esqr8v;6jJokGjXzUU21O0-shat$$WbH; zhFvwuvbapM(qvuG9iN>BC2%wV)3{n2VhP5DM^2h(S@T%QFkBP?M#K;gUMT6ToEh%d zc9EhcO;@#`hNN}m$}Z0GX=G3+d6x~#ua>@IYRYKUJc%JaD>lKdan@-JPt6*dCs&57&_#&f-=Um z#t{XTYM_(6&Z%LJ48B}XEe*(2 zHdh0w$XJOm(P3({(VVCq5YH~{-gG=&Xr=?O2LdD6qC|K8PeTRX7RiYze+Qo3QgUsG zCGPIYJi6F@FEGW%M2`nft~Y_$e6F3}@zY5Vuah^KqYO>1ts3L0k)2YF+-ahQ3y9zp z@#TU8U0AW?3t>Iv6)1-kZs9jPVE&^CflWRI0>k)dM!Nf#aS&bWAH3ON{It48HcH-5b zY7rB~8zgr8H7;@vbSlIvOQ$VF^0{AnT`e!A+%`d=53yo(*Z#&WSDYO{1Bz( zbjJR@U^oo$NmCmrHJwRev>kS?pqeyglui}>171TMP46yGmL79A>EP2pJgYsXc$s(TA_bL{|26&wo!tQ zp$QBmY@3t~7q*#3agsN+P5i6KI>H$pP@19}jw;?riVSAl@+WhBIK2)Yu9I(Y0{@JC z4C0V|sArRLp4b3|JNJ;XHpP@Nr<9k+MR&IA*F~F`dxxyN&9BQ^-Sg`Dc`@@MA9_;} z1-Ae(NO7T1*Zb4Rai5{q4_rr^r^&#Z3T2|W)my!mk8sW@zs${Hna||Z<3aV};nHjB zrcQKjqDkPcBd=dMJbc&MO4-F1)p=PrN<{;SxJ>!c%ST7@Te`WH>%raa7he?j&Vz@G zcLN^>mf`E>BRj9I(#`Hp$@E8l`%gZ4_w-#q_}-l_?w)TB4(kMRmn;zn5RDdz-n5KVM!Iz31upe50%}VzPu&}Uge=bx55KgG7pj|^H#fJpcXyAD;Layj?~K&;1c;{W&lZ2y zVZd9v*je1S#6Tjy0Nz2s zm}hUCrM1Aou0`mrOEG9I#F4Hexc3ZQq)I74zC%FT8F6PQq*$X2j^hUIK7XOI7GH8J zNP<=6@xWl5f)j;xVaL*0?>2qe-iah|5?tbx!^^C^E5WwJ7o@D0X_az?VBv3VS;gxt zh(lP8@yB5WdBj!!$8{gtrXM_-?A2Z=>W_%LC{cs-vXwUd})7 z@Nklk>Z10+Gz|Fne|@3zS{d>;L@~-Bf7Cu5V-ey3F+T>_3UmdHq;yyqL<)c#!J(MT zZ3Eu_H6AAOaWjHt<)t;6b!jYSQabKO9-1+b9%@;e)M?YKng=MiK->nN5{wlYFb6uc zj0-e67RK+kLD~t?53WGCMMkK7{b@JPKh-?dGSakAM#gQ$Tr>Ky4jGbLVrz--IvRz< zD0G=2=MAk^uGY%bhGmhe&QO)ciLK+oAk?D_bz>SjTGA?u=2G%l2cw~?p{5kfPt)$1 ztj?@uz>BVudRhjpasoCe`{d5Y6uM^A6+~!vo-#sJ83U#aGc|G#4>2KL5^(D?=&H&! zRWy_M>)>KXt)yP~$}^zN0>yxGPa{l3?YGJB#`r?oEnnS962>1Qzo*g3w+^t>Y8C9 z#e`KTaSeQf49D=$L`M3ETw7U|SSDekV-q8@LMBAt7!9jo4}zhK^Ul407n?MI~+yV{Bj7p-aYfJ&v%$&a7>$nzrNu z;a0`A@6d$JI>=P%0<6_wE-K8p$QoS3sEQeTLp;(b%Y2sQ7IQ9d0;qUqlQKmv&vNJk zy%|t5g`$m`Wb)3i3L~#FlMxx@XsVp>IEl)P_UYJPGU@>ml2X8^fj8w5mh$j_ogYX- zE04cq9Fa^Q!6ZwzJX-OobePQxGvYynL^&i(q9kofAD}|n04ZJD)Ilt22PCN(YgIc`tBy2= zU9gCcHD$vF&<9T;HuDFA*5+oaoTJln@vs#s^tM*eE;LNmu)=6wvgnXS`l2tacxA8#{MuVX$lF2w_N??$?koZa&UMh&180Wk@aV7aX zM_WO9j}EgFgb|_gQ3}xOa(9?;aWWp1mfnztl2pTxDUj5H=PBUbfwW=Ju(moV156(w zXswuVf|%AaZ8I&b4g>Ew0|&x_0*a-LuB)UrLY@v9G8E=udWa?oz*yd@fSJ0Xj_Q=?daIY_ z`IK%k8;zuswmS`iE4x@1ECj~3ScqN9lVqaep#otu8Bar9&h|XS<^0{_UXti2B}U%LLs%*0*VDb2k#}_-dcJ_Z`@rs1Y8qjVfZda4=OR71Uj!sc6u5wp4upYA5**_Gw z_+Q$+u=}~su@t@c5aZW>=WBoA-D{8C?e}u)Gxt94#5>CE{Ywcte3?tDS&7>;-MkdN z(!pAi-b(p&yl`pz!uIDrMvh4s15CF zxUW10{mti>F7@2DNS{9~#Xo!V*_)fUHe9%_SeMRkV`$nsG%32BWh#od?gpsFnNmEg~QHnfn{N?+}{| zPk;7gfm}w^97ZN=h20%e%ZKJRSSAR_MaC~nklLLDx#BeM3RsJ`9!FvXzS`@)uW3J( zi(K_(JHNVbKymft7u*xZG6hruyv!j429~S*a3$=+gO^0?IRUnJ8Q<=BarNaQ6Lv1AZCMA-BQ(UP+M7?7-K9Ia#j#A@>EW=60e-+I#@(QR7*o0)>hLDv>an?QW!&w_u3`~i1x;nh79>I|3~I6A6P8SY&=`X!wGB^R$ znaEPzsFnaGF31(IQifRM1IbgC*jguVWFzie9>&VEi;rng=#zZq_W4MJ9(Yg9(ytLe z?e>A;1Z?9CA`6`qa)}tW%1mfv$^!wgmK+AWPr@q zvW&)qQ6O$Bha*0^)mf`nuP_!uEE z+CIj36~jslAI^zaUHH76x5^M>Er-{|VQTphL3j&0&CqRsGWW~(dk-a^}aSntN z>-HRcHm~)Fk^5U_KBvyPaT~Y!MA(r96fIdbw5BpxMxmY*t!qbZ)aEpJv=$?g9Ht2> zdQwVwvzCLA9Nqw0=IRR7jGMxa+o0v68sv!7wndAsrF{e1e>CTnh|Or!$C&#Vy0CBt zX)?=6YWd7emf@=$Mv}wp!}YgH_ygyQw~8#CpRaoN(w{E+*oPPo+!kXQ6Z4MYuV4PZ zL80yl9BLT>|yPnUK*$oT^CDOSD#wt#+a9pnoj zUCtUf<>-tYn2F*^p7J#V{hTgF+4)*jZ@sO#i089)%J>K^PpO2nrFFcgQg=9Y>%P#2;hm-RZ8Z* zeOi!3D~Ht-&PbS}W33FT2bJQP@I&RLyETOb9|N<+K2xXkv{YjphH`ti&HG%|jf9#q zTTUKuHEZaMt4m`m7WYy~ukNl?U{f{17eUK-QGQ zP_$ia(h?yq8TyluL`9zz6W?!wmZbO4H370Nl|+HVA5rArQf;6pVXDmJbKk72gYz+b z5OoQR#m#KJ;q?l2G@~^{IW<+RNR>!AsEB|g;81kLc5EE>94bngCn1oL2P2Za75*z? z&O<_}QcNdJlQwo*1dNjf9fX7oOr%$Ccykzw25kl+RHj9p4XKdNrmVoLTl`=srJJBh zLwFi-YK{Uy;`+IXlL#Q;n#|F96r7(+0va5fM%dyD8|)QqH$&h-zt-rX+|qXdpT>5<$Rt9ShJB zfe@NedSGefBtV~|+PY909UJR&gSJF0Zzj{G8U=%fC?|ky$WN06MV3{i2laePliHN? zit4J(EGuzT$cL5O17b;h!>_GCgt8kI#Q`L1Rm1A=Jc)Rr1EzUGj3`nq!|_WVD=M?daOe0Y@rx zJ`Rdn&j+luaHOe*>5MJi5Cd6&tPKnY`$=E!V3pL2 z5*MEw;SixQMmHtN6V^eOkXUd`8UCxLGEK-gQMwDx&0!WSfcLZW-o1Kwxr7rtW zv3*5)7o3>#LF+V@l!w5*;dcnsDLVK75Ev|VesN1Yj@8> zL1Mr9T}gTp1JODxF<7@tHj6)AG(Ni?gJ1e3t!Y{B^>6ND>u#?;VHv~U|NBopwOE{4 zY%aDIyHv%lknS{aqWJsFXQT~Q`Z(<37AijY;O@1ZN6!52ncwxvcKoVgd+-@`c>a-_ zH@COD%#M!EytvA?x5()xQkY;c7=Xc<&CRVX(PCP)4U0MAy%q-21|EoW3Iluu4nDI0 zgU!v$m%USMZr+Z8x}vt5K*hbO;wu-=$O%0Ci9N~q3Oc&K@mA3WdMzNBeaZ0-e@gU% zYx==YhUD-=&0Ta>AK7@*m$%HL%TsK}-OAZs`*&~M;d1<396bNKAN$zXzkXwJ;c6aA+apc)^@zOcYfaxGQcweC2g8EWzs(0-cJ4L>Qp6RR4bLcRzSe*OoKHh;k z=-el@fUu+5+LHrx#C8&P)H^h<0}Et#b}lR~fB}Wzl33@~Fre;yK0@glfc;PJKeV-h zrfVy`2d6vt*E9QF>dvGF4t`}O`~ykA=ljVe(NS2}iDQoYZL zUz&bCEnMNK1rT4{fBDtlx^d(1aG#TL>B*LRY$wv7hfhB12y!-vJDWU*ItQ*QJxT6gR*KbBtl> zn=?#V~Q+&F^`$Zs7#r)5ZkAAb?524k^84l zMYtB)QcmoBjWXV3gs{xkdXMG9EiGaeE5|Z2QhX#sO{Hq%{dibhG71(Dv->M47C+5= zu!SZfTKPDl!$J)eTNSu2jZetOy*Gt|$-pC;q?zDe4RvNrV6f)=DB9;F)?&EE;ILgRicI|}mU!hIZ4jMw?mSP+h!d4#gDXJtjEOSU zg>J?o$FQBrk!lT6KeNzzFh&ekLES<>3N4v3U{9C4Zaw%Fv>}etvzd^vZt1ET?WXgo zom%cfG4xta>9no6B?3AcrDQCN_6yOe2eR-)wn#;(Wh_W#(|MYkOtHS1XRce7m{#Q` zHZI6H(^7~{q3CfLrIxClC^u%-fZSmu8Ys$;)9V?pFGm3i;MI2;I zu#}aX!w_Lk_mms>Fr7^_CyEw2Dj-ocXM0`=HXVSRz`S+=qi!YxGAO}FyQFqTM()f= zATTaPJ;9vQFvA>wQWkPF5P)|wh+$KwhgK*Q#%;i(qSCqB!EEbuN@a-ppmT;3NbHpuCV3TUrqirn*tBNo`VOhyz*L)Z9Fyv#?P`5RjEE zM0)Rmds^CN7PZ59Js#O~T4WPMgEVX!Hozm$&@W9{v6g2MN57ZLDOBsfXrRS20{$kIUA`N-Eop}8;W2| z3nJaGAai`qoH2Sb%*tq94F_%(C56kv2rcv25wUXGIW#S`Exi;8OE{|2LH}9?>{>)P zp9VtSfo)Y_v;qTIH`PoEF=GgyA*PaD1qMN%93!ZC)PWh2Ls<-GA-C9sQ8;C_hUlwLd59tFxTlc8L(ot@A%K(@%ZE0+dC@S+}!jC$okwPbx|FzFz89yWhEE{SY_93 z`aJtE!&hFhPpXCe(v$b?JoNCxp?YQU@Xo^zDZ6-*Q$d#)kb*u$OCFuMx3{y$Qog6e zC*Dx;W^{NIZ@gT8XtP_N7#%GR9@^WJ;Mahw98!9>Il9j@n-@PdvmAg=HUD|Hc_Jw+1eQ0w}?QZQL?Y?kWoLftmAT6N z;13TDe&!?1&5NJjV#V@(_iZwpvA6ii#a9nIPF+RxmM8z}6Y1gM_6xF)Gmc+~Zf@ON zYwPv6t+2~FFsgOJQ%lpW0^!b|`N;0}#ZMoyMm3Iq>$f&(i=R|ReSc5IRZJ|4y?t56 zy&pv{ym0GQkAdh3JRBFgSls{Wu}cCe+#Z`dY7O%}wfFb_UUBv5r*{tSxd$%bWZ%c) z8)wHjGPc-v`WXrFlga~jY0BH%dGU7q zyxRNT?|tUn#f$rU$i=F|;IUZkt2CuM z^3tFHb%;Bx9b7^f8Od7WSW;P!v}Rz0uOXM?m_8ROQIeC)lAnarZV7chiY6z1LK#L3 z3?j81gr%!}pno_)O&K494`oRm2SzX`2EeYjD(An z*pg-mVP~;KP|#fj9{C&B4k0^+iL6#rqYTJV7Tg9iHBuR&B+CO(Jmmo!knkZ)uz?P! zdj&++L(t3^fZ+;o`_eL%8r&Yx7%r_A+?d=@vli@v907+A%gBhGKS-h!PwoufBfLG6 zCpmJsPTJaJE+?!}1zF1$zeX9VUMo8yk%*O!G#qlGbWJxbH>x1j^)xspg+v*^zt-3} zN;xVC2^FI}-CE~sSTM#$rY(#bjIux}$<|F;qu3T)&Pgf-z=?1m_Z^_<(~cS!iZQIb z8c0y27ac6`q75vmJAgbwQUG~CqM&d`-wgs)*n~ySEQG2!4VUw(Mx4Qv;sZ>Dnifu} zYbdWBk||RHWtg@>2Ddx71MPAeA~uc}mLDfl(7S zieVfqM+SmKR7dEZN7zl0vGoUK;9Qv+%z>x(IRMQDw$P_hMb8Mg!qt=P;aJLj}lc8ILo=f z$eHNM4Mk1^DYlqbftj%^JFTbbz%vqwdctEO*(Azg5g8KGA&_G_#C2wtBFF0i#Utem zfvuC6avGKOF$ccq(++;;5UZMG4L_p{xsf#{@wkK3WYd|N%ke^$BO!hScGA0aE`URx zVt$cj6Kz}D;)E9X$F>)Y%-f< zaGNw6f?(rFlOfZ^GbT!`ii>ekd#s4DG=s2~&di`zB|kb%%Ni0i=Rs<;D6z*J8=io{ zxEdG;n+Lqv%n$NH+TmbF}yDqfF{i zx3-&l5F`KzCeWC!1Oac(cZ0Ts>W0-6yiK82axPUmD5J)j60*o?(G~qx!aPA&QJPzJ zxHj{;iR!X2(xqzHla_%)Ev~^l8kU2wL2t|?3(D)$Am0mi<$}zF{jF1~C=5ua41Sb8 z(Zk``Of3EmX*y@d*wJGe7I+A;(m_6HLSy2=Sf>v1loR?zmR0D;il!gpvkiR~Rdu0d zr$*Tx_Xs^M)>vMB>6arH!vT3o_e#4D;f>T~ik_4XHY1LLIJ7QXuwBbD<;Iw4?zpXp z6+Ef4I?sx_as~5c0~PbjnGwmD&zv{qyqr}c&F9Wh$SDW{#Ow;9agI3a2ZEsSU*16R zW%L3`#@vVIz2b!pj3iE&XW`%n1?{x5&^$;7>^D}ks$ky4_wd}FRjYt7zBdEr*OIg? zt7%a(w^OgA_v^^mXCy;FWN%e?^R3vvLWejMo%|VfVdHvt1I-y|ATl}Ogpe{<*Yhri z>*u?jvW532+{fgcD{tcJ{G~8Sw;_d{hV$nmwRw2Bx$^@b@cOu>QRgMjy}y0$Z;ScL z7mvI@gZ@Lf__I;8dH2n`B}Cxxg`NR|BFdtUm+KmP&!G_b`*sNUp8)bAR67aCb2 z4t92~{rJzlPuPE5dY3%jXRW0j4p$hQZ^WCCeuu%IU#DQ;=;+X6@WKn5e{}PYycZ9_ zK!kxeKlQi1c_$1~#$C)1kaB0|6F>Sfs}$Wme12?-{r_ut@TZmfu?K(b!L8{-pY^!- z!8JJV?(9B-Ci3pP7mEi!y0d%#{ZiTK^k(x%chgcmJoV<^O9n3tqI>VXx%Iz)b>fzH z@b2s!9LU|Y`kzP9*4CL9nMatagS%I>Tc#INTIoSB_~?rRX|EML_))d>AaeqDzjXIY zsOY!u2bB8kX9a_9_vgR;PIdInzxC34{_=&t1cSxy^MCvc|3EO17Cgp4GCcDlk7@E$ zB6B4trT1>XtYS9c-Tr=9%R9!9)$hO!R2^UWyYKljrn++BO`=(6)Y9*?JvNCEt9qPY zyifMxMpvRY`T2u9il4rVeMH2UTXZnDM5|90(Ab4*rYgSFw6e()KXtfu@#4o-+k&P&K@Y-igjty+wvt@Y}|jp`Rea{^r49owI06I7`{u2iU~o?4W{&|~>!$ci$&@Q#muBZY`FuJ47yn|h zh?vA;BBKW%d_6~V_agn#xjnWi@nDd==^uK-n)3Oxc{3+ z<+Q5ThEzch;KE}MKTN@qT&cXVvDi>sJG(yBSw0yZBs~V9KEwSyXV)-*F2}G~JhrI8 zK)v_SWAGTD85YUtsrwuEk@ax(!7lOArBlj4GDAEO7Yv_+3bVryg{acR(3Nt8z*tIR z(AhDh(QLsHVnb~yLqhrN;G>DdFLopn(TC5Ce-hY1-z>Zb(zs;md~t|E#}$`CWSlNQ z{DvZ>^wYrb2row_5-R2rN}qk_GQB61hrzAmW9)sV#|3&f^C&Bj8hK!NVtXqmiFlyC zPT88#DW9gemRu}__qiS8kcgiL*PFeID7LefeLz7T1yvQZ%cs=c!fvE=hMWymlZ-{H z&YwvbQK2{#6)O!VcugR?;{8YVMkbX3xiXb9t9lS1klU`c3R=i0lho*JMGChl%o?Yn zmh$UPtM21V_9izG!!cPZnwKqSvIq{yXG9R3*w_c=I&9)v#}X1mwH))BhXe+UI(sM` zsuRR)MtvF?D?(Rns;ovkN-m~Gek}}1G(<&V9x@7*eX zAHa9$8;6Dkv<CgmDJ5_7v zgo?`X*MS2O?+S3w`1S2iRt;q#8auLdr3_04O)9Ypmhg*)RB&aK@6@bzji#^X92nYz z1+6J*>#)#eYDg<1cElHL9H{g2;Ej;J5*K#9MKWZ^%u2XU<|2xs85zSmj=X{z5-SZ^ zXbVkRvS~9JmUGW8?Wze27*@lWnI2t(lu4gcPo(&;~Rc>Qh!F`II7=y1FX4?2=5Jc4b!c z^;8Ls8U`-SbvDS$2_y6ge8{R=p8xr!uP6taeI7)|Uv! z;0*R!u~gn+VSa#MVI1Y(tu$2FoveeXp}LIf2`Z{cZU!<@&13@%=ioLtvxYHAHx@ps zsT4huE=!|AJPUrRY#cutu@y=KZ95wU(i0+O(o6qS=|-a4zsHh0d!<^$0K7g;&TMrz>ZPS6nWro+Oo zp+#7C&7yV{$Z8g-PD4y5NNE8YT6WxcQ;F1uzSOr-7%(j~WhqB6B9kU4Aoz%Lw>Z|R zov`k{l4}6MCMqI646s|t5mE7!QMK)+$oarGB`rkrH-;QIzZ!0!=z!idK<-0hj*Hz5 zV$ztL?wke6;1456&t8RDWrKM>n+u%-Lz+OToG*ZZEl5cIh^ql_elSh~W3MVM$5|HxPqb``ux%|TCR1ZqQips{H1K*%$5YdvFpEMv=Wb>j zjyTWZIvMANT6l~%wlLZ;Z^VqT<}B4> zsGT(+6%L)K1C-+O*hKJzxu27CDtf! z$Q_?2F+d*Qc><8~zVL-7zaZw_>c3+}wb+!h^0)&AtI88BcuDE|`+M@-J2=?cLF`1o z%HDvT140*zi~H|7kgPtm`JoS8yL#=a*gN0^rLz=c#PtX252zox)!&zh;zP_HRpn%hq^KZyUkH<5f(D#?Rx4yf^)8vn#X42yKy!TQD$5-JIY&t)oS4M{f&0Hnk$Vf)gnwFsz(C-0-?MoZq5xs|jc*(t zdJNRYq450EPj4P=sS6jLd+sy_K7q$Tcp<*XdqJJN@0NQ1=hdIfjLpt_7uyRKh3xGg zb?0rJQE$2Hp@%+wb$fG@(Yi9nH2Bn?cR41DWU>9O&7J@B{XhD_ht7Ta?5#^Lds&;8 z^RX_*ou3yUBR}fYNLQAtO*zq7YVh9oZg0z~ko~5^fRFuMITHKP&8LM{V6cSr^PMe8 z?z(Ghb9+-TV6Fd-ruTH>VL z%66Q{W(I>#3t*J)h@)bZsiDCPr{ z6ft-kD~A;!JqVsYiSr+)Rn(=$EiFrv8taAyik&;0FdEP@?kt@3ULsU1 zW)zbuY`_(M$c+jeqcGTkSV)+}vXGMz3F%#}$e15Yi&Pmb`zu98hDWC)02a%YBg=(3NPys`+0Jc;GNE8|8k(w|!O4sP!Dl4f?W^&0YWL}|3QFLUcEprKJ zly)jZKx0LwOlZUmGgV|56moi-Lkw29JqWpMXXPmaHO8@wqB70GX;oT=?_2lo9MHvbkn77CvuRY@W%dE5#*jZtvImq4v-3jm>!Evb zyknZc3Bx$od0bM5Sk`P$;$e*Lk#pX&ICCZ|G*`1|5I}gap~>^usL+536|A+%W6L_# zFenwWC4xV)#FVAlj8HRQmoTupB{rI{B?6ez@(lb(VW0i}+=!>y%0$Hn+TrqcCitJS(f^J#T36QpeK%C8=eBh)n#o^P0{j99Ffe zI0hQpPpQ68Ef6VO@j}eRA=Z;HpzaC*SC_0a&K%z&S-N+yxYfvMCx!Cc| zu`@KWV}}F)?MOMJoDsGfX$j{jc6^|mQz%fS;epV3qrvIf(z{j{bROXsJ>Z+Mkx=94}+4n464*>|>erp#e&7p2C6m zw4NdOhlteEgw^LdozB{X)#f>?&M|ccN5C73t3KXKW`?a4VLY4|t?aNIP8yM^RZy0kt3YmTJ|f#O+eMfd zru&<^NiuO9wH$`bNY^2a-@u@-2RQJ;Q3vTb#eM>y3iM~zLNl~9&fF5q%Cj(V6zGA#lV&!sGp*8|!?fEDmc8E-xL zcpwv*_`DLy_s#0Edf<$grH4qwXu2=U6--{nF1+k4R4P>`ZDU1Net_NOUM9{T@NPm0 z7a97xmz7C(eRYo$ncW!{>ZkwlD@S1@tE(64zAuTJ8bcFiD>GW_O-&ImiFN&v z@BN>_KyHG1vb&QhI#P@Gzu&KV2LsqyU6Xv+)4ut$n?LK5$^ZrEFnHv=`po6O#0ds> zdj>eKethc2h@c=3VET^a>w{oVQD@bl_L)8IX#-H2>F7tYjM- zXuju54foPtU6CA^C-_=t3dZZ8*|jV@yFl25y*S(ZBz@KYsD4o5%Ygv<&+R{l?ck}W z2E3=2_U<|R#1o5!g9m+##p3H?exAQ$$mIoAA6$IkM5`T#^QF`D6X&j7ee6+rU#u+m z-W7TSZu@f^`|7NU#YHpiy!_3VzbUqj4Z&bb2?kF*QGfv=3fCq{BBefdnXboYfMb#S zlCLd(?lm!xG@t)-s(29XZf+gk6t~a;i0zle{>s(G^J-hpL||3GllVl7hz0To67%Jk zU-h$VTL*ifdiLz%&pQgf-0xd|;u{hR_&~5nkD?GhoOhpoP{GZu7pS}Zx_g+wKtFk( zAab?CfHM&~45H|RA7qNj&vzUic0CgqEND!Dx5#6O!BX(!bN<8Puaa%yq!Um(Pcohi zsATjC34xHl#~Ej^!fc&vCU3u%Kmk4l9+-G0h7mjCXNd2_Dj@xZrJ0TNb2VbL;5XF7}(T7mJ=@Z!7htpPZDSenPF@Hs^<8Q}z7 zVKKU)1=HE zRCrtDk3MI3&-(t~Ghi~9pboK0m*~WGVn)OgU3nuJczi&Mv`Zi_$decYR@MtR#s}rF zevXYJ5M{};fp%JH5h{>A184x#W}Kfz?F^$@BMK0WH~&psYvK{5PzhtRYFM<%tcG4l zG(r(6$cecIfeJEk0kHM{;?{^zMp2YgS?VO2BAO~VuLjhteUR1#wN35ZHB z#N~5SHTCMk#eE7)_kN*E0b*q$iVTV{XHkpN^Lh;8+89aB&@&@WViY6b96n&O$g=uE zB@I;Y`50jwr8V3WP+yxUm(dK?A&ut-IT!We5|%u2%&SsJjWWFq7{o*C z=Bgy=6wYSdC;ka4X~~Jpdz8#(w}>ujgbXdC*&&;QnW`vrid9C}pyE3>2c>00$Px?| z%Tni#{M3p)5@wFfGbnT0+JVt1y7C4J8B-aXQ8!OV6vWskP&33M5NRNt3T>I&Vy!bm zBLPG9{ZL1%bvTVQ+*Hf))^HfA$x~n(COX8g=I1dLo}xcgPI$wE>yRW1MO50xTc82W zVzGl7dsVTlAg6AG3N!|aHDdS8?EU&j*qLn**}R7EL+VgyYsN#YQLg-{~cFcMf&6P%R#!h`Vg^6r7Kw+7u1#;ZfHAt}~?awsg2xf)m5L+;Cj)ln1 z!E2>aIgAG>CsII}&s+$>oOKrGaF%8xc8N)lfb&lkSzdf};7Yq3E+2*l@v6}CQ85Cy zq$%5R$+GX3VMwT(!I*O6f+muat0~@TN9Tx+G)&2{oV6wXG>;42SXyEfPMjgeSl15e znsxv5P(AdPV5pcL4Vi$gLIhx4jyOqy4P0%ao1o#+AkwLE(9RHvIk7m&NFIW=8csT) zYzhNGc!a;Sp0VV4AY?($-1t@(Gw|+~<@>doXG)xFP$KQ^eVyllpS=1Q)DxdY^TRf=vM{*7m(pv;2V zMmTK}<`DA)I5Oe5l6)MZQ63b-awKyhd}C53X5xH0teGe)b*8JWxDn^E)ggH(x5Sz97D!$hqKX~Yu=V1gBt<=QE~%a zM$OPEx}R`r6mP_!nP`?BNfFwaxaIZEC`g4_EF54&j&qU$ImA4<<-VLd*VtSe8&j;4 zbLZr}W>x|-&TPbJhzZUmKpO#FH%94@u}5H(%sMIxDua1h&Uq&xAzQ}fd(@8FazGbN zD-=8=W}vM9EYLOM9?sZEG7abCAejVok_M9wAva>ET+X>Hb8nZ&buU|EVbwWGWs$*= z#aW-(>#?z3X5BgwJO2k(n(r=zuS6%c``zY}62z7{kP_%}k`g*Mrj&FVo?9^T2E2d7 zDO12XZXcDivYvbH!29p#DnESr@Ms@JT9>}*jMekmqi2sqhx|F9_mr3?e!iH^We$YG z1+sp9pOLycJn$v&|E5SJoH6y%D=*1Ss?R+03^Ec@;T~sPalBK19+h~Vf@fK`yR)-F z)b8Xif=`q#?)@7gajs#YjNwg0BH33Q5%!6#UA43L#Lg$wCzRd(yt&ZR#UiNeHrrg& zvyl6lp4{z>Eb&f=q#5BU6r5{fWWxL5n&fD zUAyp!1&0l&dsMoe8cA|Z*g!B?$VEZ^ex}_X120dLPt#a1$XV6TifNvG{Aj?F zFUQ2V!Y=crtUT~C^X}$dqec-Q*-~DVB`nvkqr(=O-`abDMc$kXxO=XnRVWcJK6H5S z*o9yGMMVp`1Mv|#ZpoA3nYV0--}_+r#WQDp=mAHLiJyl(yXQ^vI(XsWAbD!jOQwCO z<|E`wDSn~&g(Z+)9|@mrKK`OoAO3KCX}9_1UuH1?nq_5>ZUJd`Tqoea4WIkC+Isoo$}^?FXAW

    U^lGu^~*=U0{T7;Ic(t-u=WEiqtcz|MwXuon0&SgC~vE6>tfU;81078-W- z<*DehD<7Sg9x(hd44Zhz9UdVsg}fNPY5K=9TG%XYuV*^d{jKPGhschP^c}q4*eieI zDBog?_*;y3GMWsh&@On-6E$FLBp~DS*MlzH%Z7}X%^jk$Sg`XhJ%6Us?EqX1DbKtV zH@&1l!LQ?C)1&0QdDOjyj!AIppu@d)&}qrova89;&s!v3Nj>;{`%!<1N=aVSh7oZX zSKRw3+p0UjJ1cwpvC&|a*@GHgg#|^w=DrX1*zg(=$B0+|5{h+&X-7=2ef0j8rxg@@ z8X1sEI`Q#--H3nc?KMF{DFMmA{GaW83wRvGm2P!UkETZ&TO%{Z;_e7)o=zZ1#z)1wuDWw{;WC3^ zyo2ES#$wdOkX9KmqjIqcL2FoEv;l5V%K&A`K2WzfvPwU?oyTN5<8TpC*|4$#hLO0A z#|xkw9Y8i68t{TWCS6TF5X2dWnx?2!n-&5=oDMd}EEw7Y=Qep=DaYien-F)aKn7A~ zWm#a2@>M~yfEE6ea_X8JO(UQ+jfPEbX>8Q|fD?*)c~>ti9hfAg04*aKIo}5*DQ=Qs zLw=AiDHtk*3GxWqd%+^m?f`$ILdVBA_+=*Hy1SQ#v1-p zM2lTJiznbaOx-jC?hwY{4!L|WJ5IQ(rr60Lu>K$4Dc;Y%^KRkq6#Kn}{p~M(mZPbk zJLE2}e%Wt3iq4jvCVkiF65-1m@_o@KdRFsT@p z&UG#(bJAPgYx$|o(KAJ_S8pCx#f`5;WDsdP@IIu&Ni%5pErEXgSx;}YB)#NeX4Hdug* zQpWs`HbxF8P^SY?PDn=e>&NqL!E)r+w-~}x$-s~A(U4eD1^ASZaHI~;!9$uTByh?h z2RRO>Lj(&E6fB+D;JJ}}$dI%=a(O&9<2v*y@f?0mGESxlc9(?9p%x>j=Xb^MB7`cK zCK7SKIUr3TDY!sJjt(*!)w6WtRVO=0p$@8;L%_5W<8GiuQUisdY}vRy;&72nbF0@w z5h8~ify{BtYbBV)^keJh2F|z$_rZ>!A_aAh7QlR*>L0nHRCBUTb4=ryev;T6>v#QB zSb7H>4T)PAW?DI4sC)a$PV=M$q}Mk*1&n zk`*YP%z#r75Ft@KMj>5jO9TXRgntkha_6L!g{J7xl*9pmObC%-AjT||g(1%t^K;4r z76UV8S0yZCP~zWM;ZrGsfO494kQ$x(z%N(whvMhp?m1`$NX3J9tC2rg#|r9osQlv`+9 zC=?mp!GK}l`EGDapHGJ*I3PG4jWV=eArMD20FVLTz_x@leOp9~2_%j%7?mXGh*77@ zF>#d9@h}*#B^oiqpJ5y&*MmM`Azh2*aVB75C%J_qsTii)IL-}W#QY8EWKeK$o65EY z^O9f!EdiV}K!!v%>HQ7aB<^P^c_FA%#7SQ@vpMu(0ZE}wFqyasP1(p`jqWDY zuiPX6fv7uqbQ=jls}1z)8D?Qtmy)JX2o1=QI;us7T+=YcWm7mkM~4f%PxIMXT!Cn9 zu8p(ave2k(o6}^mk;4XJ{S6rm?63&cNAW-|b38Z-fQlWIuoxKNU}5t>PDdr6lhlJb z`0)-iGX&1KyE2%Z4x%>FkYQpk?ccJc0Uu7btSy^#TAYm!mG=vn6Ih;Zh>XZ24Z#~Q zLuv=n48p9RcGx0{Aw|c<@YI1^c-WBXLpBhVyc|~Oss{v6Er7u`FqMea=v#7Vh5~Q* zXj_C4^oyXcPng^i*m|fzBxOw}kpWu>Sf<8|)if~xCFn_59#$a%rxZNW9ETmwTUacw z+0+QaS*ItXAPc1h6Ebymm9KScOn5KA;w~<~I3Cb~>(#WR7?H|cB~gUtb*rqIpz)i z@UH{^>ct|yhwUl;>F@7!*9&5vLB28SYJ`v3rAkmpTknD|gnT<)C5Kh!faYDC9C$8| z2Pp?r2BuU--BZ7(G<@~#hH!<>?3<^-xgjgZDh2f?Uj72I-q$kG&*nZCoiJpTfhFMvw9NmEB?*Lok^j8|M#)ySi<~4LC z;r&?J-MaR?-5OTjg8OGokY9#kvu-PY4+^L0A%P3_ZF>75k+5T%b#ML=t9b*o&z0wA zSH_)Psjg(_*?4mm^Nr(e=gt{DhM*ota?B~$7x6tL$|04DxDa%t(*0>3aja1-wzRgi zTo1yoR4uKo^iiZz$ASgeOX>mA7?ZF&l{deL&b>kfiCg4#IAK_vQIs>>uf6>XN6~G% z*kKK4POA?&INTDa9CTnNE#4B37t_VZzKa~v`eJEXT9hv50OJoJ2P5-~BRN12k>zo8 zRc7h#(sxLhF5T*4;dEupVuIvEU7zntE?7YC&t7rG6&EeYEx`6uK<|OkE9f0v?})-Z zP@apI!el+3>{XF0?%mAE0lT%{4VLGtr%L&!H*g7cENnQlwsrx~e<4B=T6His<*1E% zuk5-iJ@q|q4y=kuR7V+EPYzP#kD)Q(mysC21^*aCH>&a~G)5TX@<%s^WJyv6N6m41 zBI%QMpiWJbi2QKT0Xw)j0*eA1sz6bY9nYi}?r|!JChMB=QYI&jviJWMhly({5nc5i zqFcTZANu05d?Y+0@6s(P@N{#dV!4qv5g ztPXIg3!X>q6Jb5#0GLK@+A$Pla5`2{25!2!$0k-nk7b1IYZ&bY}jTVu1FFT)*;9F)j9d^(prO-CWKFahT%0LmgnNsloFvH@M$R;fp^Fa0_)C1jJ)*ob#3u__DhY@L z9Mp;&eK2Q?28SW&J=m;Gqnke3Gb)%C7@!M1$c536!wAg~Nj)PNwtaxIpcoApj3$E| z7O`d`CQ$2ecmx#zHyH``WrKKg6FI=XSesl0;Z`=y!Z~D9CFZvv8xF_otaSGa%<$hb z!fALfca1_++(%->hK3KVO<`#V(H+8RO7lu)28*g2wrEHh52`R~&k;^|$sHDnoLG(< zBSHBdENl+orZsCIebhc)AxAzS4C4)4aGXfAixp!zWPq|mOqM5Spe4|=#VXo_QK&}a ze*Bm(UllsyCHQTCW;7T<+>|nA1t1X1BW2b3caD*%=?Qezna@34- zRhpu9GK%nYbrazCr}Og3KzLIg&Cwxalp+&pzk!lr*DC5Pj0ORCkO}lk$Q4z(#Vojd z0tJ<%=QDSqUhx%Z#&Oac)VyFolGhpp#Z3y+LOTHne(q;FcT|o&VnqwpDC#RlU{U4E zGY5;`Vb@{u zSQR8kDRh@E|Fk<5hT7Px3HvqSM*~CJwTb-BUQ$=oU*X+Rm#x%dPu#Mn!ZGp{=n5h5 zk$X%-bebk`JkcC{``a5fRM?Zw+R}M)q){oS5!gr$oY9yQ&bi^XM&jkdBaqxwaKeEy zM!Q#$pK|GxztWDETB$S~>FWA?#r{=J2(9BJiyrs%tNDA$0U4qkl+zcLRwxHF#;laV zZFwns+zn4x=`r6`zIppJtf-}3tB5n~S{0J-yL!7;Ib6z_O+Av+$M}=Ap?=!2*;#{2 zh7k`EW(khUBPPKaAjt5T0G=%SX491vCJKnn1tx6=m8skxer%{b-^ zaAJ5zY~&?#a0DxnJ=tiU@x16I-ic5j$06CJAPl`K(Xn=1375ftjsqr8Bn|vIjQ{0r zR*#TJa^xWEgqtJcDi_@>kq%K7{e-jv^avpQv(Ovkkwy+LwaHB=3H@GO(fzyS4AUXfV{bv$a9@2 z9~p(aZ_J*kf%mJAwrG(JkDs(XAx<y)5Kssx1QY@a0fm4hapb$_9Cy)5Kssx1QY@a0fm4hapb$_9Cy)5Kssx1QY@a0fm4hapb$_9Cy)5Kssx1QY@a0fm4hapb$_9Cy)5Kssx1QY@a z0fm4haa8d{qepwyuSV=M+@BXqm z>FmDz?ZVMcrd$7*m{#NOD?3+HkV%zKM}Aq?@)?>x_yZJ%yL6W!pBt5w=DNznLHCc&z!+3fbl#7FXw{oL%zo z-|wn+?(eWZ-8ePvFFf^{{i*Yje0Q?rE5?*FYF3ovxsu+Rq$lc5y3suWOLup*P~Jyq zRqu|0zbAub(g?9oBz$%mF-X4uyHKOwEEI+;saJT};nL$uM%<2T74PW&A4eiRHLLE7 zcYLb-e8xy}?_VA1>?y3;Uc8(n`Ep*p*oDH|mhE{YPj-q?{;@pTD$&Kq3aF4JH$2}W zE3PcN^cOoU=`jpVW0n;TdyW;+p=%N!GA4$@`GdLL;o=0b=7;(2?qy4!tX*+QWPP|T z&eyNMsFU@tj>nf7ll8#L&W9}XvdKO_1>I8eO=kA_^Um46Yt;uHWxY!l&%Vw0<`ep0 ztgt-A+lt@+eQ4MaZG{rsoH$fhH&i!p?MH{_*VRSZN>^lu=am+o%l*?QnBS^dwraua zg9nG_39+qh!Z(BM=f9q~SO1s61CShS_MKJybo+{)-b;An178(;KfLCFi#i6+|4@Bh zUmqk}hvzRGr>FJ%J~-u}Qq6-GeX8*rq2cr&hUS{$8DBgT8u~fA^o^(U%LI=FOsnas z<$cN41EF+-{dQ?v-;BA>r7aQCUkTo_ZMfy89-}iGh&>taE8Xo251!xhQt8Z}M1KG4 zo{u-I4K>%CJ9Xwu&#g0Cci%TXG0mUICeLdO=?$xDKeqSs>}}5$czCO}%If;?Cw_a^ zKTm&EOXY{+*O{gK5C3$OPfnCdrKe05yX3lMb(cT7=Gm_2HYN72-v0VP?6TZI-Q7#4 zM!t8XHr4vt(C)uWJUpv(%^MA89KK+D%KTxzf9jNZ4dXVi%B^qw#_SI*9Cz!Y#pd{P zZdn`J8O@$`_~HwnnB|LwFWvpqKzc%V_oPPa-pJfk?fLh#OiSyh_dmb?p{@-_E-B59 z9-O$M<@x-|d8<3y4qScOFFv=D^BIrLTeWX)Pi^wEjqK&qyH@bzXS?&u_VrDw3B98K z(?@*kr$>LnpGd?mUOR7M)9fGLc+Qz`&Wf!5%HkV8IAz)9?uiqwKXQ3t`R4BS$y*>=YA=!R>`$KizAN}^1bhiAa?k`*) z(o^v@%Mb7UylsE0eb)Bo+Hc=B7;C2-&b)2^!wrV$ZnK{pZ+&3d7rSp=?1SZ-zH|Fk zTPOc`c-R&bo4-{!`0x$OS6sBJSJT6hj6d?&Q~&L`FAPLNs}H~O@`3Li7IrM!_~-`U za~3GJLVuihc-OPc1~bjnHLwCX8mg=Gj-=8Gn8It zUsn^^zvqGF;mFt0kzXZxr`DL!-={b z7rwCR@9Ihql}b-+|Bs7*wKc){)UJMe-}2sr2M^sk+`g>je=+n*`q9|M%a-p=|HJPF zpT1<;(P-uz@iDu(XVx>TZX5b)0r9FX@?m!xve{px2j&hFiM2?!0_$R$q5$?egw~)o=YEV#Z&_DV+Ri zpz~m8;x!5L(1Q!d-SPZqujzPce`oLIp*yB8J<6{Wmo)n?oWqCL#k=0vIr!|wE5y3n z?`V59%^neJ#z%VsAG>(@uM?l-yC><5!)tnTANk%4^QFgMOWb_Gd+4|>Qmt#Y@e`v?>DBK;isSVU$*P^2Zz3P|4``0WYcuhitX~R*cM|O!xJw_ zW%MX|i9*=y(K_=}XMSxuqHmjMrNfaU6T0_o^e_F@;p;wkkG7)a^Ox0cPULTI`}NEv z7tQ|CL7c)%mOLKpeWuC0>e*+1ygqPs?ep!8U;lDXs&nTgbLqKHX8h4@R#$wwcYzVFI!azE$Ux(QL6X$JM>o%Jl!=rc;6*6 z8ZIu>bY8aY!&kMeJaR^C9+J;H>;ptk>XA)t781 zByd)tzSw7I-TH&Q&#*d^zZ&4ZPlkg=w7^om7h7a0FkRcXW0~O^m6A!?u5di1Z)5l9 zMtDWXSUMHzo^wZEyedCje8BQTB@awz(~u2qrGGg{j{@av+ zyUBF3Efsg9k3qgKSCtkQ5#DP=CkEnTQZdk>AuULPLR-bI(FVgcMkL9uaLknxXJ%N; zPK`#su{x;{?XqizWm%)jRSqdT0+ZN8TMw1}jG0Y^SBm7Xx@!8$;{SAiq&dh6JWfISzp**Iv_LQT zTG-2}lo{o|>>?K5ZtIbHpP0#a&GRLFgZAu{n5h>`CZ@53(DY!5&E%~DxjCTgVKWuw z{)y~mW*p(?n(bNv%{a0ukYIBlX^NUABqb5))UVz7hBYe{>Gn6K!$q;6wKR_Py=Bc3 zTC2HGEAZvnC@I{cH;kXcbw7!^JVvRF! zhjb(zj?X$0-Ou*ut64}y_4;C4sQ8}?&fM-_pZrlVAtHC8PUjYTiZOqH=Rej_nyG!y zwZn(a$FvLL+n>}8(@Yk^p-$Y5b=b4HNHy&=Tk{`BHiARGt=iAyo!K)Z=2N{F@axT{ zo6L}Y?~Yui>Ac!kQ1;FDkH4C2WM|lyWt)$}ax>c>nxY5l^SEPM!0YSN1s2{jv#y(6 zTJW(Zb&m~$1K5bG-p6(_U-3sDg9EKc{%R z20`wOc&vs!nH-9*5KVgmQ^gO$hi3Ys+G)5@ggTlJ*G(uG;XfoMHjO(?JRDx1CAZQeIu=efD5$nE}i{jXD{j=F9WR~*PZs>%IM;GY7GQXP+qW$pL_&N3r zw2f$+KhgVCYRy3NgX3&e)AobS57te{U%+p=xqgvvu&|-KPWWQMg0%c0SZ+)07-#s9 zpX3Gcn}+5znNOxU-zuW3{PBVwUK7$^4z#d``Au&Ea8p~-F)Q2rB9QNhhjLB(*?sz) z+_G%*J@t2^L2f^Q{4gV#3%tsg9yyK=_iM{LzUv$TH>%txWN3@1#+o}%^9i6G=0@tD)ezdb`k1wkgaSSb&W3zR|3}%ciq~DwI|Fl)Pq9Sm z>`U~ISV?=$l*l&J;7fy~qkogml%F>R1M|_VpS^Bk*Z38pW$+(6CthXl6lb!O7~dK= z%YMoBccL4-H2(z9XlnKi=G#dUV^6S&9jS~j%DSkRNNHUdv(2@8ZXVBrE7IXGRjz-! z?|%-JME#;bSid3MMb)$zy(uK4^A{cMsLRsnV4qVoJ6iV!^t{be zM{voWYoC_GwW+nf=qnYEdy`{uc7yhrTFa|lw~|K~ZeSQYZuAj7hapb$_9Cy)5Kssx z1QY@a0fm4hapb$_9Cy)5Kssx1QY@a0fm4hapb$_9 zCy)5Kssx1QY@a0fm4UYYz(qEj|QAPaI9SR#T=C5o|; zh}eSYWS_NMl3vd4&F@ro-;X!%%`UYPCC7X2?XId*r%s(ZRn=Xms=9l%+J)n1uix4~ zl6M*Tz)|8pP(30axHLcMAF@>D;VH>+z?Zoq7exxHxiu=Z(`2%jn0i3BEG5?_*D@PIsE_39qQ|>VpUpa z%_in8)L6ZtzRzbAlk|uBzXtGCRPlej*AKT_5n4rGV`%&Q7Qv0a#@YYJk=`H)z%*AqS!<0ZHEoVIf z=zPpsH(E0ge3h=-q2CSdM6Cmk8ud4&w{mA%r#joymt^R(s)B8k!-+)B(ogH{YUh1g4JVW}5JJuJs*u(>z6qW-#($qb+V#Gg}{n$&2bnVvi-;}l=&&mLv{ zS&<6PpVd{Lo`b9Y`yh|>)}5&ICgwJ-T=l1dTTh*G)?AvTW9ZHBjJV-qRPgb$Q<-CQ`b{3dJ*yLt|adx$b{Cu0kk`_nv*48<~+|+(=V4 zYBT#y9 zM#-qn`M~xmlro$pGTTw&e0m2?kF&t7ksIZ%Q6q`FRt_bO(%RN-9h=yQ9M(Z5lEQ`a%lIAp_ z1&(E8oVIlo?DzqeBh(#b$G05+F}jp^~zSTU_$Gqfr=X-cJB(DTfq zEgDdn?L3{wdlgbuKss`bcaka3x5F}cz9Pch({X}J(yoO4T&NtSTq&c#`j1Gro-7)ZiN-HxIYVV#D~ zfN?ip=8HUv4pFc{{Wi3OR9~FuEafTRwbSZd@RSc1U;w>+o$6jmNp6v4gwitJf5tQHoRW-gUSNbn`0Y z`0|VYb+V3QfpTwewYqyZxI%axx8-^E*)1B;6iWHN_bq($e)!=F7n_kAq>q^EfA z-;>YDg*N(_KzTs|<(3Oas^2#S5#MwV4n+PhIgziVU-`>pga ze$uY)2D(^2?R~4uE8iOF{&wJSBG;}B{^RUi}%$GrrBn>em_zE5Ai9QuQ{r#_CRbp86^-$*cEM7~hGzRwxSzw(vq*N>q+I9RQY zFK#GyeeN?K`#?OosVrHamF zd;)0XKry&yCCIsv#2gIzISe-ty|GxX)^mQgPjZNB`XlnbO*oKnbzf8HwcQ4EPum9u zhpaZ>QtFUH{DBbZkq#t!aJ?H4)`#@=y9JwT`WA8|ZY%T_ya;gy)hQhJPJY1I)Rfl$ z80!S>7r0gfYuG9J8tXkqhDdIO0F?q5f1dg?iVZmsvKt-sao$nE2H`9i*B>}NxWTjI zMtPL_vT3eroM|OGJMHuDX`@XVr3kbG&P0{QhhvL!i0=~&1=~VCY3E%f%jW|>3rzj90P0J?7ZqyjdVK~M>$kp9Z^jY(!iUgiSSa8&sEqhJ(h2nDK1cP^qc)h?2}g^$qcZ zYsmeA`#u7_qD-z{8pJ64B zgC=MddPzib4bOcYlP<^7Ry1HS|12)k|J>2MqHRNunjL|AQ+wy!pdizcmUd_zp{ z65}a@A~el5wA%siKXixGuXAHmsLFO#k++E}3aoRb&TzeQwRHjG>aa`<`MSjQC~bK_ z!5jeX8RMyNmayY^OF;8-szOBetSa2ndGp>OnetFqJ8~oQ`G`y~67yCJDLWqzg^EMW zBwuue7^WW<&fvD-cA-xIviH#_8`~U7ZK%muE$WFOr&ML);?S<$Xc1{x=Q<>fS|(zn z$Y^#j=qaI{M%_}I$W%I}MJhSci);@qgbgwcK#@J9%hp(bk!-b+X$;+BRz^$MaE zd=xKmweFWN2-g_qoWvq+2bHJvtfOjyb*>beq87ukX>{RYLN-10B4jhV@+6y3vqmyI zl6f&%6gF7pv+<045-v0?m4HQx>I@jYe(B}91VaPxF%2646>Hb;3|h39NRDs*7&sxp5kJ4q35_w zbB6!+kmF}R^O-ZEL9J~u9r`&Z6vsi)ddp-c%TFqn^&)?1oDZh zdawk~T>XLJM(lIO9@~;2ct3gb@vGS%rXSpttMYDI@J-pnmE+YM4NnW?H;@k z)h+RnWbeJ87P7qiV=#g8(M#|4lplH_8d7*lC{AU#5Z|{?Vdd2}YX{u5>-(F=a&r8d z;x9k^g)gsGU--hsM=w75DpZt?g27*%(B(!5M!O*{dI}#XfEFxwtfnEd$nBp-$ z&J3vE6}OV|E%M#mc&oRV;`cR~s{YMD#{zE!^nRzHOPya8G*IiIHo-2m1XaZ5l(HL7 zpBo*e>3v>zPiVW*^Z3{z&*5mZ@ijT_Ir3xK^ zWK(X;43+wp%j|z>8C>>^HJ}$9Zv*UF*swFiZt)sKH9hXUtcD8NO8Ou#wPuOW;_5z4 zX|h2hP-xJ@#y4QpwSMfsq2G>M`^l|`BHyqX2Q7M4`{+>C4RN1F6%RE299lr{_0GU$ z8+X2+)j=HtPb(RFm9dIQ8G50bG9*OFW(v9;g_RyL^CZ=Fv5_sIsz#E{M^;;A8d^kL z`c`s&?jeQ*@m#$sx|zm;NwQmtR$~W1sD3VGz^JQDatnrbvW0q}mr~c;1Yw{ZFshAp zlVqg2sj}@9>d)3K1s!TR&IO$?cn99mz&jdvM+5I@;2jP8KG#5Ob_P_fzw<|Hq38Zq zH-saG3VJUb7KIdVJcS=I7=q`+W=OF^!)Rk*-{T$bj!=XxhYpYYCKn$fK+>(dx48Q_ zL9-2~^4Ub)7hx%syPuuulZ5%RO?svE)J468)FFdvM}LafNzGj-;vsAX&){knAW!ST z_3^3L-8fHZw?*y;&<^4BcQ&}FLA*4T7zSm~F@we=Qu4Ajn^Y5o(J99knN_&nuAu^m z(Q2^#+gVl%1pP>MDw^@`h!4s&w7~~E$DvcRn-c5JSilPs$OuPPl14*)tWlqI=CmNXj5fthT*_~#w^VAx>|7kTP+O@*m^W{I`BJCjb(ZT~ zLrS=%jCa#~O0B1m^)QS*TQ^{KT$5R!Ez|sD4$P#r&N!of1xGit68qJlD(*tgR2z8d zax|bCinWJQCt697)~P~RElol|&rt0`Y7yFG#!xPuil56eW*vcouxw^!qzbGFYwSdQ z)|%FIb?e(iMr10e>5NYFa{3I`tGM?uVn+t(VPn2Rv>4V`EZTW+jilg3YSedk>IDMj-8`q<{ zqb%A6EVZ6Fk;b*smU-N;jk|HIM^G5_GMi3Q<;LSmHKr41gZ_XitaC@E9OR%-2WJ`_ zJ*!MJay|vIO^r2Lx2)3`m&d*z1~#zMee9Mt5ZGENomPBg3A6n;^?TnvM`tAE&k;0} z-=~(NR!kGuO|Mcv3!#FJ=gW~T4cP~1+iYZ57LWZ3D{Kmh>zdW#M5-bkm$7Z?I5t(? zu+{X?F6MJ<(W({!)?Pyr^}KeSL#i?6fTd1Mz}iYi@NlwZ&5wrEgL4yI;2`AG?w*RVK+@HUjI5Sd=kqFf%uyjT~b2nv&&6=d^{Sx@}Yi z8n$7rbGN-Oi&XuoDqELtT1lyQ_+bo>7L~0v2R-s?UZKmnTEvTjT{x_=eX4U*YuGt&4eb1FmO=DYiQO!JXW7M zFtVf*X`Pow_t_?LG>%=B7jt$pTNc<*yn%G-j*b>~l4a$DN}>hIjNJmUE8?2w)49WJ zUd>uvaur&9>lVshfI#zNI>+!6h z$>uhnEBi@F)>KUACP`@xONY#%I7{-(l1e#BQfqkB-!H+Qf|~i3g$ieBI6AA{LXPGZ z)>@O-B27pD+U7;mL@|w(_$Zm%)M!0-g>7Os;768fw=B%k6-g6O`q-!LG;j*qo$!qd zD6}4jHM*(D4OSWa0^Zjt+3kJ@E^BySCyz;e+pp<)ypNY@DW3_xz!i3cD^2F{F!+pT z8lt7@*Ab!P&ni)SRSxbx_G22Ydt22F>tV4*ui@lwd+%TVFPBn9yz2tHMgKLwu<~{C z%HHbUi?0l%I=#QzzjQ^ztJS3+9`NV6U;Jkuyl`+g#10bt@rN}0t_v3~gpIr!+CO=5 zEx~&(#Ynzt<>2HVF~c9KtA9?5i!86GbAXuHt8N++&>Wgt=Q9jjZenVbAjM~ z!*{3bstqFS^{$2%3n$7SCd1Si@E0V~dLV*S>K9@)*L?OCsfP+)zwNX?)bIi*H)jBKU7@% zv)4ra`uG0)SAPEIX@-|puNZT9_|&UUU4Q-6Pc^Gm@&kkRKZQQ7KXtwO_?_qPDCesH z&ald-`^);47$i_ZJ*;%?m%X#;Mgk2V+!OY4YpIJ0ZCu)aWq)7f#Y-o5jrq%e`QYS0 zKGg&Xc=d4Lbb`#+U%Y;B z@Y+e>wK?~Nw~G0hi+}$cRDzSf>~ihkqpM4wTgjzB?{&JCK(AQgnngKTTHnhamy35U zUY9>Y>&Y*D-NEYM;LEEo_Y$m5_OGLn1D5O01-*zL>fHyJi(2FwXf^1;_;caUmh&|2 zckwc+pL%R?@w3Cuc+A!*rcJ&DuxlE9IaaPYhIcz>hkb z9n?-B^8M8YoC`O#-YS*Ic{IbN5Y0JR`_A-4V?=dCwH)dy7!_&z@YwY!ow9B+cOh)l zNrRuWHEVD0*+%pgw?kqzE6Y|T&5(eHL1%sNKF30-KO=_0cq$DeFnx@0tR{6RN4ri$ zm97O;eXYy6rszHTn2|OqZ?L|Z2o;H-_f`la%~HzJBoU)bLuPSFtWpFhF_B@4t(q7~ zZBTA2LL!=|Ou+zpL1cssNB={R4Up4mm_})n*3-rbTF(uQxuW#h)QABi@t8_wH>Q?& z%Fs`7YSCDfrNcw5a(2G6T&A{dOW1N~nSAfD;(SoYdS@(8`M5GYLef(6b5Y_|?@N#T zBf3{$;3>VTR9y;=1L4--df%qe>R1j4^cG*(ZKt?hh#7L4nu{!Dnu{1ge z);C09n4XN0V+(?QJR%*ZSys{h63JR>(pwrRnf9RO6PAm@To!4Zx9L<%OVJGG3*F8_ zkDd!{^AuwNhT2c_w9OEJ>|5xSq#})nJ{$t!JfnmS#{iYV-->aREHNW9;6+R6f5a<& zqz7L0DPG8vmZV{AL0m9Bb?j+c&>lSH5D0EDUo32G+uWE%6&D3XL{4jrX%=nVHb^s9 z{Y9NVd`p=-ODmtNT@i7;RT~Qo`yy3tF9pV@WSXR7TPx1IjZ9+}HbNG)FHVC)@R-v~ zN-Q4dR0Wm;aQrxj{xIr}u;-j%BV^_>m#|+UCe)ByH?gim%`Sr+DO`zlg{RAA(3z!E zeokyrmJainm>q}<$QhRAvaDy5B^G!xogov?iYzQ`UeoH)x=ND6&T8g|qb{qQWmZL> zbk~P$t5KI&G=NDf?U)sCJ243o@$7EGwTV5Y6;w)*Rj}Iuwl^<}@nTWRWDzIh1(mRv zrPbn4`)}N$cG?ySW*P@fr%$o6k+TyFb*_(u^-8Xl6loQ!-LKt*O6nV5ho5UiSv6V( zN)Gi7j0;;VGMkKy#3~CGCl6J`=7=IMy7tHu_uELjXxYI69x-k&nsyXLb}Awwv+tZORk6O!lkiO?D|L*Vnh2!JP*FJwoR?!>QKL7mR{iTBg z4I{}Z3E=FX*B#uqCV}RCz64J+DlH}9^~QTI?EMcvdHpA^ujF6+(UpAsN3S!^*COgO zr^m;kOzJ95%cm|Di?^dZ50BCC5W9J@v1!iJ!As^PbLYit`zyI~ZSNy{dq4S;Xj@(~ zD|z0&bqQ1_3WL1c5@* z1Z=>6EG}-C_ox*vHznB6XNWUeH{RXIfApTe_!qY^{=y65ja4GF>7iBEt7o>U9(m;T zM}BKnuedhFUN3#*^Q-5jdH7Xt(QebLe~`fU=dH*=0^f!Ab%KpgsU!~uCmGnLNwxTv1V76sBZ1BN%TKLoP=Z8~N&`7umnl+hkgX_@RquSWj zh!5$2=L|rD`oPp0vfyh5Tp!j7W{Jf!zOU@O*(Ur3F85dD5VPx+>tK*h?K=!3EJYjY zdI~|fM>lHLB&av8mrZUcVhv3XYhP$dnrcvXzpZkhJmq?;rlyhU(l>)UuTo8}(3hf+ ziolNgcGc^Nhf|PN3&xU(M`~)Omp(42ZVRVNJTDO|P4U z5lgf_P9D-)vCW!~!APGDO~D&O&wD!ts#mmB9o*=W6i9 zibFbtHSL(a&z68utK&_f4eCYcR-&5Hs}*2%;Y;nUaeZEV>Ep3W-Zr>u8NdB+I#$!Fdjhu(1R$Bl-8|pe5 zG2w2~M&7lNP8w;;@ICeZ_^+zbE0?o?8lI>=(P%fpawfZu$vWz~>^gcc@w^U7bMVZ zSG2L6OgciXjmA84Zo4A4Sj3dMurd7>AAW4! zhX?$YduIIG7U1^}1A4*(;mzV7nD{M4nm3_(v-mej{-Ef>XpiUUe?_Z4qc}05gJZp6 z+fz->fYJCf!O=&<@0`2$sZ?C~!06fkoWDYP9O$+ucK7Axd`znIcASLxa4W|4#;2|q2ChIGP_^%v!MHuyoyTK?7(6+Ut2{Q;xi zxex0}2ZYwU!zeAt>jzp)Pm8M^W+j={u7*xQ#W@?8yNy$-n$aL&I0|MU0g?p!Kr=I1 zMcff}fMUUqbztL#XTerR?Ua;_r0l4(q^CfAoHByBLdw9!drqG$%8RH?9UW@DE$|_{ zKe62uPvaOjrH!to>Prt)l%TVbH#Tyutufe4V_J@F&_?lK&-`AqS8S0`zM03>>tM5k?kgM@qv1r%dV3hQMS@9Wye*5=5g>mX}Pg zGg@ugccyHn602ZIT*1s}^P0zP%6nKn&zwoispd4QsU|BaOKZ?El4_MZb|z=XaV8kW z+I2gRd=MoZ(gN6j$ICl4xk#fiQ>U#(H!IQ_ifFI}oyPf;xIL8W@P7C*lK>Ho;n?X$22;mO4uB#y+p59oV69_$vmko+OgJv@sL-M z(1%x7*iH+=Rqn(e1CskP6h>>~2*kzH|MI9e@hx1vrILg~5oiB=lWgTOy z*h;h@C8djt&MebqG07*qKqZAjo0adKp^I?!r{XGDtk>z5>^^$$+(za-W+KK9!9HmFNbKaGsTS9YN${|%@tUi-EV&5lAj#3;_ zZ4t+m)&61;Eo{prI*#gMp3b@Pk71w}PRs8+STJi8CnH{{%D8x3Z~+iIZ|KA`nX)Oi zO)HwmI3C+&bhw-ycCC@7#=K%nbhAvAJm!_lEEL7XV#0(`+vW%$&4S&oyv=5&qk9j? zc{b3MCN7<_GnfWmx&~$F3zMWX=(psa(8`gU&RSQD^TS!w%?+9!k9bg=*V;}FmvD93 z5-P_US7Pj9=BR}6SX#+VoaJVT0u8?k$}>SLpZ=ISI6)AjTIHF7o`ca=t}$tMm>Zi;9j*ZY%z#tWtFJ#;U0!nuX;xNKvHKPmfcfn}wOT?3q5~^}Z8{o4g$(OGH(P zQo+wlp}w;KJHg0fK1O%KuHm+Bb-i(sYmZ-x1J8=t4Y0ZfcS9XrLA#)yup_p2>)Y(5 zRZcg+Ya}qZA#~rFRkY(PF9^HISR<3W?0?%k+4Gz8R;!PGRLR*ac;b`ws|c$vtiBNN z_wK3tjo-Lh{rLXvr~l^P+A0NN)!ixQFtWoVq z@Wn3%3GUtF-6D@sCvo8O1wef)fqBXPtx*a7>0>|pvnRJyg7+6;l>myj_EwVf#rvAX zeCIFx!gtt8uJo6*ZJhb|@Z#%fUVr|2Ed4BkZFa5Bt z9zC?WusT>>MT2`+FFdN4@Ba%gws%h+fAX=9JpGYB_2Yl)$CZ3 z1R_s9scSsCt8(wkH-G()0Bn|h=-}$XRc+Aww605+*nxcO;OU1Rx^Us(!0-7yxbP@M zNU(qPVDIrKKLRKh&2-4$JwSrLbkqHCQ5NxsZ%!}7-&(xa-gKo7eQM zwoIm&5klnI&Brh3+ij)1ru$+QZsL2lc1gf*I{Z>2SO}XHnr9b1!CBo;xQwNVc za@_9@Jvg}K_j(^ce*Ab(epg-9vAT)8zIy8VBiZrlxz!K;;Of$V*En`*KY4Qe=j9RB zlfL(RkFWA}n(W{p)kSB8Xyw=3y#LhcI;(TX$FKQ!0395>a7&|4OYri4^Ss{d6I}y4 z5F|K$?m24X(!&q;yHP#QD^KoS&*ek3|CJoeF~b|5YcGMW5S|Ywy$%{cskX{yG<^Jb zj(>>0Xw|QvFCuzo<>a;3hMl#FZD0H=Kcuc+M_HZrR|-N#QEvt(CqV~;1b>Uy{A{Q3 z63`UeerQI~5y5Gn*`m9;A0*HkV>bacA8#``*KfR*tmw60!SE|}dlE&_fvBPAi@3zF zV+7mB8}%qudeRhn?!jm{oYcc_fQ@g!Y`cD3Js_+XUrR8QBIoAjhUi_F*0BST59u8( z{7M;w2r)avZx)zt2s9tVVL9V+zY2hV2OJ^0BP`w6hs`$KCcrTAOhDmvAF)^y9O4V* zqS^J>$h&$+4`8?>2U#_oQjb&882o`!u{+=xj0$Kyo-#= zBOk>*n5TgniKJn~SU@`!lhnRZ9PtoOZV}K!N(p_oaZ8Jd809XKl*NsSq@|T6jmn0h zv*~|=t50X0g4+2EdhF&6HL7xCb!slLo68XZti)Ox{dpM5qC4UgvW&`ek>lAr-2~k& zOl?SHqZWgn@|a{S-`Qy$YfEWd10pgFi2@AiG*pkuj_jsI%qxcHCRQ_=7My1@ zoWkg$8lSs4GA;sJCv9BNWZJn}6YCw)rDfmTG_%x^Mca{0nN6B&og_z${bgiG%f38x z2-EwZ!pOlS!eT0OcGynF(@9iJ3=Bk^rEy^(RT{9?&THNIj4m@HrEVV0V6{*E2N@13`rwrHH3+$ zJ5<+@`K$@TG}C_CX~I-6deiZg&LMt7b6lB9(|C@^x`sn^GX*_oeXC$^B>V29@m&y( z+U{D;1y35bk;$8dHSHpf>jmO^E)#8f0gA{-gu#}N?1FrYj@Ps1Igh*AXi>y1?TUSW zwY7nIb1teG)r+;=F@-dD7<#(F(UCQc%W-o0bFRjUKg6pqk0q!v3X|aYGy4nk#MwnR zn^p^*f~q_rOGfIFj71{1MIXGGTE>fUhtXM$<7HK)c1kxWsZh8Z5~vsyx05m+&F4IR zTf)Y#Q7VVE252zkknq~wi91|Qmfgg-vYyM~k%*h|b9ABk%(bu+J;F)Ej`$c16C#Jq z-?0m}VwtBz@v|vv9@R~oYWtW|OF71HGw%+g{4lk$^i>L*NO=XATYX}nTJm|H#WWc& zvm##1n!{xy>0%nE3#h1u*5dlM0BeOvM!I;&MoXILa!PAxjXuEMwW1Ulesiv?v8ip# za(p>&d7bOgV!5q+&qq&j6!SbtKDJT39H*l)Su7XJwmNF0S~PLY1tVQ7>UvG@Jp>oy z3AT9W>T=R8+KxuR4ae3_929y{P>GbZz@$PN>1b8Q<= zBfLh{Q`Oa>b!^&QB=v-hySZbMtEn6v%{N3p{pgf*06H?&puLSY(8ctkIMw;-lb)UGhT~aaKq%WWA3ST zz7}|$4v$*p8djV8!fgrG`W&|(3#pZ^5M2M9>$QN95BIKjyw%S2F}QNgyVov)!QPh( z;MHBj4JHRJ^r2UhzxVyRmvz|Bs+P&th+Xd83(xuu@vpt{+IjW|#=t*pZ^@}KaRywv_xHX(ROp~1?2Eg4bq%1S^03P;#Gl;L=UdML_e{sLcb{i2 z;@~^tPrv?1{gkY%Jiq#}lOMa1zxzwSRX>GH15mfabFTGMKlWo{sY6-!727=5Ja?Df zhVOqr-xpauaXrL~Jo3mYTRpM5KCsz!SF2AwuX}Evs-JNy`P6r5k@fd_{8X*`aMA2m z0%K?%9zOfU1?7*NdUUYl;iR)ehVVCB920Zb^W)JR8A_CuKbVNxl8a;4)wA<0a@SLkroA~AGN7uJ(AS@*D|7>?N#4AXXj(t-hsL5d%kU9b3RNK#uA6Ao

    !{&cZ<*9g_ECb>p3d=;5iKWa{)oEkF?wfWbg5VCr=(f z2oju~0}_N@_+{Wswn(tysJ?5j?MlGIxN8__*NAOTB=V0rXr$l8i0dERl3!}S;bN43 zta#t07ykU8zS78_3)~VuRb@QJ5}JFT#_KVh z)or$e>VHe%dMb6PkR1pY4CirnCuZFrlU+0dRy&wx9kOfRuE(r{E_l%a5OYMC_d0*p zar*#@(W3|MVr#J8hpCjSxfJ=3^6CMbAov&&4G@SlWc6eiH_FsZ9ez(V1=ZgqakaK& zq!>N8m2Uxpm|ieO=q#S;&p@EZX<)2!KWxglj*E1dbOZ|nigUzanubBk&idI<$P_Bf zX1&OUc3D@8tgn@UMoI1m1FF_%v3L%t!E$YqDgFlgn|w#S9wm+{9y^nnol$I*WOD;S zs2JV8yiqAjV$3-KI>1%XbIn0v7}=Z&YI$pOf-#)*y}-KIYsUTf`Q$F5G zEO~R^VN8y{^k;)lVdPVb|L#QIQ0}RnR^TZH&(1Q^SjLB>;e(-M0VuZt7UNW`nT8;O zXbE}cOo=6}J~6RjFM@6AiGA*-d5{pO$?=V`V)-;}t zW{xj|t=8>`eshH29n%Q9Ng|a|5l7=Pi)2hKx*rG zmd3Ma@;Ny&V}qf9?d|aq%d-k-fJy}y`c{IyvuMF{IaxHgY+4C1v_Dux)E_O>>x#4G z@O& zWs30exH@^l$j=h?c#ZSm^z4hJ9-cm>%_fI-HepJw)z1O5&`l!h$svlBLr1QQ*w@Xp zWmMx-9+EkUabe+(VB<#i@Q4+0Fezz$sIu!kcJGk^wx zbuFO8IAe8M=U=o*w8fN>8x^~>hkW0(RpN#j5p`oWhA_!s7c>>U_AmHotFkiqv@3&I z#&9MNpMpl9ZZy@ZVqQQAwSWrH^uQ#_WX`I+;p0R}8Pv+{$Yn*67rYruOrCU;w1GTv zTvD*bTV2-H+n96kj>j<#;czzM(nfu>R&}rH*DI4%S8MzzYdCL#Tl>q2DQOmQslAmZ zpmpz)b2U(+jyD@rOiOf2o%puwRtRZnTnM55p_%orHUtZrPt>-M8|h3LTd@_mGXdpO zLlKpLT~5ulbSXPGs$AcvmXk=+MO|e;MN&t`=RhP8BnV{ipdommOKKACDF&CDLZh-W zV0i#s&?ogI*wV1MA>vogZ(wWRma@0nA@a6&6~eb63AXs)yNxyOF^`3B)4)VXBy%YH z9J~zJ^BO)4`vc;Nw6E-65+7DeM=b+24u%8sM|5po*XUU+zNP8-x~TUB_jC$|(w;30Px)4{PZSE?>j(U%O%6;A6}m$h+E~;xd#Z z`~5d>KIq+>39g?F_!IhsE)+Kt?+Y&DxXo8`hOg!DEN=}ZKpOxCtKA2VKkuLSmg>K) zs*8X}_NTUGH^knRD=+*KPY-80o1?7_F#bZ|y~9bloDF)NJy<>W+*WL`+6P2MYdtwQ z@e=&=i~oE;d-pCY$k(5I@-7l&+2zY}eB#&WcWC;6@e?X~da?mFpxo`F)`yRY1RWgz&)U;IT%6Tq|Gl3{P}>eZ9u zljGG@xys(2pyh!W_g?b--=D)zQ70#1_s>@JDeo{>!W78rOJDk4osaUM5)J;dTtjo( z;Pq|`rkaA{j;Uuo_297{qqhO$vD4tDJqc)|5oY}x{P~*Vv}du6uo~FGY{%Z`-^d=V zKgX@vlkF_h>CuoP zIqg&1gt3+7q+QOc1p_#L=0tjzxlL&|n)eh=+?)e{V{lW4@vC86c>r+hPEuW@-&MVD zv!#}H%2_gTt+rtO_^Vnc{&Vw2J){g^aHeT$n0}B{#Py>RCmK-=mm~bAA+nghnJ4p6 zR?l%ub}2=!H3Y*nF(^lCHo$bAR+LS__%kah4IZ^-j%3OJF4eY5#<9_%j{;)d4=pPX z4RIz!c_WC@+c~?S8MUzlNH&K8s&?26t(Mq&T6C7883nSjWIS(D-goPBYpn6cXj>B} z(x}$b#!5QrrHB+AKnJ<7ogr(95*_MwQ;S}tZlxivVnadN+FDGRwpE2Np)tjIxn@I$ zND;DfVgru0qV{^CYhU!NszrZ5MMqUv#Q3wGT8SErV}GGH&Ni^}e@jViDshs;V{?)F2hx zB}_hbdQmb9BP1jV#pKN>(_+%_26s1QCDyhPEJrdU^+vH1Gb{Yn zGKX9+i9kl3~mSi+aVLR`D zkq8=q)C&&Q*b|5rEZCWfS-W1_ge~S+hvjBx#l-3&HSgP<^Tdu5s+_XAjy)1HXI<48 zF@({I;<4iiRLLGj7s`Ws(N@z!m`6$(HVcBiL3!g!A$HBZ^MtOdO zQ8s3UKBZU_6duZe=?hyUvx;p55#1wdy_GyGJBo}yOrxl)H2}@Vg&ba*N{tDGV3n9= z--TxlqFzh zfv}vHo1;OBSIqD)XES#6 zb89Lvh`CZ9vN>pI46bIj#C0yF8gwzeu}FDnb7nSaU^%0IUcu#zo3y>%@6B0puGLzr zkbx%Q46Yp6nm3D$d3`t&OB$Nr7voVZo&Td97}#2mCS%BuarogXe*JsHP zI2AI0hXVDgBrQwsk~Th(;=3+H(h#KKps#cD(nbITH==}cgZ~9Jw7tt2+gfe#+dfLs ze&2hI&CS~TZJCDffXBVvTkW@f${QDM*FA?y-5c8R{i^+%ZlE8medPr-&%NqxiaS|z z?CSsL|5RMd1zX+c?tcERx|jaZrGKREe<$Cfj{No2-F^h@>gS)k{MqXBmoNXTf2Gzu zksRKNVcmUCjZNNMrPjx>f7jKv>sfJXF28zN{p?-7I7om9UV{3?)r+3)IJ={+=_UB= z>P|0#=K9=c<*B0hhyU(f&Qm*t6TtXrQN;d4EXo0C^glu+w;1ACIm z>f=8ut1QFD{v&^+SHRkQRh6h7&d&XQJ7UFqie4(b1ndW11Kv2^+q!q#Rcm{+v9^PaJ%s&bRpen`BKh>E|K-2@ zt@uQ)ee~K#k!@{9?>!;cQzf~3d_4Lu-uMla>esXPg;y?}32*S&$2REvf)b{*p>x2? zVD0yPUy$IJ(ayDIUEjQ)Sks-n^4e=&0=ej~D))F9^)A051@Bm1ef;Bhep>Cwt3G!+ z{sW-+Pydtm8`BeL74jbSU;WjmpB~oQ;|tN=!&GPSXGNMPu3!80@A)r(QK`QyzG3zW z)!E-_zpuV}hj*)axU@xbrgvKcUQvE<@zK}b@DdDb@Tt7#VfoC7T5H$kS~f_)3#3o+ zGusk;>CUnIV{~wpZ8Q&nqHoqpLS0vS)l`icnwfFN`zW~%Q^5IQsa22qjX&$fR$EEL z;d$s~7$_CvwtfM9#@XG63mD{}%*J!ykW`vg$Sfck)5YEw&d6dzgvVP#!!MxU+8H*Q zO`jFz*DN{AI=Rozctit|2&C=rI_d$8phfT7oTh$MwFwVCv)T3=P~>U)A7fu|mi@Xu zQy-skk5rGUSiz`!Ut@RR8;$^eB+>hEnvK`{TnOikyMR8_=g4!&22;&|4FykQZrFBi zH+f>1`gX!*N2RG$|9xuZ7a(rj;N5joZh>NY7VN~#geRpv$>D;>8mGxz1C}QaNA*m~ zx{4-f(rE?FlAe`boK*#yuM-`ZGIH+YLhTS6C00@QsS0jQU$_>AlmU~F9j{(ZhMP0$ zN5m95EW+68>xuaByrqR{Ox9tgyFY`}?%<$Ejrw(E2;uKniH|&enkJgtGHKfAi2BnK zTBT7DTHLvAB2O4RNyG|2(JA{48P^?_^t@6Bpqlk`R7qMzw$h|rg<~@}mUyG#0A}#4 z-8}VP0}IArV_*K(60l%OhRy;Dnt~N;I(A#BoyE~~KAvNQVqs;Gi4(pPOC~KVP!V%PQA{o-wTXdg&)x7! zY0ZA25;&+SzOQb?E?lSSX`C&N!qkiE-GD&$u<3x{#AGHJkE#gu(FO{`W@1>47vnk> zV@IuF3#ulID>T8cnE0!J7=8#aO)TSmRxNGUnoiY{rpcHnK{eJpPR}0V!F6~n%Ga7Q6~+V;l2uL7VWPjGfakDAC9m zlNQF1k13(^YQmL>T_&98w07QQEr+y1eLqssq>RDabkwqAU#&T8rqsfuWkG&kPxKYr z{xu-1m1+upt?n@dTFR~-+y+P8yd_foXF)m6W;9iY8AN@Hsg7tAqFc?WL@Zs$bd?h} z9%Eh*Hj|9a;TXv<=DI){t0^qvxUmwzq}PZ;4vQk4*POK| zp!H{oaN`O74&`f1ha75QFGBp*nONQo4iR?PVuo3sAjViNd<*Z6wvaM2v}j8vPG}Fh z@4TqTOwJ^JVneXGczh-#M{^_s)?AHHI*1BW}`-|qdGFwUf66( zV^n-*=cIJ~fwO_5%#=&E`ol^m3CBsTU%T>?fu6|4F1Wg*o-(@HmKe#r%v&lrTFSRr z8hVD`Tn|O=Qimhy0xk^eGv|ZQp99NAuxg3sjTcdh#yVui%%%6t+c~!cwxP_~SJO5N z{qd4VrdKRATuVv$fO(g5-Qzl>J&=ilc49HrX3Xj(b+@74-|JCNuAc2B_;Dn7=%J^dzO^gCOE10j!Y2+`ZVkJN`6dt&{L{aN z0^dXewHh>wvn1G-=J(!j{TG(B7WC^@tJN28j4l*kZnD)4`Gn$bvBH1lME!-8wuPQLg%xRi+$$SchDU<)K(7f z^L~P-5-ugH)x9@fxqIoAZKCx1g6(X7)Bfh_QGToNd^9vEGZ!yW)b`+trm$k~`jpox z`w#p8-)RcZWbfU1@s7uzaZ(9RPV^1pDuGVDTuPaDI@lq-##jL$|J9=a^Fh$*1xDdo zA@aftZLs5Q{b|J=JjH`2K?1)bF2{F+tC6RGFCy*tdo1Mo&Z)>ed)6wT`?sE{NJm{yXwCW zRTF=(gr9L-IA}1qV!#t{49wK4K+xj{S8;&h=OOj6!9Sec;K3#S4A9IJOr?x8 zdnGiA0;1GN8OE*}^0 zRvmqqJPfi3l-%TT7$GOAOOrHa_$WFhpGs#YO>2nbfW1qb?Sgn=h*%5Z{mAI}(Ns0Q z@wjm6)Aq?6{8L5+0kmpe-A=Vpc2TL%9LLjUQm03@Oc3WNDuv;P4y!$crm&G9U^%z& zVZ$&(rSriXpczvVly;P2i=|q8Rz;2^MIfy9u|>43Q5GyeQT|%^s;KqhG{UK?dUQBy zD#N&~)g51uK3M1!#>Ixy6LbVx3=A zs_MpYfu$2MV$;ZgAtfw18~@V~KBgJ8!8cY$0pDluH2yT?sgsO}0#+KQu6A`APh%T3 zG#tZ=eqHGrnN&2$4gp1OdZ@Msa)4T;Eu4VkLF2%I9Zy|FH(QN!LNg+N3 zIkPdKlsKCnG3G7PQ4?F{VR$sNiukmXwg|w4YOL|bVvP>3Eq=k6rEe(@xJS9kwER3m z+!2K_p}?0>*TdvR=!pijrt!VfMEC+2b(~fPI2$!$D5+EA7BH>SYCM#BiVUX#EI5Z` z6ll8#taGpkunV*bL{a06BIU-m>~OB*G|%&BIYSTQ<>BHeZCmnOsL1N7!%`@#l|WKy z5vei!!7Pl~_f9~s04AXiWXVt@H#JiZ#VkIuQoVW=&Pk5?Cg$giS6N#8X);J^0;hbEs zzvgw^98EI5Occ8#6r}6aJ{2P3NonEEnJhgT7Yo7$Q_jS5H)7989#0*QE;yD&;gqBk z;!9_fX}nnIzUK)~--A-H{*0@8320#~M2{CU-ZdXL^)gAaWo{?SJWKs!L({CCDm^xw zuYnmZ70X&O>&e<6_*Tzy0Sao5@!^bmsHb(EH4V0PmvzvlT}N&nNY=xWDrK#it=Z|K zF!u0JkT=e|s!kVqMUn~Pb)~2FyV3FCMob$(2cL+Jt`8{agd$=rqu3Hd#8eDxw@XWm z-g%}C)3LOPkgpAn7;Ujr^gLTS5p=6_+%ap|hs$MB&6e@e*yN+>tY)d13Jc5q5HeF! zIOt{+Xlx{Pjih`Wizf@>l;=g*#~xeZnFv#wsS|4}1ACM605+2=r$Ou4N$Q-VdYKEU z+p-jATUNfAJ!xI$XuV|Fr(?26eJRum%y}b;62O5l{Tpf2N7V{tq7mpL_vAmJyj({t zaRK1l0~w*mw&wH6Q=S=5;;u!*N7J;jOQucYD4jFC(G$0k@jk9NdK-_Zk_-%4(9}YV z-iyRa!%m8v3%BKk0%ar8n(3lzW~A7KYyCR4T+n^#*Yt^Jtn(ZF_nICX*0sH%?rm?% zuI}xS?!9<>%)qIS(QH1WC!)Rk;lX{Z-?x5yc;y^K*kfNOU+~{)`m{VkNLF$3`aL$T zVmGKcsH1na1JwOVMOPdh(3Ietx7{d6}qspQw|(G5M+e;VxbpME5l zFRk=DO)*a%U%PYp^XmT9e|B*2v+}*G-}w6bB~XcWCGawCNpSfK&%MD{aa01o!*?wK zwdJ2S$Hf=xd2jE9kGvl1bJ?HzuItx7`9myGUU{m1opok?lisTrQGphDqX7INcSUP~ zHFsU%*B$+;hvVb$RQRf@swbYg{uJLk-n+DaB9|Y2n6$>Ev>SExtUyK|d5Vt-g>N$b z$B>6dq4J(m3-E}5bP`oyjWfW^_?xjpZPO;-zEXz^dw}awagv}c&0e4O3TObV;lAksjM%wgOY{KTWYkaGuwk7xCM}zioCy1O4q~|JzJu zbin!mFhRW=iZ`K$@XaXfhMD@zZjkg|5o>LnW>@=|p)G0R#hQaYYQ0X_V;fw>oi2z* zTRojCaOq>;3|_ z(zv3tjQVb)@qyjYJFcMaO5-9LDv8zIU6)W4`91UYb1D8 z(+0+CuG`p6`~!Vw)$%4mv+>^VoAxa&Es0~!V@mzOj*r2%Dxxre^ofQnyju!AWR0YOsW0b+rw>9n^ct->8Xy6?UyrY44H1PXR0~V1=Zdv~4@Ap%^1A7=;4FrmSH^I>x z9iTUH1;bIQXB)OzD1NxxZn+M0BhLSrTl{K)0gQ4Ozz&}C+^CN@M_G0Vj#NBe$dE2n zRYWcUb~p@3)Ts@^55%Y#ortsy6Ls=GRI4hT;8@pS{Q# zZr>dF*;Qr!E}PK3W*r+*0=%VgU+A*X>KevxMu6?tZxCaY61P28HCdbjApBAL;;_3vY8j53TIxzm&dz4Xwoju#Q~uYmASDF0jGv!Q*}AUW|a%mpN}i<5RI@r#P#pGr$@u zvZNB#Jd$c;^;t(VQ5UTHdVYB8xaP-P$N_B(?EkIo_t(k*nOZayFAbMb-$JB;&miOScQ_F*XS{=Gol;vl%s!&ErCXta8?xo^1 zA3;Vl#lADVV@qslSgdcxRdN(V8K-f|Y8h0C7tE@dtzLGG|KkDTbdg3pyTv<|#BZTQ z?+N`NBF@H~w4o}rsjlhy4$eD#mTh`8;cHR4_A-q+HUuAPq+WKaF7msGb;VQJRBgxR zexPlpwYplazGl@U&bd?^ze+N7ZEPDB&vZMc)A%~pmp~iqmd=M~6|Vwz zdxA`9*vf9rE8zsn>(7CHlHcjoXxg%oH?qAY}w99ctVbi=(YvN z8OVZzqNfYX)yKwdBkW1%eW+{+<9o7fwWNXh6jtEcC@^qe9 z)Ksz7jM6)a49KXBbv=%1m#Be|w;Mr?r=6ypx1rTb$8ySYWX`j+Fc=$~@G(=K(bhG* zPHFSaDqol8k(C*%r#70jSp(BK8Me_Zq9x$-fSYtILLF)p+50dt5h^0AK=n1=BhI0g zmZM=?c9piHayg3mUR11`r)wT79u>MQ+iG)`#LQ$ZZqx+BU_VH zd((8uZfuxOm`BR>djX4*S%<>V)*IfQB}qjo6j-(`EltrnXM~o(m(#3NZe(2Qhz4~E zP!La_`ZMp~qUIv>8^f1H+9Sx@k?|?oMBPa8&ZILPOX&N+8`7~*NOPEiX$cVQNF7b1 zsBPiKmX%KP)No|*+z;WMQP)_2i*NYnT}xeyo9lO;eE0@$sk%Ti_Hp010I%B@bT#lX znR~ZpweN=C-79tAeS==Dt9vB(?qhxUv31rT9#;FnC})Me_sRRzeeAJ+|L@h+%dVH(g#%O&a4@;aYy)q6cXyR*DI@p!Ug|7QAC z)vtb4^{Zdit5?7JdknV#&3b9gsMhZG>vZMTq(%50lONlZSb#V`@sn46^2%@g#$s_g z2jYQbfQuJ@?-UNi;Wh`od&2>*!SQTgi0B=ESeu9XXzeEHP6A$X3XuGjxF<6_!9_E! zdwu-z&$6z0|L!xdKmBxAfqSGP|Kb-FFj54WW0$)x6Pb!U#w*$$j*dQkv?njYh68i$ zK^$n6D3v2{5NWI(eZ*X{ABhoYZLT=jhK(DN`#CULb33Gc`YCgv{RNv8SIze`OV|`w zzxPA0{D<#uv)|74UP;2f*$;)YrjGA_iuXX7!kztr&lz4_%`d+1-CCQ=ilU!8&BQ;f z+3jch{uoul?Cr6Vn~J#lGv?xB%r5@o_ntqycVTbu!tTu*H*VZLy8PtzXZQAE={&yw z`rZBA>$@L$_K2OJm-j#Ndmo`D=J|Mh?#s8%Nd|&D`^Em3fR}H+e3Oaq{SRLF;NIss zvR!`eVxmf%)2PvE2!6(VdK4C&n-z`A9`l#p8uQZ2FTHeOcc0ng9TPYRrE`%j@vj~2 z@1EZ^yZ&c)_aO-7Y|M9l=l(f1Y6C=KF2mcG+ArPZa z_?_Q*^;ISsZ@xTwdBl3;(1K`Pa+mp@hu++9^Md)V&^ig>k&!qsVb?q{pMU@PC!f4@ zslHj?y#BHGoqzG%i|p1H2Y>CabrEoumuBp>a^Zy+c6aZ-ewPi$tX~F1Ar3mqdkk7| zdXiIPxA5C`p>6mJ1SgavNj~$!TPLa>gn#qEH!;}?{6+yo z*`WW`vG{vfIl(Y~Z`J+HL(GYB+NJ0^v#XF=&;u0w8CoSjvsAwby-8OAggVkE;n+Xm z)wT%kD}9AFu5dE8;odyTj~=#FZD_GKm_Vk7$=YB{OWA|fn9`k$!W;R^0cQn1>oyV%*Y2bxaR^AA_2-u}7v$fu~m=s6D)AB=GC{k?LYuXMkI!yThT2>Zn zfX5glbi3(K((|{X6Heg6Gf+lbc&eYR2tw|1r8g)|S$gZsSmNrhdAv({`kt<-H(A99 zi$h0kV;SH%^$Z@AQx;ZRT;ejf4IJd2uJSl1D&3jZS5;i6fg_xw*FP91-f|94u6Qby zT0<-@uZ=Chx{$q6!7Fu;7w|= ziR~UF>_k33U_AC?@L2V`AME`oO5ilC2y=c?kmI@|sts&9a1FnzMIVKSY9%EAURE`l zKpVA#wxzJxmWjIHh$cZ~B(s`vF>xbecI@&XmXlD+c|RK6B$gEe0bc*{tv)=k(#6xm z##xw5-Kr=lpYk~CwsOu__!*5Qfo}%te{i%A`&?4(6)i#E2lq7^397Y8bNKdcUB={Wa8J1sI;b(uFEq!ok=8w}mVaeY_g{InQwLsa4XV8-}Z zZX&7e1KejKV*%1SBsVH**r#6W8mcLSCk_JX-rU0-bn=E)7i!2F$N{Vig)GwLq;UK(@eRM6Um$NSx#DN?z&Kq1g# z%_a1FonsZI%^fz7j|0QWk5Y$Zh+o0dyPDr$8p4!iH6?wrDo5x zd&fR+%@*Pz)r4S?18IQbpf{DWAeu3D@6;1N9~@^*arW*}wxbwMh0)d-)AtY^8Rw#I z8GHB2y>>osn{n4@)RB*GX*zPonZ3)G&sAps%$eW)-H&tctx|{4XJvl+BcCSS9(wIv zHNVG2^CM28lXe?9P)^0cws|@SAK7z+ynOk5eeU`~n^s^!aS}3c5bK&SrvMsn%Zgew z;KApp-`{!ldv`upnO{VQ{!m-K`+g1hlRoZdt-UceXu?F=YTwdT^MMm$jyJH-*a%e(zNdphsz?_c_Lt`Nrjz`ji}9R2u@ABh7_pNbst89qzomoB~b zG2PZ|2Dkoq$o$FdoH_II%k)s55(lhOUw`BJH?F_*(t79zNK zCphCnD#Kqput&kQd*g+OWi3DTLT6Av`Q2x~+pN#Nwr^ftqo4;pG}1h}_lo(#$G=?3 zwO&8p>|WTtux>aTYQ1NE_Jx;2OnKN58|mpe8S3qQu+70fJ6S~#HC{NlwExQgE@qF_y9^;;TutO)!?lr9je(|4fLkydxo_l za|8G9OKmrP(X!RX-{aFe6~}g*J^q1a&q*Lax5=g7{2O@NB+$I6nEF!a>qBo6_(5gf zo*Hp1`%xL|Qd`RQO`uEHZISe>9P7tho^NdJUp1~0mf{n^$>@C|>i=iYKYE$V{?JQc z^Ob(*IceVcBu`E8Ej87r#_+Eo@{iuT@P{bGEuHxfk&8d%r1?hmw@s4kdSgGSo$PKlUBP^dlE15{;uo|9U@92;>jsPb1MdxPEUz9%Di_ZS7e zzn0t^UT%fxL!IXTj^TWlQg3$7L1(@>W6Eej4ACA3@Uk{~Xe|4|kSiob6C!xy`uCv1 zJ()mIko!#7lYXbW-0A2yg*`5F8|WLJec}PpJqGuUF}rQ=w`e~tg{>&&TlP0;ZQmr_ zHzVu0ip@ZMA8D*9_v1y_*P`P|85TCr>onZ#JbRLF5s-@0u( ziF;yu&}aKT#ud(WfqS!aertwT@KeNj5+7W4Lw5?jqWOb>kAWT}qYd75kv~Xco%bKW z=wyB0oJ#lh9^*q5hL7NZ`SwAG?36MOs8jH7U&<$9@+Vxu5m2Y#_2Qh04xt|W_~ym; zpcwDP_l-&Y;Dr8wJ`dvWB>u{o7=3U40TVkV*n?tx5dM@X-U(F72U%0uz4`W~`Jfn2 z!T;d9W3s*Z_A&9G81KP1HV-XfZ@zsDJSfHo;orWL{|LsE?+kuM?`LnmeOY)=j3@D) z#2qg~Z@zu$KPblg@WXC&r#Ig|79JGiy?Ax1T$ild*jS?5;cT$cY%o${`IDZbzqoG8 z1dOoq7gZVTHaxc<3SrQ%Ezc%A!K7^&A*RSqn}uZ5Juc44YErC;LGe&QM*F;)^U zb>B-gOV8$8Q~O$LrspyLQ$IEA2DcW@W=MMqgW@qEM1WTDOq+aE3`!yJtdP;bX6zIi zz_YL`em<-#p0be8^Vfi3RWEvtB8!5X=@F?shKXdzd00&vv^gt zZ*Z~)LmcWI^8AiL>?!A3<1y#yaIt2EJ>V`G6=`A;1t7Y)oOjz#)Tp=!JV)rG=yx`6c_h8+*U^9PJ)&C+Wq!0XL3 ztROFC$kz`-EgFL%Cc+zY$cFeSW~fgC-T`(njMz(W<^$^&vzedxi6y#tOmyUc)_@2V zhNbeua;TM(vr$VdM9N**jyGp+R}%x%-ps(O)G$(Sippa#cFqMc$hjz}+A9eCilfl+ z(Uy#X63aXhA%BjTaDGq}oT=atm^Wm4sPi72@~}W)hw%=&sZJXJf(hxAQwMr?Dhowm z5|-z3l)GGN>sxk`2v!I=oiuGLLPwo#1`AHW7_~-w)R)tc4%>c6a5$eX*r{OHw!q7< zu-44opw1PG>rNejy2YPkz(eULjok3g4?Nakvci9;%@ty*p?OGG3!4t6umF>6i02wK za;V$oT$9+6x56TIB}vs$9QrbOIUuv9@RMLJYz+=!Q}#nHU|)`BaGh}ueH#iv#gLm4 zp2fio+|V9CKl0g(8?!vtiFZRTZ)XRJC$%}%(O2W5oKQkKwR|*koL!N74sfAVx%Nvt z1`Y?b2tz*)LZ!G&3L5!0BgdT_oKvCq334wqyK6kho8w44>~kY}<|(-X0TOaf`I_?3 zx*_fKio4qze_)m?3f%Y%K8e7mgHcAU3$;*3$WZbHB}?g4Jb+e`B}lunvf#En1)`FB zlt98M@^>RabP^R}mPaGX>k4*tfwLeU>%-+tSl8Cl-g@!xtYF@NLXhuJ@%lLW$w z`FjPwaYw;R;`*r&wBsYX;-3G0=fl0JKl9Aa&Y6d<{fha7`Gj_8_i*N`asByM@;o=V&uFH-pvwq4vG(so;(=ZVK03Vmq8`u=H2mhs?utA+Nd|lN}X% z;RbQtQTDkV^C|6!;k=CiJ!kLjFF^yrE`T30>z`IhAmZo|&)eW0`EZ@rx?Q)ym$!m_ z?a|6pQ7YzFUs&%7sU7cwLA;~>PSLha`$Eg%<;gJzzok?{5$WAH0qbxi2ps4J@*XxE za36hWSMK59?*7jH{>|&+AVe2Ai1s_htFJb%zVIr|QbOdMQV{Dy(|o2;{Nlb(hr{2w zm@N9AJsWRHI}3yzHyf(UTp%V)zo$u|e~kSLuWZlqqL!zOT@ZEkG% zGd#B;@7(h3;n=2jH^!BdeBKerUrmwbyXXjnkjG7vRbM*oNQ2?G9h+Es+q3ULkfh%m302_Vs(LvF=d)1Ib8)Q0aJb%eIG%=FDQ8N`x$V0sHcA{0C6Ji zBj?u_YYZO)DCL6QqEX`aKuh2is+~D#f})dT)P?kzL+;e>qFQtzr@^H2rwF5;6TNsM zti?JuWjk!5z|dL9M-GMMFUuYNWGlp?PGMmu`M^Q}o}-p{8kF5F8dlS)qup&`t4 z=v0!%`0gh=%`MSj652blR-g%b)MXfp-A}gRdKE^)dsmn0?5IzL(@&bDnG?irZ-gKNptcIAdb5pbbG7KXtCSD-fz$Q@qL$jEnPD0gVa@bj<^aKc+Nbdh#pTMpLC zb4vxWr7;$X@yCH~(<~4>>7T84sV>_g=x8YQmHW_!F115~&`Yls4kb=K=fDjSsq_H2 zjm(-sP29DMEyg@W>?1d#Zqv6#Kx%6s-9-6aWdfI zUT7AnHv`+E`gasjeg(KFGOH+hF8ZH(hM8up3+B;fOtD8D#@6965Lydsfn)4eLHFrg|oIy8{ zl9DDxkq*j~Rw{*Zl#WN!X1*F{^C`Dxvp0XNc z+|km)4TV6tapRI=j75#nSQQ9y@~bok;8tMMkuUscSz@ic=SiLBesA8X##pyBiy0TI z%}VN@#tAi;(zJ_u=~K%)QRu{hfn6p`YtlreohF9VO`__+VQ}%4lv8Db+c?F5Cw6D4 zcp7wS7?@s7TD9UypXB&J%q41mHa(=EbqZY#S80_s?8$FR$9Ukd;MGm-fg@1j z5|UE#OAbDmTdZ64KrDpOJ6J#*Blg4%A2A$JZm z&XIUyi}{$m9*jnVMOAPoTB*AjQM5IDGMbgV13Emi;tg{GA0FHlhEUX&mI0L-3tq#+9&?Z{Ge1%T}y{QTF~>z8i6bn|S`VG!hnI3&J0+cMXpWjdarVZKzxMd+kH3Cn|3*jAw*J#U^O^I_`8%RlY%;}x zF@JWxVIZY9fiC{W94Pdu9GE6bwYhX84j>C0)wS85{KKDpSsc)M4qk(U#R%wSG~+l41zM@)eY&-jR1bEJ%z-j{Zp+N^lD zfI7bo(Jyl6P5j&Tzu(^TaKFzzm_ob<(AOh!(b~D4>cFCtV4WM#nf8e*M{Xb~eN&(` z-w=p{87ES6QFCyLZZhy+_W9|u$(dUTMG=cdq7Yy%a#duVo1PjUkUSdFASuA| z3-JwNnD~VH1Oy5&R#=OM$sZk`Ms&=+!JqdZr_D19*`aNSRKK@6jgnaOM0=b*&<+ zM%&YfD6M%#9_48pV?}DG3=bGyOlDhm=8Ok1it~{1ckjNmBHxd}61HBQYT#46H zV8fF~)mt!QeUEwPb_7Cp;hc!bt3b0^;G<+rF(=&=POZ!+Vd`I?4SW!ylY669b71wl zDz6@nt0jFpwS(-uCX ze=@<|hm`NP0xkr$)>p->BzK-4N3(TNqR>~eW?Y|K%qqM+FKSh3fa-04Aa3HZB#MB; z5LK77_kCgcptgA^R+M^`nUz^psQrPlZ`_1gl}MRJ|ElaaN(Q%gMXTk#XDqVaY<2R_7BtyI$~cBCQj;mJcEW6EF^ zlP)QTw+GUonIqN^aYbP(USg*%A`x7{!-qGJR81{GrIC%ME~d$pKZW5W*W@+poJ48qq<3C%0YPA z02*DugQ;ZM0=H$~w%Tn+u-_Z_;YyY^#~Uz;kG@>cx-ap&=?$a?z}UDCwBey zunNrMvM%Rgd;C47qU?aV4|1&z6bn7U&E4FvN-B185IQ|q#x<6P=va5j6X1rEV8(|c zvm1A=b}K;Qkt@Frirx}TyK&VNjAbDbJu#mz+(h3;IPx~POY3tr#icbR$*cRzgj_~y z4FBYyOwsUw8h%y-^x_C5MU6h^_{0yghS?;<>szj;RV4~NavL&T5U*>r__bQtjONvk zeC|_|SjD8NS1XKahDSJ2Z;-x>gaEHc1nD+n-1Z1K)Qm?DvJotP@bT+nAe*K=Fi9=p z&^cE1gGG~j*^FtzGxlO7eB6~)!b5E1I#9Jn(0x$5w`3|BFMMFkCl+hO_`VPW#yCO} z)?;Z+QgQw*eb6);WVW*9JmWPP(T6p2=~^Lz#wcQ|EL5f(i17~c-V)70o;%q>dRz|? ztd^&KJ*2?`Nnsc1cwoI1qS71$@BDZ{rD#9}X$%rSAGpz!_Mx>?{2MB`wRK61`U56e zQc{J}+`CznyOOEq1ze#SuVTd`1Yk#KSAl=aQ#D~6rXizvaf;i-2a6T| zOm>+h>O29dN3yY%!PaP%s~?c*<**vIDfElQydH63)q$PobxspOAQpAD1E%ax?pCHlLInWal=*Zz>HY;SkG7Opdo`i8eTvo(g7^6d=p2Qq@w%POd2;B z%-dB}PdMbe@GUGg-gz`L?|q6aLJ@jO3j27qSbA>!nlO(JDn4Z~e&t6)3L-e5oMIV! zX{-}`Bj|;2ru1^99wL((X2Lvi849f7%Z~IY&4<>(#6z;yRb)YF}33bbLWeh zoh?Sra+6!zP!KjVYP}HU$U4lX34l&Ep3`ilR7E$6>_Ru78To+cfEpv zITP2dC6zGLLq~zo2aJ#uiv_y_=1dOPEm5JyxCKoWCU=Wbqj+EPv~3gWI{9hzwo)M= z#D8JN2?=RRxn%~b84eCu9zAChLp9}nuI+OD$*!#}@ z?(lNP**z0x1+UOhb?)cl+#QJLHW*?GfEjchxzCtme;hy;5(ED^gYIi{1qdN;ed0Ht zeI_x_nAg4B`}<@X&|HgVRou(J_WsX3@wq45%Rlt#Gr#aJul%LY|E13x^LIY`H!gnu z^Gr>B;^^9MKJuH7gdpAHU;K;rzE@VI5?1aJjm%yCe(`_1@@wYk+O?gXJb(JiU%e9O zZmoaw+BH_J@+Y`>F>>(rqdyin_@Ph#mc4arfB)Cs|6BIrZ+`ZXr;eV2x5xqOR6k*^ z_4AA&IFB!W(OTJ009vp7ShBDsxf z-3ev=H~!8u&+R^X^yq*4pT6vNj~;#W*8Zz|#yqwA)T2kcUmZU6)U_Ws>z&)%8TyBl zPy6U53CUh-C@Bfer=}PG_+S3h-}UPc;eRxIdiZoh+Yw$HIG_fEU?1M+;|mk!TJ~2! ztS^4{Kl&ec5qz6+9Pe@{RRA zHS8ojdFf7Z+^>~<}|{>eGZ7wRIs=<=tq zpVg{S-Hg}VIe%Wbm_F-z&FLH%GAIrdT=Wqh%z;)2ZxKTN!WW7!^x>!qANge#9$(nI zbLY})y$pKa`_1*;XMcL{qvTIe9sTYx#d`P2C->KJHSeQqRsv}D@lq8CcJ4OKG3EWX z7hgO&y0BLimtKo9xS!$}^YUjd?1#DSfZCSrHV5lhU_eq0-$dr+@4oQDaU93CrnwXx z=Wbr&ZZkudk;Pdw{wW;;TUan7zD$@*KCzUCmXjU|gblw4wzq7#!FgKzfN|lu{jkB| zjH4t?tSCO9sJJ8nzL7KbzFkNQtO)f*`wqoC8G7wmO$83 z>3eS-@k@+kn*JCaK}!lKLnO%h)dXhLU0@3mZmNhS=Rm8n8jM&)L{C8&p9n2})Gf|Q zV#3FNian}Z&;*J|16uKtWd~kQDn+72jDW2MbgD+o1)0Q}oTLiPpyYFO83!(Mq;8jz z^Uj7)nX|YArLxr8#=6F2HiHM$Aazk&7eZuKRDri(A;mcPozjUh1$WOvK5B^H`4Kpn z*}$l3dpk2mGilsY1fK>O!Hlv$>2j(yx*3T�%N^Nv4bqatj=`S@9wJtpY8!wi;bkAxDeq8i zu@BX5;3W|(m#&;l>SUfK6w;g=XiI@$VC7E)qgqZ4!;Pd4lD!ew5VJhgaLr}5#?r2) z(PVD5b&4T{9t!PQE<+_j`5iB;Xw#4f+nBU+N;LJ5@(YSIv`ca-s*r6xsFUTln9OfcInhQlGcHXCV2S!)i_sj2mz<)y=vv6XDuDhmB*HN>f% z7~UC!o-7V1PWkxJf@PsJVPZt};sxEn6(v@L+g_#L6m7of&gK6ea2S zo6@ealr>?JUaf zvnwNyga}imfVfiV66G+V*qljQ{0EDLc1+G1ZC3d;|F8PPpZSd0JzC$cZ*zNY zgpULJ`_iD}Pd#I;rHp%RnB$b#3w}KUaoE$-+FVY z2ppIj>l?>m;UN9Y%_o4pdheTZz&*mO>y;MtAP)BTAAPjv;0T?!=fJF!*Viw+z%9se zonldZ@Pj=E>m!yYlAC>V&Rn__84Rm2846JDZ(P1{StfcBdf%;EmvP^?%r#L#ig$PK zhKSk=?S)&c(tYYFejmSn{RaCB<^I&CVmjYQuT$fT{p)}*+v5+izxwLV&iP0hp5j3G z`#Dfah=a%}n#%4@2q6wwKD__&k6*ql4n!jNF$ZfCbY$JCZO{JuMWQ$V?860aG~Vwp zyndKx%)?Y^naL=1SN%in`+|AKlq2f=4_=+_r+;GfZid;4R(nNyV881tL0A5fhjueW=G7m0=#`&OTVf2lw8)Qc#C4~?1njTTOn=|}z?$MQ=e`_jl~&YhpaWg= zcSH1R6LjdP#ofFa*F1k2>g`&%`y|)GJLutQ8?P9G%8dEZXFu?`+;AYn1P%-w{Dv7_ zx&)%U?xGGzTX%#IHWs;c8eBd8_^lKnSstfYzVQ;V7quV1e z*O%8@G)eGjnq^Z};vmi$iUY|GDgX7YpmLLELF51nGEp4%?_d3Gj8ix;x4@r(6C8MX zIXZkDi8>NM8=leDn%vlp`=q5g>Ac59ykInsKu;FtN?4d9>1>Jief9iTn zG<&8=0uJ3t&){-GE>7|2Q1*d2dvKWGQ+-qa>|Wn+#Kkf4WQJmZIz zTUk6QH2J9Gsb_1NV0&90TEng6OmKB9h^prIAV!+Npt6DP zA>tS=#5*d8b;ZwzD9FBO2GfdOA%_{|>XxEzEXoj$St3mB|8$g@*m2lR_}Ll@T_Ap` zYyf@sP>JOxLs5{}HQ|OzG-|NdTC*D#L0W5ZlZ|NwaTpDNmxv1P9ZA`zoob z;JvUFqW2O+*O6>mrY??aF@ELAASxf|BohsJbvY-X1FKQi0GM=O z-R9M9JE=#$@df7LX~HOwqWdP`dcQ71$Sp$JTg=H?zCj;bAXObGEj%#0Hgh?dCq7M> zjz`R#<@EFx<$N&J3&ji(Cof3>wVGGmL=U62qKP>RHY7wRTDNKEFrg`&4n{cwVhY{_ zE^WtjSc0B7ZonSYgT{~sl?EZVF>h91+YT%ujn;7Qvk22F#m;KtH6&B6RvHQX zcEb?;e>Kex4=DG^DqB@lh^%4_#R_KBE`0l8rEgr)y0JZ&tQhxdX_gY)t9tG0sn1h;9s2ty7v1Iks$L~?|*a#WH*+dMuKuR4uD-XrG?A~AC0*Q z5ML@YZ7j4FQ?3pfjKa$2B>3QLQx{By1N3lPCe|&WqikL5M=<&qJ@nBX*)5`w3&r9C z(uMf8{evB8bum=T6y4`7#O8Cp<(rWA87-B-<)Y|Y%+MJLc*NBm%A$CC|IX{!uw>Q+ z;kEiAs1Dj=-+OI!Hkj9*0BlugOk(9<7nAtSf{&CAjWdZ)!Lyl+y1|)-qZ!KuLQU{c z6lvBLth4f|4v)x~yRFiSGW2uDVmU=f*KNeP71+Fqc^oIErO#yPq7jjlOCn}ICDaP& zK$M-NeCV5{DUCRU)-eQ_lLmjG3OGgTvBRc|Ha$Hn%BEsmJ^_3n57G}zxjN!YCSh{Y zsIA0adU}o$p&i%;w{T2qTIoJD=p45O9?`J0T+b(|wc=Kkgu{}MClc_r7!(BMgbq=3 zZ9Nq?;I-_I0%%4H%K@;>Xi#P2tSm}JMZAvrMVALjN_btUP)V{dJ>|hp5G-#qm9K>&-Y-%aW&LiAv+iOBCb+L^tge zD@#l;JaYp%pZP7+w$;nA0Pp130+*99*e7w*zy~q0s-Sya2eTnlZdBNyd^*YC zFqgcTeS?nB_J6+wfma<|@=1k5~=T-{ahzXBTUe##C*u#M)Qr>E- z)wO~e51>FnQb#`5t3b>Qw3MjT**wpumQ{`5kVBY1mcKwru|6``wW?MF=2dX%;rWYx znGH#EsEB|{6zTvA2ZKAri;WESt<03g+7okFH>wrIAXMFswl|VsVNiag$>DsJl&Irl z=wY;J#A>7fgTRmk6FrBjzU_F)N^t7pqRw1vvz1vi4FYM$77ZqMElc@0YqqtVhLUQFxp&Xeh zHN=;ST(f*^kWBHi6FVb&Y2}uxJ26G$)wYc$IcA<8G!xD}b;L(woAK5(gv8&C)cII>mg>)`EaX-q+kW|p_!8}Ge#fg(1?KG#t(%9ge@5~mwl zGcH%nl%)bSSsyVosx@mY{>{oV<9)5zQSV5}5p%&$;Q6Jp2v+s1h23oFmQ=1n`#rqy zfGPrQh^HobER%sNXaH$!W_jij-{`Ph!iLG2rKFlvqhaZo@vqGIAdH(;3yuO>CT(HI zZ8}egq6bY}Z``XE3FEz@{bJWPN(SXcKz9ZW-wJM?|=Uz>uXQ1*Qt3IlXFbZ-GBNC_1AU`i378H1^{tQ9ANGX z*Ac{7DDn`_N0RX6C*S=I2RJBL&b-Jy2U+)u51I4IvA8sEe+0BVP zoZOShed!E65oU}oWJgDPVU_Xw&cE-xIJ_5BJ{R`x?wGY(f8{7AYim`#ZP?UF@=4xr zteFOW!I;O)UXbIf>-8OxumAk|A78)BDMi40-t*+{Yp=cj`Zfpg?Az^#qh06d1`dpK zs=Lnv_fU23gs_+QFLT@u93a_-qO#_^={Yd}*!=l@^Wg&TyXVebW+m;-n?Lar3e~+U zm$xRq!CHBp0M_2}7JXx#WGB!hdvZ}OQ>3iT{@cH$f)^$8rMG|V$G-CW4IuXs$K3|= z?s|RwCoHZ1`R|o`{e>^zlG%gpExp;^_~##8TcX{ZOVIgzH_#IWGjIS`9S0b2@E!Rv z2S-{VYmV+7-L-VtXu-SuTChxrlxo7&$F;1FlPsqE$}9v!F$u^_B9Ap)@T-fQbCSOuKtGZtVJjV=i%m(?SH-3nKRkBg5UB;bP0cJ63w zV&&ts@ldx$i+YfS^<=?xN&5|jEGa+FPyS*-?hq!J{lkalDZML(XuJ5pD$%Vx_jL4J z5KREDc%#7SL%22|<%ecF40n|%l4@X&MJ$fG(AXS34#}*WlpD&|3L7D^-Me}-{D3bxp#-%`|_hOvWB|wr}r2<2ALJgyhO7YA+RD+~Q(8%Ri>mh{_ zXqRm$^tLuG)a3!k8@k+58K6y_4Wjk*Car?e>s4e>0$vZ+&+u@e030^aYYVdV){_PA z0v%8AsmJI5b(b6meq0`K#4kn2Du^KwD{~(6ON3H;L;lz&240>T5peU7Rop1Y1dcPC zDw?J7B+igEvqU8nbt)dcbEcP_(ndN!>XtmEr;JcF2SqZrO128g8^$OKi+wR(N>{~g z3Oh{U0vm(G;Fen2+`}T|N-q^79qPBzlWa-I1%eq*`qaE@5z=Dd6K^fjtdeOxs|-8# zT#DLX!BII?-j2Zr!ACa*(Qr*ngJv>dm;wmrGea+CcymOHK02^2%Ui|c@v(Dy*rCQi z0Wi>nr1FuU!C>Rc(zfKw4N{h^Gis4DIm|@hpjy~GcV%1he$YbJj7&J=N_W7zGp~NG zfDm03q+9~V5mzP0piQ;vn5w~B!Y@{5kHC#36C!Tts53$7*l!#TM~Y>GM~obkqq-2D zMzpGWR2Ps!V~fNJnlK=u0hmk=rRf!`vO-II#`G#-FUi52;DGMKr;%+0*v){t_R+KlNrmrL8! z>jS0by|y|O6aj>wc$@@O-?BbDv;oUtK=kz#-ZuD9D^M?yO<7I+bT*jQ3v_&Hm2IX? z&1PxKy(P%OC6YiCA?p6LPI7cMwD#Px^w^pE!6j|JY#TgkepF?#-|~x~)~Laaip;Pq zitRi@%WLNxvRjX4%mLPxUCZduP14rGrc}XC5-KCXouZ%)l!=~515>DzFhQM6t7#>_ zJk)}YOi?K51kNVOYSh|XL&4;t9*KonT1<1sLm=~{z8>xUwH;-yzs^? zXDe@6<6Snq+td+Z^((W~Ri#tScl=HRUXpao-9j{M^5%Wx+7o+BsS4`(r4hAqhYW~W zQ?@Q&3|g3RwT_G~^4t!&#%n_MB|1md)2K27GE-Vn1bK#XY&1!-IfF`uCPwaz82 zy~d7tQA#k!X|nu}qh4>B+hOk`{qb+C*MIRZcJ#J0-@w>ond^&pn&vdJTa>8asPk+- z6MO4g|89=XeZ}lIpSf}4AN()q<8aWtXi^x6BwdI%W59uVk?#0uv^@ts58`0QoP{*i zK0HM5_}TaT!h3dqz5ewtoI~fzA5hY)F_lhgE(ewLzLa*j_kv}G+pxPErUo`Ba%|S; z-g6F>?L9s6Gwj3DxWn$xz6ZsIjKhIe!)~A#+y?PL4#H`+TV%o7otnEr?{bcAR))c_%5pb)x9&)aQ#*4I`0iDXg^Ts*ygB1H@Nq4e+u&gr{8{R6XnKIT>Zdq zkpzmzx#>kAcZgA6wb-yJrcan6=**-vx@zAA2Lr|!q~&iiov$f^%l4zvkqr$K52;>3 zXEyfoN9E>T7a8}!M}g5OYSmv}pCgHT2ynjs(l6Q`dwu`IA6CpyuAkI>E*lPl?Xrny z>UPEP+WNI{2N0}Xj^U5j!1nP)^W3>ufxmbJ2dBU`2bXE@bl!51L0|A6Fdx|8fAQRx z&fmDfXwAM!Ui;K`wN>rtv&8ulk=p>J0mBwUjeMK zN}0Cd#*60^*YPVEI7-*Q_tB61343om$L`196<7NTvtGY32MqQdOaC~UcicvQo$9YQ zRUc7R-4u+)O!#Cv)CbvHu;}Gp_V^Rt;nFua!8Bfx*x)Ot(NH`g=+l~T2|hTh@U(f1 zD+cq{Ogmbu&a*TmdS8h`_<0^8%lTCLU~>iNfzy|{#TM(9a@s{H8`4OEdEycBU7_U& z9LLefrr3;gJTeK0?SNKaWvM8sP3^!*?IraPp=G=t-Jor8YbFV>^na{Oe%-pp@eOf_V9JEx$X z&IWxoB^0Jl#vyh*rG1j9CHqU}97B&pNfErUEe&_Vo-4Vju5@Jp8}iOmHhy9_6aw}j zJwm#iID(WszS_`RVp!QhV~&&!I`j3wqNRmkjmhWg+)JIHKkI5HH5bNmCTfj_HX&5a z1}NP)Iw{rVbKy-PK06dGOCZ&oGPbt3hLdcRHiTI4Y!wDOeJwky2C<+Dhf*hvJ2#W% zV9u-%OCWMPwPPmeYGuM-^<_CgX-e<->u@d1kqCKeCpXvLd6NF@AxF z(FDX_5WRy!xmJEBdLqcu!3Fmyp=15e$ zH!ksZI?zk)q40XETFjN1H05B{lsUs*M1ydNi@Pw`Pnb~yhV_BX+F_d2r87%+XeI{@ zX^EGbXR9Y!bm%n}nM`=82eT=fIaAm(`PuQ@HIBN$0ZLPLz}2bD1{q9iH*ALWVlb@c zoKS{HE9mLy!$Hi?(yV4B%rumCX$s4v_|h_Uh6te>G@^2*m+rGw%N@xkgDT`&EF z)Q=MWJX4)B@BPq|944bM%-yQ2V!`|Aq|6ZaqMDSWi7zsk;kwtxKu>6qaoQf^53|Eb zv6xJJGiKCi9)izGyyb@S*+jNU-A7TB-ryc0bY%0|lOXU5y9% zNH>KtCWiEi>9n1e>4M4BgTqxdw#B$z(cBc|-HCyTr!*WRSXdcDoO|VjU*R(5LW{9$ z*!?&t3vM!{;D(un*>>bS<86L4){w4E(4HDhE-&IdsL>)B931ja^GD5HPT4Hmtk_RF z$lY?qeX64*2gfN3EQv@-R`)H@pw^4&+>VAV!!L`R)+y&jY4}?bku^!uFf`~OA9Go1 z(Im{2L&wg`I$6T`@Ltwl4f?8E*Zp0nVqj_>_*J}j;Pnu zF)fgS5nD3JP17EXbQ0A(UnHi<^K3yz8FfJEOo+ZRjx_)SiXmqTpG?yFC8n}8j$^j~4rc>@SS}my=6WjWVRAv=({}Qxnze)Viq2=2&o1_yKc~mn?QBEpn z{Gv5WR++dJlUZ}!f%|esBUPt>L=tVztyx93 zGU;If)3%Ik zy-}mx7`9mR67qVFV1weMwLd(h79bBFy6>6q z??3y~>x=7F0R{M)*B}2s-u1}sNA`Z_xBtZ#_g~*f?|mo_bnTi1*4Nh1h;(Z%992~F zf)}#K)8M!D(5*2S0imAb?-sYfV%@)Go_Xft#kSqwAN@O@H5WD^&>D_@?@#}7`pDiR zd++~u-)r{=`|tfadW`5Un9oAjbD&A!XD&VyV!n2C?dY#^_SJ`zjRLcYG3;O6-2J&h zzik$KU!LD6>i_K8qx((u6<@_f9KQx=zo6MV2)I;e)c2l z;nRcPkq)){>JR-8bZ5BfWzX6R7p^=VLmioCcAk0lK`HOJ7n9_riu9wtL}}Dr%XqX#Zxn zRl2=t%ul)RYv~buO6OXA7bI8z!Y(5Wj34anhS_}KHLK74J15-{Ph7lnJAXHrJlJ0P zwZS)b?)L6;m(S60`GFq@?hI}J!letlkFB--po8`L8oK_`(G!HRj70Tt3!%bsad+p= zoxT0@`(OM1uYBNG|BqDhURK$+<@F!ugKr#&npv z%M5y$YiF2^hN!xG=WcPgx%v*hYFPUST89RSxdXiNP0(r&89D!vfDQlZE+Zh2$&P!I+OX z9}yk5N%}cHRz6M-!nt6`)>}H;v0Et}yUIXk`7lNf=Lr_{)A%PsA$X$LsBe)>aCm+l zr`QBy6M!}imH|&}3Tp{xky_g^m4g#L@k!K!Jq~y}0ahi!ADt~T4SsX%b5pyTZdacQ zJyMdE$kip9%77N{Ag^ic7z96gsnc(*aUrzM;K|*9xEbvykTG6rLjC&XZYo(n&Or~8}NU9ob?um_Dtj3UHT zl2`R1kWL~$Y|6e5cIRaDNhFtF&_aI7+aESI>%I=d}M3H z*NkgqP%ARz3j+vw;c{cWrLGbWvt7dj8>vO ze*+kI$htOsOmdZxH{`0(+c7Q;d88ggoi)gcxXPr8{DDs$$ z0NJ#lzlx5-%;vn!3Nxd!Ps?P+!Bg~{6*yhkYUV0zh^Z*;u&f5C%_y_pcwIe7&EQ3< z$7r=sQ-RQe$ck@+)X;E1$6TMO-HaEAaGXotF!}3Hr-BUJT=lv% zp0Yr+E+mQIbD9`S$}w|g+K?M;j&ZuhXwcSDWr&j_t6)vL6z2x$>3m6wM`627*#Gjjo#Qpw_uJvCHAx!Hw)b~Yt3l6 zNNj?rP@w82<%lAf@#fI$EkW>vb>T_PlF7g0=?^9;>)`Sbf2QVdM z2z;ToDo--DuT4&$^0Nm*a}iQ?ToO8^TQfa8nUuw-~=dnts+=M`^pJ{j*PZB?|sFNi}`#p zWoxZU3Sd~x&KoRs(5)-9?JGgY4sKDHD`75vbJOgxjt>58q!-yVP-5vQu@O^%Xr7UK zYA$tV^cU|+!JPfr`S)Fa`e~N`a+K{~zum1vmtF@ioQIh zDL7-!f9(4E5FzIcnf<+AiX7ep=bPu7#;k9!1o*MXbbb(>^&P`ePl3ja zKK*aMw6}LZ2k&K!!I)gzx(<2!g}v0%(MUQ`gcj=hd$yU@qw&++&+4AZ+G|E z_lK#}JkDA^1qAQi%R$0>kL~R_5FCwFtiw9x%a^O_BnKvFfg29Y6HlOK?4L6icDcNk zTSQT1@a#C~(hhHvxz%QrVfxTV|J>Kq3622qW#&>jo_NDS@c5eym^@6M;BS5a&9=}S z`}a%3HBom)6Bgbyqo18u6L%zFyt?kooygtFP`o)XH|%5mN{mbY)`L#jTkC zy&@@^5vNkMLpvaa&fh(xv!n(4Us_X6$DrF%d(DxxKeRZiHb45KXU={#taGl-e;tT_ znB%M>^s5Xgd8DF2s#{%+;~=p84}P$18RY=JLjCGrSh+uT<6}|8U%GW42X}W^DbMS0 z%)yWS*x56$eHEEndT0ucz+VdH@ngfmxm%lAZ_W|hhl6KD)#r;`hd>`QAG<8e?(^&C z10s}butjHgfR^&K|HA&Ihrae5A=5NgZvaR2?fQm^E6G(?2ETtcNbiBco<9m4r1x;p zAK@ioaG+f=_)E2 zrt^~XDYr&{jvuWlKbbVgCij?N^3Z27(l!BwwjRsS(aR7htZcFLtWaG%2VOS%Y5}J& zybrM#!ObI4se9YMQ0g;oL$h&8t3ClCh(Ceq9Wl1(=WLI~bd_fgKp8N}EWFnPXACSQ zmVqwiIVwT0#g~g07+lOEmZ^tQf2;7N07F(n#-_5L2BJn*Rsp7Tn+I4@+7OizqI7Q`on4(Kt-7O`>tT-`Rd~$wMD5W0@PjS|D-sF`u zI=Oui1$6o|`FxruklKn%*3 zb^t>XCzm-Dx`PSzjJnc_e{j;C$(xj7Po7v=P+MN%EKeC)OO;9+Ryh!fY73xBT(eH5 z9XFnPm|A+3hMQRuBopY(#91Prdj_*Y884}FImv|4pUf_aGBb9{e18*4744ybcT<*V z^`2v`szfn)>eEbU-YS(2sSsme2JHXG-rL96l3a&_=_izE%$%L-$IFAj(QuS1}P{ zLThD#e;MSmE}!Kf#sUiik~fKgNDc*3(FWis%N3c$Vl}c*6Bp_klemv94o=BI|-k;7`QnHoC|Jb4pUxlQSD@YxUK3#9HZeXCA2ZOuOOMH@$;d4@<_Yebn@~ zd`s!A%)vqR@hF^lGmJ$hG|xde)fNm&g8&7kGgRFrnZt1O0fhjGm$5Y?> zIk?)O3NawYd&J=$ZZeC$GHei!Odq3ml?CZnX5Qx!8q)@qT_%1;&2`Q~AzJ4b3?JI1 z<^u?joWWBh{?^ord}{N>uypl`1=-S~S5|St zZ#n5=FY`!K((4>$kugpvtA)ur!`gKet?k9Xr52pSX|kBZVb({6W%P11dn1NRS4k-Mvw81QUwJ%Yw*)hTV`%DV2 zh2skcR-mxgZi2hc<2p+4g{ezk21Lc1GL2=KMgvw18gkG)6};Kf2c;15#^Qt0leKP6 zp^6on0b7%08UwBpM3ZLj-yy7Atg!~2*juUxEeK^)a(mz*FPxG(gy13k>%dNqouLSi zMHfAwdC#ea0+q-g%LmBOSgolAUT8GDH$He0Yhx2CKet5G3dd*5w)I$ZG*?R7snHt- z>`V|N!rKnw0Ph@t*RAc+SqJ@4c4ZH~?q49iNO+Via^#y0glDwd*&sc|WW}uq#(iy5 z(-_YeW_I?F+b(Oeww!6Aoyr?p`T{E4yp)V&zH#e*P)*@Xsq4G&oo4)?;t`bmTa5Q6 zojB>)gq+0aMvOr?^Est3QXcqOf_FykoO@FlsOTu?I(mWDGezevnD^ zgtIx>tDAB0(5)}sujkj^x)tLo2fR!SsrzhwdwqKp)ksC3j^BqreD&&M52~&W2k0M< zsAq!B<5GY2n#jAp{tolFd)#fkQKk+K9*hr0b)yy>@BF`Y=8y0yvi<6Bee)C_+t)j( zbX5Qo`Q%4ocsG3l!{$WFNu@c$=I?IpHG0;q{aYXWpq8D;{oC--`0^Jwn>RmJy~NJ* zTlP0jV}Jb3AAd}?CHk?wTdD@h{r7*C1q5$a4sOfA{r9UzuKvTjc}MvmdWK#Lc8JTi z%ARllj;4L{<|}c)%lSRbjlcBQf66^B^1DB#6csML`O;(CHR?=TvUvc|o1xIgRmTo$ zmcPoYr#koFUx*~_ox49Ce&7CYeGebAhvm=HmSw`qd9-=l`lLV-E-H^d*c z==UC3ZlJvwYk6wB71oPKUY;xaI_dRv$QV#TPqpR01Uw-i} z4cGqOzwrgd8#!P!M7pT%ZO?`#!2GznUk>i`KmN~d)3v#NeWaLP;v>aN6$cLLQSqln zjgT-Lt(d~o?R6>3b30I*D)jwW;Ec6np-fE%GU4Pm^$iq#`%p{69*@V8#BHK ziGz2(^Y{;bGv#A!5zdZBq!`HPJfu;#^PcD2hqNymwM_m)l8V7kXJ2M{Lm#|PGO;P# zdNmmLCyK>3CTi90aiSDiLKUo4441If+ydS<#nPBI{YDym^>B`Mys?%1xyI|V_N?PBJ8FlzZRf_+tun?V{q!4lhAVvU27kucB>P#P zv-UG&vTY>0HANJ0$~SjD`lR*7ZYDy@@c1F04am-&R(i-aa1k*L0!GBu== z%%~%1;iO&%XpOS#bdC~;h2(066Dd^5Ek2y)%A&BOwRk3?H;F-o(y~!4RY6y^Lzax` zgrQdltkDh0@MTZ|XD8w@6j8Oak+hCUDJB=I5QN`EB|}TH#+&|5lc_%8)dt^#a3`T!znFmK_Gb}rGx$~W6IMuxdJ8XUDGko$M45Z+|)Ur_{flhr%7OZ3t zRyq)wVjHdM9A&5D1fIXsr^Sp{!6amh1+IOf2rijG92MDJvK-0BeOm<<$gX7TC9j>@uS>k8~zL2>KtTXx5eX znawmXh>*7#(^Aknf>{tsR4h*rzoYK^M;e66)17w;I?=oFigo=881J9V@| zFvA^--ZMle87}K=_1(DNSP66VWwK5$76TN^yJA?LNr6(hD< zlKQGqo^F^#GN*2q2OGi-R^qAs(dyz}D8LE*N3rmIpeVx{-xGuxp5d(kz176rh%QmBIMx;uE+Py8%C?olT=z z#ExBvk%i`6fc=H(X3X`*j2CmTF0#&=^y^tRn+Lbd0`;I-nLcLRu#+=D5Owi%$OD8s zGSWjr*#VZ!5g5hfhK+ zCLep#_hM}^MTwm*n9tNQQ{YLFCsXT;g63|@xXVf%sLK(f@`_0*ddIu!VE{Ki%d&DX z?<>ztE=z)IDEEHOLXl-kx~45C%eBwFsjX0+l&t5q$*bVQ*k)6bwpSiJ1&px;UAZDH z3Ol18!TKSml$`B73+-3coL7@U4dp<`M&`0!%p5g5TQBwdJgsAyq5bEqK+*iQvPSk4 z#P!Db4k&5Q41kDVkC%LBh8)mS=536VqmXBoCI`ots(&qV8I#o zf={zNTjsIiyRd|nY+_-ej6DaTuz_aHi&bPR&PrC@Jj~WKV8N~o;tmXeM$Q5>nt-;8 zClI-`iqh9j&r2Ps0C$naVdB`kk=u^iCFGJ?ZKW|>Lo}V1^F`-}>5S%hP0K>9S{zb@ zR_efF{HZBjuWwaVOzPES@Z|kabBqPrJ{hU?P`9H5bf&r0EkmqbaXRqR`a_0LawryY z{5%qi1rgLLnTBQM<_r=nomnvoFomvGWX0f5jOAE+N0UXxjrexF)@x{z4^T)hRmNRK zRJZ4q&{SBeuj{FbG*W@v!t5l-5?nu`wU&30lQ`Bz(V~aP!_aYCa)vkuc;LVWGvv-? zL!40@b0nHqycP?Y3*+&S5Yq*UQ$DLygA z)aUUt*N%>uk3HrNSM0j#Snn@N2%UU@9K8L1?It(xT)*=Wi_te%t~|%(H{0m@_HCS5 z6+ZRkZDuStUq12Q1}6jDq}yqn?T?mW3%9u@NRH#x6n1>T4Ze{Rx6-=jlt#Dt07sj> zU6g~p>w6C!9~~d*-23Kv*-Iqo#g81wA24frex`0r<>ef}fEJU`qA3U03UYRv8R7jK z@>ZrFxe#fO>x&NFJ!F676RZ#}FUyVc@{57jYX@l;Ggtj24xYcd$1J>vav-00^78diK6E`C z=?P$`91wrx;9X?qkw;Fh`OUbO`7RDLg6C3%+dv^L*+T#og9DjWmt}&d~CpC<< z%%knXCsDiZmpVCef2cS|A3gf$(*UpGU2w#OPmgX|(Nz;0K}X*FUcxvyct?_{aHNf@sBfS%l+C&;zf>NJ4f_xrz(NK&|D5`(rb@u?f?$t@r{$?-{cZ#4<#yj7C;6EoD%8vhW1<5YHHdmKZJw5dqZD0AyIN#5Kd~a2s zcfYZ`K89T7n>K6hdfUQoh@p%$3rewEnOYAXHdx9}q?k2Sjyo;7Q7vmM2S@Ij7uMm93%bu~1)|dOd z4CNc}@Zu%#8==1|Mm>rdiHtIhgtCe2E*#;zm&AU?SM}{z0}a)J8-eOb$=QI5Up=&T zSAuQM&|JV*|0vl6YFFqe8P}$Sig2#bl}GSX9mA^hCP5=%9hp-vs~)4_V5nq!nkJ$o z(~sAtFZ+V9F+Z&|N^*glrHd%Ro%%FmUR+wLPP~(fEfN)TcbK&uV-5~~`qq5SUHVfL zAcLlw%ug8;(RbNy*qYpJbE`qx6;e>%6-Lw8o!ceflShutjxn&Q%kg_na3f(ARGquT z6a8|4{;|g^2RAzPCnQkoQi=9ozx4+2P1{mHPA}b@k}DdMBL78 z*(Cj;#mDkf%-u6t|L;9ca&ZxvYz)XUzTrn`Ko``ZPYbHv6_7)Cv14KOnD z>giDjlSLihR-o__%y{Y^$(g6PeDd=COk~Ad#|?rFNo4EfIe;CG0Df60^i$ba@~0{CBk{nbLhHDMq4V&O(g`Jey2 zEy=x|PyDH0iW7@3)iQNuY3GR@d12# zo;>V{?QRRN${Ap=^o%P}cUzCs5AT>L-ZlkIFg$#CTwX%vP4eC~JzK|#5l(EQWye6B zjc$)=&*6}<6Q5Jti5D?;X{K)tZjZ!Z<^*MDv5L7v1|x!_BNLkK%8gjoR(4{wi+?H% z-3{O4rzOF6g^(0ujF2eKvFjY1LXE*ENUXKVt&bgkn(gpAZ#qCJPL6kz@zE0I7)K&H z3(+JzX7yMcXvDE5Biho^xRwkl*U%gZ6;==t*wG18g!87SgRm0_H8aXbyK#Up`+P`xz<&2HuM z&Zun*N_6l_BtbwA!ME)kn~tARAP(w7BVk6XjX9@wBtnlE(}k=gOUiPwk3Hfx>)s3 zur=dARO}hS8F@JgS4kwuMuX?hM!}*44i=xOOqo6KijwmiG?s@{M*&nOz*pU7W|&5_ zSCjx01FNAFjB81X$zUlP+nZI-VM)yW*aRxE4ra`>z4iPh*V2nf$0>UPoXf2s?29HpLkB~S3TJAXC#un*cJgyCI^YkQq(AsMWuCf=oS-k_$6i! zkvLk23bFBrUWw|wA2E2gIxp$Hnilz5*L&+4Df2a+;5hP`HEe@5hT@T4Ot0~StX=8q zo>pp&|D>)KYA1=f=ow*hOXk+Za+#B(22k1iWzOPPvdxBKGw{AKaVl=%)r|g1bE< z(jx7~vT7FjE_0J(L3rZ5M_8Tr3n`cprg>%C>Z)A&J}$foR5}lDvYxIf#~!sbfG+w< z(hVnas|A7uQPgUdfpl2*?I<=yq!G}&qO_2F`qLdVhA=6dYn(XeOJaBem#K{Nl`a+W z9CTL0GZaMU&j^N!^SP*(RyTkuBdPsiigD-0B(maxwXL8?c(ve`FNvgN2%#(#U#K{I z235~vtvFwRNA zAM9v@M#>tKyb?FLH^;XQ$o*aL(ii{d&#MP#?%X;){?eC@H@BGiI6nUU|M5U>u`pK6 z1pD#^PBXgq|Hwf&mN(0rWBk&W#-Ov$H0O2qeA6yi+i~UK9DL^Q{jyiD&E}`z;QsrM zNteC2iGz>b%>lCt$^i(+8Y9MAx755FUWJ3Z5x>Q*Z*=YMzxH<@_}tF`cO`#j#%7oP|9)6x#Lw4lq z>Da`)`hyYUG=@tQS-V@tAC>A&H!uId(|d2!m_N8T&Oc&yP!K&=JH45EFWyNjWN$w+ z%BI}E@z9e`>Y&@*p!;Osai5i9E2Cq!MNc@CsFgZMJ*jstdejjiA0EC)2< zI_xf%;dHDoD}8M-EpMG>(rK$rdp`nQrLAo=tXPPgdgahm(3m4wD4asBj``@GgwZ^C z+7sJI03t9!d0zyU055*`T}x;_J;#_^>s=JU-hH-q!LMw3y!EGGM&lKqFmQtRiU=?B z^k;ljuv!NCI}57j>g=NB%Fz=HhfTX`(oglH_N2Z&KeZ7a^q)cY<76v0C4IM|A(pljt6!f1L;WI~u0mI6Ix*D?8%p%Z7x z=anCcYd?l5FOHuXox^CHK2xp5=_v!A@B$DisA=_K@I3>%elxRB3pJqALXT@#qD+jLf$1G&Z733 z0%3X9kNCkBlrY3bn5PUyb@tbRbGI2n1YsdqsItG-jpO@Ax22yy2SYu@;;yT zrRTJ&RUNew)yrweaE7?x<{Y&&^GmYe{VM7B-g7Xtw2ZN!L$9_}eDqWxAXiNd?3vb4 z@E87Y%)M9di60$2lUw~1T3_VddNx_2fpburl%9o`Q%4P8>F~6q^hurv%_Pe#XINV) zr)#feyqsvz2-s8r{3d?FWcPX|srmDB#sexAn|j@qFz4c7D;9Aa`fWVK%%a$K-Pcx+ z8kz^Cj5udhXqRDcfCogGC``Hu+!`Y7d8js@Ss(RX!^hyd8sssM%@nBYr|YdECUNvFjEgeQuD~4yz*8v$8*~g9NcMvRJ=oMO}H!2h<_o9s80O!-YgPR z?~eN9dmi-fl0W^?+_Pp^SM!F4RqIY`c3G=CntPmU0J940xF$F22R4yAnRHj`QxAOR z;8Wa<^ukAe=f>wY?;6Fa8>@lO@u%=EPO(aPKa=xaDc}#NmiE;j{O{jD!%=FC>({S8 zq9*>ytuOixe&3t`wDb;ltbn>oc*ns`A8gx$ zJBsD?aYZh_i>sBt@{WIG)T!`l9Kieg5yR&Ah2s~HXrZfubzQFR?N$Gg!uUM;+|kBb zPO9E;-n0hY6?ztPwY8-sIr_B7VHkduIm{7(?hS^PQodFRF97lmBl zzmx4r0uEcxPXk6tk85*xQs0>xz7weW?fl1>H9luSLtmEE8H9x2@j(S~M`>p&+FARV zXlm{F1-0zpe7)NFpPH#1ICY-d+Ec6Av=8x3Kw?sJqH`q)UWM%xeiy66c`f{1T~||o zn)kDD)O|PXe0DK+*gN+Qdxy6R-}%2DW)dO&s&52Feq%x%pJS|$3_{P>#4-3ap6Q%s zqB;~{7k8!EoW#o2#^mV<^wNm+fq5H2O}2_p(`~94nE*g{!wG_8zGJcd^@#K zD#=XgsmF;$9j7m#+86quOH7!p45jO-Y)n%XMST9 zL3@7Z0r9%?erMe;k8w8i)V|C6U9anD@QKZy*v?xnin*hF9{dBYWJ@MIAomY@)7;#<}@|u}Hp{vCK3BI8UIK8JEqdKbqy zN8lTD`U~+c3c1MtLPFnsz(tw=<|(~yF;Iy4Pik&5FJfe?JHJBkMIjgYzXFNZ+l$xn zU&(%veG^;rB7QCozbND)|8ps9E0Wup=Ymh17l&UIa*_WD$rFpUCcU&f^CaM$<>K&* zLN4+@NBIADsstF;^jc^;^CGES9DY&AMgHefAaSQ&JM&!diSy#{i$X5)KOwoZd~7k= zcIM9iym@i>MIjgY-$hwRJpN^ey9Dwla?tdRUGzUu?Eeo%`WAGB{@&{>j<`+Vz6KxK zpLDN)6Yui7+odsVQrXTT4R`6_XG2~$`)<(TJ>i+wXn!{3&w4m;+@9@ z=0!RbP5wD(&bd=glizE}OLOtWPOFl(%DZ;CX1RfGZ(*Kr#8bwgUw2mP!8uTR7Zvk4)K_Hk zJkIEL7&ck+Et3(gdMlw6=%9w%#f0?=k5`h&E1-SHy_!FHikT-a=M0WB3gH}~xOGz+ zDeqdQ7YpIE9*kwbBc?0<71Z~%Tw889P#+F=hNi`)aDJfUZb~E0H~GxJN&MFj-MxNE zdrdkWVvu+^Uku6f+xu`^@{4b8GOtEGRc-i4mF{KD(yPV%R)zU3p=9nHTfc9G@!Ic# zevrQn34fE!yKfTzTa7-BRHebHZ#C)vchJ+lXg-5i%wJ3&iPwSe_r7sV^u4b`+9}Dv zIw`eazAnwd>y_{Shox)ws{dSDF3KR8-)Z(di922fHD4!~Y{!!_Hs-w&u#OPT))Hh> zZ%S?HGlr?)=-KM$ZN##;9rkg7p~K&#xL8i?miU%=8(qV#l+(&*o-X04IqCuSOw2L9 zT=)U;An5Ovz@PCvYd`h&#NGWV9sN5C)+N`tY}$-diY$qej3LGhH=z9*y#UytkO27R z7@n1x>hfxjmM5|rBd{VHF}7^l9)d0@%!b-4Er&S4XG1;IRRqMcx+g(3<8h-nHnd&V zwpu-OyK}fLe-o~<;!nJ4*xIaU92F%T8A~y7@dx(G8!&n&E_X9Kbk$++3Y(Eo-d47o zn8V!l+-{oN0>8}7?b3=k(VwndcepegBWS)|=G1@thexI^tt9Nj%-90Ul2|f9Yyp$S zWGwRZ)2DwA+&lTScF@6K#UHa`jUOWwj@&Kq<#uiA%Roj983`um zCTU_7rmU*E5e}z_WyrM+5U~W1DKl%hlMq5(V@fVlwzlj`17{V>f}L1R`A-0TjI%A_ zS0=E<5m=Pbo}O7ycjmWo)#Nn!svF45s!J$!6Ps9eDgahCCk_k80!blfsg9HtGGPfi z2bATFipg-$S=aP=g#uewJ$ANz6u9PTPfb}(7GesHMsGqhS6oZY2r;mvyVzQXP*I9^02GJ_q36*Z;=$tv!u z>C2)jnv9E{s2N(f9na;89pRi3rwLhpny9wtJD`4NPLH|{6XOPBsio7Q8XTm_QlBrV zf*HsY*3eUBIG2va^DRQ0(?~4y%(X0)UQ#Hmxz3l2ui$1sL#{6^Te^u!`V*V-0rv?w zIUt3ME*ijQ0Sh@h2-Lspni;L~f>2+opVvlF=`H-kJAA9oE!O%8o2;hrIkn4X$(9O^ za$XEn+Qzbcd`y5_UwDnGdC_phIir9}mZn>R0`Ke6Lv*GOE|{`$Xo^GMwZ&?IA1l#y ziv+ozFAj&sv(u`W;IU>e#;mM3FgwbmUD?8sOLsUaW7%*c{BluL^{ig4s7ejDTf^d# z7J@Az?1bTj3uj?6@Y6rcoJ>rEb#`!krsjuS?_9}Y$u(IHsnjtDSZ)^9xugPS*t(Fd`8J3YSq#Qp{j5^O<+Zsf6a1+|Msqw4pY0U{7T^D;cEo63)EsAKHn42Y= zF-$E`kBx<%oua4DoAsPxoPyd*AoQdwcV%>IabvT_a0=o`6KO1c4IdHsLSkL>)(GlE9;Zs$t znpXF(g&KF@2Tz(Z{PFNu7wko`eo=BH{HpYewwY4<=DbUpU-IWYO~0?y^!dP!h^`3B zu9}-6Z;jTKs$DME(3lk6l*%Jjv#p4wz7ym$%MK?4H<}4j=Mw`8^`0O9j*pUs249<= zc6YtZ7k!bj_h(TV*yI9$!0F?4M#T>_*y3DnmwhQ~Goxv-%Pf#5M@^XUPVzR!)`F99 z$_DSGHU|k>c|zo7`rrDzfYmM)6D9sj*&D$58{vey;#*G zJeeZx)>ID#PTQ8R9e?du?N_hTGVk(mbEQv8D)*nxfhd z&bUvIzU7v0^z?z0@YVb;-24#o*sfEz@TvYeB3Jm>$3|J*^0&UIIOfvcy`RPZv;Wg2 za%#nH9>AqB-ul*$v2OpdYj1w@V~?p@;R*hf$_Od zOK#x|0MRo5IDH`AL!?vp=r5^KYIrH%BN84S@Bi`-%R9j5b;RcfpdjqIxR)Ihp8)fk zexx{k;9lYM0Zu)?v^{m;4d={DoMVvq+}k|#5H&=zH^R0wE^qD~^N#PGJ9~TVg5P{Z zZ^+s_LI!}(rE?WD&TQOCaIm?SVq85q-rQiH5U11s^M@XK{Be1TzXVj%D_8=53GUTO z%x!VX0r!z?A#6S~rcAl){MbWtr2Ash9bKCGqnjI>&pr9%Hhi`%CpdV^eQ&w%Dha(1 z-~f2&q2K*o(*F%G{Ry?5W$U9S72J@A_9=@@gV=m`N~%|SFduf;NE@Tt6Y3kMt|QONn0;^_%-W~kIxHGAzvwffHShbF)?;%E7E4pSN=Y>qgQ z&ZCB_tHD(LT`|XS?1r$M?wk5^X{95?(EDgHeJUP(x;)}O50Mb6b^`s7Dd^V?N@|6M zS0gKVX!^pB-Xi7>JeF1rIQo^ug{k2A>GwlFaMse<6$(eU7X#TMsL`{n8T~Y!2yM{F z$4K-Qw%ugKQAjhq8R;MTxu&#Zm=MSj+LQYPo@cI{0xChT7V?;6 zr!REw`pQ^c8;%ORBV5_u_Zl85x*A+otYMRC!Vy#8fx&Kh z#vS<8EceEzm3iOlZA*$tv1atSGMFL@T96fN#>6W7R#RH)M>CT}Otm+-8mf%~x5VJ1 zCo45hJO5NEeq6&VhF2V_bQ=orOy(WD*a|AdFiNK_q8>%q61|`sQOeYk5Yiwfby4de z5q2uuz%UZ0o@)tDx3)yDeTO0p4P1M zu7<~H@GdE1Golsre^5`H-qFmKwg|sTIE725W}Ds-v{j&ESYF_V>I<}7AuSc;R5#Ld z2&UQ%^)NRLIsN5U?-+BOq)w*DPuGTgq)36}M9{mOb(|rqRR;k=u{_H!3>E^6&ngsD=JDP;i|e+9E7J5PdJ0A1B@TK zPBQfNX^-HYLyD?d*OfC>UeCCZyA6$|h^B7^S)s-25QLea^{NO2k=7;6nKMl=4wT9) zlEkRAO-(ideH-jz=&X(gL>rq$Ze~II*eE4j!A6ZKoy$vNCy8hrprMTzZECb(3SNz( zET)Oz<$99@DvVlVO|@JOX8+G9M~8 z;Iryi{fz1|Kp^K-0tD5eEs{Xh58R_h=>|cmfq|O7KRH_)US-W;Rk^0-vMDmp)@d>; z!BQYpQaC0ihx?aQ+k#6JDT4GT|8FrE0^(FQr>-~`FkVc{FUP|b~8 zNi%Jt$3oNCv{r3C`O~&?Zd&d++`*ULdX1`WxA%Dr)uQxs!aTY5ab3;kdh{muF$u?< zlC65~BkEmYX(f4+s6?c#Z}pTX&_W1QHog-wl|?z@Vmc4oWwRoyK}!9#HLc04&LdYq zH=Zr(;uj`o_kRJKfe{8<_j#x}+-^8*>S7fmoI5Flmw68=;P99v~>DuDZ zA#~Fq+g58l_mugjT2XkbUg$;N_k-! zd})hN4O7ay?76yKrG&&C?1@-k=Z%POmzJb!4m6xe?Yn9gnH$-9*61`Wb+oE@f3T_U-Cn}DsTx+B%x-IH zsKSFgH^C=x6V&ZwDy5bv@YtCNO&!2ZwrN}*&7B()9}T~AtY@Vi99%!%9ABk}oiNTm zHnsMx&-%#byTALp)#KW=ZC_iCw|j^`^V9>}BDC3D7o^#a>_+%c!0W#Q&EYW`rj8lV zuYR%CE!Ni$t}`z13LK2u&@L`!wd3GzZ#y|lY^xC|2fEvu^A_Pi+GBZI5(hV~>j%Dw zF&2WIZ)V~k1l^~vdc*PcYuuA|aPWA#jahTCOOYdIlk#6N@N|V;>@U2jEDs*I`WG~O zvprmalhovyqnZl)z?EowYI%`sjtAsCZ!KsDen<^NS;(-lKyqgZ{py6vVZgD)vKE4 z!~yPb{a7m&`rlS(+UmlhIfG7>{yot946?Yv7%A@+uz$3Fl+69xYEW0c za{T^-y@M;8uTeS&@|XWI8P>Xebq|?wua;cj{P^YurfQ(iAW$6~c;=Z$+fQ!lX>I*MuQr9;>yV>Vl#*u@cJQ4klgS`_Djz;_m;o`*2_#cBnsmQLTm4`7`8& zJDpg~Kn$B(Yy;CiO5IR+c4AZGt@&XtP3Y31lhS8Yk1aT=x4v0ExyO)_4`jU!*FK=W z4h^yB@Suc^8>JCwim5t=QXmifM%%g>UG3Iq#7utZD0oWH2YE&Tr6Uyhh-+Mz6t&vW z+1Cz>!K(nrfC*vc&G-!Av@@(Dn4qQ^Dh=)RQMNp%0UNUfjQdvx+8TfR)Q{?@5cc?J z81b39pz+{RS2`00%{MB3M*uw%OZTnqcvg;5fyYvss41Z}PACU5i}p$c6isZV>f_w? z{nYhsKdD>#>JHIc3ecj`P*8NQ_0_3~0Yrr_#t}4m#>av#7h$(q|0D(T}Vmh1r>vE!)+6MU0l3&uD+IHJ2K(rb|-SL3{Ia=CT_>Yf{33 zs@;{LjA&C)hDa}|cgP^-5glk~IfR`Fhyj+nGBz?@Rc$?k7wx%rh(`-dyO$kQdKBc& z$D01UnLs?P_U))wa3&ph2T!W3tkJrway6~!n~Le_Igvme$a844ZcNa|8>g>H&M(zq z324>5>#0jjz2;dxsV4)}TU4`#J6c=roMMt1Y}GxDs|!|{BLkZ|v2f?;y2Flx&7z~y zh;g=>s!xZEDkYz*j1W3Kw~Wcv_2AY**=WUc1XMYA4EaRtiz?!8Z5s)-5jN4irlAIp z_`Cviw*wjky;JT9Hz;hiZ3C|!2-Y?IBtRr%;!xH2#e|Auj5wO79(lRK*^p-p&{d2; zNJGtNNU|6T#=%_F<^wWD1kLa;=(Mxw-~zEkcs2B}CT0PzO_$6p7NPOr-2NMw1#} zbNfKlDr`GLPhE#T7G(g9NCCNe1i5)o0HCqgpb-H`pzZ1qAz+C;&NiOR5}Um zpoL_Egd$O#8Ch0pQPv18!g!=> z9@TFP3pEjbJPDUMaVXUtfRSZ)VPtLC1&-z}+>I%qc#QsNM6KE;Oc<84R=oFUOKm&z zWB6D=RCraf)=df*FKBe9fOLTzjB>kkyDcsJ|o|xKzLnXjG4fq<%RIp9$}#> z%9?-d;*$S}r;X%vO||yP6Mog)=0hoY^klIu7zu%doWAWNSir<280oMtua=06E zFV2IqWo>dk9R3Lgb{NHZ4E+}JzY8x@I37xoFm;lu_lg52-WThXq=q9jHSkpum>N#cVB^O(<$&1J`Jb zFwx)x2=rEs^SfH`{M{h$VkyjQmOcP6+iTt9^;+Q~uZ8hi;WxjpaHF(e=^n42z4Y)GUoHHn{uT=BYhb)qIA2_` zLdTYR$t+yW_f)KP(g@4M#PYGtou%*Ln2m6T#+cx0aXO>Ve zBUq@_gof0D7`XNLSfzk}&66df#8P@AOyLSZmmq28Q(Q*#4NeJ8CJi|@xgvC&pq&MA zjB-k8dScO&=8mAY$`&#U`e`?eAlBk+Q~RcGRA-RPh^vi7eJc88wQvQoik3cnw3gnp z&ry5onJW{!OtS<={KZ%#IgqAP3C_J)W+kJ9Bq@1oXPj_aakg&dxj)Besyos@)J)`# znKZ`o=JQ@$XanP`(8%m`a!Aj#igeSM!Xq28I~3D+#{PP{uE>--)bB-Q1~XVp5)aa~ zVvR?iT+uJ+7`$M%tI|7CDH+a=wH_tF&6~b;B`O8naRxC;WL4xvD6Kb)Wao#@!GUC? zfYH)-p43azV4E1rBqo)rL1)RW)78!mqrEoEm6eQMpsBmsHCj++P&Ln3T6~r@x6b!e zz;7VTa;Ps*%aHT_b=BOX56^Oi7bkKzQoU>%|4nb?ppyfA5_ zk-=>OF=~rTYcDD1?Ska#L*{wkqR=q98(I^1bv9=2I+GW}|)UME0UTY@G zs8;66db+jRRdv?e8A}lxlqWqL8x04U#$s+7)2jP>M)n=vGv-qM0!kjtWB_sZNnpbu>Ni@}AeRb2gwx*MTXl7A1(v z#BEGfSd>|b>dgF~McTojSBce1nuISpHw|B`|}jJdwv z<&&(Xt;}7QH3qV&LN)Z@i|Apf?4{KrZ(_@gaA{3oJwa950`{6}(y^|wHW8NVhVh4% zHl>b@zR{8wuvnTRP^+eBWK+}OwiBv|h27drEZKucAJAYTBM60EIM5~*UuMt>nz3m| zIoD`1{Mj0Jnt((Q+bqzHzW2d!&nTn4h!KHG{XVegR6%~b0gCU zP2y_Ja>kZ*j1?W|#D-)6L0YE+AwWStYK4n;WwlHt6446X zLQp{6)94UUhBft8O08HFwn%4&!r{*m-eiBe@Jo$GEJoM7B52dd)8`d8z#ZxwpOp#g zCxO)>CM~0MyyqS-*G1k$4-MQG@#T3B&e=rttL0Lj`;vk82zr$c1zIM}K;JLUv~EO-rdjA2E=ta-Uz z%q2t^m^4Lwh_rGDca4qf*+AvCbfnuMYF*W2ctIwMC0Qovc^^$N9{GSv#q;_aVldt*c9x4VWWFri zlvj-qN_V}Ea=6x&*EYId7tJ)yq_PanQS69T%UX#gWyPwA_}9GGGFfXupzc~NP)0B6 zm?5Gz_Eh6Vo@WHJ=hK9d4nOL``8tPDV zKq(}Xxrb!#+?NysTIwFpPb0iL#b=(8nSe)bY9s}nbsB9t|EKc~2<(aF{onun_uqf} z;P%1(F@O7v@GBaVPwxYCh67A)@ls#Kc=~BIN59(#$M_r^Xe<@}E&1hN))c-NZ>5AD zIN0)fO&(B>4?lP{`Rl{-yR9G7Czgqu^Bm|R%8>)T!ANg1JI{go-NgYPq(5Hp09ebb z29%R=*W>&OTT1HN3@Z7zeEj1dr+)qQ`!C(U6$;!vq9J3ceDRAaYt8HJ+nR5+r-Ddj zMk#Rf)UA&t86+$%tApFSpZaUeUzT5<>@hd=w8+!9_Qy54Oi%7zy?K0d|L1@H=aqxI zGJA8gx&QwA-==<#lhSz(wAPHjZ-j%#u00X?WWvF{_ii~ziTyh|L;A3M7cIOnk5~$` zfAzyQEk-LJ{rdSoYtYqeFEVR>`7a$kcRN1& z+i!jA=EgI7&pean5A`_m-`U*w$_qNHr*mZ6I``5`X^#AeOU4vGJbCBN3*pKYJ%@X5 z&lsIU)7Iu42k$sI<={4gc;N+=`QzJqams<7IsSbQG2MPgIXIGM?|b%HN?neQkB&Ey zw}Ct;2eP|wYdc2|2dwWtlJMl--sa%WX7l8gG~@m0qfc*2Cgcy~hr;Hao2!?B@B2Oy zzjo!h8#gwrS-x}UGJVOtJ2*~Yb6aQdc{zR8J@nA~->(7428<7VsQ#fJ`l0*ww7zH# zSW){mIac$|o$(a&hgeK}Th4GGpT0o`SZw@5vMD!m@8$jd9S0lQov-l*kZIYwBU(~h zILpCi{|@Qtdk#CS;7)K7s-3vjd9r%JoW6%$6sh`1GiO>$zI`_k{ zzf~KJxv@=2zol|?!i26NQyy3heG0y$d89+z^Z7JpT^dx`-o%!jowRM}Ms!?l(bJIz zK@7dj##)q>jPHgfCeHD&{OA_*^iewm^w{ZLINZw7ir*wt7vZCk5mB9N)oC-2t7O?5 zpD;0KydkSI?L^@NRkTsQ2UgR_yhQS1Fx8EZf|ArX60l|GyD1;La#=4U$lAB37th<| z$)Bncs_qH>3OYFH%O+Vbhtosn`DlY@dUP{ydcsP+k%*66JHz)Fr3gkWIv=@50xDSo z7fo&r9Ug6c>1}!z=D;)G(0X3AB@3^R1md0O3fRe{w!O@m@aS=o2J>-9%C(5y_D)P> zASIT8eUGtFa(=PS{X9xIoI8@P1HG)C`4C^3S>Lln%@AnH+!za&3lk*fc4}*DY#6L# z^%nvxA=JP}&XiA;x6$R&YuArHouiKk2hrq?U3SPu>kb}40*i0K@Ho0`YMjlnEi^aO zEQ@mHWKJ)~;!DT5X2P@&rl#}jWES&PS5Ah!E`7zFsRNa+hcNS;P6R|{o2;qp9>rMLmxPGc(x3>`#`LpTEow`8MP4saJm{AjT^5a!g$6g6+D*iA zt8}D&vg^Y>iB#KH1FD)9%!sEc#1p41*-ift#z&^>M*x;E(lsT# zFQ~V3n>UkrrrPC@>TX9}$SKD~+{E z!dAmVQI;SvKB80Hb0+8_^r+Uzp`x5)TB&Kc<~C5_YG<{IIFUeQ8*nf%>3RxAES1&| zEiVUyTTHy=6hM&)O@%HfV9+WUgYMcj9W(k8fB#ey)J1-%3{9m zima3#5I#@Fql4D$*4OI4tX_sfBHt@MZ0vYVAdH;Wzm>0I1lJ8e88hznmKZto{0 zCd#@N(Xd!}Hb^tbFk?iA;+*7pM0{+v>Irnkq_#yVA*pQhjNlH+aLpnC$IyzE@o1=^MS0HbAv7`B@C(Wu}x08Z#99@JuffhQO z>DP<8Tn}9G7G^P4xeK#kqMIy>NyrOV=3NY3TcTb%T7mU~QBabKL)$DC)2V9p)6nN@ z3P6VyC^_L}TEr|H(4EV2K{nmo5ni!!#!YQRlja(3H{}JK^L|BRbWu|tOSc>rWNuY5 zs#48kXzZ%Y4y`i?hC9KGU4&sM*HTa{hmMg0z6woR%+ZVWYbs$u<<-U0bOg&1H;j<8GkRKNEz3gI zWTdge&2GFXdCWj;bRv8^;NrhNp51O*=|jm0N|27Mwns{{-C;U(ne^9 z755gfp_`|Wbi{Sho1gi|?7RI-M@QUIwfWS) zN4#HR?Ie5Le)=a|eD^7}Qhvd338_R8-)ryS@C+K~fT-oIR;%oU&hv~IN`~12}EHpS1JJ124Nj#S!&ovzAAFhUTK7#dLRDqpZPOv1l+XG?eD+u zeX52OcW&Ic{q`F-!b2}yX1oC3gM+Kj<9Bf5#!vsmX7ek*qEfqY;~)Hkja+|`^}zq( z<2m7^&HJ+Z`42RuhA-3S@Ih>*vM1&?eBl! z1IoekdpB+zoZ;Z;#=*fe_`MxJax8!KuWm%`f9g+ds2^ zd$+q!cjms{fgK)x-@UB76?E$D+T5$(CI6*lPIc!|Lr$7;(w$4ymCuAET^ika!aSSm z(ED3m8h?4?c0X(oZW2R17A^xjP!&wMsQ|P+d$jq7s_1PFj*pVICz~r*Hpd4yZXe&) zvQs#|A*;G9p@YGVME-!wFW$LxZC^RKGD=(Sy9x*7>$m?4 z4VO4_aP=T@usPv?44mU&^G{w3aG+x#q=9NZa-c*K>7+AXuLB|5LLwpMfN=|PM&CcW z*?i`dHLFX(s=*7}Mf%!ww6zN<@x|XvtYHex8nDForuTxaXUQL*F);cuzVs%Fk&ao< z0m_3IPbUDAej!xogE@ykb1jh(cG1*LhoL~5uQaLixnzR=z_*bXMuQY=k1u~U_J&C_ z$&)t^E%_!nTIgA9F15&N6S1bwEU`;^qhg%o)H?JezNFCD0ex6ef^@TBfIcxjFwH8{ zYU29bpz0=6*rmTo$C0jf!X8>MCWdXgjk*{ldk8S=o$S}jqrhz(Cr z0Fy~#usN)Rlq@17bsC{En^Gq3kj+kL<`xwXEn1aB6>3Z@3}7N)euEv-X1a*p(J{Hs z?p+76PR%?FvN|HM9vH6r>S+HPqc)>qA-)%Dy|6 zMOSFgBn6B4luQ)^``qXaFkQYbX7uhGCf!RXWh^qYXj#-%Gc7Vq1}E7uKPB zqQD{6YXonp&PnWq`7wOQU z1k!)fHdF_{c*4L!Y>N~vR`zW&JV0NUc|f+34$krd$J)`C>l-b3lami1Vx>nd#M8ym z5NZPB7L)|aIgPY#vuz16s3|P+bUq-yP&nt}Kb)LoC@?RU0k1_$r=ymde7^N|&-CmL z$t5L=4(w;9-}?2`Q&0VT>#2IGUQfZ!CLIdZ4X6w=DtsLx9&#>tNX*(XS@sM*ZApT3 z4A?=W^_13((qTSK)=fwaKc~u7?VdDQJ8*6oF(uW~LugY42@f2Hjca%TiSluS;WF|n zCmxAyAdS<`;^g3*vHT5Lf$)xoU3xyaYzvbKx9GrOk3KPUWiqSN6 zqA+e&;}zv%LQ#i`3YZl2%9|i6tBQUUI4tNDZt76;KcQ>ZxB~I38Xv-Pm2ATBa5F&x zQ#12K0gW0cEaX0>P`gPb3QD1_SAoWXz+)_kE2BQX!mIi9 zf+IyvYl=eFs?N3hQw2R%sxWxkVwE;j|$8P&%B9gOXcKn!<#@N#{JM{$@3y!Bo@_E`)$(l^#p0^Ka*MLu$!PxXn<%=4mcSGM#VV4~==I?D)ML=Pe*-?5BRFfXVZ zRxatxT_Xwuw{mLL85Epn`o`L7HL0@-TUI0ro%hU=qikMtB&yMqgOohRhqL zRA8Ad5R+h%gI}THkHhx^5(hu0CAbD$D<2xE&gAa9Jau3hE zv-P%Y$SK|?tKc5BV%lVCt*z0_Dtd+&C+I#SYexrcd`>3%-e@fj60UoNiO%^4PA5&pXeyg znkyko)^Vs#s+T3QK?A*fV!gF2>9-bGNV!lhShcB=SMojoFl18nt!n)auD}|eTO3n(hpH(-`QQ&GnbOxo$0tTHd!F1)2dq^Bntdy*z&XI?i zuT4jRg4J=gl0}F$_7E8<5g=zyg+wQ;7(Xgk8powGmT_gAdzNkt$Ac>N#cb=MbyY*+ zC1VC#%X3pi<=+u~yqcBctYf3uS7R!kvza+Ntx#@KI4k?~8NXys;@U$5_ElDuo#9Rw zlbg0%m?G;^=YUDO=%8piE_}tvy3v9h0o@l$t!Ni?b@8zz0f9uVvo6OoJ0_|#2vt#Ql73i2sf{%nzv7H|m zU9@e?8Y(R>E1PF4J4*$Skic7zQLq<>Su$~VB#%0~$Yh!6{f+H4YO^MZolIX9J{}g6 zr9(w|UQE&EuBTxdxHt#N1?v(}V%zFE!NWl2ISRLDmT72}*P zWEm*X6A=T{bmnBx$y}ac>sCA%URNu*BuF?+DAW!t%&fwshKxDjceytox{QPWhm!jo zo~F{y*h8`%81uy1ydodmlxg}2vu)BI+GYHRfqN{oRrr=^zIzj%Olw$wH|8Rx6pe}c z{mW*|i01<&(xUjaXO5oPLyhs^+^-Gyx}8h!Fx|7U^k3QA*(JjJ-Uk|ZLcQ~+eFgb# z%saz3ZgC(MDB)5+0}H&6RW?E~=U%t_x~{mizZmYCoq`RpcXXXNz;CByItChe8*1C; zCgqK}9ky*(Dz7!X`Tk7$VvV^#yLm6w!IUxy0_M%1*}MIB{?2>fd;3C%1Oa6Zd2(?MQB!*RBz=<-Rk#cXK1pEn3&*fKH7VAbl}lf~Ru;ALV{scKc_0 z+6z4TEh7$OfArkqK<@XwFGk^=mC`HV;7cT_vu=+-DNE+L?mm=*9{DTm#f{FHpE-ZY z-1p1Ie964-PP4o37l~B-O!2Pue&M@*>Ap{N>>vK{#{FBvbR*9@);IHP%pczA{eMLJ ziYR_+_i6vR&(ks7?%S26t~2H*3%2ai{ZR5T?yC8@JvNE1U%mPP0*-HHN`bgP#k}NZ zchl_0PfMS4clYK^1*Hq4%+uV58=o|vH&;wnmTawV(gyh z5zPL@i|K~fG-;GS_abQ<`g-wq*=U2s>wnPu9zRELAdr?TTIyH1@)lRPAr>|q{53d0 zg+LrwZ89Q|C`t*)WI@kdxpL)0vLD^jUbZn;KCJC$pu_Ko8iM`<`z1NPvkYz>v2!Sp z#w_sg!_wl_-99_}H})Gw9U9W^EwY$y$728dN$@USG%m#5U9^_7qTu#jIDkvRnggYX zE67Vz3bTLZ!|L7ig9IrHy><6A2WTrN-qFH6dT>97gCD)L;lS=t-&~5ze)uhM;E>T6 zR#^Vm!4^lO+kh}c#?~z@li!eOvX6Y%x@ykSL}!9iA`(S2#kPrXk{BQ| zlgo|tT=D}T$xDuTGE)quy37T%w7{HPRItGxf2lp?(-@62{0LSmoP~?R60;RQHYzgV zizbj8hlI*}Lg*a!Bcj- z^Q?y3r6JeE Wy(T6(MF>y4`vL!ci(UBy+4Osz?uV5@&`T|8-v0G2wvVP$jKdK!& zkL-N0urT8!W;5=pfkoIML3l!g7TJ|;H4-g4l4~k03q&(>k&U!k%;!`9RWtLAolu6Q zR=jOXc1On5P2pI{a8=6)#DW1Dy|ffW4vL1wpW&3!(6u~d7Zzq3p{j)vst8SMNcgZ8 z1b1{V)$YJ!I`xVb>4S*K^?-JaHnGWRSYnWf?BpSzJ4f1(?#ZgOA=3?w@lqM@6)EZ?} z(h2DWjsR~eYSeO0y;h6_UQcy1pp?xm(6ux)+T?|=C7$>&ffiYM!hP=5{yCCI1%Ngi zs^}IKgRxu%t9VqCloT4Uv^*C}dLgSqu;EEYBPp_A^fRVG>?fGKtL`0nZR> z>e8FM4Xtp338hZ2mnKN*-UL@qMpNxcC-8{Eh!%7wnj@uxXlAN0%LV&>MYe^Dvq$>WMwc1AQ|DI_F@#w99->0~`huirTZtVQeukOd#|5 zWP#xCLb;mQy0AlcD(&7er;GqbJUDzGXdsV96VGh#ubsq1PM4tUjPo) zk?~I;JPk()x^90z#@=pi`7tg7{gmMr%qN-AKilo>z2z+j2bXrby`#qb$8saczoU4% z+v{W8`DALGX6q;}-cs1V^wv*3)#EV7MuAqdex}hk%oimu_Rw``vi=Xf-KsljE)M48 zW_I(IDY^?6hQobR?7#rRK#^7u%uOWOGvVuBM+N{;Tudpv`7Qs;XXI{x!~uGVh%`5h zJKW$Am_x|_q-Zr;)_s!KO%ctIG%s0Mq0T8xx(dpLHO)(!5VM4GX(Sd%GnQ}4BohtU1oJDJrV7;G(&BK0K^%oR;JAF|{-+EcM(C4pT zzt1Rnui#_YB}LNTqopRlz>~q4IZNMbbz3fiv*B|rC`;2`UzCF@AA0`z8yCuQaNocC zfkcXy_j7w+y*0YhbMR$OoA$HV6ngx)LGyghe%?sWwfFoKDH`)x^Vz+l-#oH6Zd^et z7=iZdde*vp_J(X{!mUfi8?({7`xt6xeXHv!MX9pVBeuM{_Oa4vN@TQIcKSf^~S+O7q?TC{{|uH`Ajq=IIg z$$2@>L5zVo{AoHNDm>9my?=o}|0(n`f!pG|S)Oy;H}N0H~qAUV@Z zmpd>$eiedB%s7_i1JoU_9kRf(O|58MwqP*}naKcbad3OmqH$6k6?Md=w&EyNrBE*g zT>d;IVLf>tWcWMDJvCE|3ZEL080MH0I0{%T1HBq$UF2|9+~rG;(bH35 zLt@!ZS=8qknu@GbxMSW#&Q1c`QypdIbI_YGunjx4Vwc4f*?nU?*+!(NJ9((4PT0YM zg^9>3EJ8T55`k5t0~d#FO->9G)rt0fsZH`C-3}0u_meurO6@zd^I73+WZ`D8tj6Ok zkA-CdpVg%%!%PR^aDXneqs7o0n1{N+e$)&`IO#pmq#p&ZtcJWXhz_{Q4mM~?bSF1< zHOnO?QV5P+bBkXL{7M(_C3+Ji*rFw7Ws5E+?qJEJQ{}vD3%Wts5WAt=97&+;<0dp( z>p&r2v~QO7@i%2#cF4EF=}crpyko6o7CHo{I2x5IP?#oh_|zkjTM#u(uPX_(gA&cg zKofy6Q8cw97MCNHE3W#=L;-(48SB+u5AZNrfeV7>GlnW!Sc$9m)ev!rT0X1KxgqmH^oM+r(>Ih!XzMCY zxm#&9>B5SMO(?@E1mmOnJO4#K{hPcFt z*t%MMH4Y$yh<(9`IV_SJKN>LIOD`~uF?>?ko0_m9jK=8M6tlqauO7GJOk#-=8>hAT zno#9k$tu>ooGekVT1=;_7;<*lIbA)cp^sw57o5<3sPmOpL(pd+OQg^uvke;RjIm7a zi0|Q|nzG#u=c&?6xga09&8YRP$opa5a_O|4Wvg~kGcqmfddSOx4PMXq2noJxn(=Y2 znClg(8>f;C#l?rHXk~tCdBxUd*)CxrK}O;5vC<}6`Vqy{pyjkE9DRAkxHZh8ogBu) zQC75rqRZwsqMr~Mda7B?I%Cn!^ZC*rjs`VHE)S=pS04gb4H6PV=<&g<)L$B zthBv7W3_;>sVj~Cr+wvbQ z(8~yn1f{8%fSAd&^4v#I@V04Cp$i^wKCFZ$99Ej4VXY#1j#AVtY=tVgaWxA|%-ZCX zB8`k?CB_;8T$ayUOAV`Z>Fl#Sa(M+k$V^Pk$&IYYskm@n9^5A@gq@Y5cB!eEywQD}|1oG6)xlK(^BEi?Vg-zG{%QhguZuv}xz$8UZ7 zmmZ;PT}=IUthoZf|{mBl&DN*faO@ zkxy}OaF7D@K%TW04!DiyE6?Q?4$R}<^Yf!?*Y?fsIgNI&4(`0o>TW78kFklfV}Adc z-+yN9vS0ktAO6uB@+0_U7WC-myRaK+PBpcg!ZxubUo93t`?EiLWcrsmjrNHrcHh2x zX@ha@UFY8Q!4KX#`r6n2!Mi^5$sI_`lCmUz9cN4oGsyViG$tUw{9rP{NM*E@N@6_ z2lxNL{hz!>4tfsO7?~Lw#A&4c2S2BE1h-cZQW~UxPTFFc*LAy&@517n@mqf-XY`2M zP2Y>f=gN0!U7&pAr{49sUo{`&eybm0-5`ST`tHXWPafH0H=mflz?Ww4;~nzIJJXkE zF?T-)-qHS#|M=fDe-nf?AYWhASCSEsxsNHy%nIS=rkx9;3rS*ifcC3wZsa1lzvh4yhjioBKq=#&I6(ftb-V9Q977d4 z0UzgFdisEI?}py_8#f+%tiQGD!VTTT)k7)6@wbMfqkv5-8z27gZF(QKN1cB#Z6$K73`5bIc0Yhg_4%<5ID29%p4~<9_)3g$oxiZa82!ehuHd z|Go5U?b-Jb82o!Z1aa^z`XV>Y;QS_(?`^>WoLuCRum|=ZfF*znu<%bfx7R7=8JS`u zi(!EyJ-K60<}#q78#+mSbVoK-MpC`jGva8=M6B_DN^pNdksw21Wvh4{o=1VjwIZ1G!_Gl)&j3^Z+2q z<*@^9p{cNQ!%^=oe+iDfHN}Yjjc0iw(GZSA&OUU&P6EO}$vqy-dk|?5B@lO*%rLR$ zvqYLdC`JqQ){XpvxiRr=N+E@fR@#%F4N>D^4Z$K0dfy9|-8v1na{_VrIp)SQPo3y$ z-&8a?QdZ4hN$O*=Uoo8*g4gKP$gNHHimNfOWoio6EM4V|gR53$CgB>fDwS;_4Zop7 z;vFHY8iX>ohKEg!`aV*iaap?OY?k}L-hr|gNhm@PQHZY}4y#BC9d6Htwc3MnzhHTB zu%sl|Vl25i-IKo^u^3kTkon$^u06A)2%fWRbc!n^myDvoze(s_zeb z6a9=8l;xoCP38@^L!r*gtl0Qk(ILp^5R4W6R{fagH5HDkdMeIk_B+ozL0(rnM_) zWNO!#P#8SqPg0EV(0+$q*u^l(jSbbC`%qnKh z#uEpBDvY~&sC@@-O~c7^=M5Wkv=j|^tA!HdGppX$o+Ufict^W1^oOxy``l?y%t3}S zAtzk?T`Wvn(nbPab!17vs+82RP3Hz2Q-K#cAGIJ*OLR?bC?6bwb-1oGN`Ts6|9C-Y zKF**_r}bUU%9lQMB>}C>ToW2!cn+7(*&=UpQ)P2j7fSVa4+b1Ty|J_?r@BdQ#sSF% zBgjrTL-(3vU=6({|O%l*dI6~Sg3RN7`cpN3!Au=x+cyHmn`Vm)q1@Ae%(N_* zrCU~QUN6TMksaJRwz%|>&Jj>fEwi^%xREeoRwNps@NPnJs%wJg%Pj@P5L&J+xHhjB9P z)Hvob4;Gc7@ybahgFC$vabrSAmMKr!Xq;%Bq5DqZF8pBV#8w{^%(5zSWbTkKc@5^F z4ApAE*x%H}%9A6l{gU(tvUp;wCNX$Z=vC_8FosjyX( zv&g_;0)(LFu(4wo%7&IQ4m3GDJ08U{y1WXF6Am!0+@M);_$}2mQR!~nJ2j&iXbNNw zoJ@%7jC~D62+?TBt?TCj z9_O*klouupCbRx-EOI9h1uzceU@zSme{}Th#b>`ilh?YAntMJ(DmQB@buHgdy3+PKYri`k7>vv#(-mg^7hqKnKKvKo^PZatTiU+mZfUM^32wPlnl~N2X`fG=n#X_g!M`AcqoXfKa1gw; zEH9uheDSg0xv;Y{frWpxx-UyaHjgz2$Nq4zSvh&f?luR%WB#r4+6fh0;YVjhyL!#R z%{NKY+WaT)`B&-4;y}RtSSK7@G)TCToz21C>oo8r8G1*3k3z<69@+a5B;U9;aU0z& zHHeRR_T~vc0i#Hz^SbU=(`H^ZDD@MeCR_*&mCR3&edOi%+nem9U%Y2L8Pvu*1hf-c5i+C*>9X+U%Y-% zJ@FI=@bDqVl?x03CmcNaAGo##bUO6u)Q8(d&w zZNWzNb-@{)b6n|#gatxe;C*J(oQMRqrkmj@H-P}c9?2QKE^|h1LGbv26|f6w!cP$RLnzJkD#^1z zo)S3cd&}{2rl=5DzxA+)u-<^u?N(>kyG!qwp3{KoB+Q861TL!+4N?*v*&W?F(*`NM zI~!(>XI%y&^%#Rv1*tTU3-Qghq=9WP9M~DiE#-y$z`VuR+*1S|VSY@8tm|K%&6HVk zH};KFh)#Gzg$X}1YS%f^p+=BKtfrYWd$AhWWH}YBng%NEU%GQ0@4L}q$tF>fD^|&nYT2RS4k<^ns`irJ6MSo)ftf?Mp?st zDtTU&3#o9LEYyu&%`UQU-QrG6fKHT%NMF>>vU*B1zY+I zcVlebI7Qg?>+fe%gCc4k9;CT?L}-l6}in=x@ghnCv0 zLm$eY;{#nqB1yXPVun&NxyMY61i~4~;b%I}Jxx^?T1qumj4@IUDDu+Pqf*)lCc?Kk z?*_Fq)qv@LqDPyHB1%E2I5U=Nx?r@?l8KCx`3^!>Ad$$}#e49fDnS)b#uI zm-r-q+}GgCn35)U7Pbs`GKH11aX~G%fi8|OD-z0BT8=WdRa>HX>9g{rL7LE1ByA!N zl_AwwxX_v0ThenL3`TzfI4xo_6Zrx1fPI(gUL2Fnz-M8rQy-iVG+()xSytiJfQ7*? z!aB;yGs}T<_@I_L{3jxoh$dvmN?BuOEw}be+@R%CP`PKVwxC=_zN4r@Ur)p;u^T=E zH)XdZI1{{62d8Q=4r}Uc5eiQX0ZL8OG>8u< zI|xiuj8)-CzcyY(A|9q`rvb5U5}+HXx2ASe$w@G$p~9tQRLKUWPFi+qwfwirrjRzA8$f1uQk0zzSg^=e^oiEy zSrf-u$=%Z{a5QSsIXbgk91a}SKFsoL+2u5dg>G~fZ#;))HmQk_^(;_woCl{M)Z93? zHmzv_IeD3ZY?eX;$RXSaax))9lxR@5DY`fqTNck|%gC1uQ!w=$YIGE)4HA1Nyn2*jU_BCb8GXr%_lFK4RJe;OuXOfZVJakA><04r zxMmt5k_rVvdV1E^$e6>CiUr?s2qyOiiz%tV$VykIUc_5m0|D|QSKb^vA&gcIVQ$05joHZmfY|3ZGj5b>ALp0w zZ<*)rzs9Y){WndL7rMNUva@;a6v^D&yxE67`^?@m@7jHr`Muve_`Tle^v=PffFCewm(l9J^JX*?SBOzL|MB7c6V=|yZtp2QU}PbLTUf0Gnn0LZ~n-eKeCDN z{oilQ<;y(>uJ}IeJ5O)IWZr+j+#C7559v8LIN&Qe`#0Az(K=2DYs>!r&bghhtsQq7 z*M>t{mv*+1p@XFIA-zR1xOUth;ZErvrwcuD{*v~m$?*@;736;KbLza0_#ZYOO(c7a zB^Z0S2{G^PhUR0K>~u3cQdRja2=f2$LxI&m?f&At>+FQ@WyC+_*%&)>e& z@5i1_XXeduQvyM^zwdKz zJEqN$5dCnQQX*QLn2-hnPCST@C^KDVP;|NH`s(>rkO+Z8=uU88q5X*+aPShdQ%u8$Gc0z zPe@NK?TBT^Q}Njpz;w)l)~vY}HrPXTRDnOcC8^Wuc=z zpp)U5gnM?pHRYya4Ev5mMN=QG1!|npQUzz3o`a>jDk*)@?b|g~$+{<9i^#S?F3xjW zRppHeo1JZB`b9Of4V3ZY5I2^QbH;goX2>~Yh!LV9VIj!6Xng0pv1T(m#9|fh_%Tel zfw7&-`IMF!Cohoz8ZrVD(YUli#o1^sf@~4jke?J%v&)Ruvst-;bs6gcl=GNlB%`_7 z6tFO{j;+m{H#VX`(E5(c$?ADEX`3l0hv(2n~Zbt1a z5E!y75{zj&VXUEJ+{*ZbkDQbwf<8BRH{2^}OhDeHr`3T%G9Fp2F(Dk*ld7rFho}#e z82}50L~KhGN=}QHUOpk@JcmK$6=*eRA8Lb~bH~(Ji6_9q3*mjtUR31E`5u zN}4sH<(OU5!DLvseuCUR8jfqE#k?N!;iECd->gW<*j1?&o+lw-E5(2Y zz_P5t)rPxb^uU@Gm)_64VoFLA1lU(aE#sj}xydLxKJ{j%3=r&un?qKEX}QMJ$TPRf zm%deCyF{}BNR^C$=DNrse>^%9DPUEz$(HdQRxPkr75e^dF-Z$^j1dGil&Z}DL3~aEYh=Rs#O`AvEIVM3H3&lmhsO-oEJuUsS#iRGnRw8%;`EZIxRGtxeM!{vgT1bFK1DxackTD1RpR2b{pC_;M zs3or-AaggMV&Yl{D(fjE`5~|?$AWW|H4ivigHm7}0Q5v*y)@7oeXG^1rSMzk`Rf;M zT+pG@$3AiM6GxVl(YJo|=to6@OR|o3ko5-xogH6``3eB`_jMLm7@y^fG`-*5&v^UE zQ!{fuW6MeOho4+?pk@GEzuvpe)lZmDKnpS9M|U?Im>qJ?y5%iq@7q!$JKbC-x2uc1 z@&{jngPkXLOuy0IrZn?$sjVV^h3Dbw)1 zqhundeBn#aJd^DGV-v#nlD2&BvVP&M|JV2Gbh`p{{Qg(?fY4*y2zJ6jUwr?~%ap4`xXP6G>0k|Xu4 zqtgNRn=8o!wSvd6Y`2!=zro*89)Tn7Q|oPp^Z#(b%%JzUa^-LR^?&Wr=0?}0wzomr zn78==863o~ZYXX7l6e_Dgy+*4ZHT3+?1MvE0l6v1TQ2op>dFFoU-H>BRBl(>d()ea zZtfoK@CCHT9vk~765cOt?n?9`M=Zr7reuz?p@F&Ne(}egAWvmgm_4OBA=~vLbnFRk5lG#2gS}4N zL|Xb9XXDj7-P#x9uJSqwI<{?yuW|K?&yEAJ^vrE~jm@&xS@zdzy9jIuh z^B~khDU-ElK~Z{O?1ys&jZ3F^qKeud52T2MhnSi8SEx9uxDN^Inv{6Z9K4d(8c3vB zmm-|^o+4!>v?GX}KuUI(#u@hJF(lN9sCqoIw`|S9_!-aTb zLL#r;JK?jCgP;s`W+=?<#i~fn6v%;C1TfclqNCS{Vk1eV)+~u1JkG`A12tA7sIY;} z$=RskUawRFHJ&yp_2Z9H_9Et)z7&i-&6Jq(DKVaev*1HHCQV3UB@USeiMWy4W^oesGh5(9WMV>fj)QQwkE6(KW0#bjHSQY9ni8lDmNI%?nkxu2il0)NWM@jO)* za%#Fq6wqUGbv)TnCt<~G$B{Y|VJe1Njo_;kuf{`sYRg0)syaA zi-2j#H7XdxZtTZ1Br}NEjIDSl^&K~}tzBfjKO@@Ys53KoS-woa*Hk1;Kx zskni*J0rZ$ObYi3KTSd7!krk~DX1FK@YbiWrqGFuAnVk+s3xS+{QAm`+1Rg`Z^#gia>I&6F2@dT-N99Dm@m zX10lg6PW27Ea;BWy)qd;t7U10XpjROzIiVC8Lm9IflDiVBG`9ut#z6~;Jp>_WZYJW z3&vD(Z3cm0@ac*4Hn|cIGtJtdn^HHKOaA5<&lzwsLpXl64=gb7Qnrr)cSwIS`_N=d z2t8h%#sEB0-1U=NPh95k1eB2IuzAXbml@(+mP5^Dfk4kM%{&^J9f`M?DT_`s4qpAv z8UFBcJ!`pqnCzL>O~H{KUfUX&8CVtYK!Jc`QlqIkREUnyF+_6-duMA_?U<&(il;(- z!YcB0ruu8)evDxl$X|f0cyOT?*)${Dco2!^=v9xIWlF+Q6qLPAPmd<5N#PFPO zW39hv5Hp5MmZihUA3H=)n?a|^f+OuTXi5S>7t&;Kl>nsFWa_MUr~H!{lT}Vf-sUyeW{Z)0+u+-IP^+CmItxf1)`jRI2Yog5r+#@VzFuEt zqMKnC&|;F2SW|SPRpio!4%TAooeD}XB}a2;)3QGyBG^*O+7q*^I&&cvjf1m>%$Y|l z)Gtl$GUvz3F@g_QT$_L-J20LGq0i4G~w;2S)?vD(8LG z_-r&>aj_UT@l0B3qOi13!`2V&jjNl6c~^0Ra^0~viKRq$j9g zlegN;Y>1%`^gRO4feGetH0l;jPzDY;bTAK1=*CscR^VjFXTZm1?wBqrt`95(fHn-; z)urk3P3$S_4?axDQyB;f!9tS7gs|jkg7h~T3iDB8mz)lt)ra*`cs;0kO7OV(G|Uwb zhNL|n_!N7`#r4&EImWqq9a{Lb4>14!LzlJt)#a&gnnoE`QYI@j7pCGqX z6F<)mvqWTp49Erm3l|kAjmdb?GIEbYO~?!mJYj;dS<;*WD}}SfOa}WoOz=u_e#uT{5vqu4 zfV2TB@HwUsTsY)B`YM=~Z=Ww2Q&&aFwyI6mh(PP>5T9!lX!aydw7CmOhl*Can|$+Dq@d09l0`^rfQ zxO++js(cPMg3j;W{He=FAKd-mKmYCj>F{e`TPz;`&))Z*qy3xakG}Nw?@KA%@%SFy z5PXw+lmCk^{rmk!%C&1kIr>T%JP{s!^x)u8;HkI&&)@%<&)k3iuYL5_K6+E=Yq-Wo zVPr)?G~In9`$wO6v$^#_^TD70ciuUY|A+tDzwQ4Y;19p}HmC4A0S>-LdaZx|-Ajkg z?H+&Eq~&;Y*_bEHrM*jN7C-vv-~US=cmLwxUmU!C@cO~g?VE)6a1BjM*_79{*Gn4r ze%I7Xk|FTrC~^DL?*9I@YejMC(z&nQzWpv>cegLyvuNvL)$je)fA0&@JMP1;aZ%Ln z)4NaqMc|*B$BlDl^qJ4xzO8$~DCb_M72Dh1{)c}=`*Uyp2wJk2DK4 zbN@5vzQ!qN-3-3g$rQ>qQ8A=}tMjx6 zd%NWhV*@eXxz@quzHDjrKH_CAr)$be;dA&y>2>K|tK%KZbRxG@s%ODtPuEW$fAi=p z1pL?s-wHX}q5t$v{;zx$P|z*yNpq_ChaUXOH_vnT(+3`V?6FIil2&nwY3=*pzeVE2 z`q`T|AKR&S%;uX%c^`B)yQesK`siq_Is5R{t3Q`GV2?NL@8z%m?EikAyPw|nHZ~UF zVDzb<==TZBD{T9o4M%Uf`KHZH(D5k7v#_XhV59vnd;DrlDa$VSk3QU=298hm`uP{R z|4J?O6Pv5TZ?5k_>(Ng=_jUdI`ZnydI0(nsM@MgZYwx)5M(y@??9($uo|m70|7ZVC zneoYV!rw@@;E3D8_soAPZ}ZOpUN3@mIct>8jN@r}%5==hTTDUApR@Se^d#H|`R1}Q zV|5qD`VSav~^o^I=jHA~LaEj0%-=~u{8bMiHta)vChWj-DC#MQ>8S@ewx`^CiB9B?T1r6Rncz}EAysEoEmT#gOq*P70=9IA7le^! zaLK)a0_7e7r%Bn7Y`{LDjI<$j#!o>J3A`3Aq7nLcI0Eut-RmxYPiabTVW3n()INT?q6 zoe^cYpt&0pB0n3%L=zgj;f?(Bw*i+5JOdN@NZbnXWGT0Kkle#xNEM*$nv4uo$MGE) zqU8xQn0j`&M2x>kWGXH=h4G(-&Lo&4#fRQ{{B&ZwjV4sR7jB6A0!G)FTq0`~@Nc^p(wQQa3yWql2HurN0%0($)(m zw+%Du1|8rO!J*Szvf43nWO5ZcXW16y9u%k2q!cWR2?(cQ$pE5!2s(;)W#D%_I*es> z2w5=W6a-Itz)gq)XPMKRh@IPILWjKHQTMv7O2^G2)FR6d&Zf8l?Lx%lk(r=;sJZb} zS)v|S2r-(m*D3LGQE3N^){HiC?wjEuSP3d)kq10cfW}bUFaV8o$iP`IZ_a#@e@>q> zzpiH$%ucxqx<%R1=at%@uf4W+axhC@Q}FmSiDDqS7)t=lYk;YgcybHz_>UpbFm!DZ2= z%OiQ6v{uE`#j%ZzZMxX8Ys`_(4k26kR3hCI6eM?N6h*f<(CQ5j&A z$6u+`0WtItM-%vZ5P6sn5t}DEg6u&YF?iCPvlb2emZlv&l00psZCmJ{f*jnY%a+vd zut417t|AsCrd(r1D`gtMwaLp2P5oibYIjq&ktLeUA`K2&hD(d=pLev*DSEqk?l~8- zq_x1ARZX8WB!mXWhY=DnIr~aI0qiB2^M|>CE#(^D0 zv`&4rEO~9c0t;@d}4bzE;!%IG-oLk z@|-Re0C|;2Xt+1{>yZpu6R5q>NL=B~u%e8nOiWY}T5$==n9LrTZ%; z@A1r*zbK@csR(SrG3MG2H@`&ymV>*CZhT=AcwpTN78~k_IwG;lTTeg+S}jb zSZx1A)%RY_uId7$a1?_1hiHO-M1t8I@&&0ZZ{3!zVEtu$ zAM^N7&@TciPTeyr4v-zz@{PZ0uReJG=zMupa_N!zs#URH?QbF45ITAbcjW+AOnF5T zvpM>yt8#D49?6|$Df!L!Vdb|C&%Wcw)_wu!;UqPbNx2eqIWzWGExcm0%{%*H-a7A&FNF;Or`FC$u*mX zBU9^}82Be$UGp>QPQRRZYxt5=vfS}-ceuBm9d)+z(~`yblJV8<|6pu81Hvy&{?@kl z^B13gEV)r|eyl!1YP>ih}rog7@bcqMUg;f-&+ z`a49s(cXinWZP3A(n!y@u|)A z6(bDI-h0T`=AU|Fs~GyC>pi+N8DAH73X7?Vl7cmErtzt?)@4UaA-u1pF177GprhU_ zzYgSe%B+ZNpvUZRB3Sq}DQr;`*thNbhr$J_>(&yd0)I_RMw41fi*kmrYCY&9Nvuq@ zFHYz^~KohlSUKiO{E7e&-Y4RMW=%&U0`&#n+yXaGIfShwHV^)VCuSf5J2ljN$*Y z61DmNGYY(0-jId#j(J1&U%z(k#;=q1uSGqu57)nRU2nb?Jp6f@%(?mr5@vWUT4JCM zyy}6(lPUXm7P5Kh6a47njD)q~^Jg8-D3({~rRnrbOsQWXwLeFH1toCC3g=%izw`3rMogp$I(RbZhek=V$_ zy{vSS;TB&c$2%$QqiA4T4$3y9+@|+^yY@2vm<@GeVG6x&;jEfPSW4B zhjqZ8Qsac*Z;8Q8C9tn6iY0%7O)oerl{R5~YmY6uZbNCyZ{yXQ-{wV(*Kk$lbiR&V zo7Q-zd5rKfmg6qOdW^K%^U8rXkA761tL^&!mk(usGN_sqbbBc?fhcy;Aq*F%rp86*mrzohYLW8NFpldS9QRMN_+D#63if9~z_VHh+?k`Z@bC1S;h}U;mQ1#_ zw6K+b#Yl41i@b_X8kjKe9X6%F+1NWHDd;Xw`E5$@jtF;fdq?PLr*OAfb^}LRoH8Ys z-SJYkE?VH=D}^$o7xWd;fzt3>L)3nGmk6jn(KgrE-s8z zFBsJc3l#yWRk>aY+SGsYzNbgJZO`h%M1b3Hkgir}$h{gSr9(hUMvRa=O4QrX4{6&z z_iA^i5%azjwmd_!uS@5y&@IFhm94%EH#s>mPa&Uj=su6WB=J@j6F83EKr6$6^%y#A zs(@BLCp9708tRSZRhrXHJT3|lH=bYMcbA}hX6iFA?-@i=8PuO_&3C>PwyJu}MU()2{$at7C*`pomLrdE+dWz%25ID)Akf2bv%_N1CJlErt z!e0S|gu7`sbOpX|zD|XZJ`^L+7twB)JNfB~$%tbOoEm@C(D$I@T9AeNUp54-PlP*G zaYrVjRp6u+xQ81fmCmNn@lB8jY}M-8`=yNI#1m4_P=4C8Ur^5+$KeUcyET6aH{3zH z*Q?s_bw}VCPB_=i-lxFf+V+wbqDrNp8UleKfItCokvM-F*p%AFea|L$TS9D8goe63 zB(ZQ;{16u0PF@hQ4(p9=PERYy-bLYFJ(RVxR4+SUQUMuZHnjxfq3HNE>6QWW#1qGo zFP^Ui9x~?O4*cGIxu?7pwvBi0jCfn9--=aQ^moSBxnwD(C*^bNR5tSGw=d1tiqhk3V{fOOzGl_mF@E%0080P*PjLI_73=J8lYSBV zqK{w^QlJN*T) zZ>FznZ!k{GHCrbhFKN4a^&U7lZ_3>>IJog59K2+LcLk=iI9tBEN4tjWKrU^0CF||m zJ3F_I_!!_8{K8j%;j3HDS83hded}AdqrM~ucYIjy8@Il3Ydh}U_V#YCEuTn(I)OF~ z^e59!hI^pHx5IR6T#vmqEt7PY@a6u_KzNa4+>7{cdGjJO>)dU8{l>E8zwt}x$KlF* z|66;dU}w?p?japHTiajE$P^GZp-JGw)l=x#ty0(qBlIHSF@^#O+oaeU?w1SMv5&;b z7lS~=O+4XL>8EE8vU!uQT}e*Go{o{O{mwE(QMi@fgv919xwFvlW5UbdD+Gvg#Ciit z0`>d^vI9|}o}a$V(}Qc5geLN*mpfnaTrmV7=4)%5FU`pFx+TglpxwLjcPOiYHX#fJov_=Cl5jR}r%EX9!{c7qq$asq2* z!zhw47K3`fzp8t?`~8{Gh&WE1^m(uAYr%u(aTc@gSl@ypypq>Rl_^8w4s_IMb^qdy7>J1TAI-#j&=Pae zT>v z4HWYz#HJPWgrM5>gsT+%B45c&1(z~UkFj?PFUaJty9{8 zT=Ynj;#>PEH#7xX-d-=d8(fPNfN%!hfb=g>a{#~$vzS_RpE>*FQ*xc+LMGWyK`#5u zSEpZ<*n`$y*`y;_Bi7)zvdvgcW@9fv$SRoT}QnGO4=d7;c~}!;PJR0ZM<@2<*u^PZ(Ortupe%G zFPbm5zs7X$O7XvP<&v3Q@@k5hD#pEFDyX&aTj@EZBg_Bo!M--!&mH|Du2xKEw&`zh zqxLSCPTz3T*-xJR`Y!a-d3gsTH1yMOH&txM_fPMCHz)WlM6I><+GhN?lY<1}{WuUl z!rWD3D*G5RI^F2~;Uj^A6?3HcW6eLNa6|8s&~Jm&$4 zp@IcxjoRO?g}Z;Kur~4 z?9S4)Ggolzt?8ZNdwe9m2-5PZZOaAtA;%zDTeO<3v`6VXO{)V3oa0=$#aQQbBd&9R z#!u%VrC|)vi5@ zj9An#eOpJF%dEykduOs@^qE3;R|Mr0a63x8i|8BlR_(w>8|Bo-g!;jf9CcQQ{-iny zTjaw?vNV?xSV~|ifu#hN68N(xfsA*%rvCK0E(80?LLp{@f!Qm!X#~Q# zo`B|dd*D*z_S-HZl6}CN`mYXD+BGQ51`qdwV9V|KF`HVsCfFl>)hj%dVq3lhFY@vWaZBLl%ZoFOw@nWn(_u|JH=_f2L-zvxihrvpoo`3Xes4BT7ux;TQ(ka7KXL3H^!e#~A3GZ=+TrwQ(%Pgx{T)Inw!QV_v;fMrbeK2i3)7o0dL|7nq$#;F$)zPEgE|G=71YA3{gv(cGRWiB zFM!HeH@M)8hRPWrh7WOv4V#{v1}1Ypra!KzLWyek)7ajUeICTdaro{2h)vJFuSl`E zu^k(Au@F|+}bZnMV5 z6BeSD!3?`ErHa(xOV4@~vQr-f4^e(z4*KH8HoBXz7d%ana;X!?YPVCEd<+ z;X?y8oC?mIl9rK{WtnkBRVGAWQjGRZtKdOwHQQW0HX04fjd9ktZrG2d2(;%jV05du zIof2!&qunp-Prcw>w52Vklt_s8>UK{8xKYhD=5Aj%F#Cb`c9|EQm*Hw7mC6W;*l^= z?{6q=-xHme%=*}yiq<3bMlmVygZ7~KRg`7}4|N8K!@-RVYCz5pyYwWZEziAq%}JLA zF==>5$oavM=^!b~cBU1(_6WJQEqeEel{c2y3RUsYFk479M9P6FJDmMKNLguZw@R0q zVN$^Tkn_p6Z9C`suw>H7H^>RxZ6vha;c!rt&0(_L)tq=@H4D+U z61DcD!7Xh=M9e}j%vfuKb6$wt6j7>2!GwxdxZU4a$mIOaNoPFjZMBgvf193e zb)(DHQ}WD>qod~RaO^zm`4(@%G44$nlWd1F&?KX?8Jc{Yivg+rG!r==X6qF_cl+L6juMS?tu9FQFP_hS$){>;{oc?-3 z^T>viq?-<#-9b|&cv?7M%+X#yT@PoHFHnQu1)_|ob+c~t9{@WRwT@BQ;7``5NO>Of zy8Ditz6$v0C(fM7vdo-4d*+002SH zA;#TUC*J+;_4O%#C-__C!0kUiW6V2GteHRfgX#3diGTBNzC!7tIB%}AKu^VA7+g5z zg{8Mhb>>4KGSdehSY28Dd6sJacshOOi7T%7)n8>-=<7~=ab;!tztqHpP9N23&H}6) zSvm5~cYft7jp?hV?>O>~=|yk-xj*7r`M%_W+F?v+^~{M6JpgFut@2p2Yc_Jw`T=ut zWo2c?!IA5x)00%R;vMD!u@HaFaHm_vfqBR2G#~6iT{(|V&a^hXE6mGfY*s+jbWgL1g z`%{7F#qotIz{_5{ZEZ&_oMC75m#_arcWO;>h()QU91MQ!$zwa#qpLLbwUpN)yDZlp ze@bZu2&v*qjBg9E_A8utzYFfpPZ2Pk{<0osfTie_Lx=9U;Iqc7ik+o;cmBvBPFmkl z(pliX4xP)sw)QKxOD+$CQ}GS%8jq=iIqoxasu#=uT)+y9RaDBEW*(n~QICeJhSURFO9$toaNrUMv7IZe_cb>Kzh=buMoJjc*hyB<#^^$it z!%kDb+3O%)lcgz|dEhZqnJu_*&O5eJFliUG=4?9LqeZRH3Dru1@F_SrTV@0O5%Ssg%)>H|Tz$GEi+=eNrx`IFEt*q-5HcbndZf}5b(3~3* zudrl+AkBt(ie3)m4s_ZV2PqVK7Pv5qJJuJd4R@!>>zF!|6bMs^yZRe6Y{TZ(SP`5P z9HmnKF$Yg(p1(n4EQ#!85a{Q@^4kMmfd&~YLaB60#kl|o1u6M>G>bbi3*vOrahu0* zgVL3TJLU@^BSYAMb$seDCUEW8`<8K)m1!1z(J4iA(YDQkSE%zqLvI+vO-jC&h9q6I z+^D(fOP)91b(9BJEwAdqAzwk!;l4J#090u2eUr6nF1L1~ht$<{RPf9BDk>7??aY`#*R_zirp=T+jmP5zEEbOLu-T^dAU&UXZ?bM` zxvI`J+1pDwLQ@j;UZ~0x0tUe>DN&N-$d%5&n{-E=p(=$Lnat|8zX9(WhtQI2%puHJST&*4h$)Vl#edbJ+1qytEN}gM5m6NI`XNRzEERjA3XKSnGSHooIMl6 z3NAuAb?Vr$_!Vv#=ZE*{V$7#^Uv-t4ad1YPRRae{_*#yeqGlUW3-ihOElEeXcPzre z!!K5|-o?S`e(&k?jT8?*{4iT*PX~`~7rW!#Kk>FIE|+cJp!JH^0+yTd*NMXfv6_C-NQuC?u3%_S?X%h>(fSpCgQ?m7<(bEeXijvqkH zJao$~uYD~yPoMfiyZr@i6OVI41X@w-hBMQzPXFlPtL?kqb@*@yE7#7v8@QA?2>FrA z1?i2cx?ut2w;X@%@tOnnorApPmg5hG&;_G77(HjseD$jjo<5|Ci#fpoGhIirJm&n= z^xpGxQ0H8wP1PQg^N~l+Jn|&%;%l)4r;Qq@Rmgtu-05?VUwY|NVdwlxu~LYpPY;!w zL*}44s6t#Y)Epc>eC9Cs;_c)hq(ZaU1JAwtxp{GSakl~@2lu@4UU>dSIG871xA0v; zstFjvSOyS0C2@%1TphyufOoAngYgs%Sog%bVCX&#<+;OWueZ@6P1iW3c#cBsmsx$e z&_Y-(iUrgzCna8hoxX*Db2;Y{+R12|h3%j=a8Z-@IFAyS^l5z!;H^*eWS zcZBJ;FU0c9+mwjJg_9$ULow6fQ9<{CZp1CfE3gyeI06ekma}1m6)AU{gawE(*jdd| zUMP8?f?3lpP*Q0D5RLLQcdcPQv?F#GfMCIkpDU!c14CP~R~scOb&AOWVzRmM7wL?& zc>FXVY1Hi?3Ur}HLfqYckS+x5Z`c`nK|aFuX93YSkhL&d7iKogibg=mT`y2L z7Z8+Hps5Rhv_q4wvP?l);g!ce{3~b)O9?C`u#~`30!s<(lz`qI0vF8MNNPv4tGCK9 z4n=Gj0E7@nyizVG;_J8E(A#KeO5Yw@Exc{=w$cRq{LM6XDW>tkoZHcR&X;+M@J05D zI{qvL9U%a)k%(P}*7jgGlrmryvJ3nIZyFy!KZk{^Vtl=NGvfn=M&^st26ePJ>I&OI zklB2gwmoLYrSiu$?A6*UDFw7$?)-|;}nEfns$!?M&RQEwKtM7XSwgfD%p)&Mgd|B2i9pYR zU<=G5ML^Ds4%r2|vgu$rGuy)!XP9(5lg22ObSGX*S|d)wQ7sC*_bPB_(()~9ER98n ziNa`vPOsIqPQ-yxc;0IEv;kvIQj-RIOadG2!lz`eg^8B$u={edVUdHf?-<+IG6PPz z$y|^@OsL_>+IZWXOi0FiQmLVhOdV{<@A>t-HH}WMW?$$X0CQ!>^kJ8ETm+h=bUDdn zEADKVgtDbt)-oA_EJNI4$nI3O!_o;PlfHsfNi}Q?X>U~G00aw5#yHXjM!5Cc2f_+| zo{A0vQpcez&DNIeMDi{9CHw+@Xqs&2Reg^|A^eUx4u$AH#KF{m#GZMN+; zeHLBDxRZ4otx1nc*eqKUs?j3|^+>$g)JD|?h~(S0(QpM6vARdIl$-5Fi)ugsngt-V z!=7QPMXjupsXRNuQ!Wo`%UJ5OGwEm4U}`7nmZu@Mw!?y7t4%`@xKOttmC3j$NL{@* z5KXyy-m%b@YlpcPy2TnH&!HIKQ1~7g!KqTzmL0m{3DQ+fOv=~|On4+E#nOeCILqK* z6*bF4xuZV|SQ*KXCD(rNYSzUhTQLPN6H2)stRFB(%}Ep2)MV4o1iy%*e{P2ah!HWe zcf)!}VUDf*B&3D8-aKHJi_K%&Kc*#xa2BeT0m2VMt5w3sHG)7@hho?MT?sy8Z_R-s z)@h0ZL0m73?wz5csHyAlKb!V__Z@xDT+TYuCdiuto;VT97%N1(9772S39gC)@>oH$ z;y>?s&y#q}6<1XIR;!Tdbp4Anq6Npwia4lYcBsyO0talU4jj~J);61d_i&KMHM`>a zAHDNnsk3PB^?7Nr`#uTw!#)f6hy6w6i|$H|x&GlhSMw|H`r!5bJ6oT&cYTo4YdOW1 zf$SWTQto;caNXqc=VA5lvi%+(LgSf1hYxdpPS2cXt*=oU=Lpy#V4b)lTm`4h;W8|G zsix-DaAcJ&wMWjJs>!a&v?$EuBq&Y2DjfZlSv*lZA!pc08z0!f!70Ui_nCLs#Cxh6 z4kGO00G28aJWl?2nkp8m2z#v45#yqjWqDqfrB;zSFYCSUJ;UL&d!huyXeU(&G9Ej;;`WPFvB_&~=CT!?Zx)%&QI$C3Szp;{QOM^$ zA5aWIFIsRq2MSPPu-^A$?mkg3ZSOD!d@z`7P z%ycE=gKuUiyO3{#7iwpKd!&Ssu=tq)S_u9&dC?38XymFOZ2mIO=z=dfE&j|6oXxss zkc)FP+!RkN!z`)jNXfV=0n4%sDH3P83GaVOqg=FRYgs;RRD*EE;uZ+@1_r*;t|F(+ zlyOF4dIMwe_GG5RtWT3LiDL}ttVyixB;XezjJTAIHf~9x8WDu+H~wSD}Jow4wPX-D-2UwEL zRHHVx4A?wdxQy|}N#+6aXjNt=yD8lfurktqPjZ4~RkzKG$)HEJ-EJs&kr#=jvs2eB zuzY`NL&Q99T1#{^g(BmyOIUCNsV@K;J?#wLf$s!)l*J(rze4(m8C7Z{QBrx|6l(35 zW3@SU7msr5yJ^uXoGB0e$7G4 z*g?uP2u&)8Q8(`7;y8ewE;6*KBaV$}c4<016R4n)ptta%2he0N;dxS8nL4K(pyux* zW<*(%;FPIfiBtv(Z`;ixWG)6Ip`nrufPi7tm?HR88S2Xlex*q#W{`Pj2k7J0L1Zaj zS+%J^FC$4i$!N@pop~`L!=fmq7LkCM7zPRyfJ6?*e_xefLs2;*ZZ`X1Z=S@1jc2 z^CwI+zyzi_;j~5iy$vBEbei4mlF5PbWWyE{KWsO)-Pm=US8!TAUyhi=vSTyLlUi(# zvnI+_%QrL46*N$?^oG(lXzhpf+o)ZO9B9TX4e14r9SJYOXuOsCX4hD6H^)pijK&S; zHYT~Nh-gKjw%g;*##WMZ0B)yS$fllAbc0a!XiqZc$HTmAmcc=&kC;R$cUH>7i^4g) z1yT`0)t7bnM!P-UN=wl`Y6R>4d`BkuN+5F&7NEv4prhqPdOd{Z+=dAi-6B!$9* zF-VvJiGG?w9Z|t>1_?$0_%_L4lb%wo3wFx9Q*3RIie#KLCOLU%mMD~3^j-$w&DN;f z=yfgU@6w){&3>ojxpIaE#dGLWYN?ZNl+A{kr^pxTIi}L^RmJ#>UW=j1fKMry{cAZt z9B*_w&5c&GS!}VD+q4?ZycIsQ2#Hk&n`s>l(7Ey0WFt?Wv6X5jrNG^=+Zi8jPGICk5Y^nxehk=&*y<&Eks&Xm1jbwrO|WV#}2- zAcLW;Hv=a4IGs1q zMlGE$8`cj*a_pX5@(YC>wfPX-o6+HYO+d|zKxt0KXf(fdLQa~4^X;<-V4pZjxpVB` zVoI|#P)XQ___N0!Jbn7U`)GYm^6rhF((20Cg^0#G5gU=lX0wG&@1Gv$+F2T)k6k-GQ*y zu%@TZuGGRgwsvf7XDYQXGmrGd!T#{<={^huZg+FASc2&t(=YSHwj&2o?Vs;4p668Q z&fEE|e||N;#9)X0uLZ42-;C;P+N<(!pVKe6ZuJ-G<`;D7SLRoaQis2M5rdk)aqyM- zC3#WY>SBuKM_QjYW}B2=S+lSDOmst={hvO_GgO`}gKo`-x*?eY zh#bUJpNUy>V8wws_~hE!WWq78uM-Dk?vz%v!S-lyp~YSNKYlixV*9<{8|zEW{IuD7 z-;H7~Kp7e4qNpzn{>OwECLEUo7z+QOd$7C1N!)KoC=<%$DFK5N&tuQpfT&0*Tc{OjLpn@fMzegdh# z`1@a+K62d`F7AH5``U{yYo~|PrvKK)ufK%Bp1r1>(IanPvYwpme>T6NPItln$!A|a zlDBE9&UGQ|89HMD_2o>}tvBpK3YKE zpByk}D(-`Cr@`r$I_rf$(pQ4vl1}o^hS{(tWms94SIK77Krc%q0al3ER_Te5Cv`-|qjb=>9)KaNah$A|teQ27Ysd(E?y#6}dS<&%Hp2}xf zmnKx;=r$#_GWe1zp$wlSq2)|1S#|a(Gf1VZr+~&{ z<<6~q>hPKtiY*>7&7gH5rO)g1d!gP$;R$!V{dI?f+k&RkbGRm)7^LKeF7J$6w!>Z) zlRDe7;xK@fNnQgiFZcdHsq$gnTQ?F1)dmcNsJMI9xZcogn#`iA(;!I_*%()(oXg)~ zi9ApGU`l%CadX>E(hXAF1(VSh&8*XL1zr!b2K(U_m(`_92&==!4+&1JhkU~O<% zGRs(`BWJ8ra#TTE8|1N-xzTY+X<5pZAs5unak`2h+hkkY>3}k|ku1t4xly}qCL8pnZNg@IGvw-< zBHg9L9n6` zIlUTjJ&j~Uuc5|LLlPY&r%6?tNc6R^Kzh5tCe|cfGuRrag@8wfKiF_lslg4bozv`FcgoJz4T$0D$VD77HMf>Vh5PTyCacuB@H*2EP2aW!;wDW1yCHOq?ghaEb zy~Dw5#kc+>uugxqv1-J@Q^{N2(rUf`{e0heMjWiKLvgvN#6e(tfrBZl)vON&2BhAK z12k)50%x=iZEY50RNEoszo2mkB3Aa=fAC{JR&iQ2#iPK9>51tFKJbAb7wEhwW4`j0 zm9yNOIX&_I6YoEAP>9dsrnrFju5MPBZ;Sv_;}>EApZ3b|X%An%yICvfnq zR~$L=<~P4B$|?{WbMzfY-|_cm9Nhim2oN}M01i+r7da6B5_`1uZ7@GI4B^cx-28*U zfm#Sj?9krZU3)3>1V-Auxr8T~74wolV>XZQSIzg7J$6_ARr9^^)GB>xTj~uJZjNoo zd4ns1os`{n`kKEncx8I!q02O%U|xj(*1_t%SMpr0!?p{3MbO$wp|){GIQApQZ>in& zDOJql9IwCFtivjM`ZYE9Ai=xuzWXCo%qmkk!H?uG5|wjhBD;Q?j!&f}(>FL_Yg_IL z4(5`Pz@J^w><^+{;2dGj`W_ko>VrWBaz{ASll?@s z&!9pEOCy4F!43}2l;xZQT3rD0y+sb?xPt=%B1LUZf`dt!u+yQ&?zLS&?U1(GoPIPL zliO&^T|+j)H)u2B%&c(U8D}g%NqL|dW&Y$J_(6D8i0ItlLy+5-AcpN5BxJt|ftLtg z);?($fi3>*2nwhg`Rd@`TVJTU}6hRtFc$Tz^8o^@4_oe(qZacJnCE^j2AV(Ng z3c-KjhF;&1oycLcnPq4a;V+CCLJmCLP>pP;FcNQa?634^4g450S-CP7d70;3`H28TF7!rx0$u9OQj7ZWCMRZ6 z%Ct27ruOwZqw}mZI_n^!ZI&+zQe=s=-Rz_G#yTWRPa61uW@0g&gGaE#!iUBU3N$km ziO0d%kzr}%RB=5qCF6F2B$~q^daEM_8HHCbPlxZ5oaIl4rD&2t&D9cBVl~(%>tS1d zl}Sbry7=cuJqJ~Zv0;^F%akT@3B&@*ZeyD;tSPf)6kIzWz(&&)tO^Yq_*o<@MC`;C z5^Rpp^h2z`gX6s7jL~(&it$vGa3U$NOvN3lsu(w7d`Iq<|Bh|i%!+ya9DigoqJoUG zoZ5pjVkVA{WyDL)=8&$mMLu+9d8bbX6d!sbhn8CyAH>fnwzLD>j8Rj?EchApcDj*q z;SEWUu$N{qGQ`>Lu#;94ecFrY5p%mhI)&#Mpw%XMyWrecCMS^4*dZI*VJjnUJFlh} z{U8>B*co#-`lF@LhS7VfX341BDm&UZKaK+)wMJ=f4GUG{vz_vDE~OlrEkAPjS=| zQzl~^n&TF;S?NY^)Ad`9l6W6}Ud`4tw?;g&jESf!4B5dnEfh3$v12p#PI1ugyCG!996r-;9zD$co7ZQSe4`OjhO?j-2>2*Taf~yZRe4&Ef z^V=rhnxH4L4$RW~IQ6DuBTrhB7ay9D$S6mnG4?$EOe|1)#4h=eVL;&rjdCM$O@^uM zjq=T*Dul@rO?Cx9qLO(snfYrx(n*u?g!NLhQS{pV4oy{^Env3Oe(3Z)-j7Tb`LS3WRZM9Rv z`5$>IW?t^JfPfZ1avs#Mx)jAtkuhhzqWf@T}OAu zjkn&J7$!Yk{hEa5F;%6Ck$L>y`V_N@t{B1TeXr5#W=yK+&8Vpz9z$DV2uR6E?CiMM zk}SgnN(sVPt6fm4AWbGQajHxyQC}A5!eqggX`H%+fjXj+Z9oO$#|d|7vt2mNpb%4= zX-1oAw_m36n|iHXZeTr_JB*%u>jxrkw|z3EfVD1yXa^_05L4(H0@q4u&{c~4Uaitb zjZRvfemXN(X9(ARoro09(PbmCIsVA$M^1+XlRDW&o7mTD5BK!+7f9(o+^EQpo_X{O zU--i6%5YF*)&XEb#CY9;2!~BGGAKE5_b@Pg=1iTADE28e!qYd zH$YzrevtzYd#tDiIuDILL$9mo2TiZP$M_9HKjPJ+$u^V+^Mz5Y#it{Qu1I@6(V zH9C7raI1N_xr8Qht4YhaI)9*iR>13&Dy`P*CRv5oH_FDdp>JJ#dC3zt2Toi@x1X9j zY`tQ9WVSb3MO{46c^)gerqiewQujN8YP?#Z0#H_}Rn;zp!O$21O>nhdV2#vgpnX zz!2Mq(Yic)VL#>=16E+8T|dpz>jWem1cy%x3S^4@nuMTe;P!>x(6GhB)54Pb0?~fX zn0^!h?#94J%wR@L#tah@@ct7OyVySGApts$`(<*ygFAXKo8R> z6QQ=lqKkGsLOp@=?M_lsce~mF?Syb3k-&^t2{z>q!(NpzOAt|-T5r)_C=l}p1+gYb zqh|^@P!^J-5-V5>EAmWa4YHHOC_m(gr6*5Nrf}>52Zlx(II^XJ2e88{w_w>h>9mGy zX7Jsz%XrcFP+8VAin73G95DwB5Q~f$T$iK66Hxcqnc3TDGTVY0ND;Ru44@E+E6pyj z_;nDgvWHW6=a&Um(4TE;dI=&Z(BUl2j@DrMl7H4`@uipI&k}6GCTA&E93t!KS!@sD zCisSh?Q)Y<|Ag=|v{;K2Cq+Tp(g@V%S*%0N8I&d)3lpqY$tDyyT+@o5tkq@v^TYvY+CS*M!%J1{f=#H7?#;B2gUW+?Vejr z6%6{_ewLJKj3nO6HkC*PMX34OM?JPSdZvR!Y{U({WKm$2*4RPUZVks}*P0;1+)~QY zIbUXOAniqe^u1oKgn~Mylh{&?3pIyW4xtQF%1QVxD5=?kVqwEyvx7!FV!d3vW}sNT z3|#9&S7uz0f2TyWN~fGqI9!t;an^cAuG!Dn{yi#bEQ7%&a_TGc5OyIiO^Xx9c8pO# zQ$=~Olz4|Cj5|d$s4xZrD}-=SwEHYAhXWh7wwi4p9)NDwq&`FYV%iXa`;qb9v((P^ zUu??1;b;Ml_K6h4&A36 z`ge3KHG;9Eg}p)J?xmdc3qX1Z`d^0#t=dWYhCNi}sDi&&<_`>O*gKtf9NYY!lB= zY5a!V(__-4l(6?eG2k<2sb-E2jKio&oj57`*bxpFHL_-HAt5KJ~_oN2Z%i&}F-x z37Nd%*&CE?u@xbmmpOvl+CG4Xm~ww{zY- zF6+@r(^Y0_lsh3d#8$@*$4$>m)MsoJppxV1(wz;K%UPSJCrNW=CI*zsE3jp^cnf!t zyXSSLZycy=%HSQXprKX(6B%t&x%Ff)&rP91vku;wQOHF#B#lPSgj!M{V(L{*h*D|P zqY9924Gb~MK|%$zk}@-<7^;Fo%Q4w@krjgxlQNV3pid8NT4i5EswIKr)wx#!=A<#} zAsqXFe8D~%PzPcFcw=4@@IuJ7VE2wyAtz5pZ9Ce5Bp6I_lETr>Nt1?@6n7D%!3Gs= zIz7wsJxGU?W)rm=q2ONG%)_kAD#H(D(~ zS-#DEDkN1K@jTkv7wnn?JY~&6O;GDE=jNcM6bEM>GpF^P^OP?|xFAX>6rVlMzpLZ? z*JH;O{ngbw?wC%09Kp>w3(}RJpS0-u7wbUvFsIPm#|J*OdfkBo2c~BA#E)O`(kou7 z)Er&JA{<#evbN}#yXL?-JR@Ohm~nGX4r)rZqtmC~|EuQ6XFl_p>FN8v_~q&JikI$w z1<~W3KEdvVS**(8e$*c*Uvy`Rt{t`WTaEU+7x}GsUK+kF=Nt2Ce|+=P-Mc=>L-nvX zWz_dm+1EepTa4e7Le$Q0HQ!zG{P|mX3bE1uulqD9nzz`C%I~mX&JWK84gw2Qw5QXj zZ$EO}Jn?m%B>u*gH?ABxd(TtScJDs*se4wBtR7igyY9LW<8H0{ODmsFpU9ucS(fI> z;hwSSM^0&3ngh^(Wg+F6vmISt*7W!(_PJLaSYgb{+GA^vef{hcXO7>luPxrVLj9aM z^`s|g)vzsnk%Lo_1M$3*gAc>6>U>tW^6m?#%yXZ6?}PV-xtN*&j-HeZ;xjg#9(w%9 zJ!jcL^M=MfN1i&XwefnzJIfz`qFyVXo`&6O2aHmhPSb-~yJ_oG7wyHW5eM$LJ6?sS zvL$25;I`Xtqg5Vy{Ltg()R`yW(0D`RlzB3&&et5|`4i{mAaSX$Qm!ml*+pgReHtJ( zWSIeDBR0Hmqf@3OlznqHu?#K7`0%F;BW%;QnfGVhh=$^MJq>fd92{=(UNP2-Yz}_z zJeMo-G>F0RMH<{l#|MXwtK!8tOWK3?hDm1rq{moT3Z^q95wZ|zW-Q8ohCGKO^8~~m zqn6Zuln$vOfFv@aIF%fOYo{Aj^%PWrl{MJmok`w9oHGnCgHS~ok>MmCP%4!R-Wbzn zyx=KkH*r}`*>jt->=CmGvFdq;9a&T!pABoY4eygaoez012;tWytih&X(obC~f7dn> z7xE??m(yy8Ws2P@oV+T@BUEIL~I@82c8HjVK93PHiBHN3%CLG znG)8w5@I2o4mzjE8pUgtLZH}&&{E6Td4XGL^l6@T80``W)eyy~MBzA?2}5ukJwubS zCavaP7`iImF2B@aSmaINaWOl=s(%?iO?J8I7CqPN5Sj0TYOijy+m|>ZXQ2p+ z2!QeY)ev3QMt_(A&_g87p|!!1!55h^R%ASnA(+acAiON}?7?P-$k`CAX>5A5taE|& zeWdMRH3@~Y4Jb3}Rb{lKE5B8eAi5+f>3N2L%F(dkHj#}mats%eK=Y=7c9RfIx;*s5 zzQ^7RB`C|U>$|3~Y{gY6fQc_dHruucwb-CQaoo8TILcsh07k3-xC2c|WdI&#z`>_2 zaHE#Nf}<&idKbk4NVZ-13q=gmH;A?eqG!5&g>1)gBteN8d7|o}0FfkGNoeQrpV9TP zH@vHp$)U69xZ58&&E!m6bJ%rg0`MDH!!ZXJowmk6vJ3irGrkv_*rcKhwSZfk8iwmm zwm>=LbKZ+y$M zT<-hqfIvsu1`+1OLR?XLTy>SAtIJCpVRpd9h6(|G*Yc_BdSgU^d{VWwMR&jzw1)~N}D;OcDLD4 z*&4pZj{1!Hbc37<_EZa0`38-G$J6tc2zSmY4MA)Jnv4-tGrnHw$giuyh^>DXw`t3y zOp@fLoN@4J`kn}_$+!RXD#%v{>0(1q7-+&*8 zxb?aA9uW9zo9O@()~%zw*703-vcIUU*ObOcOAo$Eo2OBRlxjPK?PKURrFzBdk+?#v zF?ggz=YE&D{dmbn_PtTr_{sV!a{v`A-k2KJ$k@Moruu4p^`&tKZ6M{b3;Wlrc*Wf{ z2P-S5%2Ori)qARM#mjO!6$eHe*#podW*`pAMGnlzf}SLTu!6bB0qgs-h{oD%At96* zZhmE^VD&ihSqZ$-Tv=+}m4mjV#hrTO)TzUV-*tEv-^%s%>DQ;)SFEkdH9Yk{{c>1? zlwS*$3P+(11P9d4&JDdIM{d4Z9GqI<;P~qC)q@9bIY^hs7ugFOgmk`6iFR}F_)Wh! zE7{cCet3}svp);Xoc_mDx^unKz02ON{bVf4xUzk98CSshnmpiJc|uBWS-YrQwbGft zhe=g^ZQd$*0+hn1-;aL|_5Sr4hKDXfrSaC@Fdc)3Tb)-TPW#L6xc#~#rXO1%-=}|a zalf+aQGUbLYH7C88WodG0e=`%2qv0ztJO8 zWqKx1r8A2X%Nz5kkh`*&d5el+Rih2Gi8r2K4Q1sRRCb|~09wPPR94+c$xo_*G(fpR z*ODvT*it4G0wgqRh{J%1CY(lH*#{(p*`}Rw7*LDG{vCz}r%HSwp6%k-M%@Z#j>>Pt zER?0qi47O9!PL@uT8Tr^V^F9SGCybS*rp|tC7o#E2w+3W7ddN<^wogaT_|VJ_d#VA zrpcttYw3hkz9D0Gk@rs|Q6E4BCnj@ABBeV6Ws+XGKmDIPHm@GfhV#DNb7bIqJ=5!L z`ak)+_DL~3Fl4nI!Zx&vxyObHvkedReA7PB{sd8yx1JI!n+b&?qc#Am*^K&m7UE9@ zO11K&v@x^EpGuxPGReHAG8!AY$AVYKNj{PLf!bk?ivR$O$X z<-3CJQ=ze(iLcB#+j^%izP>C^l>Rhf7C$24ZJAo)2FhmEw2eT4I##)In{l>t9K2BO&KVXedrc(xcwdy@sMS_rUTUEwH>iL&4n<#Pl7cuUxV7( z9bSlR5|v*WM!;_kxF*Op&Hyf2JBGj&YG#F+QD>@eHFu8}=Q#{a-)qDk$0FoH9cVPS z84{9qpE=_>-WVLVjrdtEm4n)>T-{wkv4OB-jU0N89cY9zJyPY@U=t=WC?UIOZA^pj z`UvfYS94xXEp|;e;TO{0-jze;^?T7pK+WhaULQ8znk0loqv?Zfqpvo_XQ+j`U<(7y zfFCWqq*(`x#C7Zi8(j<`<9fKR>Elu7Gu{e!-P>U+Ze~MfFI(x60Z9*RSV?HYEuZL^g+OZ1%ZbXblF;!CtH8~EaCD60ag zo*I&5gMy_!Wfo3H$OKPe_J_!S2+s;y9#MLtEPMe6r75fvo9)D+eOXd0l$xM0MP@U6 z`b;2nOaTqcl#e|Um5*kd%$(7YBxT_!Cl$UE^!ad?=RnG1h+k$d8#u3p&BHegKsS z+kTs`LyYl8pH8w5vRSn9lx?&$1m!sHkB3=@#Wx;P$XFp8asZr?@y78J4$xDk+NTA1 zjUa<}(U1gui_gSk98ckTX^&)y+G(M9=o?FU8e^8>$9y%(l2f-)IJL-*pB0q~%8*aC z#cuXdC{0>r6Kv|l88>02kBB>=!>;(ka9hg z!Rr;~!`~trcczUC+YQM&_3Zo~sj+Qlbm%Nww%FG&L8R=nPcuHxVO=ik`@#=+8eKN- zvFOJ}rPOmDLh4#w zr=C;0eTM~JEpL+?XIqN`&>C;*=RIdYcKI~hc&7%fX5<2L!6*|;OJJMGZWxEhOxUm_ zUMA3$Ss$r7egjFDzG+0b270nNl&ffu=&IP6#e_`_Ns>?w$dwW`c(Pi9V^MwmKt*)G za0b<0AiNi_d*}!WEU#CQ>XfigvX@tTa%0TB;T7frtTO(WAS=>s`0R;xSk}rD|t7JAI?~kAie}a-cnia}J`kS<^Y8+7Jit z0*)Rn%bjUe9E5d1YcraUG0}ci(-}Sl{>h#6dwmy5w>Rd03g*O#I<_QRL)=@tQ_7bE z+U@%@KeM{pxb8jgVaqbTX@tw)(`vChGI*|j{1tyx<1fU9gVTX-{r$7^$+W7qP^Z;O z*yZoJ{Bq}vx%5(TaP(;7+Zx|i=O7OBzzDKmyXZ4m^(tSm!vLPQV<1>Cs`W)y3*DvK zyW76i9xy*7y80Vjvuk&6?a$xBy5g!W@axN46H@vi^Wk7?JrYK$RleF@$an74S{r5E z@lf4*+ryO%)f!(0XgyJJjsdb)?~ALuatqYpbcS^O#k9`y3i5CYMJ~aXdoO6MZO%aw z2<+7#{Dd4$bB{K~v!YqAshd;B%!-5B8|()keD<^Va^NbOL1hms``lI~GYC{8yb*G~Hmk^EY#VeND-~rI*vilA zVHVsdO1dFTkGw!SyW%S@)9CmW5@TqGXB~swhl&++ z4VrBxotN*|*t6GG1F;S3z>6D01MsOFme#=JpPaiPt_kkMW@#VY-<1IiBc464J|{a~ zHy$a3Lw>{%x`emB^r(b((HN@9e7_&hX5*GDN z2;EysNqWuRu$w`hA!nOgRO+HP>Osw3T{PNe#t3cP9QsjL=MT_aQho4jD6oY}5@2&5 z-56R&Y!o{)s(e9GJ3{6KWU&1k`sl1{jBGQ+!RPY8*q-+=C;}F)6}xp5W7AksJS$6p8`WGHY{G0T1rUN_+1a zv##XM2M1!{x^9dDu=}#BJ-QJiM4SgW7VJnFuzPbLg_Bj#GqXzITrnCAE`OSSgp+mj z#cb57!*NexPh>p*D*8Wi>Lc3hdP-xMdXMfmCH`CqS9s|i?A?9jRCQyJBp)HvYj8@X z`0$7K<3L(PIO7Ozrsl(^K78u1I55^`RrK1f65Ze8q%O3vu+#QRpd#|d*W}fiUbiTl z*_l^(W^V9`Kb=aup59)^yD&QmA8DShI0$~Uq;(h79m%x0OCL(d@O-; zP|*O-1JqCrXu8sww<{gGsBOY;HLpM?)>+W2+#MwyIea0@{mhr@{$;*{4L3*Wd)WE; zR|{X#&b4Nl`m5`x5p3sqgreZ`~C}w;6hv zd1Kl|u;2~p7l(^o9H6PLzUy6c4&<+5%^GXX!N;HV(e?}(h*EVMPYaqSIehGP9&+8+ z#Enh6fK=E|e(1T+`i>B=XNR+PEFWRZ@PdwAr9e!93+8!@>(;JYt2wAo&kkMPEDmX} z)*P_GIm~1%mK6q8S7y{t=cp^Bw)df*zfWR&^?Do3i{chqvG8=;0W2M~Qnk?=M-R38 z8QPWf>R2IvV|?YW?K=3sF}o`5c}lfEh3X|%#$x!Q`#edWD`=nSzM}0_b|5K2CkbX( z>!@g+iB;|*UP#f!pgB{6ingrmXf4Fpf&UZt{HbMhPR{)~LoOg-F2@TX7D;h#y6(Ay z_6_OpgDt`7{8m93OcWH>tx6X>l`*K;Xm(3zGk%IuE|TnW*J;+RWmhoXpy}*EXLC$& z&blQR162L>Q-DG>WPxnvpGW;~%@y9)3x!Im_Z9YkA+S}xyE(gHcKiiX?k22a)-9v9 zcauG>{~14uvlOc=SqKmCU#u4DqW_uh6IBQw1$jYcUFi8}XQ9uypcHl!ss6t4#;`lJ zZ@_nB7JHC+?*UIwe}$-*5)rKhvo7dvM22h6_zZGdusi z!-dLfNw<{1QUXf}EG4j%z)}KB2`nYBl)zE~O9?C`u#~`30!s-jC9sshQUXf}EG4j% zz)}KB2`nYBl)zE~O9?C`u#~`30!s-jC9sshQUXf}EG4j%z)}KB2`nYBl)zE~O9?C` zu#~`30!s-jC9sshQUXf}EG4j%z)}KB2`nYBl)zE~O9?C`u#~`30!s-jC9sshQUXf} zEG4j%z)}KB2`nYBl)zE~O9?C`u#~`30!s-jC9sshQUXf}EG4j%z)}KB2`nYBl)!)8 z61ex@?dESUrq^Fv|JmlVU%WB-+dfkF`q%x@H7`7nZ`|Aa)b#9ky)-lHy*Iq#nk&BL zCk}Acs|!S1&w1#!wMbr>pFjFn+JEKn(Sz;Y(GNZAknZl-`uW{7J0b+ny}!R3_w#o? z(RMqKzcd*gyXSeIT)^G=sWWdXu6Xe@f8c?N^ri=nxxaS#3;OxJzwzbrEw3i~_1>|c z%dYsA1FxUOt1u@I^lH?4uZmtHOn?3O%f$N}Za(?Z(f_OjXOKu@D~H-Io=FVMKm89Y zm*>rU?|sMxx_f^=+QCy{=I-da*&bW}$k{`0KXCIetQ~vH^{+NYm|y(1vp2o{-kU#g z=8eKkX1vz1@4feHuB<)5?%m{f`1kW9H~Zsuzkmf??~dPjS*W-f?sfn6qb_)|EJ=)W z?RM>W{c|3A>oqUWp4DzoK3{ygedaR%j!#Y2*KhcNUqATzA3k(j`{8$FBLs^dH{;IWV7pUgJB?{I%;}|MrtVY%f3ccl>9+ z=N+eBd+h#KzkK!LjSVpGd;AsGUXu3H5B<3-K0ZD0vDd!k^1rw8c>gO8UDfoz)mR&> zJoE?Vbx-_@$qn9iFKW7P|BV|rde?n!rQa#PHvRdH!&m)w-}#mFORc~8^N+vmr{133 zG`y($>uVd+4>sEOzxrjrH+}BEKJ*{|@a;d+`H7Y19r*4SeAn;&_Fr#a_vsIP=QS_P zuNn4UdHG7(x%uE<`s^Ercm2zIZTo%6&F=X3{N;bY{eOPv|C_vR^3d8lo72gszW$Vb z{x#ek@Ec9j{o!}saPb>I`Hp{i{I|ERdEiYScx=@D3&rT-5B}f_4*lb^2j6zxmmm7{ zk6rVLE2nRLqVp|}e&3~UYkq37`GPC1?p$)`&Bbk(|Gk&I{Mt)?=2fq0Ui#9%`4cOr zpELZ9M}O%1KXYZH+kV}r|L=?Xm#wcq`*Qb-hpu|t!B_v?m%Xr`e)HzFDR){7wJ%Z@j_U!}nZ$^KV}D_Jh6u^>Xw3-+cV_w)elT zPj2|ljb|TN`BM7+Ki|0RJD>Bf?Psp({?Jcc{j6_)$-nx^mp=EaR~~xP&%Nd+|J)Tf z+`0a&%ieYNjrZPo=lb=}f8Qsrc>IsAJzg}MulwIVzVg=}{p>qF*ZJI4SM@)?KKhH- zKJ?vJz3cma@YDbMci(W|KfLBS4_!6<`0qaW%|H3O8{hPbzxpq~|H_|!Q~ClLz>RL;XFM0J3KKzPr|7XwNIP{#8U+um5+rR$}Z}{M$qo4cc z7ysg~`9q%{UiORM@_lcA?Dy<7D@WVkeM$DJAAO(iXW#br$L_iKw#z^C&#%Aor*2(+ z-NX04=Ek2|f6u#C z(zmU>#her(aHS!(el?XbuYT%r`LbxH4Re! zsbBuvKl;As|EtFzFa5Kg_xJDp{3qUhw@8;}1V)KKehv&%<~8x;cHB zIX?K^S2dffU!FGK_6x6SuJmsx-+AEB1ONQgjqOAKNB__luQ~a`55HvPZ~sB|PyEMH zu;Fmzq|6K{wKRXbi<9G?f>|Hxc^`L@C%=M&ful~ zFO|=G`<1_S^IZ@9oy#A7>eIz9J^bEN&w04`|J%F&;3%p)0N`)m?q=WRF1ZCZCd}-? z%Na=0fJU*!KRR~v1Jo&%y$i@GsHs9tYmg|~;!Jk(N42hIPjJf9CDSc_K`EY7Zh!K^ZwPwsT0BQO4qi^=JRx|wZtplR7;1uw9rQb z$>WP#tgfxw>d!^c9;>5RZI^CeyzG779dv&wE*tI$_uu?V6*=|#Uwm6u-oI$F@KS4V zy?eE^EwsF&Qr&KTN8Y<*^0LD}t0eN#<6`T+t-Djt>`J*F4tOewUcOIk=_^N@-4ZP9o7B z8(#ji@o^qI$z*Jly&nz`009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X z009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH z0T2KI5C8!X009sH0T2KI5cnS#h;^gfj#*<*5{qoOxI~&BKZL>pJ><^VpI?!tC*0|7 zfosFN!^e=5&~p+EACw(}nm}fFv7U`2RdPpSONFtZqa~%>K3OwyAKHlpxy86toi9|+ zoyNMgy#7$@8+z{QXew2rnXDV!h3yns>&Hw-7Y(2`eXP%=h-jS_4Uk&PW4p4gXZsu$ zaKD(_k}_8k5$nd{OLBNoUh9=ek-zv@**HgSi3J=##>51HOdl7stLX=(z;@e=c;;^_CXf>CV3j`&A?)*I5c;kr3R*iYFd|7@| z7iy26Rq48Z(Q}Po89$LqQHhOR<9URLCvi5N&FAeP$+nUd<%SWtfY3OHyVLSgLG2^5 zKErO8@dl|T-l#`O1$I0euctjOFHfw9PJgmX@j6g~YS~NpkEoVr=HmokhfbR&Q7Ip7 zK-vx)D{kJZru*jd0X}Khm{v7T5M<3nK8wSS463Gni}mIt#xgNgDwd$rNEo5BNh6nF z(^y#{`A{t@@u-Bbl8mJU@x22d>9b6wO)QJZX=}beQ-r!d)n{3ppDf@KbXio*UgFa) zRr3LNI>PqXBa}MQR+YBEg%wwnv#DkA3hU3XW?5KurvpuTk-&D49Er%}la*Oqlp$s{uLlrA1DsqFlz~yFJ6XHq6EQ>x& zs#u+xt>O@S84Ww5%ss_7WepXvYYgW~*OT$1 zNuTLD!A@FYk1=rchi;5Q(emwV2(Gh!Y0^fH74%Ql$_voJKuT+|JcH5-YM#pZ@uFZ#lV%#I%K?joBF(~cqUZs+9qLb`d2yMa$8 z*0(t=zTA-*jr>g(x!#Nw2|VjhV4=1-RqG)KBb4@9>IzXyV7bGEPfK;^WxDwj22Qvp zV^-ArHj_6rSHI^3dJ)(5FO7P4x}Rqga>q&5A7s^7%UOSj6Z5Rk{vnA578}{QD$&Gq zcDtzzNOIzP)YW&j;EY+bbt_rUqZipb7>Uw(M7L}7>~59h)rKvx&HH?Z(E(nKt?iNx zaSzv?Vr$guBCFd@5kq}Ms!SB?y-7C5dT}y!zMAWo?q&1vwxDN_k8)|PjMX7UiE_I` znbyG7p=a!?x;z1i=i;`sP64wusBPV0qQ@92`2t@DZJ9U|&a_IFiKMQTLn=^Cq;?GB06 zbf@Op#y?#z8Z(l`4@sLMoKf*YEq~;8hgLt`a5}Vtd-ovD>#4Q%6fgma~H^R`S0| zWh{4tB;$2*C_7Ax*y`sSQP;DnF31_#e;D1z*4`q)TPR7$qd#F5t3(eB+M0f-1)N`XdHpmwe_6H0?6W)HI9-M&y2!H?xfB*=900@8p2!H?x zfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=9 z00@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p z2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!O!*cQJeDkm8 z=X3S1RLY*`$k=#aGQHe(u5`TI^G_7_a{HHGf1Py+UY~9RnFF&8Ff2Gn~ z{E6B}xRh~u()>sh)tjh1|NgH#pWhGGo@Otk=< 8, + VOLUMEBITS => 8, + INPUTS => 16, + OUTPUTS => 4, + REGISTERED_INPUTS => false, + ROUNDUP => true + ) port map ( wb_clk_i => wb_clk_i, wb_rst_i => wb_rst_i, @@ -912,7 +979,29 @@ begin wb_cyc_i => slot_cyc(8), wb_stb_i => slot_stb(8), wb_ack_o => slot_ack(8), - wb_inta_o => slot_interrupt(8) + wb_inta_o => slot_interrupt(8), + + input0 => signed(sid_audio_data(7 downto 0)), + input1 => signed(ym2149_audio_dac(7 downto 0)), + input2 => signed(sigmadelta_raw(7 downto 0)), + input3 => dummy, + input4 => dummy, + input5 => dummy, + input6 => dummy, + input7 => dummy, + input8 => dummy, + input9 => dummy, + input10 => dummy, + input11 => dummy, + input12 => dummy, + input13 => dummy, + input14 => dummy, + input15 => dummy, + + output0 => mixer_out1, + output1 => mixer_out2, + output2 => mixer_out3, + output3 => mixer_out4 ); sram_inst: sdram_ctrl @@ -1088,18 +1177,29 @@ slot9: zpuino_empty_device ym2149_audio_dac <= ym2149_audio_data & "0000000000"; - mixer: zpuino_io_audiomixer - port map ( - clk => wb_clk_i, - rst => wb_rst_i, - ena => '1', + -- mixer: zpuino_io_audiomixer + -- port map ( + -- clk => wb_clk_i, + -- rst => wb_rst_i, + -- ena => '1', - data_in1 => sid_audio_data, - data_in2 => ym2149_audio_dac, - data_in3 => sigmadelta_raw, + -- data_in1 => sid_audio_data, + -- data_in2 => ym2149_audio_dac, + -- data_in3 => sigmadelta_raw, - audio_out => platform_audio_sd - ); + -- audio_out => platform_audio_sd + -- ); + + sdo: simple_sigmadelta + generic map ( + BITS => 8 + ) + port map ( + clk => wb_clk_i, + rst => wb_rst_i, + data_in => std_logic_vector(mixer_out1), + data_out => platform_audio_sd1 + ); process(gpio_spp_read, spi_pf_mosi, spi_pf_sck, sigmadelta_spp_data,timers_pwm, @@ -1114,9 +1214,12 @@ slot9: zpuino_empty_device gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1 gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK - gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA + --gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA - gpio_spp_data(8) <= platform_audio_sd; + gpio_spp_data(8) <= platform_audio_sd1; + -- gpio_spp_data(9) <= platform_audio_sd2; + -- gpio_spp_data(10) <= platform_audio_sd3; + -- gpio_spp_data(11) <= platform_audio_sd4; -- PPS inputs spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/Makefile b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/Makefile deleted file mode 100644 index 185ba130..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/Makefile +++ /dev/null @@ -1,42 +0,0 @@ -PROJECT=papilio_one -PART=xc3s500e-vq100-4 - -# For bootloader -BOARD=PAPILIO_ONE -SIZE=16384 -DEFINES="-D__S3E_500__ -DBOARD_ID=0xA4010E01 -DBOARD_MEMORYSIZE=0x4000 -DCLK_FREQ=92000000" - -BOOTPATH=../../../../../ - -all: ${PROJECT}_routed.bit ${PROJECT}_routed.bin - -prom-generic-dp-32.vhd: - $(MAKE) -C $(BOOTPATH)bootloader BOARD=$(BOARD) SIZE=$(SIZE) DEFINES=$(DEFINES) - cp $(BOOTPATH)bootloader/prom-generic-dp-32.vhd . - -${PROJECT}.ngc: prom-generic-dp-32.vhd - mkdir -p xst/projnav.tmp/ - xst -intstyle ise -ifn ${PROJECT}.xst -ofn ${PROJECT}.syr - -${PROJECT}.ngd: ${PROJECT}.ngc - ngdbuild -intstyle ise -dd _ngo -nt timestamp \ - -uc ${PROJECT}.ucf -p ${PART} ${PROJECT}.ngc ${PROJECT}.ngd - -${PROJECT}.ncd: ${PROJECT}.ngd - map -intstyle ise -p ${PART} \ - -cm speed -detail -ir off -ignore_keep_hierarchy -pr b -register_duplication on \ - -timing -ol high -logic_opt on \ - -o ${PROJECT}.ncd ${PROJECT}.ngd ${PROJECT}.pcf - -${PROJECT}_routed.ncd: ${PROJECT}.ncd - par -w -intstyle ise -ol high -t 1 ${PROJECT}.ncd ${PROJECT}_routed.ncd ${PROJECT}.pcf - -${PROJECT}_routed.bit: ${PROJECT}_routed.ncd - bitgen -f ${PROJECT}.ut ${PROJECT}_routed.ncd - -${PROJECT}_routed.bin: ${PROJECT}_routed.bit - promgen -w -spi -p bin -o ${PROJECT}_routed.bin -s 1024 -u 0 ${PROJECT}_routed.bit - -clean: - @rm -rf ${PROJECT}.{ngc,ngd,ncd,_routed.ncd,pcf,bit,_routed.bit} - $(MAKE) -C $(BOOTPATH)bootloader clean diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/clkgen.vhd b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/clkgen.vhd deleted file mode 100644 index 0ebd12af..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/clkgen.vhd +++ /dev/null @@ -1,205 +0,0 @@ --- --- System Clock generator for ZPUINO (papilio one) --- --- Copyright 2010 Alvaro Lopes --- --- Version: 1.0 --- --- The FreeBSD license --- --- Redistribution and use in source and binary forms, with or without --- modification, are permitted provided that the following conditions --- are met: --- --- 1. Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- 2. Redistributions in binary form must reproduce the above --- copyright notice, this list of conditions and the following --- disclaimer in the documentation and/or other materials --- provided with the distribution. --- --- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY --- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE --- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, --- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES --- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS --- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) --- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF --- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. --- --- - -library IEEE; -use IEEE.std_logic_1164.all; -use IEEE.std_logic_unsigned.all; -use ieee.numeric_std.all; - -library UNISIM; -use UNISIM.VCOMPONENTS.all; - -entity clkgen is - port ( - clkin: in std_logic; - rstin: in std_logic; - clkout: out std_logic; - vgaclkout: out std_logic; - rstout: out std_logic - ); -end entity clkgen; - -architecture behave of clkgen is - -signal dcmlocked: std_logic; -signal dcmclock: std_logic; - -signal rst1_q: std_logic; -signal rst2_q: std_logic; -signal clkout_i: std_logic; -signal clkin_i: std_logic; -signal clkin_i_2: std_logic; -signal clkfb: std_logic; -signal clk0: std_logic; - -signal vgaclk_0_b, vgaclk_fb, vgaclk_fx_b, vgaclk_in: std_logic; - -begin - - clkout <= clkout_i; - - rstout <= rst1_q; - - process(dcmlocked, clkout_i, rstin) - begin - if dcmlocked='0' or rstin='1' then - rst1_q <= '1'; - rst2_q <= '1'; - else - if rising_edge(clkout_i) then - rst1_q <= rst2_q; - rst2_q <= '0'; - end if; - end if; - end process; - - -- Clock buffers - - clkfx_inst: BUFG - port map ( - I => dcmclock, - O => clkout_i - ); - - clkin_inst: IBUFG - port map ( - I => clkin, - O => clkin_i - ); - - clkin2_inst: BUFG - port map ( - I => clkin_i, - O => clkin_i_2 - ); - - --clkfb_inst: BUFG - -- port map ( - -- I=> clk0, - -- O=> clkfb - -- ); - - -DCM_inst : DCM - generic map ( - CLKDV_DIVIDE => 2.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5,7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0 - CLKFX_DIVIDE => 8, -- Can be any integer from 1 to 32 - CLKFX_MULTIPLY => 23, -- Can be any integer from 1 to 32 - CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by two feature - CLKIN_PERIOD => 31.25, -- Specify period of input clock - CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift of NONE, FIXED or VARIABLE - CLK_FEEDBACK => "NONE", -- Specify clock feedback of NONE, 1X or 2X - DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or an integer from 0 to 15 - DFS_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for frequency synthesis - DLL_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for DLL - DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE - FACTORY_JF => X"C080", -- FACTORY JF Values - PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 255 - STARTUP_WAIT => FALSE -- Delay configuration DONE until DCM LOCK, TRUE/FALSE - ) - port map ( - CLK0 => open,--clk0, -- 0 degree DCM CLK ouptput - CLK180 => open, -- 180 degree DCM CLK output - CLK270 => open, -- 270 degree DCM CLK output - CLK2X => open, -- 2X DCM CLK output - CLK2X180 => open, -- 2X, 180 degree DCM CLK out - CLK90 => open, -- 90 degree DCM CLK output - CLKDV => open, -- Divided DCM CLK out (CLKDV_DIVIDE) - CLKFX => dcmclock, -- DCM CLK synthesis out (M/D) - CLKFX180 => open, -- 180 degree CLK synthesis out - LOCKED => dcmlocked, -- DCM LOCK status output - PSDONE => open, -- Dynamic phase adjust done output - STATUS => open, -- 8-bit DCM status bits output - CLKFB => '0',--clkfb, -- DCM clock feedback - CLKIN => clkin_i, -- Clock input (from IBUFG, BUFG or DCM) - PSCLK => '0', -- Dynamic phase adjust clock input - PSEN => '0', -- Dynamic phase adjust enable input - PSINCDEC => '0', -- Dynamic phase adjust increment/decrement - RST => '0' -- DCM asynchronous reset input - ); - - vgaclkfx_inst: BUFG - port map ( - I => vgaclk_fx_b, - O => vgaclkout - ); - - --vgaclkfb_inst: BUFG - -- port map ( - -- I=> vgaclk_0_b, - -- O=> vgaclk_fb - -- ); - - - VGADCM_inst : DCM -- Generate 50Mhz - generic map ( - CLKDV_DIVIDE => 2.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5,7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0 - CLKFX_DIVIDE => 16,--8, -- Can be any integer from 1 to 32 - CLKFX_MULTIPLY => 25,--23, -- Can be any integer from 1 to 32 - CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by two feature - CLKIN_PERIOD => 31.25, -- Specify period of input clock - CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift of NONE, FIXED or VARIABLE - CLK_FEEDBACK => "NONE", -- Specify clock feedback of NONE, 1X or 2X - DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or an integer from 0 to 15 - DFS_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for frequency synthesis - DLL_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for DLL - DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE - FACTORY_JF => X"C080", -- FACTORY JF Values - PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 255 - STARTUP_WAIT => FALSE -- Delay configuration DONE until DCM LOCK, TRUE/FALSE - ) - port map ( - CLK0 => open,--vgaclk_0_b, -- 0 degree DCM CLK ouptput - CLK180 => open, -- 180 degree DCM CLK output - CLK270 => open, -- 270 degree DCM CLK output - CLK2X => open, -- 2X DCM CLK output - CLK2X180 => open, -- 2X, 180 degree DCM CLK out - CLK90 => open, -- 90 degree DCM CLK output - CLKDV => open, -- Divided DCM CLK out (CLKDV_DIVIDE) - CLKFX => vgaclk_fx_b, -- DCM CLK synthesis out (M/D) - CLKFX180 => open, -- 180 degree CLK synthesis out - LOCKED => open,--dcmlocked_b, -- DCM LOCK status output - PSDONE => open, -- Dynamic phase adjust done output - STATUS => open, -- 8-bit DCM status bits output - CLKFB => '0',--vgaclk_fb, -- DCM clock feedback - CLKIN => clkin_i_2, -- Clock input (from IBUFG, BUFG or DCM) - PSCLK => '0', -- Dynamic phase adjust clock input - PSEN => '0', -- Dynamic phase adjust enable input - PSINCDEC => '0', -- Dynamic phase adjust increment/decrement - RST => '0' -- DCM asynchronous reset input - ); - - -end behave; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.edif b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.edif deleted file mode 100644 index 763e8531..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.edif +++ /dev/null @@ -1,99350 +0,0 @@ -(edif papilio_one_top - (edifVersion 2 0 0) - (edifLevel 0) - (keywordMap (keywordLevel 0)) - (status - (written - (timestamp 2012 6 6 19 14 22) - (program "Xilinx ngc2edif" (version "P.15xf")) - (author "Xilinx. Inc ") - (comment "This EDIF netlist is to be used within supported synthesis tools") - (comment "for determining resource/timing estimates of the design component") - (comment "represented by this netlist.") - (comment "Command line: -w papilio_one.ngc papilio_one.edif "))) - (external UNISIMS - (edifLevel 0) - (technology (numberDefinition)) - (cell GND - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port G - (direction OUTPUT) - ) - ) - ) - ) - (cell VCC - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port P - (direction OUTPUT) - ) - ) - ) - ) - (cell FDR - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port R - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDRE - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port R - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDE - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FD - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDP - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port PRE - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDSE - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDRS - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port R - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT3 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell MUXCY - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CI - (direction INPUT) - ) - (port DI - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell XORCY - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CI - (direction INPUT) - ) - (port LI - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell MULT18X18SIO - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CEA - (direction INPUT) - ) - (port CEB - (direction INPUT) - ) - (port CEP - (direction INPUT) - ) - (port CLK - (direction INPUT) - ) - (port RSTA - (direction INPUT) - ) - (port RSTB - (direction INPUT) - ) - (port RSTP - (direction INPUT) - ) - (port (array (rename A "A<17:0>") 18) - (direction INPUT)) - (port (array (rename B "B<17:0>") 18) - (direction INPUT)) - (port (array (rename BCIN "BCIN<17:0>") 18) - (direction INPUT)) - (port (array (rename P "P<35:0>") 36) - (direction OUTPUT)) - (port (array (rename BCOUT "BCOUT<17:0>") 18) - (direction OUTPUT)) - ) - ) - ) - (cell FDRSE - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port R - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT2 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT4 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port I3 - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell MUXF6 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell MUXF5 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell OBUF - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell FDS - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell DCM_SP - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKIN - (direction INPUT) - ) - (port CLKFB - (direction INPUT) - ) - (port RST - (direction INPUT) - ) - (port DSSEN - (direction INPUT) - ) - (port PSINCDEC - (direction INPUT) - ) - (port PSEN - (direction INPUT) - ) - (port PSCLK - (direction INPUT) - ) - (port CLK0 - (direction OUTPUT) - ) - (port CLK90 - (direction OUTPUT) - ) - (port CLK180 - (direction OUTPUT) - ) - (port CLK270 - (direction OUTPUT) - ) - (port CLK2X - (direction OUTPUT) - ) - (port CLK2X180 - (direction OUTPUT) - ) - (port CLKDV - (direction OUTPUT) - ) - (port CLKFX - (direction OUTPUT) - ) - (port CLKFX180 - (direction OUTPUT) - ) - (port LOCKED - (direction OUTPUT) - ) - (port PSDONE - (direction OUTPUT) - ) - (port (rename STATUS_7_ "STATUS<7>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 0) (owner "Xilinx")) - ) - (port (rename STATUS_6_ "STATUS<6>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 1) (owner "Xilinx")) - ) - (port (rename STATUS_5_ "STATUS<5>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 2) (owner "Xilinx")) - ) - (port (rename STATUS_4_ "STATUS<4>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 3) (owner "Xilinx")) - ) - (port (rename STATUS_3_ "STATUS<3>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 4) (owner "Xilinx")) - ) - (port (rename STATUS_2_ "STATUS<2>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 5) (owner "Xilinx")) - ) - (port (rename STATUS_1_ "STATUS<1>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 6) (owner "Xilinx")) - ) - (port (rename STATUS_0_ "STATUS<0>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 7) (owner "Xilinx")) - ) - ) - ) - ) - (cell BUFG - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell IBUFG - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell RAM16X1D - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port A0 - (direction INPUT) - ) - (port A1 - (direction INPUT) - ) - (port A2 - (direction INPUT) - ) - (port A3 - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port DPRA0 - (direction INPUT) - ) - (port DPRA1 - (direction INPUT) - ) - (port DPRA2 - (direction INPUT) - ) - (port DPRA3 - (direction INPUT) - ) - (port WCLK - (direction INPUT) - ) - (port WE - (direction INPUT) - ) - (port SPO - (direction OUTPUT) - ) - (port DPO - (direction OUTPUT) - ) - ) - ) - ) - (cell IBUF - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT1 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell INV - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell IOBUF - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port T - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - (port IO - (direction OUTPUT) - ) - ) - ) - ) - (cell RAMB16_S4_S4 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKA - (direction INPUT) - ) - (port CLKB - (direction INPUT) - ) - (port ENA - (direction INPUT) - ) - (port ENB - (direction INPUT) - ) - (port SSRA - (direction INPUT) - ) - (port SSRB - (direction INPUT) - ) - (port WEA - (direction INPUT) - ) - (port WEB - (direction INPUT) - ) - (port (array (rename ADDRA "ADDRA<11:0>") 12) - (direction INPUT)) - (port (array (rename ADDRB "ADDRB<11:0>") 12) - (direction INPUT)) - (port (array (rename DIA "DIA<3:0>") 4) - (direction INPUT)) - (port (array (rename DIB "DIB<3:0>") 4) - (direction INPUT)) - (port (array (rename DOA "DOA<3:0>") 4) - (direction OUTPUT)) - (port (array (rename DOB "DOB<3:0>") 4) - (direction OUTPUT)) - ) - ) - ) - (cell RAMB16_S1_S1 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKA - (direction INPUT) - ) - (port CLKB - (direction INPUT) - ) - (port ENA - (direction INPUT) - ) - (port ENB - (direction INPUT) - ) - (port SSRA - (direction INPUT) - ) - (port SSRB - (direction INPUT) - ) - (port WEA - (direction INPUT) - ) - (port WEB - (direction INPUT) - ) - (port (array (rename ADDRA "ADDRA<13:0>") 14) - (direction INPUT)) - (port (array (rename ADDRB "ADDRB<13:0>") 14) - (direction INPUT)) - (port (array (rename DIA "DIA<0:0>") 1) - (direction INPUT)) - (port (array (rename DIB "DIB<0:0>") 1) - (direction INPUT)) - (port (array (rename DOA "DOA<0:0>") 1) - (direction OUTPUT)) - (port (array (rename DOB "DOB<0:0>") 1) - (direction OUTPUT)) - ) - ) - ) - (cell RAMB16_S36_S36 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKA - (direction INPUT) - ) - (port CLKB - (direction INPUT) - ) - (port ENA - (direction INPUT) - ) - (port ENB - (direction INPUT) - ) - (port SSRA - (direction INPUT) - ) - (port SSRB - (direction INPUT) - ) - (port WEA - (direction INPUT) - ) - (port WEB - (direction INPUT) - ) - (port (array (rename ADDRA "ADDRA<8:0>") 9) - (direction INPUT)) - (port (array (rename ADDRB "ADDRB<8:0>") 9) - (direction INPUT)) - (port (array (rename DIA "DIA<31:0>") 32) - (direction INPUT)) - (port (array (rename DIB "DIB<31:0>") 32) - (direction INPUT)) - (port (array (rename DIPA "DIPA<3:0>") 4) - (direction INPUT)) - (port (array (rename DIPB "DIPB<3:0>") 4) - (direction INPUT)) - (port (array (rename DOA "DOA<31:0>") 32) - (direction OUTPUT)) - (port (array (rename DOPA "DOPA<3:0>") 4) - (direction OUTPUT)) - (port (array (rename DOB "DOB<31:0>") 32) - (direction OUTPUT)) - (port (array (rename DOPB "DOPB<3:0>") 4) - (direction OUTPUT)) - ) - ) - ) - (cell RAMB16_S9_S9 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKA - (direction INPUT) - ) - (port CLKB - (direction INPUT) - ) - (port ENA - (direction INPUT) - ) - (port ENB - (direction INPUT) - ) - (port SSRA - (direction INPUT) - ) - (port SSRB - (direction INPUT) - ) - (port WEA - (direction INPUT) - ) - (port WEB - (direction INPUT) - ) - (port (array (rename ADDRA "ADDRA<10:0>") 11) - (direction INPUT)) - (port (array (rename ADDRB "ADDRB<10:0>") 11) - (direction INPUT)) - (port (array (rename DIA "DIA<7:0>") 8) - (direction INPUT)) - (port (array (rename DIB "DIB<7:0>") 8) - (direction INPUT)) - (port (array (rename DIPA "DIPA<0:0>") 1) - (direction INPUT)) - (port (array (rename DIPB "DIPB<0:0>") 1) - (direction INPUT)) - (port (array (rename DOA "DOA<7:0>") 8) - (direction OUTPUT)) - (port (array (rename DOPA "DOPA<0:0>") 1) - (direction OUTPUT)) - (port (array (rename DOB "DOB<7:0>") 8) - (direction OUTPUT)) - (port (array (rename DOPB "DOPB<0:0>") 1) - (direction OUTPUT)) - ) - ) - ) - (cell LUT4_L - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port I3 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT3_D - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT4_D - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port I3 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT3_L - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT2_D - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT2_L - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - ) - ) - ) - (cell SRL16E - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port A0 - (direction INPUT) - ) - (port A1 - (direction INPUT) - ) - (port A2 - (direction INPUT) - ) - (port A3 - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port CLK - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell SRL16 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port A0 - (direction INPUT) - ) - (port A1 - (direction INPUT) - ) - (port A2 - (direction INPUT) - ) - (port A3 - (direction INPUT) - ) - (port CLK - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - ) - - (library papilio_one_lib - (edifLevel 0) - (technology (numberDefinition)) - (cell papilio_one_top - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port SPI_CS - (direction INOUT) - ) - (port SPI_MISO - (direction INPUT) - ) - (port CLK - (direction INPUT) - ) - (port RXD - (direction INPUT) - ) - (port TXD - (direction OUTPUT) - ) - (port SPI_SCK - (direction OUTPUT) - ) - (port SPI_MOSI - (direction OUTPUT) - ) - (port (array (rename WING_B "WING_B<15:0>") 16) - (direction INOUT)) - (port (array (rename WING_C "WING_C<15:0>") 16) - (direction INOUT)) - (port (array (rename WING_A "WING_A<15:0>") 16) - (direction INOUT)) - (designator "xc3s500e-4-vq100") - (property TYPE (string "papilio_one_top") (owner "Xilinx")) - (property BUS_INFO (string "16:INOUT:WING_B<15:0>") (owner "Xilinx")) - (property BUS_INFO (string "16:INOUT:WING_C<15:0>") (owner "Xilinx")) - (property BUS_INFO (string "16:INOUT:WING_A<15:0>") (owner "Xilinx")) - (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx")) - (property NLW_MACRO_TAG (integer 0) (owner "Xilinx")) - (property NLW_MULTI_DIMENSIONAL (boolean (true)) (owner "Xilinx")) - (property NLW_MACRO_ALIAS (string "papilio_one_top_papilio_one_top") (owner "Xilinx")) - ) - (contents - (instance XST_GND - (viewRef view_1 (cellRef GND (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance XST_VCC - (viewRef view_1 (cellRef VCC (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_v_display_renamed_0 "slot9/v_display") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramregs_do_wait_renamed_1 "zpuino/memory/ramregs.do_wait") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_do_wait_renamed_2 "zpuino/memory/rom_do_wait") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_stb_renamed_3 "zpuino/io/io_stb") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_0 "zpuino/io/wb_dat_o_0") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_1 "zpuino/io/wb_dat_o_1") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_2 "zpuino/io/wb_dat_o_2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_3 "zpuino/io/wb_dat_o_3") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_4 "zpuino/io/wb_dat_o_4") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_5 "zpuino/io/wb_dat_o_5") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_6 "zpuino/io/wb_dat_o_6") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_7 "zpuino/io/wb_dat_o_7") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_8 "zpuino/io/wb_dat_o_8") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_9 "zpuino/io/wb_dat_o_9") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_10 "zpuino/io/wb_dat_o_10") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_11 "zpuino/io/wb_dat_o_11") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_12 "zpuino/io/wb_dat_o_12") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_13 "zpuino/io/wb_dat_o_13") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_14 "zpuino/io/wb_dat_o_14") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_15 "zpuino/io/wb_dat_o_15") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_16 "zpuino/io/wb_dat_o_16") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_17 "zpuino/io/wb_dat_o_17") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_18 "zpuino/io/wb_dat_o_18") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_19 "zpuino/io/wb_dat_o_19") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_20 "zpuino/io/wb_dat_o_20") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_21 "zpuino/io/wb_dat_o_21") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_22 "zpuino/io/wb_dat_o_22") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_23 "zpuino/io/wb_dat_o_23") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_24 "zpuino/io/wb_dat_o_24") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_25 "zpuino/io/wb_dat_o_25") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_26 "zpuino/io/wb_dat_o_26") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_27 "zpuino/io/wb_dat_o_27") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_28 "zpuino/io/wb_dat_o_28") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_29 "zpuino/io/wb_dat_o_29") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_30 "zpuino/io/wb_dat_o_30") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_31 "zpuino/io/wb_dat_o_31") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_in_transaction_renamed_4 "zpuino/io/wb_in_transaction") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_recompute_sp_renamed_5 "zpuino/core/prefr.recompute_sp") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_r_0 "slot9/vga_r_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_r_1 "slot9/vga_r_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_r_2 "slot9/vga_r_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_g_0 "slot9/vga_g_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_g_1 "slot9/vga_g_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_g_2 "slot9/vga_g_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_b_0 "slot9/vga_b_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_b_1 "slot9/vga_b_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_addrh_q_renamed_6 "slot9/ram/v_addrh_q") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_rstq2_renamed_7 "slot9/rstq2") - (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_read_ended_renamed_8 "slot9/read_ended") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_wb_ack_o_renamed_9 "zpuino/memory/rom_wb_ack_o") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_ack_o_renamed_10 "zpuino/io/wb_ack_o") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_1_renamed_11 "zpuino/core/decr.decodedOpcode_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_3_renamed_12 "zpuino/core/decr.decodedOpcode_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_4_renamed_13 "zpuino/core/decr.decodedOpcode_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_5_renamed_14 "zpuino/core/decr.decodedOpcode_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_6_renamed_15 "zpuino/core/decr.decodedOpcode_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_8_renamed_16 "zpuino/core/decr.decodedOpcode_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_9_renamed_17 "zpuino/core/decr.decodedOpcode_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_12_renamed_18 "zpuino/core/decr.decodedOpcode_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_14_renamed_19 "zpuino/core/decr.decodedOpcode_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_15_renamed_20 "zpuino/core/decr.decodedOpcode_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_19_renamed_21 "zpuino/core/decr.decodedOpcode_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_22_renamed_22 "zpuino/core/decr.decodedOpcode_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_23_renamed_23 "zpuino/core/decr.decodedOpcode_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_24_renamed_24 "zpuino/core/decr.decodedOpcode_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_25_renamed_25 "zpuino/core/decr.decodedOpcode_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_27_renamed_26 "zpuino/core/decr.decodedOpcode_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_30_renamed_27 "zpuino/core/decr.decodedOpcode_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_31_renamed_28 "zpuino/core/decr.decodedOpcode_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_32_renamed_29 "zpuino/core/decr.decodedOpcode_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_33_renamed_30 "zpuino/core/decr.decodedOpcode_33") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_0_renamed_31 "zpuino/core/decr.pcint_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_1_renamed_32 "zpuino/core/decr.pcint_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_2_renamed_33 "zpuino/core/decr.pcint_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_3_renamed_34 "zpuino/core/decr.pcint_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_4_renamed_35 "zpuino/core/decr.pcint_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_5_renamed_36 "zpuino/core/decr.pcint_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_6_renamed_37 "zpuino/core/decr.pcint_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_7_renamed_38 "zpuino/core/decr.pcint_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_8_renamed_39 "zpuino/core/decr.pcint_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_9_renamed_40 "zpuino/core/decr.pcint_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_10_renamed_41 "zpuino/core/decr.pcint_10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_11_renamed_42 "zpuino/core/decr.pcint_11") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_12_renamed_43 "zpuino/core/decr.pcint_12") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_13_renamed_44 "zpuino/core/decr.pcint_13") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_renamed_45 "zpuino/core/decr.break") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_0_renamed_46 "zpuino/core/exr.tos_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_1_renamed_47 "zpuino/core/exr.tos_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_2_renamed_48 "zpuino/core/exr.tos_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_3_renamed_49 "zpuino/core/exr.tos_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_4_renamed_50 "zpuino/core/exr.tos_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_5_renamed_51 "zpuino/core/exr.tos_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_6_renamed_52 "zpuino/core/exr.tos_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_7_renamed_53 "zpuino/core/exr.tos_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_8_renamed_54 "zpuino/core/exr.tos_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_9_renamed_55 "zpuino/core/exr.tos_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_10_renamed_56 "zpuino/core/exr.tos_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_11_renamed_57 "zpuino/core/exr.tos_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_12_renamed_58 "zpuino/core/exr.tos_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_13_renamed_59 "zpuino/core/exr.tos_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_14_renamed_60 "zpuino/core/exr.tos_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_15_renamed_61 "zpuino/core/exr.tos_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_16_renamed_62 "zpuino/core/exr.tos_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_17_renamed_63 "zpuino/core/exr.tos_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_18_renamed_64 "zpuino/core/exr.tos_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_19_renamed_65 "zpuino/core/exr.tos_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_20_renamed_66 "zpuino/core/exr.tos_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_21_renamed_67 "zpuino/core/exr.tos_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_22_renamed_68 "zpuino/core/exr.tos_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_23_renamed_69 "zpuino/core/exr.tos_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_24_renamed_70 "zpuino/core/exr.tos_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_25_renamed_71 "zpuino/core/exr.tos_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_26_renamed_72 "zpuino/core/exr.tos_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_27_renamed_73 "zpuino/core/exr.tos_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_28_renamed_74 "zpuino/core/exr.tos_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_29_renamed_75 "zpuino/core/exr.tos_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_30_renamed_76 "zpuino/core/exr.tos_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_31_renamed_77 "zpuino/core/exr.tos_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_we_renamed_78 "zpuino/core/exr.wb_we") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_valid_renamed_79 "zpuino/core/decr.valid") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_im_renamed_80 "zpuino/core/decr.im") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_0_renamed_81 "zpuino/core/decr.fetchpc_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_1_renamed_82 "zpuino/core/decr.fetchpc_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_2_renamed_83 "zpuino/core/decr.fetchpc_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_3_renamed_84 "zpuino/core/decr.fetchpc_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_4_renamed_85 "zpuino/core/decr.fetchpc_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_5_renamed_86 "zpuino/core/decr.fetchpc_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_6_renamed_87 "zpuino/core/decr.fetchpc_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_7_renamed_88 "zpuino/core/decr.fetchpc_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_8_renamed_89 "zpuino/core/decr.fetchpc_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_9_renamed_90 "zpuino/core/decr.fetchpc_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_10_renamed_91 "zpuino/core/decr.fetchpc_10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_11_renamed_92 "zpuino/core/decr.fetchpc_11") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_12_renamed_93 "zpuino/core/decr.fetchpc_12") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_13_renamed_94 "zpuino/core/decr.fetchpc_13") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_0_renamed_95 "zpuino/core/exr.nos_save_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_1_renamed_96 "zpuino/core/exr.nos_save_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_2_renamed_97 "zpuino/core/exr.nos_save_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_3_renamed_98 "zpuino/core/exr.nos_save_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_4_renamed_99 "zpuino/core/exr.nos_save_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_5_renamed_100 "zpuino/core/exr.nos_save_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_6_renamed_101 "zpuino/core/exr.nos_save_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_7_renamed_102 "zpuino/core/exr.nos_save_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_8_renamed_103 "zpuino/core/exr.nos_save_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_9_renamed_104 "zpuino/core/exr.nos_save_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_10_renamed_105 "zpuino/core/exr.nos_save_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_11_renamed_106 "zpuino/core/exr.nos_save_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_12_renamed_107 "zpuino/core/exr.nos_save_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_13_renamed_108 "zpuino/core/exr.nos_save_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_14_renamed_109 "zpuino/core/exr.nos_save_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_15_renamed_110 "zpuino/core/exr.nos_save_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_16_renamed_111 "zpuino/core/exr.nos_save_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_17_renamed_112 "zpuino/core/exr.nos_save_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_18_renamed_113 "zpuino/core/exr.nos_save_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_19_renamed_114 "zpuino/core/exr.nos_save_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_20_renamed_115 "zpuino/core/exr.nos_save_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_21_renamed_116 "zpuino/core/exr.nos_save_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_22_renamed_117 "zpuino/core/exr.nos_save_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_23_renamed_118 "zpuino/core/exr.nos_save_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_24_renamed_119 "zpuino/core/exr.nos_save_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_25_renamed_120 "zpuino/core/exr.nos_save_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_26_renamed_121 "zpuino/core/exr.nos_save_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_27_renamed_122 "zpuino/core/exr.nos_save_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_28_renamed_123 "zpuino/core/exr.nos_save_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_29_renamed_124 "zpuino/core/exr.nos_save_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_30_renamed_125 "zpuino/core/exr.nos_save_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_31_renamed_126 "zpuino/core/exr.nos_save_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_renamed_127 "zpuino/core/wroteback_q") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_0_renamed_128 "zpuino/core/decr.spOffset_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_1_renamed_129 "zpuino/core/decr.spOffset_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_2_renamed_130 "zpuino/core/decr.spOffset_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_3_renamed_131 "zpuino/core/decr.spOffset_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_4_renamed_132 "zpuino/core/decr.spOffset_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_0_renamed_133 "zpuino/core/decr.opcode_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_1_renamed_134 "zpuino/core/decr.opcode_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_2_renamed_135 "zpuino/core/decr.opcode_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_3_renamed_136 "zpuino/core/decr.opcode_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_4_renamed_137 "zpuino/core/decr.opcode_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_5_renamed_138 "zpuino/core/decr.opcode_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_6_renamed_139 "zpuino/core/decr.opcode_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_renamed_140 "zpuino/core/decr.opWillFreeze") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_2_renamed_141 "zpuino/core/prefr.spnext_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_3_renamed_142 "zpuino/core/prefr.spnext_3") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_4_renamed_143 "zpuino/core/prefr.spnext_4") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_5_renamed_144 "zpuino/core/prefr.spnext_5") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_6_renamed_145 "zpuino/core/prefr.spnext_6") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_7_renamed_146 "zpuino/core/prefr.spnext_7") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_8_renamed_147 "zpuino/core/prefr.spnext_8") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_9_renamed_148 "zpuino/core/prefr.spnext_9") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_10_renamed_149 "zpuino/core/prefr.spnext_10") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_1_renamed_150 "zpuino/core/decr.stackOperation_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_2_renamed_151 "zpuino/core/decr.stackOperation_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_3_renamed_152 "zpuino/core/decr.stackOperation_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_0_renamed_153 "zpuino/core/decr.tosSource_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_1_renamed_154 "zpuino/core/decr.tosSource_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_2_renamed_155 "zpuino/core/decr.tosSource_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_3_renamed_156 "zpuino/core/decr.tosSource_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_4_renamed_157 "zpuino/core/decr.tosSource_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_5_renamed_158 "zpuino/core/decr.tosSource_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_6_renamed_159 "zpuino/core/decr.tosSource_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_7_renamed_160 "zpuino/core/decr.tosSource_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_8_renamed_161 "zpuino/core/decr.tosSource_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_9_renamed_162 "zpuino/core/decr.tosSource_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_10_renamed_163 "zpuino/core/decr.tosSource_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_11_renamed_164 "zpuino/core/decr.tosSource_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_12_renamed_165 "zpuino/core/decr.tosSource_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_13_renamed_166 "zpuino/core/decr.tosSource_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_14_renamed_167 "zpuino/core/decr.tosSource_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_15_renamed_168 "zpuino/core/decr.tosSource_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_16_renamed_169 "zpuino/core/decr.tosSource_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_17_renamed_170 "zpuino/core/decr.tosSource_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_renamed_171 "zpuino/core/exr.wb_cyc") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_inInterrupt_renamed_172 "zpuino/core/exr.inInterrupt") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_1 "zpuino/core/shl/d_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_2 "zpuino/core/shl/d_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_rstq1_renamed_173 "slot9/rstq1") - (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_2_renamed_174 "zpuino/core/prefr.sp_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_3_renamed_175 "zpuino/core/prefr.sp_3") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_4_renamed_176 "zpuino/core/prefr.sp_4") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_5_renamed_177 "zpuino/core/prefr.sp_5") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_6_renamed_178 "zpuino/core/prefr.sp_6") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_7_renamed_179 "zpuino/core/prefr.sp_7") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_8_renamed_180 "zpuino/core/prefr.sp_8") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_9_renamed_181 "zpuino/core/prefr.sp_9") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_10_renamed_182 "zpuino/core/prefr.sp_10") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_0_renamed_183 "zpuino/core/decr.pc_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_1_renamed_184 "zpuino/core/decr.pc_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_2_renamed_185 "zpuino/core/decr.pc_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_3_renamed_186 "zpuino/core/decr.pc_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_4_renamed_187 "zpuino/core/decr.pc_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_5_renamed_188 "zpuino/core/decr.pc_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_6_renamed_189 "zpuino/core/decr.pc_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_7_renamed_190 "zpuino/core/decr.pc_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_8_renamed_191 "zpuino/core/decr.pc_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_9_renamed_192 "zpuino/core/decr.pc_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_10_renamed_193 "zpuino/core/decr.pc_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_11_renamed_194 "zpuino/core/decr.pc_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_12_renamed_195 "zpuino/core/decr.pc_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_13_renamed_196 "zpuino/core/decr.pc_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_0_renamed_197 "zpuino/core/prefr.opcode_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_1_renamed_198 "zpuino/core/prefr.opcode_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_2_renamed_199 "zpuino/core/prefr.opcode_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_3_renamed_200 "zpuino/core/prefr.opcode_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_4_renamed_201 "zpuino/core/prefr.opcode_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_5_renamed_202 "zpuino/core/prefr.opcode_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_6_renamed_203 "zpuino/core/prefr.opcode_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_0_renamed_204 "zpuino/core/prefr.tosSource_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_1_renamed_205 "zpuino/core/prefr.tosSource_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_2_renamed_206 "zpuino/core/prefr.tosSource_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_3_renamed_207 "zpuino/core/prefr.tosSource_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_4_renamed_208 "zpuino/core/prefr.tosSource_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_5_renamed_209 "zpuino/core/prefr.tosSource_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_6_renamed_210 "zpuino/core/prefr.tosSource_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_7_renamed_211 "zpuino/core/prefr.tosSource_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_8_renamed_212 "zpuino/core/prefr.tosSource_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_9_renamed_213 "zpuino/core/prefr.tosSource_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_10_renamed_214 "zpuino/core/prefr.tosSource_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_11_renamed_215 "zpuino/core/prefr.tosSource_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_12_renamed_216 "zpuino/core/prefr.tosSource_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_13_renamed_217 "zpuino/core/prefr.tosSource_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_14_renamed_218 "zpuino/core/prefr.tosSource_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_15_renamed_219 "zpuino/core/prefr.tosSource_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_16_renamed_220 "zpuino/core/prefr.tosSource_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_17_renamed_221 "zpuino/core/prefr.tosSource_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_break_renamed_222 "zpuino/core/prefr.break") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_0_renamed_223 "zpuino/core/exr.tos_save_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_1_renamed_224 "zpuino/core/exr.tos_save_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_2_renamed_225 "zpuino/core/exr.tos_save_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_3_renamed_226 "zpuino/core/exr.tos_save_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_4_renamed_227 "zpuino/core/exr.tos_save_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_5_renamed_228 "zpuino/core/exr.tos_save_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_6_renamed_229 "zpuino/core/exr.tos_save_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_7_renamed_230 "zpuino/core/exr.tos_save_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_8_renamed_231 "zpuino/core/exr.tos_save_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_9_renamed_232 "zpuino/core/exr.tos_save_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_10_renamed_233 "zpuino/core/exr.tos_save_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_11_renamed_234 "zpuino/core/exr.tos_save_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_12_renamed_235 "zpuino/core/exr.tos_save_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_13_renamed_236 "zpuino/core/exr.tos_save_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_14_renamed_237 "zpuino/core/exr.tos_save_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_15_renamed_238 "zpuino/core/exr.tos_save_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_16_renamed_239 "zpuino/core/exr.tos_save_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_17_renamed_240 "zpuino/core/exr.tos_save_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_18_renamed_241 "zpuino/core/exr.tos_save_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_19_renamed_242 "zpuino/core/exr.tos_save_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_20_renamed_243 "zpuino/core/exr.tos_save_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_21_renamed_244 "zpuino/core/exr.tos_save_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_22_renamed_245 "zpuino/core/exr.tos_save_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_23_renamed_246 "zpuino/core/exr.tos_save_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_24_renamed_247 "zpuino/core/exr.tos_save_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_25_renamed_248 "zpuino/core/exr.tos_save_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_26_renamed_249 "zpuino/core/exr.tos_save_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_27_renamed_250 "zpuino/core/exr.tos_save_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_28_renamed_251 "zpuino/core/exr.tos_save_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_29_renamed_252 "zpuino/core/exr.tos_save_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_30_renamed_253 "zpuino/core/exr.tos_save_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_31_renamed_254 "zpuino/core/exr.tos_save_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_0_renamed_255 "zpuino/core/prefr.fetchpc_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_1_renamed_256 "zpuino/core/prefr.fetchpc_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_2_renamed_257 "zpuino/core/prefr.fetchpc_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_3_renamed_258 "zpuino/core/prefr.fetchpc_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_4_renamed_259 "zpuino/core/prefr.fetchpc_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_5_renamed_260 "zpuino/core/prefr.fetchpc_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_6_renamed_261 "zpuino/core/prefr.fetchpc_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_7_renamed_262 "zpuino/core/prefr.fetchpc_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_8_renamed_263 "zpuino/core/prefr.fetchpc_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_9_renamed_264 "zpuino/core/prefr.fetchpc_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_10_renamed_265 "zpuino/core/prefr.fetchpc_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_11_renamed_266 "zpuino/core/prefr.fetchpc_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_12_renamed_267 "zpuino/core/prefr.fetchpc_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_13_renamed_268 "zpuino/core/prefr.fetchpc_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_valid_renamed_269 "zpuino/core/prefr.valid") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_1_renamed_270 "zpuino/core/prefr.decodedOpcode_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_3_renamed_271 "zpuino/core/prefr.decodedOpcode_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_4_renamed_272 "zpuino/core/prefr.decodedOpcode_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_5_renamed_273 "zpuino/core/prefr.decodedOpcode_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_6_renamed_274 "zpuino/core/prefr.decodedOpcode_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_8_renamed_275 "zpuino/core/prefr.decodedOpcode_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_9_renamed_276 "zpuino/core/prefr.decodedOpcode_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_12_renamed_277 "zpuino/core/prefr.decodedOpcode_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_14_renamed_278 "zpuino/core/prefr.decodedOpcode_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_15_renamed_279 "zpuino/core/prefr.decodedOpcode_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_19_renamed_280 "zpuino/core/prefr.decodedOpcode_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_22_renamed_281 "zpuino/core/prefr.decodedOpcode_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_23_renamed_282 "zpuino/core/prefr.decodedOpcode_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_24_renamed_283 "zpuino/core/prefr.decodedOpcode_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_25_renamed_284 "zpuino/core/prefr.decodedOpcode_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_27_renamed_285 "zpuino/core/prefr.decodedOpcode_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_30_renamed_286 "zpuino/core/prefr.decodedOpcode_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_31_renamed_287 "zpuino/core/prefr.decodedOpcode_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_32_renamed_288 "zpuino/core/prefr.decodedOpcode_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_33_renamed_289 "zpuino/core/prefr.decodedOpcode_33") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opWillFreeze_renamed_290 "zpuino/core/prefr.opWillFreeze") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_done_renamed_291 "zpuino/core/shl/done") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_renamed_292 "slot9/vga_hsync") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_renamed_293 "slot9/vga_vsync") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_h_sync_tick_renamed_294 "slot9/h_sync_tick") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_0_renamed_295 "zpuino/core/prefr.pc_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_1_renamed_296 "zpuino/core/prefr.pc_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_2_renamed_297 "zpuino/core/prefr.pc_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_3_renamed_298 "zpuino/core/prefr.pc_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_4_renamed_299 "zpuino/core/prefr.pc_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_5_renamed_300 "zpuino/core/prefr.pc_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_6_renamed_301 "zpuino/core/prefr.pc_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_7_renamed_302 "zpuino/core/prefr.pc_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_8_renamed_303 "zpuino/core/prefr.pc_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_9_renamed_304 "zpuino/core/prefr.pc_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_10_renamed_305 "zpuino/core/prefr.pc_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_11_renamed_306 "zpuino/core/prefr.pc_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_12_renamed_307 "zpuino/core/prefr.pc_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_13_renamed_308 "zpuino/core/prefr.pc_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_0 "zpuino/io/write_save_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_1 "zpuino/io/write_save_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_2 "zpuino/io/write_save_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_3 "zpuino/io/write_save_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_4 "zpuino/io/write_save_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_5 "zpuino/io/write_save_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_6 "zpuino/io/write_save_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_7 "zpuino/io/write_save_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_8 "zpuino/io/write_save_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_9 "zpuino/io/write_save_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_10 "zpuino/io/write_save_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_11 "zpuino/io/write_save_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_12 "zpuino/io/write_save_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_13 "zpuino/io/write_save_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_14 "zpuino/io/write_save_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_15 "zpuino/io/write_save_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_16 "zpuino/io/write_save_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_17 "zpuino/io/write_save_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_18 "zpuino/io/write_save_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_19 "zpuino/io/write_save_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_20 "zpuino/io/write_save_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_21 "zpuino/io/write_save_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_22 "zpuino/io/write_save_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_23 "zpuino/io/write_save_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_24 "zpuino/io/write_save_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_25 "zpuino/io/write_save_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_26 "zpuino/io/write_save_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_27 "zpuino/io/write_save_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_28 "zpuino/io/write_save_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_29 "zpuino/io/write_save_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_30 "zpuino/io/write_save_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_31 "zpuino/io/write_save_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_2 "zpuino/io/addr_save_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_3 "zpuino/io/addr_save_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_4 "zpuino/io/addr_save_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_5 "zpuino/io/addr_save_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_6 "zpuino/io/addr_save_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_7 "zpuino/io/addr_save_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_8 "zpuino/io/addr_save_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_9 "zpuino/io/addr_save_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_10 "zpuino/io/addr_save_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_11 "zpuino/io/addr_save_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_12 "zpuino/io/addr_save_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_13 "zpuino/io/addr_save_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_14 "zpuino/io/addr_save_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_15 "zpuino/io/addr_save_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_16 "zpuino/io/addr_save_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_23 "zpuino/io/addr_save_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_24 "zpuino/io/addr_save_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_25 "zpuino/io/addr_save_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_26 "zpuino/io/addr_save_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_cyc_renamed_309 "zpuino/io/io_cyc") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_we_renamed_310 "zpuino/io/io_we") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_ram_mi_addrh_q_renamed_311 "slot9/ram/mi_addrh_q") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_0 "zpuino/core/shl/d_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_renamed_312 "rstgen/rstcount_zero_q") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_clk_pres_2 "slot1/spi_clk_pres_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_clk_pres_1 "slot1/spi_clk_pres_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_clk_pres_0 "slot1/spi_clk_pres_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_samprise_renamed_313 "slot1/spi_samprise") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_enable_q_renamed_314 "slot1/spi_enable_q") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_txblock_q_renamed_315 "slot1/spi_txblock_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_wb_ack_o_renamed_316 "slot1/wb_ack_o") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_en_renamed_317 "slot1/spi_en") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_transfersize_q_1 "slot1/spi_transfersize_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_transfersize_q_0 "slot1/spi_transfersize_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_trans_renamed_318 "slot1/trans") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_cpol_renamed_319 "slot1/cpol") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_clk_pres_2 "slot0/spi_clk_pres_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_clk_pres_1 "slot0/spi_clk_pres_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_clk_pres_0 "slot0/spi_clk_pres_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_samprise_renamed_320 "slot0/spi_samprise") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_enable_q_renamed_321 "slot0/spi_enable_q") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_txblock_q_renamed_322 "slot0/spi_txblock_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_wb_ack_o_renamed_323 "slot0/wb_ack_o") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_en_renamed_324 "slot0/spi_en") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_1 "slot0/spi_transfersize_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_0 "slot0/spi_transfersize_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_trans_renamed_325 "slot0/trans") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_cpol_renamed_326 "slot0/cpol") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_0__ "rstgen/Mcount_rstcount_lut<0>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_0__ "rstgen/Mcount_rstcount_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_0__ "rstgen/Mcount_rstcount_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_1__ "rstgen/Mcount_rstcount_lut<1>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_1__ "rstgen/Mcount_rstcount_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_1__ "rstgen/Mcount_rstcount_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_2__ "rstgen/Mcount_rstcount_lut<2>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_2__ "rstgen/Mcount_rstcount_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_2__ "rstgen/Mcount_rstcount_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_3__ "rstgen/Mcount_rstcount_lut<3>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_3__ "rstgen/Mcount_rstcount_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_3__ "rstgen/Mcount_rstcount_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_4__ "rstgen/Mcount_rstcount_lut<4>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_4__ "rstgen/Mcount_rstcount_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_4__ "rstgen/Mcount_rstcount_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_5__ "rstgen/Mcount_rstcount_lut<5>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_5__ "rstgen/Mcount_rstcount_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_5__ "rstgen/Mcount_rstcount_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_6__ "rstgen/Mcount_rstcount_lut<6>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_6__ "rstgen/Mcount_rstcount_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_6__ "rstgen/Mcount_rstcount_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_7__ "rstgen/Mcount_rstcount_lut<7>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_7__ "rstgen/Mcount_rstcount_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_7__ "rstgen/Mcount_rstcount_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_8__ "rstgen/Mcount_rstcount_lut<8>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_8__ "rstgen/Mcount_rstcount_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_8__ "rstgen/Mcount_rstcount_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_9__ "rstgen/Mcount_rstcount_lut<9>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_9__ "rstgen/Mcount_rstcount_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_9__ "rstgen/Mcount_rstcount_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_10__ "rstgen/Mcount_rstcount_lut<10>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_10__ "rstgen/Mcount_rstcount_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_10__ "rstgen/Mcount_rstcount_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_11__ "rstgen/Mcount_rstcount_lut<11>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_11__ "rstgen/Mcount_rstcount_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_11__ "rstgen/Mcount_rstcount_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_12__ "rstgen/Mcount_rstcount_lut<12>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_12__ "rstgen/Mcount_rstcount_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_12__ "rstgen/Mcount_rstcount_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_13__ "rstgen/Mcount_rstcount_lut<13>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_13__ "rstgen/Mcount_rstcount_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_13__ "rstgen/Mcount_rstcount_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_14__ "rstgen/Mcount_rstcount_lut<14>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_14__ "rstgen/Mcount_rstcount_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_14__ "rstgen/Mcount_rstcount_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_15__ "rstgen/Mcount_rstcount_lut<15>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_15__ "rstgen/Mcount_rstcount_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_15__ "rstgen/Mcount_rstcount_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_16__ "rstgen/Mcount_rstcount_lut<16>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_16__ "rstgen/Mcount_rstcount_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_16__ "rstgen/Mcount_rstcount_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_17__ "rstgen/Mcount_rstcount_lut<17>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_17__ "rstgen/Mcount_rstcount_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_17__ "rstgen/Mcount_rstcount_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_18__ "rstgen/Mcount_rstcount_lut<18>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_18__ "rstgen/Mcount_rstcount_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_18__ "rstgen/Mcount_rstcount_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_19__ "rstgen/Mcount_rstcount_lut<19>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_19__ "rstgen/Mcount_rstcount_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_19__ "rstgen/Mcount_rstcount_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_20__ "rstgen/Mcount_rstcount_lut<20>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_20__ "rstgen/Mcount_rstcount_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_20__ "rstgen/Mcount_rstcount_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_21__ "rstgen/Mcount_rstcount_lut<21>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_21__ "rstgen/Mcount_rstcount_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_21__ "rstgen/Mcount_rstcount_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_22__ "rstgen/Mcount_rstcount_lut<22>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_22__ "rstgen/Mcount_rstcount_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_22__ "rstgen/Mcount_rstcount_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_23__ "rstgen/Mcount_rstcount_lut<23>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_23__ "rstgen/Mcount_rstcount_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_23__ "rstgen/Mcount_rstcount_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_24__ "rstgen/Mcount_rstcount_lut<24>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_24__ "rstgen/Mcount_rstcount_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_24__ "rstgen/Mcount_rstcount_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_25__ "rstgen/Mcount_rstcount_lut<25>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_25__ "rstgen/Mcount_rstcount_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_25__ "rstgen/Mcount_rstcount_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_26__ "rstgen/Mcount_rstcount_lut<26>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_26__ "rstgen/Mcount_rstcount_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_26__ "rstgen/Mcount_rstcount_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_27__ "rstgen/Mcount_rstcount_lut<27>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_27__ "rstgen/Mcount_rstcount_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_27__ "rstgen/Mcount_rstcount_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_28__ "rstgen/Mcount_rstcount_lut<28>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_28__ "rstgen/Mcount_rstcount_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_28__ "rstgen/Mcount_rstcount_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_29__ "rstgen/Mcount_rstcount_lut<29>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_29__ "rstgen/Mcount_rstcount_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_29__ "rstgen/Mcount_rstcount_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_30__ "rstgen/Mcount_rstcount_lut<30>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_30__ "rstgen/Mcount_rstcount_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_30__ "rstgen/Mcount_rstcount_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_31__ "rstgen/Mcount_rstcount_lut<31>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_31__ "rstgen/Mcount_rstcount_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0") - (viewRef view_1 (cellRef MULT18X18SIO (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:A<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "36:OUTPUT:P<35:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx")) - (property B_INPUT (string "DIRECT") (owner "Xilinx")) - (property AREG (integer 1) (owner "Xilinx")) - (property BREG (integer 0) (owner "Xilinx")) - (property PREG (integer 1) (owner "Xilinx")) - (property PREG_CLKINVERSION (integer 0) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01") - (viewRef view_1 (cellRef MULT18X18SIO (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:A<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "36:OUTPUT:P<35:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx")) - (property B_INPUT (string "CASCADE") (owner "Xilinx")) - (property BREG (integer 0) (owner "Xilinx")) - (property AREG (integer 1) (owner "Xilinx")) - (property PREG (integer 1) (owner "Xilinx")) - (property PREG_CLKINVERSION (integer 0) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1") - (viewRef view_1 (cellRef MULT18X18SIO (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:A<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "36:OUTPUT:P<35:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx")) - (property B_INPUT (string "DIRECT") (owner "Xilinx")) - (property AREG (integer 1) (owner "Xilinx")) - (property BREG (integer 0) (owner "Xilinx")) - (property PREG (integer 1) (owner "Xilinx")) - (property PREG_CLKINVERSION (integer 0) (owner "Xilinx")) - ) - (instance (rename slot9_voff_0 "slot9/voff_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_voff_1 "slot9/voff_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_voff_2 "slot9/voff_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_0 "slot9/vcount_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_1 "slot9/vcount_q_1") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_2 "slot9/vcount_q_2") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_5 "slot9/vcount_q_5") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_3 "slot9/vcount_q_3") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_4 "slot9/vcount_q_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_6 "slot9/vcount_q_6") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_7 "slot9/vcount_q_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_8 "slot9/vcount_q_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hoff_0 "slot9/hoff_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hoff_1 "slot9/hoff_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hoff_2 "slot9/hoff_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_0 "slot9/hcount_q_0") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_1 "slot9/hcount_q_1") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_2 "slot9/hcount_q_2") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_3 "slot9/hcount_q_3") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_4 "slot9/hcount_q_4") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_7 "slot9/hcount_q_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_5 "slot9/hcount_q_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_6 "slot9/hcount_q_6") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_8 "slot9/hcount_q_8") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_9 "slot9/hcount_q_9") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_2 "slot9/hdisp_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_3 "slot9/hdisp_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_4 "slot9/hdisp_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_5 "slot9/hdisp_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_6 "slot9/hdisp_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_7 "slot9/hdisp_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_8 "slot9/hdisp_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_9 "slot9/hdisp_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_10 "slot9/hdisp_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_11 "slot9/hdisp_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_12 "slot9/hdisp_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_13 "slot9/hdisp_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_5 "slot9/vga_v_offset_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_6 "slot9/vga_v_offset_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_7 "slot9/vga_v_offset_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_8 "slot9/vga_v_offset_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_9 "slot9/vga_v_offset_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_10 "slot9/vga_v_offset_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_11 "slot9/vga_v_offset_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_12 "slot9/vga_v_offset_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_13 "slot9/vga_v_offset_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_14 "slot9/vga_v_offset_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_0 "rstgen/rstcount_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_1 "rstgen/rstcount_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_2 "rstgen/rstcount_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_5 "rstgen/rstcount_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_3 "rstgen/rstcount_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_4 "rstgen/rstcount_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_6 "rstgen/rstcount_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_7 "rstgen/rstcount_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_8 "rstgen/rstcount_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_9 "rstgen/rstcount_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_10 "rstgen/rstcount_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_11 "rstgen/rstcount_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_12 "rstgen/rstcount_12") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_13 "rstgen/rstcount_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_14 "rstgen/rstcount_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_15 "rstgen/rstcount_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_16 "rstgen/rstcount_16") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_17 "rstgen/rstcount_17") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_20 "rstgen/rstcount_20") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_18 "rstgen/rstcount_18") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_19 "rstgen/rstcount_19") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_21 "rstgen/rstcount_21") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_22 "rstgen/rstcount_22") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_23 "rstgen/rstcount_23") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_24 "rstgen/rstcount_24") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_25 "rstgen/rstcount_25") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_26 "rstgen/rstcount_26") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_27 "rstgen/rstcount_27") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_28 "rstgen/rstcount_28") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_29 "rstgen/rstcount_29") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_30 "rstgen/rstcount_30") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_31 "rstgen/rstcount_31") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_46") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_45") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_44") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_43") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_42") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_41") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_40") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_39") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_38") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_37") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_36") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_35") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_34") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_33") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_9 "slot9/vcount_q_9") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_10 "slot9/hcount_q_10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_0__ "slot9/Madd_vga_ram_address_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_0__ "slot9/Madd_vga_ram_address_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_1__ "slot9/Madd_vga_ram_address_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_1__ "slot9/Madd_vga_ram_address_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_2__ "slot9/Madd_vga_ram_address_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_2__ "slot9/Madd_vga_ram_address_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_3__ "slot9/Madd_vga_ram_address_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_3__ "slot9/Madd_vga_ram_address_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_4__ "slot9/Madd_vga_ram_address_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_4__ "slot9/Madd_vga_ram_address_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_5__ "slot9/Madd_vga_ram_address_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_5__ "slot9/Madd_vga_ram_address_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_5__ "slot9/Madd_vga_ram_address_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_6__ "slot9/Madd_vga_ram_address_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_6__ "slot9/Madd_vga_ram_address_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_6__ "slot9/Madd_vga_ram_address_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_7__ "slot9/Madd_vga_ram_address_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_7__ "slot9/Madd_vga_ram_address_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_7__ "slot9/Madd_vga_ram_address_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_8__ "slot9/Madd_vga_ram_address_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_8__ "slot9/Madd_vga_ram_address_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_8__ "slot9/Madd_vga_ram_address_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_9__ "slot9/Madd_vga_ram_address_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_9__ "slot9/Madd_vga_ram_address_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_9__ "slot9/Madd_vga_ram_address_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_10__ "slot9/Madd_vga_ram_address_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_10__ "slot9/Madd_vga_ram_address_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_10__ "slot9/Madd_vga_ram_address_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_11__ "slot9/Madd_vga_ram_address_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_11__ "slot9/Madd_vga_ram_address_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_11__ "slot9/Madd_vga_ram_address_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_12__ "slot9/Madd_vga_ram_address_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_12__ "slot9/Madd_vga_ram_address_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_13__ "slot9/Madd_vga_ram_address_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_13__ "slot9/Madd_vga_ram_address_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_14__ "slot9/Madd_vga_ram_address_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_0__ "zpuino/core/Madd_w1.tos_add0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_0__ "zpuino/core/Madd_w1.tos_add0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_1__ "zpuino/core/Madd_w1.tos_add0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_1__ "zpuino/core/Madd_w1.tos_add0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_2__ "zpuino/core/Madd_w1.tos_add0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_2__ "zpuino/core/Madd_w1.tos_add0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_3__ "zpuino/core/Madd_w1.tos_add0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_3__ "zpuino/core/Madd_w1.tos_add0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_4__ "zpuino/core/Madd_w1.tos_add0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_4__ "zpuino/core/Madd_w1.tos_add0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_5__ "zpuino/core/Madd_w1.tos_add0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_5__ "zpuino/core/Madd_w1.tos_add0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_6__ "zpuino/core/Madd_w1.tos_add0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_6__ "zpuino/core/Madd_w1.tos_add0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_7__ "zpuino/core/Madd_w1.tos_add0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_7__ "zpuino/core/Madd_w1.tos_add0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_8__ "zpuino/core/Madd_w1.tos_add0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_8__ "zpuino/core/Madd_w1.tos_add0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_9__ "zpuino/core/Madd_w1.tos_add0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_9__ "zpuino/core/Madd_w1.tos_add0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_10__ "zpuino/core/Madd_w1.tos_add0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_10__ "zpuino/core/Madd_w1.tos_add0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_11__ "zpuino/core/Madd_w1.tos_add0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_11__ "zpuino/core/Madd_w1.tos_add0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_12__ "zpuino/core/Madd_w1.tos_add0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_12__ "zpuino/core/Madd_w1.tos_add0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_13__ "zpuino/core/Madd_w1.tos_add0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_13__ "zpuino/core/Madd_w1.tos_add0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_14__ "zpuino/core/Madd_w1.tos_add0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_14__ "zpuino/core/Madd_w1.tos_add0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_15__ "zpuino/core/Madd_w1.tos_add0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_15__ "zpuino/core/Madd_w1.tos_add0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_16__ "zpuino/core/Madd_w1.tos_add0000_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_16__ "zpuino/core/Madd_w1.tos_add0000_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_17__ "zpuino/core/Madd_w1.tos_add0000_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_17__ "zpuino/core/Madd_w1.tos_add0000_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_18__ "zpuino/core/Madd_w1.tos_add0000_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_18__ "zpuino/core/Madd_w1.tos_add0000_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_19__ "zpuino/core/Madd_w1.tos_add0000_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_19__ "zpuino/core/Madd_w1.tos_add0000_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_20__ "zpuino/core/Madd_w1.tos_add0000_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_20__ "zpuino/core/Madd_w1.tos_add0000_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_21__ "zpuino/core/Madd_w1.tos_add0000_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_21__ "zpuino/core/Madd_w1.tos_add0000_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_22__ "zpuino/core/Madd_w1.tos_add0000_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_22__ "zpuino/core/Madd_w1.tos_add0000_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_23__ "zpuino/core/Madd_w1.tos_add0000_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_23__ "zpuino/core/Madd_w1.tos_add0000_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_24__ "zpuino/core/Madd_w1.tos_add0000_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_24__ "zpuino/core/Madd_w1.tos_add0000_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_25__ "zpuino/core/Madd_w1.tos_add0000_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_25__ "zpuino/core/Madd_w1.tos_add0000_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_26__ "zpuino/core/Madd_w1.tos_add0000_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_26__ "zpuino/core/Madd_w1.tos_add0000_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_27__ "zpuino/core/Madd_w1.tos_add0000_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_27__ "zpuino/core/Madd_w1.tos_add0000_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_28__ "zpuino/core/Madd_w1.tos_add0000_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_28__ "zpuino/core/Madd_w1.tos_add0000_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_29__ "zpuino/core/Madd_w1.tos_add0000_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_29__ "zpuino/core/Madd_w1.tos_add0000_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_30__ "zpuino/core/Madd_w1.tos_add0000_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_30__ "zpuino/core/Madd_w1.tos_add0000_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_31__ "zpuino/core/Madd_w1.tos_add0000_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_0__ "zpuino/core/Madd_jump_address_add0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_0__ "zpuino/core/Madd_jump_address_add0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_0__ "zpuino/core/Madd_jump_address_add0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_1__ "zpuino/core/Madd_jump_address_add0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_1__ "zpuino/core/Madd_jump_address_add0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_1__ "zpuino/core/Madd_jump_address_add0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_2__ "zpuino/core/Madd_jump_address_add0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_2__ "zpuino/core/Madd_jump_address_add0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_2__ "zpuino/core/Madd_jump_address_add0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_3__ "zpuino/core/Madd_jump_address_add0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_3__ "zpuino/core/Madd_jump_address_add0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_3__ "zpuino/core/Madd_jump_address_add0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_4__ "zpuino/core/Madd_jump_address_add0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_4__ "zpuino/core/Madd_jump_address_add0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_4__ "zpuino/core/Madd_jump_address_add0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_5__ "zpuino/core/Madd_jump_address_add0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_5__ "zpuino/core/Madd_jump_address_add0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_5__ "zpuino/core/Madd_jump_address_add0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_6__ "zpuino/core/Madd_jump_address_add0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_6__ "zpuino/core/Madd_jump_address_add0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_6__ "zpuino/core/Madd_jump_address_add0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_7__ "zpuino/core/Madd_jump_address_add0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_7__ "zpuino/core/Madd_jump_address_add0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_7__ "zpuino/core/Madd_jump_address_add0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_8__ "zpuino/core/Madd_jump_address_add0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_8__ "zpuino/core/Madd_jump_address_add0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_8__ "zpuino/core/Madd_jump_address_add0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_9__ "zpuino/core/Madd_jump_address_add0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_9__ "zpuino/core/Madd_jump_address_add0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_9__ "zpuino/core/Madd_jump_address_add0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_10__ "zpuino/core/Madd_jump_address_add0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_10__ "zpuino/core/Madd_jump_address_add0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_10__ "zpuino/core/Madd_jump_address_add0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_11__ "zpuino/core/Madd_jump_address_add0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_11__ "zpuino/core/Madd_jump_address_add0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_11__ "zpuino/core/Madd_jump_address_add0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_12__ "zpuino/core/Madd_jump_address_add0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_12__ "zpuino/core/Madd_jump_address_add0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_12__ "zpuino/core/Madd_jump_address_add0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_13__ "zpuino/core/Madd_jump_address_add0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_13__ "zpuino/core/Madd_jump_address_add0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_0__ "zpuino/core/Madd_stack_b_addr_add0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_0__ "zpuino/core/Madd_stack_b_addr_add0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_1__ "zpuino/core/Madd_stack_b_addr_add0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_1__ "zpuino/core/Madd_stack_b_addr_add0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_2__ "zpuino/core/Madd_stack_b_addr_add0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_2__ "zpuino/core/Madd_stack_b_addr_add0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_3__ "zpuino/core/Madd_stack_b_addr_add0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_3__ "zpuino/core/Madd_stack_b_addr_add0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_4__ "zpuino/core/Madd_stack_b_addr_add0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_4__ "zpuino/core/Madd_stack_b_addr_add0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_5__ "zpuino/core/Madd_stack_b_addr_add0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_5__ "zpuino/core/Madd_stack_b_addr_add0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_6__ "zpuino/core/Madd_stack_b_addr_add0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_6__ "zpuino/core/Madd_stack_b_addr_add0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_7__ "zpuino/core/Madd_stack_b_addr_add0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_7__ "zpuino/core/Madd_stack_b_addr_add0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_8__ "zpuino/core/Madd_stack_b_addr_add0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_0__ "zpuino/core/Madd_pcnext_add0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_0__ "zpuino/core/Madd_pcnext_add0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_1__ "zpuino/core/Madd_pcnext_add0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_1__ "zpuino/core/Madd_pcnext_add0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_2__ "zpuino/core/Madd_pcnext_add0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_2__ "zpuino/core/Madd_pcnext_add0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_3__ "zpuino/core/Madd_pcnext_add0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_3__ "zpuino/core/Madd_pcnext_add0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_4__ "zpuino/core/Madd_pcnext_add0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_4__ "zpuino/core/Madd_pcnext_add0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_5__ "zpuino/core/Madd_pcnext_add0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_5__ "zpuino/core/Madd_pcnext_add0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_6__ "zpuino/core/Madd_pcnext_add0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_6__ "zpuino/core/Madd_pcnext_add0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_7__ "zpuino/core/Madd_pcnext_add0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_7__ "zpuino/core/Madd_pcnext_add0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_8__ "zpuino/core/Madd_pcnext_add0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_8__ "zpuino/core/Madd_pcnext_add0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_9__ "zpuino/core/Madd_pcnext_add0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_9__ "zpuino/core/Madd_pcnext_add0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_10__ "zpuino/core/Madd_pcnext_add0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_10__ "zpuino/core/Madd_pcnext_add0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_11__ "zpuino/core/Madd_pcnext_add0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_11__ "zpuino/core/Madd_pcnext_add0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_12__ "zpuino/core/Madd_pcnext_add0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_12__ "zpuino/core/Madd_pcnext_add0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_13__ "zpuino/core/Madd_pcnext_add0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_0__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_0__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_1__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_1__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_2__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_2__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_3__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_3__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_4__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_5__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_6__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_7__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_0__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_0__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_1__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_1__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_2__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_2__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_3__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_3__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_4__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_4__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_5__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_6__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_7__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_0__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_1__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_2__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_3__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_4__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_5__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_6__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_7__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_8__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<12>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<31>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<31>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_0__ "slot9/Mcount_vcount_q_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_0__ "slot9/Mcount_vcount_q_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_1__ "slot9/Mcount_vcount_q_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_1__ "slot9/Mcount_vcount_q_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_2__ "slot9/Mcount_vcount_q_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_2__ "slot9/Mcount_vcount_q_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_3__ "slot9/Mcount_vcount_q_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_3__ "slot9/Mcount_vcount_q_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_4__ "slot9/Mcount_vcount_q_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_4__ "slot9/Mcount_vcount_q_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_5__ "slot9/Mcount_vcount_q_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_5__ "slot9/Mcount_vcount_q_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_6__ "slot9/Mcount_vcount_q_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_6__ "slot9/Mcount_vcount_q_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_7__ "slot9/Mcount_vcount_q_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_7__ "slot9/Mcount_vcount_q_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_8__ "slot9/Mcount_vcount_q_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_8__ "slot9/Mcount_vcount_q_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_9__ "slot9/Mcount_vcount_q_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_0__ "slot9/Mcount_hcount_q_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_0__ "slot9/Mcount_hcount_q_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_1__ "slot9/Mcount_hcount_q_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_1__ "slot9/Mcount_hcount_q_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_2__ "slot9/Mcount_hcount_q_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_2__ "slot9/Mcount_hcount_q_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_3__ "slot9/Mcount_hcount_q_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_3__ "slot9/Mcount_hcount_q_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_4__ "slot9/Mcount_hcount_q_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_4__ "slot9/Mcount_hcount_q_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_5__ "slot9/Mcount_hcount_q_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_5__ "slot9/Mcount_hcount_q_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_6__ "slot9/Mcount_hcount_q_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_6__ "slot9/Mcount_hcount_q_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_7__ "slot9/Mcount_hcount_q_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_7__ "slot9/Mcount_hcount_q_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_8__ "slot9/Mcount_hcount_q_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_8__ "slot9/Mcount_hcount_q_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_9__ "slot9/Mcount_hcount_q_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_9__ "slot9/Mcount_hcount_q_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_10__ "slot9/Mcount_hcount_q_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_0__ "slot9/Mcount_hdisp_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_0__ "slot9/Mcount_hdisp_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_1__ "slot9/Mcount_hdisp_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_1__ "slot9/Mcount_hdisp_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_2__ "slot9/Mcount_hdisp_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_2__ "slot9/Mcount_hdisp_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_3__ "slot9/Mcount_hdisp_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_3__ "slot9/Mcount_hdisp_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_4__ "slot9/Mcount_hdisp_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_4__ "slot9/Mcount_hdisp_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_5__ "slot9/Mcount_hdisp_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_5__ "slot9/Mcount_hdisp_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_6__ "slot9/Mcount_hdisp_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_6__ "slot9/Mcount_hdisp_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_7__ "slot9/Mcount_hdisp_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_7__ "slot9/Mcount_hdisp_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_8__ "slot9/Mcount_hdisp_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_8__ "slot9/Mcount_hdisp_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_9__ "slot9/Mcount_hdisp_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_9__ "slot9/Mcount_hdisp_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_10__ "slot9/Mcount_hdisp_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_10__ "slot9/Mcount_hdisp_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_11__ "slot9/Mcount_hdisp_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_5__ "slot9/Maccum_vga_v_offset_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_5__ "slot9/Maccum_vga_v_offset_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_6__ "slot9/Maccum_vga_v_offset_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_6__ "slot9/Maccum_vga_v_offset_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_7__ "slot9/Maccum_vga_v_offset_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_7__ "slot9/Maccum_vga_v_offset_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_8__ "slot9/Maccum_vga_v_offset_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_8__ "slot9/Maccum_vga_v_offset_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_9__ "slot9/Maccum_vga_v_offset_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_9__ "slot9/Maccum_vga_v_offset_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_10__ "slot9/Maccum_vga_v_offset_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_10__ "slot9/Maccum_vga_v_offset_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_11__ "slot9/Maccum_vga_v_offset_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_11__ "slot9/Maccum_vga_v_offset_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_12__ "slot9/Maccum_vga_v_offset_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_12__ "slot9/Maccum_vga_v_offset_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_13__ "slot9/Maccum_vga_v_offset_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_13__ "slot9/Maccum_vga_v_offset_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_14__ "slot9/Maccum_vga_v_offset_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_17__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_18__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_19__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_20__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_21__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_22__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_23__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<24>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_24__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<25>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_25__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<26>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_26__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<27>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_27__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<28>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_28__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<29>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_29__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<30>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_30__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<31>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_31__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_17__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_18__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_19__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_20__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_21__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_22__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_23__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<24>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_24__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<25>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_25__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<26>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_26__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<27>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_27__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<28>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_28__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<29>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_29__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<30>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_30__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<31>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_31__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_0__ "zpuino/core/Madd_w1.tos_add0001_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_0__ "zpuino/core/Madd_w1.tos_add0001_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_0__ "zpuino/core/Madd_w1.tos_add0001_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_1__ "zpuino/core/Madd_w1.tos_add0001_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_1__ "zpuino/core/Madd_w1.tos_add0001_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_1__ "zpuino/core/Madd_w1.tos_add0001_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_2__ "zpuino/core/Madd_w1.tos_add0001_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_2__ "zpuino/core/Madd_w1.tos_add0001_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_2__ "zpuino/core/Madd_w1.tos_add0001_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_3__ "zpuino/core/Madd_w1.tos_add0001_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_3__ "zpuino/core/Madd_w1.tos_add0001_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_3__ "zpuino/core/Madd_w1.tos_add0001_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_4__ "zpuino/core/Madd_w1.tos_add0001_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_4__ "zpuino/core/Madd_w1.tos_add0001_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_4__ "zpuino/core/Madd_w1.tos_add0001_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_5__ "zpuino/core/Madd_w1.tos_add0001_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_5__ "zpuino/core/Madd_w1.tos_add0001_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_5__ "zpuino/core/Madd_w1.tos_add0001_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_6__ "zpuino/core/Madd_w1.tos_add0001_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_6__ "zpuino/core/Madd_w1.tos_add0001_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_6__ "zpuino/core/Madd_w1.tos_add0001_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_7__ "zpuino/core/Madd_w1.tos_add0001_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_7__ "zpuino/core/Madd_w1.tos_add0001_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_7__ "zpuino/core/Madd_w1.tos_add0001_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_8__ "zpuino/core/Madd_w1.tos_add0001_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_8__ "zpuino/core/Madd_w1.tos_add0001_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_8__ "zpuino/core/Madd_w1.tos_add0001_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_9__ "zpuino/core/Madd_w1.tos_add0001_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_9__ "zpuino/core/Madd_w1.tos_add0001_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_9__ "zpuino/core/Madd_w1.tos_add0001_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_10__ "zpuino/core/Madd_w1.tos_add0001_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_10__ "zpuino/core/Madd_w1.tos_add0001_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_10__ "zpuino/core/Madd_w1.tos_add0001_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_11__ "zpuino/core/Madd_w1.tos_add0001_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_11__ "zpuino/core/Madd_w1.tos_add0001_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_11__ "zpuino/core/Madd_w1.tos_add0001_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_12__ "zpuino/core/Madd_w1.tos_add0001_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_12__ "zpuino/core/Madd_w1.tos_add0001_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_12__ "zpuino/core/Madd_w1.tos_add0001_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_13__ "zpuino/core/Madd_w1.tos_add0001_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_13__ "zpuino/core/Madd_w1.tos_add0001_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_13__ "zpuino/core/Madd_w1.tos_add0001_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_14__ "zpuino/core/Madd_w1.tos_add0001_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_14__ "zpuino/core/Madd_w1.tos_add0001_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_14__ "zpuino/core/Madd_w1.tos_add0001_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_15__ "zpuino/core/Madd_w1.tos_add0001_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_15__ "zpuino/core/Madd_w1.tos_add0001_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_15__ "zpuino/core/Madd_w1.tos_add0001_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_16__ "zpuino/core/Madd_w1.tos_add0001_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_16__ "zpuino/core/Madd_w1.tos_add0001_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_16__ "zpuino/core/Madd_w1.tos_add0001_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_17__ "zpuino/core/Madd_w1.tos_add0001_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_17__ "zpuino/core/Madd_w1.tos_add0001_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_17__ "zpuino/core/Madd_w1.tos_add0001_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_18__ "zpuino/core/Madd_w1.tos_add0001_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_18__ "zpuino/core/Madd_w1.tos_add0001_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_18__ "zpuino/core/Madd_w1.tos_add0001_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_19__ "zpuino/core/Madd_w1.tos_add0001_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_19__ "zpuino/core/Madd_w1.tos_add0001_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_19__ "zpuino/core/Madd_w1.tos_add0001_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_20__ "zpuino/core/Madd_w1.tos_add0001_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_20__ "zpuino/core/Madd_w1.tos_add0001_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_20__ "zpuino/core/Madd_w1.tos_add0001_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_21__ "zpuino/core/Madd_w1.tos_add0001_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_21__ "zpuino/core/Madd_w1.tos_add0001_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_21__ "zpuino/core/Madd_w1.tos_add0001_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_22__ "zpuino/core/Madd_w1.tos_add0001_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_22__ "zpuino/core/Madd_w1.tos_add0001_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_22__ "zpuino/core/Madd_w1.tos_add0001_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_23__ "zpuino/core/Madd_w1.tos_add0001_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_23__ "zpuino/core/Madd_w1.tos_add0001_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_23__ "zpuino/core/Madd_w1.tos_add0001_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_24__ "zpuino/core/Madd_w1.tos_add0001_lut<24>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_24__ "zpuino/core/Madd_w1.tos_add0001_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_24__ "zpuino/core/Madd_w1.tos_add0001_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_25__ "zpuino/core/Madd_w1.tos_add0001_lut<25>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_25__ "zpuino/core/Madd_w1.tos_add0001_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_25__ "zpuino/core/Madd_w1.tos_add0001_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_26__ "zpuino/core/Madd_w1.tos_add0001_lut<26>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_26__ "zpuino/core/Madd_w1.tos_add0001_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_26__ "zpuino/core/Madd_w1.tos_add0001_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_27__ "zpuino/core/Madd_w1.tos_add0001_lut<27>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_27__ "zpuino/core/Madd_w1.tos_add0001_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_27__ "zpuino/core/Madd_w1.tos_add0001_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_28__ "zpuino/core/Madd_w1.tos_add0001_lut<28>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_28__ "zpuino/core/Madd_w1.tos_add0001_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_28__ "zpuino/core/Madd_w1.tos_add0001_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_29__ "zpuino/core/Madd_w1.tos_add0001_lut<29>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_29__ "zpuino/core/Madd_w1.tos_add0001_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_29__ "zpuino/core/Madd_w1.tos_add0001_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_30__ "zpuino/core/Madd_w1.tos_add0001_lut<30>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_30__ "zpuino/core/Madd_w1.tos_add0001_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_30__ "zpuino/core/Madd_w1.tos_add0001_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_31__ "zpuino/core/Madd_w1.tos_add0001_lut<31>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_31__ "zpuino/core/Madd_w1.tos_add0001_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_29 "slot_address<0><26>_5_f6_29") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_29 "slot_address<0><26>_7_f5_29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__861_renamed_357 "slot_address<0><26>_861") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_29 "slot_address<0><26>_6_f5_29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__730_renamed_358 "slot_address<0><26>_730") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_28 "slot_address<0><26>_5_f6_28") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_28 "slot_address<0><26>_7_f5_28") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__859_renamed_359 "slot_address<0><26>_859") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_28 "slot_address<0><26>_6_f5_28") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__729_renamed_360 "slot_address<0><26>_729") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_27 "slot_address<0><26>_5_f6_27") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_27 "slot_address<0><26>_7_f5_27") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__857_renamed_361 "slot_address<0><26>_857") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_27 "slot_address<0><26>_6_f5_27") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__728_renamed_362 "slot_address<0><26>_728") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_26 "slot_address<0><26>_5_f6_26") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_26 "slot_address<0><26>_7_f5_26") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__855_renamed_363 "slot_address<0><26>_855") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_26 "slot_address<0><26>_6_f5_26") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__727_renamed_364 "slot_address<0><26>_727") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_25 "slot_address<0><26>_5_f6_25") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_25 "slot_address<0><26>_7_f5_25") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__853_renamed_365 "slot_address<0><26>_853") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_25 "slot_address<0><26>_6_f5_25") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__726_renamed_366 "slot_address<0><26>_726") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_24 "slot_address<0><26>_5_f6_24") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_24 "slot_address<0><26>_7_f5_24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__851_renamed_367 "slot_address<0><26>_851") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_24 "slot_address<0><26>_6_f5_24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__725_renamed_368 "slot_address<0><26>_725") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_23 "slot_address<0><26>_5_f6_23") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_23 "slot_address<0><26>_7_f5_23") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__849_renamed_369 "slot_address<0><26>_849") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_23 "slot_address<0><26>_6_f5_23") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__724_renamed_370 "slot_address<0><26>_724") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_22 "slot_address<0><26>_5_f6_22") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_22 "slot_address<0><26>_7_f5_22") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__847_renamed_371 "slot_address<0><26>_847") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_22 "slot_address<0><26>_6_f5_22") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_21 "slot_address<0><26>_5_f6_21") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_21 "slot_address<0><26>_7_f5_21") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__845_renamed_372 "slot_address<0><26>_845") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_21 "slot_address<0><26>_6_f5_21") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_20 "slot_address<0><26>_5_f6_20") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_20 "slot_address<0><26>_7_f5_20") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__921_renamed_373 "slot_address<0><26>_921") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__843_renamed_374 "slot_address<0><26>_843") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_20 "slot_address<0><26>_6_f5_20") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__721_renamed_375 "slot_address<0><26>_721") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_19 "slot_address<0><26>_5_f6_19") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_19 "slot_address<0><26>_7_f5_19") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__841_renamed_376 "slot_address<0><26>_841") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_19 "slot_address<0><26>_6_f5_19") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_18 "slot_address<0><26>_5_f6_18") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_18 "slot_address<0><26>_7_f5_18") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__839_renamed_377 "slot_address<0><26>_839") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_18 "slot_address<0><26>_6_f5_18") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_17 "slot_address<0><26>_5_f6_17") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_17 "slot_address<0><26>_7_f5_17") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__837_renamed_378 "slot_address<0><26>_837") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_17 "slot_address<0><26>_6_f5_17") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_16 "slot_address<0><26>_5_f6_16") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_16 "slot_address<0><26>_7_f5_16") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__835_renamed_379 "slot_address<0><26>_835") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_16 "slot_address<0><26>_6_f5_16") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_15 "slot_address<0><26>_5_f6_15") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_15 "slot_address<0><26>_7_f5_15") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__833_renamed_380 "slot_address<0><26>_833") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_15 "slot_address<0><26>_6_f5_15") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_14 "slot_address<0><26>_5_f6_14") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_14 "slot_address<0><26>_7_f5_14") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__831_renamed_381 "slot_address<0><26>_831") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_14 "slot_address<0><26>_6_f5_14") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_13 "slot_address<0><26>_5_f6_13") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_13 "slot_address<0><26>_7_f5_13") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__829_renamed_382 "slot_address<0><26>_829") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_13 "slot_address<0><26>_6_f5_13") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_12 "slot_address<0><26>_5_f6_12") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_12 "slot_address<0><26>_7_f5_12") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__827_renamed_383 "slot_address<0><26>_827") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_12 "slot_address<0><26>_6_f5_12") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_11 "slot_address<0><26>_5_f6_11") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_11 "slot_address<0><26>_7_f5_11") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__825_renamed_384 "slot_address<0><26>_825") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_11 "slot_address<0><26>_6_f5_11") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_10 "slot_address<0><26>_5_f6_10") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_10 "slot_address<0><26>_7_f5_10") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__823_renamed_385 "slot_address<0><26>_823") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_10 "slot_address<0><26>_6_f5_10") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_9 "slot_address<0><26>_5_f6_9") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_9 "slot_address<0><26>_7_f5_9") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__910_renamed_386 "slot_address<0><26>_910") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__821_renamed_387 "slot_address<0><26>_821") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_9 "slot_address<0><26>_6_f5_9") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__710_renamed_388 "slot_address<0><26>_710") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_8 "slot_address<0><26>_5_f6_8") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_8 "slot_address<0><26>_7_f5_8") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__819_renamed_389 "slot_address<0><26>_819") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_8 "slot_address<0><26>_6_f5_8") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_7 "slot_address<0><26>_5_f6_7") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_7 "slot_address<0><26>_7_f5_7") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__817_renamed_390 "slot_address<0><26>_817") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_7 "slot_address<0><26>_6_f5_7") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_6 "slot_address<0><26>_5_f6_6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_6 "slot_address<0><26>_7_f5_6") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__815_renamed_391 "slot_address<0><26>_815") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_6 "slot_address<0><26>_6_f5_6") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_5 "slot_address<0><26>_5_f6_5") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_5 "slot_address<0><26>_7_f5_5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__813_renamed_392 "slot_address<0><26>_813") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_5 "slot_address<0><26>_6_f5_5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_4 "slot_address<0><26>_5_f6_4") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_4 "slot_address<0><26>_7_f5_4") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__811_renamed_393 "slot_address<0><26>_811") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_4 "slot_address<0><26>_6_f5_4") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_3 "slot_address<0><26>_5_f6_3") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_3 "slot_address<0><26>_7_f5_3") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__89_renamed_394 "slot_address<0><26>_89") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_3 "slot_address<0><26>_6_f5_3") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_2 "slot_address<0><26>_5_f6_2") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_2 "slot_address<0><26>_7_f5_2") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__87_renamed_395 "slot_address<0><26>_87") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_2 "slot_address<0><26>_6_f5_2") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_1 "slot_address<0><26>_5_f6_1") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_1 "slot_address<0><26>_7_f5_1") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__85_renamed_396 "slot_address<0><26>_85") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_1 "slot_address<0><26>_6_f5_1") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_0 "slot_address<0><26>_5_f6_0") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_0 "slot_address<0><26>_7_f5_0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__83_renamed_397 "slot_address<0><26>_83") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_0 "slot_address<0><26>_6_f5_0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_renamed_398 "slot_address<0><26>_5_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_renamed_399 "slot_address<0><26>_7_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__81_renamed_400 "slot_address<0><26>_81") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_renamed_401 "slot_address<0><26>_6_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_5_f6_renamed_402 "zpuino/io/Mmux__varindex0000_5_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_7_f5_renamed_403 "zpuino/io/Mmux__varindex0000_7_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_9_renamed_404 "zpuino/io/Mmux__varindex0000_9") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_81_renamed_405 "zpuino/io/Mmux__varindex0000_81") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_6_f5_renamed_406 "zpuino/io/Mmux__varindex0000_6_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_7_renamed_407 "zpuino/io/Mmux__varindex0000_7") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename ospimosi_obufi "ospimosi/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename ospics_obufi "ospics/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename ospiclk_obufi "ospiclk/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename obuftx_obufi "obuftx/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin39_obufi "pin39/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin38_obufi "pin38/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin37_obufi "pin37/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin36_obufi "pin36/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin35_obufi "pin35/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin34_obufi "pin34/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin23_obufi "pin23/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin22_obufi "pin22/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin21_obufi "pin21/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin20_obufi "pin20/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin19_obufi "pin19/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin18_obufi "pin18/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin17_obufi "pin17/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin16_obufi "pin16/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_state_FSM_FFd2_renamed_408 "zpuino/core/decr.state_FSM_FFd2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd1_renamed_409 "zpuino/core/exr.state_FSM_FFd1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd2_renamed_410 "zpuino/core/exr.state_FSM_FFd2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd3_renamed_411 "zpuino/core/exr.state_FSM_FFd3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd4_renamed_412 "zpuino/core/exr.state_FSM_FFd4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd5_renamed_413 "zpuino/core/exr.state_FSM_FFd5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd6_renamed_414 "zpuino/core/exr.state_FSM_FFd6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd7_renamed_415 "zpuino/core/exr.state_FSM_FFd7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd9_renamed_416 "zpuino/core/exr.state_FSM_FFd9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd10_renamed_417 "zpuino/core/exr.state_FSM_FFd10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd11_renamed_418 "zpuino/core/exr.state_FSM_FFd11") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd12_renamed_419 "zpuino/core/exr.state_FSM_FFd12") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd13_renamed_420 "zpuino/core/exr.state_FSM_FFd13") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_renamed_421 "zpuino/core/exr.state_FSM_FFd14") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sid_sd_sigma_latch_19 "sid_sd/sigma_latch_19") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sid_sd_sigma_latch_18 "sid_sd/sigma_latch_18") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sid_sd_data_out_renamed_422 "sid_sd/data_out") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_renamed_423 "gpio_inst/Mmux_wb_dat_o_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_5_renamed_424 "gpio_inst/Mmux_wb_dat_o_5") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425 "gpio_inst/Mmux_wb_dat_o_3_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_51_renamed_426 "gpio_inst/Mmux_wb_dat_o_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_6_renamed_427 "gpio_inst/Mmux_wb_dat_o_6") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428 "gpio_inst/Mmux_wb_dat_o_4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6 "gpio_inst/Mmux_wb_dat_o_2_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_41_renamed_429 "gpio_inst/Mmux_wb_dat_o_41") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_52_renamed_430 "gpio_inst/Mmux_wb_dat_o_52") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_0 "gpio_inst/Mmux_wb_dat_o_3_f5_0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_53_renamed_431 "gpio_inst/Mmux_wb_dat_o_53") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_61_renamed_432 "gpio_inst/Mmux_wb_dat_o_61") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_0 "gpio_inst/Mmux_wb_dat_o_4_f5_0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_0 "gpio_inst/Mmux_wb_dat_o_2_f6_0") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_42_renamed_433 "gpio_inst/Mmux_wb_dat_o_42") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_54_renamed_434 "gpio_inst/Mmux_wb_dat_o_54") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_1 "gpio_inst/Mmux_wb_dat_o_3_f5_1") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_55_renamed_435 "gpio_inst/Mmux_wb_dat_o_55") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_62_renamed_436 "gpio_inst/Mmux_wb_dat_o_62") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_1 "gpio_inst/Mmux_wb_dat_o_4_f5_1") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_1 "gpio_inst/Mmux_wb_dat_o_2_f6_1") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_43_renamed_437 "gpio_inst/Mmux_wb_dat_o_43") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_56_renamed_438 "gpio_inst/Mmux_wb_dat_o_56") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_2 "gpio_inst/Mmux_wb_dat_o_3_f5_2") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_57_renamed_439 "gpio_inst/Mmux_wb_dat_o_57") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_63_renamed_440 "gpio_inst/Mmux_wb_dat_o_63") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_2 "gpio_inst/Mmux_wb_dat_o_4_f5_2") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_2 "gpio_inst/Mmux_wb_dat_o_2_f6_2") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_44_renamed_441 "gpio_inst/Mmux_wb_dat_o_44") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_58_renamed_442 "gpio_inst/Mmux_wb_dat_o_58") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_3 "gpio_inst/Mmux_wb_dat_o_3_f5_3") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_59_renamed_443 "gpio_inst/Mmux_wb_dat_o_59") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_64_renamed_444 "gpio_inst/Mmux_wb_dat_o_64") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_3 "gpio_inst/Mmux_wb_dat_o_4_f5_3") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_3 "gpio_inst/Mmux_wb_dat_o_2_f6_3") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_45_renamed_445 "gpio_inst/Mmux_wb_dat_o_45") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_510_renamed_446 "gpio_inst/Mmux_wb_dat_o_510") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_4 "gpio_inst/Mmux_wb_dat_o_3_f5_4") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_511_renamed_447 "gpio_inst/Mmux_wb_dat_o_511") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_65_renamed_448 "gpio_inst/Mmux_wb_dat_o_65") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_4 "gpio_inst/Mmux_wb_dat_o_4_f5_4") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_4 "gpio_inst/Mmux_wb_dat_o_2_f6_4") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_46_renamed_449 "gpio_inst/Mmux_wb_dat_o_46") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_512_renamed_450 "gpio_inst/Mmux_wb_dat_o_512") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_5 "gpio_inst/Mmux_wb_dat_o_3_f5_5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_513_renamed_451 "gpio_inst/Mmux_wb_dat_o_513") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_66_renamed_452 "gpio_inst/Mmux_wb_dat_o_66") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_5 "gpio_inst/Mmux_wb_dat_o_4_f5_5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_5 "gpio_inst/Mmux_wb_dat_o_2_f6_5") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_47_renamed_453 "gpio_inst/Mmux_wb_dat_o_47") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_514_renamed_454 "gpio_inst/Mmux_wb_dat_o_514") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_6 "gpio_inst/Mmux_wb_dat_o_3_f5_6") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_515_renamed_455 "gpio_inst/Mmux_wb_dat_o_515") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_67_renamed_456 "gpio_inst/Mmux_wb_dat_o_67") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_6 "gpio_inst/Mmux_wb_dat_o_4_f5_6") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_6 "gpio_inst/Mmux_wb_dat_o_2_f6_6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_48_renamed_457 "gpio_inst/Mmux_wb_dat_o_48") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_516_renamed_458 "gpio_inst/Mmux_wb_dat_o_516") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_7 "gpio_inst/Mmux_wb_dat_o_3_f5_7") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_517_renamed_459 "gpio_inst/Mmux_wb_dat_o_517") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460 "gpio_inst/Mmux_wb_dat_o_4_f5_7") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_7 "gpio_inst/Mmux_wb_dat_o_2_f6_7") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_410_renamed_461 "gpio_inst/Mmux_wb_dat_o_410") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_518_renamed_462 "gpio_inst/Mmux_wb_dat_o_518") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_8 "gpio_inst/Mmux_wb_dat_o_3_f5_8") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_519_renamed_463 "gpio_inst/Mmux_wb_dat_o_519") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464 "gpio_inst/Mmux_wb_dat_o_4_f5_8") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_8 "gpio_inst/Mmux_wb_dat_o_2_f6_8") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_412_renamed_465 "gpio_inst/Mmux_wb_dat_o_412") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_520_renamed_466 "gpio_inst/Mmux_wb_dat_o_520") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_9 "gpio_inst/Mmux_wb_dat_o_3_f5_9") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_521_renamed_467 "gpio_inst/Mmux_wb_dat_o_521") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468 "gpio_inst/Mmux_wb_dat_o_4_f5_9") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_9 "gpio_inst/Mmux_wb_dat_o_2_f6_9") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_414_renamed_469 "gpio_inst/Mmux_wb_dat_o_414") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_522_renamed_470 "gpio_inst/Mmux_wb_dat_o_522") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_10 "gpio_inst/Mmux_wb_dat_o_3_f5_10") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_523_renamed_471 "gpio_inst/Mmux_wb_dat_o_523") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_68_renamed_472 "gpio_inst/Mmux_wb_dat_o_68") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_10 "gpio_inst/Mmux_wb_dat_o_4_f5_10") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_10 "gpio_inst/Mmux_wb_dat_o_2_f6_10") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_415_renamed_473 "gpio_inst/Mmux_wb_dat_o_415") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_524_renamed_474 "gpio_inst/Mmux_wb_dat_o_524") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_11 "gpio_inst/Mmux_wb_dat_o_3_f5_11") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_525_renamed_475 "gpio_inst/Mmux_wb_dat_o_525") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476 "gpio_inst/Mmux_wb_dat_o_4_f5_11") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_11 "gpio_inst/Mmux_wb_dat_o_2_f6_11") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_417_renamed_477 "gpio_inst/Mmux_wb_dat_o_417") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_526_renamed_478 "gpio_inst/Mmux_wb_dat_o_526") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_12 "gpio_inst/Mmux_wb_dat_o_3_f5_12") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_527_renamed_479 "gpio_inst/Mmux_wb_dat_o_527") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480 "gpio_inst/Mmux_wb_dat_o_4_f5_12") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_12 "gpio_inst/Mmux_wb_dat_o_2_f6_12") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_419_renamed_481 "gpio_inst/Mmux_wb_dat_o_419") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_528_renamed_482 "gpio_inst/Mmux_wb_dat_o_528") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_13 "gpio_inst/Mmux_wb_dat_o_3_f5_13") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_529_renamed_483 "gpio_inst/Mmux_wb_dat_o_529") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484 "gpio_inst/Mmux_wb_dat_o_4_f5_13") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_13 "gpio_inst/Mmux_wb_dat_o_2_f6_13") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_421_renamed_485 "gpio_inst/Mmux_wb_dat_o_421") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_530_renamed_486 "gpio_inst/Mmux_wb_dat_o_530") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_14 "gpio_inst/Mmux_wb_dat_o_3_f5_14") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_531_renamed_487 "gpio_inst/Mmux_wb_dat_o_531") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_14 "gpio_inst/Mmux_wb_dat_o_4_f5_14") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_14 "gpio_inst/Mmux_wb_dat_o_2_f6_14") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_423_renamed_488 "gpio_inst/Mmux_wb_dat_o_423") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_532_renamed_489 "gpio_inst/Mmux_wb_dat_o_532") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_15 "gpio_inst/Mmux_wb_dat_o_3_f5_15") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_533_renamed_490 "gpio_inst/Mmux_wb_dat_o_533") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_69_renamed_491 "gpio_inst/Mmux_wb_dat_o_69") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_15 "gpio_inst/Mmux_wb_dat_o_4_f5_15") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_15 "gpio_inst/Mmux_wb_dat_o_2_f6_15") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_424_renamed_492 "gpio_inst/Mmux_wb_dat_o_424") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_534_renamed_493 "gpio_inst/Mmux_wb_dat_o_534") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_16 "gpio_inst/Mmux_wb_dat_o_3_f5_16") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_535_renamed_494 "gpio_inst/Mmux_wb_dat_o_535") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_610_renamed_495 "gpio_inst/Mmux_wb_dat_o_610") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_16 "gpio_inst/Mmux_wb_dat_o_4_f5_16") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_16 "gpio_inst/Mmux_wb_dat_o_2_f6_16") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_425_renamed_496 "gpio_inst/Mmux_wb_dat_o_425") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_536_renamed_497 "gpio_inst/Mmux_wb_dat_o_536") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_17 "gpio_inst/Mmux_wb_dat_o_3_f5_17") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_537_renamed_498 "gpio_inst/Mmux_wb_dat_o_537") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_611_renamed_499 "gpio_inst/Mmux_wb_dat_o_611") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_17 "gpio_inst/Mmux_wb_dat_o_4_f5_17") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_17 "gpio_inst/Mmux_wb_dat_o_2_f6_17") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_426_renamed_500 "gpio_inst/Mmux_wb_dat_o_426") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_538_renamed_501 "gpio_inst/Mmux_wb_dat_o_538") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_18 "gpio_inst/Mmux_wb_dat_o_3_f5_18") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_539_renamed_502 "gpio_inst/Mmux_wb_dat_o_539") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_612_renamed_503 "gpio_inst/Mmux_wb_dat_o_612") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_18 "gpio_inst/Mmux_wb_dat_o_4_f5_18") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_18 "gpio_inst/Mmux_wb_dat_o_2_f6_18") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_427_renamed_504 "gpio_inst/Mmux_wb_dat_o_427") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_540_renamed_505 "gpio_inst/Mmux_wb_dat_o_540") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_19 "gpio_inst/Mmux_wb_dat_o_3_f5_19") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_541_renamed_506 "gpio_inst/Mmux_wb_dat_o_541") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_613_renamed_507 "gpio_inst/Mmux_wb_dat_o_613") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_19 "gpio_inst/Mmux_wb_dat_o_4_f5_19") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_19 "gpio_inst/Mmux_wb_dat_o_2_f6_19") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_428_renamed_508 "gpio_inst/Mmux_wb_dat_o_428") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_542_renamed_509 "gpio_inst/Mmux_wb_dat_o_542") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_20 "gpio_inst/Mmux_wb_dat_o_3_f5_20") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_543_renamed_510 "gpio_inst/Mmux_wb_dat_o_543") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_614_renamed_511 "gpio_inst/Mmux_wb_dat_o_614") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_20 "gpio_inst/Mmux_wb_dat_o_4_f5_20") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_20 "gpio_inst/Mmux_wb_dat_o_2_f6_20") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_429_renamed_512 "gpio_inst/Mmux_wb_dat_o_429") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_544_renamed_513 "gpio_inst/Mmux_wb_dat_o_544") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_21 "gpio_inst/Mmux_wb_dat_o_3_f5_21") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_545_renamed_514 "gpio_inst/Mmux_wb_dat_o_545") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_615_renamed_515 "gpio_inst/Mmux_wb_dat_o_615") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_21 "gpio_inst/Mmux_wb_dat_o_4_f5_21") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_21 "gpio_inst/Mmux_wb_dat_o_2_f6_21") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_430_renamed_516 "gpio_inst/Mmux_wb_dat_o_430") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_546_renamed_517 "gpio_inst/Mmux_wb_dat_o_546") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_22 "gpio_inst/Mmux_wb_dat_o_3_f5_22") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_547_renamed_518 "gpio_inst/Mmux_wb_dat_o_547") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_616_renamed_519 "gpio_inst/Mmux_wb_dat_o_616") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_22 "gpio_inst/Mmux_wb_dat_o_4_f5_22") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_22 "gpio_inst/Mmux_wb_dat_o_2_f6_22") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_431_renamed_520 "gpio_inst/Mmux_wb_dat_o_431") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_548_renamed_521 "gpio_inst/Mmux_wb_dat_o_548") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_23 "gpio_inst/Mmux_wb_dat_o_3_f5_23") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_549_renamed_522 "gpio_inst/Mmux_wb_dat_o_549") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_617_renamed_523 "gpio_inst/Mmux_wb_dat_o_617") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_23 "gpio_inst/Mmux_wb_dat_o_4_f5_23") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_23 "gpio_inst/Mmux_wb_dat_o_2_f6_23") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_432 "gpio_inst/Mmux_wb_dat_o_432") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_550_renamed_524 "gpio_inst/Mmux_wb_dat_o_550") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_24 "gpio_inst/Mmux_wb_dat_o_3_f5_24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_551_renamed_525 "gpio_inst/Mmux_wb_dat_o_551") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_618_renamed_526 "gpio_inst/Mmux_wb_dat_o_618") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_24 "gpio_inst/Mmux_wb_dat_o_4_f5_24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_24 "gpio_inst/Mmux_wb_dat_o_2_f6_24") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_433 "gpio_inst/Mmux_wb_dat_o_433") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_552_renamed_527 "gpio_inst/Mmux_wb_dat_o_552") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_25 "gpio_inst/Mmux_wb_dat_o_3_f5_25") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_553_renamed_528 "gpio_inst/Mmux_wb_dat_o_553") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_619_renamed_529 "gpio_inst/Mmux_wb_dat_o_619") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_25 "gpio_inst/Mmux_wb_dat_o_4_f5_25") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_25 "gpio_inst/Mmux_wb_dat_o_2_f6_25") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_434 "gpio_inst/Mmux_wb_dat_o_434") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_554_renamed_530 "gpio_inst/Mmux_wb_dat_o_554") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_26 "gpio_inst/Mmux_wb_dat_o_3_f5_26") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_555_renamed_531 "gpio_inst/Mmux_wb_dat_o_555") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_620_renamed_532 "gpio_inst/Mmux_wb_dat_o_620") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_26 "gpio_inst/Mmux_wb_dat_o_4_f5_26") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_26 "gpio_inst/Mmux_wb_dat_o_2_f6_26") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_435 "gpio_inst/Mmux_wb_dat_o_435") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_556_renamed_533 "gpio_inst/Mmux_wb_dat_o_556") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_27 "gpio_inst/Mmux_wb_dat_o_3_f5_27") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_557_renamed_534 "gpio_inst/Mmux_wb_dat_o_557") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_621_renamed_535 "gpio_inst/Mmux_wb_dat_o_621") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_27 "gpio_inst/Mmux_wb_dat_o_4_f5_27") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_27 "gpio_inst/Mmux_wb_dat_o_2_f6_27") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_436 "gpio_inst/Mmux_wb_dat_o_436") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_558_renamed_536 "gpio_inst/Mmux_wb_dat_o_558") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_28 "gpio_inst/Mmux_wb_dat_o_3_f5_28") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_559_renamed_537 "gpio_inst/Mmux_wb_dat_o_559") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_622_renamed_538 "gpio_inst/Mmux_wb_dat_o_622") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_28 "gpio_inst/Mmux_wb_dat_o_4_f5_28") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_28 "gpio_inst/Mmux_wb_dat_o_2_f6_28") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_437 "gpio_inst/Mmux_wb_dat_o_437") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_560_renamed_539 "gpio_inst/Mmux_wb_dat_o_560") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_29 "gpio_inst/Mmux_wb_dat_o_3_f5_29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_561_renamed_540 "gpio_inst/Mmux_wb_dat_o_561") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_623_renamed_541 "gpio_inst/Mmux_wb_dat_o_623") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_29 "gpio_inst/Mmux_wb_dat_o_4_f5_29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_29 "gpio_inst/Mmux_wb_dat_o_2_f6_29") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_438 "gpio_inst/Mmux_wb_dat_o_438") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_562_renamed_542 "gpio_inst/Mmux_wb_dat_o_562") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_30 "gpio_inst/Mmux_wb_dat_o_3_f5_30") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_563_renamed_543 "gpio_inst/Mmux_wb_dat_o_563") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_624_renamed_544 "gpio_inst/Mmux_wb_dat_o_624") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_30 "gpio_inst/Mmux_wb_dat_o_4_f5_30") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_30 "gpio_inst/Mmux_wb_dat_o_2_f6_30") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_48 "gpio_inst/gpio_o_48") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_6_renamed_545 "gpio_inst/output_mapper_q_1_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_5_renamed_546 "gpio_inst/output_mapper_q_1_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_4_renamed_547 "gpio_inst/output_mapper_q_1_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_3_renamed_548 "gpio_inst/output_mapper_q_1_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_2_renamed_549 "gpio_inst/output_mapper_q_1_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_1_renamed_550 "gpio_inst/output_mapper_q_1_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_0_renamed_551 "gpio_inst/output_mapper_q_1_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_5_renamed_552 "gpio_inst/input_mapper_q_47_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_4_renamed_553 "gpio_inst/input_mapper_q_47_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_3_renamed_554 "gpio_inst/input_mapper_q_47_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_2_renamed_555 "gpio_inst/input_mapper_q_47_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_1_renamed_556 "gpio_inst/input_mapper_q_47_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_0_renamed_557 "gpio_inst/input_mapper_q_47_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_5_renamed_558 "gpio_inst/input_mapper_q_46_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_4_renamed_559 "gpio_inst/input_mapper_q_46_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_3_renamed_560 "gpio_inst/input_mapper_q_46_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_2_renamed_561 "gpio_inst/input_mapper_q_46_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_1_renamed_562 "gpio_inst/input_mapper_q_46_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_0_renamed_563 "gpio_inst/input_mapper_q_46_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_6_renamed_564 "gpio_inst/output_mapper_q_0_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_5_renamed_565 "gpio_inst/output_mapper_q_0_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_4_renamed_566 "gpio_inst/output_mapper_q_0_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_3_renamed_567 "gpio_inst/output_mapper_q_0_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_2_renamed_568 "gpio_inst/output_mapper_q_0_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_1_renamed_569 "gpio_inst/output_mapper_q_0_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_0_renamed_570 "gpio_inst/output_mapper_q_0_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_5_renamed_571 "gpio_inst/input_mapper_q_44_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_4_renamed_572 "gpio_inst/input_mapper_q_44_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_3_renamed_573 "gpio_inst/input_mapper_q_44_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_2_renamed_574 "gpio_inst/input_mapper_q_44_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_1_renamed_575 "gpio_inst/input_mapper_q_44_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_0_renamed_576 "gpio_inst/input_mapper_q_44_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_5_renamed_577 "gpio_inst/input_mapper_q_43_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_4_renamed_578 "gpio_inst/input_mapper_q_43_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_3_renamed_579 "gpio_inst/input_mapper_q_43_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_2_renamed_580 "gpio_inst/input_mapper_q_43_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_1_renamed_581 "gpio_inst/input_mapper_q_43_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_0_renamed_582 "gpio_inst/input_mapper_q_43_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_5_renamed_583 "gpio_inst/input_mapper_q_45_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_4_renamed_584 "gpio_inst/input_mapper_q_45_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_3_renamed_585 "gpio_inst/input_mapper_q_45_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_2_renamed_586 "gpio_inst/input_mapper_q_45_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_1_renamed_587 "gpio_inst/input_mapper_q_45_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_0_renamed_588 "gpio_inst/input_mapper_q_45_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_5_renamed_589 "gpio_inst/input_mapper_q_41_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_4_renamed_590 "gpio_inst/input_mapper_q_41_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_3_renamed_591 "gpio_inst/input_mapper_q_41_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_2_renamed_592 "gpio_inst/input_mapper_q_41_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_1_renamed_593 "gpio_inst/input_mapper_q_41_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_0_renamed_594 "gpio_inst/input_mapper_q_41_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_5_renamed_595 "gpio_inst/input_mapper_q_40_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_4_renamed_596 "gpio_inst/input_mapper_q_40_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_3_renamed_597 "gpio_inst/input_mapper_q_40_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_2_renamed_598 "gpio_inst/input_mapper_q_40_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_1_renamed_599 "gpio_inst/input_mapper_q_40_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_0_renamed_600 "gpio_inst/input_mapper_q_40_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_5_renamed_601 "gpio_inst/input_mapper_q_42_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_4_renamed_602 "gpio_inst/input_mapper_q_42_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_3_renamed_603 "gpio_inst/input_mapper_q_42_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_2_renamed_604 "gpio_inst/input_mapper_q_42_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_1_renamed_605 "gpio_inst/input_mapper_q_42_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_0_renamed_606 "gpio_inst/input_mapper_q_42_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_5_renamed_607 "gpio_inst/input_mapper_q_32_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_4_renamed_608 "gpio_inst/input_mapper_q_32_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_3_renamed_609 "gpio_inst/input_mapper_q_32_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_2_renamed_610 "gpio_inst/input_mapper_q_32_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_1_renamed_611 "gpio_inst/input_mapper_q_32_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_0_renamed_612 "gpio_inst/input_mapper_q_32_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_5_renamed_613 "gpio_inst/input_mapper_q_31_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_4_renamed_614 "gpio_inst/input_mapper_q_31_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_3_renamed_615 "gpio_inst/input_mapper_q_31_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_2_renamed_616 "gpio_inst/input_mapper_q_31_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_1_renamed_617 "gpio_inst/input_mapper_q_31_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_0_renamed_618 "gpio_inst/input_mapper_q_31_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_5_renamed_619 "gpio_inst/input_mapper_q_33_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_4_renamed_620 "gpio_inst/input_mapper_q_33_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_3_renamed_621 "gpio_inst/input_mapper_q_33_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_2_renamed_622 "gpio_inst/input_mapper_q_33_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_1_renamed_623 "gpio_inst/input_mapper_q_33_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_0_renamed_624 "gpio_inst/input_mapper_q_33_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_5_renamed_625 "gpio_inst/input_mapper_q_29_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_4_renamed_626 "gpio_inst/input_mapper_q_29_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_3_renamed_627 "gpio_inst/input_mapper_q_29_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_2_renamed_628 "gpio_inst/input_mapper_q_29_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_1_renamed_629 "gpio_inst/input_mapper_q_29_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_0_renamed_630 "gpio_inst/input_mapper_q_29_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_5_renamed_631 "gpio_inst/input_mapper_q_28_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_4_renamed_632 "gpio_inst/input_mapper_q_28_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_3_renamed_633 "gpio_inst/input_mapper_q_28_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_2_renamed_634 "gpio_inst/input_mapper_q_28_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_1_renamed_635 "gpio_inst/input_mapper_q_28_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_0_renamed_636 "gpio_inst/input_mapper_q_28_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_5_renamed_637 "gpio_inst/input_mapper_q_30_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_4_renamed_638 "gpio_inst/input_mapper_q_30_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_3_renamed_639 "gpio_inst/input_mapper_q_30_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_2_renamed_640 "gpio_inst/input_mapper_q_30_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_1_renamed_641 "gpio_inst/input_mapper_q_30_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_0_renamed_642 "gpio_inst/input_mapper_q_30_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_5_renamed_643 "gpio_inst/input_mapper_q_26_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_4_renamed_644 "gpio_inst/input_mapper_q_26_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_3_renamed_645 "gpio_inst/input_mapper_q_26_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_2_renamed_646 "gpio_inst/input_mapper_q_26_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_1_renamed_647 "gpio_inst/input_mapper_q_26_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_0_renamed_648 "gpio_inst/input_mapper_q_26_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_5_renamed_649 "gpio_inst/input_mapper_q_25_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_4_renamed_650 "gpio_inst/input_mapper_q_25_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_3_renamed_651 "gpio_inst/input_mapper_q_25_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_2_renamed_652 "gpio_inst/input_mapper_q_25_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_1_renamed_653 "gpio_inst/input_mapper_q_25_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_0_renamed_654 "gpio_inst/input_mapper_q_25_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_5_renamed_655 "gpio_inst/input_mapper_q_27_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_4_renamed_656 "gpio_inst/input_mapper_q_27_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_3_renamed_657 "gpio_inst/input_mapper_q_27_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_2_renamed_658 "gpio_inst/input_mapper_q_27_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_1_renamed_659 "gpio_inst/input_mapper_q_27_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_0_renamed_660 "gpio_inst/input_mapper_q_27_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_5_renamed_661 "gpio_inst/input_mapper_q_24_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_4_renamed_662 "gpio_inst/input_mapper_q_24_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_3_renamed_663 "gpio_inst/input_mapper_q_24_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_2_renamed_664 "gpio_inst/input_mapper_q_24_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_1_renamed_665 "gpio_inst/input_mapper_q_24_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_0_renamed_666 "gpio_inst/input_mapper_q_24_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_5_renamed_667 "gpio_inst/input_mapper_q_14_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_4_renamed_668 "gpio_inst/input_mapper_q_14_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_3_renamed_669 "gpio_inst/input_mapper_q_14_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_2_renamed_670 "gpio_inst/input_mapper_q_14_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_1_renamed_671 "gpio_inst/input_mapper_q_14_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_0_renamed_672 "gpio_inst/input_mapper_q_14_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_5_renamed_673 "gpio_inst/input_mapper_q_13_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_4_renamed_674 "gpio_inst/input_mapper_q_13_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_3_renamed_675 "gpio_inst/input_mapper_q_13_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_2_renamed_676 "gpio_inst/input_mapper_q_13_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_1_renamed_677 "gpio_inst/input_mapper_q_13_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_0_renamed_678 "gpio_inst/input_mapper_q_13_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_5_renamed_679 "gpio_inst/input_mapper_q_15_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_4_renamed_680 "gpio_inst/input_mapper_q_15_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_3_renamed_681 "gpio_inst/input_mapper_q_15_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_2_renamed_682 "gpio_inst/input_mapper_q_15_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_1_renamed_683 "gpio_inst/input_mapper_q_15_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_0_renamed_684 "gpio_inst/input_mapper_q_15_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_5_renamed_685 "gpio_inst/input_mapper_q_11_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_4_renamed_686 "gpio_inst/input_mapper_q_11_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_3_renamed_687 "gpio_inst/input_mapper_q_11_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_2_renamed_688 "gpio_inst/input_mapper_q_11_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_1_renamed_689 "gpio_inst/input_mapper_q_11_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_0_renamed_690 "gpio_inst/input_mapper_q_11_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_5_renamed_691 "gpio_inst/input_mapper_q_10_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_4_renamed_692 "gpio_inst/input_mapper_q_10_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_3_renamed_693 "gpio_inst/input_mapper_q_10_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_2_renamed_694 "gpio_inst/input_mapper_q_10_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_1_renamed_695 "gpio_inst/input_mapper_q_10_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_0_renamed_696 "gpio_inst/input_mapper_q_10_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_5_renamed_697 "gpio_inst/input_mapper_q_12_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_4_renamed_698 "gpio_inst/input_mapper_q_12_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_3_renamed_699 "gpio_inst/input_mapper_q_12_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_2_renamed_700 "gpio_inst/input_mapper_q_12_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_1_renamed_701 "gpio_inst/input_mapper_q_12_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_0_renamed_702 "gpio_inst/input_mapper_q_12_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_5_renamed_703 "gpio_inst/input_mapper_q_8_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_4_renamed_704 "gpio_inst/input_mapper_q_8_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_3_renamed_705 "gpio_inst/input_mapper_q_8_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_2_renamed_706 "gpio_inst/input_mapper_q_8_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_1_renamed_707 "gpio_inst/input_mapper_q_8_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_0_renamed_708 "gpio_inst/input_mapper_q_8_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_5_renamed_709 "gpio_inst/input_mapper_q_7_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_4_renamed_710 "gpio_inst/input_mapper_q_7_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_3_renamed_711 "gpio_inst/input_mapper_q_7_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_2_renamed_712 "gpio_inst/input_mapper_q_7_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_1_renamed_713 "gpio_inst/input_mapper_q_7_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_0_renamed_714 "gpio_inst/input_mapper_q_7_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_5_renamed_715 "gpio_inst/input_mapper_q_9_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_4_renamed_716 "gpio_inst/input_mapper_q_9_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_3_renamed_717 "gpio_inst/input_mapper_q_9_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_2_renamed_718 "gpio_inst/input_mapper_q_9_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_1_renamed_719 "gpio_inst/input_mapper_q_9_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_0_renamed_720 "gpio_inst/input_mapper_q_9_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_5_renamed_721 "gpio_inst/input_mapper_q_6_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_4_renamed_722 "gpio_inst/input_mapper_q_6_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_3_renamed_723 "gpio_inst/input_mapper_q_6_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_2_renamed_724 "gpio_inst/input_mapper_q_6_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_1_renamed_725 "gpio_inst/input_mapper_q_6_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_0_renamed_726 "gpio_inst/input_mapper_q_6_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_5_renamed_727 "gpio_inst/input_mapper_q_5_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_4_renamed_728 "gpio_inst/input_mapper_q_5_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_3_renamed_729 "gpio_inst/input_mapper_q_5_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_2_renamed_730 "gpio_inst/input_mapper_q_5_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_1_renamed_731 "gpio_inst/input_mapper_q_5_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_0_renamed_732 "gpio_inst/input_mapper_q_5_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_5_renamed_733 "gpio_inst/input_mapper_q_3_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_4_renamed_734 "gpio_inst/input_mapper_q_3_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_3_renamed_735 "gpio_inst/input_mapper_q_3_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_2_renamed_736 "gpio_inst/input_mapper_q_3_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_1_renamed_737 "gpio_inst/input_mapper_q_3_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_0_renamed_738 "gpio_inst/input_mapper_q_3_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_5_renamed_739 "gpio_inst/input_mapper_q_2_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_4_renamed_740 "gpio_inst/input_mapper_q_2_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_3_renamed_741 "gpio_inst/input_mapper_q_2_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_2_renamed_742 "gpio_inst/input_mapper_q_2_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_1_renamed_743 "gpio_inst/input_mapper_q_2_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_0_renamed_744 "gpio_inst/input_mapper_q_2_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_5_renamed_745 "gpio_inst/input_mapper_q_4_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_4_renamed_746 "gpio_inst/input_mapper_q_4_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_3_renamed_747 "gpio_inst/input_mapper_q_4_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_2_renamed_748 "gpio_inst/input_mapper_q_4_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_1_renamed_749 "gpio_inst/input_mapper_q_4_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_0_renamed_750 "gpio_inst/input_mapper_q_4_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_5_renamed_751 "gpio_inst/input_mapper_q_0_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_4_renamed_752 "gpio_inst/input_mapper_q_0_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_3_renamed_753 "gpio_inst/input_mapper_q_0_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_2_renamed_754 "gpio_inst/input_mapper_q_0_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_1_renamed_755 "gpio_inst/input_mapper_q_0_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_0_renamed_756 "gpio_inst/input_mapper_q_0_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_95 "gpio_inst/ppspin_q_95") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_5_renamed_757 "gpio_inst/input_mapper_q_1_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_4_renamed_758 "gpio_inst/input_mapper_q_1_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_3_renamed_759 "gpio_inst/input_mapper_q_1_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_2_renamed_760 "gpio_inst/input_mapper_q_1_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_1_renamed_761 "gpio_inst/input_mapper_q_1_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_0_renamed_762 "gpio_inst/input_mapper_q_1_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_89 "gpio_inst/ppspin_q_89") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_93 "gpio_inst/ppspin_q_93") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_94 "gpio_inst/ppspin_q_94") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_92 "gpio_inst/ppspin_q_92") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_87 "gpio_inst/ppspin_q_87") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_88 "gpio_inst/ppspin_q_88") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_86 "gpio_inst/ppspin_q_86") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_90 "gpio_inst/ppspin_q_90") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_91 "gpio_inst/ppspin_q_91") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_84 "gpio_inst/ppspin_q_84") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_79 "gpio_inst/ppspin_q_79") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_85 "gpio_inst/ppspin_q_85") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_78 "gpio_inst/ppspin_q_78") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_82 "gpio_inst/ppspin_q_82") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_83 "gpio_inst/ppspin_q_83") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_81 "gpio_inst/ppspin_q_81") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_76 "gpio_inst/ppspin_q_76") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_77 "gpio_inst/ppspin_q_77") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_75 "gpio_inst/ppspin_q_75") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_74 "gpio_inst/ppspin_q_74") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_80 "gpio_inst/ppspin_q_80") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_73 "gpio_inst/ppspin_q_73") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_68 "gpio_inst/ppspin_q_68") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_69 "gpio_inst/ppspin_q_69") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_67 "gpio_inst/ppspin_q_67") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_71 "gpio_inst/ppspin_q_71") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_72 "gpio_inst/ppspin_q_72") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_70 "gpio_inst/ppspin_q_70") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_65 "gpio_inst/ppspin_q_65") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_66 "gpio_inst/ppspin_q_66") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_59 "gpio_inst/ppspin_q_59") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_63 "gpio_inst/ppspin_q_63") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_64 "gpio_inst/ppspin_q_64") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_62 "gpio_inst/ppspin_q_62") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_57 "gpio_inst/ppspin_q_57") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_58 "gpio_inst/ppspin_q_58") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_56 "gpio_inst/ppspin_q_56") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_61 "gpio_inst/ppspin_q_61") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_60 "gpio_inst/ppspin_q_60") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_55 "gpio_inst/ppspin_q_55") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_54 "gpio_inst/ppspin_q_54") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_49 "gpio_inst/ppspin_q_49") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_53 "gpio_inst/ppspin_q_53") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_48 "gpio_inst/ppspin_q_48") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_52 "gpio_inst/ppspin_q_52") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_47 "gpio_inst/ppspin_q_47") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_51 "gpio_inst/ppspin_q_51") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_46 "gpio_inst/ppspin_q_46") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_50 "gpio_inst/ppspin_q_50") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_45 "gpio_inst/ppspin_q_45") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_44 "gpio_inst/ppspin_q_44") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_39 "gpio_inst/ppspin_q_39") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_43 "gpio_inst/ppspin_q_43") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_38 "gpio_inst/ppspin_q_38") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_42 "gpio_inst/ppspin_q_42") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_37 "gpio_inst/ppspin_q_37") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_41 "gpio_inst/ppspin_q_41") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_36 "gpio_inst/ppspin_q_36") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_40 "gpio_inst/ppspin_q_40") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_34 "gpio_inst/ppspin_q_34") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_35 "gpio_inst/ppspin_q_35") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_33 "gpio_inst/ppspin_q_33") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_28 "gpio_inst/ppspin_q_28") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_29 "gpio_inst/ppspin_q_29") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_27 "gpio_inst/ppspin_q_27") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_26 "gpio_inst/ppspin_q_26") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_32 "gpio_inst/ppspin_q_32") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_30 "gpio_inst/ppspin_q_30") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_25 "gpio_inst/ppspin_q_25") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_31 "gpio_inst/ppspin_q_31") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_24 "gpio_inst/ppspin_q_24") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_18 "gpio_inst/ppspin_q_18") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_19 "gpio_inst/ppspin_q_19") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_17 "gpio_inst/ppspin_q_17") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_22 "gpio_inst/ppspin_q_22") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_23 "gpio_inst/ppspin_q_23") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_16 "gpio_inst/ppspin_q_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_21 "gpio_inst/ppspin_q_21") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_20 "gpio_inst/ppspin_q_20") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_14 "gpio_inst/ppspin_q_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_15 "gpio_inst/ppspin_q_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_12 "gpio_inst/ppspin_q_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_11 "gpio_inst/ppspin_q_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_13 "gpio_inst/ppspin_q_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_48 "gpio_inst/gpio_tris_q_48") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_10 "gpio_inst/ppspin_q_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_39 "gpio_inst/gpio_tris_q_39") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_38 "gpio_inst/gpio_tris_q_38") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_36 "gpio_inst/gpio_tris_q_36") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_37 "gpio_inst/gpio_tris_q_37") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_35 "gpio_inst/gpio_tris_q_35") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_34 "gpio_inst/gpio_tris_q_34") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_19 "gpio_inst/gpio_tris_q_19") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_23 "gpio_inst/gpio_tris_q_23") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_9 "gpio_inst/ppspin_q_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_18 "gpio_inst/gpio_tris_q_18") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_22 "gpio_inst/gpio_tris_q_22") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_8 "gpio_inst/ppspin_q_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_17 "gpio_inst/gpio_tris_q_17") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_21 "gpio_inst/gpio_tris_q_21") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_7 "gpio_inst/ppspin_q_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_16 "gpio_inst/gpio_tris_q_16") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_20 "gpio_inst/gpio_tris_q_20") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_6 "gpio_inst/ppspin_q_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_5 "gpio_inst/ppspin_q_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_4 "gpio_inst/ppspin_q_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_2 "gpio_inst/ppspin_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_3 "gpio_inst/ppspin_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_1 "gpio_inst/ppspin_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_0 "gpio_inst/ppspin_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_127 "gpio_inst/ppspin_q_127") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_126 "gpio_inst/ppspin_q_126") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_125 "gpio_inst/ppspin_q_125") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_119 "gpio_inst/ppspin_q_119") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_124 "gpio_inst/ppspin_q_124") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_123 "gpio_inst/ppspin_q_123") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_118 "gpio_inst/ppspin_q_118") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_117 "gpio_inst/ppspin_q_117") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_122 "gpio_inst/ppspin_q_122") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_116 "gpio_inst/ppspin_q_116") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_121 "gpio_inst/ppspin_q_121") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_115 "gpio_inst/ppspin_q_115") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_120 "gpio_inst/ppspin_q_120") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_109 "gpio_inst/ppspin_q_109") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_114 "gpio_inst/ppspin_q_114") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_108 "gpio_inst/ppspin_q_108") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_113 "gpio_inst/ppspin_q_113") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_107 "gpio_inst/ppspin_q_107") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_111 "gpio_inst/ppspin_q_111") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_112 "gpio_inst/ppspin_q_112") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_110 "gpio_inst/ppspin_q_110") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_105 "gpio_inst/ppspin_q_105") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_106 "gpio_inst/ppspin_q_106") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_103 "gpio_inst/ppspin_q_103") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_102 "gpio_inst/ppspin_q_102") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_104 "gpio_inst/ppspin_q_104") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_100 "gpio_inst/ppspin_q_100") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_48 "gpio_inst/gpio_q_48") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_101 "gpio_inst/ppspin_q_101") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_46 "gpio_inst/gpio_q_46") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_45 "gpio_inst/gpio_q_45") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_47 "gpio_inst/gpio_q_47") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_43 "gpio_inst/gpio_q_43") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_44 "gpio_inst/gpio_q_44") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_42 "gpio_inst/gpio_q_42") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_40 "gpio_inst/gpio_q_40") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_41 "gpio_inst/gpio_q_41") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_29 "gpio_inst/gpio_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_33 "gpio_inst/gpio_q_33") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_28 "gpio_inst/gpio_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_32 "gpio_inst/gpio_q_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_26 "gpio_inst/gpio_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_27 "gpio_inst/gpio_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_25 "gpio_inst/gpio_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_30 "gpio_inst/gpio_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_31 "gpio_inst/gpio_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_24 "gpio_inst/gpio_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_15 "gpio_inst/gpio_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_14 "gpio_inst/gpio_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_13 "gpio_inst/gpio_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_11 "gpio_inst/gpio_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_10 "gpio_inst/gpio_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_12 "gpio_inst/gpio_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_9 "gpio_inst/gpio_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_8 "gpio_inst/gpio_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_6 "gpio_inst/gpio_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_5 "gpio_inst/gpio_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_7 "gpio_inst/gpio_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_3 "gpio_inst/gpio_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_2 "gpio_inst/gpio_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_4 "gpio_inst/gpio_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_0 "gpio_inst/gpio_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_99 "gpio_inst/ppspin_q_99") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_1 "gpio_inst/gpio_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_97 "gpio_inst/ppspin_q_97") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_96 "gpio_inst/ppspin_q_96") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_98 "gpio_inst/ppspin_q_98") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_17__ "sigmadelta_inst/Maccum_sigma_latch2_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_16__ "sigmadelta_inst/Maccum_sigma_latch2_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_16__ "sigmadelta_inst/Maccum_sigma_latch2_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_16__ "sigmadelta_inst/Maccum_sigma_latch2_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_15__ "sigmadelta_inst/Maccum_sigma_latch2_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_15__ "sigmadelta_inst/Maccum_sigma_latch2_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_15__ "sigmadelta_inst/Maccum_sigma_latch2_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_14__ "sigmadelta_inst/Maccum_sigma_latch2_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_14__ "sigmadelta_inst/Maccum_sigma_latch2_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_14__ "sigmadelta_inst/Maccum_sigma_latch2_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_13__ "sigmadelta_inst/Maccum_sigma_latch2_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_13__ "sigmadelta_inst/Maccum_sigma_latch2_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_13__ "sigmadelta_inst/Maccum_sigma_latch2_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_12__ "sigmadelta_inst/Maccum_sigma_latch2_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_12__ "sigmadelta_inst/Maccum_sigma_latch2_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_12__ "sigmadelta_inst/Maccum_sigma_latch2_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_11__ "sigmadelta_inst/Maccum_sigma_latch2_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_11__ "sigmadelta_inst/Maccum_sigma_latch2_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_11__ "sigmadelta_inst/Maccum_sigma_latch2_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_10__ "sigmadelta_inst/Maccum_sigma_latch2_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_10__ "sigmadelta_inst/Maccum_sigma_latch2_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_10__ "sigmadelta_inst/Maccum_sigma_latch2_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_9__ "sigmadelta_inst/Maccum_sigma_latch2_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_9__ "sigmadelta_inst/Maccum_sigma_latch2_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_9__ "sigmadelta_inst/Maccum_sigma_latch2_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_8__ "sigmadelta_inst/Maccum_sigma_latch2_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_8__ "sigmadelta_inst/Maccum_sigma_latch2_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_8__ "sigmadelta_inst/Maccum_sigma_latch2_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_7__ "sigmadelta_inst/Maccum_sigma_latch2_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_7__ "sigmadelta_inst/Maccum_sigma_latch2_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_7__ "sigmadelta_inst/Maccum_sigma_latch2_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_6__ "sigmadelta_inst/Maccum_sigma_latch2_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_6__ "sigmadelta_inst/Maccum_sigma_latch2_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_6__ "sigmadelta_inst/Maccum_sigma_latch2_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_5__ "sigmadelta_inst/Maccum_sigma_latch2_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_5__ "sigmadelta_inst/Maccum_sigma_latch2_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_5__ "sigmadelta_inst/Maccum_sigma_latch2_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_4__ "sigmadelta_inst/Maccum_sigma_latch2_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_4__ "sigmadelta_inst/Maccum_sigma_latch2_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_4__ "sigmadelta_inst/Maccum_sigma_latch2_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_3__ "sigmadelta_inst/Maccum_sigma_latch2_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_3__ "sigmadelta_inst/Maccum_sigma_latch2_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_3__ "sigmadelta_inst/Maccum_sigma_latch2_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_2__ "sigmadelta_inst/Maccum_sigma_latch2_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_2__ "sigmadelta_inst/Maccum_sigma_latch2_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_2__ "sigmadelta_inst/Maccum_sigma_latch2_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_1__ "sigmadelta_inst/Maccum_sigma_latch2_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_1__ "sigmadelta_inst/Maccum_sigma_latch2_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_1__ "sigmadelta_inst/Maccum_sigma_latch2_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_0__ "sigmadelta_inst/Maccum_sigma_latch2_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_0__ "sigmadelta_inst/Maccum_sigma_latch2_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_0__ "sigmadelta_inst/Maccum_sigma_latch2_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_17__ "sigmadelta_inst/Maccum_sigma_latch1_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_16__ "sigmadelta_inst/Maccum_sigma_latch1_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_16__ "sigmadelta_inst/Maccum_sigma_latch1_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_16__ "sigmadelta_inst/Maccum_sigma_latch1_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_15__ "sigmadelta_inst/Maccum_sigma_latch1_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_15__ "sigmadelta_inst/Maccum_sigma_latch1_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_15__ "sigmadelta_inst/Maccum_sigma_latch1_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_14__ "sigmadelta_inst/Maccum_sigma_latch1_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_14__ "sigmadelta_inst/Maccum_sigma_latch1_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_14__ "sigmadelta_inst/Maccum_sigma_latch1_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_13__ "sigmadelta_inst/Maccum_sigma_latch1_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_13__ "sigmadelta_inst/Maccum_sigma_latch1_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_13__ "sigmadelta_inst/Maccum_sigma_latch1_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_12__ "sigmadelta_inst/Maccum_sigma_latch1_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_12__ "sigmadelta_inst/Maccum_sigma_latch1_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_12__ "sigmadelta_inst/Maccum_sigma_latch1_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_11__ "sigmadelta_inst/Maccum_sigma_latch1_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_11__ "sigmadelta_inst/Maccum_sigma_latch1_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_11__ "sigmadelta_inst/Maccum_sigma_latch1_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_10__ "sigmadelta_inst/Maccum_sigma_latch1_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_10__ "sigmadelta_inst/Maccum_sigma_latch1_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_10__ "sigmadelta_inst/Maccum_sigma_latch1_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_9__ "sigmadelta_inst/Maccum_sigma_latch1_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_9__ "sigmadelta_inst/Maccum_sigma_latch1_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_9__ "sigmadelta_inst/Maccum_sigma_latch1_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_8__ "sigmadelta_inst/Maccum_sigma_latch1_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_8__ "sigmadelta_inst/Maccum_sigma_latch1_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_8__ "sigmadelta_inst/Maccum_sigma_latch1_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_7__ "sigmadelta_inst/Maccum_sigma_latch1_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_7__ "sigmadelta_inst/Maccum_sigma_latch1_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_7__ "sigmadelta_inst/Maccum_sigma_latch1_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_6__ "sigmadelta_inst/Maccum_sigma_latch1_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_6__ "sigmadelta_inst/Maccum_sigma_latch1_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_6__ "sigmadelta_inst/Maccum_sigma_latch1_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_5__ "sigmadelta_inst/Maccum_sigma_latch1_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_5__ "sigmadelta_inst/Maccum_sigma_latch1_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_5__ "sigmadelta_inst/Maccum_sigma_latch1_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_4__ "sigmadelta_inst/Maccum_sigma_latch1_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_4__ "sigmadelta_inst/Maccum_sigma_latch1_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_4__ "sigmadelta_inst/Maccum_sigma_latch1_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_3__ "sigmadelta_inst/Maccum_sigma_latch1_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_3__ "sigmadelta_inst/Maccum_sigma_latch1_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_3__ "sigmadelta_inst/Maccum_sigma_latch1_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_2__ "sigmadelta_inst/Maccum_sigma_latch1_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_2__ "sigmadelta_inst/Maccum_sigma_latch1_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_2__ "sigmadelta_inst/Maccum_sigma_latch1_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_1__ "sigmadelta_inst/Maccum_sigma_latch1_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_1__ "sigmadelta_inst/Maccum_sigma_latch1_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_1__ "sigmadelta_inst/Maccum_sigma_latch1_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_0__ "sigmadelta_inst/Maccum_sigma_latch1_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_0__ "sigmadelta_inst/Maccum_sigma_latch1_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_0__ "sigmadelta_inst/Maccum_sigma_latch1_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_17 "sigmadelta_inst/sigma_latch2_17") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_17 "sigmadelta_inst/sigma_latch1_17") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_16 "sigmadelta_inst/sigma_latch2_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_14 "sigmadelta_inst/sigma_latch2_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_13 "sigmadelta_inst/sigma_latch2_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_15 "sigmadelta_inst/sigma_latch2_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_12 "sigmadelta_inst/sigma_latch2_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_11 "sigmadelta_inst/sigma_latch2_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_9 "sigmadelta_inst/sigma_latch2_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_8 "sigmadelta_inst/sigma_latch2_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_10 "sigmadelta_inst/sigma_latch2_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_7 "sigmadelta_inst/sigma_latch2_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_6 "sigmadelta_inst/sigma_latch2_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_4 "sigmadelta_inst/sigma_latch2_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_3 "sigmadelta_inst/sigma_latch2_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_5 "sigmadelta_inst/sigma_latch2_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_2 "sigmadelta_inst/sigma_latch2_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_1 "sigmadelta_inst/sigma_latch2_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_0 "sigmadelta_inst/sigma_latch2_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_16 "sigmadelta_inst/sigma_latch1_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_15 "sigmadelta_inst/sigma_latch1_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_13 "sigmadelta_inst/sigma_latch1_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_12 "sigmadelta_inst/sigma_latch1_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_14 "sigmadelta_inst/sigma_latch1_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_11 "sigmadelta_inst/sigma_latch1_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_10 "sigmadelta_inst/sigma_latch1_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_8 "sigmadelta_inst/sigma_latch1_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_7 "sigmadelta_inst/sigma_latch1_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_9 "sigmadelta_inst/sigma_latch1_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_6 "sigmadelta_inst/sigma_latch1_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_5 "sigmadelta_inst/sigma_latch1_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_3 "sigmadelta_inst/sigma_latch1_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_2 "sigmadelta_inst/sigma_latch1_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_4 "sigmadelta_inst/sigma_latch1_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_1 "sigmadelta_inst/sigma_latch1_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_0 "sigmadelta_inst/sigma_latch1_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sdout_1 "sigmadelta_inst/sdout_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sdout_0 "sigmadelta_inst/sdout_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_15 "sigmadelta_inst/sync_dat_q2_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_14 "sigmadelta_inst/sync_dat_q2_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_13 "sigmadelta_inst/sync_dat_q2_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_12 "sigmadelta_inst/sync_dat_q2_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_11 "sigmadelta_inst/sync_dat_q2_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_10 "sigmadelta_inst/sync_dat_q2_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_9 "sigmadelta_inst/sync_dat_q2_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_8 "sigmadelta_inst/sync_dat_q2_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_7 "sigmadelta_inst/sync_dat_q2_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_6 "sigmadelta_inst/sync_dat_q2_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_5 "sigmadelta_inst/sync_dat_q2_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_4 "sigmadelta_inst/sync_dat_q2_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_3 "sigmadelta_inst/sync_dat_q2_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_2 "sigmadelta_inst/sync_dat_q2_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_1 "sigmadelta_inst/sync_dat_q2_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_0 "sigmadelta_inst/sync_dat_q2_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_15 "sigmadelta_inst/sync_dat_q1_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_14 "sigmadelta_inst/sync_dat_q1_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_13 "sigmadelta_inst/sync_dat_q1_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_12 "sigmadelta_inst/sync_dat_q1_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_11 "sigmadelta_inst/sync_dat_q1_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_10 "sigmadelta_inst/sync_dat_q1_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_9 "sigmadelta_inst/sync_dat_q1_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_8 "sigmadelta_inst/sync_dat_q1_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_7 "sigmadelta_inst/sync_dat_q1_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_6 "sigmadelta_inst/sync_dat_q1_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_5 "sigmadelta_inst/sync_dat_q1_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_4 "sigmadelta_inst/sync_dat_q1_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_3 "sigmadelta_inst/sync_dat_q1_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_2 "sigmadelta_inst/sync_dat_q1_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_1 "sigmadelta_inst/sync_dat_q1_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_0 "sigmadelta_inst/sync_dat_q1_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sd_en_q_1 "sigmadelta_inst/sd_en_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sd_en_q_0 "sigmadelta_inst/sd_en_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_8 "sigmadelta_inst/dat_q1_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_9 "sigmadelta_inst/dat_q1_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_7 "sigmadelta_inst/dat_q1_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_15 "sigmadelta_inst/dat_q2_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_14 "sigmadelta_inst/dat_q2_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_5 "sigmadelta_inst/dat_q1_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_6 "sigmadelta_inst/dat_q1_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_4 "sigmadelta_inst/dat_q1_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_12 "sigmadelta_inst/dat_q2_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_13 "sigmadelta_inst/dat_q2_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_11 "sigmadelta_inst/dat_q2_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_2 "sigmadelta_inst/dat_q1_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_3 "sigmadelta_inst/dat_q1_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_10 "sigmadelta_inst/dat_q2_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_1 "sigmadelta_inst/dat_q1_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_0 "sigmadelta_inst/dat_q1_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_14 "sigmadelta_inst/dat_q1_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_9 "sigmadelta_inst/dat_q2_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_15 "sigmadelta_inst/dat_q1_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_8 "sigmadelta_inst/dat_q2_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_12 "sigmadelta_inst/dat_q1_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_13 "sigmadelta_inst/dat_q1_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_7 "sigmadelta_inst/dat_q2_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_11 "sigmadelta_inst/dat_q1_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_10 "sigmadelta_inst/dat_q1_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_5 "sigmadelta_inst/dat_q2_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_6 "sigmadelta_inst/dat_q2_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_3 "sigmadelta_inst/dat_q2_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_2 "sigmadelta_inst/dat_q2_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_4 "sigmadelta_inst/dat_q2_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_0 "sigmadelta_inst/dat_q2_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_le_q_renamed_763 "sigmadelta_inst/le_q") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_1 "sigmadelta_inst/dat_q2_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_15__3_renamed_764 "crc16_inst/Mmux_wb_dat_o<15>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_15__4_renamed_765 "crc16_inst/Mmux_wb_dat_o<15>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_15__2_f5 "crc16_inst/Mmux_wb_dat_o<15>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_14__3_renamed_766 "crc16_inst/Mmux_wb_dat_o<14>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_14__4_renamed_767 "crc16_inst/Mmux_wb_dat_o<14>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_14__2_f5 "crc16_inst/Mmux_wb_dat_o<14>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_13__3_renamed_768 "crc16_inst/Mmux_wb_dat_o<13>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_13__4_renamed_769 "crc16_inst/Mmux_wb_dat_o<13>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_13__2_f5 "crc16_inst/Mmux_wb_dat_o<13>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_12__3_renamed_770 "crc16_inst/Mmux_wb_dat_o<12>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_12__4_renamed_771 "crc16_inst/Mmux_wb_dat_o<12>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_12__2_f5 "crc16_inst/Mmux_wb_dat_o<12>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_11__3_renamed_772 "crc16_inst/Mmux_wb_dat_o<11>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_11__4_renamed_773 "crc16_inst/Mmux_wb_dat_o<11>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_11__2_f5 "crc16_inst/Mmux_wb_dat_o<11>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_10__3_renamed_774 "crc16_inst/Mmux_wb_dat_o<10>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_10__4_renamed_775 "crc16_inst/Mmux_wb_dat_o<10>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_10__2_f5 "crc16_inst/Mmux_wb_dat_o<10>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_9__3_renamed_776 "crc16_inst/Mmux_wb_dat_o<9>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_9__4_renamed_777 "crc16_inst/Mmux_wb_dat_o<9>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_9__2_f5 "crc16_inst/Mmux_wb_dat_o<9>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_8__3_renamed_778 "crc16_inst/Mmux_wb_dat_o<8>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_8__4_renamed_779 "crc16_inst/Mmux_wb_dat_o<8>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_8__2_f5 "crc16_inst/Mmux_wb_dat_o<8>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_7__3_renamed_780 "crc16_inst/Mmux_wb_dat_o<7>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_7__4_renamed_781 "crc16_inst/Mmux_wb_dat_o<7>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_7__2_f5 "crc16_inst/Mmux_wb_dat_o<7>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_6__3_renamed_782 "crc16_inst/Mmux_wb_dat_o<6>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_6__4_renamed_783 "crc16_inst/Mmux_wb_dat_o<6>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_6__2_f5 "crc16_inst/Mmux_wb_dat_o<6>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_5__3_renamed_784 "crc16_inst/Mmux_wb_dat_o<5>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_5__4_renamed_785 "crc16_inst/Mmux_wb_dat_o<5>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_5__2_f5 "crc16_inst/Mmux_wb_dat_o<5>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_4__3_renamed_786 "crc16_inst/Mmux_wb_dat_o<4>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_4__4_renamed_787 "crc16_inst/Mmux_wb_dat_o<4>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_4__2_f5 "crc16_inst/Mmux_wb_dat_o<4>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_3__3_renamed_788 "crc16_inst/Mmux_wb_dat_o<3>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_3__4_renamed_789 "crc16_inst/Mmux_wb_dat_o<3>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_3__2_f5 "crc16_inst/Mmux_wb_dat_o<3>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_2__3_renamed_790 "crc16_inst/Mmux_wb_dat_o<2>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_2__4_renamed_791 "crc16_inst/Mmux_wb_dat_o<2>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_2__2_f5 "crc16_inst/Mmux_wb_dat_o<2>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_0__3_renamed_792 "crc16_inst/Mmux_wb_dat_o<0>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_0__4_renamed_793 "crc16_inst/Mmux_wb_dat_o<0>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_0__2_f5 "crc16_inst/Mmux_wb_dat_o<0>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_1__3_renamed_794 "crc16_inst/Mmux_wb_dat_o<1>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_1__4_renamed_795 "crc16_inst/Mmux_wb_dat_o<1>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_1__2_f5 "crc16_inst/Mmux_wb_dat_o<1>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_15 "crc16_inst/crcB_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_14 "crc16_inst/crcB_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_13 "crc16_inst/crcB_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_12 "crc16_inst/crcB_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_11 "crc16_inst/crcB_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_10 "crc16_inst/crcB_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_9 "crc16_inst/crcB_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_8 "crc16_inst/crcB_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_7 "crc16_inst/crcB_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_6 "crc16_inst/crcB_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_5 "crc16_inst/crcB_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_4 "crc16_inst/crcB_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_3 "crc16_inst/crcB_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_2 "crc16_inst/crcB_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_1 "crc16_inst/crcB_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_0 "crc16_inst/crcB_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_15 "crc16_inst/crcA_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_14 "crc16_inst/crcA_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_13 "crc16_inst/crcA_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_12 "crc16_inst/crcA_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_11 "crc16_inst/crcA_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_10 "crc16_inst/crcA_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_9 "crc16_inst/crcA_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_8 "crc16_inst/crcA_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_7 "crc16_inst/crcA_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_6 "crc16_inst/crcA_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_5 "crc16_inst/crcA_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_4 "crc16_inst/crcA_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_3 "crc16_inst/crcA_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_2 "crc16_inst/crcA_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_1 "crc16_inst/crcA_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_0 "crc16_inst/crcA_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_15 "crc16_inst/poly_q_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_14 "crc16_inst/poly_q_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_13 "crc16_inst/poly_q_13") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_12 "crc16_inst/poly_q_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_11 "crc16_inst/poly_q_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_10 "crc16_inst/poly_q_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_9 "crc16_inst/poly_q_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_8 "crc16_inst/poly_q_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_7 "crc16_inst/poly_q_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_6 "crc16_inst/poly_q_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_5 "crc16_inst/poly_q_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_4 "crc16_inst/poly_q_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_3 "crc16_inst/poly_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_2 "crc16_inst/poly_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_1 "crc16_inst/poly_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_0 "crc16_inst/poly_q_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_2 "crc16_inst/count_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_1 "crc16_inst/count_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_0 "crc16_inst/count_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_renamed_796 "crc16_inst/ready_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_7 "crc16_inst/data_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_6 "crc16_inst/data_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_5 "crc16_inst/data_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_4 "crc16_inst/data_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_3 "crc16_inst/data_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_2 "crc16_inst/data_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_1 "crc16_inst/data_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_0 "crc16_inst/data_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_15 "crc16_inst/crc_q_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_14 "crc16_inst/crc_q_14") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_13 "crc16_inst/crc_q_13") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_12 "crc16_inst/crc_q_12") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_11 "crc16_inst/crc_q_11") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_10 "crc16_inst/crc_q_10") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_9 "crc16_inst/crc_q_9") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_8 "crc16_inst/crc_q_8") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_7 "crc16_inst/crc_q_7") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_6 "crc16_inst/crc_q_6") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_5 "crc16_inst/crc_q_5") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_4 "crc16_inst/crc_q_4") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_3 "crc16_inst/crc_q_3") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_2 "crc16_inst/crc_q_2") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_1 "crc16_inst/crc_q_1") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_0 "crc16_inst/crc_q_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_15 "zpuino/io/intr_inst/intr_detected_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_14 "zpuino/io/intr_inst/intr_detected_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_13 "zpuino/io/intr_inst/intr_detected_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_12 "zpuino/io/intr_inst/intr_detected_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_11 "zpuino/io/intr_inst/intr_detected_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_10 "zpuino/io/intr_inst/intr_detected_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_9 "zpuino/io/intr_inst/intr_detected_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_8 "zpuino/io/intr_inst/intr_detected_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_ien_q_renamed_797 "zpuino/io/intr_inst/ien_q") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_7 "zpuino/io/intr_inst/intr_detected_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_wb_inta_o_renamed_798 "zpuino/io/intr_inst/wb_inta_o") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_6 "zpuino/io/intr_inst/intr_detected_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_iready_q_renamed_799 "zpuino/io/intr_inst/iready_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_4 "zpuino/io/intr_inst/intr_detected_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_3 "zpuino/io/intr_inst/intr_detected_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_5 "zpuino/io/intr_inst/intr_detected_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_17 "zpuino/io/intr_inst/mask_q_17") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_16 "zpuino/io/intr_inst/mask_q_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_15 "zpuino/io/intr_inst/mask_q_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_14 "zpuino/io/intr_inst/mask_q_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_13 "zpuino/io/intr_inst/mask_q_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_12 "zpuino/io/intr_inst/mask_q_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_11 "zpuino/io/intr_inst/mask_q_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_10 "zpuino/io/intr_inst/mask_q_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_9 "zpuino/io/intr_inst/mask_q_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_8 "zpuino/io/intr_inst/mask_q_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_7 "zpuino/io/intr_inst/mask_q_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_6 "zpuino/io/intr_inst/mask_q_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_5 "zpuino/io/intr_inst/mask_q_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_4 "zpuino/io/intr_inst/mask_q_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_3 "zpuino/io/intr_inst/mask_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_2 "zpuino/io/intr_inst/mask_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_1 "zpuino/io/intr_inst/mask_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_0 "zpuino/io/intr_inst/mask_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_2 "zpuino/io/intr_inst/intr_detected_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_in_q_4 "zpuino/io/intr_inst/intr_in_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_in_q_3 "zpuino/io/intr_inst/intr_in_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_1 "zpuino/io/intr_inst/intr_detected_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_0 "zpuino/io/intr_inst/intr_detected_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_17 "zpuino/io/intr_inst/intr_served_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_16 "zpuino/io/intr_inst/intr_served_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_15 "zpuino/io/intr_inst/intr_served_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_14 "zpuino/io/intr_inst/intr_served_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_13 "zpuino/io/intr_inst/intr_served_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_12 "zpuino/io/intr_inst/intr_served_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_11 "zpuino/io/intr_inst/intr_served_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_10 "zpuino/io/intr_inst/intr_served_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_9 "zpuino/io/intr_inst/intr_served_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_8 "zpuino/io/intr_inst/intr_served_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_7 "zpuino/io/intr_inst/intr_served_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_6 "zpuino/io/intr_inst/intr_served_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_5 "zpuino/io/intr_inst/intr_served_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_4 "zpuino/io/intr_inst/intr_served_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_3 "zpuino/io/intr_inst/intr_served_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_2 "zpuino/io/intr_inst/intr_served_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_1 "zpuino/io/intr_inst/intr_served_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_0 "zpuino/io/intr_inst/intr_served_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_level_q_17 "zpuino/io/intr_inst/intr_level_q_17") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_level_q_16 "zpuino/io/intr_inst/intr_level_q_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_17 "zpuino/io/intr_inst/intr_detected_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_16 "zpuino/io/intr_inst/intr_detected_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mmux_mosi_mux0001_3_renamed_800 "slot0/zspi/Mmux_mosi_mux0001_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mmux_mosi_mux0001_4_renamed_801 "slot0/zspi/Mmux_mosi_mux0001_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mmux_mosi_mux0001_2_f5 "slot0/zspi/Mmux_mosi_mux0001_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_5 "slot0/zspi/count_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_4 "slot0/zspi/count_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_3 "slot0/zspi/count_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_2 "slot0/zspi/count_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_1 "slot0/zspi/count_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_0 "slot0/zspi/count_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_mosi_renamed_802 "slot0/zspi/mosi") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_31 "slot0/zspi/read_reg_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_30 "slot0/zspi/read_reg_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_29 "slot0/zspi/read_reg_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_28 "slot0/zspi/read_reg_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_27 "slot0/zspi/read_reg_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_26 "slot0/zspi/read_reg_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_25 "slot0/zspi/read_reg_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_24 "slot0/zspi/read_reg_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_23 "slot0/zspi/read_reg_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_22 "slot0/zspi/read_reg_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_21 "slot0/zspi/read_reg_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_20 "slot0/zspi/read_reg_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_19 "slot0/zspi/read_reg_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_18 "slot0/zspi/read_reg_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_17 "slot0/zspi/read_reg_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_16 "slot0/zspi/read_reg_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_15 "slot0/zspi/read_reg_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_14 "slot0/zspi/read_reg_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_13 "slot0/zspi/read_reg_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_12 "slot0/zspi/read_reg_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_11 "slot0/zspi/read_reg_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_10 "slot0/zspi/read_reg_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_9 "slot0/zspi/read_reg_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_8 "slot0/zspi/read_reg_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_7 "slot0/zspi/read_reg_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_6 "slot0/zspi/read_reg_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_5 "slot0/zspi/read_reg_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_4 "slot0/zspi/read_reg_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_3 "slot0/zspi/read_reg_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_2 "slot0/zspi/read_reg_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_1 "slot0/zspi/read_reg_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_0 "slot0/zspi/read_reg_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_31 "slot0/zspi/write_reg_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_30 "slot0/zspi/write_reg_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_29 "slot0/zspi/write_reg_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_28 "slot0/zspi/write_reg_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_27 "slot0/zspi/write_reg_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_26 "slot0/zspi/write_reg_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_25 "slot0/zspi/write_reg_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_24 "slot0/zspi/write_reg_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_23 "slot0/zspi/write_reg_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_22 "slot0/zspi/write_reg_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_21 "slot0/zspi/write_reg_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_20 "slot0/zspi/write_reg_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_19 "slot0/zspi/write_reg_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_18 "slot0/zspi/write_reg_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_17 "slot0/zspi/write_reg_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_16 "slot0/zspi/write_reg_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_15 "slot0/zspi/write_reg_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_14 "slot0/zspi/write_reg_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_13 "slot0/zspi/write_reg_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_12 "slot0/zspi/write_reg_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_11 "slot0/zspi/write_reg_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_10 "slot0/zspi/write_reg_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_9 "slot0/zspi/write_reg_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_8 "slot0/zspi/write_reg_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_7 "slot0/zspi/write_reg_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_6 "slot0/zspi/write_reg_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_5 "slot0/zspi/write_reg_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_4 "slot0/zspi/write_reg_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_3 "slot0/zspi/write_reg_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_2 "slot0/zspi/write_reg_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_1 "slot0/zspi/write_reg_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_0 "slot0/zspi/write_reg_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ready_q_renamed_803 "slot0/zspi/ready_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ignore_sample_q_renamed_804 "slot0/zspi/ignore_sample_q") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mmux_mosi_mux0001_3_renamed_805 "slot1/zspi/Mmux_mosi_mux0001_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mmux_mosi_mux0001_4_renamed_806 "slot1/zspi/Mmux_mosi_mux0001_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mmux_mosi_mux0001_2_f5 "slot1/zspi/Mmux_mosi_mux0001_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_5 "slot1/zspi/count_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_4 "slot1/zspi/count_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_3 "slot1/zspi/count_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_2 "slot1/zspi/count_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_1 "slot1/zspi/count_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_0 "slot1/zspi/count_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_mosi_renamed_807 "slot1/zspi/mosi") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_31 "slot1/zspi/read_reg_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_30 "slot1/zspi/read_reg_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_29 "slot1/zspi/read_reg_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_28 "slot1/zspi/read_reg_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_27 "slot1/zspi/read_reg_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_26 "slot1/zspi/read_reg_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_25 "slot1/zspi/read_reg_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_24 "slot1/zspi/read_reg_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_23 "slot1/zspi/read_reg_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_22 "slot1/zspi/read_reg_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_21 "slot1/zspi/read_reg_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_20 "slot1/zspi/read_reg_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_19 "slot1/zspi/read_reg_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_18 "slot1/zspi/read_reg_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_17 "slot1/zspi/read_reg_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_16 "slot1/zspi/read_reg_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_15 "slot1/zspi/read_reg_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_14 "slot1/zspi/read_reg_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_13 "slot1/zspi/read_reg_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_12 "slot1/zspi/read_reg_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_11 "slot1/zspi/read_reg_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_10 "slot1/zspi/read_reg_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_9 "slot1/zspi/read_reg_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_8 "slot1/zspi/read_reg_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_7 "slot1/zspi/read_reg_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_6 "slot1/zspi/read_reg_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_5 "slot1/zspi/read_reg_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_4 "slot1/zspi/read_reg_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_3 "slot1/zspi/read_reg_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_2 "slot1/zspi/read_reg_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_1 "slot1/zspi/read_reg_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_0 "slot1/zspi/read_reg_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_31 "slot1/zspi/write_reg_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_30 "slot1/zspi/write_reg_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_29 "slot1/zspi/write_reg_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_28 "slot1/zspi/write_reg_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_27 "slot1/zspi/write_reg_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_26 "slot1/zspi/write_reg_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_25 "slot1/zspi/write_reg_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_24 "slot1/zspi/write_reg_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_23 "slot1/zspi/write_reg_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_22 "slot1/zspi/write_reg_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_21 "slot1/zspi/write_reg_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_20 "slot1/zspi/write_reg_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_19 "slot1/zspi/write_reg_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_18 "slot1/zspi/write_reg_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_17 "slot1/zspi/write_reg_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_16 "slot1/zspi/write_reg_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_15 "slot1/zspi/write_reg_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_14 "slot1/zspi/write_reg_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_13 "slot1/zspi/write_reg_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_12 "slot1/zspi/write_reg_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_11 "slot1/zspi/write_reg_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_10 "slot1/zspi/write_reg_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_9 "slot1/zspi/write_reg_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_8 "slot1/zspi/write_reg_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_7 "slot1/zspi/write_reg_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_6 "slot1/zspi/write_reg_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_5 "slot1/zspi/write_reg_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_4 "slot1/zspi/write_reg_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_3 "slot1/zspi/write_reg_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_2 "slot1/zspi/write_reg_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_1 "slot1/zspi/write_reg_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_0 "slot1/zspi/write_reg_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ready_q_renamed_808 "slot1/zspi/ready_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ignore_sample_q_renamed_809 "slot1/zspi/ignore_sample_q") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_VGADCM_inst "clkgen_inst/VGADCM_inst") - (viewRef view_1 (cellRef DCM_SP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "3:INPUT:CTLSEL<2:0>") (owner "Xilinx")) - (property BUS_INFO (string "5:INPUT:STSADRS<4:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:OUTPUT:STATUS<7:0>") (owner "Xilinx")) - (property CLKDV_DIVIDE (number (e 2 0)) (owner "Xilinx")) - (property CLKFX_DIVIDE (integer 16) (owner "Xilinx")) - (property CLKFX_MULTIPLY (integer 25) (owner "Xilinx")) - (property CLKIN_DIVIDE_BY_2 (string "FALSE") (owner "Xilinx")) - (property CLKIN_PERIOD (string "31.2500000000000000") (owner "Xilinx")) - (property CLKOUT_PHASE_SHIFT (string "NONE") (owner "Xilinx")) - (property CLK_FEEDBACK (string "1X") (owner "Xilinx")) - (property DESKEW_ADJUST (string "SYSTEM_SYNCHRONOUS") (owner "Xilinx")) - (property DFS_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) - (property DLL_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) - (property DSS_MODE (string "NONE") (owner "Xilinx")) - (property DUTY_CYCLE_CORRECTION (string "TRUE") (owner "Xilinx")) - (property DRC_DCM_S3E_REMAP (string "TRUE") (owner "Xilinx")) - (property PHASE_SHIFT (integer 0) (owner "Xilinx")) - (property SIM_MODE (string "SAFE") (owner "Xilinx")) - (property STARTUP_WAIT (string "FALSE") (owner "Xilinx")) - (property VERY_HIGH_FREQUENCY (string "FALSE") (owner "Xilinx")) - (property FACTORY_JF (string "C080") (owner "Xilinx")) - ) - (instance (rename clkgen_inst_DCM_inst "clkgen_inst/DCM_inst") - (viewRef view_1 (cellRef DCM_SP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "3:INPUT:CTLSEL<2:0>") (owner "Xilinx")) - (property BUS_INFO (string "5:INPUT:STSADRS<4:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:OUTPUT:STATUS<7:0>") (owner "Xilinx")) - (property CLKDV_DIVIDE (number (e 2 0)) (owner "Xilinx")) - (property CLKFX_DIVIDE (integer 1) (owner "Xilinx")) - (property CLKFX_MULTIPLY (integer 3) (owner "Xilinx")) - (property CLKIN_DIVIDE_BY_2 (string "FALSE") (owner "Xilinx")) - (property CLKIN_PERIOD (string "31.2500000000000000") (owner "Xilinx")) - (property CLKOUT_PHASE_SHIFT (string "NONE") (owner "Xilinx")) - (property CLK_FEEDBACK (string "1X") (owner "Xilinx")) - (property DESKEW_ADJUST (string "SYSTEM_SYNCHRONOUS") (owner "Xilinx")) - (property DFS_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) - (property DLL_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) - (property DSS_MODE (string "NONE") (owner "Xilinx")) - (property DUTY_CYCLE_CORRECTION (string "TRUE") (owner "Xilinx")) - (property DRC_DCM_S3E_REMAP (string "TRUE") (owner "Xilinx")) - (property PHASE_SHIFT (integer 0) (owner "Xilinx")) - (property SIM_MODE (string "SAFE") (owner "Xilinx")) - (property STARTUP_WAIT (string "FALSE") (owner "Xilinx")) - (property VERY_HIGH_FREQUENCY (string "FALSE") (owner "Xilinx")) - (property FACTORY_JF (string "C080") (owner "Xilinx")) - ) - (instance (rename clkgen_inst_vgaclkfb_inst "clkgen_inst/vgaclkfb_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_vgaclkfx_inst "clkgen_inst/vgaclkfx_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_clkfb_inst "clkgen_inst/clkfb_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_clkin2_inst "clkgen_inst/clkin2_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_clkin_inst "clkgen_inst/clkin_inst") - (viewRef view_1 (cellRef IBUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property IBUF_DELAY_VALUE (string "0") (owner "Xilinx")) - (property IBUF_LOW_PWR (string "TRUE") (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - ) - (instance (rename clkgen_inst_clkfx_inst "clkgen_inst/clkfx_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_rst1_q_renamed_810 "clkgen_inst/rst1_q") - (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_rst2_q_renamed_811 "clkgen_inst/rst2_q") - (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck64_q_renamed_812 "slot0/zspiclk/pr/ck64_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck1024_q_renamed_813 "slot0/zspiclk/pr/ck1024_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck8_q_renamed_814 "slot0/zspiclk/pr/ck8_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck16_q_renamed_815 "slot0/zspiclk/pr/ck16_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck2_q_renamed_816 "slot0/zspiclk/pr/ck2_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck4_q_renamed_817 "slot0/zspiclk/pr/ck4_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck256_q_renamed_818 "slot0/zspiclk/pr/ck256_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_0 "slot0/zspiclk/pr/counter_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_1 "slot0/zspiclk/pr/counter_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_2 "slot0/zspiclk/pr/counter_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_3 "slot0/zspiclk/pr/counter_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_4 "slot0/zspiclk/pr/counter_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_5 "slot0/zspiclk/pr/counter_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_6 "slot0/zspiclk/pr/counter_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_7 "slot0/zspiclk/pr/counter_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_8 "slot0/zspiclk/pr/counter_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_9 "slot0/zspiclk/pr/counter_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_2_f6 "slot0/zspiclk/pr/Mmux_event_i_2_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819 "slot0/zspiclk/pr/Mmux_event_i_4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820 "slot0/zspiclk/pr/Mmux_event_i_3_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_0__ "slot0/zspiclk/pr/Mcount_counter_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_0__ "slot0/zspiclk/pr/Mcount_counter_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_1__ "slot0/zspiclk/pr/Mcount_counter_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_1__ "slot0/zspiclk/pr/Mcount_counter_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_2__ "slot0/zspiclk/pr/Mcount_counter_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_2__ "slot0/zspiclk/pr/Mcount_counter_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_3__ "slot0/zspiclk/pr/Mcount_counter_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_3__ "slot0/zspiclk/pr/Mcount_counter_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_4__ "slot0/zspiclk/pr/Mcount_counter_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_4__ "slot0/zspiclk/pr/Mcount_counter_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_5__ "slot0/zspiclk/pr/Mcount_counter_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_5__ "slot0/zspiclk/pr/Mcount_counter_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_6__ "slot0/zspiclk/pr/Mcount_counter_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_6__ "slot0/zspiclk/pr/Mcount_counter_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_7__ "slot0/zspiclk/pr/Mcount_counter_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_7__ "slot0/zspiclk/pr/Mcount_counter_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_8__ "slot0/zspiclk/pr/Mcount_counter_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_8__ "slot0/zspiclk/pr/Mcount_counter_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_9__ "slot0/zspiclk/pr/Mcount_counter_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clk_i_renamed_821 "slot0/zspiclk/clk_i") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_spiclk_renamed_822 "slot0/zspiclk/spiclk") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_running_q_renamed_823 "slot0/zspiclk/running_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_reset_renamed_824 "slot0/zspiclk/prescale_reset") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkfall_i_renamed_825 "slot0/zspiclk/clkfall_i") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkrise_i_renamed_826 "slot0/zspiclk/clkrise_i") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_q_2 "slot0/zspiclk/prescale_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_q_1 "slot0/zspiclk/prescale_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_q_0 "slot0/zspiclk/prescale_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck64_q_renamed_827 "slot1/zspiclk/pr/ck64_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck1024_q_renamed_828 "slot1/zspiclk/pr/ck1024_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck8_q_renamed_829 "slot1/zspiclk/pr/ck8_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck16_q_renamed_830 "slot1/zspiclk/pr/ck16_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck2_q_renamed_831 "slot1/zspiclk/pr/ck2_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck4_q_renamed_832 "slot1/zspiclk/pr/ck4_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck256_q_renamed_833 "slot1/zspiclk/pr/ck256_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_0 "slot1/zspiclk/pr/counter_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_1 "slot1/zspiclk/pr/counter_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_2 "slot1/zspiclk/pr/counter_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_3 "slot1/zspiclk/pr/counter_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_4 "slot1/zspiclk/pr/counter_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_5 "slot1/zspiclk/pr/counter_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_6 "slot1/zspiclk/pr/counter_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_7 "slot1/zspiclk/pr/counter_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_8 "slot1/zspiclk/pr/counter_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_9 "slot1/zspiclk/pr/counter_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_2_f6 "slot1/zspiclk/pr/Mmux_event_i_2_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834 "slot1/zspiclk/pr/Mmux_event_i_4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835 "slot1/zspiclk/pr/Mmux_event_i_3_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_0__ "slot1/zspiclk/pr/Mcount_counter_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_0__ "slot1/zspiclk/pr/Mcount_counter_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_1__ "slot1/zspiclk/pr/Mcount_counter_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_1__ "slot1/zspiclk/pr/Mcount_counter_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_2__ "slot1/zspiclk/pr/Mcount_counter_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_2__ "slot1/zspiclk/pr/Mcount_counter_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_3__ "slot1/zspiclk/pr/Mcount_counter_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_3__ "slot1/zspiclk/pr/Mcount_counter_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_4__ "slot1/zspiclk/pr/Mcount_counter_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_4__ "slot1/zspiclk/pr/Mcount_counter_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_5__ "slot1/zspiclk/pr/Mcount_counter_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_5__ "slot1/zspiclk/pr/Mcount_counter_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_6__ "slot1/zspiclk/pr/Mcount_counter_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_6__ "slot1/zspiclk/pr/Mcount_counter_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_7__ "slot1/zspiclk/pr/Mcount_counter_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_7__ "slot1/zspiclk/pr/Mcount_counter_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_8__ "slot1/zspiclk/pr/Mcount_counter_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_8__ "slot1/zspiclk/pr/Mcount_counter_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_9__ "slot1/zspiclk/pr/Mcount_counter_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clk_i_renamed_836 "slot1/zspiclk/clk_i") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_spiclk_renamed_837 "slot1/zspiclk/spiclk") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_running_q_renamed_838 "slot1/zspiclk/running_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_reset_renamed_839 "slot1/zspiclk/prescale_reset") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkfall_i_renamed_840 "slot1/zspiclk/clkfall_i") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkrise_i_renamed_841 "slot1/zspiclk/clkrise_i") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_q_2 "slot1/zspiclk/prescale_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_q_1 "slot1/zspiclk/prescale_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_q_0 "slot1/zspiclk/prescale_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_empty_renamed_842 "uart_inst/fifo_instance/empty") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_0 "uart_inst/fifo_instance/rdaddr_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_1 "uart_inst/fifo_instance/rdaddr_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_2 "uart_inst/fifo_instance/rdaddr_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_3 "uart_inst/fifo_instance/rdaddr_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_4 "uart_inst/fifo_instance/rdaddr_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_5 "uart_inst/fifo_instance/rdaddr_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_6 "uart_inst/fifo_instance/rdaddr_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_7 "uart_inst/fifo_instance/rdaddr_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_8 "uart_inst/fifo_instance/rdaddr_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_9 "uart_inst/fifo_instance/rdaddr_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_10 "uart_inst/fifo_instance/rdaddr_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_0 "uart_inst/fifo_instance/wraddr_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_1 "uart_inst/fifo_instance/wraddr_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_2 "uart_inst/fifo_instance/wraddr_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_3 "uart_inst/fifo_instance/wraddr_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_4 "uart_inst/fifo_instance/wraddr_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_5 "uart_inst/fifo_instance/wraddr_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_6 "uart_inst/fifo_instance/wraddr_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_7 "uart_inst/fifo_instance/wraddr_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_8 "uart_inst/fifo_instance/wraddr_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_9 "uart_inst/fifo_instance/wraddr_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_10 "uart_inst/fifo_instance/wraddr_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_0__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_1__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_2__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_3__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_4__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_5__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_6__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_7__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_8__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_9__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_10__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_0__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_0__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_1__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_1__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_2__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_2__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_3__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_3__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_4__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_4__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_5__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_5__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_6__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_6__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_7__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_7__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_8__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_8__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_9__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_9__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_10__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_0__ "uart_inst/fifo_instance/Mcount_wraddr_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_0__ "uart_inst/fifo_instance/Mcount_wraddr_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_1__ "uart_inst/fifo_instance/Mcount_wraddr_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_1__ "uart_inst/fifo_instance/Mcount_wraddr_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_2__ "uart_inst/fifo_instance/Mcount_wraddr_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_2__ "uart_inst/fifo_instance/Mcount_wraddr_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_3__ "uart_inst/fifo_instance/Mcount_wraddr_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_3__ "uart_inst/fifo_instance/Mcount_wraddr_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_4__ "uart_inst/fifo_instance/Mcount_wraddr_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_4__ "uart_inst/fifo_instance/Mcount_wraddr_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_5__ "uart_inst/fifo_instance/Mcount_wraddr_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_5__ "uart_inst/fifo_instance/Mcount_wraddr_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_6__ "uart_inst/fifo_instance/Mcount_wraddr_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_6__ "uart_inst/fifo_instance/Mcount_wraddr_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_7__ "uart_inst/fifo_instance/Mcount_wraddr_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_7__ "uart_inst/fifo_instance/Mcount_wraddr_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_8__ "uart_inst/fifo_instance/Mcount_wraddr_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_8__ "uart_inst/fifo_instance/Mcount_wraddr_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_9__ "uart_inst/fifo_instance/Mcount_wraddr_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_9__ "uart_inst/fifo_instance/Mcount_wraddr_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_10__ "uart_inst/fifo_instance/Mcount_wraddr_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_clkout_renamed_843 "uart_inst/rx_timer/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_0 "uart_inst/rx_timer/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_1 "uart_inst/rx_timer/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_2 "uart_inst/rx_timer/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_3 "uart_inst/rx_timer/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_4 "uart_inst/rx_timer/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_5 "uart_inst/rx_timer/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_6 "uart_inst/rx_timer/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_7 "uart_inst/rx_timer/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_8 "uart_inst/rx_timer/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_9 "uart_inst/rx_timer/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_10 "uart_inst/rx_timer/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_11 "uart_inst/rx_timer/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_12 "uart_inst/rx_timer/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_13 "uart_inst/rx_timer/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_14 "uart_inst/rx_timer/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_15 "uart_inst/rx_timer/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_0__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_1__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_2__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_3__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_4__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_5__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_6__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_7__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_8__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_9__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_10__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_11__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_12__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_13__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_14__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_15__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_clkout_renamed_844 "uart_inst/tx_timer/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_0 "uart_inst/tx_timer/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_1 "uart_inst/tx_timer/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_2 "uart_inst/tx_timer/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_3 "uart_inst/tx_timer/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_4 "uart_inst/tx_timer/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_5 "uart_inst/tx_timer/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_6 "uart_inst/tx_timer/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_7 "uart_inst/tx_timer/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_8 "uart_inst/tx_timer/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_9 "uart_inst/tx_timer/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_10 "uart_inst/tx_timer/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_11 "uart_inst/tx_timer/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_12 "uart_inst/tx_timer/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_13 "uart_inst/tx_timer/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_14 "uart_inst/tx_timer/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_15 "uart_inst/tx_timer/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_0__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_1__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_2__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_3__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_4__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_5__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_6__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_7__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_8__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_9__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_10__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_11__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_12__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_13__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_14__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_15__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_clkout_renamed_845 "uart_inst/rx_inst/baudgen/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_0 "uart_inst/rx_inst/baudgen/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_1 "uart_inst/rx_inst/baudgen/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_2 "uart_inst/rx_inst/baudgen/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_3 "uart_inst/rx_inst/baudgen/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_4 "uart_inst/rx_inst/baudgen/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_5 "uart_inst/rx_inst/baudgen/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_6 "uart_inst/rx_inst/baudgen/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_7 "uart_inst/rx_inst/baudgen/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_8 "uart_inst/rx_inst/baudgen/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_9 "uart_inst/rx_inst/baudgen/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_10 "uart_inst/rx_inst/baudgen/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_11 "uart_inst/rx_inst/baudgen/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_12 "uart_inst/rx_inst/baudgen/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_13 "uart_inst/rx_inst/baudgen/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_14 "uart_inst/rx_inst/baudgen/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_15 "uart_inst/rx_inst/baudgen/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_renamed_846 "uart_inst/rx_inst/state_FSM_FFd2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd1_renamed_847 "uart_inst/rx_inst/state_FSM_FFd1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_3 "uart_inst/rx_inst/rxmvfilter/count_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_2 "uart_inst/rx_inst/rxmvfilter/count_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_1 "uart_inst/rx_inst/rxmvfilter/count_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_0 "uart_inst/rx_inst/rxmvfilter/count_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_0 "uart_inst/rx_inst/datacount_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_1 "uart_inst/rx_inst/datacount_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_2 "uart_inst/rx_inst/datacount_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudreset_renamed_848 "uart_inst/rx_inst/baudreset") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_dataready_renamed_849 "uart_inst/rx_inst/dataready") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_7 "uart_inst/rx_inst/rxd_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_6 "uart_inst/rx_inst/rxd_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_5 "uart_inst/rx_inst/rxd_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_4 "uart_inst/rx_inst/rxd_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_3 "uart_inst/rx_inst/rxd_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_2 "uart_inst/rx_inst/rxd_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_1 "uart_inst/rx_inst/rxd_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_0 "uart_inst/rx_inst/rxd_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_0 "uart_inst/rx_inst/datao_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_1 "uart_inst/rx_inst/datao_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_2 "uart_inst/rx_inst/datao_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_3 "uart_inst/rx_inst/datao_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_4 "uart_inst/rx_inst/datao_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_5 "uart_inst/rx_inst/datao_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_6 "uart_inst/rx_inst/datao_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_7 "uart_inst/rx_inst/datao_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_sout_renamed_850 "uart_inst/rx_inst/rxmvfilter/sout") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_0 "uart_inst/tx_core/tbuff_r_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_1 "uart_inst/tx_core/tbuff_r_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_2 "uart_inst/tx_core/tbuff_r_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_3 "uart_inst/tx_core/tbuff_r_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_4 "uart_inst/tx_core/tbuff_r_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_5 "uart_inst/tx_core/tbuff_r_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_6 "uart_inst/tx_core/tbuff_r_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_7 "uart_inst/tx_core/tbuff_r_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_idle_renamed_851 "uart_inst/tx_core/idle") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_renamed_852 "uart_inst/tx_core/txd_r") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_renamed_853 "uart_inst/tx_core/loaded_r") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_0 "uart_inst/tx_core/bitpos_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_1 "uart_inst/tx_core/bitpos_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_2 "uart_inst/tx_core/bitpos_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_3 "uart_inst/tx_core/bitpos_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_intx_o_renamed_854 "uart_inst/tx_core/intx_o") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_0 "uart_inst/tx_core/t_r_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_1 "uart_inst/tx_core/t_r_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_2 "uart_inst/tx_core/t_r_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_3 "uart_inst/tx_core/t_r_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_4 "uart_inst/tx_core/t_r_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_5 "uart_inst/tx_core/t_r_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_6 "uart_inst/tx_core/t_r_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_7 "uart_inst/tx_core/t_r_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_data_ready_dly_q_renamed_855 "uart_inst/data_ready_dly_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_15 "uart_inst/divider_rx_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_14 "uart_inst/divider_rx_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_13 "uart_inst/divider_rx_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_12 "uart_inst/divider_rx_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_11 "uart_inst/divider_rx_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_10 "uart_inst/divider_rx_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_9 "uart_inst/divider_rx_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_8 "uart_inst/divider_rx_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_7 "uart_inst/divider_rx_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_6 "uart_inst/divider_rx_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_5 "uart_inst/divider_rx_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_4 "uart_inst/divider_rx_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_3 "uart_inst/divider_rx_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_2 "uart_inst/divider_rx_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_1 "uart_inst/divider_rx_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_0 "uart_inst/divider_rx_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_dready_q_renamed_856 "uart_inst/dready_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_ien_renamed_857 "timers_inst/timer0_inst/tmrr.ien") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_renamed_858 "timers_inst/timer0_inst/tmrr.intr") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_ccm_renamed_859 "timers_inst/timer0_inst/tmrr.ccm") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_renamed_860 "timers_inst/timer0_inst/tmrr.pwmr<0>.en") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_en_renamed_861 "timers_inst/timer0_inst/tmrr.en") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_presrst_renamed_878 "timers_inst/timer0_inst/tmrr.presrst") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pwm_out_0 "timers_inst/timer0_inst/pwm_out_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0_renamed_879 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_0") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1_renamed_880 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_1") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2_renamed_881 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3_renamed_882 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_3") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4_renamed_883 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_4") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5_renamed_884 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_5") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6_renamed_885 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_6") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7_renamed_886 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_7") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8_renamed_887 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_8") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9_renamed_888 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_9") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10_renamed_889 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_10") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11_renamed_890 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_11") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12_renamed_891 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_12") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13_renamed_892 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_13") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14_renamed_893 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_14") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15_renamed_894 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_15") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_0_renamed_895 "timers_inst/timer0_inst/tmrr.cmp_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_1_renamed_896 "timers_inst/timer0_inst/tmrr.cmp_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_2_renamed_897 "timers_inst/timer0_inst/tmrr.cmp_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_3_renamed_898 "timers_inst/timer0_inst/tmrr.cmp_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_4_renamed_899 "timers_inst/timer0_inst/tmrr.cmp_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_5_renamed_900 "timers_inst/timer0_inst/tmrr.cmp_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_6_renamed_901 "timers_inst/timer0_inst/tmrr.cmp_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_7_renamed_902 "timers_inst/timer0_inst/tmrr.cmp_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_8_renamed_903 "timers_inst/timer0_inst/tmrr.cmp_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_9_renamed_904 "timers_inst/timer0_inst/tmrr.cmp_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_10_renamed_905 "timers_inst/timer0_inst/tmrr.cmp_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_11_renamed_906 "timers_inst/timer0_inst/tmrr.cmp_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_12_renamed_907 "timers_inst/timer0_inst/tmrr.cmp_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_13_renamed_908 "timers_inst/timer0_inst/tmrr.cmp_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_14_renamed_909 "timers_inst/timer0_inst/tmrr.cmp_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_15_renamed_910 "timers_inst/timer0_inst/tmrr.cmp_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_dir_renamed_911 "timers_inst/timer0_inst/tmrr.dir") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_updp_0_renamed_912 "timers_inst/timer0_inst/tmrr.updp_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_updp_1_renamed_913 "timers_inst/timer0_inst/tmrr.updp_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pres_0_renamed_914 "timers_inst/timer0_inst/tmrr.pres_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pres_1_renamed_915 "timers_inst/timer0_inst/tmrr.pres_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pres_2_renamed_916 "timers_inst/timer0_inst/tmrr.pres_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0_renamed_933 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_0") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1_renamed_934 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_1") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2_renamed_935 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3_renamed_936 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_3") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4_renamed_937 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_4") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5_renamed_938 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_5") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6_renamed_939 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_6") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7_renamed_940 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_7") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8_renamed_941 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_8") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9_renamed_942 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_9") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10_renamed_943 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_10") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11_renamed_944 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_11") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12_renamed_945 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_12") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13_renamed_946 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_13") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14_renamed_947 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_14") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15_renamed_948 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_15") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949 "timers_inst/timer0_inst/tmrr.pwmrb<0>.en") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_0_renamed_950 "timers_inst/timer0_inst/tmrr.cnt_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_1_renamed_951 "timers_inst/timer0_inst/tmrr.cnt_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_2_renamed_952 "timers_inst/timer0_inst/tmrr.cnt_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_3_renamed_953 "timers_inst/timer0_inst/tmrr.cnt_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_4_renamed_954 "timers_inst/timer0_inst/tmrr.cnt_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_5_renamed_955 "timers_inst/timer0_inst/tmrr.cnt_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_6_renamed_956 "timers_inst/timer0_inst/tmrr.cnt_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_7_renamed_957 "timers_inst/timer0_inst/tmrr.cnt_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_8_renamed_958 "timers_inst/timer0_inst/tmrr.cnt_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_9_renamed_959 "timers_inst/timer0_inst/tmrr.cnt_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_10_renamed_960 "timers_inst/timer0_inst/tmrr.cnt_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_11_renamed_961 "timers_inst/timer0_inst/tmrr.cnt_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_12_renamed_962 "timers_inst/timer0_inst/tmrr.cnt_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_13_renamed_963 "timers_inst/timer0_inst/tmrr.cnt_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_14_renamed_964 "timers_inst/timer0_inst/tmrr.cnt_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_15_renamed_965 "timers_inst/timer0_inst/tmrr.cnt_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_0 "timers_inst/timer0_inst/TSC_q_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_1 "timers_inst/timer0_inst/TSC_q_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_2 "timers_inst/timer0_inst/TSC_q_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_3 "timers_inst/timer0_inst/TSC_q_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_4 "timers_inst/timer0_inst/TSC_q_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_5 "timers_inst/timer0_inst/TSC_q_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_6 "timers_inst/timer0_inst/TSC_q_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_7 "timers_inst/timer0_inst/TSC_q_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_8 "timers_inst/timer0_inst/TSC_q_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_9 "timers_inst/timer0_inst/TSC_q_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_10 "timers_inst/timer0_inst/TSC_q_10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_11 "timers_inst/timer0_inst/TSC_q_11") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_12 "timers_inst/timer0_inst/TSC_q_12") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_13 "timers_inst/timer0_inst/TSC_q_13") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_14 "timers_inst/timer0_inst/TSC_q_14") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_15 "timers_inst/timer0_inst/TSC_q_15") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_16 "timers_inst/timer0_inst/TSC_q_16") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_17 "timers_inst/timer0_inst/TSC_q_17") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_18 "timers_inst/timer0_inst/TSC_q_18") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_19 "timers_inst/timer0_inst/TSC_q_19") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_20 "timers_inst/timer0_inst/TSC_q_20") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_21 "timers_inst/timer0_inst/TSC_q_21") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_22 "timers_inst/timer0_inst/TSC_q_22") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_23 "timers_inst/timer0_inst/TSC_q_23") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_24 "timers_inst/timer0_inst/TSC_q_24") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_25 "timers_inst/timer0_inst/TSC_q_25") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_26 "timers_inst/timer0_inst/TSC_q_26") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_27 "timers_inst/timer0_inst/TSC_q_27") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_28 "timers_inst/timer0_inst/TSC_q_28") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_29 "timers_inst/timer0_inst/TSC_q_29") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_30 "timers_inst/timer0_inst/TSC_q_30") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_31 "timers_inst/timer0_inst/TSC_q_31") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_0__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_1__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_2__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_3__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_4__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_5__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_6__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_7__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_8__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_9__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_10__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_11__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_12__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_13__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_14__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_15__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_0__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_0__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_1__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_1__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_2__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_2__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_3__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_3__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_4__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_4__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_5__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_5__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_6__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_6__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_7__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_7__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_8__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_8__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_9__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_9__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_10__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_10__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_11__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_11__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_12__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_12__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_13__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_13__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_14__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_14__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_15__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_15__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_16__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_16__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_17__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_17__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_18__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_18__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_19__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_19__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_20__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_20__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_21__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_21__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_22__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_22__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_23__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_23__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_24__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_24__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_25__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_25__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_26__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_26__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_27__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_27__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_28__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_28__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_29__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_29__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_30__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_30__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_31__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_8__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_7__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_6__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_5__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_4__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_3__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_2__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_1__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_0__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_3_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_2_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck256_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck4_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck2_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck16_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck8_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck1024_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck64_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_ccm_renamed_995 "timers_inst/timer1_inst/tmrr.ccm") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_ien_renamed_996 "timers_inst/timer1_inst/tmrr.ien") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_renamed_997 "timers_inst/timer1_inst/tmrr.intr") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_pwm_out_0 "timers_inst/timer1_inst/pwm_out_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_en_renamed_998 "timers_inst/timer1_inst/tmrr.en") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999 "timers_inst/timer1_inst/tmrr.pwmr<0>.en") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_dir_renamed_1000 "timers_inst/timer1_inst/tmrr.dir") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025 "timers_inst/timer1_inst/tmrr.cmp_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026 "timers_inst/timer1_inst/tmrr.cmp_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027 "timers_inst/timer1_inst/tmrr.cmp_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028 "timers_inst/timer1_inst/tmrr.cmp_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029 "timers_inst/timer1_inst/tmrr.cmp_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030 "timers_inst/timer1_inst/tmrr.cmp_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031 "timers_inst/timer1_inst/tmrr.cmp_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032 "timers_inst/timer1_inst/tmrr.cmp_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033 "timers_inst/timer1_inst/tmrr.cmp_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034 "timers_inst/timer1_inst/tmrr.cmp_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035 "timers_inst/timer1_inst/tmrr.cmp_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036 "timers_inst/timer1_inst/tmrr.cmp_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037 "timers_inst/timer1_inst/tmrr.cmp_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038 "timers_inst/timer1_inst/tmrr.cmp_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039 "timers_inst/timer1_inst/tmrr.cmp_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040 "timers_inst/timer1_inst/tmrr.cmp_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041 "timers_inst/timer1_inst/tmrr.cmp_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042 "timers_inst/timer1_inst/tmrr.cmp_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043 "timers_inst/timer1_inst/tmrr.cmp_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044 "timers_inst/timer1_inst/tmrr.cmp_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045 "timers_inst/timer1_inst/tmrr.cmp_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046 "timers_inst/timer1_inst/tmrr.cmp_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047 "timers_inst/timer1_inst/tmrr.cmp_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048 "timers_inst/timer1_inst/tmrr.cmp_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pres_0_renamed_1049 "timers_inst/timer1_inst/tmrr.pres_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pres_1_renamed_1050 "timers_inst/timer1_inst/tmrr.pres_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pres_2_renamed_1051 "timers_inst/timer1_inst/tmrr.pres_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_updp_0_renamed_1052 "timers_inst/timer1_inst/tmrr.updp_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_updp_1_renamed_1053 "timers_inst/timer1_inst/tmrr.updp_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078 "timers_inst/timer1_inst/tmrr.cnt_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079 "timers_inst/timer1_inst/tmrr.cnt_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080 "timers_inst/timer1_inst/tmrr.cnt_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081 "timers_inst/timer1_inst/tmrr.cnt_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082 "timers_inst/timer1_inst/tmrr.cnt_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083 "timers_inst/timer1_inst/tmrr.cnt_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084 "timers_inst/timer1_inst/tmrr.cnt_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085 "timers_inst/timer1_inst/tmrr.cnt_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086 "timers_inst/timer1_inst/tmrr.cnt_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087 "timers_inst/timer1_inst/tmrr.cnt_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088 "timers_inst/timer1_inst/tmrr.cnt_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089 "timers_inst/timer1_inst/tmrr.cnt_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090 "timers_inst/timer1_inst/tmrr.cnt_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091 "timers_inst/timer1_inst/tmrr.cnt_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092 "timers_inst/timer1_inst/tmrr.cnt_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093 "timers_inst/timer1_inst/tmrr.cnt_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094 "timers_inst/timer1_inst/tmrr.cnt_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095 "timers_inst/timer1_inst/tmrr.cnt_17") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096 "timers_inst/timer1_inst/tmrr.cnt_18") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097 "timers_inst/timer1_inst/tmrr.cnt_19") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098 "timers_inst/timer1_inst/tmrr.cnt_20") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099 "timers_inst/timer1_inst/tmrr.cnt_21") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100 "timers_inst/timer1_inst/tmrr.cnt_22") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101 "timers_inst/timer1_inst/tmrr.cnt_23") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_0__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_1__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_2__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_3__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_4__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_5__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_6__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_7__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_8__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_9__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_10__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_11__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_12__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_13__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_14__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_15__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_16__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_17__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_18__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_19__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_20__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_21__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_22__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_23__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_empty_renamed_1102 "slot11/fifo_instance/empty") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_0 "slot11/fifo_instance/read_0") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_1 "slot11/fifo_instance/read_1") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_2 "slot11/fifo_instance/read_2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_3 "slot11/fifo_instance/read_3") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_4 "slot11/fifo_instance/read_4") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_5 "slot11/fifo_instance/read_5") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_6 "slot11/fifo_instance/read_6") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_7 "slot11/fifo_instance/read_7") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory1 "slot11/fifo_instance/Mram_memory1") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory2 "slot11/fifo_instance/Mram_memory2") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory3 "slot11/fifo_instance/Mram_memory3") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory4 "slot11/fifo_instance/Mram_memory4") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory5 "slot11/fifo_instance/Mram_memory5") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory8 "slot11/fifo_instance/Mram_memory8") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory6 "slot11/fifo_instance/Mram_memory6") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory7 "slot11/fifo_instance/Mram_memory7") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_wraddr_0 "slot11/fifo_instance/wraddr_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_wraddr_1 "slot11/fifo_instance/wraddr_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_wraddr_2 "slot11/fifo_instance/wraddr_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_wraddr_3 "slot11/fifo_instance/wraddr_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_0 "slot11/fifo_instance/rdaddr_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_1 "slot11/fifo_instance/rdaddr_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_2 "slot11/fifo_instance/rdaddr_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_3 "slot11/fifo_instance/rdaddr_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_clkout_renamed_1103 "slot11/rx_timer/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_0 "slot11/rx_timer/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_1 "slot11/rx_timer/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_2 "slot11/rx_timer/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_3 "slot11/rx_timer/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_4 "slot11/rx_timer/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_5 "slot11/rx_timer/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_6 "slot11/rx_timer/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_7 "slot11/rx_timer/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_8 "slot11/rx_timer/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_9 "slot11/rx_timer/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_10 "slot11/rx_timer/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_11 "slot11/rx_timer/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_12 "slot11/rx_timer/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_13 "slot11/rx_timer/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_14 "slot11/rx_timer/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_15 "slot11/rx_timer/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_0__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_0__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_1__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_1__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_2__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_2__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_3__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_3__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_4__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_4__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_5__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_5__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_6__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_6__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_7__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_7__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_8__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_8__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_9__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_9__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_10__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_10__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_11__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_11__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_12__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_12__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_13__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_13__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_14__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_14__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_15__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_clkout_renamed_1104 "slot11/tx_timer/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_0 "slot11/tx_timer/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_1 "slot11/tx_timer/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_2 "slot11/tx_timer/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_3 "slot11/tx_timer/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_4 "slot11/tx_timer/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_5 "slot11/tx_timer/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_6 "slot11/tx_timer/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_7 "slot11/tx_timer/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_8 "slot11/tx_timer/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_9 "slot11/tx_timer/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_10 "slot11/tx_timer/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_11 "slot11/tx_timer/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_12 "slot11/tx_timer/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_13 "slot11/tx_timer/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_14 "slot11/tx_timer/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_15 "slot11/tx_timer/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_0__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_0__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_1__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_1__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_2__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_2__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_3__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_3__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_4__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_4__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_5__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_5__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_6__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_6__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_7__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_7__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_8__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_8__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_9__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_9__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_10__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_10__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_11__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_11__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_12__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_12__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_13__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_13__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_14__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_14__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_15__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_clkout_renamed_1105 "slot11/rx_inst/baudgen/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_0 "slot11/rx_inst/baudgen/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_1 "slot11/rx_inst/baudgen/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_2 "slot11/rx_inst/baudgen/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_3 "slot11/rx_inst/baudgen/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_4 "slot11/rx_inst/baudgen/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_5 "slot11/rx_inst/baudgen/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_6 "slot11/rx_inst/baudgen/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_7 "slot11/rx_inst/baudgen/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_8 "slot11/rx_inst/baudgen/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_9 "slot11/rx_inst/baudgen/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_10 "slot11/rx_inst/baudgen/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_11 "slot11/rx_inst/baudgen/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_12 "slot11/rx_inst/baudgen/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_13 "slot11/rx_inst/baudgen/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_14 "slot11/rx_inst/baudgen/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_15 "slot11/rx_inst/baudgen/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_renamed_1106 "slot11/rx_inst/state_FSM_FFd2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd1_renamed_1107 "slot11/rx_inst/state_FSM_FFd1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_3 "slot11/rx_inst/rxmvfilter/count_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_2 "slot11/rx_inst/rxmvfilter/count_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_1 "slot11/rx_inst/rxmvfilter/count_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_0 "slot11/rx_inst/rxmvfilter/count_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_0 "slot11/rx_inst/datacount_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_1 "slot11/rx_inst/datacount_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_2 "slot11/rx_inst/datacount_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudreset_renamed_1108 "slot11/rx_inst/baudreset") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_dataready_renamed_1109 "slot11/rx_inst/dataready") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_7 "slot11/rx_inst/rxd_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_6 "slot11/rx_inst/rxd_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_5 "slot11/rx_inst/rxd_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_4 "slot11/rx_inst/rxd_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_3 "slot11/rx_inst/rxd_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_2 "slot11/rx_inst/rxd_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_1 "slot11/rx_inst/rxd_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_0 "slot11/rx_inst/rxd_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_0 "slot11/rx_inst/datao_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_1 "slot11/rx_inst/datao_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_2 "slot11/rx_inst/datao_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_3 "slot11/rx_inst/datao_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_4 "slot11/rx_inst/datao_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_5 "slot11/rx_inst/datao_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_6 "slot11/rx_inst/datao_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_7 "slot11/rx_inst/datao_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_sout_renamed_1110 "slot11/rx_inst/rxmvfilter/sout") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_0 "slot11/tx_core/tbuff_r_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_1 "slot11/tx_core/tbuff_r_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_2 "slot11/tx_core/tbuff_r_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_3 "slot11/tx_core/tbuff_r_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_4 "slot11/tx_core/tbuff_r_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_5 "slot11/tx_core/tbuff_r_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_6 "slot11/tx_core/tbuff_r_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_7 "slot11/tx_core/tbuff_r_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_idle_renamed_1111 "slot11/tx_core/idle") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_renamed_1112 "slot11/tx_core/txd_r") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_renamed_1113 "slot11/tx_core/loaded_r") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_0 "slot11/tx_core/bitpos_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_1 "slot11/tx_core/bitpos_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_2 "slot11/tx_core/bitpos_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_3 "slot11/tx_core/bitpos_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_intx_o_renamed_1114 "slot11/tx_core/intx_o") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_0 "slot11/tx_core/t_r_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_1 "slot11/tx_core/t_r_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_2 "slot11/tx_core/t_r_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_3 "slot11/tx_core/t_r_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_4 "slot11/tx_core/t_r_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_5 "slot11/tx_core/t_r_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_6 "slot11/tx_core/t_r_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_7 "slot11/tx_core/t_r_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_data_ready_dly_q_renamed_1115 "slot11/data_ready_dly_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_15 "slot11/divider_rx_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_14 "slot11/divider_rx_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_13 "slot11/divider_rx_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_12 "slot11/divider_rx_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_11 "slot11/divider_rx_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_10 "slot11/divider_rx_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_9 "slot11/divider_rx_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_8 "slot11/divider_rx_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_7 "slot11/divider_rx_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_6 "slot11/divider_rx_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_5 "slot11/divider_rx_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_4 "slot11/divider_rx_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_3 "slot11/divider_rx_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_2 "slot11/divider_rx_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_1 "slot11/divider_rx_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_0 "slot11/divider_rx_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_dready_q_renamed_1116 "slot11/dready_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_0__ "zpuino/core/jump_address_0_and0000_wg_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_0__ "zpuino/core/jump_address_0_and0000_wg_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_1__ "zpuino/core/jump_address_0_and0000_wg_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_1__ "zpuino/core/jump_address_0_and0000_wg_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_2__ "zpuino/core/jump_address_0_and0000_wg_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_2__ "zpuino/core/jump_address_0_and0000_wg_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_3__ "zpuino/core/jump_address_0_and0000_wg_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_3__ "zpuino/core/jump_address_0_and0000_wg_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_4__ "zpuino/core/jump_address_0_and0000_wg_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_4__ "zpuino/core/jump_address_0_and0000_wg_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_5__ "zpuino/core/jump_address_0_and0000_wg_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_5__ "zpuino/core/jump_address_0_and0000_wg_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_6__ "zpuino/core/jump_address_0_and0000_wg_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_6__ "zpuino/core/jump_address_0_and0000_wg_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_7__ "zpuino/core/jump_address_0_and0000_wg_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_7__ "zpuino/core/jump_address_0_and0000_wg_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_0__ "rstgen/rstcount_zero_q_and0000_wg_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_0__ "rstgen/rstcount_zero_q_and0000_wg_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_1__ "rstgen/rstcount_zero_q_and0000_wg_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_1__ "rstgen/rstcount_zero_q_and0000_wg_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_2__ "rstgen/rstcount_zero_q_and0000_wg_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_2__ "rstgen/rstcount_zero_q_and0000_wg_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_3__ "rstgen/rstcount_zero_q_and0000_wg_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_3__ "rstgen/rstcount_zero_q_and0000_wg_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_4__ "rstgen/rstcount_zero_q_and0000_wg_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_4__ "rstgen/rstcount_zero_q_and0000_wg_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_5__ "rstgen/rstcount_zero_q_and0000_wg_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_5__ "rstgen/rstcount_zero_q_and0000_wg_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_6__ "rstgen/rstcount_zero_q_and0000_wg_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_6__ "rstgen/rstcount_zero_q_and0000_wg_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_7__ "rstgen/rstcount_zero_q_and0000_wg_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_7__ "rstgen/rstcount_zero_q_and0000_wg_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_voff_xor_1_11 "slot9/Mcount_voff_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hoff_xor_1_11 "slot9/Mcount_hoff_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_voff_xor_2_11 "slot9/Mcount_voff_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hoff_xor_2_11 "slot9/Mcount_hoff_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_not00011 "slot9/vga_v_offset_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_not00011 "slot9/hdisp_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_0_11 "slot1/zspi/Mcount_count_xor<0>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_0_11 "slot0/zspi/Mcount_count_xor<0>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_7_1 "crc16_inst/data_q_mux0000<7>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_mux0001_2_1 "crc16_inst/count_q_mux0001<2>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11 "uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11 "slot11/rx_inst/rxmvfilter/Mcount_count_q_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_wraddr_xor_1_11 "slot11/fifo_instance/Mcount_wraddr_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_rdaddr_xor_1_11 "slot11/fifo_instance/Mcount_rdaddr_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ignore_sample_q_mux00001 "slot1/zspi/ignore_sample_q_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot1_spi_en_mux00071 "slot1/spi_en_mux00071") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ignore_sample_q_mux00001 "slot0/zspi/ignore_sample_q_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot0_spi_en_mux00071 "slot0/spi_en_mux00071") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_9_mux00001 "sigmadelta_inst/dat_q2_9_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_8_mux00001 "sigmadelta_inst/dat_q2_8_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_7_mux00001 "sigmadelta_inst/dat_q2_7_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_6_mux00001 "sigmadelta_inst/dat_q2_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_5_mux00001 "sigmadelta_inst/dat_q2_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_4_mux00001 "sigmadelta_inst/dat_q2_4_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_3_mux00001 "sigmadelta_inst/dat_q2_3_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_2_mux00001 "sigmadelta_inst/dat_q2_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_1_mux00001 "sigmadelta_inst/dat_q2_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_15_mux00001 "sigmadelta_inst/dat_q2_15_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_14_mux00001 "sigmadelta_inst/dat_q2_14_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_13_mux00001 "sigmadelta_inst/dat_q2_13_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_12_mux00001 "sigmadelta_inst/dat_q2_12_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_11_mux00001 "sigmadelta_inst/dat_q2_11_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_10_mux00001 "sigmadelta_inst/dat_q2_10_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_0_mux00001 "sigmadelta_inst/dat_q2_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_9_mux00001 "sigmadelta_inst/dat_q1_9_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_8_mux00001 "sigmadelta_inst/dat_q1_8_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_7_mux00001 "sigmadelta_inst/dat_q1_7_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_6_mux00001 "sigmadelta_inst/dat_q1_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_5_mux00001 "sigmadelta_inst/dat_q1_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_4_mux00001 "sigmadelta_inst/dat_q1_4_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_3_mux00001 "sigmadelta_inst/dat_q1_3_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_2_mux00001 "sigmadelta_inst/dat_q1_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_1_mux00001 "sigmadelta_inst/dat_q1_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_15_mux00001 "sigmadelta_inst/dat_q1_15_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_14_mux00001 "sigmadelta_inst/dat_q1_14_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_13_mux00001 "sigmadelta_inst/dat_q1_13_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_12_mux00001 "sigmadelta_inst/dat_q1_12_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_11_mux00001 "sigmadelta_inst/dat_q1_11_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_10_mux00001 "sigmadelta_inst/dat_q1_10_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_0_mux00001 "sigmadelta_inst/dat_q1_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_6_1 "crc16_inst/data_q_mux0000<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_5_1 "crc16_inst/data_q_mux0000<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_4_1 "crc16_inst/data_q_mux0000<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_3_1 "crc16_inst/data_q_mux0000<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_2_1 "crc16_inst/data_q_mux0000<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_1_1 "crc16_inst/data_q_mux0000<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_0_1 "crc16_inst/data_q_mux0000<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_1_11 "slot1/zspi/Mcount_count_xor<1>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "41") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_1_11 "slot0/zspi/Mcount_count_xor<1>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "41") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_mux0001_1_1 "crc16_inst/count_q_mux0001<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "14") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_mux0002_1_1 "uart_inst/tx_core/bitpos_mux0002<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11 "uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_mux0002_1_1 "slot11/tx_core/bitpos_mux0002<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11 "slot11/rx_inst/rxmvfilter/Mcount_count_q_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_wraddr_xor_2_11 "slot11/fifo_instance/Mcount_wraddr_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_rdaddr_xor_2_11 "slot11/fifo_instance/Mcount_rdaddr_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_mux0002_2_1 "uart_inst/tx_core/bitpos_mux0002<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6466") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_mux0002_2_1 "slot11/tx_core/bitpos_mux0002<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6466") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd1_In1 "uart_inst/rx_inst/state_FSM_FFd1-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AB20") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd1_In1 "slot11/rx_inst/state_FSM_FFd1-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AB20") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_2_11 "slot1/zspi/Mcount_count_xor<2>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "4441") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_2_11 "slot0/zspi/Mcount_count_xor<2>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "4441") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_mux0001_0_1 "crc16_inst/count_q_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1444") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11 "uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11 "slot11/rx_inst/rxmvfilter/Mcount_count_q_xor<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_wraddr_xor_3_11 "slot11/fifo_instance/Mcount_wraddr_xor<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_rdaddr_xor_3_11 "slot11/fifo_instance/Mcount_rdaddr_xor<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_mux0002_0_1 "uart_inst/tx_core/bitpos_mux0002<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "68CC") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_mux0002_0_1 "slot11/tx_core/bitpos_mux0002<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "68CC") (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_mux0000_SW0 "slot9/vga_vsync_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE8A") (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_mux0000_renamed_1117 "slot9/vga_vsync_mux0000") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_and0000_SW0 "slot9/vga_vsync_and0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_and0000_renamed_1118 "slot9/vga_vsync_and0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_mux0000_SW0 "slot9/vga_hsync_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_mux0000_renamed_1119 "slot9/vga_hsync_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF8A") (owner "Xilinx")) - ) - (instance (rename slot9_h_sync_tick_cmp_eq00001_SW0 "slot9/h_sync_tick_cmp_eq00001_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename slot9_h_sync_tick_cmp_eq00001 "slot9/h_sync_tick_cmp_eq00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_voff_or0000_SW0 "slot9/voff_or0000_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot9_voff_or0000_renamed_1120 "slot9/voff_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_not00011 "uart_inst/rx_inst/rxmvfilter/count_q_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_not00021 "uart_inst/rx_inst/baudgen/cnt_not00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_not00021 "slot11/rx_inst/baudgen/cnt_not00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_do_shift_and00001 "slot1/zspi/do_shift_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_do_shift_and00001 "slot0/zspi/do_shift_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001 "uart_inst/rx_inst/rxmvfilter/sout_cmp_ge00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_sout_cmp_ge00001 "slot11/rx_inst/rxmvfilter/sout_cmp_ge00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_7_1 "slot9/ram/v_data<7>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_6_1 "slot9/ram/v_data<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_5_1 "slot9/ram/v_data<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_4_1 "slot9/ram/v_data<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_3_1 "slot9/ram/v_data<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_2_1 "slot9/ram/v_data<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_1_1 "slot9/ram/v_data<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_0_1 "slot9/ram/v_data<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_and00001 "slot9/vcount_q_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_val1 "slot9/Mcount_vcount_q_val1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_cmp_eq000011 "slot9/hdisp_cmp_eq000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename slot9_hoff_and0000_SW0 "slot9/hoff_and0000_SW0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename slot9_hoff_and0000_renamed_1121 "slot9/hoff_and0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2223") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_cmp_eq000010_renamed_1122 "slot9/hdisp_cmp_eq000010") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_cmp_eq000029_renamed_1123 "slot9/hdisp_cmp_eq000029") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_cmp_eq000031 "slot9/hdisp_cmp_eq000031") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_cmp_eq000010_renamed_1124 "slot9/vga_v_offset_cmp_eq000010") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_cmp_eq000024_renamed_1125 "slot9/vga_v_offset_cmp_eq000024") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_v_display_not00018_renamed_1126 "slot9/v_display_not00018") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot9_v_display_not000115_renamed_1127 "slot9/v_display_not000115") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename slot9_v_display_not000127 "slot9/v_display_not000127") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_iomemmux_s0_wb_cyc_o1 "zpuino/iomemmux/s0_wb_cyc_o1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_trans_or00011 "slot1/trans_or00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot0_trans_or00011 "slot0/trans_or00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_0_1 "slot1/zspi/write_reg_q_mux0000<0>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_0_1 "slot0/zspi/write_reg_q_mux0000<0>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename sid_sd_Maccum_sigma_latch_lut_18_1 "sid_sd/Maccum_sigma_latch_lut<18>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_mux000011 "uart_inst/tx_core/loaded_r_mux000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_mux0002_3_1 "uart_inst/tx_core/bitpos_mux0002<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "32") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_mux000011 "slot11/tx_core/loaded_r_mux000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_mux0002_3_1 "slot11/tx_core/bitpos_mux0002<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "32") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_2_1 "zpuino/io/intr_inst/intr_line<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_inInterrupt_mux00011 "zpuino/core/exr_inInterrupt_mux00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd9_In1 "zpuino/core/exr.state_FSM_FFd9-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd3_In1 "zpuino/core/exr.state_FSM_FFd3-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd2_In1 "zpuino/core/exr.state_FSM_FFd2-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd12_In1 "zpuino/core/exr.state_FSM_FFd12-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd10_In1 "zpuino/core/exr.state_FSM_FFd10-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_mux00001 "uart_inst/tx_core/loaded_r_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_2_1 "uart_inst/rx_inst/datacount_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFD5") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0_21 "uart_inst/rx_inst/datacount_mux0000<0>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_mux00001 "slot11/tx_core/loaded_r_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_2_1 "slot11/rx_inst/datacount_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFD5") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0_21 "slot11/rx_inst/datacount_mux0000<0>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_9_1 "slot1/zspi/write_reg_q_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_8_1 "slot1/zspi/write_reg_q_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_7_1 "slot1/zspi/write_reg_q_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_6_1 "slot1/zspi/write_reg_q_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_5_1 "slot1/zspi/write_reg_q_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_4_1 "slot1/zspi/write_reg_q_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_3_1 "slot1/zspi/write_reg_q_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_31_1 "slot1/zspi/write_reg_q_mux0000<31>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_30_1 "slot1/zspi/write_reg_q_mux0000<30>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_2_1 "slot1/zspi/write_reg_q_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_29_1 "slot1/zspi/write_reg_q_mux0000<29>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_28_1 "slot1/zspi/write_reg_q_mux0000<28>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_27_1 "slot1/zspi/write_reg_q_mux0000<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_26_1 "slot1/zspi/write_reg_q_mux0000<26>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_25_1 "slot1/zspi/write_reg_q_mux0000<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_24_1 "slot1/zspi/write_reg_q_mux0000<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_23_1 "slot1/zspi/write_reg_q_mux0000<23>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_22_1 "slot1/zspi/write_reg_q_mux0000<22>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_21_1 "slot1/zspi/write_reg_q_mux0000<21>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_20_1 "slot1/zspi/write_reg_q_mux0000<20>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_1_1 "slot1/zspi/write_reg_q_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_19_1 "slot1/zspi/write_reg_q_mux0000<19>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_18_1 "slot1/zspi/write_reg_q_mux0000<18>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_17_1 "slot1/zspi/write_reg_q_mux0000<17>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_16_1 "slot1/zspi/write_reg_q_mux0000<16>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_15_1 "slot1/zspi/write_reg_q_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_14_1 "slot1/zspi/write_reg_q_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_13_1 "slot1/zspi/write_reg_q_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_12_1 "slot1/zspi/write_reg_q_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_11_1 "slot1/zspi/write_reg_q_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_10_2 "slot1/zspi/write_reg_q_mux0000<10>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_9_1 "slot0/zspi/write_reg_q_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_8_1 "slot0/zspi/write_reg_q_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_7_1 "slot0/zspi/write_reg_q_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_6_1 "slot0/zspi/write_reg_q_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_5_1 "slot0/zspi/write_reg_q_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_4_1 "slot0/zspi/write_reg_q_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_3_1 "slot0/zspi/write_reg_q_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_31_1 "slot0/zspi/write_reg_q_mux0000<31>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_30_1 "slot0/zspi/write_reg_q_mux0000<30>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_2_1 "slot0/zspi/write_reg_q_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_29_1 "slot0/zspi/write_reg_q_mux0000<29>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_28_1 "slot0/zspi/write_reg_q_mux0000<28>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_27_1 "slot0/zspi/write_reg_q_mux0000<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_26_1 "slot0/zspi/write_reg_q_mux0000<26>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_25_1 "slot0/zspi/write_reg_q_mux0000<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_24_1 "slot0/zspi/write_reg_q_mux0000<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_23_1 "slot0/zspi/write_reg_q_mux0000<23>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_22_1 "slot0/zspi/write_reg_q_mux0000<22>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_21_1 "slot0/zspi/write_reg_q_mux0000<21>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_20_1 "slot0/zspi/write_reg_q_mux0000<20>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_1_1 "slot0/zspi/write_reg_q_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_19_1 "slot0/zspi/write_reg_q_mux0000<19>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_18_1 "slot0/zspi/write_reg_q_mux0000<18>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_17_1 "slot0/zspi/write_reg_q_mux0000<17>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_16_1 "slot0/zspi/write_reg_q_mux0000<16>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_15_1 "slot0/zspi/write_reg_q_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_14_1 "slot0/zspi/write_reg_q_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_13_1 "slot0/zspi/write_reg_q_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_12_1 "slot0/zspi/write_reg_q_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_11_1 "slot0/zspi/write_reg_q_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_10_2 "slot0/zspi/write_reg_q_mux0000<10>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_10_11 "slot1/zspi/write_reg_q_mux0000<10>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF47") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_10_11 "slot0/zspi/write_reg_q_mux0000<10>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF47") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_memAWriteEnable1 "zpuino/memory/memAWriteEnable1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_not000111 "uart_inst/rx_inst/datao_not000111") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_not000111 "slot11/rx_inst/datao_not000111") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ignore_sample_q_not00011 "slot1/zspi/ignore_sample_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ignore_sample_q_not00011 "slot0/zspi/ignore_sample_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ignore_sample_q_not000121 "slot1/zspi/ignore_sample_q_not000121") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ignore_sample_q_not000121 "slot0/zspi/ignore_sample_q_not000121") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_1_11 "uart_inst/rx_inst/datacount_mux0000<1>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7F") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_1_11 "slot11/rx_inst/datacount_mux0000<1>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7F") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0_11 "uart_inst/rx_inst/datacount_mux0000<0>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0_11 "slot11/rx_inst/datacount_mux0000<0>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_we_mux000014_renamed_1128 "zpuino/core/exr_wb_we_mux000014") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_we_mux000027_renamed_1129 "zpuino/core/exr_wb_we_mux000027") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_we_mux000037 "zpuino/core/exr_wb_we_mux000037") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_31 "zpuino/core/stack_a_write<0>31") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudreset_or00001 "uart_inst/rx_inst/baudreset_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_intx_o_not00011 "uart_inst/tx_core/intx_o_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_idle_not00011 "uart_inst/tx_core/idle_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_intx_o_not00011 "slot11/tx_core/intx_o_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_idle_not00011 "slot11/tx_core/idle_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot0_cpol_not00011 "slot0/cpol_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot1_spi_transfersize_q_not0001_SW0 "slot1/spi_transfersize_q_not0001_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AEFF") (owner "Xilinx")) - ) - (instance (rename slot1_spi_transfersize_q_not0001_renamed_1130 "slot1/spi_transfersize_q_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_not0001_SW0 "slot0/spi_transfersize_q_not0001_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AEFF") (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_not0001_renamed_1131 "slot0/spi_transfersize_q_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_5_1 "zpuino/io/intr_inst/intr_line<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd5_In1 "zpuino/core/exr.state_FSM_FFd5-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd7_In_renamed_1132 "zpuino/core/exr.state_FSM_FFd7-In") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "444F") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_mux00018_renamed_1133 "zpuino/core/exr_wb_cyc_mux00018") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAB") (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_not0001211 "slot0/spi_transfersize_q_not0001211") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_2_1 "zpuino/core/stack_b_addr<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_trans_or00001 "slot0/trans_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_iomemmux_s1_wb_cyc_o1 "zpuino/iomemmux/s1_wb_cyc_o1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq0000421 "slot11/fifo_instance/full_v_cmp_eq0000421") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_and00011 "crc16_inst/data_q_and00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_not00011 "uart_inst/tx_core/tbuff_r_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_and00001 "uart_inst/fifo_instance/rdaddr_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_not00011 "uart_inst/divider_rx_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_not00011 "slot11/tx_core/tbuff_r_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_and00001 "slot11/fifo_instance/rdaddr_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_not00011 "slot11/divider_rx_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot1_cpol_not00011 "slot1/cpol_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sd_en_q_0_not00011 "sigmadelta_inst/sd_en_q_0_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_0_not00011 "sigmadelta_inst/dat_q2_0_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_empty_v_cmp_eq000042 "slot11/fifo_instance/empty_v_cmp_eq000042") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8200") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_not0002_SW0 "crc16_inst/crc_q_not0002_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_not0002_renamed_1134 "crc16_inst/crc_q_not0002") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "555D") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000271 "zpuino/core/w1_tos_6_mux000271") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_9__ "zpuino/io/intr_inst/intr_line<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135 "timers_inst/timer1_inst/tmrr_intr_mux00007") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136 "zpuino/core/exr.state_FSM_FFd14-In30") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137 "zpuino/core/exr.state_FSM_FFd14-In43") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138 "zpuino/core/exr.state_FSM_FFd14-In66") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139 "zpuino/core/exr.state_FSM_FFd14-In68") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_3_1 "zpuino/core/stack_b_addr<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_enable_SW1 "zpuino/core/stack_a_enable_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_enable "zpuino/core/stack_a_enable") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_enable7_renamed_1140 "zpuino/core/stack_b_enable7") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D5") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_enable20_renamed_1141 "zpuino/core/stack_b_enable20") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_enable31_renamed_1142 "zpuino/core/stack_b_enable31") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_4_1 "zpuino/core/stack_b_addr<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_5_1 "zpuino/core/stack_b_addr<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_6_mux00001 "zpuino/io/slot_cyc_i_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_clkout_or00001 "uart_inst/rx_inst/baudgen/clkout_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_clkout_or00001 "slot11/rx_inst/baudgen/clkout_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot1_trans_or00001 "slot1/trans_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_6_1 "zpuino/core/stack_b_addr<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_96_not000111 "gpio_inst/ppspin_q_96_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_64_not000111 "gpio_inst/ppspin_q_64_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_32_not000111 "gpio_inst/ppspin_q_32_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_0_not000111 "gpio_inst/ppspin_q_0_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_32_not000111 "gpio_inst/gpio_tris_q_32_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_0_not000111 "gpio_inst/gpio_tris_q_0_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_32_not000111 "gpio_inst/gpio_q_32_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_0_not000121 "gpio_inst/gpio_q_0_not000121") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_32_not00014_SW0 "gpio_inst/gpio_q_32_not00014_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_7_1 "zpuino/core/stack_b_addr<7>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_9_mux00001 "slot1/wb_dat_o_9_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_8_mux00001 "slot1/wb_dat_o_8_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_8_1 "zpuino/core/stack_b_addr<8>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_enable21 "zpuino/core/stack_a_enable21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AB01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_9_1 "zpuino/core/stack_b_addr<9>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_10_1 "zpuino/core/stack_b_addr<10>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux000031") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "31") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AF27") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2373") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_9_11 "zpuino/io/intr_inst/intr_line<9>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_8_1 "zpuino/io/intr_inst/intr_line<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_12_1 "zpuino/io/intr_inst/intr_line<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2373") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_mux0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "082A") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000112_renamed_1145 "uart_inst/tx_core/txd_r_mux000112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000148_renamed_1146 "uart_inst/tx_core/txd_r_mux000148") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D580") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux0001117_renamed_1147 "uart_inst/tx_core/txd_r_mux0001117") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D580") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux0001121_renamed_1148 "uart_inst/tx_core/txd_r_mux0001121") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000112_renamed_1149 "slot11/tx_core/txd_r_mux000112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000148_renamed_1150 "slot11/tx_core/txd_r_mux000148") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D580") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux0001117_renamed_1151 "slot11/tx_core/txd_r_mux0001117") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D580") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux0001121_renamed_1152 "slot11/tx_core/txd_r_mux0001121") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153 "uart_inst/tx_timer/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154 "uart_inst/tx_timer/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155 "uart_inst/tx_timer/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156 "uart_inst/tx_timer/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000076 "uart_inst/tx_timer/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157 "uart_inst/rx_timer/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158 "uart_inst/rx_timer/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159 "uart_inst/rx_timer/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160 "uart_inst/rx_timer/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000076 "uart_inst/rx_timer/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000076 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000012_renamed_1165 "slot11/tx_timer/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000025_renamed_1166 "slot11/tx_timer/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000049_renamed_1167 "slot11/tx_timer/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000062_renamed_1168 "slot11/tx_timer/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000076 "slot11/tx_timer/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000012_renamed_1169 "slot11/rx_timer/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000025_renamed_1170 "slot11/rx_timer/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000049_renamed_1171 "slot11/rx_timer/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000062_renamed_1172 "slot11/rx_timer/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000076 "slot11/rx_timer/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173 "slot11/rx_inst/baudgen/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174 "slot11/rx_inst/baudgen/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175 "slot11/rx_inst/baudgen/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176 "slot11/rx_inst/baudgen/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000076 "slot11/rx_inst/baudgen/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000012_renamed_1177 "zpuino/core/wroteback_q_mux000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000025_renamed_1178 "zpuino/core/wroteback_q_mux000025") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000034_renamed_1179 "zpuino/core/wroteback_q_mux000034") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000045_renamed_1180 "zpuino/core/wroteback_q_mux000045") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000062 "zpuino/core/wroteback_q_mux000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EEE0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000108 "zpuino/core/wroteback_q_mux0000108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_writeenable_SW0 "zpuino/core/stack_a_writeenable_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_writeenable "zpuino/core/stack_a_writeenable") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000141_renamed_1181 "zpuino/core/wroteback_q_mux0000141") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000191_renamed_1182 "zpuino/core/wroteback_q_mux0000191") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000110 "zpuino/core/wroteback_q_mux0000110") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_31 "zpuino/core/stack_a_addr<10>31") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_not00011 "rstgen/rstcount_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_q_not00011 "slot1/zspiclk/prescale_q_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_q_not00011 "slot0/zspiclk/prescale_q_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_wb_inta_o_not00011 "zpuino/io/intr_inst/wb_inta_o_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_not00011 "zpuino/io/intr_inst/mask_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_level_q_16_not00011 "zpuino/io/intr_inst/intr_level_q_16_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_and000011 "crc16_inst/data_q_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7FFF") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_not00011 "crc16_inst/crcA_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_empty_v_cmp_eq0000411 "slot11/fifo_instance/empty_v_cmp_eq0000411") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_and00001 "gpio_inst/output_mapper_q_1_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_and00001 "gpio_inst/output_mapper_q_0_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183 "zpuino/core/prefr_spnext_mux0000<3>23") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2226") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_event_i_and00041 "slot1/zspiclk/pr/event_i_and00041") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_event_i_and00021 "slot1/zspiclk/pr/event_i_and00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_event_i_and00001 "slot1/zspiclk/pr/event_i_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_event_i_and00041 "slot0/zspiclk/pr/event_i_and00041") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_event_i_and00021 "slot0/zspiclk/pr/event_i_and00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_event_i_and00001 "slot0/zspiclk/pr/event_i_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000211 "zpuino/core/w1_tos_0_mux000211") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_16__ "zpuino/io/intr_inst/intr_line<16>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17__SW0 "zpuino/io/intr_inst/intr_line<17>_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17__ "zpuino/io/intr_inst/intr_line<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_7_mux000013_renamed_1184 "zpuino/core/w1_nos_save_7_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_6_mux000013_renamed_1185 "zpuino/core/w1_nos_save_6_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_5_mux000013_renamed_1186 "zpuino/core/w1_nos_save_5_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_4_mux000013_renamed_1187 "zpuino/core/w1_nos_save_4_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_3_mux000013_renamed_1188 "zpuino/core/w1_nos_save_3_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_2_mux000013_renamed_1189 "zpuino/core/w1_nos_save_2_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_1_mux000013_renamed_1190 "zpuino/core/w1_nos_save_1_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_0_mux000013_renamed_1191 "zpuino/core/w1_nos_save_0_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_9_mux000013_renamed_1192 "zpuino/core/w1_nos_save_9_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_8_mux000013_renamed_1193 "zpuino/core/w1_nos_save_8_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_31_mux000013_renamed_1194 "zpuino/core/w1_nos_save_31_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_30_mux000013_renamed_1195 "zpuino/core/w1_nos_save_30_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_29_mux000013_renamed_1196 "zpuino/core/w1_nos_save_29_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_28_mux000013_renamed_1197 "zpuino/core/w1_nos_save_28_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_27_mux000013_renamed_1198 "zpuino/core/w1_nos_save_27_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_26_mux000013_renamed_1199 "zpuino/core/w1_nos_save_26_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_25_mux000013_renamed_1200 "zpuino/core/w1_nos_save_25_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_24_mux000013_renamed_1201 "zpuino/core/w1_nos_save_24_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_23_mux000013_renamed_1202 "zpuino/core/w1_nos_save_23_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_22_mux000013_renamed_1203 "zpuino/core/w1_nos_save_22_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_21_mux000013_renamed_1204 "zpuino/core/w1_nos_save_21_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_20_mux000013_renamed_1205 "zpuino/core/w1_nos_save_20_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_19_mux000013_renamed_1206 "zpuino/core/w1_nos_save_19_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_18_mux000013_renamed_1207 "zpuino/core/w1_nos_save_18_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_17_mux000013_renamed_1208 "zpuino/core/w1_nos_save_17_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_16_mux000013_renamed_1209 "zpuino/core/w1_nos_save_16_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_15_mux000013_renamed_1210 "zpuino/core/w1_nos_save_15_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_14_mux000013_renamed_1211 "zpuino/core/w1_nos_save_14_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_13_mux000013_renamed_1212 "zpuino/core/w1_nos_save_13_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_12_mux000013_renamed_1213 "zpuino/core/w1_nos_save_12_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_11_mux000013_renamed_1214 "zpuino/core/w1_nos_save_11_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_10_mux000013_renamed_1215 "zpuino/core/w1_nos_save_10_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000251 "zpuino/core/w1_tos_6_mux000251") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_6_4_renamed_1216 "zpuino/core/stack_a_addr<6>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_6_10 "zpuino/core/stack_a_addr<6>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_5_4_renamed_1217 "zpuino/core/stack_a_addr<5>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_5_10 "zpuino/core/stack_a_addr<5>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_4_4_renamed_1218 "zpuino/core/stack_a_addr<4>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_4_10 "zpuino/core/stack_a_addr<4>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_3_4_renamed_1219 "zpuino/core/stack_a_addr<3>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_3_14 "zpuino/core/stack_a_addr<3>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_2_4_renamed_1220 "zpuino/core/stack_a_addr<2>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_2_14 "zpuino/core/stack_a_addr<2>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_215_renamed_1221 "zpuino/core/stack_a_addr<10>215") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_236_renamed_1222 "zpuino/core/stack_a_addr<10>236") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmplow_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_ien_and00001 "timers_inst/timer1_inst/tmrr_ien_and00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_and000041 "gpio_inst/input_mapper_q_33_and000041") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and000041 "gpio_inst/input_mapper_q_32_and000041") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_4_cmp_eq00001 "zpuino/io/slot_cyc_i_4_cmp_eq00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_not00011 "timers_inst/timer1_inst/tmrr_cmp_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_not000111 "timers_inst/timer0_inst/tmrr_cmp_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_and000011 "gpio_inst/input_mapper_q_47_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_and000011 "gpio_inst/input_mapper_q_46_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_and000011 "gpio_inst/input_mapper_q_45_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_and000011 "gpio_inst/input_mapper_q_44_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_and000011 "gpio_inst/input_mapper_q_43_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_and000011 "gpio_inst/input_mapper_q_42_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_and000011 "gpio_inst/input_mapper_q_41_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_and000011 "gpio_inst/input_mapper_q_40_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_and000011 "gpio_inst/input_mapper_q_33_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and000011 "gpio_inst/input_mapper_q_32_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmphigh_not00011_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmphigh_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and0000517_renamed_1223 "gpio_inst/input_mapper_q_32_and0000517") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_wb_adr_i_3_1 "gpio_inst/wb_adr_i<3>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_31__SW0 "zpuino/io/io_read_selected<31>_SW0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_31__SW1 "zpuino/io/io_read_selected<31>_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_31__ "zpuino/io/io_read_selected<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_30__ "zpuino/io/io_read_selected<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_29__ "zpuino/io/io_read_selected<29>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_28__ "zpuino/io/io_read_selected<28>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_27__ "zpuino/io/io_read_selected<27>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_26__ "zpuino/io/io_read_selected<26>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_25__ "zpuino/io/io_read_selected<25>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_24__ "zpuino/io/io_read_selected<24>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_7_mux00001 "slot1/wb_dat_o_7_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_6_mux00001 "slot1/wb_dat_o_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_5_mux00001 "slot1/wb_dat_o_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_4_mux00001 "slot1/wb_dat_o_4_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_3_mux00001 "slot1/wb_dat_o_3_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_2_mux00001 "slot1/wb_dat_o_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_1_mux00001 "slot1/wb_dat_o_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_0_mux00001 "slot1/wb_dat_o_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_7_mux00001 "slot0/wb_dat_o_7_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_6_mux00001 "slot0/wb_dat_o_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_5_mux00001 "slot0/wb_dat_o_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_4_mux00001 "slot0/wb_dat_o_4_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_3_mux00001 "slot0/wb_dat_o_3_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_2_mux00001 "slot0/wb_dat_o_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_1_mux00001 "slot0/wb_dat_o_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_0_mux00001 "slot0/wb_dat_o_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_9__ "zpuino/core/stack_a_write<9>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_8__ "zpuino/core/stack_a_write<8>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_7__ "zpuino/core/stack_a_write<7>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_6__ "zpuino/core/stack_a_write<6>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_5__ "zpuino/core/stack_a_write<5>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_4__ "zpuino/core/stack_a_write<4>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_3__ "zpuino/core/stack_a_write<3>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_30__ "zpuino/core/stack_a_write<30>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_2__ "zpuino/core/stack_a_write<2>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_29__ "zpuino/core/stack_a_write<29>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_28__ "zpuino/core/stack_a_write<28>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_27__ "zpuino/core/stack_a_write<27>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_26__ "zpuino/core/stack_a_write<26>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_25__ "zpuino/core/stack_a_write<25>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_24__ "zpuino/core/stack_a_write<24>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_23__ "zpuino/core/stack_a_write<23>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_22__ "zpuino/core/stack_a_write<22>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_21__ "zpuino/core/stack_a_write<21>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_20__ "zpuino/core/stack_a_write<20>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_1__ "zpuino/core/stack_a_write<1>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_19__ "zpuino/core/stack_a_write<19>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_18__ "zpuino/core/stack_a_write<18>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_17__ "zpuino/core/stack_a_write<17>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_16__ "zpuino/core/stack_a_write<16>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_15__ "zpuino/core/stack_a_write<15>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_14__ "zpuino/core/stack_a_write<14>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_13__ "zpuino/core/stack_a_write<13>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_12__ "zpuino/core/stack_a_write<12>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_11__ "zpuino/core/stack_a_write<11>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_10__ "zpuino/core/stack_a_write<10>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0__ "zpuino/core/stack_a_write<0>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_7_4_renamed_1224 "zpuino/core/stack_a_addr<7>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_7_10 "zpuino/core/stack_a_addr<7>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_24_renamed_1225 "zpuino/core/prefr_spnext_mux0000<4>24") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226 "zpuino/core/prefr_spnext_mux0000<4>39") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7828") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_42_renamed_1227 "zpuino/core/prefr_spnext_mux0000<4>42") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_8_4_renamed_1228 "zpuino/core/stack_a_addr<8>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_8_10 "zpuino/core/stack_a_addr<8>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledTosSource_and00001 "zpuino/core/sampledTosSource_and00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_41 "zpuino/io/intr_inst/intr_line<17>41") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_11 "zpuino/io/intr_inst/intr_line<17>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_15_1 "zpuino/io/intr_inst/intr_line<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2373") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "082A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_315_renamed_1230 "zpuino/io/intr_inst/intr_line<17>315") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0103") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_335_renamed_1231 "zpuino/io/intr_inst/intr_line<17>335") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_337 "zpuino/io/intr_inst/intr_line<17>337") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232 "zpuino/core/prefr_spnext_mux0000<5>28") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233 "zpuino/core/prefr_spnext_mux0000<5>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234 "zpuino/core/prefr_spnext_mux0000<5>58") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_70_renamed_1235 "zpuino/core/prefr_spnext_mux0000<5>70") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_9_4_renamed_1236 "zpuino/core/stack_a_addr<9>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_9_10 "zpuino/core/stack_a_addr<9>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_or00001 "zpuino/core/stack_a_addr_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_61 "zpuino/core/stack_a_addr<10>61") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237 "zpuino/core/prefr_spnext_mux0000<7>39") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7828") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_7_42_renamed_1238 "zpuino/core/prefr_spnext_mux0000<7>42") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_8_and00001 "zpuino/io/intr_inst/masked_ivecs_8_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_6_and00001 "zpuino/io/intr_inst/masked_ivecs_6_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_4_and00001 "zpuino/io/intr_inst/masked_ivecs_4_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_3_and00001 "zpuino/io/intr_inst/masked_ivecs_3_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_16_and00001 "zpuino/io/intr_inst/masked_ivecs_16_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_15_and00001 "zpuino/io/intr_inst/masked_ivecs_15_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_14_and00001 "zpuino/io/intr_inst/masked_ivecs_14_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_12_and00001 "zpuino/io/intr_inst/masked_ivecs_12_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_10_and00001 "zpuino/io/intr_inst/masked_ivecs_10_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_21 "zpuino/io/intr_inst/intr_line<17>21") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_1111 "zpuino/io/intr_inst/intr_line<17>1111") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux0001111 "zpuino/io/intr_inst/do_interrupt_mux0001111") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239 "zpuino/core/prefr_spnext_mux0000<8>5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_not0001_renamed_1240 "zpuino/core/prefr_sp_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2223") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_9_not00011 "zpuino/io/intr_inst/intr_detected_q_9_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_8_not00011 "zpuino/io/intr_inst/intr_detected_q_8_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_7_not00011 "zpuino/io/intr_inst/intr_detected_q_7_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_6_not00011 "zpuino/io/intr_inst/intr_detected_q_6_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_5_not00011 "zpuino/io/intr_inst/intr_detected_q_5_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_2_not00011 "zpuino/io/intr_inst/intr_detected_q_2_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_1_not00011 "zpuino/io/intr_inst/intr_detected_q_1_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_17_not00011 "zpuino/io/intr_inst/intr_detected_q_17_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_16_not00011 "zpuino/io/intr_inst/intr_detected_q_16_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_15_not00011 "zpuino/io/intr_inst/intr_detected_q_15_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_14_not00011 "zpuino/io/intr_inst/intr_detected_q_14_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_13_not00011 "zpuino/io/intr_inst/intr_detected_q_13_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_12_not00011 "zpuino/io/intr_inst/intr_detected_q_12_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_11_not00012 "zpuino/io/intr_inst/intr_detected_q_11_not00012") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_10_not00011 "zpuino/io/intr_inst/intr_detected_q_10_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_0_not00011 "zpuino/io/intr_inst/intr_detected_q_0_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_iready_q_not00011 "zpuino/io/intr_inst/iready_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_16_mux00001 "zpuino/io/intr_inst/intr_detected_q_16_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count411 "slot1/zspi/Mcount_count411") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count411 "slot0/zspi/Mcount_count411") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241 "slot11/fifo_instance/full_v_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242 "slot11/fifo_instance/full_v_cmp_eq0000468") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0690") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_31_2_renamed_1243 "zpuino/core/stack_a_write<31>2") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_31_13_renamed_1244 "zpuino/core/stack_a_write<31>13") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_31_29 "zpuino/core/stack_a_write<31>29") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000221 "zpuino/core/w1_tos_0_mux000221") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11 "zpuino/core/Madd_prefr.spnext_addsub0001_cy<6>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11 "zpuino/core/Madd_prefr.spnext_addsub0001_cy<4>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025125_renamed_1245 "zpuino/core/w1_tos_0_mux00025125") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246 "zpuino/core/prefr_spnext_mux0000<9>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000235_renamed_1247 "gpio_inst/gpio_o_9_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000285_renamed_1248 "gpio_inst/gpio_o_9_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000294_renamed_1249 "gpio_inst/gpio_o_9_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux0002112_renamed_1250 "gpio_inst/gpio_o_9_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000235_renamed_1251 "gpio_inst/gpio_o_8_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000285_renamed_1252 "gpio_inst/gpio_o_8_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000294_renamed_1253 "gpio_inst/gpio_o_8_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux0002112_renamed_1254 "gpio_inst/gpio_o_8_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000235_renamed_1255 "gpio_inst/gpio_o_7_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000285_renamed_1256 "gpio_inst/gpio_o_7_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000294_renamed_1257 "gpio_inst/gpio_o_7_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux0002112_renamed_1258 "gpio_inst/gpio_o_7_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000235_renamed_1259 "gpio_inst/gpio_o_6_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000285_renamed_1260 "gpio_inst/gpio_o_6_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000294_renamed_1261 "gpio_inst/gpio_o_6_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux0002112_renamed_1262 "gpio_inst/gpio_o_6_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000235_renamed_1263 "gpio_inst/gpio_o_5_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000285_renamed_1264 "gpio_inst/gpio_o_5_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000294_renamed_1265 "gpio_inst/gpio_o_5_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux0002112_renamed_1266 "gpio_inst/gpio_o_5_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000235_renamed_1267 "gpio_inst/gpio_o_4_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000285_renamed_1268 "gpio_inst/gpio_o_4_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000294_renamed_1269 "gpio_inst/gpio_o_4_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux0002112_renamed_1270 "gpio_inst/gpio_o_4_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000235_renamed_1271 "gpio_inst/gpio_o_47_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000285_renamed_1272 "gpio_inst/gpio_o_47_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000294_renamed_1273 "gpio_inst/gpio_o_47_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux0002112_renamed_1274 "gpio_inst/gpio_o_47_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000235_renamed_1275 "gpio_inst/gpio_o_46_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000285_renamed_1276 "gpio_inst/gpio_o_46_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000294_renamed_1277 "gpio_inst/gpio_o_46_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux0002112_renamed_1278 "gpio_inst/gpio_o_46_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000235_renamed_1279 "gpio_inst/gpio_o_45_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000285_renamed_1280 "gpio_inst/gpio_o_45_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000294_renamed_1281 "gpio_inst/gpio_o_45_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux0002112_renamed_1282 "gpio_inst/gpio_o_45_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000235_renamed_1283 "gpio_inst/gpio_o_44_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000285_renamed_1284 "gpio_inst/gpio_o_44_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000294_renamed_1285 "gpio_inst/gpio_o_44_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux0002112_renamed_1286 "gpio_inst/gpio_o_44_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000235_renamed_1287 "gpio_inst/gpio_o_43_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000285_renamed_1288 "gpio_inst/gpio_o_43_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000294_renamed_1289 "gpio_inst/gpio_o_43_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux0002112_renamed_1290 "gpio_inst/gpio_o_43_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000235_renamed_1291 "gpio_inst/gpio_o_42_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000285_renamed_1292 "gpio_inst/gpio_o_42_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000294_renamed_1293 "gpio_inst/gpio_o_42_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux0002112_renamed_1294 "gpio_inst/gpio_o_42_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000235_renamed_1295 "gpio_inst/gpio_o_41_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000285_renamed_1296 "gpio_inst/gpio_o_41_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000294_renamed_1297 "gpio_inst/gpio_o_41_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux0002112_renamed_1298 "gpio_inst/gpio_o_41_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000235_renamed_1299 "gpio_inst/gpio_o_40_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000285_renamed_1300 "gpio_inst/gpio_o_40_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000294_renamed_1301 "gpio_inst/gpio_o_40_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux0002112_renamed_1302 "gpio_inst/gpio_o_40_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000235_renamed_1303 "gpio_inst/gpio_o_3_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000285_renamed_1304 "gpio_inst/gpio_o_3_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000294_renamed_1305 "gpio_inst/gpio_o_3_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux0002112_renamed_1306 "gpio_inst/gpio_o_3_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000235_renamed_1307 "gpio_inst/gpio_o_33_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000285_renamed_1308 "gpio_inst/gpio_o_33_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000294_renamed_1309 "gpio_inst/gpio_o_33_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux0002112_renamed_1310 "gpio_inst/gpio_o_33_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000235_renamed_1311 "gpio_inst/gpio_o_32_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000285_renamed_1312 "gpio_inst/gpio_o_32_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000294_renamed_1313 "gpio_inst/gpio_o_32_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux0002112_renamed_1314 "gpio_inst/gpio_o_32_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000235_renamed_1315 "gpio_inst/gpio_o_31_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000285_renamed_1316 "gpio_inst/gpio_o_31_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000294_renamed_1317 "gpio_inst/gpio_o_31_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux0002112_renamed_1318 "gpio_inst/gpio_o_31_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000235_renamed_1319 "gpio_inst/gpio_o_30_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000285_renamed_1320 "gpio_inst/gpio_o_30_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000294_renamed_1321 "gpio_inst/gpio_o_30_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux0002112_renamed_1322 "gpio_inst/gpio_o_30_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000235_renamed_1323 "gpio_inst/gpio_o_2_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000285_renamed_1324 "gpio_inst/gpio_o_2_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000294_renamed_1325 "gpio_inst/gpio_o_2_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux0002112_renamed_1326 "gpio_inst/gpio_o_2_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000235_renamed_1327 "gpio_inst/gpio_o_29_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000285_renamed_1328 "gpio_inst/gpio_o_29_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000294_renamed_1329 "gpio_inst/gpio_o_29_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux0002112_renamed_1330 "gpio_inst/gpio_o_29_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000235_renamed_1331 "gpio_inst/gpio_o_28_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000285_renamed_1332 "gpio_inst/gpio_o_28_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000294_renamed_1333 "gpio_inst/gpio_o_28_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux0002112_renamed_1334 "gpio_inst/gpio_o_28_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000235_renamed_1335 "gpio_inst/gpio_o_27_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000285_renamed_1336 "gpio_inst/gpio_o_27_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000294_renamed_1337 "gpio_inst/gpio_o_27_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux0002112_renamed_1338 "gpio_inst/gpio_o_27_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000235_renamed_1339 "gpio_inst/gpio_o_26_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000285_renamed_1340 "gpio_inst/gpio_o_26_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000294_renamed_1341 "gpio_inst/gpio_o_26_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux0002112_renamed_1342 "gpio_inst/gpio_o_26_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000235_renamed_1343 "gpio_inst/gpio_o_25_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000285_renamed_1344 "gpio_inst/gpio_o_25_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000294_renamed_1345 "gpio_inst/gpio_o_25_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux0002112_renamed_1346 "gpio_inst/gpio_o_25_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000235_renamed_1347 "gpio_inst/gpio_o_24_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000285_renamed_1348 "gpio_inst/gpio_o_24_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000294_renamed_1349 "gpio_inst/gpio_o_24_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux0002112_renamed_1350 "gpio_inst/gpio_o_24_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000235_renamed_1351 "gpio_inst/gpio_o_1_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000285_renamed_1352 "gpio_inst/gpio_o_1_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000294_renamed_1353 "gpio_inst/gpio_o_1_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux0002112_renamed_1354 "gpio_inst/gpio_o_1_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000235_renamed_1355 "gpio_inst/gpio_o_15_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000285_renamed_1356 "gpio_inst/gpio_o_15_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000294_renamed_1357 "gpio_inst/gpio_o_15_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux0002112_renamed_1358 "gpio_inst/gpio_o_15_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000235_renamed_1359 "gpio_inst/gpio_o_14_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000285_renamed_1360 "gpio_inst/gpio_o_14_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000294_renamed_1361 "gpio_inst/gpio_o_14_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux0002112_renamed_1362 "gpio_inst/gpio_o_14_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000235_renamed_1363 "gpio_inst/gpio_o_13_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000285_renamed_1364 "gpio_inst/gpio_o_13_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000294_renamed_1365 "gpio_inst/gpio_o_13_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux0002112_renamed_1366 "gpio_inst/gpio_o_13_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000235_renamed_1367 "gpio_inst/gpio_o_12_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000285_renamed_1368 "gpio_inst/gpio_o_12_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000294_renamed_1369 "gpio_inst/gpio_o_12_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux0002112_renamed_1370 "gpio_inst/gpio_o_12_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000235_renamed_1371 "gpio_inst/gpio_o_11_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000285_renamed_1372 "gpio_inst/gpio_o_11_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000294_renamed_1373 "gpio_inst/gpio_o_11_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux0002112_renamed_1374 "gpio_inst/gpio_o_11_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000235_renamed_1375 "gpio_inst/gpio_o_10_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000285_renamed_1376 "gpio_inst/gpio_o_10_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000294_renamed_1377 "gpio_inst/gpio_o_10_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux0002112_renamed_1378 "gpio_inst/gpio_o_10_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000235_renamed_1379 "gpio_inst/gpio_o_0_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000285_renamed_1380 "gpio_inst/gpio_o_0_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000294_renamed_1381 "gpio_inst/gpio_o_0_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux0002112_renamed_1382 "gpio_inst/gpio_o_0_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00041 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and00041") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00021 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00001 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance__and00002 "uart_inst/fifo_instance/_and00002") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_5_and00001 "zpuino/io/intr_inst/masked_ivecs_5_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383 "zpuino/io/intr_inst/do_interrupt_mux00014") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384 "zpuino/io/intr_inst/do_interrupt_mux00019") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385 "zpuino/io/intr_inst/do_interrupt_mux000124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386 "zpuino/io/intr_inst/do_interrupt_mux000132") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmplow_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not00012") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_and000041 "gpio_inst/input_mapper_q_11_and000041") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_and000011 "gpio_inst/input_mapper_q_9_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_and000011 "gpio_inst/input_mapper_q_8_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_and000011 "gpio_inst/input_mapper_q_7_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_and000011 "gpio_inst/input_mapper_q_6_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_and000011 "gpio_inst/input_mapper_q_5_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_and000011 "gpio_inst/input_mapper_q_4_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_and000011 "gpio_inst/input_mapper_q_3_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_and000011 "gpio_inst/input_mapper_q_31_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_and000011 "gpio_inst/input_mapper_q_30_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_and000011 "gpio_inst/input_mapper_q_2_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_and000011 "gpio_inst/input_mapper_q_29_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_and000011 "gpio_inst/input_mapper_q_28_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_and000011 "gpio_inst/input_mapper_q_27_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_and000011 "gpio_inst/input_mapper_q_26_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_and000011 "gpio_inst/input_mapper_q_25_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_and000011 "gpio_inst/input_mapper_q_24_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_and000011 "gpio_inst/input_mapper_q_1_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_and000011 "gpio_inst/input_mapper_q_15_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_and000011 "gpio_inst/input_mapper_q_14_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_and000011 "gpio_inst/input_mapper_q_13_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_and000011 "gpio_inst/input_mapper_q_12_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_and000011 "gpio_inst/input_mapper_q_11_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_and000011 "gpio_inst/input_mapper_q_10_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and000011 "gpio_inst/input_mapper_q_0_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387 "timers_inst/timer0_inst/tmrr_cnt_not00016") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mmux_wb_dat_o_0_211 "timers_inst/timer1_inst/Mmux_wb_dat_o<0>211") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_23__ "zpuino/io/io_read_selected<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_22__ "zpuino/io/io_read_selected<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_21__ "zpuino/io/io_read_selected<21>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_20__ "zpuino/io/io_read_selected<20>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_19__ "zpuino/io/io_read_selected<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_18__ "zpuino/io/io_read_selected<18>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_9_0_renamed_1388 "timers_inst/wb_dat_o<9>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_9_15_renamed_1389 "timers_inst/wb_dat_o<9>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_9_40 "timers_inst/wb_dat_o<9>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_10_0_renamed_1390 "timers_inst/wb_dat_o<10>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_10_15_renamed_1391 "timers_inst/wb_dat_o<10>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_10_40 "timers_inst/wb_dat_o<10>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_8_16_renamed_1392 "timers_inst/wb_dat_o<8>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_15_16_renamed_1393 "timers_inst/wb_dat_o<15>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_14_16_renamed_1394 "timers_inst/wb_dat_o<14>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_13_16_renamed_1395 "timers_inst/wb_dat_o<13>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_12_16_renamed_1396 "timers_inst/wb_dat_o<12>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_11_16_renamed_1397 "timers_inst/wb_dat_o<11>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_5 "zpuino/io/io_read_selected<9>5") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_25 "zpuino/io/io_read_selected<9>25") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_61 "zpuino/io/io_read_selected<9>61") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3323") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_70 "zpuino/io/io_read_selected<9>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_8_70 "zpuino/io/io_read_selected<8>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_15_70 "zpuino/io/io_read_selected<15>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_14_70 "zpuino/io/io_read_selected<14>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_13_70 "zpuino/io/io_read_selected<13>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_12_70 "zpuino/io/io_read_selected<12>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_11_70 "zpuino/io/io_read_selected<11>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_10_70 "zpuino/io/io_read_selected<10>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_37 "zpuino/io/io_read_selected<17>37") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_86 "zpuino/io/io_read_selected<17>86") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_16_86 "zpuino/io/io_read_selected<16>86") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398 "zpuino/core/prefr_spnext_mux0000<10>58") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decode_jump_SW0 "zpuino/core/decode_jump_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_mux00001 "timers_inst/timer0_inst/tmrr_intr_mux00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_91") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_81") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_71") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_61") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_51") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_41") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_31") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_21") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_151") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_141") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_131") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_121") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_111") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_101") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_01") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_ien_and00001 "timers_inst/timer0_inst/tmrr_ien_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024135_renamed_1400 "zpuino/core/w1_tos_0_mux00024135") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024174_renamed_1401 "zpuino/core/w1_tos_0_mux00024174") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_we1 "timers_inst/timer1_we1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_stb1 "timers_inst/timer1_stb1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_9_1 "zpuino/core/decr_pcint_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_8_1 "zpuino/core/decr_pcint_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_7_1 "zpuino/core/decr_pcint_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_6_1 "zpuino/core/decr_pcint_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_5_1 "zpuino/core/decr_pcint_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_4_1 "zpuino/core/decr_pcint_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_3_1 "zpuino/core/decr_pcint_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_2_1 "zpuino/core/decr_pcint_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_1_1 "zpuino/core/decr_pcint_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_13_1 "zpuino/core/decr_pcint_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_12_1 "zpuino/core/decr_pcint_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_11_1 "zpuino/core/decr_pcint_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_10_1 "zpuino/core/decr_pcint_mux0000<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_0_1 "zpuino/core/decr_pcint_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_3_1 "zpuino/core/decr_tosSource_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux000012_renamed_1402 "gpio_inst/spp_read_0_mux000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux000027_renamed_1403 "gpio_inst/spp_read_0_mux000027") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000112_renamed_1404 "gpio_inst/spp_read_0_mux0000112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000154_renamed_1405 "gpio_inst/spp_read_0_mux0000154") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000169_renamed_1406 "gpio_inst/spp_read_0_mux0000169") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000254_renamed_1407 "gpio_inst/spp_read_0_mux0000254") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000290_renamed_1408 "gpio_inst/spp_read_0_mux0000290") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000305_renamed_1409 "gpio_inst/spp_read_0_mux0000305") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000390_renamed_1410 "gpio_inst/spp_read_0_mux0000390") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000434_renamed_1411 "gpio_inst/spp_read_0_mux0000434") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000451_renamed_1412 "gpio_inst/spp_read_0_mux0000451") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000481_renamed_1413 "gpio_inst/spp_read_0_mux0000481") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000496_renamed_1414 "gpio_inst/spp_read_0_mux0000496") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000581_renamed_1415 "gpio_inst/spp_read_0_mux0000581") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000626_renamed_1416 "gpio_inst/spp_read_0_mux0000626") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack41 "zpuino/io/io_device_ack41") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_5_mux00001 "zpuino/io/slot_cyc_i_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_2_mux00001 "zpuino/io/slot_cyc_i_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_not000111 "crc16_inst/poly_q_not000111") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_rd_and000011 "uart_inst/fifo_rd_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_7_0_renamed_1417 "timers_inst/wb_dat_o<7>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_7_40 "timers_inst/wb_dat_o<7>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_6_0_renamed_1418 "timers_inst/wb_dat_o<6>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_6_40 "timers_inst/wb_dat_o<6>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_5_0_renamed_1419 "timers_inst/wb_dat_o<5>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_5_40 "timers_inst/wb_dat_o<5>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_4_0_renamed_1420 "timers_inst/wb_dat_o<4>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_4_40 "timers_inst/wb_dat_o<4>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_3_0_renamed_1421 "timers_inst/wb_dat_o<3>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_3_40 "timers_inst/wb_dat_o<3>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_2_0_renamed_1422 "timers_inst/wb_dat_o<2>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_2_15_renamed_1423 "timers_inst/wb_dat_o<2>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_2_40 "timers_inst/wb_dat_o<2>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_1_0_renamed_1424 "timers_inst/wb_dat_o<1>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_1_15_renamed_1425 "timers_inst/wb_dat_o<1>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_1_40 "timers_inst/wb_dat_o<1>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_0_0_renamed_1426 "timers_inst/wb_dat_o<0>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_0_15_renamed_1427 "timers_inst/wb_dat_o<0>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_0_40 "timers_inst/wb_dat_o<0>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename rstgen_rstout_or00001 "rstgen/rstout_or00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_31_1 "zpuino/core/shl/idx_mux0001<31>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_30_1 "zpuino/core/shl/idx_mux0001<30>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_29_1 "zpuino/core/shl/idx_mux0001<29>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_28_1 "zpuino/core/shl/idx_mux0001<28>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_27_1 "zpuino/core/shl/idx_mux0001<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_26_1 "zpuino/core/shl/idx_mux0001<26>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_25_1 "zpuino/core/shl/idx_mux0001<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_24_1 "zpuino/core/shl/idx_mux0001<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_23_1 "zpuino/core/shl/idx_mux0001<23>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_22_1 "zpuino/core/shl/idx_mux0001<22>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_21_1 "zpuino/core/shl/idx_mux0001<21>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_20_1 "zpuino/core/shl/idx_mux0001<20>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_19_1 "zpuino/core/shl/idx_mux0001<19>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_18_1 "zpuino/core/shl/idx_mux0001<18>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_17_1 "zpuino/core/shl/idx_mux0001<17>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_13_mux000011 "zpuino/io/slot_cyc_i_13_mux000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not000132 "timers_inst/timer1_inst/tmrr_intr_not000132") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428 "timers_inst/timer1_inst/tmrr_intr_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "080F") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack153_renamed_1429 "zpuino/io/io_device_ack153") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_wb_dat_o_2_mux00001 "uart_inst/wb_dat_o_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename uart_inst_wb_dat_o_1_mux00001 "uart_inst/wb_dat_o_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename uart_inst_wb_dat_o_0_mux00001 "uart_inst/wb_dat_o_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "4E") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_14_renamed_1430 "zpuino/io/io_read_selected<7>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_50_renamed_1431 "zpuino/io/io_read_selected<7>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_14_renamed_1432 "zpuino/io/io_read_selected<6>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_50_renamed_1433 "zpuino/io/io_read_selected<6>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_14_renamed_1434 "zpuino/io/io_read_selected<5>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_50_renamed_1435 "zpuino/io/io_read_selected<5>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_14_renamed_1436 "zpuino/io/io_read_selected<4>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_50_renamed_1437 "zpuino/io/io_read_selected<4>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_14_renamed_1438 "zpuino/io/io_read_selected<3>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_50_renamed_1439 "zpuino/io/io_read_selected<3>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_37_renamed_1440 "zpuino/io/io_read_selected<2>37") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_60_renamed_1441 "zpuino/io/io_read_selected<2>60") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_37_renamed_1442 "zpuino/io/io_read_selected<1>37") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_60_renamed_1443 "zpuino/io/io_read_selected<1>60") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_41_renamed_1444 "zpuino/io/io_read_selected<0>41") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "20A8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_65_renamed_1445 "zpuino/io/io_read_selected<0>65") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_13_11 "zpuino/core/shl/idx_mux0001<13>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_11_11 "zpuino/core/shl/idx_mux0001<11>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_10_21 "zpuino/core/shl/idx_mux0001<10>21") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_0_31 "zpuino/core/shl/idx_mux0001<0>31") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_0_1 "zpuino/core/shl/idx_mux0001<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_9_1 "zpuino/core/shl/idx_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_8_1 "zpuino/core/shl/idx_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_7_1 "zpuino/core/shl/idx_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_6_1 "zpuino/core/shl/idx_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_5_1 "zpuino/core/shl/idx_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_1_1 "zpuino/core/shl/idx_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_16_1 "zpuino/core/shl/idx_mux0001<16>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_15_1 "zpuino/core/shl/idx_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_14_1 "zpuino/core/shl/idx_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_13_1 "zpuino/core/shl/idx_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_12_1 "zpuino/core/shl/idx_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_11_1 "zpuino/core/shl/idx_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_10_1 "zpuino/core/shl/idx_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_21 "zpuino/core/decr_fetchpc_mux0000<5>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "CCCD") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_1_11 "zpuino/core/decr_decodedOpcode_mux0000<1>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446 "zpuino/core/decr_fetchpc_mux0000<5>10") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447 "zpuino/core/decr_fetchpc_mux0000<5>31") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not0001211 "timers_inst/timer0_inst/tmrr_intr_not0001211") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448 "timers_inst/timer0_inst/tmrr_intr_not000114") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux00024_renamed_1449 "zpuino/core/w1_tos_1_mux00024") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000223_renamed_1450 "zpuino/core/w1_tos_1_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000242_renamed_1451 "zpuino/core/w1_tos_1_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000256_renamed_1452 "zpuino/core/w1_tos_1_mux000256") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000281_renamed_1453 "zpuino/core/w1_tos_1_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002117_renamed_1454 "zpuino/core/w1_tos_1_mux0002117") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002164_renamed_1455 "zpuino/core/w1_tos_1_mux0002164") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002173 "zpuino/core/w1_tos_1_mux0002173") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000214_renamed_1456 "zpuino/core/w1_tos_2_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000223_renamed_1457 "zpuino/core/w1_tos_2_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000242_renamed_1458 "zpuino/core/w1_tos_2_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000268_renamed_1459 "zpuino/core/w1_tos_2_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000281_renamed_1460 "zpuino/core/w1_tos_2_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000289_renamed_1461 "zpuino/core/w1_tos_2_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002102_renamed_1462 "zpuino/core/w1_tos_2_mux0002102") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002171_renamed_1463 "zpuino/core/w1_tos_2_mux0002171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002180 "zpuino/core/w1_tos_2_mux0002180") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000214_renamed_1464 "zpuino/core/w1_tos_3_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000223_renamed_1465 "zpuino/core/w1_tos_3_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000242_renamed_1466 "zpuino/core/w1_tos_3_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000268_renamed_1467 "zpuino/core/w1_tos_3_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000281_renamed_1468 "zpuino/core/w1_tos_3_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000289_renamed_1469 "zpuino/core/w1_tos_3_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002102_renamed_1470 "zpuino/core/w1_tos_3_mux0002102") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002171_renamed_1471 "zpuino/core/w1_tos_3_mux0002171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002180 "zpuino/core/w1_tos_3_mux0002180") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_4_14 "zpuino/core/decr_fetchpc_mux0000<4>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_3_14 "zpuino/core/decr_fetchpc_mux0000<3>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_2_14 "zpuino/core/decr_fetchpc_mux0000<2>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_1_14 "zpuino/core/decr_fetchpc_mux0000<1>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_13_14 "zpuino/core/decr_fetchpc_mux0000<13>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_12_14 "zpuino/core/decr_fetchpc_mux0000<12>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_11_14 "zpuino/core/decr_fetchpc_mux0000<11>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_10_14 "zpuino/core/decr_fetchpc_mux0000<10>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_0_14 "zpuino/core/decr_fetchpc_mux0000<0>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000214_renamed_1472 "zpuino/core/w1_tos_4_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000223_renamed_1473 "zpuino/core/w1_tos_4_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000242_renamed_1474 "zpuino/core/w1_tos_4_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000268_renamed_1475 "zpuino/core/w1_tos_4_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000281_renamed_1476 "zpuino/core/w1_tos_4_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000289_renamed_1477 "zpuino/core/w1_tos_4_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002102_renamed_1478 "zpuino/core/w1_tos_4_mux0002102") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002171_renamed_1479 "zpuino/core/w1_tos_4_mux0002171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002180 "zpuino/core/w1_tos_4_mux0002180") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000214_renamed_1480 "zpuino/core/w1_tos_5_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000223_renamed_1481 "zpuino/core/w1_tos_5_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000242_renamed_1482 "zpuino/core/w1_tos_5_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000268_renamed_1483 "zpuino/core/w1_tos_5_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000281_renamed_1484 "zpuino/core/w1_tos_5_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000289_renamed_1485 "zpuino/core/w1_tos_5_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002102_renamed_1486 "zpuino/core/w1_tos_5_mux0002102") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002171_renamed_1487 "zpuino/core/w1_tos_5_mux0002171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002180 "zpuino/core/w1_tos_5_mux0002180") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000210_renamed_1488 "zpuino/core/w1_tos_6_mux000210") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000237_renamed_1489 "zpuino/core/w1_tos_6_mux000237") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000260_renamed_1490 "zpuino/core/w1_tos_6_mux000260") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000272_renamed_1491 "zpuino/core/w1_tos_6_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000285_renamed_1492 "zpuino/core/w1_tos_6_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000293_renamed_1493 "zpuino/core/w1_tos_6_mux000293") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002106_renamed_1494 "zpuino/core/w1_tos_6_mux0002106") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002107_renamed_1495 "zpuino/core/w1_tos_6_mux0002107") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002115_renamed_1496 "zpuino/core/w1_tos_6_mux0002115") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux000012_renamed_1497 "gpio_inst/spp_read_1_mux000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000112_renamed_1498 "gpio_inst/spp_read_1_mux0000112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000169_renamed_1499 "gpio_inst/spp_read_1_mux0000169") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000254_renamed_1500 "gpio_inst/spp_read_1_mux0000254") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000290_renamed_1501 "gpio_inst/spp_read_1_mux0000290") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000305_renamed_1502 "gpio_inst/spp_read_1_mux0000305") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000434_renamed_1503 "gpio_inst/spp_read_1_mux0000434") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000451_renamed_1504 "gpio_inst/spp_read_1_mux0000451") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000481_renamed_1505 "gpio_inst/spp_read_1_mux0000481") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000496_renamed_1506 "gpio_inst/spp_read_1_mux0000496") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000626_renamed_1507 "gpio_inst/spp_read_1_mux0000626") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000670_renamed_1508 "gpio_inst/spp_read_1_mux0000670") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF32") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000210_renamed_1509 "zpuino/core/w1_tos_7_mux000210") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000260_renamed_1510 "zpuino/core/w1_tos_7_mux000260") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000272_renamed_1511 "zpuino/core/w1_tos_7_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000285_renamed_1512 "zpuino/core/w1_tos_7_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000293_renamed_1513 "zpuino/core/w1_tos_7_mux000293") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002106_renamed_1514 "zpuino/core/w1_tos_7_mux0002106") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002107_renamed_1515 "zpuino/core/w1_tos_7_mux0002107") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002115_renamed_1516 "zpuino/core/w1_tos_7_mux0002115") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000239_renamed_1517 "zpuino/core/w1_tos_8_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000251_renamed_1518 "zpuino/core/w1_tos_8_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000264_renamed_1519 "zpuino/core/w1_tos_8_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000272_renamed_1520 "zpuino/core/w1_tos_8_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000285_renamed_1521 "zpuino/core/w1_tos_8_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000286_renamed_1522 "zpuino/core/w1_tos_8_mux000286") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000294_renamed_1523 "zpuino/core/w1_tos_8_mux000294") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000239_renamed_1524 "zpuino/core/w1_tos_9_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000251_renamed_1525 "zpuino/core/w1_tos_9_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000264_renamed_1526 "zpuino/core/w1_tos_9_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000272_renamed_1527 "zpuino/core/w1_tos_9_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000285_renamed_1528 "zpuino/core/w1_tos_9_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000286_renamed_1529 "zpuino/core/w1_tos_9_mux000286") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000294_renamed_1530 "zpuino/core/w1_tos_9_mux000294") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000239_renamed_1531 "zpuino/core/w1_tos_10_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000251_renamed_1532 "zpuino/core/w1_tos_10_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000264_renamed_1533 "zpuino/core/w1_tos_10_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000272_renamed_1534 "zpuino/core/w1_tos_10_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000285_renamed_1535 "zpuino/core/w1_tos_10_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000286_renamed_1536 "zpuino/core/w1_tos_10_mux000286") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000294_renamed_1537 "zpuino/core/w1_tos_10_mux000294") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_12_11 "zpuino/core/decr_decodedOpcode_mux0000<12>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_12_1 "zpuino/core/decr_tosSource_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_6_1 "zpuino/core/decr_decodedOpcode_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_3_1 "zpuino/core/decr_decodedOpcode_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_27_1 "zpuino/core/decr_decodedOpcode_mux0000<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_25_1 "zpuino/core/decr_decodedOpcode_mux0000<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_17__ "zpuino/core/decr_tosSource_mux0000<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_13__ "zpuino/core/decr_tosSource_mux0000<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F4F0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538 "zpuino/core/decr_decodedOpcode_mux0000<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F4F0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539 "zpuino/core/decr_decodedOpcode_mux0000<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540 "zpuino/core/decr_decodedOpcode_mux0000<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541 "zpuino/core/decr_decodedOpcode_mux0000<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2F0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542 "zpuino/core/decr_decodedOpcode_mux0000<33>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543 "zpuino/core/decr_decodedOpcode_mux0000<32>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544 "zpuino/core/decr_decodedOpcode_mux0000<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545 "zpuino/core/decr_decodedOpcode_mux0000<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546 "zpuino/core/decr_decodedOpcode_mux0000<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547 "zpuino/core/decr_decodedOpcode_mux0000<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548 "zpuino/core/decr_decodedOpcode_mux0000<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_82 "zpuino/core/decr_tosSource_mux0000<1>82") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEF0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_mux000018_renamed_1549 "zpuino/core/decr_break_mux000018") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_mux000042_renamed_1550 "zpuino/core/decr_break_mux000042") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_8_1 "zpuino/core/decr_decodedOpcode_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_5_1 "zpuino/core/decr_decodedOpcode_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_12_1 "zpuino/core/decr_decodedOpcode_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000239_renamed_1551 "zpuino/core/w1_tos_11_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000251_renamed_1552 "zpuino/core/w1_tos_11_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000264_renamed_1553 "zpuino/core/w1_tos_11_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000273_renamed_1554 "zpuino/core/w1_tos_11_mux000273") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000285_renamed_1555 "zpuino/core/w1_tos_11_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002101_renamed_1556 "zpuino/core/w1_tos_11_mux0002101") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002149_renamed_1557 "zpuino/core/w1_tos_11_mux0002149") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002158 "zpuino/core/w1_tos_11_mux0002158") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000239_renamed_1558 "zpuino/core/w1_tos_12_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000251_renamed_1559 "zpuino/core/w1_tos_12_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000264_renamed_1560 "zpuino/core/w1_tos_12_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000273_renamed_1561 "zpuino/core/w1_tos_12_mux000273") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000285_renamed_1562 "zpuino/core/w1_tos_12_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002126_renamed_1563 "zpuino/core/w1_tos_12_mux0002126") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002158 "zpuino/core/w1_tos_12_mux0002158") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000239_renamed_1564 "zpuino/core/w1_tos_13_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000251_renamed_1565 "zpuino/core/w1_tos_13_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000264_renamed_1566 "zpuino/core/w1_tos_13_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000273_renamed_1567 "zpuino/core/w1_tos_13_mux000273") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000285_renamed_1568 "zpuino/core/w1_tos_13_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002101_renamed_1569 "zpuino/core/w1_tos_13_mux0002101") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002149_renamed_1570 "zpuino/core/w1_tos_13_mux0002149") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002158 "zpuino/core/w1_tos_13_mux0002158") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000239_renamed_1571 "zpuino/core/w1_tos_14_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000251_renamed_1572 "zpuino/core/w1_tos_14_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000264_renamed_1573 "zpuino/core/w1_tos_14_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000272_renamed_1574 "zpuino/core/w1_tos_14_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000284_renamed_1575 "zpuino/core/w1_tos_14_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux0002108_renamed_1576 "zpuino/core/w1_tos_14_mux0002108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux0002140 "zpuino/core/w1_tos_14_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000239_renamed_1577 "zpuino/core/w1_tos_15_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000251_renamed_1578 "zpuino/core/w1_tos_15_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000264_renamed_1579 "zpuino/core/w1_tos_15_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000272_renamed_1580 "zpuino/core/w1_tos_15_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000284_renamed_1581 "zpuino/core/w1_tos_15_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux0002131_renamed_1582 "zpuino/core/w1_tos_15_mux0002131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux0002140 "zpuino/core/w1_tos_15_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000239_renamed_1583 "zpuino/core/w1_tos_16_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000251_renamed_1584 "zpuino/core/w1_tos_16_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000267_renamed_1585 "zpuino/core/w1_tos_16_mux000267") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000270_renamed_1586 "zpuino/core/w1_tos_16_mux000270") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000281_renamed_1587 "zpuino/core/w1_tos_16_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux0002103_renamed_1588 "zpuino/core/w1_tos_16_mux0002103") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux0002136 "zpuino/core/w1_tos_16_mux0002136") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000239_renamed_1589 "zpuino/core/w1_tos_17_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000251_renamed_1590 "zpuino/core/w1_tos_17_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000264_renamed_1591 "zpuino/core/w1_tos_17_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000272_renamed_1592 "zpuino/core/w1_tos_17_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000284_renamed_1593 "zpuino/core/w1_tos_17_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux0002108_renamed_1594 "zpuino/core/w1_tos_17_mux0002108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux0002140 "zpuino/core/w1_tos_17_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000239_renamed_1595 "zpuino/core/w1_tos_18_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000251_renamed_1596 "zpuino/core/w1_tos_18_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000264_renamed_1597 "zpuino/core/w1_tos_18_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000272_renamed_1598 "zpuino/core/w1_tos_18_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000284_renamed_1599 "zpuino/core/w1_tos_18_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux0002108_renamed_1600 "zpuino/core/w1_tos_18_mux0002108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux0002140 "zpuino/core/w1_tos_18_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_Out161 "zpuino/core/exr.state_Out161") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_iomemmux_m_wb_ack_o1 "zpuino/iomemmux/m_wb_ack_o1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000239_renamed_1601 "zpuino/core/w1_tos_19_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000251_renamed_1602 "zpuino/core/w1_tos_19_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000267_renamed_1603 "zpuino/core/w1_tos_19_mux000267") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000270_renamed_1604 "zpuino/core/w1_tos_19_mux000270") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000281_renamed_1605 "zpuino/core/w1_tos_19_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux0002127_renamed_1606 "zpuino/core/w1_tos_19_mux0002127") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux0002136 "zpuino/core/w1_tos_19_mux0002136") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_31_1 "zpuino/core/nos<31>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000239_renamed_1607 "zpuino/core/w1_tos_20_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000251_renamed_1608 "zpuino/core/w1_tos_20_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000264_renamed_1609 "zpuino/core/w1_tos_20_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000272_renamed_1610 "zpuino/core/w1_tos_20_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000284_renamed_1611 "zpuino/core/w1_tos_20_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux0002131_renamed_1612 "zpuino/core/w1_tos_20_mux0002131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux0002140 "zpuino/core/w1_tos_20_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000239_renamed_1613 "zpuino/core/w1_tos_21_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000251_renamed_1614 "zpuino/core/w1_tos_21_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000264_renamed_1615 "zpuino/core/w1_tos_21_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000272_renamed_1616 "zpuino/core/w1_tos_21_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000284_renamed_1617 "zpuino/core/w1_tos_21_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux0002131_renamed_1618 "zpuino/core/w1_tos_21_mux0002131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux0002140 "zpuino/core/w1_tos_21_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000239_renamed_1619 "zpuino/core/w1_tos_22_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000251_renamed_1620 "zpuino/core/w1_tos_22_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000264_renamed_1621 "zpuino/core/w1_tos_22_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000272_renamed_1622 "zpuino/core/w1_tos_22_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000284_renamed_1623 "zpuino/core/w1_tos_22_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux0002131_renamed_1624 "zpuino/core/w1_tos_22_mux0002131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux0002140 "zpuino/core/w1_tos_22_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000239_renamed_1625 "zpuino/core/w1_tos_23_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000251_renamed_1626 "zpuino/core/w1_tos_23_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000264_renamed_1627 "zpuino/core/w1_tos_23_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000272_renamed_1628 "zpuino/core/w1_tos_23_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000284_renamed_1629 "zpuino/core/w1_tos_23_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_27_1 "zpuino/core/nos<27>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000239_renamed_1630 "zpuino/core/w1_tos_24_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000251_renamed_1631 "zpuino/core/w1_tos_24_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000264_renamed_1632 "zpuino/core/w1_tos_24_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000272_renamed_1633 "zpuino/core/w1_tos_24_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000284_renamed_1634 "zpuino/core/w1_tos_24_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000239_renamed_1635 "zpuino/core/w1_tos_25_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000251_renamed_1636 "zpuino/core/w1_tos_25_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000264_renamed_1637 "zpuino/core/w1_tos_25_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000272_renamed_1638 "zpuino/core/w1_tos_25_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000284_renamed_1639 "zpuino/core/w1_tos_25_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_14_11 "zpuino/core/decr_tosSource_mux0000<14>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_5_1 "zpuino/core/decr_tosSource_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_15_1 "zpuino/core/decr_tosSource_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_14_1 "zpuino/core/decr_tosSource_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10__ "zpuino/core/decr_tosSource_mux0000<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy10_renamed_1640 "zpuino/core/exu_busy10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy32_renamed_1641 "zpuino/core/exu_busy32") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BA30") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642 "zpuino/core/decr_stackOperation_mux0000<2>47") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000239_renamed_1643 "zpuino/core/w1_tos_26_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000251_renamed_1644 "zpuino/core/w1_tos_26_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000264_renamed_1645 "zpuino/core/w1_tos_26_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000272_renamed_1646 "zpuino/core/w1_tos_26_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000284_renamed_1647 "zpuino/core/w1_tos_26_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000251_renamed_1648 "zpuino/core/w1_tos_27_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000264_renamed_1649 "zpuino/core/w1_tos_27_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000272_renamed_1650 "zpuino/core/w1_tos_27_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000284_renamed_1651 "zpuino/core/w1_tos_27_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000239_renamed_1652 "zpuino/core/w1_tos_28_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000251_renamed_1653 "zpuino/core/w1_tos_28_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000264_renamed_1654 "zpuino/core/w1_tos_28_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000272_renamed_1655 "zpuino/core/w1_tos_28_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000284_renamed_1656 "zpuino/core/w1_tos_28_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux0002108_renamed_1657 "zpuino/core/w1_tos_28_mux0002108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000239_renamed_1658 "zpuino/core/w1_tos_29_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000251_renamed_1659 "zpuino/core/w1_tos_29_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000264_renamed_1660 "zpuino/core/w1_tos_29_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000272_renamed_1661 "zpuino/core/w1_tos_29_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000284_renamed_1662 "zpuino/core/w1_tos_29_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000239_renamed_1663 "zpuino/core/w1_tos_30_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000251_renamed_1664 "zpuino/core/w1_tos_30_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000264_renamed_1665 "zpuino/core/w1_tos_30_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000272_renamed_1666 "zpuino/core/w1_tos_30_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000284_renamed_1667 "zpuino/core/w1_tos_30_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000239_renamed_1668 "zpuino/core/w1_tos_31_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000251_renamed_1669 "zpuino/core/w1_tos_31_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000275_renamed_1670 "zpuino/core/w1_tos_31_mux000275") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000288_renamed_1671 "zpuino/core/w1_tos_31_mux000288") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux0000121 "zpuino/core/decr_opWillFreeze_mux0000121") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672 "zpuino/core/decr_tosSource_mux0000<4>8") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_5_1 "zpuino/core/nos<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_4_1 "zpuino/core/nos<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_3_1 "zpuino/core/nos<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673 "zpuino/core/decr_stackOperation_mux0000<1>23") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674 "zpuino/core/decr_stackOperation_mux0000<1>62") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "00DC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_2_1 "zpuino/core/nos<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_1_1 "zpuino/core/nos<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000214_renamed_1675 "zpuino/core/w1_tos_0_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000223_renamed_1676 "zpuino/core/w1_tos_0_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000242_renamed_1677 "zpuino/core/w1_tos_0_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000268_renamed_1678 "zpuino/core/w1_tos_0_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000281_renamed_1679 "zpuino/core/w1_tos_0_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000289_renamed_1680 "zpuino/core/w1_tos_0_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002101_renamed_1681 "zpuino/core/w1_tos_0_mux0002101") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002158_renamed_1682 "zpuino/core/w1_tos_0_mux0002158") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002207 "zpuino/core/w1_tos_0_mux0002207") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename SPI_MISO_IBUF_renamed_1683 "SPI_MISO_IBUF") - (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename RXD_IBUF_renamed_1684 "RXD_IBUF") - (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_0__rt_renamed_1685 "slot9/Madd_vga_ram_address_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_1__rt_renamed_1686 "slot9/Madd_vga_ram_address_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_2__rt_renamed_1687 "slot9/Madd_vga_ram_address_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_3__rt_renamed_1688 "slot9/Madd_vga_ram_address_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_4__rt_renamed_1689 "slot9/Madd_vga_ram_address_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_12__rt_renamed_1690 "slot9/Madd_vga_ram_address_cy<12>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_13__rt_renamed_1691 "slot9/Madd_vga_ram_address_cy<13>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_1__rt_renamed_1692 "zpuino/core/Madd_stack_b_addr_add0000_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_2__rt_renamed_1693 "zpuino/core/Madd_stack_b_addr_add0000_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_3__rt_renamed_1694 "zpuino/core/Madd_stack_b_addr_add0000_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_4__rt_renamed_1695 "zpuino/core/Madd_stack_b_addr_add0000_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_5__rt_renamed_1696 "zpuino/core/Madd_stack_b_addr_add0000_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_6__rt_renamed_1697 "zpuino/core/Madd_stack_b_addr_add0000_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_7__rt_renamed_1698 "zpuino/core/Madd_stack_b_addr_add0000_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_1__rt_renamed_1699 "zpuino/core/Madd_pcnext_add0000_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_2__rt_renamed_1700 "zpuino/core/Madd_pcnext_add0000_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_3__rt_renamed_1701 "zpuino/core/Madd_pcnext_add0000_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_4__rt_renamed_1702 "zpuino/core/Madd_pcnext_add0000_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_5__rt_renamed_1703 "zpuino/core/Madd_pcnext_add0000_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_6__rt_renamed_1704 "zpuino/core/Madd_pcnext_add0000_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_7__rt_renamed_1705 "zpuino/core/Madd_pcnext_add0000_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_8__rt_renamed_1706 "zpuino/core/Madd_pcnext_add0000_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_9__rt_renamed_1707 "zpuino/core/Madd_pcnext_add0000_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_10__rt_renamed_1708 "zpuino/core/Madd_pcnext_add0000_cy<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_11__rt_renamed_1709 "zpuino/core/Madd_pcnext_add0000_cy<11>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_12__rt_renamed_1710 "zpuino/core/Madd_pcnext_add0000_cy<12>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__rt_renamed_1711 "zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__rt_renamed_1712 "zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__rt_renamed_1713 "zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__rt_renamed_1714 "zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__rt_renamed_1715 "zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__rt_renamed_1716 "zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__rt_renamed_1717 "zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_1__rt_renamed_1718 "slot9/Mcount_vcount_q_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_2__rt_renamed_1719 "slot9/Mcount_vcount_q_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_3__rt_renamed_1720 "slot9/Mcount_vcount_q_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_4__rt_renamed_1721 "slot9/Mcount_vcount_q_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_5__rt_renamed_1722 "slot9/Mcount_vcount_q_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_6__rt_renamed_1723 "slot9/Mcount_vcount_q_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_7__rt_renamed_1724 "slot9/Mcount_vcount_q_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_8__rt_renamed_1725 "slot9/Mcount_vcount_q_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_1__rt_renamed_1726 "slot9/Mcount_hcount_q_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_2__rt_renamed_1727 "slot9/Mcount_hcount_q_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_3__rt_renamed_1728 "slot9/Mcount_hcount_q_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_4__rt_renamed_1729 "slot9/Mcount_hcount_q_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_5__rt_renamed_1730 "slot9/Mcount_hcount_q_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_6__rt_renamed_1731 "slot9/Mcount_hcount_q_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_7__rt_renamed_1732 "slot9/Mcount_hcount_q_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_8__rt_renamed_1733 "slot9/Mcount_hcount_q_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_9__rt_renamed_1734 "slot9/Mcount_hcount_q_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_1__rt_renamed_1735 "slot9/Mcount_hdisp_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_2__rt_renamed_1736 "slot9/Mcount_hdisp_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_3__rt_renamed_1737 "slot9/Mcount_hdisp_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_4__rt_renamed_1738 "slot9/Mcount_hdisp_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_5__rt_renamed_1739 "slot9/Mcount_hdisp_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_6__rt_renamed_1740 "slot9/Mcount_hdisp_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_7__rt_renamed_1741 "slot9/Mcount_hdisp_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_8__rt_renamed_1742 "slot9/Mcount_hdisp_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_9__rt_renamed_1743 "slot9/Mcount_hdisp_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_10__rt_renamed_1744 "slot9/Mcount_hdisp_cy<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_6__rt_renamed_1745 "slot9/Maccum_vga_v_offset_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_8__rt_renamed_1746 "slot9/Maccum_vga_v_offset_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_9__rt_renamed_1747 "slot9/Maccum_vga_v_offset_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_10__rt_renamed_1748 "slot9/Maccum_vga_v_offset_cy<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_11__rt_renamed_1749 "slot9/Maccum_vga_v_offset_cy<11>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_12__rt_renamed_1750 "slot9/Maccum_vga_v_offset_cy<12>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_13__rt_renamed_1751 "slot9/Maccum_vga_v_offset_cy<13>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1752 "slot0/zspiclk/pr/Mcount_counter_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1753 "slot0/zspiclk/pr/Mcount_counter_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1754 "slot0/zspiclk/pr/Mcount_counter_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1755 "slot0/zspiclk/pr/Mcount_counter_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1756 "slot0/zspiclk/pr/Mcount_counter_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1757 "slot0/zspiclk/pr/Mcount_counter_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1758 "slot0/zspiclk/pr/Mcount_counter_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1759 "slot0/zspiclk/pr/Mcount_counter_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1760 "slot1/zspiclk/pr/Mcount_counter_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1761 "slot1/zspiclk/pr/Mcount_counter_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1762 "slot1/zspiclk/pr/Mcount_counter_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1763 "slot1/zspiclk/pr/Mcount_counter_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1764 "slot1/zspiclk/pr/Mcount_counter_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1765 "slot1/zspiclk/pr/Mcount_counter_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1766 "slot1/zspiclk/pr/Mcount_counter_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1767 "slot1/zspiclk/pr/Mcount_counter_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__rt_renamed_1768 "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_1__rt_renamed_1769 "uart_inst/fifo_instance/Mcount_rdaddr_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_2__rt_renamed_1770 "uart_inst/fifo_instance/Mcount_rdaddr_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_3__rt_renamed_1771 "uart_inst/fifo_instance/Mcount_rdaddr_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_4__rt_renamed_1772 "uart_inst/fifo_instance/Mcount_rdaddr_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_5__rt_renamed_1773 "uart_inst/fifo_instance/Mcount_rdaddr_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_6__rt_renamed_1774 "uart_inst/fifo_instance/Mcount_rdaddr_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_7__rt_renamed_1775 "uart_inst/fifo_instance/Mcount_rdaddr_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_8__rt_renamed_1776 "uart_inst/fifo_instance/Mcount_rdaddr_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_9__rt_renamed_1777 "uart_inst/fifo_instance/Mcount_rdaddr_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_1__rt_renamed_1778 "uart_inst/fifo_instance/Mcount_wraddr_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_2__rt_renamed_1779 "uart_inst/fifo_instance/Mcount_wraddr_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_3__rt_renamed_1780 "uart_inst/fifo_instance/Mcount_wraddr_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_4__rt_renamed_1781 "uart_inst/fifo_instance/Mcount_wraddr_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_5__rt_renamed_1782 "uart_inst/fifo_instance/Mcount_wraddr_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_6__rt_renamed_1783 "uart_inst/fifo_instance/Mcount_wraddr_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_7__rt_renamed_1784 "uart_inst/fifo_instance/Mcount_wraddr_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_8__rt_renamed_1785 "uart_inst/fifo_instance/Mcount_wraddr_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_9__rt_renamed_1786 "uart_inst/fifo_instance/Mcount_wraddr_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1787 "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1788 "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1789 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_1__rt_renamed_1790 "timers_inst/timer0_inst/Mcount_TSC_q_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_2__rt_renamed_1791 "timers_inst/timer0_inst/Mcount_TSC_q_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_3__rt_renamed_1792 "timers_inst/timer0_inst/Mcount_TSC_q_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_4__rt_renamed_1793 "timers_inst/timer0_inst/Mcount_TSC_q_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_5__rt_renamed_1794 "timers_inst/timer0_inst/Mcount_TSC_q_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_6__rt_renamed_1795 "timers_inst/timer0_inst/Mcount_TSC_q_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_7__rt_renamed_1796 "timers_inst/timer0_inst/Mcount_TSC_q_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_8__rt_renamed_1797 "timers_inst/timer0_inst/Mcount_TSC_q_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_9__rt_renamed_1798 "timers_inst/timer0_inst/Mcount_TSC_q_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_10__rt_renamed_1799 "timers_inst/timer0_inst/Mcount_TSC_q_cy<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_11__rt_renamed_1800 "timers_inst/timer0_inst/Mcount_TSC_q_cy<11>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_12__rt_renamed_1801 "timers_inst/timer0_inst/Mcount_TSC_q_cy<12>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_13__rt_renamed_1802 "timers_inst/timer0_inst/Mcount_TSC_q_cy<13>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_14__rt_renamed_1803 "timers_inst/timer0_inst/Mcount_TSC_q_cy<14>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_15__rt_renamed_1804 "timers_inst/timer0_inst/Mcount_TSC_q_cy<15>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_16__rt_renamed_1805 "timers_inst/timer0_inst/Mcount_TSC_q_cy<16>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_17__rt_renamed_1806 "timers_inst/timer0_inst/Mcount_TSC_q_cy<17>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_18__rt_renamed_1807 "timers_inst/timer0_inst/Mcount_TSC_q_cy<18>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_19__rt_renamed_1808 "timers_inst/timer0_inst/Mcount_TSC_q_cy<19>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_20__rt_renamed_1809 "timers_inst/timer0_inst/Mcount_TSC_q_cy<20>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_21__rt_renamed_1810 "timers_inst/timer0_inst/Mcount_TSC_q_cy<21>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_22__rt_renamed_1811 "timers_inst/timer0_inst/Mcount_TSC_q_cy<22>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_23__rt_renamed_1812 "timers_inst/timer0_inst/Mcount_TSC_q_cy<23>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_24__rt_renamed_1813 "timers_inst/timer0_inst/Mcount_TSC_q_cy<24>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_25__rt_renamed_1814 "timers_inst/timer0_inst/Mcount_TSC_q_cy<25>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_26__rt_renamed_1815 "timers_inst/timer0_inst/Mcount_TSC_q_cy<26>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_27__rt_renamed_1816 "timers_inst/timer0_inst/Mcount_TSC_q_cy<27>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_28__rt_renamed_1817 "timers_inst/timer0_inst/Mcount_TSC_q_cy<28>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_29__rt_renamed_1818 "timers_inst/timer0_inst/Mcount_TSC_q_cy<29>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_30__rt_renamed_1819 "timers_inst/timer0_inst/Mcount_TSC_q_cy<30>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__rt_renamed_1820 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__rt_renamed_1821 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__rt_renamed_1822 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__rt_renamed_1823 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__rt_renamed_1824 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__rt_renamed_1825 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__rt_renamed_1826 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__rt_renamed_1827 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1828 "slot11/rx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1829 "slot11/tx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1830 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_14__rt_renamed_1831 "slot9/Madd_vga_ram_address_xor<14>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_8__rt_renamed_1832 "zpuino/core/Madd_stack_b_addr_add0000_xor<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_13__rt_renamed_1833 "zpuino/core/Madd_pcnext_add0000_xor<13>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__rt_renamed_1834 "zpuino/core/Madd_stack_a_addr_addsub0000_xor<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__rt_renamed_1835 "zpuino/core/Madd_stack_b_addr_addsub0000_xor<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_9__rt_renamed_1836 "slot9/Mcount_vcount_q_xor<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_10__rt_renamed_1837 "slot9/Mcount_hcount_q_xor<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_11__rt_renamed_1838 "slot9/Mcount_hdisp_xor<11>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_14__rt_renamed_1839 "slot9/Maccum_vga_v_offset_xor<14>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1840 "slot0/zspiclk/pr/Mcount_counter_xor<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1841 "slot1/zspiclk/pr/Mcount_counter_xor<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_10__rt_renamed_1842 "uart_inst/fifo_instance/Mcount_rdaddr_xor<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_10__rt_renamed_1843 "uart_inst/fifo_instance/Mcount_wraddr_xor<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_31__rt_renamed_1844 "timers_inst/timer0_inst/Mcount_TSC_q_xor<31>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__rt_renamed_1845 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_29_rt_renamed_1846 "slot_address<0><26>_6_f5_29_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_28_rt_renamed_1847 "slot_address<0><26>_6_f5_28_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_27_rt_renamed_1848 "slot_address<0><26>_6_f5_27_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_26_rt_renamed_1849 "slot_address<0><26>_6_f5_26_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_25_rt_renamed_1850 "slot_address<0><26>_6_f5_25_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_24_rt_renamed_1851 "slot_address<0><26>_6_f5_24_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_23_rt_renamed_1852 "slot_address<0><26>_6_f5_23_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_22_rt_renamed_1853 "slot_address<0><26>_6_f5_22_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_21_rt_renamed_1854 "slot_address<0><26>_6_f5_21_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_20_rt_renamed_1855 "slot_address<0><26>_6_f5_20_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_19_rt_renamed_1856 "slot_address<0><26>_6_f5_19_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_18_rt_renamed_1857 "slot_address<0><26>_6_f5_18_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_17_rt_renamed_1858 "slot_address<0><26>_6_f5_17_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_16_rt_renamed_1859 "slot_address<0><26>_6_f5_16_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_15_rt_renamed_1860 "slot_address<0><26>_6_f5_15_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_14_rt_renamed_1861 "slot_address<0><26>_6_f5_14_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_13_rt_renamed_1862 "slot_address<0><26>_6_f5_13_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_12_rt_renamed_1863 "slot_address<0><26>_6_f5_12_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_11_rt_renamed_1864 "slot_address<0><26>_6_f5_11_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_10_rt_renamed_1865 "slot_address<0><26>_6_f5_10_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_9_rt_renamed_1866 "slot_address<0><26>_6_f5_9_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_8_rt_renamed_1867 "slot_address<0><26>_6_f5_8_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_7_rt_renamed_1868 "slot_address<0><26>_6_f5_7_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_6_rt_renamed_1869 "slot_address<0><26>_6_f5_6_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_5_rt_renamed_1870 "slot_address<0><26>_6_f5_5_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_4_rt_renamed_1871 "slot_address<0><26>_6_f5_4_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_3_rt_renamed_1872 "slot_address<0><26>_6_f5_3_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_2_rt_renamed_1873 "slot_address<0><26>_6_f5_2_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_1_rt_renamed_1874 "slot_address<0><26>_6_f5_1_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_0_rt_renamed_1875 "slot_address<0><26>_6_f5_0_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_rt_renamed_1876 "slot_address<0><26>_6_f5_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_6_f5_rt_renamed_1877 "zpuino/io/Mmux__varindex0000_6_f5_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_71 "gpio_inst/Mmux_wb_dat_o_4_f5_71") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_81 "gpio_inst/Mmux_wb_dat_o_4_f5_81") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_91 "gpio_inst/Mmux_wb_dat_o_4_f5_91") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_111 "gpio_inst/Mmux_wb_dat_o_4_f5_111") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_121 "gpio_inst/Mmux_wb_dat_o_4_f5_121") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_131 "gpio_inst/Mmux_wb_dat_o_4_f5_131") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002182_renamed_1878 "zpuino/core/w1_tos_0_mux0002182") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_8__SW0_SW0 "zpuino/core/decr_tosSource_mux0000<8>_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_8__ "zpuino/core/decr_tosSource_mux0000<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_7__SW0_SW0 "zpuino/core/decr_tosSource_mux0000<7>_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_7__ "zpuino/core/decr_tosSource_mux0000<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_6__SW0_SW0 "zpuino/core/decr_tosSource_mux0000<6>_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_6__ "zpuino/core/decr_tosSource_mux0000<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_11__SW0_SW0 "zpuino/core/decr_tosSource_mux0000<11>_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_11__ "zpuino/core/decr_tosSource_mux0000<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_pfu_busy1 "zpuino/core/pfu_busy1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5551") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000052_renamed_1879 "zpuino/core/decr_opWillFreeze_mux000052") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0302") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux0000123 "zpuino/core/decr_opWillFreeze_mux0000123") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_0__ "zpuino/core/Madd_w1.tos_add0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_1__ "zpuino/core/Madd_w1.tos_add0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_2__ "zpuino/core/Madd_w1.tos_add0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_3__ "zpuino/core/Madd_w1.tos_add0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_4__ "zpuino/core/Madd_w1.tos_add0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_5__ "zpuino/core/Madd_w1.tos_add0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_4_111 "zpuino/core/decr_tosSource_mux0000<4>111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_6__ "zpuino/core/Madd_w1.tos_add0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_7__ "zpuino/core/Madd_w1.tos_add0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_8__ "zpuino/core/Madd_w1.tos_add0000_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_4_29 "zpuino/core/tOpcode_mux0001<4>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_4_29_F "zpuino/core/tOpcode_mux0001<4>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_4_29_G "zpuino/core/tOpcode_mux0001<4>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_3_29 "zpuino/core/tOpcode_mux0001<3>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_3_29_F "zpuino/core/tOpcode_mux0001<3>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_3_29_G "zpuino/core/tOpcode_mux0001<3>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_2_29 "zpuino/core/tOpcode_mux0001<2>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_2_29_F "zpuino/core/tOpcode_mux0001<2>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_2_29_G "zpuino/core/tOpcode_mux0001<2>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_1_29 "zpuino/core/tOpcode_mux0001<1>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_1_29_F "zpuino/core/tOpcode_mux0001<1>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_1_29_G "zpuino/core/tOpcode_mux0001<1>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_7_29 "zpuino/core/tOpcode_mux0001<7>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_7_29_F "zpuino/core/tOpcode_mux0001<7>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_7_29_G "zpuino/core/tOpcode_mux0001<7>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_6_29 "zpuino/core/tOpcode_mux0001<6>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_6_29_F "zpuino/core/tOpcode_mux0001<6>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_6_29_G "zpuino/core/tOpcode_mux0001<6>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_5_29 "zpuino/core/tOpcode_mux0001<5>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_5_29_F "zpuino/core/tOpcode_mux0001<5>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_5_29_G "zpuino/core/tOpcode_mux0001<5>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_0_29 "zpuino/core/tOpcode_mux0001<0>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_0_29_F "zpuino/core/tOpcode_mux0001<0>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_0_29_G "zpuino/core/tOpcode_mux0001<0>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000224_SW0 "gpio_inst/gpio_o_9_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000224_renamed_1880 "gpio_inst/gpio_o_9_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000224_SW0 "gpio_inst/gpio_o_8_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000224_renamed_1881 "gpio_inst/gpio_o_8_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000224_SW0 "gpio_inst/gpio_o_7_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000224_renamed_1882 "gpio_inst/gpio_o_7_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000224_SW0 "gpio_inst/gpio_o_6_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000224_renamed_1883 "gpio_inst/gpio_o_6_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000224_SW0 "gpio_inst/gpio_o_5_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000224_renamed_1884 "gpio_inst/gpio_o_5_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000224_SW0 "gpio_inst/gpio_o_4_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000224_renamed_1885 "gpio_inst/gpio_o_4_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000224_SW0 "gpio_inst/gpio_o_47_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000224_renamed_1886 "gpio_inst/gpio_o_47_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000224_SW0 "gpio_inst/gpio_o_46_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000224_renamed_1887 "gpio_inst/gpio_o_46_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000224_SW0 "gpio_inst/gpio_o_45_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000224_renamed_1888 "gpio_inst/gpio_o_45_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000224_SW0 "gpio_inst/gpio_o_44_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000224_renamed_1889 "gpio_inst/gpio_o_44_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000224_SW0 "gpio_inst/gpio_o_43_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000224_renamed_1890 "gpio_inst/gpio_o_43_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000224_SW0 "gpio_inst/gpio_o_42_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000224_renamed_1891 "gpio_inst/gpio_o_42_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000224_SW0 "gpio_inst/gpio_o_41_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000224_renamed_1892 "gpio_inst/gpio_o_41_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000224_SW0 "gpio_inst/gpio_o_40_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000224_renamed_1893 "gpio_inst/gpio_o_40_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000224_SW0 "gpio_inst/gpio_o_3_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000224_renamed_1894 "gpio_inst/gpio_o_3_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000224_SW0 "gpio_inst/gpio_o_33_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000224_renamed_1895 "gpio_inst/gpio_o_33_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000224_SW0 "gpio_inst/gpio_o_32_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000224_renamed_1896 "gpio_inst/gpio_o_32_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000224_SW0 "gpio_inst/gpio_o_31_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000224_renamed_1897 "gpio_inst/gpio_o_31_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000224_SW0 "gpio_inst/gpio_o_30_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000224_renamed_1898 "gpio_inst/gpio_o_30_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000224_SW0 "gpio_inst/gpio_o_2_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000224_renamed_1899 "gpio_inst/gpio_o_2_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000224_SW0 "gpio_inst/gpio_o_29_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000224_renamed_1900 "gpio_inst/gpio_o_29_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000224_SW0 "gpio_inst/gpio_o_28_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000224_renamed_1901 "gpio_inst/gpio_o_28_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000224_SW0 "gpio_inst/gpio_o_27_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000224_renamed_1902 "gpio_inst/gpio_o_27_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000224_SW0 "gpio_inst/gpio_o_26_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000224_renamed_1903 "gpio_inst/gpio_o_26_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000224_SW0 "gpio_inst/gpio_o_25_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000224_renamed_1904 "gpio_inst/gpio_o_25_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000224_SW0 "gpio_inst/gpio_o_24_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000224_renamed_1905 "gpio_inst/gpio_o_24_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000224_SW0 "gpio_inst/gpio_o_1_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000224_renamed_1906 "gpio_inst/gpio_o_1_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000224_SW0 "gpio_inst/gpio_o_15_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000224_renamed_1907 "gpio_inst/gpio_o_15_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000224_SW0 "gpio_inst/gpio_o_14_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000224_renamed_1908 "gpio_inst/gpio_o_14_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000224_SW0 "gpio_inst/gpio_o_13_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000224_renamed_1909 "gpio_inst/gpio_o_13_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000224_SW0 "gpio_inst/gpio_o_12_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000224_renamed_1910 "gpio_inst/gpio_o_12_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000224_SW0 "gpio_inst/gpio_o_11_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000224_renamed_1911 "gpio_inst/gpio_o_11_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000224_SW0 "gpio_inst/gpio_o_10_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000224_renamed_1912 "gpio_inst/gpio_o_10_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000224_SW0 "gpio_inst/gpio_o_0_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000224_renamed_1913 "gpio_inst/gpio_o_0_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914 "zpuino/core/decr_stackOperation_mux0000<2>34") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "082A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915 "zpuino/core/decr_fetchpc_mux0000<9>19") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__928_renamed_1916 "slot_address<0><26>_928") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__927_renamed_1917 "slot_address<0><26>_927") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__926_renamed_1918 "slot_address<0><26>_926") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__925_renamed_1919 "slot_address<0><26>_925") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__924_renamed_1920 "slot_address<0><26>_924") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000068_renamed_1921 "zpuino/core/wroteback_q_mux000068") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF32") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922 "zpuino/core/decr_fetchpc_mux0000<8>19") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923 "zpuino/core/decr_fetchpc_mux0000<7>19") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924 "zpuino/core/decr_tosSource_mux0000<1>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0199") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__930_renamed_1925 "slot_address<0><26>_930") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__929_renamed_1926 "slot_address<0><26>_929") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__923_renamed_1927 "slot_address<0><26>_923") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__723_renamed_1928 "slot_address<0><26>_723") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__922_renamed_1929 "slot_address<0><26>_922") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__722_renamed_1930 "slot_address<0><26>_722") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__920_renamed_1931 "slot_address<0><26>_920") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__720_renamed_1932 "slot_address<0><26>_720") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__919_renamed_1933 "slot_address<0><26>_919") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__719_renamed_1934 "slot_address<0><26>_719") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__918_renamed_1935 "slot_address<0><26>_918") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__718_renamed_1936 "slot_address<0><26>_718") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__917_renamed_1937 "slot_address<0><26>_917") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__717_renamed_1938 "slot_address<0><26>_717") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__916_renamed_1939 "slot_address<0><26>_916") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__716_renamed_1940 "slot_address<0><26>_716") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__915_renamed_1941 "slot_address<0><26>_915") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__715_renamed_1942 "slot_address<0><26>_715") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__914_renamed_1943 "slot_address<0><26>_914") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__714_renamed_1944 "slot_address<0><26>_714") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__913_renamed_1945 "slot_address<0><26>_913") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__713_renamed_1946 "slot_address<0><26>_713") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__912_renamed_1947 "slot_address<0><26>_912") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__712_renamed_1948 "slot_address<0><26>_712") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__911_renamed_1949 "slot_address<0><26>_911") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__711_renamed_1950 "slot_address<0><26>_711") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__99_renamed_1951 "slot_address<0><26>_99") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__79_renamed_1952 "slot_address<0><26>_79") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__98_renamed_1953 "slot_address<0><26>_98") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__78_renamed_1954 "slot_address<0><26>_78") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__97_renamed_1955 "slot_address<0><26>_97") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__77_renamed_1956 "slot_address<0><26>_77") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__96_renamed_1957 "slot_address<0><26>_96") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__76_renamed_1958 "slot_address<0><26>_76") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__95_renamed_1959 "slot_address<0><26>_95") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__94_renamed_1960 "slot_address<0><26>_94") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__93_renamed_1961 "slot_address<0><26>_93") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__92_renamed_1962 "slot_address<0><26>_92") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__91_renamed_1963 "slot_address<0><26>_91") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__9_renamed_1964 "slot_address<0><26>_9") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_pwm_out_0_or00001 "timers_inst/timer1_inst/pwm_out_0_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pwm_out_0_or00001 "timers_inst/timer0_inst/pwm_out_0_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_4__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_6") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966 "slot0/zspiclk/pr/Mmux_event_i_6") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967 "slot1/zspiclk/pr/Mmux_event_i_6") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_enable40 "zpuino/core/stack_b_enable40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968 "zpuino/core/decr_fetchpc_mux0000<6>19") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_mux000089 "zpuino/core/decr_break_mux000089") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D5C4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_77_renamed_1969 "zpuino/io/io_read_selected<2>77") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_77_renamed_1970 "zpuino/io/io_read_selected<1>77") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_83_renamed_1971 "zpuino/io/io_read_selected<0>83") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA8") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975 "slot0/zspiclk/pr/Mmux_event_i_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976 "slot0/zspiclk/pr/Mmux_event_i_5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977 "slot0/zspiclk/pr/Mmux_event_i_4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978 "slot1/zspiclk/pr/Mmux_event_i_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979 "slot1/zspiclk/pr/Mmux_event_i_5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980 "slot1/zspiclk/pr/Mmux_event_i_4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux0002201 "gpio_inst/gpio_o_9_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux0002201 "gpio_inst/gpio_o_8_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux0002201 "gpio_inst/gpio_o_7_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux0002201 "gpio_inst/gpio_o_6_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux0002201 "gpio_inst/gpio_o_5_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux0002201 "gpio_inst/gpio_o_4_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux0002201 "gpio_inst/gpio_o_47_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux0002201 "gpio_inst/gpio_o_46_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux0002201 "gpio_inst/gpio_o_45_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux0002201 "gpio_inst/gpio_o_44_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux0002201 "gpio_inst/gpio_o_43_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux0002201 "gpio_inst/gpio_o_42_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux0002201 "gpio_inst/gpio_o_41_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux0002201 "gpio_inst/gpio_o_40_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux0002201 "gpio_inst/gpio_o_3_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux0002201 "gpio_inst/gpio_o_33_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux0002201 "gpio_inst/gpio_o_32_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux0002201 "gpio_inst/gpio_o_31_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux0002201 "gpio_inst/gpio_o_30_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux0002201 "gpio_inst/gpio_o_2_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux0002201 "gpio_inst/gpio_o_29_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux0002201 "gpio_inst/gpio_o_28_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux0002201 "gpio_inst/gpio_o_27_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux0002201 "gpio_inst/gpio_o_26_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux0002201 "gpio_inst/gpio_o_25_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux0002201 "gpio_inst/gpio_o_24_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux0002201 "gpio_inst/gpio_o_1_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux0002201 "gpio_inst/gpio_o_15_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux0002201 "gpio_inst/gpio_o_14_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux0002201 "gpio_inst/gpio_o_13_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux0002201 "gpio_inst/gpio_o_12_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux0002201 "gpio_inst/gpio_o_11_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux0002201 "gpio_inst/gpio_o_10_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux0002201 "gpio_inst/gpio_o_0_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux0001132 "uart_inst/tx_core/txd_r_mux0001132") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux0001132 "slot11/tx_core/txd_r_mux0001132") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename slot9_v_display_not000122_renamed_1981 "slot9/v_display_not000122") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_114 "zpuino/io/io_read_selected<7>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_114 "zpuino/io/io_read_selected<6>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_114 "zpuino/io/io_read_selected<5>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_114 "zpuino/io/io_read_selected<4>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_114 "zpuino/io/io_read_selected<3>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000460_renamed_1982 "gpio_inst/spp_read_1_mux0000460") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000296_renamed_1983 "zpuino/core/w1_tos_1_mux000296") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_88 "zpuino/core/prefr_spnext_mux0000<10>88") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_79 "zpuino/core/prefr_spnext_mux0000<9>79") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000460_renamed_1984 "gpio_inst/spp_read_0_mux0000460") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_89 "zpuino/core/prefr_spnext_mux0000<8>89") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000128_renamed_1985 "gpio_inst/spp_read_0_mux0000128") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_114 "zpuino/core/prefr_spnext_mux0000<6>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_99 "zpuino/core/prefr_spnext_mux0000<5>99") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__75_renamed_1986 "slot_address<0><26>_75") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__74_renamed_1987 "slot_address<0><26>_74") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__73_renamed_1988 "slot_address<0><26>_73") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__72_renamed_1989 "slot_address<0><26>_72") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__71_renamed_1990 "slot_address<0><26>_71") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_renamed_1991 "slot_address<0><26>_7") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000177 "zpuino/io/intr_inst/do_interrupt_mux000177") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_511_SW0 "zpuino/core/decr_fetchpc_mux0000<5>511_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_511 "zpuino/core/decr_fetchpc_mux0000<5>511") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack44_renamed_1992 "zpuino/io/io_device_ack44") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EE08") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_In15_SW0 "slot11/rx_inst/state_FSM_FFd2-In15_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000241103_SW0 "zpuino/core/w1_tos_0_mux000241103_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000264_SW0 "gpio_inst/gpio_o_9_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000264_renamed_1993 "gpio_inst/gpio_o_9_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000264_SW0 "gpio_inst/gpio_o_8_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000264_renamed_1994 "gpio_inst/gpio_o_8_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000264_SW0 "gpio_inst/gpio_o_7_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000264_renamed_1995 "gpio_inst/gpio_o_7_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000264_SW0 "gpio_inst/gpio_o_6_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000264_renamed_1996 "gpio_inst/gpio_o_6_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000264_SW0 "gpio_inst/gpio_o_5_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000264_renamed_1997 "gpio_inst/gpio_o_5_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000264_SW0 "gpio_inst/gpio_o_4_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000264_renamed_1998 "gpio_inst/gpio_o_4_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000264_SW0 "gpio_inst/gpio_o_47_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000264_renamed_1999 "gpio_inst/gpio_o_47_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000264_SW0 "gpio_inst/gpio_o_46_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000264_renamed_2000 "gpio_inst/gpio_o_46_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000264_SW0 "gpio_inst/gpio_o_45_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000264_renamed_2001 "gpio_inst/gpio_o_45_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000264_SW0 "gpio_inst/gpio_o_44_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000264_renamed_2002 "gpio_inst/gpio_o_44_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000264_SW0 "gpio_inst/gpio_o_43_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000264_renamed_2003 "gpio_inst/gpio_o_43_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000264_SW0 "gpio_inst/gpio_o_42_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000264_renamed_2004 "gpio_inst/gpio_o_42_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000264_SW0 "gpio_inst/gpio_o_41_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000264_renamed_2005 "gpio_inst/gpio_o_41_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000264_SW0 "gpio_inst/gpio_o_40_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000264_renamed_2006 "gpio_inst/gpio_o_40_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000264_SW0 "gpio_inst/gpio_o_3_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000264_renamed_2007 "gpio_inst/gpio_o_3_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000264_SW0 "gpio_inst/gpio_o_33_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000264_renamed_2008 "gpio_inst/gpio_o_33_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000264_SW0 "gpio_inst/gpio_o_32_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000264_renamed_2009 "gpio_inst/gpio_o_32_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000264_SW0 "gpio_inst/gpio_o_31_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000264_renamed_2010 "gpio_inst/gpio_o_31_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000264_SW0 "gpio_inst/gpio_o_30_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000264_renamed_2011 "gpio_inst/gpio_o_30_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000264_SW0 "gpio_inst/gpio_o_2_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000264_renamed_2012 "gpio_inst/gpio_o_2_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000264_SW0 "gpio_inst/gpio_o_29_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000264_renamed_2013 "gpio_inst/gpio_o_29_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000264_SW0 "gpio_inst/gpio_o_28_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000264_renamed_2014 "gpio_inst/gpio_o_28_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000264_SW0 "gpio_inst/gpio_o_27_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000264_renamed_2015 "gpio_inst/gpio_o_27_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000264_SW0 "gpio_inst/gpio_o_26_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000264_renamed_2016 "gpio_inst/gpio_o_26_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000264_SW0 "gpio_inst/gpio_o_25_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000264_renamed_2017 "gpio_inst/gpio_o_25_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000264_SW0 "gpio_inst/gpio_o_24_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000264_renamed_2018 "gpio_inst/gpio_o_24_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000264_SW0 "gpio_inst/gpio_o_1_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000264_renamed_2019 "gpio_inst/gpio_o_1_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000264_SW0 "gpio_inst/gpio_o_15_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000264_renamed_2020 "gpio_inst/gpio_o_15_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000264_SW0 "gpio_inst/gpio_o_14_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000264_renamed_2021 "gpio_inst/gpio_o_14_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000264_SW0 "gpio_inst/gpio_o_13_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000264_renamed_2022 "gpio_inst/gpio_o_13_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000264_SW0 "gpio_inst/gpio_o_12_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000264_renamed_2023 "gpio_inst/gpio_o_12_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000264_SW0 "gpio_inst/gpio_o_11_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000264_renamed_2024 "gpio_inst/gpio_o_11_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000264_SW0 "gpio_inst/gpio_o_10_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000264_renamed_2025 "gpio_inst/gpio_o_10_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000264_SW0 "gpio_inst/gpio_o_0_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000264_renamed_2026 "gpio_inst/gpio_o_0_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_9_23 "zpuino/core/decr_tosSource_mux0000<9>23") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_26_renamed_2027 "zpuino/io/io_read_selected<9>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_8_26_renamed_2028 "zpuino/io/io_read_selected<8>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_15_26_renamed_2029 "zpuino/io/io_read_selected<15>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_14_26_renamed_2030 "zpuino/io/io_read_selected<14>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_13_26_renamed_2031 "zpuino/io/io_read_selected<13>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_12_26_renamed_2032 "zpuino/io/io_read_selected<12>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_11_26_renamed_2033 "zpuino/io/io_read_selected<11>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_10_26_renamed_2034 "zpuino/io/io_read_selected<10>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_cmp_eq000034 "slot9/vga_v_offset_cmp_eq000034") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002124_renamed_2035 "zpuino/core/w1_tos_2_mux0002124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002124_renamed_2036 "zpuino/core/w1_tos_3_mux0002124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002124_renamed_2037 "zpuino/core/w1_tos_4_mux0002124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002124_renamed_2038 "zpuino/core/w1_tos_5_mux0002124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux0002163_SW0 "gpio_inst/gpio_o_9_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux0002163_renamed_2039 "gpio_inst/gpio_o_9_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux0002163_SW0 "gpio_inst/gpio_o_8_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux0002163_renamed_2040 "gpio_inst/gpio_o_8_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux0002163_SW0 "gpio_inst/gpio_o_7_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux0002163_renamed_2041 "gpio_inst/gpio_o_7_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux0002163_SW0 "gpio_inst/gpio_o_6_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux0002163_renamed_2042 "gpio_inst/gpio_o_6_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux0002163_SW0 "gpio_inst/gpio_o_5_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux0002163_renamed_2043 "gpio_inst/gpio_o_5_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux0002163_SW0 "gpio_inst/gpio_o_4_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux0002163_renamed_2044 "gpio_inst/gpio_o_4_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux0002163_SW0 "gpio_inst/gpio_o_47_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux0002163_renamed_2045 "gpio_inst/gpio_o_47_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux0002163_SW0 "gpio_inst/gpio_o_46_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux0002163_renamed_2046 "gpio_inst/gpio_o_46_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux0002163_SW0 "gpio_inst/gpio_o_45_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux0002163_renamed_2047 "gpio_inst/gpio_o_45_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux0002163_SW0 "gpio_inst/gpio_o_44_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux0002163_renamed_2048 "gpio_inst/gpio_o_44_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux0002163_SW0 "gpio_inst/gpio_o_43_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux0002163_renamed_2049 "gpio_inst/gpio_o_43_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux0002163_SW0 "gpio_inst/gpio_o_42_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux0002163_renamed_2050 "gpio_inst/gpio_o_42_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux0002163_SW0 "gpio_inst/gpio_o_41_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux0002163_renamed_2051 "gpio_inst/gpio_o_41_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux0002163_SW0 "gpio_inst/gpio_o_40_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux0002163_renamed_2052 "gpio_inst/gpio_o_40_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux0002163_SW0 "gpio_inst/gpio_o_3_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux0002163_renamed_2053 "gpio_inst/gpio_o_3_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux0002163_SW0 "gpio_inst/gpio_o_33_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux0002163_renamed_2054 "gpio_inst/gpio_o_33_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux0002163_SW0 "gpio_inst/gpio_o_32_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux0002163_renamed_2055 "gpio_inst/gpio_o_32_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux0002163_SW0 "gpio_inst/gpio_o_31_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux0002163_renamed_2056 "gpio_inst/gpio_o_31_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux0002163_SW0 "gpio_inst/gpio_o_30_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux0002163_renamed_2057 "gpio_inst/gpio_o_30_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux0002163_SW0 "gpio_inst/gpio_o_2_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux0002163_renamed_2058 "gpio_inst/gpio_o_2_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux0002163_SW0 "gpio_inst/gpio_o_29_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux0002163_renamed_2059 "gpio_inst/gpio_o_29_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux0002163_SW0 "gpio_inst/gpio_o_28_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux0002163_renamed_2060 "gpio_inst/gpio_o_28_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux0002163_SW0 "gpio_inst/gpio_o_27_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux0002163_renamed_2061 "gpio_inst/gpio_o_27_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux0002163_SW0 "gpio_inst/gpio_o_26_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux0002163_renamed_2062 "gpio_inst/gpio_o_26_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux0002163_SW0 "gpio_inst/gpio_o_25_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux0002163_renamed_2063 "gpio_inst/gpio_o_25_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux0002163_SW0 "gpio_inst/gpio_o_24_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux0002163_renamed_2064 "gpio_inst/gpio_o_24_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux0002163_SW0 "gpio_inst/gpio_o_1_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux0002163_renamed_2065 "gpio_inst/gpio_o_1_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux0002163_SW0 "gpio_inst/gpio_o_15_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux0002163_renamed_2066 "gpio_inst/gpio_o_15_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux0002163_SW0 "gpio_inst/gpio_o_14_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux0002163_renamed_2067 "gpio_inst/gpio_o_14_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux0002163_SW0 "gpio_inst/gpio_o_13_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux0002163_renamed_2068 "gpio_inst/gpio_o_13_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux0002163_SW0 "gpio_inst/gpio_o_12_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux0002163_renamed_2069 "gpio_inst/gpio_o_12_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux0002163_SW0 "gpio_inst/gpio_o_11_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux0002163_renamed_2070 "gpio_inst/gpio_o_11_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux0002163_SW0 "gpio_inst/gpio_o_10_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux0002163_renamed_2071 "gpio_inst/gpio_o_10_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux0002163_SW0 "gpio_inst/gpio_o_0_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux0002163_renamed_2072 "gpio_inst/gpio_o_0_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_In43 "uart_inst/rx_inst/state_FSM_FFd2-In43") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AB01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_110 "zpuino/core/decr_stackOperation_mux0000<2>110") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_4_52 "zpuino/core/decr_tosSource_mux0000<4>52") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In118_SW0 "zpuino/core/exr.state_FSM_FFd14-In118_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In118 "zpuino/core/exr.state_FSM_FFd14-In118") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance__and000011 "slot11/fifo_instance/_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073 "zpuino/core/prefr_spnext_mux0000<9>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_9__ "zpuino/core/Madd_w1.tos_add0000_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_10__ "zpuino/core/Madd_w1.tos_add0000_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_11__ "zpuino/core/Madd_w1.tos_add0000_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_12__ "zpuino/core/Madd_w1.tos_add0000_lut<12>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_13__ "zpuino/core/Madd_w1.tos_add0000_lut<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_14__ "zpuino/core/Madd_w1.tos_add0000_lut<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<12>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<12>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_15__ "zpuino/core/Madd_w1.tos_add0000_lut<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_16__ "zpuino/core/Madd_w1.tos_add0000_lut<16>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000092_SW0 "zpuino/core/decr_opWillFreeze_mux000092_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_17__ "zpuino/core/Madd_w1.tos_add0000_lut<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_18__ "zpuino/core/Madd_w1.tos_add0000_lut<18>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<16>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<16>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_19__ "zpuino/core/Madd_w1.tos_add0000_lut<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_20__ "zpuino/core/Madd_w1.tos_add0000_lut<20>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<18>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<18>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_21__ "zpuino/core/Madd_w1.tos_add0000_lut<21>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_22__ "zpuino/core/Madd_w1.tos_add0000_lut<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<20>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<20>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_23__ "zpuino/core/Madd_w1.tos_add0000_lut<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<21>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<21>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_24__ "zpuino/core/Madd_w1.tos_add0000_lut<24>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_25__ "zpuino/core/Madd_w1.tos_add0000_lut<25>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_26__ "zpuino/core/Madd_w1.tos_add0000_lut<26>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<24>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<24>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_27__ "zpuino/core/Madd_w1.tos_add0000_lut<27>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<25>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<25>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_28__ "zpuino/core/Madd_w1.tos_add0000_lut<28>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<26>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<26>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_29__ "zpuino/core/Madd_w1.tos_add0000_lut<29>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<27>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<27>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_30__ "zpuino/core/Madd_w1.tos_add0000_lut<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<28>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<28>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<29>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<29>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10__SW0 "zpuino/core/decr_tosSource_mux0000<10>_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002126_renamed_2074 "zpuino/core/w1_tos_1_mux0002126") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002133_renamed_2075 "zpuino/core/w1_tos_2_mux0002133") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002133_renamed_2076 "zpuino/core/w1_tos_3_mux0002133") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002133_renamed_2077 "zpuino/core/w1_tos_4_mux0002133") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002133_renamed_2078 "zpuino/core/w1_tos_5_mux0002133") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002111_renamed_2079 "zpuino/core/w1_tos_11_mux0002111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002111_renamed_2080 "zpuino/core/w1_tos_12_mux0002111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002111_renamed_2081 "zpuino/core/w1_tos_13_mux0002111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000292_renamed_2082 "zpuino/core/w1_tos_14_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000292_renamed_2083 "zpuino/core/w1_tos_15_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000288_renamed_2084 "zpuino/core/w1_tos_16_mux000288") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000292_renamed_2085 "zpuino/core/w1_tos_17_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000292_renamed_2086 "zpuino/core/w1_tos_18_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000288_renamed_2087 "zpuino/core/w1_tos_19_mux000288") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000292_renamed_2088 "zpuino/core/w1_tos_20_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000292_renamed_2089 "zpuino/core/w1_tos_21_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000292_renamed_2090 "zpuino/core/w1_tos_22_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_4_1 "zpuino/core/shl/idx_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_31__ "zpuino/core/Madd_w1.tos_add0000_lut<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_91") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_81") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_71") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_61") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_41") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_31") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_24") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_181") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_161") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_151") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_141") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_121") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_101") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_01") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_191") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_211") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_0_1 "zpuino/core/decr_tosSource_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_4_1 "zpuino/core/decr_spOffset_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C8CD") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_3_1 "zpuino/core/decr_spOffset_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_2_1 "zpuino/core/decr_spOffset_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_1_1 "zpuino/core/decr_spOffset_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_0_1 "zpuino/core/decr_spOffset_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_24_1 "zpuino/core/decr_decodedOpcode_mux0000<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000292_renamed_2092 "zpuino/core/w1_tos_23_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000292_renamed_2093 "zpuino/core/w1_tos_24_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000292_renamed_2094 "zpuino/core/w1_tos_25_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000292_renamed_2095 "zpuino/core/w1_tos_26_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000292_renamed_2096 "zpuino/core/w1_tos_27_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000292_renamed_2097 "zpuino/core/w1_tos_28_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000292_renamed_2098 "zpuino/core/w1_tos_29_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000292_renamed_2099 "zpuino/core/w1_tos_30_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000297_renamed_2100 "zpuino/core/w1_tos_31_mux000297") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_221") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_cyc1 "timers_inst/timer1_cyc1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0400") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_wb_ack_o_mux00011 "zpuino/memory/rom_wb_ack_o_mux00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F7A2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_6_1 "zpuino/core/decr_opcode_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_5_1 "zpuino/core/decr_opcode_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_4_1 "zpuino/core/decr_opcode_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_3_1 "zpuino/core/decr_opcode_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_2_1 "zpuino/core/decr_opcode_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_1_1 "zpuino/core/decr_opcode_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_0_2 "zpuino/core/decr_opcode_mux0000<0>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_0_21 "zpuino/core/decr_fetchpc_mux0000<0>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_not00011 "slot11/rx_inst/rxmvfilter/count_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance__and00001 "slot11/fifo_instance/_and00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_3_5_renamed_2101 "zpuino/core/stack_a_addr<3>5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_2_5_renamed_2102 "zpuino/core/stack_a_addr<2>5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_spiclk_mux00001 "slot1/zspiclk/spiclk_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA6") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_spiclk_mux00001 "slot0/zspiclk/spiclk_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA6") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_level_q_16_not000111 "zpuino/io/intr_inst/intr_level_q_16_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_10_1 "zpuino/io/intr_inst/intr_line<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_0_1 "uart_inst/rx_timer/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_0_1 "slot11/rx_timer/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_231") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_done_or00001 "zpuino/core/shl/done_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAB") (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_or00001 "zpuino/io/addr_save_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_16__SW0 "zpuino/io/intr_inst/intr_line<16>_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_recompute_sp_or00001 "zpuino/core/prefr_recompute_sp_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_not00011 "zpuino/core/exr_tos_save_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and0000530_SW0 "gpio_inst/input_mapper_q_32_and0000530_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and0000530 "gpio_inst/input_mapper_q_32_and0000530") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_1_1 "zpuino/io/intr_inst/intr_line<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_11_SW0 "zpuino/core/decr_fetchpc_mux0000<9>11_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103 "zpuino/core/decr_fetchpc_mux0000<9>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_11_SW0 "zpuino/core/decr_fetchpc_mux0000<8>11_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104 "zpuino/core/decr_fetchpc_mux0000<8>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_11_SW0 "zpuino/core/decr_fetchpc_mux0000<7>11_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105 "zpuino/core/decr_fetchpc_mux0000<7>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_11_SW0 "zpuino/core/decr_fetchpc_mux0000<6>11_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106 "zpuino/core/decr_fetchpc_mux0000<6>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack118_SW0 "zpuino/io/io_device_ack118_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0A08") (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_not0001_renamed_2107 "crc16_inst/poly_q_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_not00011 "timers_inst/timer1_inst/tmrr_cnt_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_19_11 "zpuino/core/shl/idx_mux0001<19>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_18_11 "zpuino/core/shl/idx_mux0001<18>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_17_11 "zpuino/core/shl/idx_mux0001<17>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002101 "zpuino/core/w1_tos_6_mux0002101") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux00025_renamed_2108 "zpuino/core/w1_tos_1_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux00025_renamed_2109 "zpuino/core/w1_tos_2_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux00025_renamed_2110 "zpuino/core/w1_tos_3_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux00025_renamed_2111 "zpuino/core/w1_tos_4_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux00025_renamed_2112 "zpuino/core/w1_tos_5_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux00020_renamed_2113 "zpuino/core/w1_tos_8_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux00020_renamed_2114 "zpuino/core/w1_tos_9_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux00020_renamed_2115 "zpuino/core/w1_tos_10_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux00020_renamed_2116 "zpuino/core/w1_tos_11_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux00020_renamed_2117 "zpuino/core/w1_tos_12_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux00020_renamed_2118 "zpuino/core/w1_tos_13_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux00020_renamed_2119 "zpuino/core/w1_tos_14_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux00020_renamed_2120 "zpuino/core/w1_tos_15_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux00020_renamed_2121 "zpuino/core/w1_tos_16_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux00020_renamed_2122 "zpuino/core/w1_tos_17_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux00020_renamed_2123 "zpuino/core/w1_tos_18_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux00020_renamed_2124 "zpuino/core/w1_tos_19_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux00020_renamed_2125 "zpuino/core/w1_tos_20_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux00020_renamed_2126 "zpuino/core/w1_tos_21_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux00020_renamed_2127 "zpuino/core/w1_tos_22_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux00020_renamed_2128 "zpuino/core/w1_tos_23_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux00020_renamed_2129 "zpuino/core/w1_tos_24_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux00020_renamed_2130 "zpuino/core/w1_tos_25_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux00020_renamed_2131 "zpuino/core/w1_tos_26_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux00023_renamed_2132 "zpuino/core/w1_tos_27_mux00023") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux00020_renamed_2133 "zpuino/core/w1_tos_28_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux00020_renamed_2134 "zpuino/core/w1_tos_29_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux00020_renamed_2135 "zpuino/core/w1_tos_30_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux00020_renamed_2136 "zpuino/core/w1_tos_31_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025_renamed_2137 "zpuino/core/w1_tos_0_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_31_1 "timers_inst/wb_dat_o<31>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_30_1 "timers_inst/wb_dat_o<30>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_29_1 "timers_inst/wb_dat_o<29>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_28_1 "timers_inst/wb_dat_o<28>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_27_1 "timers_inst/wb_dat_o<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_26_1 "timers_inst/wb_dat_o<26>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_25_1 "timers_inst/wb_dat_o<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_24_1 "timers_inst/wb_dat_o<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_51 "zpuino/core/stack_a_addr<10>51") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot9_ram_we1 "slot9/ram_we1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_0_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_0_1 "slot11/rx_inst/baudgen/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000105_renamed_2138 "zpuino/core/wroteback_q_mux0000105") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_10_11 "zpuino/core/stack_b_addr<10>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAB") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd7_In_SW0 "zpuino/core/exr.state_FSM_FFd7-In_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1555") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_9__SW0 "zpuino/io/intr_inst/intr_line<9>_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F777") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_21 "zpuino/core/stack_a_write<0>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_wb_rst_i_inv1 "zpuino/io/intr_inst/wb_rst_i_inv1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_do_wait_or00001 "zpuino/memory/rom_do_wait_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramregs_do_wait_or00001 "zpuino/memory/ramregs_do_wait_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_or00001 "uart_inst/rx_inst/rxmvfilter/count_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_dready_q_or00001 "uart_inst/dready_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_or00001 "slot11/rx_inst/rxmvfilter/count_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_dready_q_or00001 "slot11/dready_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_not00011 "zpuino/io/write_save_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_we_or0000_inv1 "zpuino/io/io_we_or0000_inv1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_not00021 "uart_inst/tx_timer/cnt_not00021") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_dataready_not00011 "uart_inst/rx_inst/dataready_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_not00021 "slot11/tx_timer/cnt_not00021") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_dataready_not00011 "slot11/rx_inst/dataready_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename sid_sd_Maccum_sigma_latch_cy_18_11 "sid_sd/Maccum_sigma_latch_cy<18>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_0_and00001 "zpuino/io/intr_inst/masked_ivecs_0_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_2_mux00011 "zpuino/core/shl/d_2_mux00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_1_mux00011 "zpuino/core/shl/d_1_mux00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd4_In1 "zpuino/core/exr.state_FSM_FFd4-In1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_and00001 "slot9/hdisp_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_val1 "slot9/Mcount_hcount_q_val1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_im_mux0000_SW1 "zpuino/core/decr_im_mux0000_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_im_mux0000_renamed_2139 "zpuino/core/decr_im_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_1_1 "uart_inst/rx_timer/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_1_1 "slot11/rx_timer/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_1_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_1_1 "slot11/rx_inst/baudgen/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_2_1 "uart_inst/rx_timer/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_2_1 "slot11/rx_timer/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_2_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_2_1 "slot11/rx_inst/baudgen/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_3_1 "uart_inst/rx_timer/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_3_1 "slot11/rx_timer/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_3_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_3_1 "slot11/rx_inst/baudgen/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_4_1 "uart_inst/rx_timer/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_4_1 "slot11/rx_timer/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_4_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_4_1 "slot11/rx_inst/baudgen/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_5_1 "uart_inst/rx_timer/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_5_1 "slot11/rx_timer/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_5_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_5_1 "slot11/rx_inst/baudgen/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_6_1 "uart_inst/rx_timer/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_6_1 "slot11/rx_timer/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_6_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_6_1 "slot11/rx_inst/baudgen/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_7_1 "uart_inst/rx_timer/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_7_1 "slot11/rx_timer/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_7_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_7_1 "slot11/rx_inst/baudgen/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_8_1 "uart_inst/rx_timer/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_8_1 "slot11/rx_timer/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_8_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_8_1 "slot11/rx_inst/baudgen/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_9_1 "uart_inst/rx_timer/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_9_1 "slot11/rx_timer/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_74 "zpuino/core/decr_stackOperation_mux0000<1>74") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_2_1 "zpuino/core/decr_tosSource_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_1_2 "zpuino/core/decr_decodedOpcode_mux0000<1>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_3_1 "zpuino/core/shl/idx_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8988") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_2_1 "zpuino/core/shl/idx_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8988") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance__and000011 "uart_inst/fifo_instance/_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140 "zpuino/core/decr_stackOperation_mux0000<1>6") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack30_renamed_2141 "zpuino/io/io_device_ack30") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7FFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002521 "zpuino/core/w1_tos_0_mux0002521") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_wb_ack_o_not00011 "zpuino/memory/rom_wb_ack_o_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_15_1 "uart_inst/rx_timer/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_15_1 "slot11/rx_timer/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_14_1 "uart_inst/rx_timer/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_14_1 "slot11/rx_timer/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_not00011 "crc16_inst/data_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0103") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_13_1 "uart_inst/rx_timer/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_13_1 "slot11/rx_timer/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_12_1 "uart_inst/rx_timer/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_12_1 "slot11/rx_timer/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_11_1 "uart_inst/rx_timer/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_11_1 "slot11/rx_timer/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_10_1 "uart_inst/rx_timer/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_10_1 "slot11/rx_timer/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd13_In1 "zpuino/core/exr.state_FSM_FFd13-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_0_not00011 "uart_inst/rx_inst/rxd_0_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_not00012 "uart_inst/rx_inst/datao_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_0_not00011 "slot11/rx_inst/rxd_0_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_not00012 "slot11/rx_inst/datao_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_cyc_or0000_inv1 "zpuino/io/io_cyc_or0000_inv1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0103") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_9_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_9_1 "slot11/rx_inst/baudgen/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002164 "zpuino/core/w1_tos_10_mux0002164") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002164 "zpuino/core/w1_tos_9_mux0002164") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002164 "zpuino/core/w1_tos_8_mux0002164") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002185 "zpuino/core/w1_tos_7_mux0002185") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002185 "zpuino/core/w1_tos_6_mux0002185") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy42_SW0 "zpuino/core/exu_busy42_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_stb_not00011 "zpuino/io/io_stb_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0301") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_ien_q_or00001 "zpuino/io/intr_inst/ien_q_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_or0000_inv1 "zpuino/core/prefr_pc_or0000_inv1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_not00011 "zpuino/io/intr_inst/intr_served_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_not000119 "timers_inst/timer0_inst/tmrr_cnt_not000119") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_valid_or00001 "zpuino/core/prefr_valid_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmphigh_not00012") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_13_1 "zpuino/io/intr_inst/intr_line<13>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_15_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_15_1 "slot11/rx_inst/baudgen/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_14_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_14_1 "slot11/rx_inst/baudgen/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_13_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_13_1 "slot11/rx_inst/baudgen/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_12_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_12_1 "slot11/rx_inst/baudgen/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_11_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_11_1 "slot11/rx_inst/baudgen/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_10_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_10_1 "slot11/rx_inst/baudgen/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_6_1 "zpuino/io/intr_inst/intr_line<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_11_1 "zpuino/io/intr_inst/intr_line<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1050") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_clkout_or00001 "uart_inst/tx_timer/clkout_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_clkout_or00001 "slot11/tx_timer/clkout_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_not00011 "uart_inst/tx_core/t_r_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_not00011 "slot11/tx_core/t_r_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_3_1 "zpuino/io/intr_inst/intr_line<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_not00011 "slot1/zspi/read_reg_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_not00011 "slot0/zspi/read_reg_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd6_In1 "zpuino/core/exr.state_FSM_FFd6-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_and00001 "timers_inst/timer1_inst/tmrr_cnt_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0200") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not000111 "timers_inst/timer0_inst/tmrr_intr_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0800") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_and00001 "timers_inst/timer0_inst/tmrr_cnt_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0200") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_14_1 "zpuino/io/intr_inst/intr_line<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clk_i_or00001 "slot1/zspiclk/clk_i_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clk_i_or00001 "slot0/zspiclk/clk_i_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_7_1 "zpuino/io/intr_inst/intr_line<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_clkout_or00001 "uart_inst/rx_timer/clkout_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_clkout_or00001 "slot11/rx_timer/clkout_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_4_1 "zpuino/io/intr_inst/intr_line<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack186_renamed_2142 "zpuino/io/io_device_ack186") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "57FF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_17_SW0 "zpuino/core/decr_fetchpc_mux0000<5>17_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143 "zpuino/core/decr_fetchpc_mux0000<5>17") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_16_25_SW0 "zpuino/core/decr_tosSource_mux0000<16>25_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_mux000021_SW0 "timers_inst/timer1_inst/tmrr_intr_mux000021_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and00007 "gpio_inst/input_mapper_q_0_and00007") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000226_SW0 "zpuino/core/w1_tos_8_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000226_SW0 "zpuino/core/w1_tos_9_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000226_SW0 "zpuino/core/w1_tos_10_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000226_SW0 "zpuino/core/w1_tos_11_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000226_SW0 "zpuino/core/w1_tos_12_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000226_SW0 "zpuino/core/w1_tos_13_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000226_SW0 "zpuino/core/w1_tos_14_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000226_SW0 "zpuino/core/w1_tos_15_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000226_SW0 "zpuino/core/w1_tos_16_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000226_SW0 "zpuino/core/w1_tos_17_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000226_SW0 "zpuino/core/w1_tos_18_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000226_SW0 "zpuino/core/w1_tos_19_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000226_SW0 "zpuino/core/w1_tos_20_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000226_SW0 "zpuino/core/w1_tos_21_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000226_SW0 "zpuino/core/w1_tos_22_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000226_SW0 "zpuino/core/w1_tos_23_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000226_SW0 "zpuino/core/w1_tos_24_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000226_SW0 "zpuino/core/w1_tos_25_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000226_SW0 "zpuino/core/w1_tos_26_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000226_SW0 "zpuino/core/w1_tos_27_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000226_renamed_2144 "zpuino/core/w1_tos_27_mux000226") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000226_SW0 "zpuino/core/w1_tos_28_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000226_SW0 "zpuino/core/w1_tos_29_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000226_SW0 "zpuino/core/w1_tos_30_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000226_SW0 "zpuino/core/w1_tos_31_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002149_SW0 "zpuino/core/w1_tos_0_mux0002149_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002149_renamed_2145 "zpuino/core/w1_tos_0_mux0002149") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002143_SW0 "zpuino/core/w1_tos_9_mux0002143_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002143_SW0 "zpuino/core/w1_tos_8_mux0002143_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002164_SW0 "zpuino/core/w1_tos_7_mux0002164_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002164_SW0 "zpuino/core/w1_tos_6_mux0002164_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146 "zpuino/io/intr_inst/intr_detected_q_4_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147 "zpuino/io/intr_inst/intr_detected_q_3_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_not0001_SW1 "timers_inst/timer0_inst/tmrr_cmp_not0001_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148 "timers_inst/timer0_inst/tmrr_cmp_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_le_q_not0001_SW1 "sigmadelta_inst/le_q_not0001_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_le_q_not0001_renamed_2149 "sigmadelta_inst/le_q_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd11_In_SW1 "zpuino/core/exr.state_FSM_FFd11-In_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd11_In_renamed_2150 "zpuino/core/exr.state_FSM_FFd11-In") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000235_renamed_2151 "zpuino/core/w1_tos_1_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000236_renamed_2152 "zpuino/core/w1_tos_1_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000235_renamed_2153 "zpuino/core/w1_tos_2_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000236_renamed_2154 "zpuino/core/w1_tos_2_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000235_renamed_2155 "zpuino/core/w1_tos_3_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000236_renamed_2156 "zpuino/core/w1_tos_3_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000235_renamed_2157 "zpuino/core/w1_tos_4_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000236_renamed_2158 "zpuino/core/w1_tos_4_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000235_renamed_2159 "zpuino/core/w1_tos_5_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000236_renamed_2160 "zpuino/core/w1_tos_5_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000235_renamed_2161 "zpuino/core/w1_tos_0_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000236_renamed_2162 "zpuino/core/w1_tos_0_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot0_spi_txblock_q_not00011 "slot0/spi_txblock_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot1_spi_txblock_q_not00011 "slot1/spi_txblock_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002143_SW0 "zpuino/core/w1_tos_10_mux0002143_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkrise_i_or0000_SW1 "slot1/zspiclk/clkrise_i_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkrise_i_or0000_renamed_2163 "slot1/zspiclk/clkrise_i_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkfall_i_or0000_SW1 "slot1/zspiclk/clkfall_i_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkfall_i_or0000_renamed_2164 "slot1/zspiclk/clkfall_i_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkrise_i_or0000_SW1 "slot0/zspiclk/clkrise_i_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkrise_i_or0000_renamed_2165 "slot0/zspiclk/clkrise_i_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkfall_i_or0000_SW1 "slot0/zspiclk/clkfall_i_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkfall_i_or0000_renamed_2166 "slot0/zspiclk/clkfall_i_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_102_SW0 "zpuino/io/io_read_selected<7>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_102_SW0 "zpuino/io/io_read_selected<6>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_102_SW0 "zpuino/io/io_read_selected<5>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_102_SW0 "zpuino/io/io_read_selected<4>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_102_SW0 "zpuino/io/io_read_selected<3>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002125_SW0 "zpuino/core/w1_tos_0_mux0002125_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002140_SW0 "zpuino/core/w1_tos_6_mux0002140_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002140_renamed_2167 "zpuino/core/w1_tos_6_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002140_SW0 "zpuino/core/w1_tos_7_mux0002140_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002140_renamed_2168 "zpuino/core/w1_tos_7_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002119_renamed_2169 "zpuino/core/w1_tos_8_mux0002119") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002119_SW0 "zpuino/core/w1_tos_9_mux0002119_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002119_renamed_2170 "zpuino/core/w1_tos_9_mux0002119") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002119_SW0 "zpuino/core/w1_tos_10_mux0002119_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002119_renamed_2171 "zpuino/core/w1_tos_10_mux0002119") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172 "zpuino/core/exr.state_FSM_FFd14-In15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename slot0_spi_en_or0000_SW1 "slot0/spi_en_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7F") (owner "Xilinx")) - ) - (instance (rename slot0_spi_en_or0000_renamed_2173 "slot0/spi_en_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot1_spi_en_or0000_SW1 "slot1/spi_en_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7F") (owner "Xilinx")) - ) - (instance (rename slot1_spi_en_or0000_renamed_2174 "slot1/spi_en_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_43_SW0 "zpuino/core/prefr_spnext_mux0000<2>43_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_43 "zpuino/core/prefr_spnext_mux0000<2>43") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not000133 "timers_inst/timer0_inst/tmrr_intr_not000133") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack211 "zpuino/io/io_device_ack211") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FDA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_38 "zpuino/core/decr_fetchpc_mux0000<9>38") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_38 "zpuino/core/decr_fetchpc_mux0000<8>38") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_38 "zpuino/core/decr_fetchpc_mux0000<7>38") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_38 "zpuino/core/decr_fetchpc_mux0000<6>38") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000210_SW0 "zpuino/core/w1_tos_27_mux000210_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000210_renamed_2175 "zpuino/core/w1_tos_27_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000210_renamed_2176 "zpuino/core/w1_tos_8_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000210_renamed_2177 "zpuino/core/w1_tos_9_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000210_renamed_2178 "zpuino/core/w1_tos_10_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000210_renamed_2179 "zpuino/core/w1_tos_11_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000210_renamed_2180 "zpuino/core/w1_tos_12_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000210_SW0 "zpuino/core/w1_tos_13_mux000210_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000210_renamed_2181 "zpuino/core/w1_tos_13_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000210_renamed_2182 "zpuino/core/w1_tos_14_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000210_renamed_2183 "zpuino/core/w1_tos_15_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000210_renamed_2184 "zpuino/core/w1_tos_16_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000210_renamed_2185 "zpuino/core/w1_tos_17_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000210_renamed_2186 "zpuino/core/w1_tos_18_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000210_renamed_2187 "zpuino/core/w1_tos_19_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000210_renamed_2188 "zpuino/core/w1_tos_20_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000210_renamed_2189 "zpuino/core/w1_tos_21_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000210_renamed_2190 "zpuino/core/w1_tos_22_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000210_renamed_2191 "zpuino/core/w1_tos_23_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000210_renamed_2192 "zpuino/core/w1_tos_24_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000210_renamed_2193 "zpuino/core/w1_tos_25_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000210_renamed_2194 "zpuino/core/w1_tos_26_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000210_renamed_2195 "zpuino/core/w1_tos_28_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000210_renamed_2196 "zpuino/core/w1_tos_29_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000210_renamed_2197 "zpuino/core/w1_tos_30_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000210_renamed_2198 "zpuino/core/w1_tos_31_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_spiclk_not00011 "slot1/zspiclk/spiclk_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_spiclk_not00011 "slot0/zspiclk/spiclk_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199 "zpuino/core/decr_fetchpc_mux0000<4>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200 "zpuino/core/decr_fetchpc_mux0000<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201 "zpuino/core/decr_fetchpc_mux0000<2>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202 "zpuino/core/decr_fetchpc_mux0000<1>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203 "zpuino/core/decr_fetchpc_mux0000<13>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204 "zpuino/core/decr_fetchpc_mux0000<12>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205 "zpuino/core/decr_fetchpc_mux0000<11>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206 "zpuino/core/decr_fetchpc_mux0000<10>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_0_111 "zpuino/core/decr_fetchpc_mux0000<0>111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_not00011 "zpuino/core/decr_pc_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_iready_q_mux00001 "zpuino/io/intr_inst/iready_q_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "57FF") (owner "Xilinx")) - ) - (instance (rename zpuino_rom_wb_cti_i_0_1 "zpuino/rom_wb_cti_i<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000144_SW1 "zpuino/io/intr_inst/do_interrupt_mux000144_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207 "zpuino/io/intr_inst/do_interrupt_mux000144") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_42 "zpuino/core/stack_a_addr<10>42") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_not0001_SW0 "zpuino/core/prefr_sp_not0001_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D555") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_not00011 "zpuino/core/shl/output_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0302") (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_not00011 "zpuino/io/addr_save_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_3_1 "uart_inst/tx_timer/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_3_1 "slot11/tx_timer/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_2_1 "uart_inst/tx_timer/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_2_1 "slot11/tx_timer/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_1_1 "uart_inst/tx_timer/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_1_1 "slot11/tx_timer/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_15_1 "uart_inst/tx_timer/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_15_1 "slot11/tx_timer/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_14_1 "uart_inst/tx_timer/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_14_1 "slot11/tx_timer/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_13_1 "uart_inst/tx_timer/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_13_1 "slot11/tx_timer/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_12_1 "uart_inst/tx_timer/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_12_1 "slot11/tx_timer/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_11_1 "uart_inst/tx_timer/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_11_1 "slot11/tx_timer/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_10_1 "uart_inst/tx_timer/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_10_1 "slot11/tx_timer/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_9_1 "uart_inst/tx_timer/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_9_1 "slot11/tx_timer/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_8_1 "uart_inst/tx_timer/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_8_1 "slot11/tx_timer/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_7_1 "uart_inst/tx_timer/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_7_1 "slot11/tx_timer/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_6_1 "uart_inst/tx_timer/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_6_1 "slot11/tx_timer/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_5_1 "uart_inst/tx_timer/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_5_1 "slot11/tx_timer/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_4_1 "uart_inst/tx_timer/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_4_1 "slot11/tx_timer/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_running_q_or00001 "slot1/zspiclk/running_q_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_running_q_or00001 "slot0/zspiclk/running_q_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_mux000029_SW0 "timers_inst/timer1_inst/tmrr_intr_mux000029_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_mux000029 "timers_inst/timer1_inst/tmrr_intr_mux000029") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack100_SW1 "zpuino/io/io_device_ack100_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack100_renamed_2208 "zpuino/io/io_device_ack100") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_14_SW0 "zpuino/core/stack_a_addr<10>14_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_14 "zpuino/core/stack_a_addr<10>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_0_1 "uart_inst/tx_timer/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_0_1 "slot11/tx_timer/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_16_25_SW1 "zpuino/core/decr_tosSource_mux0000<16>25_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_16_25 "zpuino/core/decr_tosSource_mux0000<16>25") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C8CD") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000244_SW0 "zpuino/core/w1_tos_6_mux000244_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000244_SW0_SW0 "zpuino/core/w1_tos_7_mux000244_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000244_SW0 "zpuino/core/w1_tos_7_mux000244_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_3_42_SW1 "zpuino/core/decr_stackOperation_mux0000<3>42_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_3_42 "zpuino/core/decr_stackOperation_mux0000<3>42") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C8CD") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux0002140_SW0 "zpuino/core/w1_tos_23_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux0002140 "zpuino/core/w1_tos_23_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux0002140_SW0 "zpuino/core/w1_tos_24_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux0002140 "zpuino/core/w1_tos_24_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux0002140_SW0 "zpuino/core/w1_tos_25_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux0002140 "zpuino/core/w1_tos_25_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux0002140_SW0 "zpuino/core/w1_tos_26_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux0002140 "zpuino/core/w1_tos_26_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux0002140_SW0 "zpuino/core/w1_tos_27_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux0002140 "zpuino/core/w1_tos_27_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux0002140 "zpuino/core/w1_tos_28_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux0002140_SW0 "zpuino/core/w1_tos_29_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux0002140 "zpuino/core/w1_tos_29_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux0002140_SW0 "zpuino/core/w1_tos_30_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux0002140 "zpuino/core/w1_tos_30_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux0002144_SW0 "zpuino/core/w1_tos_31_mux0002144_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux0002144 "zpuino/core/w1_tos_31_mux0002144") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_valid_mux0000_SW1 "zpuino/core/decr_valid_mux0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_valid_mux0000_renamed_2209 "zpuino/core/decr_valid_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack211_SW1 "zpuino/io/io_device_ack211_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack150_renamed_2210 "zpuino/io/io_device_ack150") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_3_63_SW1 "zpuino/core/prefr_spnext_mux0000<3>63_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_3_63 "zpuino/core/prefr_spnext_mux0000<3>63") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_72 "zpuino/core/decr_fetchpc_mux0000<5>72") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0 "zpuino/core/decr_stackOperation_mux0000<3>42_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9FF7") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000037_renamed_2211 "zpuino/core/decr_opWillFreeze_mux000037") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000382 "zpuino/core/sampledStackOperation_or000382") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000382_F "zpuino/core/sampledStackOperation_or000382_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BC9F") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000382_G "zpuino/core/sampledStackOperation_or000382_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F9CF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212 "zpuino/core/prefr_spnext_mux0000<10>24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_24_F "zpuino/core/prefr_spnext_mux0000<10>24_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_24_G "zpuino/core/prefr_spnext_mux0000<10>24_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213 "zpuino/core/prefr_spnext_mux0000<6>57") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_57_F "zpuino/core/prefr_spnext_mux0000<6>57_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_57_G "zpuino/core/prefr_spnext_mux0000<6>57_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214 "zpuino/core/decr_tosSource_mux0000<1>46") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_46_F "zpuino/core/decr_tosSource_mux0000<1>46_F") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_46_G "zpuino/core/decr_tosSource_mux0000<1>46_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215 "zpuino/core/prefr_spnext_mux0000<8>46") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_46_F "zpuino/core/prefr_spnext_mux0000<8>46_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_46_G "zpuino/core/prefr_spnext_mux0000<8>46_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_not0001_renamed_2216 "crc16_inst/ready_q_not0001") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_not0001_F "crc16_inst/ready_q_not0001_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_not0001_G "crc16_inst/ready_q_not0001_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000184_renamed_2217 "uart_inst/tx_core/txd_r_mux000184") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000184_F "uart_inst/tx_core/txd_r_mux000184_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF51") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000184_G "uart_inst/tx_core/txd_r_mux000184_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000184_renamed_2218 "slot11/tx_core/txd_r_mux000184") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000184_F "slot11/tx_core/txd_r_mux000184_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF51") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000184_G "slot11/tx_core/txd_r_mux000184_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_123 "zpuino/io/io_read_selected<2>123") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_123_F "zpuino/io/io_read_selected<2>123_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_123_G "zpuino/io/io_read_selected<2>123_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_123 "zpuino/io/io_read_selected<1>123") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_123_F "zpuino/io/io_read_selected<1>123_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_123_G "zpuino/io/io_read_selected<1>123_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_130 "zpuino/io/io_read_selected<0>130") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_130_F "zpuino/io/io_read_selected<0>130_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_130_G "zpuino/io/io_read_selected<0>130_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_8_49 "timers_inst/wb_dat_o<8>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_8_49_F "timers_inst/wb_dat_o<8>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_8_49_G "timers_inst/wb_dat_o<8>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_15_49 "timers_inst/wb_dat_o<15>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_15_49_F "timers_inst/wb_dat_o<15>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_15_49_G "timers_inst/wb_dat_o<15>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_14_49 "timers_inst/wb_dat_o<14>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_14_49_F "timers_inst/wb_dat_o<14>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_14_49_G "timers_inst/wb_dat_o<14>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_13_49 "timers_inst/wb_dat_o<13>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_13_49_F "timers_inst/wb_dat_o<13>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_13_49_G "timers_inst/wb_dat_o<13>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_12_49 "timers_inst/wb_dat_o<12>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_12_49_F "timers_inst/wb_dat_o<12>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_12_49_G "timers_inst/wb_dat_o<12>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_11_49 "timers_inst/wb_dat_o<11>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_11_49_F "timers_inst/wb_dat_o<11>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_11_49_G "timers_inst/wb_dat_o<11>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_In44 "slot11/rx_inst/state_FSM_FFd2-In44") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_In44_F "slot11/rx_inst/state_FSM_FFd2-In44_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "31") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_In44_G "slot11/rx_inst/state_FSM_FFd2-In44_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8DFF") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_23__ "timers_inst/wb_dat_o<23>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_23__F "timers_inst/wb_dat_o<23>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_23__G "timers_inst/wb_dat_o<23>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_22__ "timers_inst/wb_dat_o<22>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_22__F "timers_inst/wb_dat_o<22>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_22__G "timers_inst/wb_dat_o<22>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_21__ "timers_inst/wb_dat_o<21>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_21__F "timers_inst/wb_dat_o<21>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_21__G "timers_inst/wb_dat_o<21>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_20__ "timers_inst/wb_dat_o<20>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_20__F "timers_inst/wb_dat_o<20>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_20__G "timers_inst/wb_dat_o<20>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_19__ "timers_inst/wb_dat_o<19>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_19__F "timers_inst/wb_dat_o<19>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_19__G "timers_inst/wb_dat_o<19>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_18__ "timers_inst/wb_dat_o<18>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_18__F "timers_inst/wb_dat_o<18>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_18__G "timers_inst/wb_dat_o<18>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_17__ "timers_inst/wb_dat_o<17>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_17__F "timers_inst/wb_dat_o<17>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_17__G "timers_inst/wb_dat_o<17>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_16__ "timers_inst/wb_dat_o<16>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_16__F "timers_inst/wb_dat_o<16>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_16__G "timers_inst/wb_dat_o<16>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025138 "zpuino/core/w1_tos_0_mux00025138") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025138_F "zpuino/core/w1_tos_0_mux00025138_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025138_G "zpuino/core/w1_tos_0_mux00025138_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_7_mux000024 "zpuino/core/w1_nos_save_7_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_7_mux000024_F "zpuino/core/w1_nos_save_7_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_7_mux000024_G "zpuino/core/w1_nos_save_7_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_6_mux000024 "zpuino/core/w1_nos_save_6_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_6_mux000024_F "zpuino/core/w1_nos_save_6_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_6_mux000024_G "zpuino/core/w1_nos_save_6_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_5_mux000024 "zpuino/core/w1_nos_save_5_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_5_mux000024_F "zpuino/core/w1_nos_save_5_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_5_mux000024_G "zpuino/core/w1_nos_save_5_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_4_mux000024 "zpuino/core/w1_nos_save_4_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_4_mux000024_F "zpuino/core/w1_nos_save_4_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_4_mux000024_G "zpuino/core/w1_nos_save_4_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_3_mux000024 "zpuino/core/w1_nos_save_3_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_3_mux000024_F "zpuino/core/w1_nos_save_3_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_3_mux000024_G "zpuino/core/w1_nos_save_3_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_2_mux000024 "zpuino/core/w1_nos_save_2_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_2_mux000024_F "zpuino/core/w1_nos_save_2_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_2_mux000024_G "zpuino/core/w1_nos_save_2_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_1_mux000024 "zpuino/core/w1_nos_save_1_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_1_mux000024_F "zpuino/core/w1_nos_save_1_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_1_mux000024_G "zpuino/core/w1_nos_save_1_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_0_mux000024 "zpuino/core/w1_nos_save_0_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_0_mux000024_F "zpuino/core/w1_nos_save_0_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_0_mux000024_G "zpuino/core/w1_nos_save_0_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_9_mux000024 "zpuino/core/w1_nos_save_9_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_9_mux000024_F "zpuino/core/w1_nos_save_9_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_9_mux000024_G "zpuino/core/w1_nos_save_9_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_8_mux000024 "zpuino/core/w1_nos_save_8_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_8_mux000024_F "zpuino/core/w1_nos_save_8_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_8_mux000024_G "zpuino/core/w1_nos_save_8_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_31_mux000024 "zpuino/core/w1_nos_save_31_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_31_mux000024_F "zpuino/core/w1_nos_save_31_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_31_mux000024_G "zpuino/core/w1_nos_save_31_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_30_mux000024 "zpuino/core/w1_nos_save_30_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_30_mux000024_F "zpuino/core/w1_nos_save_30_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_30_mux000024_G "zpuino/core/w1_nos_save_30_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_29_mux000024 "zpuino/core/w1_nos_save_29_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_29_mux000024_F "zpuino/core/w1_nos_save_29_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_29_mux000024_G "zpuino/core/w1_nos_save_29_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_28_mux000024 "zpuino/core/w1_nos_save_28_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_28_mux000024_F "zpuino/core/w1_nos_save_28_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_28_mux000024_G "zpuino/core/w1_nos_save_28_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_27_mux000024 "zpuino/core/w1_nos_save_27_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_27_mux000024_F "zpuino/core/w1_nos_save_27_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_27_mux000024_G "zpuino/core/w1_nos_save_27_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_26_mux000024 "zpuino/core/w1_nos_save_26_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_26_mux000024_F "zpuino/core/w1_nos_save_26_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_26_mux000024_G "zpuino/core/w1_nos_save_26_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_25_mux000024 "zpuino/core/w1_nos_save_25_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_25_mux000024_F "zpuino/core/w1_nos_save_25_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_25_mux000024_G "zpuino/core/w1_nos_save_25_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_24_mux000024 "zpuino/core/w1_nos_save_24_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_24_mux000024_F "zpuino/core/w1_nos_save_24_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_24_mux000024_G "zpuino/core/w1_nos_save_24_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_23_mux000024 "zpuino/core/w1_nos_save_23_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_23_mux000024_F "zpuino/core/w1_nos_save_23_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_23_mux000024_G "zpuino/core/w1_nos_save_23_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_22_mux000024 "zpuino/core/w1_nos_save_22_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_22_mux000024_F "zpuino/core/w1_nos_save_22_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_22_mux000024_G "zpuino/core/w1_nos_save_22_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_21_mux000024 "zpuino/core/w1_nos_save_21_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_21_mux000024_F "zpuino/core/w1_nos_save_21_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_21_mux000024_G "zpuino/core/w1_nos_save_21_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_20_mux000024 "zpuino/core/w1_nos_save_20_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_20_mux000024_F "zpuino/core/w1_nos_save_20_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_20_mux000024_G "zpuino/core/w1_nos_save_20_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_19_mux000024 "zpuino/core/w1_nos_save_19_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_19_mux000024_F "zpuino/core/w1_nos_save_19_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_19_mux000024_G "zpuino/core/w1_nos_save_19_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_18_mux000024 "zpuino/core/w1_nos_save_18_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_18_mux000024_F "zpuino/core/w1_nos_save_18_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_18_mux000024_G "zpuino/core/w1_nos_save_18_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_17_mux000024 "zpuino/core/w1_nos_save_17_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_17_mux000024_F "zpuino/core/w1_nos_save_17_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_17_mux000024_G "zpuino/core/w1_nos_save_17_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_16_mux000024 "zpuino/core/w1_nos_save_16_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_16_mux000024_F "zpuino/core/w1_nos_save_16_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_16_mux000024_G "zpuino/core/w1_nos_save_16_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_15_mux000024 "zpuino/core/w1_nos_save_15_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_15_mux000024_F "zpuino/core/w1_nos_save_15_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_15_mux000024_G "zpuino/core/w1_nos_save_15_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_14_mux000024 "zpuino/core/w1_nos_save_14_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_14_mux000024_F "zpuino/core/w1_nos_save_14_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_14_mux000024_G "zpuino/core/w1_nos_save_14_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_13_mux000024 "zpuino/core/w1_nos_save_13_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_13_mux000024_F "zpuino/core/w1_nos_save_13_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_13_mux000024_G "zpuino/core/w1_nos_save_13_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_12_mux000024 "zpuino/core/w1_nos_save_12_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_12_mux000024_F "zpuino/core/w1_nos_save_12_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_12_mux000024_G "zpuino/core/w1_nos_save_12_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_11_mux000024 "zpuino/core/w1_nos_save_11_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_11_mux000024_F "zpuino/core/w1_nos_save_11_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_11_mux000024_G "zpuino/core/w1_nos_save_11_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_10_mux000024 "zpuino/core/w1_nos_save_10_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_10_mux000024_F "zpuino/core/w1_nos_save_10_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_10_mux000024_G "zpuino/core/w1_nos_save_10_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000037_SW0 "zpuino/core/decr_opWillFreeze_mux000037_SW0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000037_SW0_F "zpuino/core/decr_opWillFreeze_mux000037_SW0_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000037_SW0_G "zpuino/core/decr_opWillFreeze_mux000037_SW0_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot_address_0__16__inv_INV_0 "slot_address<0><16>_inv_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_lut_0__INV_0 "zpuino/core/Madd_stack_b_addr_add0000_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_lut_0__INV_0 "zpuino/core/Madd_pcnext_add0000_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_1__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_2__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_3__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_4__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_5__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_6__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_7__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_8__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_lut_0__INV_0 "slot9/Mcount_vcount_q_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_lut_0__INV_0 "slot9/Mcount_hcount_q_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_lut_0__INV_0 "slot9/Mcount_hdisp_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_lut_5__INV_0 "slot9/Maccum_vga_v_offset_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_lut_7__INV_0 "slot9/Maccum_vga_v_offset_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_lut_0__INV_0 "slot0/zspiclk/pr/Mcount_counter_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_lut_0__INV_0 "slot1/zspiclk/pr/Mcount_counter_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_1__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_2__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_3__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_4__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_5__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_6__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_7__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_8__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_9__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_10__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_lut_0__INV_0 "uart_inst/fifo_instance/Mcount_rdaddr_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_lut_0__INV_0 "uart_inst/fifo_instance/Mcount_wraddr_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_lut_0__INV_0 "timers_inst/timer0_inst/Mcount_TSC_q_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_lut_0__INV_0 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_dcmlocked_inv1_INV_0 "clkgen_inst/dcmlocked_inv1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_voff_xor_0_11_INV_0 "slot9/Mcount_voff_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hoff_xor_0_11_INV_0 "slot9/Mcount_hoff_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_rstq1_inv1_INV_0 "slot9/rstq1_inv1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_v_display_inv1_INV_0 "slot9/v_display_inv1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_stb_mux00001_INV_0 "zpuino/io/io_stb_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_idle_mux00001_INV_0 "uart_inst/tx_core/idle_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0 "uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_idle_mux00001_INV_0 "slot11/tx_core/idle_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0 "slot11/rx_inst/rxmvfilter/Mcount_count_q_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_wraddr_xor_0_11_INV_0 "slot11/fifo_instance/Mcount_wraddr_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_rdaddr_xor_0_11_INV_0 "slot11/fifo_instance/Mcount_rdaddr_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_reset_mux00011_INV_0 "slot1/zspiclk/prescale_reset_mux00011_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clk_i_not00011_INV_0 "slot1/zspiclk/clk_i_not00011_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ready_q_mux00001_INV_0 "slot1/zspi/ready_q_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_reset_mux00011_INV_0 "slot0/zspiclk/prescale_reset_mux00011_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clk_i_not00011_INV_0 "slot0/zspiclk/clk_i_not00011_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ready_q_mux00001_INV_0 "slot0/zspi/ready_q_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_mux00001_INV_0 "crc16_inst/ready_q_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rx_inv1_INV_0 "rstgen/rx_inv1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_ram_0_en1_INV_0 "slot9/ram/v_ram_0_en1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_dir_inv2_INV_0 "timers_inst/timer0_inst/tmrr_dir_inv2_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_dir_inv2_INV_0 "timers_inst/timer1_inst/tmrr_dir_inv2_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstout_or00001_1_renamed_2219 "rstgen/rstout_or00001_1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance WING_B_8_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_24 "gpio_inst/gpio_tris_q_24") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24 "gpio_inst/gpio_o_24") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_9_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_25 "gpio_inst/gpio_tris_q_25") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25 "gpio_inst/gpio_o_25") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_10_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_26 "gpio_inst/gpio_tris_q_26") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26 "gpio_inst/gpio_o_26") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_11_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_27 "gpio_inst/gpio_tris_q_27") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27 "gpio_inst/gpio_o_27") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_12_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_28 "gpio_inst/gpio_tris_q_28") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28 "gpio_inst/gpio_o_28") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_13_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_29 "gpio_inst/gpio_tris_q_29") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29 "gpio_inst/gpio_o_29") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_14_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_30 "gpio_inst/gpio_tris_q_30") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30 "gpio_inst/gpio_o_30") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_15_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_31 "gpio_inst/gpio_tris_q_31") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31 "gpio_inst/gpio_o_31") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_10_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_42 "gpio_inst/gpio_tris_q_42") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42 "gpio_inst/gpio_o_42") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_11_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_43 "gpio_inst/gpio_tris_q_43") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43 "gpio_inst/gpio_o_43") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_0_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_32 "gpio_inst/gpio_tris_q_32") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32 "gpio_inst/gpio_o_32") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_12_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_44 "gpio_inst/gpio_tris_q_44") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44 "gpio_inst/gpio_o_44") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_1_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_33 "gpio_inst/gpio_tris_q_33") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33 "gpio_inst/gpio_o_33") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_13_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_45 "gpio_inst/gpio_tris_q_45") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45 "gpio_inst/gpio_o_45") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_14_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_46 "gpio_inst/gpio_tris_q_46") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46 "gpio_inst/gpio_o_46") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_15_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_47 "gpio_inst/gpio_tris_q_47") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47 "gpio_inst/gpio_o_47") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_8_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_40 "gpio_inst/gpio_tris_q_40") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40 "gpio_inst/gpio_o_40") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_9_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_41 "gpio_inst/gpio_tris_q_41") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41 "gpio_inst/gpio_o_41") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_15_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_15 "gpio_inst/gpio_tris_q_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15 "gpio_inst/gpio_o_15") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_14_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_14 "gpio_inst/gpio_tris_q_14") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14 "gpio_inst/gpio_o_14") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_13_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_13 "gpio_inst/gpio_tris_q_13") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13 "gpio_inst/gpio_o_13") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_12_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_12 "gpio_inst/gpio_tris_q_12") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12 "gpio_inst/gpio_o_12") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_11_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_11 "gpio_inst/gpio_tris_q_11") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11 "gpio_inst/gpio_o_11") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_10_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_10 "gpio_inst/gpio_tris_q_10") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10 "gpio_inst/gpio_o_10") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_9_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_9 "gpio_inst/gpio_tris_q_9") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9 "gpio_inst/gpio_o_9") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_8_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_8 "gpio_inst/gpio_tris_q_8") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8 "gpio_inst/gpio_o_8") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_7_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_7 "gpio_inst/gpio_tris_q_7") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7 "gpio_inst/gpio_o_7") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_6_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_6 "gpio_inst/gpio_tris_q_6") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6 "gpio_inst/gpio_o_6") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_5_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_5 "gpio_inst/gpio_tris_q_5") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5 "gpio_inst/gpio_o_5") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_4_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_4 "gpio_inst/gpio_tris_q_4") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4 "gpio_inst/gpio_o_4") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_3_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_3 "gpio_inst/gpio_tris_q_3") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3 "gpio_inst/gpio_o_3") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_2_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_2 "gpio_inst/gpio_tris_q_2") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2 "gpio_inst/gpio_o_2") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_1_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_1 "gpio_inst/gpio_tris_q_1") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1 "gpio_inst/gpio_o_1") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_0_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_0 "gpio_inst/gpio_tris_q_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0 "gpio_inst/gpio_o_0") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM22 "zpuino/memory/ramrom/ram/Mram_RAM22") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "00000027050377070000002700500000A08280FF000F208F0000000000000000") (owner "Xilinx")) - (property INIT_01 (string "0005000000008000057208070000079700000087000000500000000000000000") (owner "Xilinx")) - (property INIT_02 (string "05F05000000000000000000000A0170F000F108F000005700000000700000000") (owner "Xilinx")) - (property INIT_03 (string "0000007700000000000000180000000000000008000000900000000F00077800") (owner "Xilinx")) - (property INIT_04 (string "F5030808E00808507125C790008858027858027853050F83001877111111113D") (owner "Xilinx")) - (property INIT_05 (string "37827770FD78555CE88882025000050F00278875958887F00357080005878803") (owner "Xilinx")) - (property INIT_06 (string "05F731875200820F77CC08BD85000F078CE85FA8A0905F080050780088888890") (owner "Xilinx")) - (property INIT_07 (string "88031000A008002577D22B000202000003858D000779D00F25B7F5FA88F80773") (owner "Xilinx")) - (property INIT_08 (string "1818A80B7E092187958C882A21777375883137795818500050FF858955510788") (owner "Xilinx")) - (property INIT_09 (string "37885098C83578852270980728508787310B83717002880B21708A708C775708") (owner "Xilinx")) - (property INIT_0A (string "D00882CC08B8002808088080F0982752295020077B82D5F090FF5882880F358A") (owner "Xilinx")) - (property INIT_0B (string "FA7F70F3083070A888728288855B288B8208888D0D59898989579870F8888A09") (owner "Xilinx")) - (property INIT_0C (string "753373570802087937530F5F00887A809375C71B738750777121778871737538") (owner "Xilinx")) - (property INIT_0D (string "100700700700700E2103721207757F5873E3787857E513882585357853735753") (owner "Xilinx")) - (property INIT_0E (string "375789232575C757587F52107721078075725977830557212085387378F85772") (owner "Xilinx")) - (property INIT_0F (string "000000F0F0000000000B000000000000FF0FB0F25F95090035D7017081F053FF") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM21 "zpuino/memory/ramrom/ram/Mram_RAM21") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "000004E201612263000004E2001A96597B3B26FD000FB53D0000004B0000004B") (owner "Xilinx")) - (property INIT_01 (string "0001955900041559012B53D1000764F200004B120000003A0000004B00000000") (owner "Xilinx")) - (property INIT_02 (string "01F946990000004B0000004B009B03BC000F053C000003390000005200000049") (owner "Xilinx")) - (property INIT_03 (string "00000D1100000000000000040000000000000001000004FB0000000F47530199") (owner "Xilinx")) - (property INIT_04 (string "61BD60BECB43B12802E240FDCCEC1C8D5C1C8D5C18A26F0CC7013300000000F4") (owner "Xilinx")) - (property INIT_05 (string "801D411804014534CD08AD6D28D421AF5DD2CA2133310045A81080D42110402D") (owner "Xilinx")) - (property INIT_06 (string "93F583848E5C368F35C08CB0075DCFC0000418000C052F805D2700D434080248") (owner "Xilinx")) - (property INIT_07 (string "30A315DC268042D1017EDBD42D7D5DC4280208D42200085FD3F0F1F201A46958") (owner "Xilinx")) - (property INIT_08 (string "9081DCCBB05264A024A48AD2641668362484302430816D4216FF121A33175142") (owner "Xilinx")) - (property INIT_09 (string "8118152A41830781ED524071D85742313159C356742D7ACB6518F25C602737C4") (owner "Xilinx")) - (property INIT_0A (string "4D24CDC08CBF5DD6C024FA0BC52AD11DAF1CD8C32BAD024526FF11FD1AB48382") (owner "Xilinx")) - (property INIT_0B (string "F08F96E88B458200007E8E808CBCD40BCDA10890C03D4C4B4A474068B04040BF") (owner "Xilinx")) - (property INIT_0C (string "67D83046D88E803D855D55285A1C00C5C9210608381217006764A38039C88980") (owner "Xilinx")) - (property INIT_0D (string "25805805805804CAE2530E2E62250C6141F8212618A30800E203D748486F2448") (owner "Xilinx")) - (property INIT_0E (string "304015E3E2044341239B36252262524C03174054689253E2E6A53C6903C44226") (owner "Xilinx")) - (property INIT_0F (string "000000F0F00000000018080000000000FF0F1D1E2C125F4C94C18406447421FF") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM31 "zpuino/memory/ramrom/ram/Mram_RAM31") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "00000132031AA01100000132003739223B3B5331004F3121000000FB0000000B") (owner "Xilinx")) - (property INIT_01 (string "0003A1220001A1220C7313210046193200005B92000000A20000003B00000000") (owner "Xilinx")) - (property INIT_02 (string "47530152000000FB0000007B008600B100410121000007320000045200000021") (owner "Xilinx")) - (property INIT_03 (string "0000025100000000000004020000000000000041000001B10000000516465952") (owner "Xilinx")) - (property INIT_04 (string "BCB21BBEFD44B824C408F1BD8088CC8088CC84883D0026246B59640000000011") (owner "Xilinx")) - (property INIT_05 (string "B10518801F10AA402D4214F4AA5DDE0FC52421DD61F00428031A105D81080D42") (owner "Xilinx")) - (property INIT_06 (string "E8C83F870FF6808FC0F181C300C5831CC18C32DBB008CF088520805CB0B0B42A") (owner "Xilinx")) - (property INIT_07 (string "54021C58CF0CDC2680002C5DD40BC58DC9C2CF5D2683F08D5CFAD39A8C1011DD") (owner "Xilinx")) - (property INIT_08 (string "322C1D4C920B4127701A41BC41377745133F427E322D85DD9124030E53150220") (owner "Xilinx")) - (property INIT_09 (string "938D30B1ADB128D302D4200DB331B07B21CF2134DDD41D4C52281C356084337C") (owner "Xilinx")) - (property INIT_0A (string "F542D2F181C1C5BD4D4210C12CB12D848DA82130FC1BF42CB11D30341C02F68F") (owner "Xilinx")) - (property INIT_0B (string "AF1F810488305B88A68D8E89DAB220BCD20CC8C040CC0C0C0BC00564480B8B0B") (owner "Xilinx")) - (property INIT_0C (string "7AA26470D3808134B69B850240CC188CE134050E41933B355F4139089EF92957") (owner "Xilinx")) - (property INIT_0D (string "0442442442442D86FF142FF038F569547266324C412634800638C14C054B15C4") (owner "Xilinx")) - (property INIT_0E (string "2263265206350188477903C483F04C03152211B4CFE253FF037352D381C0583F") (owner "Xilinx")) - (property INIT_0F (string "000000F0F00000000045010000000000FFF0AD6F8DE2CDD865E414108F0D2111") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000B00") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM32 "zpuino/memory/ramrom/ram/Mram_RAM32") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "0000057705800377000005770050707780720887000F8877000000F0000000A0") (owner "Xilinx")) - (property INIT_01 (string "000508770005087700088707000080770000000700000007000000C000000000") (owner "Xilinx")) - (property INIT_02 (string "00077807000000D0000000F000801F0700081877000000770000000700000057") (owner "Xilinx")) - (property INIT_03 (string "0000000700000000000000100000000000000007000005080000000050700007") (owner "Xilinx")) - (property INIT_04 (string "0E0880029003035C088095038900008B00008F005E11707002000011111111C8") (owner "Xilinx")) - (property INIT_05 (string "D57B73ED7957008800005CFC20002FDFF0A0052F25F787089F52780035708008") (owner "Xilinx")) - (property INIT_06 (string "2501FF078FF7388F0799858888D088700980700008A80F7CF058080008080000") (owner "Xilinx")) - (property INIT_07 (string "08818E088F7000A00888E8002C8FF08008F5F90050089DF280F02C38397A872D") (owner "Xilinx")) - (property INIT_08 (string "8880827870A87851285875F87851795073FF5712588250028873737255188517") (owner "Xilinx")) - (property INIT_09 (string "D502798582E530278E0008828557270218EF5137202C82787858583718375370") (owner "Xilinx")) - (property INIT_0A (string "90002A998585F082D00059080885A20C822DA777985F97088872375C8080D008") (owner "Xilinx")) - (property INIT_0B (string "5F8F388C857E0503723F3F372050A8082A90D88888007070700785075C805080") (owner "Xilinx")) - (property INIT_0C (string "57FD575337888552A57F89808D00500FFA58801F5C0552305F7835837FF88797") (owner "Xilinx")) - (property INIT_0D (string "F857857857857080FF857FF883857357072E570075727A378257F8003859372A") (owner "Xilinx")) - (property INIT_0E (string "7503107780378703507378F8388F8075707757200E2107FF8825000837070388") (owner "Xilinx")) - (property INIT_0F (string "000000F0F000000000A0000000000000FFF0E30F0225F00897257858FF705788") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM11 "zpuino/memory/ramrom/ram/Mram_RAM11") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "000000760415AA1100000074004139314BF959F60006A2660000000B0000000B") (owner "Xilinx")) - (property INIT_01 (string "000419910000699101CB26430003F96A0000085F000000120000000B00000000") (owner "Xilinx")) - (property INIT_02 (string "01521F600000000B0000000B004B53B600060366000001920000003900000001") (owner "Xilinx")) - (property INIT_03 (string "00000435000000000000005500000000000000050000008B0000000501F94660") (owner "Xilinx")) - (property INIT_04 (string "1BBD5EB88B0DFB00DF22868B4C384C60584C605841325524120531300000004F") (owner "Xilinx")) - (property INIT_05 (string "2062C5718876230053F5201120324ACBD421520A811F805DC31104028062248D") (owner "Xilinx")) - (property INIT_06 (string "1478637C4758F0068CC408DA40D42F020011B58F4FBD2600D42244020CCCC658") (owner "Xilinx")) - (property INIT_07 (string "40913D42D10154011C1B8D224B12D421810008028CEAC1D9C3689A9D2E088E8C") (owner "Xilinx")) - (property INIT_08 (string "39370BCD75DD0443834DA41DD438C352083461581937C924F8E3515825736560") (owner "Xilinx")) - (property INIT_09 (string "3068FDD4D3A31A8FD8405404A171674813D925C64C0B1BCD85412DC718870C59") (owner "Xilinx")) - (property INIT_0A (string "C0D54AC408DBD421CBC5BCBF5DD481AF18A2232CCD41C05DDCE2A52F1BF5738D") (owner "Xilinx")) - (property INIT_0B (string "B9CC8FE84C13522BE021808E8B357C0D2CC00BDA108152595C4608C0F0C400E0") (owner "Xilinx")) - (property INIT_0C (string "55DC05C14C054128055DDD05DCBCD08D91D203E8A5646F235624C63C74C3770E") (owner "Xilinx")) - (property INIT_0D (string "23143143143144689264182715759D4A15810A1D0384512E8544D70D38084215") (owner "Xilinx")) - (property INIT_0E (string "55614895D4128863060DF22317D231524C051B74D41140322183759C46258079") (owner "Xilinx")) - (property INIT_0F (string "000000F0F00000F000E8F4A798AA89AAF0FFF489228000F70285447126B17866") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM12 "zpuino/memory/ramrom/ram/Mram_RAM12") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "0000000200500087000000020008707800F000F0000028000000000000000000") (owner "Xilinx")) - (property INIT_01 (string "000080080000000805F080050005F00200000809000000570000000000000000") (owner "Xilinx")) - (property INIT_02 (string "05077F0700000000000000000000080000001800000005070000005000000008") (owner "Xilinx")) - (property INIT_03 (string "0000005000000000000000000000000000000000000000B00000000005F05007") (owner "Xilinx")) - (property INIT_04 (string "800082030083907729950AC008C0005570005570055700705710885111111103") (owner "Xilinx")) - (property INIT_05 (string "8807072530A0788809F0A8575780880000050AA8371F88000758788087085CF0") (owner "Xilinx")) - (property INIT_06 (string "871075108A58F88000081E2088000F777877808F890050780007888090C0CF08") (owner "Xilinx")) - (property INIT_07 (string "182730002577887580798270885700088787808080F0080385003832C2A09208") (owner "Xilinx")) - (property INIT_08 (string "32317B825002F5C337C22C82E570077581857853723187098E21070375538018") (owner "Xilinx")) - (property INIT_09 (string "7800802C28858208A85F0888855510187303A70878A85F82D5F8A20813528081") (owner "Xilinx")) - (property INIT_0A (string "08F0D8081E28000580F08009002C85895D87757002C808002E2180595F90C502") (owner "Xilinx")) - (property INIT_0B (string "93575F285B8508302C880802088070C2A80F8020888B0A080A507C07F80C888D") (owner "Xilinx")) - (property INIT_0C (string "750E85D800385E538750028000002800382785938707577307F5017D25082582") (owner "Xilinx")) - (property INIT_0D (string "55785785785787830557895A57257352873782837835777295750D3378887387") (owner "Xilinx")) - (property INIT_0E (string "5E581305958530577073FF5572D55707807A520737873795A538507F5210072C") (owner "Xilinx")) - (property INIT_0F (string "000000F0F0000000000D200000000000F0FF303051377EF88537589580F78800") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM01 "zpuino/memory/ramrom/ram/Mram_RAM01") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "00000033001A006900000033000666350BF63138000235980000000800000009") (owner "Xilinx")) - (property INIT_01 (string "0000660500003605046B596100015AAF000006B60000004B0000000800000000") (owner "Xilinx")) - (property INIT_02 (string "016465A10000000800000008000B86E80002A598000004660000001100000005") (owner "Xilinx")) - (property INIT_03 (string "0000001F00000000000000220000000000000002000000CB0000000401521FA1") (owner "Xilinx")) - (property INIT_04 (string "FBF4890B0BDD8B08F480083BFCD0880090880090812A19121C036F1000000006") (owner "Xilinx")) - (property INIT_05 (string "0E18BC67F2818000D48DDEA1A808520332CADD220146CCD422061248531A1054") (owner "Xilinx")) - (property INIT_06 (string "6F7242C33848F9F934050D364002868C21CC1D48CC0422B4028C0088AF000FDC") (owner "Xilinx")) - (property INIT_07 (string "39112724011C158CF0C88E1C52A1A28B58E067B852F011F24A20020F13428922") (owner "Xilinx")) - (property INIT_08 (string "75135D264D4284D235D41D4A844338709373C5350513BB05B8937553F72F6039") (owner "Xilinx")) - (property INIT_09 (string "1E38242D21B1618288E5DC405F52383B0272DC71CB52AD248686D321344C5993") (owner "Xilinx")) - (property INIT_0A (string "0BCDD5C50D2DA24F9F5DD534D42D8F48A840FCC001D2ABD428924428AD0D9118") (owner "Xilinx")) - (property INIT_0B (string "90AC3E989D83D45898F6040E4C0F8C04DBCB402CB0B1313131828042F4003C3C") (owner "Xilinx")) - (property INIT_0C (string "4089519237485F404407421D420A08082BE803C363EE1885F1843060849FFADF") (owner "Xilinx")) - (property INIT_0D (string "1C05C05C05C051D41140581807C5BD55A434E3AD883220498231695D88E8143F") (owner "Xilinx")) - (property INIT_0E (string "384F111080F13E43332D081C0081C0315248F708D86FF18180425F1DFD231458") (owner "Xilinx")) - (property INIT_0F (string "000000F0F0000080001000B7385C61400FFF4431000F8BDDBF38668110D9DC15") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM02 "zpuino/memory/ramrom/ram/Mram_RAM02") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "0000005700501100000000570000007000F08870000580000000000900000009") (owner "Xilinx")) - (property INIT_01 (string "0000007000005070000200050005002F00000A00000000020000000800000000") (owner "Xilinx")) - (property INIT_02 (string "0507000800000008000000080000009000052000000000000000005800000000") (owner "Xilinx")) - (property INIT_03 (string "0000005F00000000000000570000000000000005000000000000000005077F08") (owner "Xilinx")) - (property INIT_04 (string "309030808030C0F09C377330F029889599889599857070875F180F5111111119") (owner "Xilinx")) - (property INIT_05 (string "925080E8953509D800F02F8888DF0A888088023A88500D00087085CF0F527800") (owner "Xilinx")) - (property INIT_06 (string "0F5787075370FDD5878012755CA080007700700F0CA007F8808087CF09888F00") (owner "Xilinx")) - (property INIT_07 (string "3278870875807088F703085F098880800828080F05F75190D8779A8F5985305A") (owner "Xilinx")) - (property INIT_08 (string "707372A8500037257727528837357528231700588073B7E083055757F55F1A32") (owner "Xilinx")) - (property INIT_09 (string "5258A00275F7088A308000C888553832987020810B0982A837302751377A5513") (owner "Xilinx")) - (property INIT_0A (string "80A02880127280888900288D000208CD80C99807882880000305C57A82D03788") (owner "Xilinx")) - (property INIT_0B (string "38508A007217FD58839C8D8B5BD578882B8088800807575757A70857FA88B0C0") (owner "Xilinx")) - (property INIT_0C (string "2873283378537378728700500088909805F085F723F25087F1375758957FF57F") (owner "Xilinx")) - (property INIT_0D (string "707007007007053787370373708570E5277725208375712A3778735080205759") (owner "Xilinx")) - (property INIT_0E (string "735F788737F77257957093707937075707838078080FF83737775973FE557893") (owner "Xilinx")) - (property INIT_0F (string "000000F0F00000E0000086CE7DB94B410FFF0088777F00330F70513587F03077") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM1 "slot9/ram/ram0/Mram_RAM1") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM2 "slot9/ram/ram0/Mram_RAM2") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM3 "slot9/ram/ram0/Mram_RAM3") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM4 "slot9/ram/ram0/Mram_RAM4") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM5 "slot9/ram/ram0/Mram_RAM5") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM6 "slot9/ram/ram0/Mram_RAM6") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM7 "slot9/ram/ram0/Mram_RAM7") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM8 "slot9/ram/ram0/Mram_RAM8") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram1_Mram_RAM1 "slot9/ram/ram1/Mram_RAM1") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram1_Mram_RAM2 "slot9/ram/ram1/Mram_RAM2") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_stack_stack "zpuino/stack/stack") - (viewRef view_1 (cellRef RAMB16_S36_S36 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "9:INPUT:ADDRA<8:0>") (owner "Xilinx")) - (property BUS_INFO (string "9:INPUT:ADDRB<8:0>") (owner "Xilinx")) - (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) - (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) - (property SRVAL_A (string "000000000") (owner "Xilinx")) - (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) - (property INIT_A (string "000000000") (owner "Xilinx")) - (property INIT_B (string "000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property SRVAL_B (string "000000000") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mram_memory "uart_inst/fifo_instance/Mram_memory") - (viewRef view_1 (cellRef RAMB16_S9_S9 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "11:INPUT:ADDRA<10:0>") (owner "Xilinx")) - (property BUS_INFO (string "11:INPUT:ADDRB<10:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:INPUT:DIA<7:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:INPUT:DIB<7:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIPA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIPB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:OUTPUT:DOA<7:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOPA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:OUTPUT:DOB<7:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOPB<0:0>") (owner "Xilinx")) - (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 9) (owner "Xilinx")) - (property DATA_WIDTH_B (integer 9) (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_mux000021 "slot9/vga_hsync_mux000021") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_mux00002_f5 "slot9/vga_hsync_mux00002_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_15_11_renamed_2220 "crc16_inst/crc_q_mux0001<15>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF28") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_15_12 "crc16_inst/crc_q_mux0001<15>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0220") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_15_1_f5 "crc16_inst/crc_q_mux0001<15>1_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count41_renamed_2221 "slot1/zspi/Mcount_count41") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count42_renamed_2222 "slot1/zspi/Mcount_count42") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count4_f5 "slot1/zspi/Mcount_count4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count41_renamed_2223 "slot0/zspi/Mcount_count41") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count42_renamed_2224 "slot0/zspi/Mcount_count42") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count4_f5 "slot0/zspi/Mcount_count4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225 "uart_inst/rx_inst/datacount_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226 "uart_inst/rx_inst/datacount_mux0000<0>2") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F1") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0__f5 "uart_inst/rx_inst/datacount_mux0000<0>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0_1_renamed_2227 "slot11/rx_inst/datacount_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0_2_renamed_2228 "slot11/rx_inst/datacount_mux0000<0>2") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F1") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0__f5 "slot11/rx_inst/datacount_mux0000<0>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not000111 "uart_inst/tx_core/loaded_r_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not00011_f5 "uart_inst/tx_core/loaded_r_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not000111 "slot11/tx_core/loaded_r_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not00011_f5 "slot11/tx_core/loaded_r_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_read_ended_and000011 "slot9/read_ended_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot9_read_ended_and00001_f5 "slot9/read_ended_and00001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_3_12 "slot1/zspi/Mcount_count_xor<3>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "CCC9") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_3_1_f5 "slot1/zspi/Mcount_count_xor<3>1_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_3_12 "slot0/zspi/Mcount_count_xor<3>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "CCC9") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_3_1_f5 "slot0/zspi/Mcount_count_xor<3>1_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_mux0001281_renamed_2229 "zpuino/core/exr_wb_cyc_mux0001281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_mux0001282 "zpuino/core/exr_wb_cyc_mux0001282") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_mux000128_f5 "zpuino/core/exr_wb_cyc_mux000128_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_0_mux00001 "zpuino/io/slot_cyc_i_0_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_0_mux0000_f5 "zpuino/io/slot_cyc_i_0_mux0000_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not00012_renamed_2230 "uart_inst/tx_core/loaded_r_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not00013_renamed_2231 "uart_inst/tx_core/loaded_r_not00013") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not0001_f5 "uart_inst/tx_core/loaded_r_not0001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not00012_renamed_2232 "slot11/tx_core/loaded_r_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not00013_renamed_2233 "slot11/tx_core/loaded_r_not00013") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not0001_f5 "slot11/tx_core/loaded_r_not0001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_9_1_renamed_2234 "crc16_inst/crc_q_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_9__f5 "crc16_inst/crc_q_mux0001<9>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_8_1_renamed_2235 "crc16_inst/crc_q_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_8__f5 "crc16_inst/crc_q_mux0001<8>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_7_1_renamed_2236 "crc16_inst/crc_q_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_7__f5 "crc16_inst/crc_q_mux0001<7>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_6_1_renamed_2237 "crc16_inst/crc_q_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_6__f5 "crc16_inst/crc_q_mux0001<6>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_5_1_renamed_2238 "crc16_inst/crc_q_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_5__f5 "crc16_inst/crc_q_mux0001<5>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_4_1_renamed_2239 "crc16_inst/crc_q_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_4__f5 "crc16_inst/crc_q_mux0001<4>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_3_1_renamed_2240 "crc16_inst/crc_q_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_3__f5 "crc16_inst/crc_q_mux0001<3>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_2_1_renamed_2241 "crc16_inst/crc_q_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_2__f5 "crc16_inst/crc_q_mux0001<2>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_1_1_renamed_2242 "crc16_inst/crc_q_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_1__f5 "crc16_inst/crc_q_mux0001<1>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_14_1_renamed_2243 "crc16_inst/crc_q_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_14__f5 "crc16_inst/crc_q_mux0001<14>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_13_1_renamed_2244 "crc16_inst/crc_q_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_13__f5 "crc16_inst/crc_q_mux0001<13>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_12_1_renamed_2245 "crc16_inst/crc_q_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_12__f5 "crc16_inst/crc_q_mux0001<12>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_11_1_renamed_2246 "crc16_inst/crc_q_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_11__f5 "crc16_inst/crc_q_mux0001<11>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_10_1_renamed_2247 "crc16_inst/crc_q_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_10__f5 "crc16_inst/crc_q_mux0001<10>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_0_1_renamed_2248 "crc16_inst/crc_q_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_0__f5 "crc16_inst/crc_q_mux0001<0>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249 "zpuino/core/prefr_spnext_mux0000<2>151") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF8A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250 "zpuino/core/prefr_spnext_mux0000<2>152") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA02") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_15_f5 "zpuino/core/prefr_spnext_mux0000<2>15_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_and00001_SW01 "gpio_inst/output_mapper_q_1_and00001_SW01") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_and00001_SW0_f5 "gpio_inst/output_mapper_q_1_and00001_SW0_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_11_renamed_2251 "zpuino/core/stack_a_write<0>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF8A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_12 "zpuino/core/stack_a_write<0>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2FA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_1_f5 "zpuino/core/stack_a_write<0>1_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252 "zpuino/core/prefr_spnext_mux0000<6>881") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253 "zpuino/core/prefr_spnext_mux0000<6>882") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_88_f5 "zpuino/core/prefr_spnext_mux0000<6>88_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_clk_en111_renamed_2254 "slot1/zspi/clk_en111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3323") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_clk_en11_f5 "slot1/zspi/clk_en11_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_clk_en111_renamed_2255 "slot0/zspi/clk_en111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3323") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_clk_en11_f5 "slot0/zspi/clk_en11_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256 "zpuino/core/prefr_spnext_mux0000<9>411") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257 "zpuino/core/prefr_spnext_mux0000<9>412") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_41_f5 "zpuino/core/prefr_spnext_mux0000<9>41_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000241201_renamed_2258 "zpuino/core/w1_tos_0_mux000241201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024120_f5 "zpuino/core/w1_tos_0_mux00024120_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000841_renamed_2259 "gpio_inst/spp_read_0_mux0000841") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000842_renamed_2260 "gpio_inst/spp_read_0_mux0000842") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux000084_f5 "gpio_inst/spp_read_0_mux000084_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00002261_renamed_2261 "gpio_inst/spp_read_0_mux00002261") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00002262_renamed_2262 "gpio_inst/spp_read_0_mux00002262") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000226_f5 "gpio_inst/spp_read_0_mux0000226_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00003621_renamed_2263 "gpio_inst/spp_read_0_mux00003621") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00003622_renamed_2264 "gpio_inst/spp_read_0_mux00003622") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000362_f5 "gpio_inst/spp_read_0_mux0000362_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00005531_renamed_2265 "gpio_inst/spp_read_0_mux00005531") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00005532_renamed_2266 "gpio_inst/spp_read_0_mux00005532") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000553_f5 "gpio_inst/spp_read_0_mux0000553_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00006841_renamed_2267 "gpio_inst/spp_read_0_mux00006841") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "31") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00006842 "gpio_inst/spp_read_0_mux00006842") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000684_f5 "gpio_inst/spp_read_0_mux0000684_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_341_renamed_2268 "zpuino/io/io_read_selected<7>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_34_f5 "zpuino/io/io_read_selected<7>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_341_renamed_2269 "zpuino/io/io_read_selected<6>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_34_f5 "zpuino/io/io_read_selected<6>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_341_renamed_2270 "zpuino/io/io_read_selected<5>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_34_f5 "zpuino/io/io_read_selected<5>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_341_renamed_2271 "zpuino/io/io_read_selected<4>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_34_f5 "zpuino/io/io_read_selected<4>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_341_renamed_2272 "zpuino/io/io_read_selected<3>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_34_f5 "zpuino/io/io_read_selected<3>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudreset_or000011 "slot11/rx_inst/baudreset_or000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudreset_or00001_f5 "slot11/rx_inst/baudreset_or00001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000841_renamed_2273 "gpio_inst/spp_read_1_mux0000841") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000842_renamed_2274 "gpio_inst/spp_read_1_mux0000842") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux000084_f5 "gpio_inst/spp_read_1_mux000084_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00002261_renamed_2275 "gpio_inst/spp_read_1_mux00002261") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00002262_renamed_2276 "gpio_inst/spp_read_1_mux00002262") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000226_f5 "gpio_inst/spp_read_1_mux0000226_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00003621_renamed_2277 "gpio_inst/spp_read_1_mux00003621") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00003622_renamed_2278 "gpio_inst/spp_read_1_mux00003622") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000362_f5 "gpio_inst/spp_read_1_mux0000362_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00005531_renamed_2279 "gpio_inst/spp_read_1_mux00005531") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00005532_renamed_2280 "gpio_inst/spp_read_1_mux00005532") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000553_f5 "gpio_inst/spp_read_1_mux0000553_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281 "zpuino/core/decr_tosSource_mux0000<9>131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_9_13_f5 "zpuino/core/decr_tosSource_mux0000<9>13_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux0002661_renamed_2282 "zpuino/core/w1_tos_31_mux0002661") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000266_f5 "zpuino/core/w1_tos_31_mux000266_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283 "slot11/fifo_instance/full_v_cmp_eq000041041") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284 "slot11/fifo_instance/full_v_cmp_eq000041042") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq00004104_f5 "slot11/fifo_instance/full_v_cmp_eq00004104_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_7_891 "zpuino/core/prefr_spnext_mux0000<7>891") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_7_89_f5 "zpuino/core/prefr_spnext_mux0000<7>89_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_891 "zpuino/core/prefr_spnext_mux0000<4>891") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_89_f5 "zpuino/core/prefr_spnext_mux0000<4>89_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_not000111 "slot1/zspi/count_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_not00011_f5 "slot1/zspi/count_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_not000111 "slot0/zspi/count_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_not00011_f5 "slot0/zspi/count_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_391_renamed_2285 "zpuino/io/io_read_selected<17>391") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_392_renamed_2286 "zpuino/io/io_read_selected<17>392") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_39_f5 "zpuino/io/io_read_selected<17>39_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_16_391_renamed_2287 "zpuino/io/io_read_selected<16>391") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_16_392_renamed_2288 "zpuino/io/io_read_selected<16>392") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_16_39_f5 "zpuino/io/io_read_selected<16>39_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW01") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW02 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW02") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW0_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290 "zpuino/core/decr_stackOperation_mux0000<2>110_SW01") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "32FF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW02 "zpuino/core/decr_stackOperation_mux0000<2>110_SW02") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "32") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5 "zpuino/core/decr_stackOperation_mux0000<2>110_SW0_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_not00011_renamed_2291 "zpuino/core/prefr_spnext_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_not00012_renamed_2292 "zpuino/core/prefr_spnext_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_not0001_f5 "zpuino/core/prefr_spnext_not0001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ready_q_not000111 "slot1/zspi/ready_q_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ready_q_not00011_f5 "slot1/zspi/ready_q_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ready_q_not000111 "slot0/zspi/ready_q_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ready_q_not00011_f5 "slot0/zspi/ready_q_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count51_renamed_2293 "slot1/zspi/Mcount_count51") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count52_renamed_2294 "slot1/zspi/Mcount_count52") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9C") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count5_f5 "slot1/zspi/Mcount_count5_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count51_renamed_2295 "slot0/zspi/Mcount_count51") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count52_renamed_2296 "slot0/zspi/Mcount_count52") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9C") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count5_f5 "slot0/zspi/Mcount_count5_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297 "zpuino/core/exr.state_FSM_FFd14-In15_SW01") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In15_SW02 "zpuino/core/exr.state_FSM_FFd14-In15_SW02") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5 "zpuino/core/exr.state_FSM_FFd14-In15_SW0_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298 "uart_inst/rx_inst/datacount_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9AAA") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299 "uart_inst/rx_inst/datacount_mux0000<1>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_1__f5 "uart_inst/rx_inst/datacount_mux0000<1>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_1_1_renamed_2300 "slot11/rx_inst/datacount_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9AAA") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_1_2_renamed_2301 "slot11/rx_inst/datacount_mux0000<1>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_1__f5 "slot11/rx_inst/datacount_mux0000<1>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack1181_renamed_2302 "zpuino/io/io_device_ack1181") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack1182_renamed_2303 "zpuino/io/io_device_ack1182") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack118_f5 "zpuino/io/io_device_ack118_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not000132_SW0 "timers_inst/timer1_inst/tmrr_intr_not000132_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BFFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_11_mux000011 "zpuino/io/slot_cyc_i_11_mux000011") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_and00011 "timers_inst/timer0_inst/tmrr_cnt_and00011") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "4000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_33__SW0 "zpuino/core/decr_decodedOpcode_mux0000<33>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_32__SW0 "zpuino/core/decr_decodedOpcode_mux0000<32>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_30__SW0 "zpuino/core/decr_decodedOpcode_mux0000<30>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_31__SW0 "zpuino/core/decr_decodedOpcode_mux0000<31>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000264 "zpuino/core/w1_tos_6_mux000264") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10_21 "zpuino/core/decr_tosSource_mux0000<10>21") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy4_renamed_2304 "zpuino/core/exu_busy4") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy33_renamed_2305 "zpuino/core/exu_busy33") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10_11 "zpuino/core/decr_tosSource_mux0000<10>11") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000096_renamed_2306 "zpuino/core/decr_opWillFreeze_mux000096") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7772") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not0001311 "timers_inst/timer1_inst/tmrr_intr_not0001311") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_cyc1 "timers_inst/timer0_cyc1") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0100") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002810 "zpuino/core/w1_tos_6_mux0002810") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3500") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not000133_SW0 "timers_inst/timer0_inst/tmrr_intr_not000133_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "153F") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_32_not00014 "gpio_inst/gpio_q_32_not00014") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_0_not00015 "gpio_inst/gpio_q_0_not00015") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000352 "zpuino/core/wroteback_q_mux0000352") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_6_9_renamed_2307 "zpuino/core/stack_a_addr<6>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_5_9_renamed_2308 "zpuino/core/stack_a_addr<5>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_4_9_renamed_2309 "zpuino/core/stack_a_addr<4>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_240 "zpuino/core/stack_a_addr<10>240") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF8A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_9__SW0 "zpuino/core/stack_a_write<9>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_8__SW0 "zpuino/core/stack_a_write<8>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_7__SW0 "zpuino/core/stack_a_write<7>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_6__SW0 "zpuino/core/stack_a_write<6>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_5__SW0 "zpuino/core/stack_a_write<5>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_4__SW0 "zpuino/core/stack_a_write<4>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_3__SW0 "zpuino/core/stack_a_write<3>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_30__SW0 "zpuino/core/stack_a_write<30>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_2__SW0 "zpuino/core/stack_a_write<2>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_29__SW0 "zpuino/core/stack_a_write<29>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_28__SW0 "zpuino/core/stack_a_write<28>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_27__SW0 "zpuino/core/stack_a_write<27>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_26__SW0 "zpuino/core/stack_a_write<26>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_25__SW0 "zpuino/core/stack_a_write<25>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_24__SW0 "zpuino/core/stack_a_write<24>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_23__SW0 "zpuino/core/stack_a_write<23>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_22__SW0 "zpuino/core/stack_a_write<22>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_21__SW0 "zpuino/core/stack_a_write<21>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_20__SW0 "zpuino/core/stack_a_write<20>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_1__SW0 "zpuino/core/stack_a_write<1>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_19__SW0 "zpuino/core/stack_a_write<19>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_18__SW0 "zpuino/core/stack_a_write<18>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_17__SW0 "zpuino/core/stack_a_write<17>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_16__SW0 "zpuino/core/stack_a_write<16>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_15__SW0 "zpuino/core/stack_a_write<15>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_14__SW0 "zpuino/core/stack_a_write<14>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_13__SW0 "zpuino/core/stack_a_write<13>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_12__SW0 "zpuino/core/stack_a_write<12>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_11__SW0 "zpuino/core/stack_a_write<11>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_10__SW0 "zpuino/core/stack_a_write<10>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0__SW0 "zpuino/core/stack_a_write<0>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_7_9_renamed_2310 "zpuino/core/stack_a_addr<7>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_8_9_renamed_2311 "zpuino/core/stack_a_addr<8>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_9_9_renamed_2312 "zpuino/core/stack_a_addr<9>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_11 "zpuino/core/stack_a_addr<10>11") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_41 "zpuino/core/stack_a_addr<10>41") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_7_and00001 "zpuino/io/intr_inst/masked_ivecs_7_and00001") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_13_and00001 "zpuino/io/intr_inst/masked_ivecs_13_and00001") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_poppc_inst1 "zpuino/core/poppc_inst1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_11_not000111 "zpuino/io/intr_inst/intr_detected_q_11_not000111") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_17_and000041 "gpio_inst/input_mapper_q_17_and000041") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_16_and000041 "gpio_inst/input_mapper_q_16_and000041") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and000061 "gpio_inst/input_mapper_q_0_and000061") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and000041 "gpio_inst/input_mapper_q_0_and000041") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313 "timers_inst/timer0_inst/tmrr_cnt_not000113") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decode_jump_renamed_2314 "zpuino/core/decode_jump") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024161_renamed_2315 "zpuino/core/w1_tos_0_mux00024161") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_rd_and000011 "slot11/fifo_rd_and000011") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_20_11 "zpuino/core/shl/idx_mux0001<20>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_12_11 "zpuino/core/shl/idx_mux0001<12>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_10_11 "zpuino/core/shl/idx_mux0001<10>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_0_31 "zpuino/core/decr_pcint_mux0000<0>31") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3332") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_0_21 "zpuino/core/decr_pcint_mux0000<0>21") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002311 "zpuino/core/w1_tos_0_mux0002311") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_7_mux00001 "zpuino/io/slot_cyc_i_7_mux00001") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_14_mux000011 "zpuino/io/slot_cyc_i_14_mux000011") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_7_15_renamed_2316 "timers_inst/wb_dat_o<7>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_6_15_renamed_2317 "timers_inst/wb_dat_o<6>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_5_15_renamed_2318 "timers_inst/wb_dat_o<5>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_4_15_renamed_2319 "timers_inst/wb_dat_o<4>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_3_15_renamed_2320 "timers_inst/wb_dat_o<3>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not0001_SW0 "timers_inst/timer1_inst/tmrr_intr_not0001_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack93_renamed_2321 "zpuino/io/io_device_ack93") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFF8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_0_21 "zpuino/core/shl/idx_mux0001<0>21") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_2_11 "zpuino/core/shl/idx_mux0001<2>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_51 "zpuino/core/decr_fetchpc_mux0000<5>51") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000214_renamed_2322 "zpuino/core/w1_tos_1_mux000214") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000268_renamed_2323 "zpuino/core/w1_tos_1_mux000268") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000292_renamed_2324 "zpuino/core/w1_tos_1_mux000292") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002141_renamed_2325 "zpuino/core/w1_tos_1_mux0002141") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux00024_renamed_2326 "zpuino/core/w1_tos_2_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000256_renamed_2327 "zpuino/core/w1_tos_2_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002148_renamed_2328 "zpuino/core/w1_tos_2_mux0002148") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux00024_renamed_2329 "zpuino/core/w1_tos_3_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000256_renamed_2330 "zpuino/core/w1_tos_3_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002148_renamed_2331 "zpuino/core/w1_tos_3_mux0002148") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332 "zpuino/core/decr_fetchpc_mux0000<4>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333 "zpuino/core/decr_fetchpc_mux0000<3>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334 "zpuino/core/decr_fetchpc_mux0000<2>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335 "zpuino/core/decr_fetchpc_mux0000<1>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336 "zpuino/core/decr_fetchpc_mux0000<13>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337 "zpuino/core/decr_fetchpc_mux0000<12>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338 "zpuino/core/decr_fetchpc_mux0000<11>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339 "zpuino/core/decr_fetchpc_mux0000<10>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340 "zpuino/core/decr_fetchpc_mux0000<0>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux00024_renamed_2341 "zpuino/core/w1_tos_4_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000256_renamed_2342 "zpuino/core/w1_tos_4_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002148_renamed_2343 "zpuino/core/w1_tos_4_mux0002148") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux00024_renamed_2344 "zpuino/core/w1_tos_5_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000256_renamed_2345 "zpuino/core/w1_tos_5_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002148_renamed_2346 "zpuino/core/w1_tos_5_mux0002148") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux00027_renamed_2347 "zpuino/core/w1_tos_6_mux00027") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux000027_renamed_2348 "gpio_inst/spp_read_1_mux000027") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000154_renamed_2349 "gpio_inst/spp_read_1_mux0000154") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000390_renamed_2350 "gpio_inst/spp_read_1_mux0000390") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000581_renamed_2351 "gpio_inst/spp_read_1_mux0000581") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux00027_renamed_2352 "zpuino/core/w1_tos_7_mux00027") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000237_renamed_2353 "zpuino/core/w1_tos_7_mux000237") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_8_11 "zpuino/core/decr_decodedOpcode_mux0000<8>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_4_11 "zpuino/core/decr_decodedOpcode_mux0000<4>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_23__SW0 "zpuino/core/decr_decodedOpcode_mux0000<23>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_22__SW0 "zpuino/core/decr_decodedOpcode_mux0000<22>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_19__SW0 "zpuino/core/decr_decodedOpcode_mux0000<19>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354 "zpuino/core/decr_tosSource_mux0000<16>5") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mrom_sampledDecodedOpcode_mux0024141 "zpuino/core/Mrom_sampledDecodedOpcode_mux0024141") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000272_renamed_2355 "zpuino/core/w1_tos_11_mux000272") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002126_renamed_2356 "zpuino/core/w1_tos_11_mux0002126") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000272_renamed_2357 "zpuino/core/w1_tos_12_mux000272") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002101_renamed_2358 "zpuino/core/w1_tos_12_mux0002101") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002149_renamed_2359 "zpuino/core/w1_tos_12_mux0002149") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000272_renamed_2360 "zpuino/core/w1_tos_13_mux000272") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002126_renamed_2361 "zpuino/core/w1_tos_13_mux0002126") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux0002131_renamed_2362 "zpuino/core/w1_tos_14_mux0002131") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux0002108_renamed_2363 "zpuino/core/w1_tos_15_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux0002127_renamed_2364 "zpuino/core/w1_tos_16_mux0002127") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux0002131_renamed_2365 "zpuino/core/w1_tos_17_mux0002131") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux0002131_renamed_2366 "zpuino/core/w1_tos_18_mux0002131") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000311 "zpuino/core/sampledStackOperation_or000311") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledDecodedOpcode_cmp_eq00001 "zpuino/core/sampledDecodedOpcode_cmp_eq00001") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux0002103_renamed_2367 "zpuino/core/w1_tos_19_mux0002103") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux0002108_renamed_2368 "zpuino/core/w1_tos_20_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_30_1 "zpuino/core/nos<30>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux0002108_renamed_2369 "zpuino/core/w1_tos_21_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_29_1 "zpuino/core/nos<29>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux0002108_renamed_2370 "zpuino/core/w1_tos_22_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_28_1 "zpuino/core/nos<28>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux0002108_renamed_2371 "zpuino/core/w1_tos_23_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux0002108_renamed_2372 "zpuino/core/w1_tos_24_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_26_1 "zpuino/core/nos<26>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux0002108_renamed_2373 "zpuino/core/w1_tos_25_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_18 "zpuino/core/decr_tosSource_mux0000<1>18") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy42 "zpuino/core/exu_busy42") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_25_1 "zpuino/core/nos<25>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux0002108_renamed_2374 "zpuino/core/w1_tos_26_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_24_1 "zpuino/core/nos<24>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000239_renamed_2375 "zpuino/core/w1_tos_27_mux000239") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux0002108_renamed_2376 "zpuino/core/w1_tos_27_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_23_1 "zpuino/core/nos<23>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_22_1 "zpuino/core/nos<22>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux0002108_renamed_2377 "zpuino/core/w1_tos_29_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_21_1 "zpuino/core/nos<21>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux0002108_renamed_2378 "zpuino/core/w1_tos_30_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_20_1 "zpuino/core/nos<20>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux0002112_renamed_2379 "zpuino/core/w1_tos_31_mux0002112") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_19_1 "zpuino/core/nos<19>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_18_1 "zpuino/core/nos<18>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10_31 "zpuino/core/decr_tosSource_mux0000<10>31") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_17_1 "zpuino/core/nos<17>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_16_1 "zpuino/core/nos<16>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_15_1 "zpuino/core/nos<15>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_14_1 "zpuino/core/nos<14>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_13_1 "zpuino/core/nos<13>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_12_1 "zpuino/core/nos<12>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_11_1 "zpuino/core/nos<11>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_10_1 "zpuino/core/nos<10>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledTosSource_cmp_eq00042 "zpuino/core/sampledTosSource_cmp_eq00042") - (viewRef view_1 (cellRef LUT2_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledTosSource_cmp_eq00031 "zpuino/core/sampledTosSource_cmp_eq00031") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledTosSource_cmp_eq000411 "zpuino/core/sampledTosSource_cmp_eq000411") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_9_1 "zpuino/core/nos<9>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_8_1 "zpuino/core/nos<8>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_7_1 "zpuino/core/nos<7>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_6_1 "zpuino/core/nos<6>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000331 "zpuino/core/sampledStackOperation_or000331") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380 "zpuino/core/decr_stackOperation_mux0000<1>26") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_0_1 "zpuino/core/nos<0>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024_renamed_2381 "zpuino/core/w1_tos_0_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000256_renamed_2382 "zpuino/core/w1_tos_0_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002173_renamed_2383 "zpuino/core/w1_tos_0_mux0002173") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10_41 "zpuino/core/decr_tosSource_mux0000<10>41") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_34_SW0 "zpuino/core/decr_stackOperation_mux0000<2>34_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000128_renamed_2384 "gpio_inst/spp_read_1_mux0000128") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000244_renamed_2385 "zpuino/core/w1_tos_6_mux000244") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000244_renamed_2386 "zpuino/core/w1_tos_7_mux000244") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000177_SW0 "zpuino/io/intr_inst/do_interrupt_mux000177_SW0") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000241103 "zpuino/core/w1_tos_0_mux000241103") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFD5") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002124_SW0 "zpuino/core/w1_tos_2_mux0002124_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002124_SW0 "zpuino/core/w1_tos_3_mux0002124_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002124_SW0 "zpuino/core/w1_tos_4_mux0002124_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002124_SW0 "zpuino/core/w1_tos_5_mux0002124_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_mux000027_renamed_2387 "zpuino/core/decr_break_mux000027") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_4__SW0 "zpuino/core/decr_decodedOpcode_mux0000<4>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_13__SW0 "zpuino/core/decr_tosSource_mux0000<13>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_9__SW0 "zpuino/core/decr_decodedOpcode_mux0000<9>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_15__SW0 "zpuino/core/decr_decodedOpcode_mux0000<15>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_14__SW0 "zpuino/core/decr_decodedOpcode_mux0000<14>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388 "zpuino/core/decr_tosSource_mux0000<1>0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_17__SW0 "zpuino/core/decr_tosSource_mux0000<17>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_16_11 "zpuino/core/shl/idx_mux0001<16>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_13_21 "zpuino/core/shl/idx_mux0001<13>21") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_11_21 "zpuino/core/shl/idx_mux0001<11>21") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_10_31 "zpuino/core/shl/idx_mux0001<10>31") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002121 "zpuino/core/w1_tos_6_mux0002121") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002111 "zpuino/core/w1_tos_6_mux0002111") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000211 "zpuino/core/w1_tos_6_mux000211") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000284_renamed_2389 "zpuino/core/w1_tos_1_mux000284") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and00007_SW1 "gpio_inst/input_mapper_q_0_and00007_SW1") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000226_renamed_2390 "zpuino/core/w1_tos_8_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000226_renamed_2391 "zpuino/core/w1_tos_9_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000226_renamed_2392 "zpuino/core/w1_tos_10_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000226_renamed_2393 "zpuino/core/w1_tos_11_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000226_renamed_2394 "zpuino/core/w1_tos_12_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000226_renamed_2395 "zpuino/core/w1_tos_13_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000226_renamed_2396 "zpuino/core/w1_tos_14_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000226_renamed_2397 "zpuino/core/w1_tos_15_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000226_renamed_2398 "zpuino/core/w1_tos_16_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000226_renamed_2399 "zpuino/core/w1_tos_17_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000226_renamed_2400 "zpuino/core/w1_tos_18_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000226_renamed_2401 "zpuino/core/w1_tos_19_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000226_renamed_2402 "zpuino/core/w1_tos_20_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000226_renamed_2403 "zpuino/core/w1_tos_21_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000226_renamed_2404 "zpuino/core/w1_tos_22_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000226_renamed_2405 "zpuino/core/w1_tos_23_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000226_renamed_2406 "zpuino/core/w1_tos_24_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000226_renamed_2407 "zpuino/core/w1_tos_25_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000226_renamed_2408 "zpuino/core/w1_tos_26_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000226_renamed_2409 "zpuino/core/w1_tos_28_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000226_renamed_2410 "zpuino/core/w1_tos_29_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000226_renamed_2411 "zpuino/core/w1_tos_30_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000226_renamed_2412 "zpuino/core/w1_tos_31_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002143_renamed_2413 "zpuino/core/w1_tos_9_mux0002143") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002143_renamed_2414 "zpuino/core/w1_tos_8_mux0002143") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002164_renamed_2415 "zpuino/core/w1_tos_7_mux0002164") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002164_renamed_2416 "zpuino/core/w1_tos_6_mux0002164") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1 "zpuino/io/intr_inst/intr_detected_q_4_not0001_SW1") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1 "zpuino/io/intr_inst/intr_detected_q_3_not0001_SW1") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002143_renamed_2417 "zpuino/core/w1_tos_10_mux0002143") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_102_renamed_2418 "zpuino/io/io_read_selected<7>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_102_renamed_2419 "zpuino/io/io_read_selected<6>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_102_renamed_2420 "zpuino/io/io_read_selected<5>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_102_renamed_2421 "zpuino/io/io_read_selected<4>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_102_renamed_2422 "zpuino/io/io_read_selected<3>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002125_renamed_2423 "zpuino/core/w1_tos_0_mux0002125") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002119_SW0 "zpuino/core/w1_tos_8_mux0002119_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_4_52_SW0 "zpuino/core/decr_tosSource_mux0000<4>52_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7360") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_38_SW0 "zpuino/core/decr_fetchpc_mux0000<9>38_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_38_SW0 "zpuino/core/decr_fetchpc_mux0000<8>38_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_38_SW0 "zpuino/core/decr_fetchpc_mux0000<7>38_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_38_SW0 "zpuino/core/decr_fetchpc_mux0000<6>38_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000210_SW0 "zpuino/core/w1_tos_8_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000210_SW0 "zpuino/core/w1_tos_9_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000210_SW0 "zpuino/core/w1_tos_10_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000210_SW0 "zpuino/core/w1_tos_11_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000210_SW0 "zpuino/core/w1_tos_12_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000210_SW0 "zpuino/core/w1_tos_14_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000210_SW0 "zpuino/core/w1_tos_15_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000210_SW0 "zpuino/core/w1_tos_16_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000210_SW0 "zpuino/core/w1_tos_17_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000210_SW0 "zpuino/core/w1_tos_18_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000210_SW0 "zpuino/core/w1_tos_19_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000210_SW0 "zpuino/core/w1_tos_20_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000210_SW0 "zpuino/core/w1_tos_21_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000210_SW0 "zpuino/core/w1_tos_22_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000210_SW0 "zpuino/core/w1_tos_23_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000210_SW0 "zpuino/core/w1_tos_24_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000210_SW0 "zpuino/core/w1_tos_25_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000210_SW0 "zpuino/core/w1_tos_26_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000210_SW0 "zpuino/core/w1_tos_28_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000210_SW0 "zpuino/core/w1_tos_29_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000210_SW0 "zpuino/core/w1_tos_30_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000210_SW0 "zpuino/core/w1_tos_31_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledDecodedOpcode_and00001 "zpuino/core/sampledDecodedOpcode_and00001") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_12_21 "zpuino/core/shl/idx_mux0001<12>21") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_111 "zpuino/core/decr_fetchpc_mux0000<6>111") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack44_SW0 "zpuino/io/io_device_ack44_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000244_SW0_SW0 "zpuino/core/w1_tos_6_mux000244_SW0_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux0002140_SW0 "zpuino/core/w1_tos_28_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_3_42_SW0 "zpuino/core/decr_stackOperation_mux0000<3>42_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_2_renamed_2424 "zpuino/core/shl/Mshreg_output_2") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_2 "zpuino/core/shl/output_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_0_renamed_2425 "zpuino/core/shl/Mshreg_output_0") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_0 "zpuino/core/shl/output_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_1_renamed_2426 "zpuino/core/shl/Mshreg_output_1") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_1 "zpuino/core/shl/output_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_3_renamed_2427 "zpuino/core/shl/Mshreg_output_3") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_3 "zpuino/core/shl/output_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_4_renamed_2428 "zpuino/core/shl/Mshreg_output_4") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_4 "zpuino/core/shl/output_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_5_renamed_2429 "zpuino/core/shl/Mshreg_output_5") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_5 "zpuino/core/shl/output_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_6_renamed_2430 "zpuino/core/shl/Mshreg_output_6") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_6 "zpuino/core/shl/output_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_7_renamed_2431 "zpuino/core/shl/Mshreg_output_7") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_7 "zpuino/core/shl/output_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_8_renamed_2432 "zpuino/core/shl/Mshreg_output_8") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_8 "zpuino/core/shl/output_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_9_renamed_2433 "zpuino/core/shl/Mshreg_output_9") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_9 "zpuino/core/shl/output_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_10_renamed_2434 "zpuino/core/shl/Mshreg_output_10") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_10 "zpuino/core/shl/output_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_11_renamed_2435 "zpuino/core/shl/Mshreg_output_11") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_11 "zpuino/core/shl/output_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_12_renamed_2436 "zpuino/core/shl/Mshreg_output_12") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_12 "zpuino/core/shl/output_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_13_renamed_2437 "zpuino/core/shl/Mshreg_output_13") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_13 "zpuino/core/shl/output_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_14_renamed_2438 "zpuino/core/shl/Mshreg_output_14") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_14 "zpuino/core/shl/output_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_15_renamed_2439 "zpuino/core/shl/Mshreg_output_15") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_15 "zpuino/core/shl/output_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_16_renamed_2440 "zpuino/core/shl/Mshreg_output_16") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_16 "zpuino/core/shl/output_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_19_renamed_2441 "zpuino/core/shl/Mshreg_output_19") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_19 "zpuino/core/shl/output_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_17_renamed_2442 "zpuino/core/shl/Mshreg_output_17") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_17 "zpuino/core/shl/output_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_18_renamed_2443 "zpuino/core/shl/Mshreg_output_18") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_18 "zpuino/core/shl/output_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_20_renamed_2444 "zpuino/core/shl/Mshreg_output_20") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_20 "zpuino/core/shl/output_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_21_renamed_2445 "zpuino/core/shl/Mshreg_output_21") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_21 "zpuino/core/shl/output_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_22_renamed_2446 "zpuino/core/shl/Mshreg_output_22") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_22 "zpuino/core/shl/output_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_23_renamed_2447 "zpuino/core/shl/Mshreg_output_23") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_23 "zpuino/core/shl/output_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_24_renamed_2448 "zpuino/core/shl/Mshreg_output_24") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_24 "zpuino/core/shl/output_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_25_renamed_2449 "zpuino/core/shl/Mshreg_output_25") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_25 "zpuino/core/shl/output_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_26_renamed_2450 "zpuino/core/shl/Mshreg_output_26") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_26 "zpuino/core/shl/output_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_27_renamed_2451 "zpuino/core/shl/Mshreg_output_27") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_27 "zpuino/core/shl/output_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_28_renamed_2452 "zpuino/core/shl/Mshreg_output_28") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_28 "zpuino/core/shl/output_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_29_renamed_2453 "zpuino/core/shl/Mshreg_output_29") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_29 "zpuino/core/shl/output_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_30_renamed_2454 "zpuino/core/shl/Mshreg_output_30") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_30 "zpuino/core/shl/output_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_31_renamed_2455 "zpuino/core/shl/Mshreg_output_31") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_31 "zpuino/core/shl/output_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename pin47_sync_Mshreg_ff2_renamed_2456 "pin47/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin47_sync_ff2_renamed_2457 "pin47/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin46_sync_Mshreg_ff2_renamed_2458 "pin46/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin46_sync_ff2_renamed_2459 "pin46/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin43_sync_Mshreg_ff2_renamed_2460 "pin43/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin43_sync_ff2_renamed_2461 "pin43/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin45_sync_Mshreg_ff2_renamed_2462 "pin45/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin45_sync_ff2_renamed_2463 "pin45/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin44_sync_Mshreg_ff2_renamed_2464 "pin44/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin44_sync_ff2_renamed_2465 "pin44/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin42_sync_Mshreg_ff2_renamed_2466 "pin42/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin42_sync_ff2_renamed_2467 "pin42/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin41_sync_Mshreg_ff2_renamed_2468 "pin41/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin41_sync_ff2_renamed_2469 "pin41/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin40_sync_Mshreg_ff2_renamed_2470 "pin40/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin40_sync_ff2_renamed_2471 "pin40/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin33_sync_Mshreg_ff2_renamed_2472 "pin33/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin33_sync_ff2_renamed_2473 "pin33/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin32_sync_Mshreg_ff2_renamed_2474 "pin32/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin32_sync_ff2_renamed_2475 "pin32/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin31_sync_Mshreg_ff2_renamed_2476 "pin31/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin31_sync_ff2_renamed_2477 "pin31/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin30_sync_Mshreg_ff2_renamed_2478 "pin30/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin30_sync_ff2_renamed_2479 "pin30/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin29_sync_Mshreg_ff2_renamed_2480 "pin29/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin29_sync_ff2_renamed_2481 "pin29/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin28_sync_Mshreg_ff2_renamed_2482 "pin28/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin28_sync_ff2_renamed_2483 "pin28/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin27_sync_Mshreg_ff2_renamed_2484 "pin27/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin27_sync_ff2_renamed_2485 "pin27/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin26_sync_Mshreg_ff2_renamed_2486 "pin26/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin26_sync_ff2_renamed_2487 "pin26/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin25_sync_Mshreg_ff2_renamed_2488 "pin25/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin25_sync_ff2_renamed_2489 "pin25/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin24_sync_Mshreg_ff2_renamed_2490 "pin24/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin24_sync_ff2_renamed_2491 "pin24/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin15_sync_Mshreg_ff2_renamed_2492 "pin15/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin15_sync_ff2_renamed_2493 "pin15/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin14_sync_Mshreg_ff2_renamed_2494 "pin14/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin14_sync_ff2_renamed_2495 "pin14/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin13_sync_Mshreg_ff2_renamed_2496 "pin13/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin13_sync_ff2_renamed_2497 "pin13/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin12_sync_Mshreg_ff2_renamed_2498 "pin12/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin12_sync_ff2_renamed_2499 "pin12/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin11_sync_Mshreg_ff2_renamed_2500 "pin11/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin11_sync_ff2_renamed_2501 "pin11/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin10_sync_Mshreg_ff2_renamed_2502 "pin10/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin10_sync_ff2_renamed_2503 "pin10/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin09_sync_Mshreg_ff2_renamed_2504 "pin09/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin09_sync_ff2_renamed_2505 "pin09/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin08_sync_Mshreg_ff2_renamed_2506 "pin08/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin08_sync_ff2_renamed_2507 "pin08/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin07_sync_Mshreg_ff2_renamed_2508 "pin07/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin07_sync_ff2_renamed_2509 "pin07/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin06_sync_Mshreg_ff2_renamed_2510 "pin06/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin06_sync_ff2_renamed_2511 "pin06/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin05_sync_Mshreg_ff2_renamed_2512 "pin05/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin05_sync_ff2_renamed_2513 "pin05/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin04_sync_Mshreg_ff2_renamed_2514 "pin04/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin04_sync_ff2_renamed_2515 "pin04/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin03_sync_Mshreg_ff2_renamed_2516 "pin03/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin03_sync_ff2_renamed_2517 "pin03/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin02_sync_Mshreg_ff2_renamed_2518 "pin02/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin02_sync_ff2_renamed_2519 "pin02/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin01_sync_Mshreg_ff2_renamed_2520 "pin01/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin01_sync_ff2_renamed_2521 "pin01/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin00_sync_Mshreg_ff2_renamed_2522 "pin00/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin00_sync_ff2_renamed_2523 "pin00/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename ibufrx_sync_Mshreg_ff2_renamed_2524 "ibufrx/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename ibufrx_sync_ff2_renamed_2525 "ibufrx/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_3_11_INV_0 "slot1/zspi/Mcount_count_xor<3>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_3_11_INV_0 "slot0/zspi/Mcount_count_xor<3>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_clk_en112_INV_0 "slot1/zspi/clk_en112_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_clk_en112_INV_0 "slot0/zspi/clk_en112_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (net CLK - (joined - (portRef CLK) - (portRef I (instanceRef clkgen_inst_clkin_inst)) - ) - ) - (net N0 - (joined - (portRef G (instanceRef XST_GND)) - (portRef D (instanceRef slot9_rstq2_renamed_7)) - (portRef D (instanceRef slot1_trans_renamed_318)) - (portRef D (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef D (instanceRef slot0_trans_renamed_325)) - (portRef D (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_0__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_1__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_2__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_3__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_4__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_5__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_6__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_7__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_8__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_9__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_10__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_11__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_13__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_14__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_15__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_19__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_20__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_22__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_23__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_24__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_25__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_26__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_27__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_28__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_29__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_30__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_31__)) - (portRef RSTA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef RSTB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef CEB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef RSTP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef RSTB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef CEB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef RSTA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef RSTP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef (member A 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member B 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef RSTA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef RSTB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef CEB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef RSTP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef (member A 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_0__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_0__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_12__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_0__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_0__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_1__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_2__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_3__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_4__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_5__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_6__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_7__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_0__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_1__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_2__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_3__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_4__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_5__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_6__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_7__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_8__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_0__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_1__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_2__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_3__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_4__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_5__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_6__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_7__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_8__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_9__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_10__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_5__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_5__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_6__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_8__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_9__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_10__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_11__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_12__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_13__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_0__)) - (portRef I (instanceRef pin36_obufi)) - (portRef I (instanceRef pin20_obufi)) - (portRef I (instanceRef pin17_obufi)) - (portRef I (instanceRef pin16_obufi)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_0__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_0__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_17__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_0__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_0__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_17__)) - (portRef D (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef D (instanceRef clkgen_inst_rst2_q_renamed_811)) - (portRef RST (instanceRef clkgen_inst_DCM_inst)) - (portRef PSINCDEC (instanceRef clkgen_inst_DCM_inst)) - (portRef PSEN (instanceRef clkgen_inst_DCM_inst)) - (portRef PSCLK (instanceRef clkgen_inst_DCM_inst)) - (portRef RST (instanceRef clkgen_inst_VGADCM_inst)) - (portRef PSINCDEC (instanceRef clkgen_inst_VGADCM_inst)) - (portRef PSEN (instanceRef clkgen_inst_VGADCM_inst)) - (portRef PSCLK (instanceRef clkgen_inst_VGADCM_inst)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_0__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_0__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_0__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_1__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_2__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_3__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_4__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_5__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_6__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_7__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_0__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_1__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_2__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_3__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_4__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_5__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_6__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_7__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_0__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_1__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_2__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_3__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_4__)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef SSRA (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef SSRB (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef WEB (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef SSRA (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef SSRB (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef WEB (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef SSRA (instanceRef zpuino_stack_stack)) - (portRef SSRB (instanceRef zpuino_stack_stack)) - (portRef WEB (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 0) (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 1) (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 2) (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 3) (instanceRef zpuino_stack_stack)) - (portRef (member DIPB 0) (instanceRef zpuino_stack_stack)) - (portRef (member DIPB 1) (instanceRef zpuino_stack_stack)) - (portRef (member DIPB 2) (instanceRef zpuino_stack_stack)) - (portRef (member DIPB 3) (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef SSRA (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef SSRB (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef WEB (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef I0 (instanceRef slot9_vga_hsync_mux00002_f5)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not00011_f5)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not00011_f5)) - (portRef I1 (instanceRef slot9_read_ended_and00001_f5)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_0_mux0000_f5)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024120_f5)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_9_13_f5)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef A0 (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef A1 (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef A2 (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef A3 (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef A0 (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef A1 (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef A2 (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef A3 (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef A0 (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef A1 (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef A2 (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef A3 (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef A0 (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef A1 (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef A2 (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef A3 (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef A0 (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef A1 (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef A2 (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef A3 (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef A0 (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef A1 (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef A2 (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef A3 (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef A0 (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef A1 (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef A2 (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef A3 (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef A0 (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef A1 (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef A2 (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef A3 (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef A0 (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef A1 (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef A2 (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef A3 (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef A0 (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef A1 (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef A2 (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef A3 (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef A0 (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef A1 (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef A2 (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef A3 (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef A0 (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef A1 (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef A2 (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef A3 (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef A0 (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef A1 (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef A2 (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef A3 (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef A0 (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef A1 (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef A2 (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef A3 (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef A0 (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef A1 (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef A2 (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef A3 (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef A0 (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef A1 (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef A2 (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef A3 (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef A0 (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef A1 (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef A2 (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef A3 (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef A0 (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef A1 (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef A2 (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef A3 (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef A0 (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef A1 (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef A2 (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef A3 (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef A0 (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef A1 (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef A2 (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef A3 (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef A0 (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef A1 (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef A2 (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef A3 (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef A0 (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef A1 (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef A2 (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef A3 (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef A0 (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef A1 (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef A2 (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef A3 (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef A0 (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef A1 (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef A2 (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef A3 (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef A0 (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef A1 (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef A2 (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef A3 (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef A0 (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef A1 (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef A2 (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef A3 (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef A0 (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef A1 (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef A2 (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef A3 (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef A0 (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef A1 (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef A2 (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef A3 (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef A0 (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef A1 (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef A2 (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef A3 (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef A0 (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef A1 (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef A2 (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef A3 (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef A0 (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef A1 (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef A2 (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef A3 (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef A0 (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef A1 (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef A2 (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef A3 (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef A0 (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef A1 (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef A2 (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef A3 (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef A0 (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef A1 (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef A2 (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef A3 (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef A0 (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef A1 (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef A2 (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef A3 (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - ) - ) - (net N01 - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0_1_f5)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_9__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_8__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_7__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_6__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_5__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_4__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_3__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_30__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_2__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_29__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_28__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_27__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_26__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_25__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_24__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_23__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_22__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_21__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_20__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_1__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_19__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_18__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_17__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_16__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_15__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_14__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_13__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_12__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_11__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_10__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_0__SW0)) - ) - ) - (net N02 - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_61)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net N1 - (joined - (portRef P (instanceRef XST_VCC)) - (portRef D (instanceRef slot9_v_display_renamed_0)) - (portRef D (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef D (instanceRef zpuino_io_wb_in_transaction_renamed_4)) - (portRef D (instanceRef zpuino_core_prefr_recompute_sp_renamed_5)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_0__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_1__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_2__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_3__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_4__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_5__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_6__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_7__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_8__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_9__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_10__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_11__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_12__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_12__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_13__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_14__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_15__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_16__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_16__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_17__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_17__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_18__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_18__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_19__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_20__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_21__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_21__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_22__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_23__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_24__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_25__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_26__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_27__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_28__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_29__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_30__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_0__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_0__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_0__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_5__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_7__)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_0)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_1)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_2)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_3)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_4)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_5)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_6)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_7)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_8)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_9)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_10)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_11)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_12)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_13)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_14)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_15)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_0)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_1)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_2)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_3)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_4)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_5)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_6)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_7)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_8)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_9)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_10)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_11)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_12)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_13)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_14)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_15)) - (portRef D (instanceRef slot0_zspiclk_clkrise_i_renamed_826)) - (portRef D (instanceRef slot0_zspiclk_clkfall_i_renamed_825)) - (portRef D (instanceRef slot0_zspiclk_running_q_renamed_823)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef D (instanceRef slot1_zspiclk_clkrise_i_renamed_841)) - (portRef D (instanceRef slot1_zspiclk_clkfall_i_renamed_840)) - (portRef D (instanceRef slot1_zspiclk_running_q_renamed_838)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef D (instanceRef uart_inst_dready_q_renamed_856)) - (portRef D (instanceRef uart_inst_rx_inst_baudreset_renamed_848)) - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef D (instanceRef uart_inst_rx_inst_baudgen_clkout_renamed_845)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef D (instanceRef uart_inst_tx_timer_clkout_renamed_844)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef D (instanceRef uart_inst_rx_timer_clkout_renamed_843)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__)) - (portRef D (instanceRef timers_inst_timer1_inst_pwm_out_0)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_presrst_renamed_878)) - (portRef D (instanceRef timers_inst_timer0_inst_pwm_out_0)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__)) - (portRef D (instanceRef slot11_dready_q_renamed_1116)) - (portRef D (instanceRef slot11_rx_inst_baudreset_renamed_1108)) - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef D (instanceRef slot11_rx_inst_baudgen_clkout_renamed_1105)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef D (instanceRef slot11_tx_timer_clkout_renamed_1104)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef D (instanceRef slot11_rx_timer_clkout_renamed_1103)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_0)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_1)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_2)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_3)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_4)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_5)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_6)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_7)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_8)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_9)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_10)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_11)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_12)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_13)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_14)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_15)) - (portRef CE (instanceRef slot11_rx_timer_cnt_0)) - (portRef CE (instanceRef slot11_rx_timer_cnt_1)) - (portRef CE (instanceRef slot11_rx_timer_cnt_2)) - (portRef CE (instanceRef slot11_rx_timer_cnt_3)) - (portRef CE (instanceRef slot11_rx_timer_cnt_4)) - (portRef CE (instanceRef slot11_rx_timer_cnt_5)) - (portRef CE (instanceRef slot11_rx_timer_cnt_6)) - (portRef CE (instanceRef slot11_rx_timer_cnt_7)) - (portRef CE (instanceRef slot11_rx_timer_cnt_8)) - (portRef CE (instanceRef slot11_rx_timer_cnt_9)) - (portRef CE (instanceRef slot11_rx_timer_cnt_10)) - (portRef CE (instanceRef slot11_rx_timer_cnt_11)) - (portRef CE (instanceRef slot11_rx_timer_cnt_12)) - (portRef CE (instanceRef slot11_rx_timer_cnt_13)) - (portRef CE (instanceRef slot11_rx_timer_cnt_14)) - (portRef CE (instanceRef slot11_rx_timer_cnt_15)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_0__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_0__)) - (portRef (member DIB 0) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 1) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 2) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 3) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 4) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 5) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 6) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 7) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 8) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 9) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 10) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 11) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 12) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 13) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 14) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 15) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 16) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 17) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 18) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 19) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 20) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 21) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 22) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 23) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 24) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 25) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 26) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 27) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 28) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 29) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 30) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 31) (instanceRef zpuino_stack_stack)) - (portRef ENA (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef ENB (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef I1 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW0_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_34_f5)) - (portRef I1 (instanceRef slot11_rx_inst_baudreset_or00001_f5)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000266_f5)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - ) - ) - (net N10 - (joined - (portRef O (instanceRef slot9_voff_or0000_SW0)) - (portRef I2 (instanceRef slot9_voff_or0000_renamed_1120)) - ) - ) - (net N1000 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_21__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_21__)) - ) - ) - (net N1001 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_21__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_21__)) - ) - ) - (net N1002 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_20__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_20__)) - ) - ) - (net N1003 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_20__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_20__)) - ) - ) - (net N1004 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_19__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_19__)) - ) - ) - (net N1005 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_19__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_19__)) - ) - ) - (net N1006 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_18__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_18__)) - ) - ) - (net N1007 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_18__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_18__)) - ) - ) - (net N1008 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_17__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_17__)) - ) - ) - (net N1009 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_17__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_17__)) - ) - ) - (net N101 - (joined - (portRef O (instanceRef gpio_inst_gpio_q_32_not00014_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I3 (instanceRef gpio_inst_gpio_q_0_not00015)) - ) - ) - (net N1010 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_16__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_16__)) - ) - ) - (net N1011 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_16__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_16__)) - ) - ) - (net N1012 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025138)) - ) - ) - (net N1013 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025138_G)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025138)) - ) - ) - (net N1014 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_7_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_7_mux000024)) - ) - ) - (net N1015 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_7_mux000024)) - ) - ) - (net N1016 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_6_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_6_mux000024)) - ) - ) - (net N1017 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_6_mux000024)) - ) - ) - (net N1018 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_5_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_5_mux000024)) - ) - ) - (net N1019 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_5_mux000024)) - ) - ) - (net N1020 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_4_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_4_mux000024)) - ) - ) - (net N1021 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_4_mux000024)) - ) - ) - (net N1022 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_3_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_3_mux000024)) - ) - ) - (net N1023 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_3_mux000024)) - ) - ) - (net N1024 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_2_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_2_mux000024)) - ) - ) - (net N1025 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_2_mux000024)) - ) - ) - (net N1026 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_1_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_1_mux000024)) - ) - ) - (net N1027 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_1_mux000024)) - ) - ) - (net N1028 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_0_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_0_mux000024)) - ) - ) - (net N1029 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_0_mux000024)) - ) - ) - (net N1030 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_9_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_9_mux000024)) - ) - ) - (net N1031 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_9_mux000024)) - ) - ) - (net N1032 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_8_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_8_mux000024)) - ) - ) - (net N1033 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_8_mux000024)) - ) - ) - (net N1034 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_31_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_31_mux000024)) - ) - ) - (net N1035 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_31_mux000024)) - ) - ) - (net N1036 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_30_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_30_mux000024)) - ) - ) - (net N1037 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_30_mux000024)) - ) - ) - (net N1038 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_29_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_29_mux000024)) - ) - ) - (net N1039 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_29_mux000024)) - ) - ) - (net N1040 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_28_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_28_mux000024)) - ) - ) - (net N1041 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_28_mux000024)) - ) - ) - (net N1042 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_27_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_27_mux000024)) - ) - ) - (net N1043 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_27_mux000024)) - ) - ) - (net N1044 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_26_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_26_mux000024)) - ) - ) - (net N1045 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_26_mux000024)) - ) - ) - (net N1046 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_25_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_25_mux000024)) - ) - ) - (net N1047 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_25_mux000024)) - ) - ) - (net N1048 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_24_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_24_mux000024)) - ) - ) - (net N1049 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_24_mux000024)) - ) - ) - (net N105 - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - ) - ) - (net N1050 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_23_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_23_mux000024)) - ) - ) - (net N1051 - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - ) - ) - (net N10511 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_23_mux000024)) - ) - ) - (net N1052 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_22_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_22_mux000024)) - ) - ) - (net N1053 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_22_mux000024)) - ) - ) - (net N1054 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_21_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_21_mux000024)) - ) - ) - (net N1055 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_21_mux000024)) - ) - ) - (net N1056 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_20_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_20_mux000024)) - ) - ) - (net N1057 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_20_mux000024)) - ) - ) - (net N1058 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_19_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_19_mux000024)) - ) - ) - (net N1059 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_19_mux000024)) - ) - ) - (net N106 - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_write_9__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_8__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_7__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_6__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_5__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_4__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_3__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_30__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_2__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_29__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_28__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_27__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_26__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_25__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_24__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_23__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_22__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_21__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_20__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_1__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_19__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_18__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_17__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_16__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_15__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_14__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_13__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_12__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_11__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_10__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0__)) - (portRef O (instanceRef zpuino_core_stack_a_write_0_21)) - ) - ) - (net N1060 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_18_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_18_mux000024)) - ) - ) - (net N1061 - (joined - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1)) - ) - ) - (net N10611 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_18_mux000024)) - ) - ) - (net N1062 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_17_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_17_mux000024)) - ) - ) - (net N1063 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_17_mux000024)) - ) - ) - (net N1064 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_16_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_16_mux000024)) - ) - ) - (net N1065 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_16_mux000024)) - ) - ) - (net N1066 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_15_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_15_mux000024)) - ) - ) - (net N1067 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_15_mux000024)) - ) - ) - (net N1068 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_14_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_14_mux000024)) - ) - ) - (net N1069 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_14_mux000024)) - ) - ) - (net N107 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000251)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - ) - ) - (net N1070 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_13_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_13_mux000024)) - ) - ) - (net N1071 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_13_mux000024)) - ) - ) - (net N1072 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_12_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_12_mux000024)) - ) - ) - (net N1073 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_12_mux000024)) - ) - ) - (net N1074 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_11_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_11_mux000024)) - ) - ) - (net N1075 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_11_mux000024)) - ) - ) - (net N1076 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_10_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_10_mux000024)) - ) - ) - (net N1077 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_10_mux000024)) - ) - ) - (net N1078 - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0)) - ) - ) - (net N1079 - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0)) - ) - ) - (net N1080 - (joined - (portRef LO (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I3 (instanceRef timers_inst_timer1_cyc1)) - ) - ) - (net N1081 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - ) - ) - (net N10811 - (joined - (portRef LO (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - ) - ) - (net N1082 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux000264)) - (portRef I3 (instanceRef zpuino_core_exu_busy32_renamed_1641)) - ) - ) - (net N1083 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_14_11)) - ) - ) - (net N1084 - (joined - (portRef LO (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I0 (instanceRef zpuino_core_exu_busy10_renamed_1640)) - ) - ) - (net N1085 - (joined - (portRef LO (instanceRef zpuino_core_exu_busy33_renamed_2305)) - (portRef I2 (instanceRef zpuino_core_pfu_busy1)) - ) - ) - (net N1086 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_11)) - ) - ) - (net N1087 - (joined - (portRef LO (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - ) - ) - (net N1088 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux0002810)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - ) - ) - (net N1089 - (joined - (portRef LO (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I1 (instanceRef gpio_inst_ppspin_q_32_not000111)) - ) - ) - (net N109 - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net N1090 - (joined - (portRef LO (instanceRef gpio_inst_gpio_q_0_not00015)) - (portRef I1 (instanceRef gpio_inst_ppspin_q_0_not000111)) - ) - ) - (net N1091 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - ) - ) - (net N10911 - (joined - (portRef LO (instanceRef zpuino_core_wroteback_q_mux0000352)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_236_renamed_1222)) - ) - ) - (net N1092 - (joined - (portRef LO (instanceRef zpuino_core_stack_a_addr_10_240)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - ) - ) - (net N1093 - (joined - (portRef LO (instanceRef zpuino_core_stack_a_addr_10_11)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_61)) - ) - ) - (net N1094 - (joined - (portRef LO (instanceRef zpuino_io_intr_inst_masked_ivecs_7_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - ) - ) - (net N1095 - (joined - (portRef LO (instanceRef zpuino_io_intr_inst_masked_ivecs_13_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - ) - ) - (net N1096 - (joined - (portRef LO (instanceRef zpuino_core_poppc_inst1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_mux00001)) - ) - ) - (net N1097 - (joined - (portRef LO (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_9_not00011)) - ) - ) - (net N1098 - (joined - (portRef LO (instanceRef gpio_inst_input_mapper_q_17_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_31_and000011)) - ) - ) - (net N1099 - (joined - (portRef LO (instanceRef gpio_inst_input_mapper_q_16_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_30_and000011)) - ) - ) - (net N11 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000211)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net N1100 - (joined - (portRef LO (instanceRef gpio_inst_input_mapper_q_0_and000061)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_11_and000041)) - ) - ) - (net N1101 - (joined - (portRef LO (instanceRef gpio_inst_input_mapper_q_0_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_8_and000011)) - ) - ) - (net N1102 - (joined - (portRef LO (instanceRef zpuino_core_decode_jump_renamed_2314)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - ) - ) - (net N1103 - (joined - (portRef LO (instanceRef slot11_fifo_rd_and000011)) - (portRef I2 (instanceRef slot11_divider_rx_q_not00011)) - ) - ) - (net N1104 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - ) - ) - (net N1105 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - ) - ) - (net N1106 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - ) - ) - (net N1107 - (joined - (portRef LO (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - ) - ) - (net N1108 - (joined - (portRef LO (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - ) - ) - (net N1109 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux0002311)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - ) - ) - (net N111 - (joined - (portRef I0 (instanceRef zpuino_core_stack_b_addr_2_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_3_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_4_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_5_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_6_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_7_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_8_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_9_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_10_1)) - (portRef O (instanceRef zpuino_core_stack_b_addr_10_11)) - ) - ) - (net N1110 - (joined - (portRef LO (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - (portRef I2 (instanceRef crc16_inst_poly_q_not000111)) - ) - ) - (net N1111 - (joined - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - ) - ) - (net N11111 - (joined - (portRef LO (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_2_mux00001)) - ) - ) - (net N1112 - (joined - (portRef O (instanceRef zpuino_core_stack_a_writeenable_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_writeenable)) - ) - ) - (net N11121 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_0_21)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - ) - ) - (net N1113 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_0_31)) - ) - ) - (net N1114 - (joined - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - ) - ) - (net N1115 - (joined - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - ) - ) - (net N1116 - (joined - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - ) - ) - (net N1117 - (joined - (portRef LO (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - ) - ) - (net N1118 - (joined - (portRef LO (instanceRef zpuino_core_sampledStackOperation_or000311)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - ) - ) - (net N1119 - (joined - (portRef LO (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672)) - ) - ) - (net N112 - (joined - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - ) - ) - (net N1120 - (joined - (portRef LO (instanceRef zpuino_core_nos_30_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - ) - ) - (net N1121 - (joined - (portRef LO (instanceRef zpuino_core_nos_29_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - ) - ) - (net N1122 - (joined - (portRef LO (instanceRef zpuino_core_nos_28_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - ) - ) - (net N1123 - (joined - (portRef LO (instanceRef zpuino_core_nos_26_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - ) - ) - (net N1124 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_1_18)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - ) - ) - (net N1125 - (joined - (portRef LO (instanceRef zpuino_core_exu_busy42)) - (portRef I2 (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - ) - ) - (net N1126 - (joined - (portRef LO (instanceRef zpuino_core_nos_25_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - ) - ) - (net N1127 - (joined - (portRef LO (instanceRef zpuino_core_nos_24_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - ) - ) - (net N1128 - (joined - (portRef LO (instanceRef zpuino_core_nos_23_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - ) - ) - (net N1129 - (joined - (portRef LO (instanceRef zpuino_core_nos_22_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - ) - ) - (net N113 - (joined - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - ) - ) - (net N1130 - (joined - (portRef LO (instanceRef zpuino_core_nos_21_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - ) - ) - (net N1131 - (joined - (portRef LO (instanceRef zpuino_core_nos_20_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - ) - ) - (net N1132 - (joined - (portRef LO (instanceRef zpuino_core_nos_19_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - ) - ) - (net N1133 - (joined - (portRef LO (instanceRef zpuino_core_nos_18_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - ) - ) - (net N1134 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642)) - ) - ) - (net N1135 - (joined - (portRef LO (instanceRef zpuino_core_nos_17_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - ) - ) - (net N1136 - (joined - (portRef LO (instanceRef zpuino_core_nos_16_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - ) - ) - (net N1137 - (joined - (portRef LO (instanceRef zpuino_core_nos_15_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - ) - ) - (net N1138 - (joined - (portRef LO (instanceRef zpuino_core_nos_14_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - ) - ) - (net N1139 - (joined - (portRef LO (instanceRef zpuino_core_nos_13_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - ) - ) - (net N1140 - (joined - (portRef LO (instanceRef zpuino_core_nos_12_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - ) - ) - (net N1141 - (joined - (portRef LO (instanceRef zpuino_core_nos_11_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - ) - ) - (net N1142 - (joined - (portRef LO (instanceRef zpuino_core_nos_10_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002119_SW0)) - ) - ) - (net N1143 - (joined - (portRef LO (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - ) - ) - (net N1144 - (joined - (portRef LO (instanceRef zpuino_core_nos_9_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002119_SW0)) - ) - ) - (net N1145 - (joined - (portRef LO (instanceRef zpuino_core_nos_8_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - ) - ) - (net N1146 - (joined - (portRef LO (instanceRef zpuino_core_nos_7_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002140_SW0)) - ) - ) - (net N1147 - (joined - (portRef LO (instanceRef zpuino_core_nos_6_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002140_SW0)) - ) - ) - (net N1148 - (joined - (portRef LO (instanceRef zpuino_core_sampledStackOperation_or000331)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - ) - ) - (net N1149 - (joined - (portRef LO (instanceRef zpuino_core_nos_0_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002125_SW0)) - ) - ) - (net N1150 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - ) - ) - (net N1151 - (joined - (portRef LO (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - ) - ) - (net N1152 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux000241103)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - ) - ) - (net N1153 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - ) - ) - (net N1154 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - ) - ) - (net N1155 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - ) - ) - (net N1156 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - ) - ) - (net N1157 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux0002121)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - ) - ) - (net N1158 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux0002111)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - ) - ) - (net N1159 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - ) - ) - (net N116 - (joined - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22__SW0)) - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000311)) - ) - ) - (net N1160 - (joined - (portRef LO (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - ) - ) - (net N1161 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - ) - ) - (net N1162 - (joined - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - ) - ) - (net N1171 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - ) - ) - (net N118 - (joined - (portRef I3 (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - (portRef I1 (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I3 (instanceRef timers_inst_timer0_cyc1)) - (portRef I0 (instanceRef slot11_fifo_rd_and000011)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - ) - ) - (net N1181 - (joined - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_6_mux00001)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - (portRef I0 (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net N119 - (joined - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_5_mux00001)) - (portRef I1 (instanceRef uart_inst_fifo_rd_and000011)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_13_mux000011)) - (portRef I2 (instanceRef slot9_ram_we1)) - (portRef I2 (instanceRef slot9_read_ended_and000011)) - ) - ) - (net N1191 - (joined - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - ) - ) - (net N12 - (joined - (portRef I0 (instanceRef zpuino_core_stack_a_writeenable)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000110)) - ) - ) - (net N1201 - (joined - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - ) - ) - (net N121 - (joined - (portRef O (instanceRef slot9_hoff_and0000_SW0)) - (portRef I3 (instanceRef slot9_hoff_and0000_renamed_1121)) - ) - ) - (net N1211 - (joined - (portRef I3 (instanceRef gpio_inst_output_mapper_q_1_and00001)) - (portRef I3 (instanceRef gpio_inst_output_mapper_q_0_and00001)) - (portRef O (instanceRef gpio_inst_output_mapper_q_1_and00001_SW0_f5)) - ) - ) - (net N122 - (joined - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - ) - ) - (net N123 - (joined - (portRef I3 (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - (portRef I1 (instanceRef zpuino_core_stack_a_writeenable)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002207)) - (portRef I1 (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000264)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_11)) - (portRef I1 (instanceRef zpuino_core_decode_jump_renamed_2314)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net N1231 - (joined - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000025_renamed_1178)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000352)) - ) - ) - (net N124 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - ) - ) - (net N125 - (joined - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_11)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30__SW0)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - ) - ) - (net N1251 - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_16__)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - ) - ) - (net N126 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - ) - ) - (net N1261 - (joined - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_0_31)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_0_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - ) - ) - (net N127 - (joined - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - ) - ) - (net N1271 - (joined - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17__)) - ) - ) - (net N129 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - ) - ) - (net N1311 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_14_11)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - ) - ) - (net N132 - (joined - (portRef O (instanceRef slot9_hdisp_cmp_eq000011)) - (portRef I0 (instanceRef slot9_hdisp_cmp_eq000031)) - (portRef I0 (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_hdisp_and00001)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_val1)) - ) - ) - (net N133 - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0_31)) - (portRef I3 (instanceRef zpuino_core_stack_a_writeenable)) - (portRef I2 (instanceRef zpuino_core_stack_a_enable)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_9__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_8__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_7__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_6__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_5__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_4__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_3__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_30__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_2__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_29__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_28__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_27__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_26__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_25__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_24__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_23__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_22__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_21__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_20__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_1__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_19__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_18__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_17__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_16__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_15__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_14__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_13__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_12__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_11__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_10__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0__SW0)) - ) - ) - (net N1351 - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - ) - ) - (net N136 - (joined - (portRef I1 (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux0000121)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19__SW0)) - (portRef I2 (instanceRef zpuino_core_sampledTosSource_cmp_eq00031)) - ) - ) - (net N1361 - (joined - (portRef O (instanceRef zpuino_io_io_device_ack41)) - (portRef I3 (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I1 (instanceRef zpuino_io_io_device_ack211_SW1)) - ) - ) - (net N137 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_19_11)) - ) - ) - (net N138 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000271)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net N1381 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_18_11)) - ) - ) - (net N139 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net N1391 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - ) - ) - (net N1392 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - ) - ) - (net N140 - (joined - (portRef I0 (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - (portRef O (instanceRef zpuino_core_stack_a_addr_10_51)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - ) - ) - (net N1401 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_17_11)) - ) - ) - (net N1411 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - ) - ) - (net N142 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_31__SW1)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_31__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_30__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_29__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_28__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_27__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_26__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_25__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_24__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_23__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_22__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_21__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_20__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_19__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_18__)) - ) - ) - (net N1421 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - ) - ) - (net N146 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - ) - ) - (net N147 - (joined - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I2 (instanceRef zpuino_core_poppc_inst1)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net N1471 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - ) - ) - (net N148 - (joined - (portRef I2 (instanceRef zpuino_core_exu_busy42_SW0)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002810)) - (portRef I0 (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net N149 - (joined - (portRef I2 (instanceRef slot0_cpol_not00011)) - (portRef I2 (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - (portRef I2 (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - (portRef O (instanceRef slot0_spi_transfersize_q_not0001211)) - (portRef I2 (instanceRef slot1_cpol_not00011)) - (portRef I0 (instanceRef slot9_ram_we1)) - ) - ) - (net N157 - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000210_renamed_1488)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000210_renamed_1509)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002101)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net N158 - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002111)) - ) - ) - (net N159 - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002121)) - ) - ) - (net N16 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000221)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - ) - ) - (net N165 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_9__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_9__SW0)) - ) - ) - (net N167 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_8__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_8__SW0)) - ) - ) - (net N169 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_7__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_7__SW0)) - ) - ) - (net N17 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net N171 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_6__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_6__SW0)) - ) - ) - (net N173 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_5__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_5__SW0)) - ) - ) - (net N175 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_4__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_4__SW0)) - ) - ) - (net N177 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_3__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_3__SW0)) - ) - ) - (net N179 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_30__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_30__SW0)) - ) - ) - (net N18 - (joined - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - ) - ) - (net N1811 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_2__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_2__SW0)) - ) - ) - (net N183 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_29__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_29__SW0)) - ) - ) - (net N185 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_28__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_28__SW0)) - ) - ) - (net N187 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_27__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_27__SW0)) - ) - ) - (net N189 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_26__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_26__SW0)) - ) - ) - (net N19 - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_3_14)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_2_14)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_14)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - (portRef O (instanceRef zpuino_core_stack_a_addr_10_240)) - ) - ) - (net N191 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_25__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_25__SW0)) - ) - ) - (net N193 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_24__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_24__SW0)) - ) - ) - (net N195 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_23__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_23__SW0)) - ) - ) - (net N197 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_22__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_22__SW0)) - ) - ) - (net N199 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_21__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_21__SW0)) - ) - ) - (net N2 - (joined - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - (portRef I2 (instanceRef zpuino_core_decr_break_mux000089)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - (portRef I0 (instanceRef zpuino_rom_wb_cti_i_0_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_18)) - ) - ) - (net N201 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_20__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_20__SW0)) - ) - ) - (net N203 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_1__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_1__SW0)) - ) - ) - (net N205 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_19__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_19__SW0)) - ) - ) - (net N207 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_18__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_18__SW0)) - ) - ) - (net N209 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_17__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_17__SW0)) - ) - ) - (net N21 - (joined - (portRef O (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I2 (instanceRef slot9_vga_vsync_mux0000_renamed_1117)) - ) - ) - (net N211 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_16__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_16__SW0)) - ) - ) - (net N213 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_15__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_15__SW0)) - ) - ) - (net N215 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_14__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_14__SW0)) - ) - ) - (net N217 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_13__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_13__SW0)) - ) - ) - (net N219 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_12__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_12__SW0)) - ) - ) - (net N221 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_11__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_11__SW0)) - ) - ) - (net N223 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_10__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_10__SW0)) - ) - ) - (net N225 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_0__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_0__SW0)) - ) - ) - (net N229 - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - ) - ) - (net N232 - (joined - (portRef I1 (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - (portRef O (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - ) - ) - (net N279 - (joined - (portRef O (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I0 (instanceRef zpuino_core_decode_jump_renamed_2314)) - ) - ) - (net N281 - (joined - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef LO (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net N283 - (joined - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - (portRef LO (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net N289 - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - ) - ) - (net N29 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net N291 - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_13__SW0)) - ) - ) - (net N293 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9__SW0)) - ) - ) - (net N295 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - ) - ) - (net N297 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15__SW0)) - ) - ) - (net N299 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14__SW0)) - ) - ) - (net N30 - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net N3011 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33__SW0)) - ) - ) - (net N303 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32__SW0)) - ) - ) - (net N305 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30__SW0)) - ) - ) - (net N307 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23__SW0)) - ) - ) - (net N309 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22__SW0)) - ) - ) - (net N311 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19__SW0)) - ) - ) - (net N313 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - ) - ) - (net N315 - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10__SW0)) - ) - ) - (net N325 - (joined - (portRef D (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef O (instanceRef WING_B_8_IOBUF)) - ) - ) - (net N326 - (joined - (portRef D (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef O (instanceRef WING_B_9_IOBUF)) - ) - ) - (net N327 - (joined - (portRef D (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef O (instanceRef WING_B_10_IOBUF)) - ) - ) - (net N328 - (joined - (portRef D (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef O (instanceRef WING_B_11_IOBUF)) - ) - ) - (net N329 - (joined - (portRef D (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef O (instanceRef WING_B_12_IOBUF)) - ) - ) - (net N330 - (joined - (portRef D (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef O (instanceRef WING_B_13_IOBUF)) - ) - ) - (net N331 - (joined - (portRef D (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef O (instanceRef WING_B_14_IOBUF)) - ) - ) - (net N332 - (joined - (portRef D (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef O (instanceRef WING_B_15_IOBUF)) - ) - ) - (net N333 - (joined - (portRef D (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef O (instanceRef WING_C_10_IOBUF)) - ) - ) - (net N334 - (joined - (portRef D (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef O (instanceRef WING_C_11_IOBUF)) - ) - ) - (net N335 - (joined - (portRef D (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef O (instanceRef WING_C_0_IOBUF)) - ) - ) - (net N336 - (joined - (portRef D (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef O (instanceRef WING_C_12_IOBUF)) - ) - ) - (net N337 - (joined - (portRef D (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef O (instanceRef WING_C_1_IOBUF)) - ) - ) - (net N338 - (joined - (portRef D (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef O (instanceRef WING_C_13_IOBUF)) - ) - ) - (net N339 - (joined - (portRef D (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef O (instanceRef WING_C_14_IOBUF)) - ) - ) - (net N340 - (joined - (portRef D (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef O (instanceRef WING_C_15_IOBUF)) - ) - ) - (net N341 - (joined - (portRef D (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef O (instanceRef WING_C_8_IOBUF)) - ) - ) - (net N342 - (joined - (portRef D (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef O (instanceRef WING_C_9_IOBUF)) - ) - ) - (net N343 - (joined - (portRef D (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef O (instanceRef WING_A_15_IOBUF)) - ) - ) - (net N344 - (joined - (portRef D (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef O (instanceRef WING_A_14_IOBUF)) - ) - ) - (net N345 - (joined - (portRef D (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef O (instanceRef WING_A_13_IOBUF)) - ) - ) - (net N346 - (joined - (portRef D (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef O (instanceRef WING_A_12_IOBUF)) - ) - ) - (net N347 - (joined - (portRef D (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef O (instanceRef WING_A_11_IOBUF)) - ) - ) - (net N348 - (joined - (portRef D (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef O (instanceRef WING_A_10_IOBUF)) - ) - ) - (net N349 - (joined - (portRef D (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef O (instanceRef WING_A_9_IOBUF)) - ) - ) - (net N350 - (joined - (portRef D (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef O (instanceRef WING_A_8_IOBUF)) - ) - ) - (net N351 - (joined - (portRef D (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef O (instanceRef WING_A_7_IOBUF)) - ) - ) - (net N352 - (joined - (portRef D (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef O (instanceRef WING_A_6_IOBUF)) - ) - ) - (net N353 - (joined - (portRef D (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef O (instanceRef WING_A_5_IOBUF)) - ) - ) - (net N354 - (joined - (portRef D (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef O (instanceRef WING_A_4_IOBUF)) - ) - ) - (net N355 - (joined - (portRef D (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef O (instanceRef WING_A_3_IOBUF)) - ) - ) - (net N356 - (joined - (portRef D (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef O (instanceRef WING_A_2_IOBUF)) - ) - ) - (net N357 - (joined - (portRef D (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef O (instanceRef WING_A_1_IOBUF)) - ) - ) - (net N358 - (joined - (portRef D (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef O (instanceRef WING_A_0_IOBUF)) - ) - ) - (net N36 - (joined - (portRef O (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I3 (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - ) - ) - (net N38 - (joined - (portRef O (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I3 (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - ) - ) - (net N4 - (joined - (portRef O (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I3 (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - ) - ) - (net N40 - (joined - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - ) - ) - (net N408 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - ) - ) - (net N410 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - ) - ) - (net N412 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - ) - ) - (net N414 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - ) - ) - (net N416 - (joined - (portRef O (instanceRef zpuino_core_exu_busy42_SW0)) - (portRef I1 (instanceRef zpuino_core_pfu_busy1)) - ) - ) - (net N436 - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - ) - ) - (net N438 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_4_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_4_29)) - ) - ) - (net N439 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_4_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_4_29)) - ) - ) - (net N44 - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002311)) - ) - ) - (net N440 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_3_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_3_29)) - ) - ) - (net N4411 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_3_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_3_29)) - ) - ) - (net N442 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_2_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_2_29)) - ) - ) - (net N443 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_2_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_2_29)) - ) - ) - (net N444 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_1_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_1_29)) - ) - ) - (net N445 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_1_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_1_29)) - ) - ) - (net N446 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_7_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_7_29)) - ) - ) - (net N447 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_7_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_7_29)) - ) - ) - (net N448 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_6_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_6_29)) - ) - ) - (net N449 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_6_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_6_29)) - ) - ) - (net N450 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_5_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_5_29)) - ) - ) - (net N451 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_5_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_5_29)) - ) - ) - (net N452 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_0_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_0_29)) - ) - ) - (net N453 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_0_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_0_29)) - ) - ) - (net N454 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - ) - ) - (net N456 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - ) - ) - (net N458 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - ) - ) - (net N460 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - ) - ) - (net N462 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - ) - ) - (net N464 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - ) - ) - (net N466 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - ) - ) - (net N468 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - ) - ) - (net N470 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - ) - ) - (net N472 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - ) - ) - (net N474 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - ) - ) - (net N476 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - ) - ) - (net N478 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - ) - ) - (net N480 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - ) - ) - (net N482 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - ) - ) - (net N484 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - ) - ) - (net N486 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - ) - ) - (net N488 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - ) - ) - (net N490 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - ) - ) - (net N492 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - ) - ) - (net N494 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - ) - ) - (net N496 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - ) - ) - (net N498 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - ) - ) - (net N5 - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - (portRef I3 (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - (portRef I0 (instanceRef zpuino_core_exr_wb_cyc_mux0001282)) - (portRef O (instanceRef zpuino_core_stack_a_addr_10_11)) - ) - ) - (net N500 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - ) - ) - (net N502 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - ) - ) - (net N504 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - ) - ) - (net N506 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - ) - ) - (net N508 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - ) - ) - (net N510 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - ) - ) - (net N512 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - ) - ) - (net N514 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - ) - ) - (net N516 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - ) - ) - (net N518 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - ) - ) - (net N520 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - ) - ) - (net N522 - (joined - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef LO (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - ) - ) - (net N528 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - ) - ) - (net N530 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - ) - ) - (net N532 - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - ) - ) - (net N536 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - ) - ) - (net N538 - (joined - (portRef I2 (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef LO (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net N540 - (joined - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd2_In15_SW0)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - ) - ) - (net N542 - (joined - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef LO (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0)) - ) - ) - (net N548 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000241103_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000241103)) - ) - ) - (net N550 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - ) - ) - (net N552 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - ) - ) - (net N554 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - ) - ) - (net N556 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - ) - ) - (net N558 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - ) - ) - (net N560 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - ) - ) - (net N562 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - ) - ) - (net N564 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - ) - ) - (net N566 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - ) - ) - (net N568 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - ) - ) - (net N570 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - ) - ) - (net N572 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - ) - ) - (net N574 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - ) - ) - (net N576 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - ) - ) - (net N578 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - ) - ) - (net N58 - (joined - (portRef O (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I3 (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - (portRef I3 (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - ) - ) - (net N580 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - ) - ) - (net N582 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - ) - ) - (net N584 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - ) - ) - (net N586 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - ) - ) - (net N588 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - ) - ) - (net N590 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - ) - ) - (net N592 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - ) - ) - (net N594 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - ) - ) - (net N596 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - ) - ) - (net N598 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - ) - ) - (net N6 - (joined - (portRef O (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - ) - ) - (net N600 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - ) - ) - (net N601 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net N602 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - ) - ) - (net N604 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - ) - ) - (net N606 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - ) - ) - (net N608 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - ) - ) - (net N610 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - ) - ) - (net N612 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - ) - ) - (net N614 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - ) - ) - (net N616 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - ) - ) - (net N618 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - (portRef LO (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - ) - ) - (net N620 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - (portRef LO (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - ) - ) - (net N622 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - (portRef LO (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - ) - ) - (net N624 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - (portRef LO (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - ) - ) - (net N626 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net N628 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net N630 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net N632 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net N634 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net N636 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net N638 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net N640 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net N642 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net N644 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net N646 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net N648 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net N650 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net N652 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net N654 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net N656 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net N658 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net N660 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net N662 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net N664 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net N666 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net N668 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net N670 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net N672 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net N674 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net N676 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net N678 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net N680 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net N682 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net N684 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net N686 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net N688 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net N690 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net N692 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net N694 - (joined - (portRef I3 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5)) - ) - ) - (net N696 - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - ) - ) - (net N698 - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net N700 - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - ) - ) - (net N708 - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - ) - ) - (net N710 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - ) - ) - (net N712 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - ) - ) - (net N714 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - ) - ) - (net N716 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - ) - ) - (net N718 - (joined - (portRef O (instanceRef zpuino_io_io_device_ack118_SW0)) - (portRef I1 (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - ) - ) - (net N726 - (joined - (portRef O (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - ) - ) - (net N728 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - ) - ) - (net N730 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - ) - ) - (net N732 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - ) - ) - (net N734 - (joined - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef LO (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - ) - ) - (net N736 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - ) - ) - (net N738 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - ) - ) - (net N740 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - ) - ) - (net N742 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - ) - ) - (net N744 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - ) - ) - (net N746 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - ) - ) - (net N748 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - ) - ) - (net N750 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - ) - ) - (net N752 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - ) - ) - (net N754 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - ) - ) - (net N756 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - ) - ) - (net N758 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - ) - ) - (net N760 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - ) - ) - (net N762 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - ) - ) - (net N764 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - ) - ) - (net N766 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - ) - ) - (net N768 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - ) - ) - (net N770 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - ) - ) - (net N772 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - ) - ) - (net N774 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - ) - ) - (net N776 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - ) - ) - (net N778 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - ) - ) - (net N78 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000241103)) - ) - ) - (net N780 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - ) - ) - (net N782 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net N784 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002149_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - ) - ) - (net N786 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux0002143_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - ) - ) - (net N788 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux0002143_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - ) - ) - (net N790 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002164_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - ) - ) - (net N792 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002164_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - ) - ) - (net N794 - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - (portRef LO (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - ) - ) - (net N796 - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - (portRef LO (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net N798 - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_SW1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - ) - ) - (net N8 - (joined - (portRef O (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I3 (instanceRef slot9_h_sync_tick_cmp_eq00001)) - ) - ) - (net N800 - (joined - (portRef O (instanceRef sigmadelta_inst_le_q_not0001_SW1)) - (portRef I3 (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - ) - ) - (net N806 - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd11_In_SW1)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - ) - ) - (net N808 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux0002143_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net N810 - (joined - (portRef O (instanceRef slot1_zspiclk_clkrise_i_or0000_SW1)) - (portRef I0 (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - ) - ) - (net N812 - (joined - (portRef O (instanceRef slot1_zspiclk_clkfall_i_or0000_SW1)) - (portRef I2 (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - ) - ) - (net N814 - (joined - (portRef O (instanceRef slot0_zspiclk_clkrise_i_or0000_SW1)) - (portRef I0 (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - ) - ) - (net N816 - (joined - (portRef O (instanceRef slot0_zspiclk_clkfall_i_or0000_SW1)) - (portRef I2 (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - ) - ) - (net N818 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - ) - ) - (net N820 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - ) - ) - (net N822 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - ) - ) - (net N824 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - ) - ) - (net N826 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - ) - ) - (net N828 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002125_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net N830 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002140_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - ) - ) - (net N832 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002140_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - ) - ) - (net N834 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - (portRef LO (instanceRef zpuino_core_w1_tos_8_mux0002119_SW0)) - ) - ) - (net N836 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux0002119_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - ) - ) - (net N838 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux0002119_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - ) - ) - (net N840 - (joined - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5)) - ) - ) - (net N842 - (joined - (portRef O (instanceRef slot0_spi_en_or0000_SW1)) - (portRef I3 (instanceRef slot0_spi_en_or0000_renamed_2173)) - ) - ) - (net N844 - (joined - (portRef O (instanceRef slot1_spi_en_or0000_SW1)) - (portRef I3 (instanceRef slot1_spi_en_or0000_renamed_2174)) - ) - ) - (net N846 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_43_SW0)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - ) - ) - (net N848 - (joined - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133)) - (portRef LO (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - ) - ) - (net N850 - (joined - (portRef I2 (instanceRef zpuino_io_io_device_ack211)) - (portRef O (instanceRef zpuino_io_io_device_ack211_SW1)) - ) - ) - (net N852 - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - ) - ) - (net N854 - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - ) - ) - (net N856 - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - ) - ) - (net N858 - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net N860 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - ) - ) - (net N862 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef LO (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - ) - ) - (net N864 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef LO (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - ) - ) - (net N866 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef LO (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - ) - ) - (net N868 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef LO (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - ) - ) - (net N870 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - ) - ) - (net N872 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - ) - ) - (net N874 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef LO (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - ) - ) - (net N876 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef LO (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - ) - ) - (net N878 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef LO (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - ) - ) - (net N880 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef LO (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - ) - ) - (net N881 - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025138)) - ) - ) - (net N882 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef LO (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - ) - ) - (net N884 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef LO (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - ) - ) - (net N886 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef LO (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - ) - ) - (net N888 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef LO (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - ) - ) - (net N890 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef LO (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - ) - ) - (net N891 - (joined - (portRef I3 (instanceRef zpuino_core_stack_a_enable)) - (portRef O (instanceRef zpuino_core_stack_a_enable21)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_31_2_renamed_1243)) - (portRef I0 (instanceRef zpuino_core_stack_b_enable40)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_0_12)) - ) - ) - (net N892 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef LO (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - ) - ) - (net N894 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef LO (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - ) - ) - (net N896 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef LO (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - ) - ) - (net N898 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef LO (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - ) - ) - (net N900 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef LO (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - ) - ) - (net N901 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000331)) - ) - ) - (net N902 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef LO (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - ) - ) - (net N904 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef LO (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - ) - ) - (net N906 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef LO (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net N910 - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - ) - ) - (net N912 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - ) - ) - (net N916 - (joined - (portRef O (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I2 (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - ) - ) - (net N918 - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_14)) - ) - ) - (net N924 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - ) - ) - (net N926 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net N928 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - ) - ) - (net N932 - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - ) - ) - (net N934 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux0002140)) - ) - ) - (net N936 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux0002140)) - ) - ) - (net N938 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux0002140)) - ) - ) - (net N94 - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_9__)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - ) - ) - (net N940 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux0002140)) - ) - ) - (net N942 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux0002140)) - ) - ) - (net N944 - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux0002140)) - (portRef LO (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net N946 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux0002140)) - ) - ) - (net N948 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux0002140)) - ) - ) - (net N950 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux0002144)) - ) - ) - (net N952 - (joined - (portRef O (instanceRef zpuino_core_decr_valid_mux0000_SW1)) - (portRef I1 (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - ) - ) - (net N954 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - ) - ) - (net N956 - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0)) - ) - ) - (net N958 - (joined - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0)) - ) - ) - (net N960 - (joined - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000382)) - ) - ) - (net N961 - (joined - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000382)) - ) - ) - (net N962 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212)) - ) - ) - (net N963 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_G)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212)) - ) - ) - (net N964 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213)) - ) - ) - (net N965 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213)) - ) - ) - (net N966 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_F)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214)) - ) - ) - (net N967 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214)) - ) - ) - (net N968 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215)) - ) - ) - (net N969 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_G)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215)) - ) - ) - (net N97 - (joined - (portRef O (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I0 (instanceRef zpuino_core_stack_a_enable)) - ) - ) - (net N970 - (joined - (portRef O (instanceRef crc16_inst_ready_q_not0001_F)) - (portRef I0 (instanceRef crc16_inst_ready_q_not0001_renamed_2216)) - ) - ) - (net N971 - (joined - (portRef O (instanceRef crc16_inst_ready_q_not0001_G)) - (portRef I1 (instanceRef crc16_inst_ready_q_not0001_renamed_2216)) - ) - ) - (net N972 - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000184_renamed_2217)) - ) - ) - (net N973 - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000184_renamed_2217)) - ) - ) - (net N974 - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux000184_F)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000184_renamed_2218)) - ) - ) - (net N975 - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux000184_G)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000184_renamed_2218)) - ) - ) - (net N976 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_123_F)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_123)) - ) - ) - (net N977 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_123_G)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_123)) - ) - ) - (net N978 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_123_F)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_123)) - ) - ) - (net N979 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_123_G)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_123)) - ) - ) - (net N980 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_130_F)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_130)) - ) - ) - (net N981 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_130_G)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_130)) - ) - ) - (net N982 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_8_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_8_49)) - ) - ) - (net N983 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_8_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_8_49)) - ) - ) - (net N984 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_15_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_15_49)) - ) - ) - (net N985 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_15_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_15_49)) - ) - ) - (net N986 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_14_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_14_49)) - ) - ) - (net N987 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_14_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_14_49)) - ) - ) - (net N988 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_13_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_13_49)) - ) - ) - (net N989 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_13_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_13_49)) - ) - ) - (net N990 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_12_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_12_49)) - ) - ) - (net N991 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_12_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_12_49)) - ) - ) - (net N992 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_11_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_11_49)) - ) - ) - (net N993 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_11_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_11_49)) - ) - ) - (net N994 - (joined - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_F)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44)) - ) - ) - (net N995 - (joined - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44)) - ) - ) - (net N996 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_23__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_23__)) - ) - ) - (net N997 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_23__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_23__)) - ) - ) - (net N998 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_22__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_22__)) - ) - ) - (net N999 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_22__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_22__)) - ) - ) - (net RXD - (joined - (portRef RXD) - (portRef I (instanceRef RXD_IBUF_renamed_1684)) - ) - ) - (net RXD_IBUF - (joined - (portRef O (instanceRef RXD_IBUF_renamed_1684)) - (portRef D (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - ) - ) - (net (rename Result_0_ "Result<0>") - (joined - (portRef D (instanceRef slot9_voff_0)) - (portRef O (instanceRef slot9_Mcount_voff_xor_0_11_INV_0)) - ) - ) - (net (rename Result_0_1 "Result<0>1") - (joined - (portRef D (instanceRef slot9_vcount_q_0)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_0__)) - ) - ) - (net (rename Result_0_2 "Result<0>2") - (joined - (portRef D (instanceRef slot9_hoff_0)) - (portRef O (instanceRef slot9_Mcount_hoff_xor_0_11_INV_0)) - ) - ) - (net (rename Result_0_3 "Result<0>3") - (joined - (portRef D (instanceRef slot9_hcount_q_0)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_0__)) - ) - ) - (net (rename Result_0_4 "Result<0>4") - (joined - (portRef D (instanceRef slot9_hdisp_2)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_0__)) - ) - ) - (net (rename Result_10_ "Result<10>") - (joined - (portRef D (instanceRef slot9_hcount_q_10)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_10__)) - ) - ) - (net (rename Result_10_1 "Result<10>1") - (joined - (portRef D (instanceRef slot9_hdisp_12)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_10__)) - ) - ) - (net (rename Result_10_2 "Result<10>2") - (joined - (portRef D (instanceRef slot9_vga_v_offset_10)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_10__)) - ) - ) - (net (rename Result_11_ "Result<11>") - (joined - (portRef D (instanceRef slot9_hdisp_13)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_11__)) - ) - ) - (net (rename Result_11_1 "Result<11>1") - (joined - (portRef D (instanceRef slot9_vga_v_offset_11)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_11__)) - ) - ) - (net (rename Result_12_ "Result<12>") - (joined - (portRef D (instanceRef slot9_vga_v_offset_12)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_12__)) - ) - ) - (net (rename Result_13_ "Result<13>") - (joined - (portRef D (instanceRef slot9_vga_v_offset_13)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_13__)) - ) - ) - (net (rename Result_14_ "Result<14>") - (joined - (portRef D (instanceRef slot9_vga_v_offset_14)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_14__)) - ) - ) - (net (rename Result_1_ "Result<1>") - (joined - (portRef D (instanceRef slot9_voff_1)) - (portRef O (instanceRef slot9_Mcount_voff_xor_1_11)) - ) - ) - (net (rename Result_1_1 "Result<1>1") - (joined - (portRef D (instanceRef slot9_vcount_q_1)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_1__)) - ) - ) - (net (rename Result_1_2 "Result<1>2") - (joined - (portRef D (instanceRef slot9_hoff_1)) - (portRef O (instanceRef slot9_Mcount_hoff_xor_1_11)) - ) - ) - (net (rename Result_1_3 "Result<1>3") - (joined - (portRef D (instanceRef slot9_hcount_q_1)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_1__)) - ) - ) - (net (rename Result_1_4 "Result<1>4") - (joined - (portRef D (instanceRef slot9_hdisp_3)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_1__)) - ) - ) - (net (rename Result_2_ "Result<2>") - (joined - (portRef D (instanceRef slot9_voff_2)) - (portRef O (instanceRef slot9_Mcount_voff_xor_2_11)) - ) - ) - (net (rename Result_2_1 "Result<2>1") - (joined - (portRef D (instanceRef slot9_vcount_q_2)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_2__)) - ) - ) - (net (rename Result_2_2 "Result<2>2") - (joined - (portRef D (instanceRef slot9_hoff_2)) - (portRef O (instanceRef slot9_Mcount_hoff_xor_2_11)) - ) - ) - (net (rename Result_2_3 "Result<2>3") - (joined - (portRef D (instanceRef slot9_hcount_q_2)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_2__)) - ) - ) - (net (rename Result_2_4 "Result<2>4") - (joined - (portRef D (instanceRef slot9_hdisp_4)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_2__)) - ) - ) - (net (rename Result_3_ "Result<3>") - (joined - (portRef D (instanceRef slot9_vcount_q_3)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_3__)) - ) - ) - (net (rename Result_3_1 "Result<3>1") - (joined - (portRef D (instanceRef slot9_hcount_q_3)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_3__)) - ) - ) - (net (rename Result_3_2 "Result<3>2") - (joined - (portRef D (instanceRef slot9_hdisp_5)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_3__)) - ) - ) - (net (rename Result_4_ "Result<4>") - (joined - (portRef D (instanceRef slot9_vcount_q_4)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_4__)) - ) - ) - (net (rename Result_4_1 "Result<4>1") - (joined - (portRef D (instanceRef slot9_hcount_q_4)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_4__)) - ) - ) - (net (rename Result_4_2 "Result<4>2") - (joined - (portRef D (instanceRef slot9_hdisp_6)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_4__)) - ) - ) - (net (rename Result_5_ "Result<5>") - (joined - (portRef D (instanceRef slot9_vcount_q_5)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_5__)) - ) - ) - (net (rename Result_5_1 "Result<5>1") - (joined - (portRef D (instanceRef slot9_hcount_q_5)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_5__)) - ) - ) - (net (rename Result_5_2 "Result<5>2") - (joined - (portRef D (instanceRef slot9_hdisp_7)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_5__)) - ) - ) - (net (rename Result_5_3 "Result<5>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_5)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_5__)) - ) - ) - (net (rename Result_6_ "Result<6>") - (joined - (portRef D (instanceRef slot9_vcount_q_6)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_6__)) - ) - ) - (net (rename Result_6_1 "Result<6>1") - (joined - (portRef D (instanceRef slot9_hcount_q_6)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_6__)) - ) - ) - (net (rename Result_6_2 "Result<6>2") - (joined - (portRef D (instanceRef slot9_hdisp_8)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_6__)) - ) - ) - (net (rename Result_6_3 "Result<6>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_6)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_6__)) - ) - ) - (net (rename Result_7_ "Result<7>") - (joined - (portRef D (instanceRef slot9_vcount_q_7)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_7__)) - ) - ) - (net (rename Result_7_1 "Result<7>1") - (joined - (portRef D (instanceRef slot9_hcount_q_7)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_7__)) - ) - ) - (net (rename Result_7_2 "Result<7>2") - (joined - (portRef D (instanceRef slot9_hdisp_9)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_7__)) - ) - ) - (net (rename Result_7_3 "Result<7>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_7)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_7__)) - ) - ) - (net (rename Result_8_ "Result<8>") - (joined - (portRef D (instanceRef slot9_vcount_q_8)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_8__)) - ) - ) - (net (rename Result_8_1 "Result<8>1") - (joined - (portRef D (instanceRef slot9_hcount_q_8)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_8__)) - ) - ) - (net (rename Result_8_2 "Result<8>2") - (joined - (portRef D (instanceRef slot9_hdisp_10)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_8__)) - ) - ) - (net (rename Result_8_3 "Result<8>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_8)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_8__)) - ) - ) - (net (rename Result_9_ "Result<9>") - (joined - (portRef D (instanceRef slot9_vcount_q_9)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_9__)) - ) - ) - (net (rename Result_9_1 "Result<9>1") - (joined - (portRef D (instanceRef slot9_hcount_q_9)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_9__)) - ) - ) - (net (rename Result_9_2 "Result<9>2") - (joined - (portRef D (instanceRef slot9_hdisp_11)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_9__)) - ) - ) - (net (rename Result_9_3 "Result<9>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_9)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_9__)) - ) - ) - (net SPI_CS - (joined - (portRef SPI_CS) - (portRef O (instanceRef ospics_obufi)) - ) - ) - (net SPI_MISO - (joined - (portRef SPI_MISO) - (portRef I (instanceRef SPI_MISO_IBUF_renamed_1683)) - ) - ) - (net SPI_MISO_IBUF - (joined - (portRef D (instanceRef slot0_zspi_read_reg_q_0)) - (portRef O (instanceRef SPI_MISO_IBUF_renamed_1683)) - ) - ) - (net SPI_MOSI - (joined - (portRef SPI_MOSI) - (portRef O (instanceRef ospimosi_obufi)) - ) - ) - (net SPI_SCK - (joined - (portRef SPI_SCK) - (portRef O (instanceRef ospiclk_obufi)) - ) - ) - (net TXD - (joined - (portRef TXD) - (portRef O (instanceRef obuftx_obufi)) - ) - ) - (net (rename WING_A_0_ "WING_A<0>") - (joined - (portRef (member WING_A 15)) - (portRef IO (instanceRef WING_A_0_IOBUF)) - ) - ) - (net (rename WING_A_10_ "WING_A<10>") - (joined - (portRef (member WING_A 5)) - (portRef IO (instanceRef WING_A_10_IOBUF)) - ) - ) - (net (rename WING_A_11_ "WING_A<11>") - (joined - (portRef (member WING_A 4)) - (portRef IO (instanceRef WING_A_11_IOBUF)) - ) - ) - (net (rename WING_A_12_ "WING_A<12>") - (joined - (portRef (member WING_A 3)) - (portRef IO (instanceRef WING_A_12_IOBUF)) - ) - ) - (net (rename WING_A_13_ "WING_A<13>") - (joined - (portRef (member WING_A 2)) - (portRef IO (instanceRef WING_A_13_IOBUF)) - ) - ) - (net (rename WING_A_14_ "WING_A<14>") - (joined - (portRef (member WING_A 1)) - (portRef IO (instanceRef WING_A_14_IOBUF)) - ) - ) - (net (rename WING_A_15_ "WING_A<15>") - (joined - (portRef (member WING_A 0)) - (portRef IO (instanceRef WING_A_15_IOBUF)) - ) - ) - (net (rename WING_A_1_ "WING_A<1>") - (joined - (portRef (member WING_A 14)) - (portRef IO (instanceRef WING_A_1_IOBUF)) - ) - ) - (net (rename WING_A_2_ "WING_A<2>") - (joined - (portRef (member WING_A 13)) - (portRef IO (instanceRef WING_A_2_IOBUF)) - ) - ) - (net (rename WING_A_3_ "WING_A<3>") - (joined - (portRef (member WING_A 12)) - (portRef IO (instanceRef WING_A_3_IOBUF)) - ) - ) - (net (rename WING_A_4_ "WING_A<4>") - (joined - (portRef (member WING_A 11)) - (portRef IO (instanceRef WING_A_4_IOBUF)) - ) - ) - (net (rename WING_A_5_ "WING_A<5>") - (joined - (portRef (member WING_A 10)) - (portRef IO (instanceRef WING_A_5_IOBUF)) - ) - ) - (net (rename WING_A_6_ "WING_A<6>") - (joined - (portRef (member WING_A 9)) - (portRef IO (instanceRef WING_A_6_IOBUF)) - ) - ) - (net (rename WING_A_7_ "WING_A<7>") - (joined - (portRef (member WING_A 8)) - (portRef IO (instanceRef WING_A_7_IOBUF)) - ) - ) - (net (rename WING_A_8_ "WING_A<8>") - (joined - (portRef (member WING_A 7)) - (portRef IO (instanceRef WING_A_8_IOBUF)) - ) - ) - (net (rename WING_A_9_ "WING_A<9>") - (joined - (portRef (member WING_A 6)) - (portRef IO (instanceRef WING_A_9_IOBUF)) - ) - ) - (net (rename WING_B_0_ "WING_B<0>") - (joined - (portRef (member WING_B 15)) - (portRef O (instanceRef pin16_obufi)) - ) - ) - (net (rename WING_B_10_ "WING_B<10>") - (joined - (portRef (member WING_B 5)) - (portRef IO (instanceRef WING_B_10_IOBUF)) - ) - ) - (net (rename WING_B_11_ "WING_B<11>") - (joined - (portRef (member WING_B 4)) - (portRef IO (instanceRef WING_B_11_IOBUF)) - ) - ) - (net (rename WING_B_12_ "WING_B<12>") - (joined - (portRef (member WING_B 3)) - (portRef IO (instanceRef WING_B_12_IOBUF)) - ) - ) - (net (rename WING_B_13_ "WING_B<13>") - (joined - (portRef (member WING_B 2)) - (portRef IO (instanceRef WING_B_13_IOBUF)) - ) - ) - (net (rename WING_B_14_ "WING_B<14>") - (joined - (portRef (member WING_B 1)) - (portRef IO (instanceRef WING_B_14_IOBUF)) - ) - ) - (net (rename WING_B_15_ "WING_B<15>") - (joined - (portRef (member WING_B 0)) - (portRef IO (instanceRef WING_B_15_IOBUF)) - ) - ) - (net (rename WING_B_1_ "WING_B<1>") - (joined - (portRef (member WING_B 14)) - (portRef O (instanceRef pin17_obufi)) - ) - ) - (net (rename WING_B_2_ "WING_B<2>") - (joined - (portRef (member WING_B 13)) - (portRef O (instanceRef pin18_obufi)) - ) - ) - (net (rename WING_B_3_ "WING_B<3>") - (joined - (portRef (member WING_B 12)) - (portRef O (instanceRef pin19_obufi)) - ) - ) - (net (rename WING_B_4_ "WING_B<4>") - (joined - (portRef (member WING_B 11)) - (portRef O (instanceRef pin20_obufi)) - ) - ) - (net (rename WING_B_5_ "WING_B<5>") - (joined - (portRef (member WING_B 10)) - (portRef O (instanceRef pin21_obufi)) - ) - ) - (net (rename WING_B_6_ "WING_B<6>") - (joined - (portRef (member WING_B 9)) - (portRef O (instanceRef pin22_obufi)) - ) - ) - (net (rename WING_B_7_ "WING_B<7>") - (joined - (portRef (member WING_B 8)) - (portRef O (instanceRef pin23_obufi)) - ) - ) - (net (rename WING_B_8_ "WING_B<8>") - (joined - (portRef (member WING_B 7)) - (portRef IO (instanceRef WING_B_8_IOBUF)) - ) - ) - (net (rename WING_B_9_ "WING_B<9>") - (joined - (portRef (member WING_B 6)) - (portRef IO (instanceRef WING_B_9_IOBUF)) - ) - ) - (net (rename WING_C_0_ "WING_C<0>") - (joined - (portRef (member WING_C 15)) - (portRef IO (instanceRef WING_C_0_IOBUF)) - ) - ) - (net (rename WING_C_10_ "WING_C<10>") - (joined - (portRef (member WING_C 5)) - (portRef IO (instanceRef WING_C_10_IOBUF)) - ) - ) - (net (rename WING_C_11_ "WING_C<11>") - (joined - (portRef (member WING_C 4)) - (portRef IO (instanceRef WING_C_11_IOBUF)) - ) - ) - (net (rename WING_C_12_ "WING_C<12>") - (joined - (portRef (member WING_C 3)) - (portRef IO (instanceRef WING_C_12_IOBUF)) - ) - ) - (net (rename WING_C_13_ "WING_C<13>") - (joined - (portRef (member WING_C 2)) - (portRef IO (instanceRef WING_C_13_IOBUF)) - ) - ) - (net (rename WING_C_14_ "WING_C<14>") - (joined - (portRef (member WING_C 1)) - (portRef IO (instanceRef WING_C_14_IOBUF)) - ) - ) - (net (rename WING_C_15_ "WING_C<15>") - (joined - (portRef (member WING_C 0)) - (portRef IO (instanceRef WING_C_15_IOBUF)) - ) - ) - (net (rename WING_C_1_ "WING_C<1>") - (joined - (portRef (member WING_C 14)) - (portRef IO (instanceRef WING_C_1_IOBUF)) - ) - ) - (net (rename WING_C_2_ "WING_C<2>") - (joined - (portRef (member WING_C 13)) - (portRef O (instanceRef pin34_obufi)) - ) - ) - (net (rename WING_C_3_ "WING_C<3>") - (joined - (portRef (member WING_C 12)) - (portRef O (instanceRef pin35_obufi)) - ) - ) - (net (rename WING_C_4_ "WING_C<4>") - (joined - (portRef (member WING_C 11)) - (portRef O (instanceRef pin36_obufi)) - ) - ) - (net (rename WING_C_5_ "WING_C<5>") - (joined - (portRef (member WING_C 10)) - (portRef O (instanceRef pin37_obufi)) - ) - ) - (net (rename WING_C_6_ "WING_C<6>") - (joined - (portRef (member WING_C 9)) - (portRef O (instanceRef pin38_obufi)) - ) - ) - (net (rename WING_C_7_ "WING_C<7>") - (joined - (portRef (member WING_C 8)) - (portRef O (instanceRef pin39_obufi)) - ) - ) - (net (rename WING_C_8_ "WING_C<8>") - (joined - (portRef (member WING_C 7)) - (portRef IO (instanceRef WING_C_8_IOBUF)) - ) - ) - (net (rename WING_C_9_ "WING_C<9>") - (joined - (portRef (member WING_C 6)) - (portRef IO (instanceRef WING_C_9_IOBUF)) - ) - ) - (net (rename clkgen_inst_clk0 "clkgen_inst/clk0") - (joined - (portRef I (instanceRef clkgen_inst_clkfb_inst)) - (portRef CLK0 (instanceRef clkgen_inst_DCM_inst)) - ) - ) - (net (rename clkgen_inst_clkfb "clkgen_inst/clkfb") - (joined - (portRef O (instanceRef clkgen_inst_clkfb_inst)) - (portRef CLKFB (instanceRef clkgen_inst_DCM_inst)) - ) - ) - (net (rename clkgen_inst_clkin_i "clkgen_inst/clkin_i") - (joined - (portRef O (instanceRef clkgen_inst_clkin_inst)) - (portRef I (instanceRef clkgen_inst_clkin2_inst)) - (portRef CLKIN (instanceRef clkgen_inst_DCM_inst)) - ) - ) - (net (rename clkgen_inst_clkin_i_2 "clkgen_inst/clkin_i_2") - (joined - (portRef O (instanceRef clkgen_inst_clkin2_inst)) - (portRef CLKIN (instanceRef clkgen_inst_VGADCM_inst)) - ) - ) - (net (rename clkgen_inst_dcmclock "clkgen_inst/dcmclock") - (joined - (portRef I (instanceRef clkgen_inst_clkfx_inst)) - (portRef CLKFX (instanceRef clkgen_inst_DCM_inst)) - ) - ) - (net (rename clkgen_inst_dcmlocked "clkgen_inst/dcmlocked") - (joined - (portRef LOCKED (instanceRef clkgen_inst_DCM_inst)) - (portRef I (instanceRef clkgen_inst_dcmlocked_inv1_INV_0)) - ) - ) - (net (rename clkgen_inst_dcmlocked_inv "clkgen_inst/dcmlocked_inv") - (joined - (portRef PRE (instanceRef clkgen_inst_rst2_q_renamed_811)) - (portRef PRE (instanceRef clkgen_inst_rst1_q_renamed_810)) - (portRef O (instanceRef clkgen_inst_dcmlocked_inv1_INV_0)) - ) - ) - (net (rename clkgen_inst_rst1_q "clkgen_inst/rst1_q") - (joined - (portRef R (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef R (instanceRef rstgen_rstcount_0)) - (portRef R (instanceRef rstgen_rstcount_1)) - (portRef R (instanceRef rstgen_rstcount_2)) - (portRef R (instanceRef rstgen_rstcount_5)) - (portRef R (instanceRef rstgen_rstcount_3)) - (portRef R (instanceRef rstgen_rstcount_4)) - (portRef R (instanceRef rstgen_rstcount_6)) - (portRef R (instanceRef rstgen_rstcount_7)) - (portRef R (instanceRef rstgen_rstcount_8)) - (portRef R (instanceRef rstgen_rstcount_9)) - (portRef R (instanceRef rstgen_rstcount_10)) - (portRef R (instanceRef rstgen_rstcount_11)) - (portRef S (instanceRef rstgen_rstcount_12)) - (portRef R (instanceRef rstgen_rstcount_13)) - (portRef R (instanceRef rstgen_rstcount_14)) - (portRef R (instanceRef rstgen_rstcount_15)) - (portRef S (instanceRef rstgen_rstcount_16)) - (portRef S (instanceRef rstgen_rstcount_17)) - (portRef R (instanceRef rstgen_rstcount_20)) - (portRef S (instanceRef rstgen_rstcount_18)) - (portRef R (instanceRef rstgen_rstcount_19)) - (portRef S (instanceRef rstgen_rstcount_21)) - (portRef R (instanceRef rstgen_rstcount_22)) - (portRef R (instanceRef rstgen_rstcount_23)) - (portRef R (instanceRef rstgen_rstcount_24)) - (portRef R (instanceRef rstgen_rstcount_25)) - (portRef R (instanceRef rstgen_rstcount_26)) - (portRef R (instanceRef rstgen_rstcount_27)) - (portRef R (instanceRef rstgen_rstcount_28)) - (portRef R (instanceRef rstgen_rstcount_29)) - (portRef R (instanceRef rstgen_rstcount_30)) - (portRef R (instanceRef rstgen_rstcount_31)) - (portRef Q (instanceRef clkgen_inst_rst1_q_renamed_810)) - (portRef I0 (instanceRef zpuino_core_shl_done_or00001)) - (portRef I0 (instanceRef zpuino_io_addr_save_q_or00001)) - (portRef I3 (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - (portRef I0 (instanceRef zpuino_core_exr_tos_save_not00011)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_10_11)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_wb_rst_i_inv1)) - (portRef I0 (instanceRef zpuino_memory_rom_do_wait_or00001)) - (portRef I0 (instanceRef zpuino_memory_ramregs_do_wait_or00001)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I2 (instanceRef uart_inst_dready_q_or00001)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I2 (instanceRef slot11_dready_q_or00001)) - (portRef I0 (instanceRef zpuino_io_write_save_q_not00011)) - (portRef I0 (instanceRef zpuino_io_io_we_or0000_inv1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_not00021)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_not00021)) - (portRef I0 (instanceRef uart_inst_fifo_instance__and000011)) - (portRef I2 (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - (portRef I1 (instanceRef crc16_inst_data_q_not00011)) - (portRef I1 (instanceRef zpuino_io_io_cyc_or0000_inv1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_ien_q_or00001)) - (portRef I0 (instanceRef zpuino_core_prefr_pc_or0000_inv1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_served_q_not00011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000119)) - (portRef I0 (instanceRef zpuino_core_prefr_valid_or00001)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011)) - (portRef I0 (instanceRef uart_inst_tx_timer_clkout_or00001)) - (portRef I0 (instanceRef slot11_tx_timer_clkout_or00001)) - (portRef I0 (instanceRef uart_inst_tx_core_t_r_not00011)) - (portRef I0 (instanceRef slot11_tx_core_t_r_not00011)) - (portRef I0 (instanceRef slot1_zspi_read_reg_q_not00011)) - (portRef I0 (instanceRef slot0_zspi_read_reg_q_not00011)) - (portRef I0 (instanceRef slot1_zspiclk_clk_i_or00001)) - (portRef I0 (instanceRef slot0_zspiclk_clk_i_or00001)) - (portRef I0 (instanceRef uart_inst_rx_timer_clkout_or00001)) - (portRef I0 (instanceRef slot11_rx_timer_clkout_or00001)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - (portRef I0 (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - (portRef I2 (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - (portRef I0 (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - (portRef I2 (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - (portRef I0 (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - (portRef I0 (instanceRef slot0_spi_en_or0000_renamed_2173)) - (portRef I0 (instanceRef slot1_spi_en_or0000_renamed_2174)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133)) - (portRef I1 (instanceRef zpuino_rom_wb_cti_i_0_1)) - (portRef I1 (instanceRef zpuino_core_shl_output_not00011)) - (portRef I0 (instanceRef zpuino_io_addr_save_q_not00011)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - (portRef I0 (instanceRef slot1_zspiclk_running_q_or00001)) - (portRef I0 (instanceRef slot0_zspiclk_running_q_or00001)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - (portRef I1 (instanceRef rstgen_rstout_or00001)) - (portRef I2 (instanceRef zpuino_io_io_stb_not00011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - (portRef I1 (instanceRef rstgen_rstout_or00001_1_renamed_2219)) - ) - ) - (net (rename clkgen_inst_rst2_q "clkgen_inst/rst2_q") - (joined - (portRef Q (instanceRef clkgen_inst_rst2_q_renamed_811)) - (portRef D (instanceRef clkgen_inst_rst1_q_renamed_810)) - ) - ) - (net (rename clkgen_inst_vgaclk_0_b "clkgen_inst/vgaclk_0_b") - (joined - (portRef I (instanceRef clkgen_inst_vgaclkfb_inst)) - (portRef CLK0 (instanceRef clkgen_inst_VGADCM_inst)) - ) - ) - (net (rename clkgen_inst_vgaclk_fb "clkgen_inst/vgaclk_fb") - (joined - (portRef O (instanceRef clkgen_inst_vgaclkfb_inst)) - (portRef CLKFB (instanceRef clkgen_inst_VGADCM_inst)) - ) - ) - (net (rename clkgen_inst_vgaclk_fx_b "clkgen_inst/vgaclk_fx_b") - (joined - (portRef I (instanceRef clkgen_inst_vgaclkfx_inst)) - (portRef CLKFX (instanceRef clkgen_inst_VGADCM_inst)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_0__3 "crc16_inst/Mmux_wb_dat_o<0>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_0__3_renamed_792)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_0__4 "crc16_inst/Mmux_wb_dat_o<0>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_0__4_renamed_793)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_10__3 "crc16_inst/Mmux_wb_dat_o<10>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_10__3_renamed_774)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_10__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_10__4 "crc16_inst/Mmux_wb_dat_o<10>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_10__4_renamed_775)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_10__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_11__3 "crc16_inst/Mmux_wb_dat_o<11>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_11__3_renamed_772)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_11__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_11__4 "crc16_inst/Mmux_wb_dat_o<11>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_11__4_renamed_773)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_11__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_12__3 "crc16_inst/Mmux_wb_dat_o<12>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_12__3_renamed_770)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_12__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_12__4 "crc16_inst/Mmux_wb_dat_o<12>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_12__4_renamed_771)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_12__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_13__3 "crc16_inst/Mmux_wb_dat_o<13>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_13__3_renamed_768)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_13__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_13__4 "crc16_inst/Mmux_wb_dat_o<13>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_13__4_renamed_769)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_13__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_14__3 "crc16_inst/Mmux_wb_dat_o<14>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_14__3_renamed_766)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_14__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_14__4 "crc16_inst/Mmux_wb_dat_o<14>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_14__4_renamed_767)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_14__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_15__3 "crc16_inst/Mmux_wb_dat_o<15>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_15__3_renamed_764)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_15__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_15__4 "crc16_inst/Mmux_wb_dat_o<15>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_15__4_renamed_765)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_15__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_1__3 "crc16_inst/Mmux_wb_dat_o<1>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_1__3_renamed_794)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_1__4 "crc16_inst/Mmux_wb_dat_o<1>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_1__4_renamed_795)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_2__3 "crc16_inst/Mmux_wb_dat_o<2>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_2__3_renamed_790)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_2__4 "crc16_inst/Mmux_wb_dat_o<2>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_2__4_renamed_791)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_3__3 "crc16_inst/Mmux_wb_dat_o<3>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_3__3_renamed_788)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_3__4 "crc16_inst/Mmux_wb_dat_o<3>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_3__4_renamed_789)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_4__3 "crc16_inst/Mmux_wb_dat_o<4>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_4__3_renamed_786)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_4__4 "crc16_inst/Mmux_wb_dat_o<4>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_4__4_renamed_787)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_5__3 "crc16_inst/Mmux_wb_dat_o<5>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_5__3_renamed_784)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_5__4 "crc16_inst/Mmux_wb_dat_o<5>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_5__4_renamed_785)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_6__3 "crc16_inst/Mmux_wb_dat_o<6>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_6__3_renamed_782)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_6__4 "crc16_inst/Mmux_wb_dat_o<6>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_6__4_renamed_783)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_7__3 "crc16_inst/Mmux_wb_dat_o<7>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_7__3_renamed_780)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_7__4 "crc16_inst/Mmux_wb_dat_o<7>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_7__4_renamed_781)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_8__3 "crc16_inst/Mmux_wb_dat_o<8>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_8__3_renamed_778)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_8__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_8__4 "crc16_inst/Mmux_wb_dat_o<8>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_8__4_renamed_779)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_8__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_9__3 "crc16_inst/Mmux_wb_dat_o<9>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_9__3_renamed_776)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_9__4 "crc16_inst/Mmux_wb_dat_o<9>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_9__4_renamed_777)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net (rename crc16_inst_N0 "crc16_inst/N0") - (joined - (portRef O (instanceRef crc16_inst_data_q_and000011)) - (portRef I3 (instanceRef crc16_inst_data_q_not00011)) - ) - ) - (net (rename crc16_inst_count_q_0_ "crc16_inst/count_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_count_q_0)) - (portRef I1 (instanceRef crc16_inst_count_q_mux0001_2_1)) - (portRef I1 (instanceRef crc16_inst_count_q_mux0001_1_1)) - (portRef I2 (instanceRef crc16_inst_count_q_mux0001_0_1)) - (portRef I2 (instanceRef crc16_inst_ready_q_not0001_F)) - ) - ) - (net (rename crc16_inst_count_q_1_ "crc16_inst/count_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_count_q_1)) - (portRef I2 (instanceRef crc16_inst_count_q_mux0001_1_1)) - (portRef I3 (instanceRef crc16_inst_count_q_mux0001_0_1)) - (portRef I1 (instanceRef crc16_inst_ready_q_not0001_F)) - ) - ) - (net (rename crc16_inst_count_q_2_ "crc16_inst/count_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_count_q_2)) - (portRef I1 (instanceRef crc16_inst_count_q_mux0001_0_1)) - (portRef I0 (instanceRef crc16_inst_ready_q_not0001_F)) - ) - ) - (net (rename crc16_inst_count_q_mux0001_0_ "crc16_inst/count_q_mux0001<0>") - (joined - (portRef D (instanceRef crc16_inst_count_q_2)) - (portRef O (instanceRef crc16_inst_count_q_mux0001_0_1)) - ) - ) - (net (rename crc16_inst_count_q_mux0001_1_ "crc16_inst/count_q_mux0001<1>") - (joined - (portRef D (instanceRef crc16_inst_count_q_1)) - (portRef O (instanceRef crc16_inst_count_q_mux0001_1_1)) - ) - ) - (net (rename crc16_inst_count_q_mux0001_2_ "crc16_inst/count_q_mux0001<2>") - (joined - (portRef D (instanceRef crc16_inst_count_q_0)) - (portRef O (instanceRef crc16_inst_count_q_mux0001_2_1)) - ) - ) - (net (rename crc16_inst_crcA_q_0_ "crc16_inst/crcA_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_0)) - (portRef D (instanceRef crc16_inst_crcB_q_0)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_0__3_renamed_792)) - ) - ) - (net (rename crc16_inst_crcA_q_1_ "crc16_inst/crcA_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_1)) - (portRef D (instanceRef crc16_inst_crcB_q_1)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_1__3_renamed_794)) - ) - ) - (net (rename crc16_inst_crcA_q_10_ "crc16_inst/crcA_q<10>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_10)) - (portRef D (instanceRef crc16_inst_crcB_q_10)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_10__3_renamed_774)) - ) - ) - (net (rename crc16_inst_crcA_q_11_ "crc16_inst/crcA_q<11>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_11)) - (portRef D (instanceRef crc16_inst_crcB_q_11)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_11__3_renamed_772)) - ) - ) - (net (rename crc16_inst_crcA_q_12_ "crc16_inst/crcA_q<12>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_12)) - (portRef D (instanceRef crc16_inst_crcB_q_12)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_12__3_renamed_770)) - ) - ) - (net (rename crc16_inst_crcA_q_13_ "crc16_inst/crcA_q<13>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_13)) - (portRef D (instanceRef crc16_inst_crcB_q_13)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_13__3_renamed_768)) - ) - ) - (net (rename crc16_inst_crcA_q_14_ "crc16_inst/crcA_q<14>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_14)) - (portRef D (instanceRef crc16_inst_crcB_q_14)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_14__3_renamed_766)) - ) - ) - (net (rename crc16_inst_crcA_q_15_ "crc16_inst/crcA_q<15>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_15)) - (portRef D (instanceRef crc16_inst_crcB_q_15)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_15__3_renamed_764)) - ) - ) - (net (rename crc16_inst_crcA_q_2_ "crc16_inst/crcA_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_2)) - (portRef D (instanceRef crc16_inst_crcB_q_2)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_2__3_renamed_790)) - ) - ) - (net (rename crc16_inst_crcA_q_3_ "crc16_inst/crcA_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_3)) - (portRef D (instanceRef crc16_inst_crcB_q_3)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_3__3_renamed_788)) - ) - ) - (net (rename crc16_inst_crcA_q_4_ "crc16_inst/crcA_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_4)) - (portRef D (instanceRef crc16_inst_crcB_q_4)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_4__3_renamed_786)) - ) - ) - (net (rename crc16_inst_crcA_q_5_ "crc16_inst/crcA_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_5)) - (portRef D (instanceRef crc16_inst_crcB_q_5)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_5__3_renamed_784)) - ) - ) - (net (rename crc16_inst_crcA_q_6_ "crc16_inst/crcA_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_6)) - (portRef D (instanceRef crc16_inst_crcB_q_6)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_6__3_renamed_782)) - ) - ) - (net (rename crc16_inst_crcA_q_7_ "crc16_inst/crcA_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_7)) - (portRef D (instanceRef crc16_inst_crcB_q_7)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_7__3_renamed_780)) - ) - ) - (net (rename crc16_inst_crcA_q_8_ "crc16_inst/crcA_q<8>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_8)) - (portRef D (instanceRef crc16_inst_crcB_q_8)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_8__3_renamed_778)) - ) - ) - (net (rename crc16_inst_crcA_q_9_ "crc16_inst/crcA_q<9>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_9)) - (portRef D (instanceRef crc16_inst_crcB_q_9)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_9__3_renamed_776)) - ) - ) - (net (rename crc16_inst_crcA_q_not0001 "crc16_inst/crcA_q_not0001") - (joined - (portRef CE (instanceRef crc16_inst_crcA_q_0)) - (portRef CE (instanceRef crc16_inst_crcA_q_1)) - (portRef CE (instanceRef crc16_inst_crcA_q_2)) - (portRef CE (instanceRef crc16_inst_crcA_q_3)) - (portRef CE (instanceRef crc16_inst_crcA_q_4)) - (portRef CE (instanceRef crc16_inst_crcA_q_5)) - (portRef CE (instanceRef crc16_inst_crcA_q_6)) - (portRef CE (instanceRef crc16_inst_crcA_q_7)) - (portRef CE (instanceRef crc16_inst_crcA_q_8)) - (portRef CE (instanceRef crc16_inst_crcA_q_9)) - (portRef CE (instanceRef crc16_inst_crcA_q_10)) - (portRef CE (instanceRef crc16_inst_crcA_q_11)) - (portRef CE (instanceRef crc16_inst_crcA_q_12)) - (portRef CE (instanceRef crc16_inst_crcA_q_13)) - (portRef CE (instanceRef crc16_inst_crcA_q_14)) - (portRef CE (instanceRef crc16_inst_crcA_q_15)) - (portRef CE (instanceRef crc16_inst_crcB_q_0)) - (portRef CE (instanceRef crc16_inst_crcB_q_1)) - (portRef CE (instanceRef crc16_inst_crcB_q_2)) - (portRef CE (instanceRef crc16_inst_crcB_q_3)) - (portRef CE (instanceRef crc16_inst_crcB_q_4)) - (portRef CE (instanceRef crc16_inst_crcB_q_5)) - (portRef CE (instanceRef crc16_inst_crcB_q_6)) - (portRef CE (instanceRef crc16_inst_crcB_q_7)) - (portRef CE (instanceRef crc16_inst_crcB_q_8)) - (portRef CE (instanceRef crc16_inst_crcB_q_9)) - (portRef CE (instanceRef crc16_inst_crcB_q_10)) - (portRef CE (instanceRef crc16_inst_crcB_q_11)) - (portRef CE (instanceRef crc16_inst_crcB_q_12)) - (portRef CE (instanceRef crc16_inst_crcB_q_13)) - (portRef CE (instanceRef crc16_inst_crcB_q_14)) - (portRef CE (instanceRef crc16_inst_crcB_q_15)) - (portRef O (instanceRef crc16_inst_crcA_q_not00011)) - ) - ) - (net (rename crc16_inst_crcB_q_0_ "crc16_inst/crcB_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_0)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_0__3_renamed_792)) - ) - ) - (net (rename crc16_inst_crcB_q_1_ "crc16_inst/crcB_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_1)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_1__3_renamed_794)) - ) - ) - (net (rename crc16_inst_crcB_q_10_ "crc16_inst/crcB_q<10>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_10)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_10__3_renamed_774)) - ) - ) - (net (rename crc16_inst_crcB_q_11_ "crc16_inst/crcB_q<11>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_11)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_11__3_renamed_772)) - ) - ) - (net (rename crc16_inst_crcB_q_12_ "crc16_inst/crcB_q<12>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_12)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_12__3_renamed_770)) - ) - ) - (net (rename crc16_inst_crcB_q_13_ "crc16_inst/crcB_q<13>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_13)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_13__3_renamed_768)) - ) - ) - (net (rename crc16_inst_crcB_q_14_ "crc16_inst/crcB_q<14>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_14)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_14__3_renamed_766)) - ) - ) - (net (rename crc16_inst_crcB_q_15_ "crc16_inst/crcB_q<15>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_15)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_15__3_renamed_764)) - ) - ) - (net (rename crc16_inst_crcB_q_2_ "crc16_inst/crcB_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_2)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_2__3_renamed_790)) - ) - ) - (net (rename crc16_inst_crcB_q_3_ "crc16_inst/crcB_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_3)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_3__3_renamed_788)) - ) - ) - (net (rename crc16_inst_crcB_q_4_ "crc16_inst/crcB_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_4)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_4__3_renamed_786)) - ) - ) - (net (rename crc16_inst_crcB_q_5_ "crc16_inst/crcB_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_5)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_5__3_renamed_784)) - ) - ) - (net (rename crc16_inst_crcB_q_6_ "crc16_inst/crcB_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_6)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_6__3_renamed_782)) - ) - ) - (net (rename crc16_inst_crcB_q_7_ "crc16_inst/crcB_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_7)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_7__3_renamed_780)) - ) - ) - (net (rename crc16_inst_crcB_q_8_ "crc16_inst/crcB_q<8>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_8)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_8__3_renamed_778)) - ) - ) - (net (rename crc16_inst_crcB_q_9_ "crc16_inst/crcB_q<9>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_9)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_9__3_renamed_776)) - ) - ) - (net (rename crc16_inst_crc_q_0_ "crc16_inst/crc_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_0)) - (portRef D (instanceRef crc16_inst_crcA_q_0)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_0__4_renamed_793)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_15_12)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - ) - ) - (net (rename crc16_inst_crc_q_1_ "crc16_inst/crc_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_1)) - (portRef D (instanceRef crc16_inst_crcA_q_1)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_1__4_renamed_795)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - ) - ) - (net (rename crc16_inst_crc_q_10_ "crc16_inst/crc_q<10>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_10)) - (portRef D (instanceRef crc16_inst_crcA_q_10)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_10__4_renamed_775)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - ) - ) - (net (rename crc16_inst_crc_q_11_ "crc16_inst/crc_q<11>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_11)) - (portRef D (instanceRef crc16_inst_crcA_q_11)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_11__4_renamed_773)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - ) - ) - (net (rename crc16_inst_crc_q_12_ "crc16_inst/crc_q<12>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_12)) - (portRef D (instanceRef crc16_inst_crcA_q_12)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_12__4_renamed_771)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - ) - ) - (net (rename crc16_inst_crc_q_13_ "crc16_inst/crc_q<13>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_13)) - (portRef D (instanceRef crc16_inst_crcA_q_13)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_13__4_renamed_769)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - ) - ) - (net (rename crc16_inst_crc_q_14_ "crc16_inst/crc_q<14>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_14)) - (portRef D (instanceRef crc16_inst_crcA_q_14)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_14__4_renamed_767)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - ) - ) - (net (rename crc16_inst_crc_q_15_ "crc16_inst/crc_q<15>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_15)) - (portRef D (instanceRef crc16_inst_crcA_q_15)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_15__4_renamed_765)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - ) - ) - (net (rename crc16_inst_crc_q_2_ "crc16_inst/crc_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_2)) - (portRef D (instanceRef crc16_inst_crcA_q_2)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_2__4_renamed_791)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - ) - ) - (net (rename crc16_inst_crc_q_3_ "crc16_inst/crc_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_3)) - (portRef D (instanceRef crc16_inst_crcA_q_3)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_3__4_renamed_789)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - ) - ) - (net (rename crc16_inst_crc_q_4_ "crc16_inst/crc_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_4)) - (portRef D (instanceRef crc16_inst_crcA_q_4)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_4__4_renamed_787)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - ) - ) - (net (rename crc16_inst_crc_q_5_ "crc16_inst/crc_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_5)) - (portRef D (instanceRef crc16_inst_crcA_q_5)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_5__4_renamed_785)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - ) - ) - (net (rename crc16_inst_crc_q_6_ "crc16_inst/crc_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_6)) - (portRef D (instanceRef crc16_inst_crcA_q_6)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_6__4_renamed_783)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - ) - ) - (net (rename crc16_inst_crc_q_7_ "crc16_inst/crc_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_7)) - (portRef D (instanceRef crc16_inst_crcA_q_7)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_7__4_renamed_781)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - ) - ) - (net (rename crc16_inst_crc_q_8_ "crc16_inst/crc_q<8>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_8)) - (portRef D (instanceRef crc16_inst_crcA_q_8)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_8__4_renamed_779)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - ) - ) - (net (rename crc16_inst_crc_q_9_ "crc16_inst/crc_q<9>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_9)) - (portRef D (instanceRef crc16_inst_crcA_q_9)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_9__4_renamed_777)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_0_ "crc16_inst/crc_q_mux0001<0>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_0)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_0__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_0_1 "crc16_inst/crc_q_mux0001<0>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_0__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_10_ "crc16_inst/crc_q_mux0001<10>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_10)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_10__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_10_1 "crc16_inst/crc_q_mux0001<10>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_10__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_11_ "crc16_inst/crc_q_mux0001<11>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_11)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_11__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_11_1 "crc16_inst/crc_q_mux0001<11>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_11__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_12_ "crc16_inst/crc_q_mux0001<12>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_12)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_12__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_12_1 "crc16_inst/crc_q_mux0001<12>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_12__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_13_ "crc16_inst/crc_q_mux0001<13>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_13)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_13__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_13_1 "crc16_inst/crc_q_mux0001<13>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_13__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_14_ "crc16_inst/crc_q_mux0001<14>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_14)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_14__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_14_1 "crc16_inst/crc_q_mux0001<14>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_14__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_15_ "crc16_inst/crc_q_mux0001<15>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_15)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_15_1_f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_15_1 "crc16_inst/crc_q_mux0001<15>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_15_1_f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_15_11 "crc16_inst/crc_q_mux0001<15>11") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_15_12)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_15_1_f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_1_ "crc16_inst/crc_q_mux0001<1>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_1)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_1__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_1_1 "crc16_inst/crc_q_mux0001<1>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_1__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_2_ "crc16_inst/crc_q_mux0001<2>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_2)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_2__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_2_1 "crc16_inst/crc_q_mux0001<2>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_2__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_3_ "crc16_inst/crc_q_mux0001<3>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_3)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_3__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_3_1 "crc16_inst/crc_q_mux0001<3>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_3__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_4_ "crc16_inst/crc_q_mux0001<4>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_4)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_4__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_4_1 "crc16_inst/crc_q_mux0001<4>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_4__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_5_ "crc16_inst/crc_q_mux0001<5>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_5)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_5__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_5_1 "crc16_inst/crc_q_mux0001<5>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_5__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_6_ "crc16_inst/crc_q_mux0001<6>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_6)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_6__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_6_1 "crc16_inst/crc_q_mux0001<6>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_6__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_7_ "crc16_inst/crc_q_mux0001<7>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_7)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_7__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_7_1 "crc16_inst/crc_q_mux0001<7>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_7__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_8_ "crc16_inst/crc_q_mux0001<8>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_8)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_8__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_8_1 "crc16_inst/crc_q_mux0001<8>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_8__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_9_ "crc16_inst/crc_q_mux0001<9>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_9)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_9__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_9_1 "crc16_inst/crc_q_mux0001<9>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_9__f5)) - ) - ) - (net (rename crc16_inst_crc_q_not0002 "crc16_inst/crc_q_not0002") - (joined - (portRef CE (instanceRef crc16_inst_crc_q_0)) - (portRef CE (instanceRef crc16_inst_crc_q_1)) - (portRef CE (instanceRef crc16_inst_crc_q_2)) - (portRef CE (instanceRef crc16_inst_crc_q_3)) - (portRef CE (instanceRef crc16_inst_crc_q_4)) - (portRef CE (instanceRef crc16_inst_crc_q_5)) - (portRef CE (instanceRef crc16_inst_crc_q_6)) - (portRef CE (instanceRef crc16_inst_crc_q_7)) - (portRef CE (instanceRef crc16_inst_crc_q_8)) - (portRef CE (instanceRef crc16_inst_crc_q_9)) - (portRef CE (instanceRef crc16_inst_crc_q_10)) - (portRef CE (instanceRef crc16_inst_crc_q_11)) - (portRef CE (instanceRef crc16_inst_crc_q_12)) - (portRef CE (instanceRef crc16_inst_crc_q_13)) - (portRef CE (instanceRef crc16_inst_crc_q_14)) - (portRef CE (instanceRef crc16_inst_crc_q_15)) - (portRef O (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - ) - ) - (net (rename crc16_inst_data_q_0_ "crc16_inst/data_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_0)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_15_12)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - ) - ) - (net (rename crc16_inst_data_q_1_ "crc16_inst/data_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_1)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_0_1)) - ) - ) - (net (rename crc16_inst_data_q_2_ "crc16_inst/data_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_2)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_1_1)) - ) - ) - (net (rename crc16_inst_data_q_3_ "crc16_inst/data_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_3)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_2_1)) - ) - ) - (net (rename crc16_inst_data_q_4_ "crc16_inst/data_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_4)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_3_1)) - ) - ) - (net (rename crc16_inst_data_q_5_ "crc16_inst/data_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_5)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_4_1)) - ) - ) - (net (rename crc16_inst_data_q_6_ "crc16_inst/data_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_6)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_5_1)) - ) - ) - (net (rename crc16_inst_data_q_7_ "crc16_inst/data_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_7)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_6_1)) - ) - ) - (net (rename crc16_inst_data_q_and0001 "crc16_inst/data_q_and0001") - (joined - (portRef O (instanceRef crc16_inst_data_q_and00011)) - (portRef I1 (instanceRef crc16_inst_data_q_and000011)) - (portRef I1 (instanceRef crc16_inst_crcA_q_not00011)) - (portRef I1 (instanceRef crc16_inst_ready_q_not0001_G)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_0_ "crc16_inst/data_q_mux0000<0>") - (joined - (portRef D (instanceRef crc16_inst_data_q_0)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_0_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_1_ "crc16_inst/data_q_mux0000<1>") - (joined - (portRef D (instanceRef crc16_inst_data_q_1)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_1_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_2_ "crc16_inst/data_q_mux0000<2>") - (joined - (portRef D (instanceRef crc16_inst_data_q_2)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_2_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_3_ "crc16_inst/data_q_mux0000<3>") - (joined - (portRef D (instanceRef crc16_inst_data_q_3)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_3_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_4_ "crc16_inst/data_q_mux0000<4>") - (joined - (portRef D (instanceRef crc16_inst_data_q_4)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_4_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_5_ "crc16_inst/data_q_mux0000<5>") - (joined - (portRef D (instanceRef crc16_inst_data_q_5)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_5_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_6_ "crc16_inst/data_q_mux0000<6>") - (joined - (portRef D (instanceRef crc16_inst_data_q_6)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_6_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_7_ "crc16_inst/data_q_mux0000<7>") - (joined - (portRef D (instanceRef crc16_inst_data_q_7)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_7_1)) - ) - ) - (net (rename crc16_inst_data_q_not0001 "crc16_inst/data_q_not0001") - (joined - (portRef CE (instanceRef crc16_inst_data_q_0)) - (portRef CE (instanceRef crc16_inst_data_q_1)) - (portRef CE (instanceRef crc16_inst_data_q_2)) - (portRef CE (instanceRef crc16_inst_data_q_3)) - (portRef CE (instanceRef crc16_inst_data_q_4)) - (portRef CE (instanceRef crc16_inst_data_q_5)) - (portRef CE (instanceRef crc16_inst_data_q_6)) - (portRef CE (instanceRef crc16_inst_data_q_7)) - (portRef CE (instanceRef crc16_inst_count_q_0)) - (portRef CE (instanceRef crc16_inst_count_q_1)) - (portRef CE (instanceRef crc16_inst_count_q_2)) - (portRef O (instanceRef crc16_inst_data_q_not00011)) - ) - ) - (net (rename crc16_inst_poly_q_0_ "crc16_inst/poly_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_0)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_0__4_renamed_793)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - ) - ) - (net (rename crc16_inst_poly_q_1_ "crc16_inst/poly_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_1)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_1__4_renamed_795)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - ) - ) - (net (rename crc16_inst_poly_q_10_ "crc16_inst/poly_q<10>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_10)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_10__4_renamed_775)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - ) - ) - (net (rename crc16_inst_poly_q_11_ "crc16_inst/poly_q<11>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_11)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_11__4_renamed_773)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - ) - ) - (net (rename crc16_inst_poly_q_12_ "crc16_inst/poly_q<12>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_12)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_12__4_renamed_771)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - ) - ) - (net (rename crc16_inst_poly_q_13_ "crc16_inst/poly_q<13>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_13)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_13__4_renamed_769)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - ) - ) - (net (rename crc16_inst_poly_q_14_ "crc16_inst/poly_q<14>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_14)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_14__4_renamed_767)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - ) - ) - (net (rename crc16_inst_poly_q_15_ "crc16_inst/poly_q<15>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_15)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_15__4_renamed_765)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_15_12)) - ) - ) - (net (rename crc16_inst_poly_q_2_ "crc16_inst/poly_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_2)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_2__4_renamed_791)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - ) - ) - (net (rename crc16_inst_poly_q_3_ "crc16_inst/poly_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_3)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_3__4_renamed_789)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - ) - ) - (net (rename crc16_inst_poly_q_4_ "crc16_inst/poly_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_4)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_4__4_renamed_787)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - ) - ) - (net (rename crc16_inst_poly_q_5_ "crc16_inst/poly_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_5)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_5__4_renamed_785)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - ) - ) - (net (rename crc16_inst_poly_q_6_ "crc16_inst/poly_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_6)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_6__4_renamed_783)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - ) - ) - (net (rename crc16_inst_poly_q_7_ "crc16_inst/poly_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_7)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_7__4_renamed_781)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - ) - ) - (net (rename crc16_inst_poly_q_8_ "crc16_inst/poly_q<8>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_8)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_8__4_renamed_779)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - ) - ) - (net (rename crc16_inst_poly_q_9_ "crc16_inst/poly_q<9>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_9)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_9__4_renamed_777)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - ) - ) - (net (rename crc16_inst_poly_q_not0001 "crc16_inst/poly_q_not0001") - (joined - (portRef CE (instanceRef crc16_inst_poly_q_0)) - (portRef CE (instanceRef crc16_inst_poly_q_1)) - (portRef CE (instanceRef crc16_inst_poly_q_2)) - (portRef CE (instanceRef crc16_inst_poly_q_3)) - (portRef CE (instanceRef crc16_inst_poly_q_4)) - (portRef CE (instanceRef crc16_inst_poly_q_5)) - (portRef CE (instanceRef crc16_inst_poly_q_6)) - (portRef CE (instanceRef crc16_inst_poly_q_7)) - (portRef CE (instanceRef crc16_inst_poly_q_8)) - (portRef CE (instanceRef crc16_inst_poly_q_9)) - (portRef CE (instanceRef crc16_inst_poly_q_10)) - (portRef CE (instanceRef crc16_inst_poly_q_11)) - (portRef CE (instanceRef crc16_inst_poly_q_12)) - (portRef CE (instanceRef crc16_inst_poly_q_13)) - (portRef CE (instanceRef crc16_inst_poly_q_14)) - (portRef CE (instanceRef crc16_inst_poly_q_15)) - (portRef O (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - ) - ) - (net (rename crc16_inst_ready_q "crc16_inst/ready_q") - (joined - (portRef Q (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_7_1)) - (portRef I0 (instanceRef crc16_inst_count_q_mux0001_2_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_6_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_5_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_4_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_3_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_2_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_1_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_0_1)) - (portRef I0 (instanceRef crc16_inst_count_q_mux0001_1_1)) - (portRef I0 (instanceRef crc16_inst_count_q_mux0001_0_1)) - (portRef I0 (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - (portRef I0 (instanceRef crc16_inst_poly_q_not000111)) - (portRef I0 (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - (portRef I0 (instanceRef crc16_inst_data_q_not00011)) - (portRef S (instanceRef crc16_inst_ready_q_not0001_renamed_2216)) - (portRef I (instanceRef crc16_inst_ready_q_mux00001_INV_0)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_15_12)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_9__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_8__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_7__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_6__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_5__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_4__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_3__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_2__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_1__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_14__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_13__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_12__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_11__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_10__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_0__f5)) - ) - ) - (net (rename crc16_inst_ready_q_mux0000 "crc16_inst/ready_q_mux0000") - (joined - (portRef D (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef O (instanceRef crc16_inst_ready_q_mux00001_INV_0)) - ) - ) - (net (rename crc16_inst_ready_q_not0001 "crc16_inst/ready_q_not0001") - (joined - (portRef CE (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef O (instanceRef crc16_inst_ready_q_not0001_renamed_2216)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f5 "gpio_inst/Mmux_wb_dat_o_3_f5") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f51 "gpio_inst/Mmux_wb_dat_o_3_f51") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_0)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f510 "gpio_inst/Mmux_wb_dat_o_3_f510") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_9)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_9)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f511 "gpio_inst/Mmux_wb_dat_o_3_f511") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_10)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f512 "gpio_inst/Mmux_wb_dat_o_3_f512") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_11)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_11)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f513 "gpio_inst/Mmux_wb_dat_o_3_f513") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_12)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_12)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f514 "gpio_inst/Mmux_wb_dat_o_3_f514") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_13)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_13)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f515 "gpio_inst/Mmux_wb_dat_o_3_f515") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_14)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f516 "gpio_inst/Mmux_wb_dat_o_3_f516") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_15)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f517 "gpio_inst/Mmux_wb_dat_o_3_f517") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_16)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f518 "gpio_inst/Mmux_wb_dat_o_3_f518") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_17)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f519 "gpio_inst/Mmux_wb_dat_o_3_f519") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_18)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f52 "gpio_inst/Mmux_wb_dat_o_3_f52") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_1)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f520 "gpio_inst/Mmux_wb_dat_o_3_f520") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_19)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f521 "gpio_inst/Mmux_wb_dat_o_3_f521") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_20)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f522 "gpio_inst/Mmux_wb_dat_o_3_f522") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_21)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f523 "gpio_inst/Mmux_wb_dat_o_3_f523") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_22)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f524 "gpio_inst/Mmux_wb_dat_o_3_f524") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_23)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f525 "gpio_inst/Mmux_wb_dat_o_3_f525") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_24)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f526 "gpio_inst/Mmux_wb_dat_o_3_f526") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_25)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f527 "gpio_inst/Mmux_wb_dat_o_3_f527") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_26)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f528 "gpio_inst/Mmux_wb_dat_o_3_f528") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_27)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f529 "gpio_inst/Mmux_wb_dat_o_3_f529") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_28)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f53 "gpio_inst/Mmux_wb_dat_o_3_f53") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_2)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f530 "gpio_inst/Mmux_wb_dat_o_3_f530") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_29)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f531 "gpio_inst/Mmux_wb_dat_o_3_f531") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_30)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f54 "gpio_inst/Mmux_wb_dat_o_3_f54") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_3)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f55 "gpio_inst/Mmux_wb_dat_o_3_f55") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_4)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f56 "gpio_inst/Mmux_wb_dat_o_3_f56") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_5)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f57 "gpio_inst/Mmux_wb_dat_o_3_f57") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_6)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f58 "gpio_inst/Mmux_wb_dat_o_3_f58") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_7)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_7)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f59 "gpio_inst/Mmux_wb_dat_o_3_f59") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_8)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_8)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4 "gpio_inst/Mmux_wb_dat_o_4") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_renamed_423)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_41 "gpio_inst/Mmux_wb_dat_o_41") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_41_renamed_429)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_410 "gpio_inst/Mmux_wb_dat_o_410") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_412_renamed_465)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_9)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_411 "gpio_inst/Mmux_wb_dat_o_411") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_414_renamed_469)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_412 "gpio_inst/Mmux_wb_dat_o_412") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_415_renamed_473)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_11)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_413 "gpio_inst/Mmux_wb_dat_o_413") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_417_renamed_477)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_12)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_414 "gpio_inst/Mmux_wb_dat_o_414") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_419_renamed_481)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_13)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_415 "gpio_inst/Mmux_wb_dat_o_415") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_421_renamed_485)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_416 "gpio_inst/Mmux_wb_dat_o_416") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_423_renamed_488)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_417 "gpio_inst/Mmux_wb_dat_o_417") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_424_renamed_492)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_418 "gpio_inst/Mmux_wb_dat_o_418") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_425_renamed_496)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_419 "gpio_inst/Mmux_wb_dat_o_419") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_426_renamed_500)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_42 "gpio_inst/Mmux_wb_dat_o_42") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_42_renamed_433)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_420 "gpio_inst/Mmux_wb_dat_o_420") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_427_renamed_504)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_421 "gpio_inst/Mmux_wb_dat_o_421") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_428_renamed_508)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_422 "gpio_inst/Mmux_wb_dat_o_422") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_429_renamed_512)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_423 "gpio_inst/Mmux_wb_dat_o_423") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_430_renamed_516)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_424 "gpio_inst/Mmux_wb_dat_o_424") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_431_renamed_520)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_425 "gpio_inst/Mmux_wb_dat_o_425") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_432)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_426 "gpio_inst/Mmux_wb_dat_o_426") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_433)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_427 "gpio_inst/Mmux_wb_dat_o_427") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_434)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_428 "gpio_inst/Mmux_wb_dat_o_428") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_435)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_429 "gpio_inst/Mmux_wb_dat_o_429") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_436)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_43 "gpio_inst/Mmux_wb_dat_o_43") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_43_renamed_437)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_430 "gpio_inst/Mmux_wb_dat_o_430") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_437)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_431 "gpio_inst/Mmux_wb_dat_o_431") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_438)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_44 "gpio_inst/Mmux_wb_dat_o_44") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_44_renamed_441)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_45 "gpio_inst/Mmux_wb_dat_o_45") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_45_renamed_445)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_46 "gpio_inst/Mmux_wb_dat_o_46") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_46_renamed_449)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_47 "gpio_inst/Mmux_wb_dat_o_47") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_47_renamed_453)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_48 "gpio_inst/Mmux_wb_dat_o_48") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_48_renamed_457)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_7)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_49 "gpio_inst/Mmux_wb_dat_o_49") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_410_renamed_461)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_8)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5 "gpio_inst/Mmux_wb_dat_o_4_f5") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f51 "gpio_inst/Mmux_wb_dat_o_4_f51") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_0)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f510 "gpio_inst/Mmux_wb_dat_o_4_f510") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_9)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f511 "gpio_inst/Mmux_wb_dat_o_4_f511") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_10)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f512 "gpio_inst/Mmux_wb_dat_o_4_f512") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_11)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f513 "gpio_inst/Mmux_wb_dat_o_4_f513") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_12)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f514 "gpio_inst/Mmux_wb_dat_o_4_f514") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_13)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f515 "gpio_inst/Mmux_wb_dat_o_4_f515") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_14)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f516 "gpio_inst/Mmux_wb_dat_o_4_f516") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_15)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f517 "gpio_inst/Mmux_wb_dat_o_4_f517") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_16)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f518 "gpio_inst/Mmux_wb_dat_o_4_f518") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_17)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f519 "gpio_inst/Mmux_wb_dat_o_4_f519") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_18)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f52 "gpio_inst/Mmux_wb_dat_o_4_f52") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_1)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f520 "gpio_inst/Mmux_wb_dat_o_4_f520") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_19)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f521 "gpio_inst/Mmux_wb_dat_o_4_f521") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_20)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f522 "gpio_inst/Mmux_wb_dat_o_4_f522") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_21)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f523 "gpio_inst/Mmux_wb_dat_o_4_f523") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_22)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f524 "gpio_inst/Mmux_wb_dat_o_4_f524") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_23)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f525 "gpio_inst/Mmux_wb_dat_o_4_f525") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_24)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f526 "gpio_inst/Mmux_wb_dat_o_4_f526") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_25)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f527 "gpio_inst/Mmux_wb_dat_o_4_f527") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_26)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f528 "gpio_inst/Mmux_wb_dat_o_4_f528") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_27)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f529 "gpio_inst/Mmux_wb_dat_o_4_f529") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_28)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f53 "gpio_inst/Mmux_wb_dat_o_4_f53") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_2)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f530 "gpio_inst/Mmux_wb_dat_o_4_f530") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_29)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f531 "gpio_inst/Mmux_wb_dat_o_4_f531") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_30)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f54 "gpio_inst/Mmux_wb_dat_o_4_f54") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_3)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f55 "gpio_inst/Mmux_wb_dat_o_4_f55") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_4)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f56 "gpio_inst/Mmux_wb_dat_o_4_f56") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_5)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f57 "gpio_inst/Mmux_wb_dat_o_4_f57") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_6)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f58 "gpio_inst/Mmux_wb_dat_o_4_f58") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_7)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f59 "gpio_inst/Mmux_wb_dat_o_4_f59") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_8)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_11 "gpio_inst/Mmux_wb_dat_o_4_f5_11") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_111)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_12 "gpio_inst/Mmux_wb_dat_o_4_f5_12") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_121)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_13 "gpio_inst/Mmux_wb_dat_o_4_f5_13") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_131)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_7 "gpio_inst/Mmux_wb_dat_o_4_f5_7") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_71)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_8 "gpio_inst/Mmux_wb_dat_o_4_f5_8") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_81)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_9 "gpio_inst/Mmux_wb_dat_o_4_f5_9") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_91)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_5 "gpio_inst/Mmux_wb_dat_o_5") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_5_renamed_424)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_51 "gpio_inst/Mmux_wb_dat_o_51") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_510 "gpio_inst/Mmux_wb_dat_o_510") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_510_renamed_446)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_511 "gpio_inst/Mmux_wb_dat_o_511") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_512 "gpio_inst/Mmux_wb_dat_o_512") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_512_renamed_450)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_513 "gpio_inst/Mmux_wb_dat_o_513") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_514 "gpio_inst/Mmux_wb_dat_o_514") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_514_renamed_454)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_515 "gpio_inst/Mmux_wb_dat_o_515") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_516 "gpio_inst/Mmux_wb_dat_o_516") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_516_renamed_458)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_7)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_517 "gpio_inst/Mmux_wb_dat_o_517") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_517_renamed_459)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_518 "gpio_inst/Mmux_wb_dat_o_518") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_518_renamed_462)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_8)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_519 "gpio_inst/Mmux_wb_dat_o_519") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_519_renamed_463)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_52 "gpio_inst/Mmux_wb_dat_o_52") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_52_renamed_430)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_520 "gpio_inst/Mmux_wb_dat_o_520") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_520_renamed_466)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_9)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_521 "gpio_inst/Mmux_wb_dat_o_521") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_521_renamed_467)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_522 "gpio_inst/Mmux_wb_dat_o_522") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_522_renamed_470)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_523 "gpio_inst/Mmux_wb_dat_o_523") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_524 "gpio_inst/Mmux_wb_dat_o_524") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_524_renamed_474)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_11)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_525 "gpio_inst/Mmux_wb_dat_o_525") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_525_renamed_475)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_526 "gpio_inst/Mmux_wb_dat_o_526") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_526_renamed_478)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_12)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_527 "gpio_inst/Mmux_wb_dat_o_527") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_527_renamed_479)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_528 "gpio_inst/Mmux_wb_dat_o_528") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_528_renamed_482)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_13)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_529 "gpio_inst/Mmux_wb_dat_o_529") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_529_renamed_483)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_53 "gpio_inst/Mmux_wb_dat_o_53") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_530 "gpio_inst/Mmux_wb_dat_o_530") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_530_renamed_486)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_531 "gpio_inst/Mmux_wb_dat_o_531") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_531_renamed_487)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_532 "gpio_inst/Mmux_wb_dat_o_532") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_532_renamed_489)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_533 "gpio_inst/Mmux_wb_dat_o_533") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_533_renamed_490)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_534 "gpio_inst/Mmux_wb_dat_o_534") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_534_renamed_493)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_535 "gpio_inst/Mmux_wb_dat_o_535") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_535_renamed_494)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_536 "gpio_inst/Mmux_wb_dat_o_536") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_536_renamed_497)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_537 "gpio_inst/Mmux_wb_dat_o_537") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_537_renamed_498)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_538 "gpio_inst/Mmux_wb_dat_o_538") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_538_renamed_501)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_539 "gpio_inst/Mmux_wb_dat_o_539") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_539_renamed_502)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_54 "gpio_inst/Mmux_wb_dat_o_54") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_54_renamed_434)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_540 "gpio_inst/Mmux_wb_dat_o_540") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_540_renamed_505)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_541 "gpio_inst/Mmux_wb_dat_o_541") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_541_renamed_506)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_542 "gpio_inst/Mmux_wb_dat_o_542") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_542_renamed_509)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_543 "gpio_inst/Mmux_wb_dat_o_543") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_543_renamed_510)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_544 "gpio_inst/Mmux_wb_dat_o_544") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_544_renamed_513)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_545 "gpio_inst/Mmux_wb_dat_o_545") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_546 "gpio_inst/Mmux_wb_dat_o_546") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_546_renamed_517)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_547 "gpio_inst/Mmux_wb_dat_o_547") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_547_renamed_518)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_548 "gpio_inst/Mmux_wb_dat_o_548") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_548_renamed_521)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_549 "gpio_inst/Mmux_wb_dat_o_549") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_549_renamed_522)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_55 "gpio_inst/Mmux_wb_dat_o_55") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_550 "gpio_inst/Mmux_wb_dat_o_550") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_550_renamed_524)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_551 "gpio_inst/Mmux_wb_dat_o_551") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_552 "gpio_inst/Mmux_wb_dat_o_552") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_552_renamed_527)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_553 "gpio_inst/Mmux_wb_dat_o_553") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_554 "gpio_inst/Mmux_wb_dat_o_554") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_554_renamed_530)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_555 "gpio_inst/Mmux_wb_dat_o_555") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_556 "gpio_inst/Mmux_wb_dat_o_556") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_556_renamed_533)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_557 "gpio_inst/Mmux_wb_dat_o_557") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_558 "gpio_inst/Mmux_wb_dat_o_558") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_558_renamed_536)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_559 "gpio_inst/Mmux_wb_dat_o_559") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_56 "gpio_inst/Mmux_wb_dat_o_56") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_56_renamed_438)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_560 "gpio_inst/Mmux_wb_dat_o_560") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_560_renamed_539)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_561 "gpio_inst/Mmux_wb_dat_o_561") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_562 "gpio_inst/Mmux_wb_dat_o_562") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_562_renamed_542)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_563 "gpio_inst/Mmux_wb_dat_o_563") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_57 "gpio_inst/Mmux_wb_dat_o_57") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_58 "gpio_inst/Mmux_wb_dat_o_58") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_58_renamed_442)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_59 "gpio_inst/Mmux_wb_dat_o_59") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_6 "gpio_inst/Mmux_wb_dat_o_6") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_61 "gpio_inst/Mmux_wb_dat_o_61") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_610 "gpio_inst/Mmux_wb_dat_o_610") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_610_renamed_495)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_611 "gpio_inst/Mmux_wb_dat_o_611") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_611_renamed_499)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_612 "gpio_inst/Mmux_wb_dat_o_612") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_612_renamed_503)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_613 "gpio_inst/Mmux_wb_dat_o_613") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_613_renamed_507)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_614 "gpio_inst/Mmux_wb_dat_o_614") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_614_renamed_511)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_615 "gpio_inst/Mmux_wb_dat_o_615") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_615_renamed_515)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_616 "gpio_inst/Mmux_wb_dat_o_616") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_616_renamed_519)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_617 "gpio_inst/Mmux_wb_dat_o_617") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_617_renamed_523)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_618 "gpio_inst/Mmux_wb_dat_o_618") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_618_renamed_526)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_619 "gpio_inst/Mmux_wb_dat_o_619") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_619_renamed_529)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_62 "gpio_inst/Mmux_wb_dat_o_62") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_620 "gpio_inst/Mmux_wb_dat_o_620") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_620_renamed_532)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_621 "gpio_inst/Mmux_wb_dat_o_621") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_621_renamed_535)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_622 "gpio_inst/Mmux_wb_dat_o_622") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_622_renamed_538)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_623 "gpio_inst/Mmux_wb_dat_o_623") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_624 "gpio_inst/Mmux_wb_dat_o_624") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_63 "gpio_inst/Mmux_wb_dat_o_63") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_64 "gpio_inst/Mmux_wb_dat_o_64") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_65 "gpio_inst/Mmux_wb_dat_o_65") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_66 "gpio_inst/Mmux_wb_dat_o_66") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_67 "gpio_inst/Mmux_wb_dat_o_67") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_67_renamed_456)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_68 "gpio_inst/Mmux_wb_dat_o_68") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_69 "gpio_inst/Mmux_wb_dat_o_69") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_69_renamed_491)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_15)) - ) - ) - (net (rename gpio_inst_gpio_o_0__ "gpio_inst/gpio_o<0>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_0)) - (portRef I (instanceRef WING_A_0_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux0002 "gpio_inst/gpio_o_0_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_0)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux0002112 "gpio_inst/gpio_o_0_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux0002163 "gpio_inst/gpio_o_0_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000224 "gpio_inst/gpio_o_0_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000235 "gpio_inst/gpio_o_0_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000235_renamed_1379)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000264 "gpio_inst/gpio_o_0_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000285 "gpio_inst/gpio_o_0_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000294 "gpio_inst/gpio_o_0_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000294_renamed_1381)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_1__ "gpio_inst/gpio_o<1>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_1)) - (portRef I (instanceRef WING_A_1_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_10__ "gpio_inst/gpio_o<10>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_10)) - (portRef I (instanceRef WING_A_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux0002 "gpio_inst/gpio_o_10_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_10)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux0002112 "gpio_inst/gpio_o_10_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux0002163 "gpio_inst/gpio_o_10_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000224 "gpio_inst/gpio_o_10_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000235 "gpio_inst/gpio_o_10_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000235_renamed_1375)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000264 "gpio_inst/gpio_o_10_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000285 "gpio_inst/gpio_o_10_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000294 "gpio_inst/gpio_o_10_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000294_renamed_1377)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_11__ "gpio_inst/gpio_o<11>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_11)) - (portRef I (instanceRef WING_A_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux0002 "gpio_inst/gpio_o_11_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_11)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux0002112 "gpio_inst/gpio_o_11_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux0002163 "gpio_inst/gpio_o_11_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000224 "gpio_inst/gpio_o_11_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000235 "gpio_inst/gpio_o_11_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000235_renamed_1371)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000264 "gpio_inst/gpio_o_11_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000285 "gpio_inst/gpio_o_11_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000294 "gpio_inst/gpio_o_11_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000294_renamed_1373)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_12__ "gpio_inst/gpio_o<12>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_12)) - (portRef I (instanceRef WING_A_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux0002 "gpio_inst/gpio_o_12_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_12)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux0002112 "gpio_inst/gpio_o_12_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux0002163 "gpio_inst/gpio_o_12_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000224 "gpio_inst/gpio_o_12_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000235 "gpio_inst/gpio_o_12_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000235_renamed_1367)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000264 "gpio_inst/gpio_o_12_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000285 "gpio_inst/gpio_o_12_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000294 "gpio_inst/gpio_o_12_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000294_renamed_1369)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_13__ "gpio_inst/gpio_o<13>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_13)) - (portRef I (instanceRef WING_A_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux0002 "gpio_inst/gpio_o_13_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_13)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux0002112 "gpio_inst/gpio_o_13_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux0002163 "gpio_inst/gpio_o_13_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000224 "gpio_inst/gpio_o_13_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000235 "gpio_inst/gpio_o_13_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000235_renamed_1363)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000264 "gpio_inst/gpio_o_13_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000285 "gpio_inst/gpio_o_13_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000294 "gpio_inst/gpio_o_13_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000294_renamed_1365)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_14__ "gpio_inst/gpio_o<14>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_14)) - (portRef I (instanceRef WING_A_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux0002 "gpio_inst/gpio_o_14_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_14)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux0002112 "gpio_inst/gpio_o_14_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux0002163 "gpio_inst/gpio_o_14_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000224 "gpio_inst/gpio_o_14_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000235 "gpio_inst/gpio_o_14_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000235_renamed_1359)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000264 "gpio_inst/gpio_o_14_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000285 "gpio_inst/gpio_o_14_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000294 "gpio_inst/gpio_o_14_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000294_renamed_1361)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_15__ "gpio_inst/gpio_o<15>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_15)) - (portRef I (instanceRef WING_A_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux0002 "gpio_inst/gpio_o_15_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_15)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux0002112 "gpio_inst/gpio_o_15_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux0002163 "gpio_inst/gpio_o_15_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000224 "gpio_inst/gpio_o_15_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000235 "gpio_inst/gpio_o_15_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000235_renamed_1355)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000264 "gpio_inst/gpio_o_15_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000285 "gpio_inst/gpio_o_15_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000294 "gpio_inst/gpio_o_15_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000294_renamed_1357)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux0002 "gpio_inst/gpio_o_1_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_1)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux0002112 "gpio_inst/gpio_o_1_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux0002163 "gpio_inst/gpio_o_1_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000224 "gpio_inst/gpio_o_1_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000235 "gpio_inst/gpio_o_1_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000235_renamed_1351)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000264 "gpio_inst/gpio_o_1_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000285 "gpio_inst/gpio_o_1_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000294 "gpio_inst/gpio_o_1_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000294_renamed_1353)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_2__ "gpio_inst/gpio_o<2>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_2)) - (portRef I (instanceRef WING_A_2_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_24__ "gpio_inst/gpio_o<24>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_24)) - (portRef I (instanceRef WING_B_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux0002 "gpio_inst/gpio_o_24_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_24)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux0002112 "gpio_inst/gpio_o_24_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux0002163 "gpio_inst/gpio_o_24_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000224 "gpio_inst/gpio_o_24_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000235 "gpio_inst/gpio_o_24_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000235_renamed_1347)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000264 "gpio_inst/gpio_o_24_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000285 "gpio_inst/gpio_o_24_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000294 "gpio_inst/gpio_o_24_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000294_renamed_1349)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_25__ "gpio_inst/gpio_o<25>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_25)) - (portRef I (instanceRef WING_B_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux0002 "gpio_inst/gpio_o_25_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_25)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux0002112 "gpio_inst/gpio_o_25_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux0002163 "gpio_inst/gpio_o_25_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000224 "gpio_inst/gpio_o_25_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000235 "gpio_inst/gpio_o_25_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000235_renamed_1343)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000264 "gpio_inst/gpio_o_25_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000285 "gpio_inst/gpio_o_25_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000294 "gpio_inst/gpio_o_25_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000294_renamed_1345)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_26__ "gpio_inst/gpio_o<26>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_26)) - (portRef I (instanceRef WING_B_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux0002 "gpio_inst/gpio_o_26_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_26)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux0002112 "gpio_inst/gpio_o_26_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux0002163 "gpio_inst/gpio_o_26_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000224 "gpio_inst/gpio_o_26_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000235 "gpio_inst/gpio_o_26_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000235_renamed_1339)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000264 "gpio_inst/gpio_o_26_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000285 "gpio_inst/gpio_o_26_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000294 "gpio_inst/gpio_o_26_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000294_renamed_1341)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_27__ "gpio_inst/gpio_o<27>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_27)) - (portRef I (instanceRef WING_B_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux0002 "gpio_inst/gpio_o_27_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_27)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux0002112 "gpio_inst/gpio_o_27_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux0002163 "gpio_inst/gpio_o_27_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000224 "gpio_inst/gpio_o_27_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000235 "gpio_inst/gpio_o_27_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000235_renamed_1335)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000264 "gpio_inst/gpio_o_27_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000285 "gpio_inst/gpio_o_27_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000294 "gpio_inst/gpio_o_27_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000294_renamed_1337)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_28__ "gpio_inst/gpio_o<28>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_28)) - (portRef I (instanceRef WING_B_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux0002 "gpio_inst/gpio_o_28_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_28)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux0002112 "gpio_inst/gpio_o_28_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux0002163 "gpio_inst/gpio_o_28_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000224 "gpio_inst/gpio_o_28_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000235 "gpio_inst/gpio_o_28_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000235_renamed_1331)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000264 "gpio_inst/gpio_o_28_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000285 "gpio_inst/gpio_o_28_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000294 "gpio_inst/gpio_o_28_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000294_renamed_1333)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_29__ "gpio_inst/gpio_o<29>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_29)) - (portRef I (instanceRef WING_B_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux0002 "gpio_inst/gpio_o_29_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_29)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux0002112 "gpio_inst/gpio_o_29_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux0002163 "gpio_inst/gpio_o_29_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000224 "gpio_inst/gpio_o_29_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000235 "gpio_inst/gpio_o_29_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000235_renamed_1327)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000264 "gpio_inst/gpio_o_29_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000285 "gpio_inst/gpio_o_29_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000294 "gpio_inst/gpio_o_29_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000294_renamed_1329)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux0002 "gpio_inst/gpio_o_2_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_2)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux0002112 "gpio_inst/gpio_o_2_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux0002163 "gpio_inst/gpio_o_2_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000224 "gpio_inst/gpio_o_2_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000235 "gpio_inst/gpio_o_2_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000235_renamed_1323)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000264 "gpio_inst/gpio_o_2_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000285 "gpio_inst/gpio_o_2_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000294 "gpio_inst/gpio_o_2_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000294_renamed_1325)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_3__ "gpio_inst/gpio_o<3>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_3)) - (portRef I (instanceRef WING_A_3_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_30__ "gpio_inst/gpio_o<30>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_30)) - (portRef I (instanceRef WING_B_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux0002 "gpio_inst/gpio_o_30_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_30)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux0002112 "gpio_inst/gpio_o_30_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux0002163 "gpio_inst/gpio_o_30_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000224 "gpio_inst/gpio_o_30_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000235 "gpio_inst/gpio_o_30_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000235_renamed_1319)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000264 "gpio_inst/gpio_o_30_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000285 "gpio_inst/gpio_o_30_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000294 "gpio_inst/gpio_o_30_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000294_renamed_1321)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_31__ "gpio_inst/gpio_o<31>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_31)) - (portRef I (instanceRef WING_B_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux0002 "gpio_inst/gpio_o_31_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_31)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux0002112 "gpio_inst/gpio_o_31_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux0002163 "gpio_inst/gpio_o_31_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000224 "gpio_inst/gpio_o_31_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000235 "gpio_inst/gpio_o_31_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000235_renamed_1315)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000264 "gpio_inst/gpio_o_31_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000285 "gpio_inst/gpio_o_31_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000294 "gpio_inst/gpio_o_31_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000294_renamed_1317)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_32__ "gpio_inst/gpio_o<32>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_32)) - (portRef I (instanceRef WING_C_0_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux0002 "gpio_inst/gpio_o_32_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_32)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux0002112 "gpio_inst/gpio_o_32_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux0002163 "gpio_inst/gpio_o_32_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000224 "gpio_inst/gpio_o_32_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000235 "gpio_inst/gpio_o_32_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000235_renamed_1311)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000264 "gpio_inst/gpio_o_32_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000285 "gpio_inst/gpio_o_32_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000294 "gpio_inst/gpio_o_32_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000294_renamed_1313)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_33__ "gpio_inst/gpio_o<33>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_33)) - (portRef I (instanceRef WING_C_1_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux0002 "gpio_inst/gpio_o_33_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_33)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux0002112 "gpio_inst/gpio_o_33_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux0002163 "gpio_inst/gpio_o_33_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000224 "gpio_inst/gpio_o_33_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000235 "gpio_inst/gpio_o_33_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000235_renamed_1307)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000264 "gpio_inst/gpio_o_33_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000285 "gpio_inst/gpio_o_33_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000294 "gpio_inst/gpio_o_33_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000294_renamed_1309)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux0002 "gpio_inst/gpio_o_3_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_3)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux0002112 "gpio_inst/gpio_o_3_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux0002163 "gpio_inst/gpio_o_3_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000224 "gpio_inst/gpio_o_3_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000235 "gpio_inst/gpio_o_3_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000235_renamed_1303)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000264 "gpio_inst/gpio_o_3_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000285 "gpio_inst/gpio_o_3_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000294 "gpio_inst/gpio_o_3_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000294_renamed_1305)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_4__ "gpio_inst/gpio_o<4>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_4)) - (portRef I (instanceRef WING_A_4_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_40__ "gpio_inst/gpio_o<40>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_40)) - (portRef I (instanceRef WING_C_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux0002 "gpio_inst/gpio_o_40_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_40)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux0002112 "gpio_inst/gpio_o_40_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux0002163 "gpio_inst/gpio_o_40_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000224 "gpio_inst/gpio_o_40_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000235 "gpio_inst/gpio_o_40_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000235_renamed_1299)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000264 "gpio_inst/gpio_o_40_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000285 "gpio_inst/gpio_o_40_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000294 "gpio_inst/gpio_o_40_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000294_renamed_1301)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_41__ "gpio_inst/gpio_o<41>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_41)) - (portRef I (instanceRef WING_C_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux0002 "gpio_inst/gpio_o_41_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_41)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux0002112 "gpio_inst/gpio_o_41_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux0002163 "gpio_inst/gpio_o_41_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000224 "gpio_inst/gpio_o_41_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000235 "gpio_inst/gpio_o_41_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000235_renamed_1295)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000264 "gpio_inst/gpio_o_41_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000285 "gpio_inst/gpio_o_41_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000294 "gpio_inst/gpio_o_41_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000294_renamed_1297)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_42__ "gpio_inst/gpio_o<42>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_42)) - (portRef I (instanceRef WING_C_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux0002 "gpio_inst/gpio_o_42_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_42)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux0002112 "gpio_inst/gpio_o_42_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux0002163 "gpio_inst/gpio_o_42_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000224 "gpio_inst/gpio_o_42_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000235 "gpio_inst/gpio_o_42_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000235_renamed_1291)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000264 "gpio_inst/gpio_o_42_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000285 "gpio_inst/gpio_o_42_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000294 "gpio_inst/gpio_o_42_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000294_renamed_1293)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_43__ "gpio_inst/gpio_o<43>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_43)) - (portRef I (instanceRef WING_C_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux0002 "gpio_inst/gpio_o_43_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_43)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux0002112 "gpio_inst/gpio_o_43_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux0002163 "gpio_inst/gpio_o_43_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000224 "gpio_inst/gpio_o_43_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000235 "gpio_inst/gpio_o_43_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000235_renamed_1287)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000264 "gpio_inst/gpio_o_43_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000285 "gpio_inst/gpio_o_43_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000294 "gpio_inst/gpio_o_43_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000294_renamed_1289)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_44__ "gpio_inst/gpio_o<44>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_44)) - (portRef I (instanceRef WING_C_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux0002 "gpio_inst/gpio_o_44_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_44)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux0002112 "gpio_inst/gpio_o_44_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux0002163 "gpio_inst/gpio_o_44_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000224 "gpio_inst/gpio_o_44_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000235 "gpio_inst/gpio_o_44_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000235_renamed_1283)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000264 "gpio_inst/gpio_o_44_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000285 "gpio_inst/gpio_o_44_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000294 "gpio_inst/gpio_o_44_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000294_renamed_1285)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_45__ "gpio_inst/gpio_o<45>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_45)) - (portRef I (instanceRef WING_C_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux0002 "gpio_inst/gpio_o_45_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_45)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux0002112 "gpio_inst/gpio_o_45_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux0002163 "gpio_inst/gpio_o_45_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000224 "gpio_inst/gpio_o_45_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000235 "gpio_inst/gpio_o_45_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000235_renamed_1279)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000264 "gpio_inst/gpio_o_45_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000285 "gpio_inst/gpio_o_45_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000294 "gpio_inst/gpio_o_45_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000294_renamed_1281)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_46__ "gpio_inst/gpio_o<46>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_46)) - (portRef I (instanceRef WING_C_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux0002 "gpio_inst/gpio_o_46_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_46)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux0002112 "gpio_inst/gpio_o_46_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux0002163 "gpio_inst/gpio_o_46_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000224 "gpio_inst/gpio_o_46_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000235 "gpio_inst/gpio_o_46_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000235_renamed_1275)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000264 "gpio_inst/gpio_o_46_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000285 "gpio_inst/gpio_o_46_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000294 "gpio_inst/gpio_o_46_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000294_renamed_1277)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_47__ "gpio_inst/gpio_o<47>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_47)) - (portRef I (instanceRef WING_C_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux0002 "gpio_inst/gpio_o_47_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_47)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux0002112 "gpio_inst/gpio_o_47_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux0002163 "gpio_inst/gpio_o_47_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000224 "gpio_inst/gpio_o_47_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000235 "gpio_inst/gpio_o_47_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000235_renamed_1271)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000264 "gpio_inst/gpio_o_47_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000285 "gpio_inst/gpio_o_47_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000294 "gpio_inst/gpio_o_47_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000294_renamed_1273)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_48__ "gpio_inst/gpio_o<48>") - (joined - (portRef I (instanceRef ospics_obufi)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_67_renamed_456)) - (portRef Q (instanceRef gpio_inst_gpio_o_48)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux0002 "gpio_inst/gpio_o_4_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_4)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux0002112 "gpio_inst/gpio_o_4_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux0002163 "gpio_inst/gpio_o_4_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000224 "gpio_inst/gpio_o_4_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000235 "gpio_inst/gpio_o_4_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000235_renamed_1267)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000264 "gpio_inst/gpio_o_4_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000285 "gpio_inst/gpio_o_4_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000294 "gpio_inst/gpio_o_4_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000294_renamed_1269)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_5__ "gpio_inst/gpio_o<5>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_5)) - (portRef I (instanceRef WING_A_5_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux0002 "gpio_inst/gpio_o_5_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_5)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux0002112 "gpio_inst/gpio_o_5_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux0002163 "gpio_inst/gpio_o_5_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000224 "gpio_inst/gpio_o_5_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000235 "gpio_inst/gpio_o_5_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000235_renamed_1263)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000264 "gpio_inst/gpio_o_5_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000285 "gpio_inst/gpio_o_5_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000294 "gpio_inst/gpio_o_5_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000294_renamed_1265)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_6__ "gpio_inst/gpio_o<6>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_6)) - (portRef I (instanceRef WING_A_6_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux0002 "gpio_inst/gpio_o_6_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_6)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux0002112 "gpio_inst/gpio_o_6_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux0002163 "gpio_inst/gpio_o_6_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000224 "gpio_inst/gpio_o_6_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000235 "gpio_inst/gpio_o_6_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000235_renamed_1259)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000264 "gpio_inst/gpio_o_6_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000285 "gpio_inst/gpio_o_6_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000294 "gpio_inst/gpio_o_6_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000294_renamed_1261)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_7__ "gpio_inst/gpio_o<7>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_7)) - (portRef I (instanceRef WING_A_7_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux0002 "gpio_inst/gpio_o_7_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_7)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux0002112 "gpio_inst/gpio_o_7_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux0002163 "gpio_inst/gpio_o_7_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000224 "gpio_inst/gpio_o_7_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000235 "gpio_inst/gpio_o_7_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000235_renamed_1255)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000264 "gpio_inst/gpio_o_7_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000285 "gpio_inst/gpio_o_7_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000294 "gpio_inst/gpio_o_7_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000294_renamed_1257)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_8__ "gpio_inst/gpio_o<8>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_8)) - (portRef I (instanceRef WING_A_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux0002 "gpio_inst/gpio_o_8_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_8)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux0002112 "gpio_inst/gpio_o_8_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux0002163 "gpio_inst/gpio_o_8_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000224 "gpio_inst/gpio_o_8_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000235 "gpio_inst/gpio_o_8_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000235_renamed_1251)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000264 "gpio_inst/gpio_o_8_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000285 "gpio_inst/gpio_o_8_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000294 "gpio_inst/gpio_o_8_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000294_renamed_1253)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_9__ "gpio_inst/gpio_o<9>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_9)) - (portRef I (instanceRef WING_A_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux0002 "gpio_inst/gpio_o_9_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_9)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux0002112 "gpio_inst/gpio_o_9_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux0002163 "gpio_inst/gpio_o_9_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000224 "gpio_inst/gpio_o_9_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000235 "gpio_inst/gpio_o_9_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000235_renamed_1247)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000264 "gpio_inst/gpio_o_9_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000285 "gpio_inst/gpio_o_9_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000294 "gpio_inst/gpio_o_9_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000294_renamed_1249)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_q_0__ "gpio_inst/gpio_q<0>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_0_not0001 "gpio_inst/gpio_q_0_not0001") - (joined - (portRef CE (instanceRef gpio_inst_gpio_q_1)) - (portRef CE (instanceRef gpio_inst_gpio_q_0)) - (portRef CE (instanceRef gpio_inst_gpio_q_4)) - (portRef CE (instanceRef gpio_inst_gpio_q_2)) - (portRef CE (instanceRef gpio_inst_gpio_q_3)) - (portRef CE (instanceRef gpio_inst_gpio_q_7)) - (portRef CE (instanceRef gpio_inst_gpio_q_5)) - (portRef CE (instanceRef gpio_inst_gpio_q_6)) - (portRef CE (instanceRef gpio_inst_gpio_q_8)) - (portRef CE (instanceRef gpio_inst_gpio_q_9)) - (portRef CE (instanceRef gpio_inst_gpio_q_12)) - (portRef CE (instanceRef gpio_inst_gpio_q_10)) - (portRef CE (instanceRef gpio_inst_gpio_q_11)) - (portRef CE (instanceRef gpio_inst_gpio_q_13)) - (portRef CE (instanceRef gpio_inst_gpio_q_14)) - (portRef CE (instanceRef gpio_inst_gpio_q_15)) - (portRef CE (instanceRef gpio_inst_gpio_q_24)) - (portRef CE (instanceRef gpio_inst_gpio_q_31)) - (portRef CE (instanceRef gpio_inst_gpio_q_30)) - (portRef CE (instanceRef gpio_inst_gpio_q_25)) - (portRef CE (instanceRef gpio_inst_gpio_q_27)) - (portRef CE (instanceRef gpio_inst_gpio_q_26)) - (portRef CE (instanceRef gpio_inst_gpio_q_28)) - (portRef CE (instanceRef gpio_inst_gpio_q_29)) - (portRef O (instanceRef gpio_inst_gpio_q_0_not000121)) - ) - ) - (net (rename gpio_inst_gpio_q_0_not0001_bdd4 "gpio_inst/gpio_q_0_not0001_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_ppspin_q_64_not000111)) - (portRef I1 (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef I2 (instanceRef gpio_inst_gpio_q_0_not000121)) - (portRef O (instanceRef gpio_inst_gpio_q_0_not00015)) - ) - ) - (net (rename gpio_inst_gpio_q_1__ "gpio_inst/gpio_q<1>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_1)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_10__ "gpio_inst/gpio_q<10>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_10)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_11__ "gpio_inst/gpio_q<11>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_11)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_12__ "gpio_inst/gpio_q<12>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_12)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_13__ "gpio_inst/gpio_q<13>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_13)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_14__ "gpio_inst/gpio_q<14>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_14)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_15__ "gpio_inst/gpio_q<15>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_15)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_2__ "gpio_inst/gpio_q<2>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_2)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_24__ "gpio_inst/gpio_q<24>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_24)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_25__ "gpio_inst/gpio_q<25>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_25)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_26__ "gpio_inst/gpio_q<26>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_26)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_27__ "gpio_inst/gpio_q<27>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_27)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_28__ "gpio_inst/gpio_q<28>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_28)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_29__ "gpio_inst/gpio_q<29>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_29)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_3__ "gpio_inst/gpio_q<3>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_3)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_30__ "gpio_inst/gpio_q<30>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_30)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_31__ "gpio_inst/gpio_q<31>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_31)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_32__ "gpio_inst/gpio_q<32>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_32)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_32_not0001 "gpio_inst/gpio_q_32_not0001") - (joined - (portRef CE (instanceRef gpio_inst_gpio_q_32)) - (portRef CE (instanceRef gpio_inst_gpio_q_33)) - (portRef CE (instanceRef gpio_inst_gpio_q_41)) - (portRef CE (instanceRef gpio_inst_gpio_q_40)) - (portRef CE (instanceRef gpio_inst_gpio_q_42)) - (portRef CE (instanceRef gpio_inst_gpio_q_44)) - (portRef CE (instanceRef gpio_inst_gpio_q_43)) - (portRef CE (instanceRef gpio_inst_gpio_q_47)) - (portRef CE (instanceRef gpio_inst_gpio_q_45)) - (portRef CE (instanceRef gpio_inst_gpio_q_46)) - (portRef CE (instanceRef gpio_inst_gpio_q_48)) - (portRef O (instanceRef gpio_inst_gpio_q_32_not000111)) - ) - ) - (net (rename gpio_inst_gpio_q_32_not0001_bdd4 "gpio_inst/gpio_q_32_not0001_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_ppspin_q_96_not000111)) - (portRef I1 (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef I2 (instanceRef gpio_inst_gpio_q_32_not000111)) - (portRef O (instanceRef gpio_inst_gpio_q_32_not00014)) - ) - ) - (net (rename gpio_inst_gpio_q_33__ "gpio_inst/gpio_q<33>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_33)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_4__ "gpio_inst/gpio_q<4>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_4)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_40__ "gpio_inst/gpio_q<40>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_40)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_41__ "gpio_inst/gpio_q<41>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_41)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_42__ "gpio_inst/gpio_q<42>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_42)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_43__ "gpio_inst/gpio_q<43>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_43)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_44__ "gpio_inst/gpio_q<44>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_44)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_45__ "gpio_inst/gpio_q<45>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_45)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_46__ "gpio_inst/gpio_q<46>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_46)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_47__ "gpio_inst/gpio_q<47>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_47)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_48__ "gpio_inst/gpio_q<48>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_48)) - (portRef D (instanceRef gpio_inst_gpio_o_48)) - ) - ) - (net (rename gpio_inst_gpio_q_5__ "gpio_inst/gpio_q<5>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_5)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_6__ "gpio_inst/gpio_q<6>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_6)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_7__ "gpio_inst/gpio_q<7>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_7)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_8__ "gpio_inst/gpio_q<8>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_8)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_9__ "gpio_inst/gpio_q<9>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_9)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_0_ "gpio_inst/gpio_tris_q<0>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_0)) - (portRef T (instanceRef WING_A_0_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_0_not0001 "gpio_inst/gpio_tris_q_0_not0001") - (joined - (portRef CE (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef O (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_24)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_25)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_26)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_27)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_28)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_29)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_30)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_31)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_0)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_1_ "gpio_inst/gpio_tris_q<1>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef T (instanceRef WING_A_1_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_10_ "gpio_inst/gpio_tris_q<10>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef T (instanceRef WING_A_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_11_ "gpio_inst/gpio_tris_q<11>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef T (instanceRef WING_A_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_12_ "gpio_inst/gpio_tris_q<12>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef T (instanceRef WING_A_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_13_ "gpio_inst/gpio_tris_q<13>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef T (instanceRef WING_A_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_14_ "gpio_inst/gpio_tris_q<14>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef T (instanceRef WING_A_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_15_ "gpio_inst/gpio_tris_q<15>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef T (instanceRef WING_A_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_16_ "gpio_inst/gpio_tris_q<16>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_17_ "gpio_inst/gpio_tris_q<17>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_517_renamed_459)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_18_ "gpio_inst/gpio_tris_q<18>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_519_renamed_463)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_19_ "gpio_inst/gpio_tris_q<19>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_521_renamed_467)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_2_ "gpio_inst/gpio_tris_q<2>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef T (instanceRef WING_A_2_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_20_ "gpio_inst/gpio_tris_q<20>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_525_renamed_475)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_21_ "gpio_inst/gpio_tris_q<21>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_527_renamed_479)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_22_ "gpio_inst/gpio_tris_q<22>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_529_renamed_483)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_23_ "gpio_inst/gpio_tris_q<23>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_531_renamed_487)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_24_ "gpio_inst/gpio_tris_q<24>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_533_renamed_490)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_24)) - (portRef T (instanceRef WING_B_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_25_ "gpio_inst/gpio_tris_q<25>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_535_renamed_494)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_25)) - (portRef T (instanceRef WING_B_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_26_ "gpio_inst/gpio_tris_q<26>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_537_renamed_498)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_26)) - (portRef T (instanceRef WING_B_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_27_ "gpio_inst/gpio_tris_q<27>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_539_renamed_502)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_27)) - (portRef T (instanceRef WING_B_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_28_ "gpio_inst/gpio_tris_q<28>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_541_renamed_506)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_28)) - (portRef T (instanceRef WING_B_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_29_ "gpio_inst/gpio_tris_q<29>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_543_renamed_510)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_29)) - (portRef T (instanceRef WING_B_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_3_ "gpio_inst/gpio_tris_q<3>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef T (instanceRef WING_A_3_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_30_ "gpio_inst/gpio_tris_q<30>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_547_renamed_518)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_30)) - (portRef T (instanceRef WING_B_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_31_ "gpio_inst/gpio_tris_q<31>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_549_renamed_522)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_31)) - (portRef T (instanceRef WING_B_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_32_ "gpio_inst/gpio_tris_q<32>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef T (instanceRef WING_C_0_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_32_not0001 "gpio_inst/gpio_tris_q_32_not0001") - (joined - (portRef CE (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef O (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_41)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_33_ "gpio_inst/gpio_tris_q<33>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef T (instanceRef WING_C_1_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_34_ "gpio_inst/gpio_tris_q<34>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_35_ "gpio_inst/gpio_tris_q<35>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_36_ "gpio_inst/gpio_tris_q<36>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_37_ "gpio_inst/gpio_tris_q<37>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_38_ "gpio_inst/gpio_tris_q<38>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_39_ "gpio_inst/gpio_tris_q<39>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_4_ "gpio_inst/gpio_tris_q<4>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef T (instanceRef WING_A_4_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_40_ "gpio_inst/gpio_tris_q<40>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef T (instanceRef WING_C_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_41_ "gpio_inst/gpio_tris_q<41>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_41)) - (portRef T (instanceRef WING_C_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_42_ "gpio_inst/gpio_tris_q<42>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef T (instanceRef WING_C_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_43_ "gpio_inst/gpio_tris_q<43>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef T (instanceRef WING_C_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_44_ "gpio_inst/gpio_tris_q<44>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef T (instanceRef WING_C_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_45_ "gpio_inst/gpio_tris_q<45>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef T (instanceRef WING_C_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_46_ "gpio_inst/gpio_tris_q<46>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef T (instanceRef WING_C_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_47_ "gpio_inst/gpio_tris_q<47>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef T (instanceRef WING_C_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_48_ "gpio_inst/gpio_tris_q<48>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_5_ "gpio_inst/gpio_tris_q<5>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef T (instanceRef WING_A_5_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_6_ "gpio_inst/gpio_tris_q<6>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef T (instanceRef WING_A_6_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_7_ "gpio_inst/gpio_tris_q<7>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef T (instanceRef WING_A_7_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_8_ "gpio_inst/gpio_tris_q<8>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef T (instanceRef WING_A_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_9_ "gpio_inst/gpio_tris_q<9>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef T (instanceRef WING_A_9_IOBUF)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_0 "gpio_inst/input_mapper_q_0_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_0_renamed_756)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_1 "gpio_inst/input_mapper_q_0_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_1_renamed_755)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_2 "gpio_inst/input_mapper_q_0_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_2_renamed_754)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000294_renamed_1381)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_3 "gpio_inst/input_mapper_q_0_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_3_renamed_753)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000235_renamed_1379)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000294_renamed_1381)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_4 "gpio_inst/input_mapper_q_0_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_4_renamed_752)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000235_renamed_1379)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_5 "gpio_inst/input_mapper_q_0_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_5_renamed_751)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_and0000 "gpio_inst/input_mapper_q_0_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_0_renamed_756)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_1_renamed_755)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_2_renamed_754)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_3_renamed_753)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_4_renamed_752)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_5_renamed_751)) - (portRef O (instanceRef gpio_inst_input_mapper_q_0_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_and0000_bdd10 "gpio_inst/input_mapper_q_0_and0000_bdd10") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef I0 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and000061)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_and0000_bdd4 "gpio_inst/input_mapper_q_0_and0000_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_input_mapper_q_6_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_4_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_2_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_14_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_12_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_10_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_0_and000011)) - (portRef O (instanceRef gpio_inst_input_mapper_q_0_and000041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_and0000_bdd8 "gpio_inst/input_mapper_q_0_and0000_bdd8") - (joined - (portRef I0 (instanceRef gpio_inst_input_mapper_q_17_and000041)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_16_and000041)) - (portRef O (instanceRef gpio_inst_input_mapper_q_0_and000061)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and000041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_0 "gpio_inst/input_mapper_q_10_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_0_renamed_696)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_1 "gpio_inst/input_mapper_q_10_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_1_renamed_695)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_2 "gpio_inst/input_mapper_q_10_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_2_renamed_694)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000294_renamed_1377)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_3 "gpio_inst/input_mapper_q_10_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_3_renamed_693)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000235_renamed_1375)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000294_renamed_1377)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_4 "gpio_inst/input_mapper_q_10_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_4_renamed_692)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000235_renamed_1375)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_5 "gpio_inst/input_mapper_q_10_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_5_renamed_691)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_and0000 "gpio_inst/input_mapper_q_10_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_0_renamed_696)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_1_renamed_695)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_2_renamed_694)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_3_renamed_693)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_4_renamed_692)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_5_renamed_691)) - (portRef O (instanceRef gpio_inst_input_mapper_q_10_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_0 "gpio_inst/input_mapper_q_11_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_0_renamed_690)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_1 "gpio_inst/input_mapper_q_11_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_1_renamed_689)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_2 "gpio_inst/input_mapper_q_11_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_2_renamed_688)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000294_renamed_1373)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_3 "gpio_inst/input_mapper_q_11_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_3_renamed_687)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000235_renamed_1371)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000294_renamed_1373)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_4 "gpio_inst/input_mapper_q_11_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_4_renamed_686)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000235_renamed_1371)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_5 "gpio_inst/input_mapper_q_11_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_5_renamed_685)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_and0000 "gpio_inst/input_mapper_q_11_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_0_renamed_690)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_1_renamed_689)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_2_renamed_688)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_3_renamed_687)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_4_renamed_686)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_5_renamed_685)) - (portRef O (instanceRef gpio_inst_input_mapper_q_11_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_and0000_bdd4 "gpio_inst/input_mapper_q_11_and0000_bdd4") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_11_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_9_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_7_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_5_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_3_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_1_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_15_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_13_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_11_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_0 "gpio_inst/input_mapper_q_12_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_0_renamed_702)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_1 "gpio_inst/input_mapper_q_12_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_1_renamed_701)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_2 "gpio_inst/input_mapper_q_12_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_2_renamed_700)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000294_renamed_1369)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_3 "gpio_inst/input_mapper_q_12_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_3_renamed_699)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000235_renamed_1367)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000294_renamed_1369)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_4 "gpio_inst/input_mapper_q_12_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_4_renamed_698)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000235_renamed_1367)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_5 "gpio_inst/input_mapper_q_12_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_5_renamed_697)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_and0000 "gpio_inst/input_mapper_q_12_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_0_renamed_702)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_1_renamed_701)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_2_renamed_700)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_3_renamed_699)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_4_renamed_698)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_5_renamed_697)) - (portRef O (instanceRef gpio_inst_input_mapper_q_12_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_0 "gpio_inst/input_mapper_q_13_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_0_renamed_678)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_1 "gpio_inst/input_mapper_q_13_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_1_renamed_677)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_2 "gpio_inst/input_mapper_q_13_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_2_renamed_676)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000294_renamed_1365)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_3 "gpio_inst/input_mapper_q_13_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_3_renamed_675)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000235_renamed_1363)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000294_renamed_1365)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_4 "gpio_inst/input_mapper_q_13_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_4_renamed_674)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000235_renamed_1363)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_5 "gpio_inst/input_mapper_q_13_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_5_renamed_673)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_and0000 "gpio_inst/input_mapper_q_13_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_0_renamed_678)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_1_renamed_677)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_2_renamed_676)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_3_renamed_675)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_4_renamed_674)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_5_renamed_673)) - (portRef O (instanceRef gpio_inst_input_mapper_q_13_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_0 "gpio_inst/input_mapper_q_14_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_0_renamed_672)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_1 "gpio_inst/input_mapper_q_14_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_1_renamed_671)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_2 "gpio_inst/input_mapper_q_14_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_2_renamed_670)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000294_renamed_1361)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_3 "gpio_inst/input_mapper_q_14_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_3_renamed_669)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000235_renamed_1359)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000294_renamed_1361)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_4 "gpio_inst/input_mapper_q_14_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_4_renamed_668)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000235_renamed_1359)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_5 "gpio_inst/input_mapper_q_14_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_5_renamed_667)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_and0000 "gpio_inst/input_mapper_q_14_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_0_renamed_672)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_1_renamed_671)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_2_renamed_670)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_3_renamed_669)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_4_renamed_668)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_5_renamed_667)) - (portRef O (instanceRef gpio_inst_input_mapper_q_14_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_0 "gpio_inst/input_mapper_q_15_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_0_renamed_684)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_1 "gpio_inst/input_mapper_q_15_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_1_renamed_683)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_2 "gpio_inst/input_mapper_q_15_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_2_renamed_682)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000294_renamed_1357)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_3 "gpio_inst/input_mapper_q_15_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_3_renamed_681)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000235_renamed_1355)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000294_renamed_1357)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_4 "gpio_inst/input_mapper_q_15_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_4_renamed_680)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000235_renamed_1355)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_5 "gpio_inst/input_mapper_q_15_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_5_renamed_679)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_and0000 "gpio_inst/input_mapper_q_15_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_0_renamed_684)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_1_renamed_683)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_2_renamed_682)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_3_renamed_681)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_4_renamed_680)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_5_renamed_679)) - (portRef O (instanceRef gpio_inst_input_mapper_q_15_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_16_and0000_bdd4 "gpio_inst/input_mapper_q_16_and0000_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_input_mapper_q_28_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_26_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_24_and000011)) - (portRef O (instanceRef gpio_inst_input_mapper_q_16_and000041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_17_and0000_bdd4 "gpio_inst/input_mapper_q_17_and0000_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_input_mapper_q_29_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_27_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_25_and000011)) - (portRef O (instanceRef gpio_inst_input_mapper_q_17_and000041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_0 "gpio_inst/input_mapper_q_1_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_0_renamed_762)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_1 "gpio_inst/input_mapper_q_1_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_1_renamed_761)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_2 "gpio_inst/input_mapper_q_1_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_2_renamed_760)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000294_renamed_1353)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_3 "gpio_inst/input_mapper_q_1_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_3_renamed_759)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000235_renamed_1351)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000294_renamed_1353)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_4 "gpio_inst/input_mapper_q_1_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_4_renamed_758)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000235_renamed_1351)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_5 "gpio_inst/input_mapper_q_1_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_5_renamed_757)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_and0000 "gpio_inst/input_mapper_q_1_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_0_renamed_762)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_1_renamed_761)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_2_renamed_760)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_3_renamed_759)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_4_renamed_758)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_5_renamed_757)) - (portRef O (instanceRef gpio_inst_input_mapper_q_1_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_0 "gpio_inst/input_mapper_q_24_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_0_renamed_666)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_1 "gpio_inst/input_mapper_q_24_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_1_renamed_665)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_2 "gpio_inst/input_mapper_q_24_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_2_renamed_664)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000294_renamed_1349)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_3 "gpio_inst/input_mapper_q_24_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_3_renamed_663)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000235_renamed_1347)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000294_renamed_1349)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_4 "gpio_inst/input_mapper_q_24_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_4_renamed_662)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000235_renamed_1347)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_5 "gpio_inst/input_mapper_q_24_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_5_renamed_661)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_and0000 "gpio_inst/input_mapper_q_24_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_0_renamed_666)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_1_renamed_665)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_2_renamed_664)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_3_renamed_663)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_4_renamed_662)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_5_renamed_661)) - (portRef O (instanceRef gpio_inst_input_mapper_q_24_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_0 "gpio_inst/input_mapper_q_25_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_0_renamed_654)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_1 "gpio_inst/input_mapper_q_25_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_1_renamed_653)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_2 "gpio_inst/input_mapper_q_25_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_2_renamed_652)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000294_renamed_1345)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_3 "gpio_inst/input_mapper_q_25_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_3_renamed_651)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000235_renamed_1343)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000294_renamed_1345)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_4 "gpio_inst/input_mapper_q_25_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_4_renamed_650)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000235_renamed_1343)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_5 "gpio_inst/input_mapper_q_25_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_5_renamed_649)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_and0000 "gpio_inst/input_mapper_q_25_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_0_renamed_654)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_1_renamed_653)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_2_renamed_652)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_3_renamed_651)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_4_renamed_650)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_5_renamed_649)) - (portRef O (instanceRef gpio_inst_input_mapper_q_25_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_0 "gpio_inst/input_mapper_q_26_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_0_renamed_648)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_1 "gpio_inst/input_mapper_q_26_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_1_renamed_647)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_2 "gpio_inst/input_mapper_q_26_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_2_renamed_646)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000294_renamed_1341)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_3 "gpio_inst/input_mapper_q_26_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_3_renamed_645)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000235_renamed_1339)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000294_renamed_1341)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_4 "gpio_inst/input_mapper_q_26_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_4_renamed_644)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000235_renamed_1339)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_5 "gpio_inst/input_mapper_q_26_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_5_renamed_643)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_and0000 "gpio_inst/input_mapper_q_26_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_0_renamed_648)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_1_renamed_647)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_2_renamed_646)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_3_renamed_645)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_4_renamed_644)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_5_renamed_643)) - (portRef O (instanceRef gpio_inst_input_mapper_q_26_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_0 "gpio_inst/input_mapper_q_27_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_0_renamed_660)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_1 "gpio_inst/input_mapper_q_27_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_1_renamed_659)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_2 "gpio_inst/input_mapper_q_27_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_2_renamed_658)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000294_renamed_1337)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_3 "gpio_inst/input_mapper_q_27_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_3_renamed_657)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000235_renamed_1335)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000294_renamed_1337)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_4 "gpio_inst/input_mapper_q_27_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_4_renamed_656)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000235_renamed_1335)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_5 "gpio_inst/input_mapper_q_27_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_5_renamed_655)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_and0000 "gpio_inst/input_mapper_q_27_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_0_renamed_660)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_1_renamed_659)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_2_renamed_658)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_3_renamed_657)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_4_renamed_656)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_5_renamed_655)) - (portRef O (instanceRef gpio_inst_input_mapper_q_27_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_0 "gpio_inst/input_mapper_q_28_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_0_renamed_636)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_1 "gpio_inst/input_mapper_q_28_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_1_renamed_635)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_2 "gpio_inst/input_mapper_q_28_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_2_renamed_634)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000294_renamed_1333)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_3 "gpio_inst/input_mapper_q_28_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_3_renamed_633)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000235_renamed_1331)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000294_renamed_1333)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_4 "gpio_inst/input_mapper_q_28_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_4_renamed_632)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000235_renamed_1331)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_5 "gpio_inst/input_mapper_q_28_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_5_renamed_631)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_and0000 "gpio_inst/input_mapper_q_28_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_0_renamed_636)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_1_renamed_635)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_2_renamed_634)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_3_renamed_633)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_4_renamed_632)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_5_renamed_631)) - (portRef O (instanceRef gpio_inst_input_mapper_q_28_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_0 "gpio_inst/input_mapper_q_29_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_0_renamed_630)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_1 "gpio_inst/input_mapper_q_29_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_1_renamed_629)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_2 "gpio_inst/input_mapper_q_29_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_2_renamed_628)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000294_renamed_1329)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_3 "gpio_inst/input_mapper_q_29_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_3_renamed_627)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000235_renamed_1327)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000294_renamed_1329)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_4 "gpio_inst/input_mapper_q_29_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_4_renamed_626)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000235_renamed_1327)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_5 "gpio_inst/input_mapper_q_29_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_5_renamed_625)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_and0000 "gpio_inst/input_mapper_q_29_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_0_renamed_630)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_1_renamed_629)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_2_renamed_628)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_3_renamed_627)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_4_renamed_626)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_5_renamed_625)) - (portRef O (instanceRef gpio_inst_input_mapper_q_29_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_0 "gpio_inst/input_mapper_q_2_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_0_renamed_744)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_1 "gpio_inst/input_mapper_q_2_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_1_renamed_743)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_2 "gpio_inst/input_mapper_q_2_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_2_renamed_742)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000294_renamed_1325)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_3 "gpio_inst/input_mapper_q_2_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_3_renamed_741)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000235_renamed_1323)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000294_renamed_1325)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_4 "gpio_inst/input_mapper_q_2_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_4_renamed_740)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000235_renamed_1323)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_5 "gpio_inst/input_mapper_q_2_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_5_renamed_739)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_and0000 "gpio_inst/input_mapper_q_2_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_0_renamed_744)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_1_renamed_743)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_2_renamed_742)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_3_renamed_741)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_4_renamed_740)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_5_renamed_739)) - (portRef O (instanceRef gpio_inst_input_mapper_q_2_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_0 "gpio_inst/input_mapper_q_30_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_0_renamed_642)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_1 "gpio_inst/input_mapper_q_30_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_1_renamed_641)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_2 "gpio_inst/input_mapper_q_30_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_2_renamed_640)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000294_renamed_1321)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_3 "gpio_inst/input_mapper_q_30_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_3_renamed_639)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000235_renamed_1319)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000294_renamed_1321)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_4 "gpio_inst/input_mapper_q_30_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_4_renamed_638)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000235_renamed_1319)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_5 "gpio_inst/input_mapper_q_30_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_5_renamed_637)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_and0000 "gpio_inst/input_mapper_q_30_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_0_renamed_642)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_1_renamed_641)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_2_renamed_640)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_3_renamed_639)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_4_renamed_638)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_5_renamed_637)) - (portRef O (instanceRef gpio_inst_input_mapper_q_30_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_0 "gpio_inst/input_mapper_q_31_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_0_renamed_618)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_1 "gpio_inst/input_mapper_q_31_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_1_renamed_617)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_2 "gpio_inst/input_mapper_q_31_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_2_renamed_616)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000294_renamed_1317)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_3 "gpio_inst/input_mapper_q_31_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_3_renamed_615)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000235_renamed_1315)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000294_renamed_1317)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_4 "gpio_inst/input_mapper_q_31_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_4_renamed_614)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000235_renamed_1315)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_5 "gpio_inst/input_mapper_q_31_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_5_renamed_613)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_and0000 "gpio_inst/input_mapper_q_31_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_0_renamed_618)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_1_renamed_617)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_2_renamed_616)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_3_renamed_615)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_4_renamed_614)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_5_renamed_613)) - (portRef O (instanceRef gpio_inst_input_mapper_q_31_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_0 "gpio_inst/input_mapper_q_32_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_0_renamed_612)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_1 "gpio_inst/input_mapper_q_32_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_1_renamed_611)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_2 "gpio_inst/input_mapper_q_32_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_2_renamed_610)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000294_renamed_1313)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_3 "gpio_inst/input_mapper_q_32_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_3_renamed_609)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000235_renamed_1311)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000294_renamed_1313)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_4 "gpio_inst/input_mapper_q_32_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_4_renamed_608)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000235_renamed_1311)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_5 "gpio_inst/input_mapper_q_32_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_5_renamed_607)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_and0000 "gpio_inst/input_mapper_q_32_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_0_renamed_612)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_1_renamed_611)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_2_renamed_610)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_3_renamed_609)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_4_renamed_608)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_5_renamed_607)) - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_and0000517 "gpio_inst/input_mapper_q_32_and0000517") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_and0000_bdd4 "gpio_inst/input_mapper_q_32_and0000_bdd4") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_46_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_44_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_42_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_40_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_32_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_and0000_bdd6 "gpio_inst/input_mapper_q_32_and0000_bdd6") - (joined - (portRef I2 (instanceRef gpio_inst_input_mapper_q_33_and000041)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and000041)) - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_0 "gpio_inst/input_mapper_q_33_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_0_renamed_624)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_1 "gpio_inst/input_mapper_q_33_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_1_renamed_623)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_2 "gpio_inst/input_mapper_q_33_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_2_renamed_622)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000294_renamed_1309)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_3 "gpio_inst/input_mapper_q_33_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_3_renamed_621)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000235_renamed_1307)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000294_renamed_1309)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_4 "gpio_inst/input_mapper_q_33_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_4_renamed_620)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000235_renamed_1307)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_5 "gpio_inst/input_mapper_q_33_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_5_renamed_619)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_and0000 "gpio_inst/input_mapper_q_33_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_0_renamed_624)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_1_renamed_623)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_2_renamed_622)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_3_renamed_621)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_4_renamed_620)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_5_renamed_619)) - (portRef O (instanceRef gpio_inst_input_mapper_q_33_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_and0000_bdd4 "gpio_inst/input_mapper_q_33_and0000_bdd4") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_33_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_47_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_45_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_43_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_41_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_33_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_0 "gpio_inst/input_mapper_q_3_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_0_renamed_738)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_1 "gpio_inst/input_mapper_q_3_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_1_renamed_737)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_2 "gpio_inst/input_mapper_q_3_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_2_renamed_736)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000294_renamed_1305)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_3 "gpio_inst/input_mapper_q_3_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_3_renamed_735)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000235_renamed_1303)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000294_renamed_1305)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_4 "gpio_inst/input_mapper_q_3_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_4_renamed_734)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000235_renamed_1303)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_5 "gpio_inst/input_mapper_q_3_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_5_renamed_733)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_and0000 "gpio_inst/input_mapper_q_3_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_0_renamed_738)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_1_renamed_737)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_2_renamed_736)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_3_renamed_735)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_4_renamed_734)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_5_renamed_733)) - (portRef O (instanceRef gpio_inst_input_mapper_q_3_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_0 "gpio_inst/input_mapper_q_40_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_0_renamed_600)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_1 "gpio_inst/input_mapper_q_40_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_1_renamed_599)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_2 "gpio_inst/input_mapper_q_40_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_2_renamed_598)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000294_renamed_1301)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_3 "gpio_inst/input_mapper_q_40_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_3_renamed_597)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000235_renamed_1299)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000294_renamed_1301)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_4 "gpio_inst/input_mapper_q_40_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_4_renamed_596)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000235_renamed_1299)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_5 "gpio_inst/input_mapper_q_40_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_5_renamed_595)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_and0000 "gpio_inst/input_mapper_q_40_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_0_renamed_600)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_1_renamed_599)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_2_renamed_598)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_3_renamed_597)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_4_renamed_596)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_5_renamed_595)) - (portRef O (instanceRef gpio_inst_input_mapper_q_40_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_0 "gpio_inst/input_mapper_q_41_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_0_renamed_594)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_1 "gpio_inst/input_mapper_q_41_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_1_renamed_593)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_2 "gpio_inst/input_mapper_q_41_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_2_renamed_592)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000294_renamed_1297)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_3 "gpio_inst/input_mapper_q_41_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_3_renamed_591)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000235_renamed_1295)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000294_renamed_1297)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_4 "gpio_inst/input_mapper_q_41_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_4_renamed_590)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000235_renamed_1295)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_5 "gpio_inst/input_mapper_q_41_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_5_renamed_589)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_and0000 "gpio_inst/input_mapper_q_41_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_0_renamed_594)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_1_renamed_593)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_2_renamed_592)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_3_renamed_591)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_4_renamed_590)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_5_renamed_589)) - (portRef O (instanceRef gpio_inst_input_mapper_q_41_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_0 "gpio_inst/input_mapper_q_42_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_0_renamed_606)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_1 "gpio_inst/input_mapper_q_42_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_1_renamed_605)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_2 "gpio_inst/input_mapper_q_42_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_2_renamed_604)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000294_renamed_1293)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_3 "gpio_inst/input_mapper_q_42_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_3_renamed_603)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000235_renamed_1291)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000294_renamed_1293)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_4 "gpio_inst/input_mapper_q_42_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_4_renamed_602)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000235_renamed_1291)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_5 "gpio_inst/input_mapper_q_42_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_5_renamed_601)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_and0000 "gpio_inst/input_mapper_q_42_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_0_renamed_606)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_1_renamed_605)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_2_renamed_604)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_3_renamed_603)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_4_renamed_602)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_5_renamed_601)) - (portRef O (instanceRef gpio_inst_input_mapper_q_42_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_0 "gpio_inst/input_mapper_q_43_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_0_renamed_582)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_1 "gpio_inst/input_mapper_q_43_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_1_renamed_581)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_2 "gpio_inst/input_mapper_q_43_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_2_renamed_580)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000294_renamed_1289)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_3 "gpio_inst/input_mapper_q_43_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_3_renamed_579)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000235_renamed_1287)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000294_renamed_1289)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_4 "gpio_inst/input_mapper_q_43_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_4_renamed_578)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000235_renamed_1287)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_5 "gpio_inst/input_mapper_q_43_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_5_renamed_577)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_and0000 "gpio_inst/input_mapper_q_43_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_0_renamed_582)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_1_renamed_581)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_2_renamed_580)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_3_renamed_579)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_4_renamed_578)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_5_renamed_577)) - (portRef O (instanceRef gpio_inst_input_mapper_q_43_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_0 "gpio_inst/input_mapper_q_44_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_0_renamed_576)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_1 "gpio_inst/input_mapper_q_44_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_1_renamed_575)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_2 "gpio_inst/input_mapper_q_44_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_2_renamed_574)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000294_renamed_1285)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_3 "gpio_inst/input_mapper_q_44_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_3_renamed_573)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000235_renamed_1283)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000294_renamed_1285)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_4 "gpio_inst/input_mapper_q_44_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_4_renamed_572)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000235_renamed_1283)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_5 "gpio_inst/input_mapper_q_44_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_5_renamed_571)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_and0000 "gpio_inst/input_mapper_q_44_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_0_renamed_576)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_1_renamed_575)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_2_renamed_574)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_3_renamed_573)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_4_renamed_572)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_5_renamed_571)) - (portRef O (instanceRef gpio_inst_input_mapper_q_44_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_0 "gpio_inst/input_mapper_q_45_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_0_renamed_588)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_1 "gpio_inst/input_mapper_q_45_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_1_renamed_587)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_2 "gpio_inst/input_mapper_q_45_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_2_renamed_586)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000294_renamed_1281)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_3 "gpio_inst/input_mapper_q_45_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_3_renamed_585)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000235_renamed_1279)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000294_renamed_1281)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_4 "gpio_inst/input_mapper_q_45_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_4_renamed_584)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000235_renamed_1279)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_5 "gpio_inst/input_mapper_q_45_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_5_renamed_583)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_and0000 "gpio_inst/input_mapper_q_45_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_0_renamed_588)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_1_renamed_587)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_2_renamed_586)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_3_renamed_585)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_4_renamed_584)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_5_renamed_583)) - (portRef O (instanceRef gpio_inst_input_mapper_q_45_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_0 "gpio_inst/input_mapper_q_46_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_0_renamed_563)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_1 "gpio_inst/input_mapper_q_46_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_1_renamed_562)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_2 "gpio_inst/input_mapper_q_46_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_2_renamed_561)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000294_renamed_1277)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_3 "gpio_inst/input_mapper_q_46_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_3_renamed_560)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000235_renamed_1275)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000294_renamed_1277)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_4 "gpio_inst/input_mapper_q_46_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_4_renamed_559)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000235_renamed_1275)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_5 "gpio_inst/input_mapper_q_46_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_5_renamed_558)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_and0000 "gpio_inst/input_mapper_q_46_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_0_renamed_563)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_1_renamed_562)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_2_renamed_561)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_3_renamed_560)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_4_renamed_559)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_5_renamed_558)) - (portRef O (instanceRef gpio_inst_input_mapper_q_46_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_0 "gpio_inst/input_mapper_q_47_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_0_renamed_557)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_1 "gpio_inst/input_mapper_q_47_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_1_renamed_556)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_2 "gpio_inst/input_mapper_q_47_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_2_renamed_555)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000294_renamed_1273)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_3 "gpio_inst/input_mapper_q_47_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_3_renamed_554)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000235_renamed_1271)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000294_renamed_1273)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_4 "gpio_inst/input_mapper_q_47_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_4_renamed_553)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000235_renamed_1271)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_5 "gpio_inst/input_mapper_q_47_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_5_renamed_552)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_and0000 "gpio_inst/input_mapper_q_47_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_0_renamed_557)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_1_renamed_556)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_2_renamed_555)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_3_renamed_554)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_4_renamed_553)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_5_renamed_552)) - (portRef O (instanceRef gpio_inst_input_mapper_q_47_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_0 "gpio_inst/input_mapper_q_4_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_0_renamed_750)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_1 "gpio_inst/input_mapper_q_4_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_1_renamed_749)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_2 "gpio_inst/input_mapper_q_4_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_2_renamed_748)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000294_renamed_1269)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_3 "gpio_inst/input_mapper_q_4_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_3_renamed_747)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000235_renamed_1267)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000294_renamed_1269)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_4 "gpio_inst/input_mapper_q_4_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_4_renamed_746)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000235_renamed_1267)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_5 "gpio_inst/input_mapper_q_4_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_5_renamed_745)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_and0000 "gpio_inst/input_mapper_q_4_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_0_renamed_750)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_1_renamed_749)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_2_renamed_748)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_3_renamed_747)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_4_renamed_746)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_5_renamed_745)) - (portRef O (instanceRef gpio_inst_input_mapper_q_4_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_0 "gpio_inst/input_mapper_q_5_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_0_renamed_732)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_1 "gpio_inst/input_mapper_q_5_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_1_renamed_731)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_2 "gpio_inst/input_mapper_q_5_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_2_renamed_730)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000294_renamed_1265)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_3 "gpio_inst/input_mapper_q_5_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_3_renamed_729)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000235_renamed_1263)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000294_renamed_1265)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_4 "gpio_inst/input_mapper_q_5_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_4_renamed_728)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000235_renamed_1263)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_5 "gpio_inst/input_mapper_q_5_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_5_renamed_727)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_and0000 "gpio_inst/input_mapper_q_5_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_0_renamed_732)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_1_renamed_731)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_2_renamed_730)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_3_renamed_729)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_4_renamed_728)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_5_renamed_727)) - (portRef O (instanceRef gpio_inst_input_mapper_q_5_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_0 "gpio_inst/input_mapper_q_6_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_0_renamed_726)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_1 "gpio_inst/input_mapper_q_6_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_1_renamed_725)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_2 "gpio_inst/input_mapper_q_6_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_2_renamed_724)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000294_renamed_1261)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_3 "gpio_inst/input_mapper_q_6_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_3_renamed_723)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000235_renamed_1259)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000294_renamed_1261)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_4 "gpio_inst/input_mapper_q_6_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_4_renamed_722)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000235_renamed_1259)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_5 "gpio_inst/input_mapper_q_6_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_5_renamed_721)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_and0000 "gpio_inst/input_mapper_q_6_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_0_renamed_726)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_1_renamed_725)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_2_renamed_724)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_3_renamed_723)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_4_renamed_722)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_5_renamed_721)) - (portRef O (instanceRef gpio_inst_input_mapper_q_6_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_0 "gpio_inst/input_mapper_q_7_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_0_renamed_714)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_1 "gpio_inst/input_mapper_q_7_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_1_renamed_713)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_2 "gpio_inst/input_mapper_q_7_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_2_renamed_712)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000294_renamed_1257)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_3 "gpio_inst/input_mapper_q_7_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_3_renamed_711)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000235_renamed_1255)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000294_renamed_1257)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_4 "gpio_inst/input_mapper_q_7_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_4_renamed_710)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000235_renamed_1255)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_5 "gpio_inst/input_mapper_q_7_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_5_renamed_709)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_and0000 "gpio_inst/input_mapper_q_7_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_0_renamed_714)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_1_renamed_713)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_2_renamed_712)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_3_renamed_711)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_4_renamed_710)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_5_renamed_709)) - (portRef O (instanceRef gpio_inst_input_mapper_q_7_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_0 "gpio_inst/input_mapper_q_8_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_0_renamed_708)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_1 "gpio_inst/input_mapper_q_8_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_1_renamed_707)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_2 "gpio_inst/input_mapper_q_8_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_2_renamed_706)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000294_renamed_1253)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_3 "gpio_inst/input_mapper_q_8_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_3_renamed_705)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000235_renamed_1251)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000294_renamed_1253)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_4 "gpio_inst/input_mapper_q_8_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_4_renamed_704)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000235_renamed_1251)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_5 "gpio_inst/input_mapper_q_8_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_5_renamed_703)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_and0000 "gpio_inst/input_mapper_q_8_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_0_renamed_708)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_1_renamed_707)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_2_renamed_706)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_3_renamed_705)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_4_renamed_704)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_5_renamed_703)) - (portRef O (instanceRef gpio_inst_input_mapper_q_8_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_0 "gpio_inst/input_mapper_q_9_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_0_renamed_720)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_1 "gpio_inst/input_mapper_q_9_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_1_renamed_719)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_2 "gpio_inst/input_mapper_q_9_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_2_renamed_718)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000294_renamed_1249)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_3 "gpio_inst/input_mapper_q_9_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_3_renamed_717)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000235_renamed_1247)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000294_renamed_1249)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_4 "gpio_inst/input_mapper_q_9_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_4_renamed_716)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000235_renamed_1247)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_5 "gpio_inst/input_mapper_q_9_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_5_renamed_715)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_and0000 "gpio_inst/input_mapper_q_9_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_0_renamed_720)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_1_renamed_719)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_2_renamed_718)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_3_renamed_717)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_4_renamed_716)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_5_renamed_715)) - (portRef O (instanceRef gpio_inst_input_mapper_q_9_and000011)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_0 "gpio_inst/output_mapper_q_0_0") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_0_renamed_570)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000451_renamed_1412)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_1 "gpio_inst/output_mapper_q_0_1") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_1_renamed_569)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000841_renamed_2259)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000842_renamed_2260)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00005531_renamed_2265)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00005532_renamed_2266)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_2 "gpio_inst/output_mapper_q_0_2") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_2_renamed_568)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux000084_f5)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00002261_renamed_2261)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00002262_renamed_2262)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00003621_renamed_2263)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00003622_renamed_2264)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_3 "gpio_inst/output_mapper_q_0_3") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_3_renamed_567)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_4 "gpio_inst/output_mapper_q_0_4") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_4_renamed_566)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00006841_renamed_2267)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00006842)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_5 "gpio_inst/output_mapper_q_0_5") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_5_renamed_565)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux0000226_f5)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_6 "gpio_inst/output_mapper_q_0_6") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_6_renamed_564)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00006841_renamed_2267)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00006842)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_and0000 "gpio_inst/output_mapper_q_0_and0000") - (joined - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_0_renamed_570)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_1_renamed_569)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_2_renamed_568)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_3_renamed_567)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_4_renamed_566)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_5_renamed_565)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_6_renamed_564)) - (portRef O (instanceRef gpio_inst_output_mapper_q_0_and00001)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_0 "gpio_inst/output_mapper_q_1_0") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_0_renamed_551)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000451_renamed_1504)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_1 "gpio_inst/output_mapper_q_1_1") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_1_renamed_550)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000841_renamed_2273)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000842_renamed_2274)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00005531_renamed_2279)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00005532_renamed_2280)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_2 "gpio_inst/output_mapper_q_1_2") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_2_renamed_549)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - (portRef S (instanceRef gpio_inst_spp_read_1_mux000084_f5)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00002261_renamed_2275)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00002262_renamed_2276)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00003621_renamed_2277)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00003622_renamed_2278)) - (portRef S (instanceRef gpio_inst_spp_read_1_mux0000553_f5)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_3 "gpio_inst/output_mapper_q_1_3") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_3_renamed_548)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_4 "gpio_inst/output_mapper_q_1_4") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_4_renamed_547)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_5 "gpio_inst/output_mapper_q_1_5") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_5_renamed_546)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - (portRef S (instanceRef gpio_inst_spp_read_1_mux0000226_f5)) - (portRef S (instanceRef gpio_inst_spp_read_1_mux0000362_f5)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_6 "gpio_inst/output_mapper_q_1_6") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_6_renamed_545)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I2 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_F)) - (portRef I2 (instanceRef slot11_rx_inst_baudreset_or000011)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_and0000 "gpio_inst/output_mapper_q_1_and0000") - (joined - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_0_renamed_551)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_1_renamed_550)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_2_renamed_549)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_3_renamed_548)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_4_renamed_547)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_5_renamed_546)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_6_renamed_545)) - (portRef O (instanceRef gpio_inst_output_mapper_q_1_and00001)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_and00001_SW0 "gpio_inst/output_mapper_q_1_and00001_SW0") - (joined - (portRef O (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I0 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW0_f5)) - ) - ) - (net (rename gpio_inst_ppspin_q_0_ "gpio_inst/ppspin_q<0>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_0)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_5_renamed_424)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_0_not0001 "gpio_inst/ppspin_q_0_not0001") - (joined - (portRef CE (instanceRef gpio_inst_ppspin_q_0)) - (portRef CE (instanceRef gpio_inst_ppspin_q_1)) - (portRef CE (instanceRef gpio_inst_ppspin_q_3)) - (portRef CE (instanceRef gpio_inst_ppspin_q_2)) - (portRef CE (instanceRef gpio_inst_ppspin_q_4)) - (portRef CE (instanceRef gpio_inst_ppspin_q_5)) - (portRef CE (instanceRef gpio_inst_ppspin_q_6)) - (portRef CE (instanceRef gpio_inst_ppspin_q_7)) - (portRef CE (instanceRef gpio_inst_ppspin_q_8)) - (portRef CE (instanceRef gpio_inst_ppspin_q_9)) - (portRef CE (instanceRef gpio_inst_ppspin_q_10)) - (portRef CE (instanceRef gpio_inst_ppspin_q_13)) - (portRef CE (instanceRef gpio_inst_ppspin_q_11)) - (portRef CE (instanceRef gpio_inst_ppspin_q_12)) - (portRef CE (instanceRef gpio_inst_ppspin_q_15)) - (portRef CE (instanceRef gpio_inst_ppspin_q_14)) - (portRef CE (instanceRef gpio_inst_ppspin_q_20)) - (portRef CE (instanceRef gpio_inst_ppspin_q_21)) - (portRef CE (instanceRef gpio_inst_ppspin_q_16)) - (portRef CE (instanceRef gpio_inst_ppspin_q_23)) - (portRef CE (instanceRef gpio_inst_ppspin_q_22)) - (portRef CE (instanceRef gpio_inst_ppspin_q_17)) - (portRef CE (instanceRef gpio_inst_ppspin_q_19)) - (portRef CE (instanceRef gpio_inst_ppspin_q_18)) - (portRef CE (instanceRef gpio_inst_ppspin_q_24)) - (portRef CE (instanceRef gpio_inst_ppspin_q_31)) - (portRef CE (instanceRef gpio_inst_ppspin_q_25)) - (portRef CE (instanceRef gpio_inst_ppspin_q_30)) - (portRef CE (instanceRef gpio_inst_ppspin_q_26)) - (portRef CE (instanceRef gpio_inst_ppspin_q_27)) - (portRef CE (instanceRef gpio_inst_ppspin_q_29)) - (portRef CE (instanceRef gpio_inst_ppspin_q_28)) - (portRef O (instanceRef gpio_inst_ppspin_q_0_not000111)) - ) - ) - (net (rename gpio_inst_ppspin_q_1_ "gpio_inst/ppspin_q<1>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_1)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_522_renamed_470)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_10_ "gpio_inst/ppspin_q<10>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_10)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_52_renamed_430)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_100_ "gpio_inst/ppspin_q<100>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_100)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_433)) - ) - ) - (net (rename gpio_inst_ppspin_q_101_ "gpio_inst/ppspin_q<101>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_101)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_434)) - ) - ) - (net (rename gpio_inst_ppspin_q_102_ "gpio_inst/ppspin_q<102>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_102)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_435)) - ) - ) - (net (rename gpio_inst_ppspin_q_103_ "gpio_inst/ppspin_q<103>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_103)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_436)) - ) - ) - (net (rename gpio_inst_ppspin_q_104_ "gpio_inst/ppspin_q<104>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_104)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_437)) - ) - ) - (net (rename gpio_inst_ppspin_q_105_ "gpio_inst/ppspin_q<105>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_105)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_438)) - ) - ) - (net (rename gpio_inst_ppspin_q_106_ "gpio_inst/ppspin_q<106>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_106)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_41_renamed_429)) - ) - ) - (net (rename gpio_inst_ppspin_q_107_ "gpio_inst/ppspin_q<107>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_107)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_42_renamed_433)) - ) - ) - (net (rename gpio_inst_ppspin_q_108_ "gpio_inst/ppspin_q<108>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_108)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_43_renamed_437)) - ) - ) - (net (rename gpio_inst_ppspin_q_109_ "gpio_inst/ppspin_q<109>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_109)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_44_renamed_441)) - ) - ) - (net (rename gpio_inst_ppspin_q_11_ "gpio_inst/ppspin_q<11>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_11)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_54_renamed_434)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_110_ "gpio_inst/ppspin_q<110>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_110)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_45_renamed_445)) - ) - ) - (net (rename gpio_inst_ppspin_q_111_ "gpio_inst/ppspin_q<111>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_111)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_46_renamed_449)) - ) - ) - (net (rename gpio_inst_ppspin_q_112_ "gpio_inst/ppspin_q<112>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_112)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_47_renamed_453)) - ) - ) - (net (rename gpio_inst_ppspin_q_113_ "gpio_inst/ppspin_q<113>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_113)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_48_renamed_457)) - ) - ) - (net (rename gpio_inst_ppspin_q_114_ "gpio_inst/ppspin_q<114>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_114)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_410_renamed_461)) - ) - ) - (net (rename gpio_inst_ppspin_q_115_ "gpio_inst/ppspin_q<115>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_115)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_412_renamed_465)) - ) - ) - (net (rename gpio_inst_ppspin_q_116_ "gpio_inst/ppspin_q<116>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_116)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_415_renamed_473)) - ) - ) - (net (rename gpio_inst_ppspin_q_117_ "gpio_inst/ppspin_q<117>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_117)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_417_renamed_477)) - ) - ) - (net (rename gpio_inst_ppspin_q_118_ "gpio_inst/ppspin_q<118>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_118)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_419_renamed_481)) - ) - ) - (net (rename gpio_inst_ppspin_q_119_ "gpio_inst/ppspin_q<119>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_119)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_421_renamed_485)) - ) - ) - (net (rename gpio_inst_ppspin_q_12_ "gpio_inst/ppspin_q<12>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_12)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_56_renamed_438)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_120_ "gpio_inst/ppspin_q<120>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_120)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_423_renamed_488)) - ) - ) - (net (rename gpio_inst_ppspin_q_121_ "gpio_inst/ppspin_q<121>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_121)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_424_renamed_492)) - ) - ) - (net (rename gpio_inst_ppspin_q_122_ "gpio_inst/ppspin_q<122>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_122)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_425_renamed_496)) - ) - ) - (net (rename gpio_inst_ppspin_q_123_ "gpio_inst/ppspin_q<123>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_123)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_426_renamed_500)) - ) - ) - (net (rename gpio_inst_ppspin_q_124_ "gpio_inst/ppspin_q<124>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_124)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_427_renamed_504)) - ) - ) - (net (rename gpio_inst_ppspin_q_125_ "gpio_inst/ppspin_q<125>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_125)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_428_renamed_508)) - ) - ) - (net (rename gpio_inst_ppspin_q_126_ "gpio_inst/ppspin_q<126>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_126)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_430_renamed_516)) - ) - ) - (net (rename gpio_inst_ppspin_q_127_ "gpio_inst/ppspin_q<127>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_127)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_431_renamed_520)) - ) - ) - (net (rename gpio_inst_ppspin_q_13_ "gpio_inst/ppspin_q<13>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_13)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_58_renamed_442)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_14_ "gpio_inst/ppspin_q<14>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_14)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_510_renamed_446)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_15_ "gpio_inst/ppspin_q<15>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_15)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_512_renamed_450)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_16_ "gpio_inst/ppspin_q<16>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_16)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_514_renamed_454)) - ) - ) - (net (rename gpio_inst_ppspin_q_17_ "gpio_inst/ppspin_q<17>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_17)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_516_renamed_458)) - ) - ) - (net (rename gpio_inst_ppspin_q_18_ "gpio_inst/ppspin_q<18>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_18)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_518_renamed_462)) - ) - ) - (net (rename gpio_inst_ppspin_q_19_ "gpio_inst/ppspin_q<19>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_19)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_520_renamed_466)) - ) - ) - (net (rename gpio_inst_ppspin_q_2_ "gpio_inst/ppspin_q<2>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_2)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_544_renamed_513)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_20_ "gpio_inst/ppspin_q<20>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_20)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_524_renamed_474)) - ) - ) - (net (rename gpio_inst_ppspin_q_21_ "gpio_inst/ppspin_q<21>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_21)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_526_renamed_478)) - ) - ) - (net (rename gpio_inst_ppspin_q_22_ "gpio_inst/ppspin_q<22>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_22)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_528_renamed_482)) - ) - ) - (net (rename gpio_inst_ppspin_q_23_ "gpio_inst/ppspin_q<23>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_23)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_530_renamed_486)) - ) - ) - (net (rename gpio_inst_ppspin_q_24_ "gpio_inst/ppspin_q<24>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_24)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_532_renamed_489)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_25_ "gpio_inst/ppspin_q<25>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_25)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_534_renamed_493)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_26_ "gpio_inst/ppspin_q<26>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_26)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_536_renamed_497)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_27_ "gpio_inst/ppspin_q<27>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_27)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_538_renamed_501)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_28_ "gpio_inst/ppspin_q<28>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_28)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_540_renamed_505)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_29_ "gpio_inst/ppspin_q<29>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_29)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_542_renamed_509)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_3_ "gpio_inst/ppspin_q<3>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_3)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_550_renamed_524)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_30_ "gpio_inst/ppspin_q<30>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_30)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_546_renamed_517)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_31_ "gpio_inst/ppspin_q<31>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_31)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_548_renamed_521)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_32_ "gpio_inst/ppspin_q<32>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_32)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_5_renamed_424)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_32_not0001 "gpio_inst/ppspin_q_32_not0001") - (joined - (portRef CE (instanceRef gpio_inst_ppspin_q_32)) - (portRef CE (instanceRef gpio_inst_ppspin_q_33)) - (portRef CE (instanceRef gpio_inst_ppspin_q_35)) - (portRef CE (instanceRef gpio_inst_ppspin_q_34)) - (portRef CE (instanceRef gpio_inst_ppspin_q_40)) - (portRef CE (instanceRef gpio_inst_ppspin_q_36)) - (portRef CE (instanceRef gpio_inst_ppspin_q_41)) - (portRef CE (instanceRef gpio_inst_ppspin_q_37)) - (portRef CE (instanceRef gpio_inst_ppspin_q_42)) - (portRef CE (instanceRef gpio_inst_ppspin_q_38)) - (portRef CE (instanceRef gpio_inst_ppspin_q_43)) - (portRef CE (instanceRef gpio_inst_ppspin_q_39)) - (portRef CE (instanceRef gpio_inst_ppspin_q_44)) - (portRef CE (instanceRef gpio_inst_ppspin_q_45)) - (portRef CE (instanceRef gpio_inst_ppspin_q_50)) - (portRef CE (instanceRef gpio_inst_ppspin_q_46)) - (portRef CE (instanceRef gpio_inst_ppspin_q_51)) - (portRef CE (instanceRef gpio_inst_ppspin_q_47)) - (portRef CE (instanceRef gpio_inst_ppspin_q_52)) - (portRef CE (instanceRef gpio_inst_ppspin_q_48)) - (portRef CE (instanceRef gpio_inst_ppspin_q_53)) - (portRef CE (instanceRef gpio_inst_ppspin_q_49)) - (portRef CE (instanceRef gpio_inst_ppspin_q_54)) - (portRef CE (instanceRef gpio_inst_ppspin_q_55)) - (portRef CE (instanceRef gpio_inst_ppspin_q_60)) - (portRef CE (instanceRef gpio_inst_ppspin_q_61)) - (portRef CE (instanceRef gpio_inst_ppspin_q_56)) - (portRef CE (instanceRef gpio_inst_ppspin_q_58)) - (portRef CE (instanceRef gpio_inst_ppspin_q_57)) - (portRef CE (instanceRef gpio_inst_ppspin_q_62)) - (portRef CE (instanceRef gpio_inst_ppspin_q_63)) - (portRef CE (instanceRef gpio_inst_ppspin_q_59)) - (portRef O (instanceRef gpio_inst_ppspin_q_32_not000111)) - ) - ) - (net (rename gpio_inst_ppspin_q_33_ "gpio_inst/ppspin_q<33>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_33)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_522_renamed_470)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_34_ "gpio_inst/ppspin_q<34>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_34)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_544_renamed_513)) - ) - ) - (net (rename gpio_inst_ppspin_q_35_ "gpio_inst/ppspin_q<35>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_35)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_550_renamed_524)) - ) - ) - (net (rename gpio_inst_ppspin_q_36_ "gpio_inst/ppspin_q<36>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_36)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_552_renamed_527)) - ) - ) - (net (rename gpio_inst_ppspin_q_37_ "gpio_inst/ppspin_q<37>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_37)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_554_renamed_530)) - ) - ) - (net (rename gpio_inst_ppspin_q_38_ "gpio_inst/ppspin_q<38>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_38)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_556_renamed_533)) - ) - ) - (net (rename gpio_inst_ppspin_q_39_ "gpio_inst/ppspin_q<39>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_39)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_558_renamed_536)) - ) - ) - (net (rename gpio_inst_ppspin_q_4_ "gpio_inst/ppspin_q<4>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_4)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_552_renamed_527)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_40_ "gpio_inst/ppspin_q<40>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_40)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_560_renamed_539)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_41_ "gpio_inst/ppspin_q<41>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_41)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_562_renamed_542)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_42_ "gpio_inst/ppspin_q<42>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_42)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_52_renamed_430)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_43_ "gpio_inst/ppspin_q<43>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_43)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_54_renamed_434)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_44_ "gpio_inst/ppspin_q<44>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_44)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_56_renamed_438)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_45_ "gpio_inst/ppspin_q<45>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_45)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_58_renamed_442)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_46_ "gpio_inst/ppspin_q<46>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_46)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_510_renamed_446)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_47_ "gpio_inst/ppspin_q<47>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_47)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_512_renamed_450)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_48_ "gpio_inst/ppspin_q<48>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_48)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_514_renamed_454)) - ) - ) - (net (rename gpio_inst_ppspin_q_49_ "gpio_inst/ppspin_q<49>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_49)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_516_renamed_458)) - ) - ) - (net (rename gpio_inst_ppspin_q_5_ "gpio_inst/ppspin_q<5>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_5)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_554_renamed_530)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_50_ "gpio_inst/ppspin_q<50>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_50)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_518_renamed_462)) - ) - ) - (net (rename gpio_inst_ppspin_q_51_ "gpio_inst/ppspin_q<51>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_51)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_520_renamed_466)) - ) - ) - (net (rename gpio_inst_ppspin_q_52_ "gpio_inst/ppspin_q<52>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_52)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_524_renamed_474)) - ) - ) - (net (rename gpio_inst_ppspin_q_53_ "gpio_inst/ppspin_q<53>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_53)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_526_renamed_478)) - ) - ) - (net (rename gpio_inst_ppspin_q_54_ "gpio_inst/ppspin_q<54>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_54)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_528_renamed_482)) - ) - ) - (net (rename gpio_inst_ppspin_q_55_ "gpio_inst/ppspin_q<55>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_55)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_530_renamed_486)) - ) - ) - (net (rename gpio_inst_ppspin_q_56_ "gpio_inst/ppspin_q<56>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_56)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_532_renamed_489)) - ) - ) - (net (rename gpio_inst_ppspin_q_57_ "gpio_inst/ppspin_q<57>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_57)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_534_renamed_493)) - ) - ) - (net (rename gpio_inst_ppspin_q_58_ "gpio_inst/ppspin_q<58>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_58)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_536_renamed_497)) - ) - ) - (net (rename gpio_inst_ppspin_q_59_ "gpio_inst/ppspin_q<59>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_59)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_538_renamed_501)) - ) - ) - (net (rename gpio_inst_ppspin_q_6_ "gpio_inst/ppspin_q<6>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_6)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_556_renamed_533)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_60_ "gpio_inst/ppspin_q<60>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_60)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_540_renamed_505)) - ) - ) - (net (rename gpio_inst_ppspin_q_61_ "gpio_inst/ppspin_q<61>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_61)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_542_renamed_509)) - ) - ) - (net (rename gpio_inst_ppspin_q_62_ "gpio_inst/ppspin_q<62>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_62)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_546_renamed_517)) - ) - ) - (net (rename gpio_inst_ppspin_q_63_ "gpio_inst/ppspin_q<63>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_63)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_548_renamed_521)) - ) - ) - (net (rename gpio_inst_ppspin_q_64_ "gpio_inst/ppspin_q<64>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_64)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_renamed_423)) - ) - ) - (net (rename gpio_inst_ppspin_q_64_not0001 "gpio_inst/ppspin_q_64_not0001") - (joined - (portRef CE (instanceRef gpio_inst_ppspin_q_64)) - (portRef CE (instanceRef gpio_inst_ppspin_q_66)) - (portRef CE (instanceRef gpio_inst_ppspin_q_65)) - (portRef CE (instanceRef gpio_inst_ppspin_q_70)) - (portRef CE (instanceRef gpio_inst_ppspin_q_72)) - (portRef CE (instanceRef gpio_inst_ppspin_q_71)) - (portRef CE (instanceRef gpio_inst_ppspin_q_67)) - (portRef CE (instanceRef gpio_inst_ppspin_q_69)) - (portRef CE (instanceRef gpio_inst_ppspin_q_68)) - (portRef CE (instanceRef gpio_inst_ppspin_q_73)) - (portRef CE (instanceRef gpio_inst_ppspin_q_80)) - (portRef CE (instanceRef gpio_inst_ppspin_q_74)) - (portRef CE (instanceRef gpio_inst_ppspin_q_75)) - (portRef CE (instanceRef gpio_inst_ppspin_q_77)) - (portRef CE (instanceRef gpio_inst_ppspin_q_76)) - (portRef CE (instanceRef gpio_inst_ppspin_q_81)) - (portRef CE (instanceRef gpio_inst_ppspin_q_83)) - (portRef CE (instanceRef gpio_inst_ppspin_q_82)) - (portRef CE (instanceRef gpio_inst_ppspin_q_78)) - (portRef CE (instanceRef gpio_inst_ppspin_q_85)) - (portRef CE (instanceRef gpio_inst_ppspin_q_79)) - (portRef CE (instanceRef gpio_inst_ppspin_q_84)) - (portRef CE (instanceRef gpio_inst_ppspin_q_91)) - (portRef CE (instanceRef gpio_inst_ppspin_q_90)) - (portRef CE (instanceRef gpio_inst_ppspin_q_86)) - (portRef CE (instanceRef gpio_inst_ppspin_q_88)) - (portRef CE (instanceRef gpio_inst_ppspin_q_87)) - (portRef CE (instanceRef gpio_inst_ppspin_q_92)) - (portRef CE (instanceRef gpio_inst_ppspin_q_94)) - (portRef CE (instanceRef gpio_inst_ppspin_q_93)) - (portRef CE (instanceRef gpio_inst_ppspin_q_89)) - (portRef CE (instanceRef gpio_inst_ppspin_q_95)) - (portRef O (instanceRef gpio_inst_ppspin_q_64_not000111)) - ) - ) - (net (rename gpio_inst_ppspin_q_65_ "gpio_inst/ppspin_q<65>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_65)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_414_renamed_469)) - ) - ) - (net (rename gpio_inst_ppspin_q_66_ "gpio_inst/ppspin_q<66>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_66)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_429_renamed_512)) - ) - ) - (net (rename gpio_inst_ppspin_q_67_ "gpio_inst/ppspin_q<67>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_67)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_432)) - ) - ) - (net (rename gpio_inst_ppspin_q_68_ "gpio_inst/ppspin_q<68>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_68)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_433)) - ) - ) - (net (rename gpio_inst_ppspin_q_69_ "gpio_inst/ppspin_q<69>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_69)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_434)) - ) - ) - (net (rename gpio_inst_ppspin_q_7_ "gpio_inst/ppspin_q<7>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_7)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_558_renamed_536)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_70_ "gpio_inst/ppspin_q<70>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_70)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_435)) - ) - ) - (net (rename gpio_inst_ppspin_q_71_ "gpio_inst/ppspin_q<71>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_71)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_436)) - ) - ) - (net (rename gpio_inst_ppspin_q_72_ "gpio_inst/ppspin_q<72>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_72)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_437)) - ) - ) - (net (rename gpio_inst_ppspin_q_73_ "gpio_inst/ppspin_q<73>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_73)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_438)) - ) - ) - (net (rename gpio_inst_ppspin_q_74_ "gpio_inst/ppspin_q<74>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_74)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_41_renamed_429)) - ) - ) - (net (rename gpio_inst_ppspin_q_75_ "gpio_inst/ppspin_q<75>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_75)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_42_renamed_433)) - ) - ) - (net (rename gpio_inst_ppspin_q_76_ "gpio_inst/ppspin_q<76>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_76)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_43_renamed_437)) - ) - ) - (net (rename gpio_inst_ppspin_q_77_ "gpio_inst/ppspin_q<77>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_77)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_44_renamed_441)) - ) - ) - (net (rename gpio_inst_ppspin_q_78_ "gpio_inst/ppspin_q<78>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_78)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_45_renamed_445)) - ) - ) - (net (rename gpio_inst_ppspin_q_79_ "gpio_inst/ppspin_q<79>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_79)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_46_renamed_449)) - ) - ) - (net (rename gpio_inst_ppspin_q_8_ "gpio_inst/ppspin_q<8>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_8)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_560_renamed_539)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_80_ "gpio_inst/ppspin_q<80>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_80)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_47_renamed_453)) - ) - ) - (net (rename gpio_inst_ppspin_q_81_ "gpio_inst/ppspin_q<81>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_81)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_48_renamed_457)) - ) - ) - (net (rename gpio_inst_ppspin_q_82_ "gpio_inst/ppspin_q<82>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_82)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_410_renamed_461)) - ) - ) - (net (rename gpio_inst_ppspin_q_83_ "gpio_inst/ppspin_q<83>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_83)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_412_renamed_465)) - ) - ) - (net (rename gpio_inst_ppspin_q_84_ "gpio_inst/ppspin_q<84>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_84)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_415_renamed_473)) - ) - ) - (net (rename gpio_inst_ppspin_q_85_ "gpio_inst/ppspin_q<85>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_85)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_417_renamed_477)) - ) - ) - (net (rename gpio_inst_ppspin_q_86_ "gpio_inst/ppspin_q<86>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_86)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_419_renamed_481)) - ) - ) - (net (rename gpio_inst_ppspin_q_87_ "gpio_inst/ppspin_q<87>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_87)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_421_renamed_485)) - ) - ) - (net (rename gpio_inst_ppspin_q_88_ "gpio_inst/ppspin_q<88>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_88)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_423_renamed_488)) - ) - ) - (net (rename gpio_inst_ppspin_q_89_ "gpio_inst/ppspin_q<89>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_89)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_424_renamed_492)) - ) - ) - (net (rename gpio_inst_ppspin_q_9_ "gpio_inst/ppspin_q<9>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_9)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_562_renamed_542)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_90_ "gpio_inst/ppspin_q<90>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_90)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_425_renamed_496)) - ) - ) - (net (rename gpio_inst_ppspin_q_91_ "gpio_inst/ppspin_q<91>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_91)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_426_renamed_500)) - ) - ) - (net (rename gpio_inst_ppspin_q_92_ "gpio_inst/ppspin_q<92>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_92)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_427_renamed_504)) - ) - ) - (net (rename gpio_inst_ppspin_q_93_ "gpio_inst/ppspin_q<93>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_93)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_428_renamed_508)) - ) - ) - (net (rename gpio_inst_ppspin_q_94_ "gpio_inst/ppspin_q<94>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_94)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_430_renamed_516)) - ) - ) - (net (rename gpio_inst_ppspin_q_95_ "gpio_inst/ppspin_q<95>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_95)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_431_renamed_520)) - ) - ) - (net (rename gpio_inst_ppspin_q_96_ "gpio_inst/ppspin_q<96>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_96)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_4_renamed_423)) - ) - ) - (net (rename gpio_inst_ppspin_q_96_not0001 "gpio_inst/ppspin_q_96_not0001") - (joined - (portRef CE (instanceRef gpio_inst_ppspin_q_98)) - (portRef CE (instanceRef gpio_inst_ppspin_q_96)) - (portRef CE (instanceRef gpio_inst_ppspin_q_97)) - (portRef CE (instanceRef gpio_inst_ppspin_q_99)) - (portRef CE (instanceRef gpio_inst_ppspin_q_101)) - (portRef CE (instanceRef gpio_inst_ppspin_q_100)) - (portRef CE (instanceRef gpio_inst_ppspin_q_104)) - (portRef CE (instanceRef gpio_inst_ppspin_q_102)) - (portRef CE (instanceRef gpio_inst_ppspin_q_103)) - (portRef CE (instanceRef gpio_inst_ppspin_q_106)) - (portRef CE (instanceRef gpio_inst_ppspin_q_105)) - (portRef CE (instanceRef gpio_inst_ppspin_q_110)) - (portRef CE (instanceRef gpio_inst_ppspin_q_112)) - (portRef CE (instanceRef gpio_inst_ppspin_q_111)) - (portRef CE (instanceRef gpio_inst_ppspin_q_107)) - (portRef CE (instanceRef gpio_inst_ppspin_q_113)) - (portRef CE (instanceRef gpio_inst_ppspin_q_108)) - (portRef CE (instanceRef gpio_inst_ppspin_q_114)) - (portRef CE (instanceRef gpio_inst_ppspin_q_109)) - (portRef CE (instanceRef gpio_inst_ppspin_q_120)) - (portRef CE (instanceRef gpio_inst_ppspin_q_115)) - (portRef CE (instanceRef gpio_inst_ppspin_q_121)) - (portRef CE (instanceRef gpio_inst_ppspin_q_116)) - (portRef CE (instanceRef gpio_inst_ppspin_q_122)) - (portRef CE (instanceRef gpio_inst_ppspin_q_117)) - (portRef CE (instanceRef gpio_inst_ppspin_q_118)) - (portRef CE (instanceRef gpio_inst_ppspin_q_123)) - (portRef CE (instanceRef gpio_inst_ppspin_q_124)) - (portRef CE (instanceRef gpio_inst_ppspin_q_119)) - (portRef CE (instanceRef gpio_inst_ppspin_q_125)) - (portRef CE (instanceRef gpio_inst_ppspin_q_126)) - (portRef CE (instanceRef gpio_inst_ppspin_q_127)) - (portRef O (instanceRef gpio_inst_ppspin_q_96_not000111)) - ) - ) - (net (rename gpio_inst_ppspin_q_97_ "gpio_inst/ppspin_q<97>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_97)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_414_renamed_469)) - ) - ) - (net (rename gpio_inst_ppspin_q_98_ "gpio_inst/ppspin_q<98>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_98)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_429_renamed_512)) - ) - ) - (net (rename gpio_inst_ppspin_q_99_ "gpio_inst/ppspin_q<99>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_99)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_432)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000112 "gpio_inst/spp_read_0_mux0000112") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux000012 "gpio_inst/spp_read_0_mux000012") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000128 "gpio_inst/spp_read_0_mux0000128") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00006841_renamed_2267)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux00006842)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000154 "gpio_inst/spp_read_0_mux0000154") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000169 "gpio_inst/spp_read_0_mux0000169") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000226 "gpio_inst/spp_read_0_mux0000226") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00002261 "gpio_inst/spp_read_0_mux00002261") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00002261_renamed_2261)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00002262 "gpio_inst/spp_read_0_mux00002262") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00002262_renamed_2262)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000254 "gpio_inst/spp_read_0_mux0000254") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux000027 "gpio_inst/spp_read_0_mux000027") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000290 "gpio_inst/spp_read_0_mux0000290") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000305 "gpio_inst/spp_read_0_mux0000305") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000362 "gpio_inst/spp_read_0_mux0000362") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00003621 "gpio_inst/spp_read_0_mux00003621") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00003621_renamed_2263)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00003622 "gpio_inst/spp_read_0_mux00003622") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00003622_renamed_2264)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000390 "gpio_inst/spp_read_0_mux0000390") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000434 "gpio_inst/spp_read_0_mux0000434") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00006842)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000451 "gpio_inst/spp_read_0_mux0000451") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000451_renamed_1412)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000460 "gpio_inst/spp_read_0_mux0000460") - (joined - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000481 "gpio_inst/spp_read_0_mux0000481") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000496 "gpio_inst/spp_read_0_mux0000496") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000553 "gpio_inst/spp_read_0_mux0000553") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00005531 "gpio_inst/spp_read_0_mux00005531") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00005531_renamed_2265)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00005532 "gpio_inst/spp_read_0_mux00005532") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00005532_renamed_2266)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000581 "gpio_inst/spp_read_0_mux0000581") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000626 "gpio_inst/spp_read_0_mux0000626") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux0000684_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000684 "gpio_inst/spp_read_0_mux0000684") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00006841_renamed_2267)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000684_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00006841 "gpio_inst/spp_read_0_mux00006841") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00006842)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000684_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux000084 "gpio_inst/spp_read_0_mux000084") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000841 "gpio_inst/spp_read_0_mux0000841") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000841_renamed_2259)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000842 "gpio_inst/spp_read_0_mux0000842") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000842_renamed_2260)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000112 "gpio_inst/spp_read_1_mux0000112") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux000012 "gpio_inst/spp_read_1_mux000012") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000128 "gpio_inst/spp_read_1_mux0000128") - (joined - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000154 "gpio_inst/spp_read_1_mux0000154") - (joined - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000169 "gpio_inst/spp_read_1_mux0000169") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000226 "gpio_inst/spp_read_1_mux0000226") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00002261 "gpio_inst/spp_read_1_mux00002261") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00002261_renamed_2275)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00002262 "gpio_inst/spp_read_1_mux00002262") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00002262_renamed_2276)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000254 "gpio_inst/spp_read_1_mux0000254") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux000027 "gpio_inst/spp_read_1_mux000027") - (joined - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000290 "gpio_inst/spp_read_1_mux0000290") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000305 "gpio_inst/spp_read_1_mux0000305") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000362 "gpio_inst/spp_read_1_mux0000362") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000362_f5)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00003621 "gpio_inst/spp_read_1_mux00003621") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00003621_renamed_2277)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00003622 "gpio_inst/spp_read_1_mux00003622") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00003622_renamed_2278)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000390 "gpio_inst/spp_read_1_mux0000390") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000434 "gpio_inst/spp_read_1_mux0000434") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000451 "gpio_inst/spp_read_1_mux0000451") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000451_renamed_1504)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000460 "gpio_inst/spp_read_1_mux0000460") - (joined - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000481 "gpio_inst/spp_read_1_mux0000481") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000496 "gpio_inst/spp_read_1_mux0000496") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000553 "gpio_inst/spp_read_1_mux0000553") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000553_f5)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00005531 "gpio_inst/spp_read_1_mux00005531") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00005531_renamed_2279)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00005532 "gpio_inst/spp_read_1_mux00005532") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00005532_renamed_2280)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000581 "gpio_inst/spp_read_1_mux0000581") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000626 "gpio_inst/spp_read_1_mux0000626") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000670 "gpio_inst/spp_read_1_mux0000670") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_F)) - (portRef I1 (instanceRef slot11_rx_inst_baudreset_or000011)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux000084 "gpio_inst/spp_read_1_mux000084") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - (portRef O (instanceRef gpio_inst_spp_read_1_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000841 "gpio_inst/spp_read_1_mux0000841") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000841_renamed_2273)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000842 "gpio_inst/spp_read_1_mux0000842") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000842_renamed_2274)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux000084_f5)) - ) - ) - (net (rename gpio_inst_wb_adr_i_3__mmx_out "gpio_inst/wb_adr_i<3>_mmx_out") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_14)) - (portRef O (instanceRef gpio_inst_wb_adr_i_3_1)) - ) - ) - (net (rename gpio_spp_read_0_ "gpio_spp_read<0>") - (joined - (portRef D (instanceRef slot1_zspi_read_reg_q_0)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000684_f5)) - ) - ) - (net (rename ibufrx_sync_Mshreg_ff2 "ibufrx/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef D (instanceRef ibufrx_sync_ff2_renamed_2525)) - ) - ) - (net (rename ibufrx_sync_ff2 "ibufrx/sync/ff2") - (joined - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_0__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_0__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_0__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_1__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_2__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_3__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_4__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_5__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_6__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_7__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_8__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_9__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_10__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_11__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_12__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_13__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_14__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_15__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_16__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_17__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_18__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_19__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_20__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_21__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_22__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_23__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_24__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_25__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_26__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_27__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_28__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_29__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_30__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_31__)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudreset_or00001)) - (portRef I1 (instanceRef rstgen_rstcount_not00011)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - (portRef I (instanceRef rstgen_rx_inv1_INV_0)) - (portRef Q (instanceRef ibufrx_sync_ff2_renamed_2525)) - ) - ) - (net (rename pin00_sync_Mshreg_ff2 "pin00/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef D (instanceRef pin00_sync_ff2_renamed_2523)) - ) - ) - (net (rename pin00_sync_ff2 "pin00/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00005532_renamed_2266)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00005532_renamed_2280)) - (portRef Q (instanceRef pin00_sync_ff2_renamed_2523)) - ) - ) - (net (rename pin01_sync_Mshreg_ff2 "pin01/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef D (instanceRef pin01_sync_ff2_renamed_2521)) - ) - ) - (net (rename pin01_sync_ff2 "pin01/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef Q (instanceRef pin01_sync_ff2_renamed_2521)) - ) - ) - (net (rename pin02_sync_Mshreg_ff2 "pin02/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef D (instanceRef pin02_sync_ff2_renamed_2519)) - ) - ) - (net (rename pin02_sync_ff2 "pin02/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_615_renamed_515)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00005532_renamed_2266)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00005532_renamed_2280)) - (portRef Q (instanceRef pin02_sync_ff2_renamed_2519)) - ) - ) - (net (rename pin03_sync_Mshreg_ff2 "pin03/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef D (instanceRef pin03_sync_ff2_renamed_2517)) - ) - ) - (net (rename pin03_sync_ff2 "pin03/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_618_renamed_526)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef Q (instanceRef pin03_sync_ff2_renamed_2517)) - ) - ) - (net (rename pin04_sync_Mshreg_ff2 "pin04/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef D (instanceRef pin04_sync_ff2_renamed_2515)) - ) - ) - (net (rename pin04_sync_ff2 "pin04/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_619_renamed_529)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00005531_renamed_2265)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00005531_renamed_2279)) - (portRef Q (instanceRef pin04_sync_ff2_renamed_2515)) - ) - ) - (net (rename pin05_sync_Mshreg_ff2 "pin05/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef D (instanceRef pin05_sync_ff2_renamed_2513)) - ) - ) - (net (rename pin05_sync_ff2 "pin05/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_620_renamed_532)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef Q (instanceRef pin05_sync_ff2_renamed_2513)) - ) - ) - (net (rename pin06_sync_Mshreg_ff2 "pin06/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef D (instanceRef pin06_sync_ff2_renamed_2511)) - ) - ) - (net (rename pin06_sync_ff2 "pin06/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_621_renamed_535)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00005531_renamed_2265)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00005531_renamed_2279)) - (portRef Q (instanceRef pin06_sync_ff2_renamed_2511)) - ) - ) - (net (rename pin07_sync_Mshreg_ff2 "pin07/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef D (instanceRef pin07_sync_ff2_renamed_2509)) - ) - ) - (net (rename pin07_sync_ff2 "pin07/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_622_renamed_538)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef Q (instanceRef pin07_sync_ff2_renamed_2509)) - ) - ) - (net (rename pin08_sync_Mshreg_ff2 "pin08/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef D (instanceRef pin08_sync_ff2_renamed_2507)) - ) - ) - (net (rename pin08_sync_ff2 "pin08/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00003622_renamed_2264)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00003622_renamed_2278)) - (portRef Q (instanceRef pin08_sync_ff2_renamed_2507)) - ) - ) - (net (rename pin09_sync_Mshreg_ff2 "pin09/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef D (instanceRef pin09_sync_ff2_renamed_2505)) - ) - ) - (net (rename pin09_sync_ff2 "pin09/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00002262_renamed_2262)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00002262_renamed_2276)) - (portRef Q (instanceRef pin09_sync_ff2_renamed_2505)) - ) - ) - (net (rename pin10_sync_Mshreg_ff2 "pin10/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef D (instanceRef pin10_sync_ff2_renamed_2503)) - ) - ) - (net (rename pin10_sync_ff2 "pin10/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef Q (instanceRef pin10_sync_ff2_renamed_2503)) - ) - ) - (net (rename pin11_sync_Mshreg_ff2 "pin11/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef D (instanceRef pin11_sync_ff2_renamed_2501)) - ) - ) - (net (rename pin11_sync_ff2 "pin11/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef Q (instanceRef pin11_sync_ff2_renamed_2501)) - ) - ) - (net (rename pin12_sync_Mshreg_ff2 "pin12/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef D (instanceRef pin12_sync_ff2_renamed_2499)) - ) - ) - (net (rename pin12_sync_ff2 "pin12/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00003622_renamed_2264)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00003622_renamed_2278)) - (portRef Q (instanceRef pin12_sync_ff2_renamed_2499)) - ) - ) - (net (rename pin13_sync_Mshreg_ff2 "pin13/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef D (instanceRef pin13_sync_ff2_renamed_2497)) - ) - ) - (net (rename pin13_sync_ff2 "pin13/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00002262_renamed_2262)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00002262_renamed_2276)) - (portRef Q (instanceRef pin13_sync_ff2_renamed_2497)) - ) - ) - (net (rename pin14_sync_Mshreg_ff2 "pin14/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef D (instanceRef pin14_sync_ff2_renamed_2495)) - ) - ) - (net (rename pin14_sync_ff2 "pin14/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef Q (instanceRef pin14_sync_ff2_renamed_2495)) - ) - ) - (net (rename pin15_sync_Mshreg_ff2 "pin15/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef D (instanceRef pin15_sync_ff2_renamed_2493)) - ) - ) - (net (rename pin15_sync_ff2 "pin15/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - (portRef Q (instanceRef pin15_sync_ff2_renamed_2493)) - ) - ) - (net (rename pin24_sync_Mshreg_ff2 "pin24/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef D (instanceRef pin24_sync_ff2_renamed_2491)) - ) - ) - (net (rename pin24_sync_ff2 "pin24/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_69_renamed_491)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000842_renamed_2260)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000842_renamed_2274)) - (portRef Q (instanceRef pin24_sync_ff2_renamed_2491)) - ) - ) - (net (rename pin25_sync_Mshreg_ff2 "pin25/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef D (instanceRef pin25_sync_ff2_renamed_2489)) - ) - ) - (net (rename pin25_sync_ff2 "pin25/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_610_renamed_495)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - (portRef Q (instanceRef pin25_sync_ff2_renamed_2489)) - ) - ) - (net (rename pin26_sync_Mshreg_ff2 "pin26/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef D (instanceRef pin26_sync_ff2_renamed_2487)) - ) - ) - (net (rename pin26_sync_ff2 "pin26/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_611_renamed_499)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000842_renamed_2260)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000842_renamed_2274)) - (portRef Q (instanceRef pin26_sync_ff2_renamed_2487)) - ) - ) - (net (rename pin27_sync_Mshreg_ff2 "pin27/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef D (instanceRef pin27_sync_ff2_renamed_2485)) - ) - ) - (net (rename pin27_sync_ff2 "pin27/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_612_renamed_503)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef Q (instanceRef pin27_sync_ff2_renamed_2485)) - ) - ) - (net (rename pin28_sync_Mshreg_ff2 "pin28/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef D (instanceRef pin28_sync_ff2_renamed_2483)) - ) - ) - (net (rename pin28_sync_ff2 "pin28/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_613_renamed_507)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000841_renamed_2259)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000841_renamed_2273)) - (portRef Q (instanceRef pin28_sync_ff2_renamed_2483)) - ) - ) - (net (rename pin29_sync_Mshreg_ff2 "pin29/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef D (instanceRef pin29_sync_ff2_renamed_2481)) - ) - ) - (net (rename pin29_sync_ff2 "pin29/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_614_renamed_511)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - (portRef Q (instanceRef pin29_sync_ff2_renamed_2481)) - ) - ) - (net (rename pin30_sync_Mshreg_ff2 "pin30/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef D (instanceRef pin30_sync_ff2_renamed_2479)) - ) - ) - (net (rename pin30_sync_ff2 "pin30/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_616_renamed_519)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000841_renamed_2259)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000841_renamed_2273)) - (portRef Q (instanceRef pin30_sync_ff2_renamed_2479)) - ) - ) - (net (rename pin31_sync_Mshreg_ff2 "pin31/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef D (instanceRef pin31_sync_ff2_renamed_2477)) - ) - ) - (net (rename pin31_sync_ff2 "pin31/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_617_renamed_523)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef Q (instanceRef pin31_sync_ff2_renamed_2477)) - ) - ) - (net (rename pin32_sync_Mshreg_ff2 "pin32/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef D (instanceRef pin32_sync_ff2_renamed_2475)) - ) - ) - (net (rename pin32_sync_ff2 "pin32/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000451_renamed_1412)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000451_renamed_1504)) - (portRef Q (instanceRef pin32_sync_ff2_renamed_2475)) - ) - ) - (net (rename pin33_sync_Mshreg_ff2 "pin33/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef D (instanceRef pin33_sync_ff2_renamed_2473)) - ) - ) - (net (rename pin33_sync_ff2 "pin33/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000451_renamed_1412)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000451_renamed_1504)) - (portRef Q (instanceRef pin33_sync_ff2_renamed_2473)) - ) - ) - (net (rename pin40_sync_Mshreg_ff2 "pin40/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef D (instanceRef pin40_sync_ff2_renamed_2471)) - ) - ) - (net (rename pin40_sync_ff2 "pin40/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00003621_renamed_2263)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00003621_renamed_2277)) - (portRef Q (instanceRef pin40_sync_ff2_renamed_2471)) - ) - ) - (net (rename pin41_sync_Mshreg_ff2 "pin41/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef D (instanceRef pin41_sync_ff2_renamed_2469)) - ) - ) - (net (rename pin41_sync_ff2 "pin41/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00002261_renamed_2261)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00002261_renamed_2275)) - (portRef Q (instanceRef pin41_sync_ff2_renamed_2469)) - ) - ) - (net (rename pin42_sync_Mshreg_ff2 "pin42/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef D (instanceRef pin42_sync_ff2_renamed_2467)) - ) - ) - (net (rename pin42_sync_ff2 "pin42/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef Q (instanceRef pin42_sync_ff2_renamed_2467)) - ) - ) - (net (rename pin43_sync_Mshreg_ff2 "pin43/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef D (instanceRef pin43_sync_ff2_renamed_2461)) - ) - ) - (net (rename pin43_sync_ff2 "pin43/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef Q (instanceRef pin43_sync_ff2_renamed_2461)) - ) - ) - (net (rename pin44_sync_Mshreg_ff2 "pin44/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef D (instanceRef pin44_sync_ff2_renamed_2465)) - ) - ) - (net (rename pin44_sync_ff2 "pin44/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00003621_renamed_2263)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00003621_renamed_2277)) - (portRef Q (instanceRef pin44_sync_ff2_renamed_2465)) - ) - ) - (net (rename pin45_sync_Mshreg_ff2 "pin45/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef D (instanceRef pin45_sync_ff2_renamed_2463)) - ) - ) - (net (rename pin45_sync_ff2 "pin45/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00002261_renamed_2261)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00002261_renamed_2275)) - (portRef Q (instanceRef pin45_sync_ff2_renamed_2463)) - ) - ) - (net (rename pin46_sync_Mshreg_ff2 "pin46/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef D (instanceRef pin46_sync_ff2_renamed_2459)) - ) - ) - (net (rename pin46_sync_ff2 "pin46/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef Q (instanceRef pin46_sync_ff2_renamed_2459)) - ) - ) - (net (rename pin47_sync_Mshreg_ff2 "pin47/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef D (instanceRef pin47_sync_ff2_renamed_2457)) - ) - ) - (net (rename pin47_sync_ff2 "pin47/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - (portRef Q (instanceRef pin47_sync_ff2_renamed_2457)) - ) - ) - (net (rename rstgen_Mcount_rstcount "rstgen/Mcount_rstcount") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_0__)) - (portRef D (instanceRef rstgen_rstcount_0)) - ) - ) - (net (rename rstgen_Mcount_rstcount1 "rstgen/Mcount_rstcount1") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_1__)) - (portRef D (instanceRef rstgen_rstcount_1)) - ) - ) - (net (rename rstgen_Mcount_rstcount10 "rstgen/Mcount_rstcount10") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_10__)) - (portRef D (instanceRef rstgen_rstcount_10)) - ) - ) - (net (rename rstgen_Mcount_rstcount11 "rstgen/Mcount_rstcount11") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_11__)) - (portRef D (instanceRef rstgen_rstcount_11)) - ) - ) - (net (rename rstgen_Mcount_rstcount12 "rstgen/Mcount_rstcount12") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_12__)) - (portRef D (instanceRef rstgen_rstcount_12)) - ) - ) - (net (rename rstgen_Mcount_rstcount13 "rstgen/Mcount_rstcount13") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_13__)) - (portRef D (instanceRef rstgen_rstcount_13)) - ) - ) - (net (rename rstgen_Mcount_rstcount14 "rstgen/Mcount_rstcount14") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_14__)) - (portRef D (instanceRef rstgen_rstcount_14)) - ) - ) - (net (rename rstgen_Mcount_rstcount15 "rstgen/Mcount_rstcount15") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_15__)) - (portRef D (instanceRef rstgen_rstcount_15)) - ) - ) - (net (rename rstgen_Mcount_rstcount16 "rstgen/Mcount_rstcount16") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_16__)) - (portRef D (instanceRef rstgen_rstcount_16)) - ) - ) - (net (rename rstgen_Mcount_rstcount17 "rstgen/Mcount_rstcount17") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_17__)) - (portRef D (instanceRef rstgen_rstcount_17)) - ) - ) - (net (rename rstgen_Mcount_rstcount18 "rstgen/Mcount_rstcount18") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_18__)) - (portRef D (instanceRef rstgen_rstcount_18)) - ) - ) - (net (rename rstgen_Mcount_rstcount19 "rstgen/Mcount_rstcount19") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_19__)) - (portRef D (instanceRef rstgen_rstcount_19)) - ) - ) - (net (rename rstgen_Mcount_rstcount2 "rstgen/Mcount_rstcount2") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_2__)) - (portRef D (instanceRef rstgen_rstcount_2)) - ) - ) - (net (rename rstgen_Mcount_rstcount20 "rstgen/Mcount_rstcount20") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_20__)) - (portRef D (instanceRef rstgen_rstcount_20)) - ) - ) - (net (rename rstgen_Mcount_rstcount21 "rstgen/Mcount_rstcount21") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_21__)) - (portRef D (instanceRef rstgen_rstcount_21)) - ) - ) - (net (rename rstgen_Mcount_rstcount22 "rstgen/Mcount_rstcount22") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_22__)) - (portRef D (instanceRef rstgen_rstcount_22)) - ) - ) - (net (rename rstgen_Mcount_rstcount23 "rstgen/Mcount_rstcount23") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_23__)) - (portRef D (instanceRef rstgen_rstcount_23)) - ) - ) - (net (rename rstgen_Mcount_rstcount24 "rstgen/Mcount_rstcount24") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_24__)) - (portRef D (instanceRef rstgen_rstcount_24)) - ) - ) - (net (rename rstgen_Mcount_rstcount25 "rstgen/Mcount_rstcount25") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_25__)) - (portRef D (instanceRef rstgen_rstcount_25)) - ) - ) - (net (rename rstgen_Mcount_rstcount26 "rstgen/Mcount_rstcount26") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_26__)) - (portRef D (instanceRef rstgen_rstcount_26)) - ) - ) - (net (rename rstgen_Mcount_rstcount27 "rstgen/Mcount_rstcount27") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_27__)) - (portRef D (instanceRef rstgen_rstcount_27)) - ) - ) - (net (rename rstgen_Mcount_rstcount28 "rstgen/Mcount_rstcount28") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_28__)) - (portRef D (instanceRef rstgen_rstcount_28)) - ) - ) - (net (rename rstgen_Mcount_rstcount29 "rstgen/Mcount_rstcount29") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_29__)) - (portRef D (instanceRef rstgen_rstcount_29)) - ) - ) - (net (rename rstgen_Mcount_rstcount3 "rstgen/Mcount_rstcount3") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_3__)) - (portRef D (instanceRef rstgen_rstcount_3)) - ) - ) - (net (rename rstgen_Mcount_rstcount30 "rstgen/Mcount_rstcount30") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_30__)) - (portRef D (instanceRef rstgen_rstcount_30)) - ) - ) - (net (rename rstgen_Mcount_rstcount31 "rstgen/Mcount_rstcount31") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_31__)) - (portRef D (instanceRef rstgen_rstcount_31)) - ) - ) - (net (rename rstgen_Mcount_rstcount4 "rstgen/Mcount_rstcount4") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_4__)) - (portRef D (instanceRef rstgen_rstcount_4)) - ) - ) - (net (rename rstgen_Mcount_rstcount5 "rstgen/Mcount_rstcount5") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_5__)) - (portRef D (instanceRef rstgen_rstcount_5)) - ) - ) - (net (rename rstgen_Mcount_rstcount6 "rstgen/Mcount_rstcount6") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_6__)) - (portRef D (instanceRef rstgen_rstcount_6)) - ) - ) - (net (rename rstgen_Mcount_rstcount7 "rstgen/Mcount_rstcount7") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_7__)) - (portRef D (instanceRef rstgen_rstcount_7)) - ) - ) - (net (rename rstgen_Mcount_rstcount8 "rstgen/Mcount_rstcount8") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_8__)) - (portRef D (instanceRef rstgen_rstcount_8)) - ) - ) - (net (rename rstgen_Mcount_rstcount9 "rstgen/Mcount_rstcount9") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_9__)) - (portRef D (instanceRef rstgen_rstcount_9)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_0_ "rstgen/Mcount_rstcount_cy<0>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_0__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_1__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_1__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_10_ "rstgen/Mcount_rstcount_cy<10>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_10__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_11__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_11__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_11_ "rstgen/Mcount_rstcount_cy<11>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_11__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_12__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_12__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_12_ "rstgen/Mcount_rstcount_cy<12>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_12__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_13__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_13__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_13_ "rstgen/Mcount_rstcount_cy<13>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_13__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_14__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_14__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_14_ "rstgen/Mcount_rstcount_cy<14>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_14__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_15__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_15__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_15_ "rstgen/Mcount_rstcount_cy<15>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_15__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_16__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_16__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_16_ "rstgen/Mcount_rstcount_cy<16>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_16__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_17__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_17__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_17_ "rstgen/Mcount_rstcount_cy<17>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_17__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_18__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_18__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_18_ "rstgen/Mcount_rstcount_cy<18>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_18__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_19__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_19__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_19_ "rstgen/Mcount_rstcount_cy<19>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_19__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_20__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_20__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_1_ "rstgen/Mcount_rstcount_cy<1>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_1__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_2__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_2__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_20_ "rstgen/Mcount_rstcount_cy<20>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_20__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_21__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_21__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_21_ "rstgen/Mcount_rstcount_cy<21>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_21__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_22__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_22__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_22_ "rstgen/Mcount_rstcount_cy<22>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_22__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_23__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_23__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_23_ "rstgen/Mcount_rstcount_cy<23>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_23__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_24__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_24__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_24_ "rstgen/Mcount_rstcount_cy<24>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_24__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_25__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_25__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_25_ "rstgen/Mcount_rstcount_cy<25>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_25__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_26__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_26__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_26_ "rstgen/Mcount_rstcount_cy<26>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_26__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_27__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_27__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_27_ "rstgen/Mcount_rstcount_cy<27>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_27__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_28__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_28__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_28_ "rstgen/Mcount_rstcount_cy<28>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_28__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_29__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_29__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_29_ "rstgen/Mcount_rstcount_cy<29>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_29__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_30__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_30__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_2_ "rstgen/Mcount_rstcount_cy<2>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_2__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_3__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_3__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_30_ "rstgen/Mcount_rstcount_cy<30>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_30__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_31__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_3_ "rstgen/Mcount_rstcount_cy<3>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_3__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_4__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_4__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_4_ "rstgen/Mcount_rstcount_cy<4>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_4__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_5__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_5__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_5_ "rstgen/Mcount_rstcount_cy<5>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_5__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_6__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_6__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_6_ "rstgen/Mcount_rstcount_cy<6>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_6__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_7__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_7__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_7_ "rstgen/Mcount_rstcount_cy<7>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_7__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_8__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_8__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_8_ "rstgen/Mcount_rstcount_cy<8>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_8__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_9__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_9__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_9_ "rstgen/Mcount_rstcount_cy<9>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_9__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_10__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_10__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_0_ "rstgen/Mcount_rstcount_lut<0>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_0__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_0__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_0__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_10_ "rstgen/Mcount_rstcount_lut<10>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_10__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_10__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_10__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_11_ "rstgen/Mcount_rstcount_lut<11>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_11__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_11__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_11__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_12_ "rstgen/Mcount_rstcount_lut<12>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_12__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_12__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_12__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_13_ "rstgen/Mcount_rstcount_lut<13>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_13__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_13__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_13__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_14_ "rstgen/Mcount_rstcount_lut<14>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_14__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_14__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_14__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_15_ "rstgen/Mcount_rstcount_lut<15>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_15__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_15__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_15__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_16_ "rstgen/Mcount_rstcount_lut<16>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_16__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_16__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_16__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_17_ "rstgen/Mcount_rstcount_lut<17>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_17__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_17__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_17__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_18_ "rstgen/Mcount_rstcount_lut<18>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_18__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_18__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_18__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_19_ "rstgen/Mcount_rstcount_lut<19>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_19__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_19__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_19__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_1_ "rstgen/Mcount_rstcount_lut<1>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_1__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_1__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_1__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_20_ "rstgen/Mcount_rstcount_lut<20>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_20__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_20__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_20__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_21_ "rstgen/Mcount_rstcount_lut<21>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_21__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_21__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_21__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_22_ "rstgen/Mcount_rstcount_lut<22>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_22__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_22__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_22__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_23_ "rstgen/Mcount_rstcount_lut<23>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_23__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_23__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_23__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_24_ "rstgen/Mcount_rstcount_lut<24>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_24__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_24__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_24__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_25_ "rstgen/Mcount_rstcount_lut<25>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_25__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_25__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_25__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_26_ "rstgen/Mcount_rstcount_lut<26>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_26__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_26__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_26__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_27_ "rstgen/Mcount_rstcount_lut<27>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_27__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_27__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_27__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_28_ "rstgen/Mcount_rstcount_lut<28>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_28__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_28__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_28__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_29_ "rstgen/Mcount_rstcount_lut<29>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_29__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_29__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_29__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_2_ "rstgen/Mcount_rstcount_lut<2>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_2__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_2__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_2__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_30_ "rstgen/Mcount_rstcount_lut<30>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_30__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_30__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_30__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_31_ "rstgen/Mcount_rstcount_lut<31>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_31__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_31__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_3_ "rstgen/Mcount_rstcount_lut<3>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_3__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_3__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_3__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_4_ "rstgen/Mcount_rstcount_lut<4>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_4__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_4__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_4__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_5_ "rstgen/Mcount_rstcount_lut<5>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_5__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_5__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_5__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_6_ "rstgen/Mcount_rstcount_lut<6>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_6__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_6__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_6__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_7_ "rstgen/Mcount_rstcount_lut<7>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_7__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_7__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_7__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_8_ "rstgen/Mcount_rstcount_lut<8>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_8__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_8__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_8__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_9_ "rstgen/Mcount_rstcount_lut<9>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_9__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_9__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_9__)) - ) - ) - (net (rename rstgen_rstcount_0_ "rstgen/rstcount<0>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_0__)) - (portRef Q (instanceRef rstgen_rstcount_0)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - ) - ) - (net (rename rstgen_rstcount_1_ "rstgen/rstcount<1>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_1__)) - (portRef Q (instanceRef rstgen_rstcount_1)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - ) - ) - (net (rename rstgen_rstcount_10_ "rstgen/rstcount<10>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_10__)) - (portRef Q (instanceRef rstgen_rstcount_10)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - ) - ) - (net (rename rstgen_rstcount_11_ "rstgen/rstcount<11>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_11__)) - (portRef Q (instanceRef rstgen_rstcount_11)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - ) - ) - (net (rename rstgen_rstcount_12_ "rstgen/rstcount<12>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_12__)) - (portRef Q (instanceRef rstgen_rstcount_12)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - ) - ) - (net (rename rstgen_rstcount_13_ "rstgen/rstcount<13>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_13__)) - (portRef Q (instanceRef rstgen_rstcount_13)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - ) - ) - (net (rename rstgen_rstcount_14_ "rstgen/rstcount<14>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_14__)) - (portRef Q (instanceRef rstgen_rstcount_14)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - ) - ) - (net (rename rstgen_rstcount_15_ "rstgen/rstcount<15>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_15__)) - (portRef Q (instanceRef rstgen_rstcount_15)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - ) - ) - (net (rename rstgen_rstcount_16_ "rstgen/rstcount<16>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_16__)) - (portRef Q (instanceRef rstgen_rstcount_16)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - ) - ) - (net (rename rstgen_rstcount_17_ "rstgen/rstcount<17>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_17__)) - (portRef Q (instanceRef rstgen_rstcount_17)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - ) - ) - (net (rename rstgen_rstcount_18_ "rstgen/rstcount<18>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_18__)) - (portRef Q (instanceRef rstgen_rstcount_18)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - ) - ) - (net (rename rstgen_rstcount_19_ "rstgen/rstcount<19>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_19__)) - (portRef Q (instanceRef rstgen_rstcount_19)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - ) - ) - (net (rename rstgen_rstcount_2_ "rstgen/rstcount<2>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_2__)) - (portRef Q (instanceRef rstgen_rstcount_2)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - ) - ) - (net (rename rstgen_rstcount_20_ "rstgen/rstcount<20>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_20__)) - (portRef Q (instanceRef rstgen_rstcount_20)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - ) - ) - (net (rename rstgen_rstcount_21_ "rstgen/rstcount<21>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_21__)) - (portRef Q (instanceRef rstgen_rstcount_21)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - ) - ) - (net (rename rstgen_rstcount_22_ "rstgen/rstcount<22>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_22__)) - (portRef Q (instanceRef rstgen_rstcount_22)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - ) - ) - (net (rename rstgen_rstcount_23_ "rstgen/rstcount<23>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_23__)) - (portRef Q (instanceRef rstgen_rstcount_23)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - ) - ) - (net (rename rstgen_rstcount_24_ "rstgen/rstcount<24>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_24__)) - (portRef Q (instanceRef rstgen_rstcount_24)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - ) - ) - (net (rename rstgen_rstcount_25_ "rstgen/rstcount<25>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_25__)) - (portRef Q (instanceRef rstgen_rstcount_25)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - ) - ) - (net (rename rstgen_rstcount_26_ "rstgen/rstcount<26>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_26__)) - (portRef Q (instanceRef rstgen_rstcount_26)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - ) - ) - (net (rename rstgen_rstcount_27_ "rstgen/rstcount<27>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_27__)) - (portRef Q (instanceRef rstgen_rstcount_27)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - ) - ) - (net (rename rstgen_rstcount_28_ "rstgen/rstcount<28>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_28__)) - (portRef Q (instanceRef rstgen_rstcount_28)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - ) - ) - (net (rename rstgen_rstcount_29_ "rstgen/rstcount<29>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_29__)) - (portRef Q (instanceRef rstgen_rstcount_29)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - ) - ) - (net (rename rstgen_rstcount_3_ "rstgen/rstcount<3>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_3__)) - (portRef Q (instanceRef rstgen_rstcount_3)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - ) - ) - (net (rename rstgen_rstcount_30_ "rstgen/rstcount<30>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_30__)) - (portRef Q (instanceRef rstgen_rstcount_30)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - ) - ) - (net (rename rstgen_rstcount_31_ "rstgen/rstcount<31>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_31__)) - (portRef Q (instanceRef rstgen_rstcount_31)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - ) - ) - (net (rename rstgen_rstcount_4_ "rstgen/rstcount<4>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_4__)) - (portRef Q (instanceRef rstgen_rstcount_4)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - ) - ) - (net (rename rstgen_rstcount_5_ "rstgen/rstcount<5>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_5__)) - (portRef Q (instanceRef rstgen_rstcount_5)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - ) - ) - (net (rename rstgen_rstcount_6_ "rstgen/rstcount<6>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_6__)) - (portRef Q (instanceRef rstgen_rstcount_6)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - ) - ) - (net (rename rstgen_rstcount_7_ "rstgen/rstcount<7>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_7__)) - (portRef Q (instanceRef rstgen_rstcount_7)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - ) - ) - (net (rename rstgen_rstcount_8_ "rstgen/rstcount<8>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_8__)) - (portRef Q (instanceRef rstgen_rstcount_8)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - ) - ) - (net (rename rstgen_rstcount_9_ "rstgen/rstcount<9>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_9__)) - (portRef Q (instanceRef rstgen_rstcount_9)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - ) - ) - (net (rename rstgen_rstcount_not0001 "rstgen/rstcount_not0001") - (joined - (portRef CE (instanceRef rstgen_rstcount_0)) - (portRef CE (instanceRef rstgen_rstcount_1)) - (portRef CE (instanceRef rstgen_rstcount_2)) - (portRef CE (instanceRef rstgen_rstcount_5)) - (portRef CE (instanceRef rstgen_rstcount_3)) - (portRef CE (instanceRef rstgen_rstcount_4)) - (portRef CE (instanceRef rstgen_rstcount_6)) - (portRef CE (instanceRef rstgen_rstcount_7)) - (portRef CE (instanceRef rstgen_rstcount_8)) - (portRef CE (instanceRef rstgen_rstcount_9)) - (portRef CE (instanceRef rstgen_rstcount_10)) - (portRef CE (instanceRef rstgen_rstcount_11)) - (portRef CE (instanceRef rstgen_rstcount_12)) - (portRef CE (instanceRef rstgen_rstcount_13)) - (portRef CE (instanceRef rstgen_rstcount_14)) - (portRef CE (instanceRef rstgen_rstcount_15)) - (portRef CE (instanceRef rstgen_rstcount_16)) - (portRef CE (instanceRef rstgen_rstcount_17)) - (portRef CE (instanceRef rstgen_rstcount_20)) - (portRef CE (instanceRef rstgen_rstcount_18)) - (portRef CE (instanceRef rstgen_rstcount_19)) - (portRef CE (instanceRef rstgen_rstcount_21)) - (portRef CE (instanceRef rstgen_rstcount_22)) - (portRef CE (instanceRef rstgen_rstcount_23)) - (portRef CE (instanceRef rstgen_rstcount_24)) - (portRef CE (instanceRef rstgen_rstcount_25)) - (portRef CE (instanceRef rstgen_rstcount_26)) - (portRef CE (instanceRef rstgen_rstcount_27)) - (portRef CE (instanceRef rstgen_rstcount_28)) - (portRef CE (instanceRef rstgen_rstcount_29)) - (portRef CE (instanceRef rstgen_rstcount_30)) - (portRef CE (instanceRef rstgen_rstcount_31)) - (portRef O (instanceRef rstgen_rstcount_not00011)) - ) - ) - (net (rename rstgen_rstcount_zero_q "rstgen/rstcount_zero_q") - (joined - (portRef Q (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef I3 (instanceRef zpuino_core_shl_done_or00001)) - (portRef I3 (instanceRef zpuino_io_addr_save_q_or00001)) - (portRef I0 (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - (portRef I3 (instanceRef zpuino_core_exr_tos_save_not00011)) - (portRef I3 (instanceRef zpuino_core_stack_b_addr_10_11)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_wb_rst_i_inv1)) - (portRef I1 (instanceRef zpuino_memory_rom_do_wait_or00001)) - (portRef I1 (instanceRef zpuino_memory_ramregs_do_wait_or00001)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I1 (instanceRef uart_inst_dready_q_or00001)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I1 (instanceRef slot11_dready_q_or00001)) - (portRef I1 (instanceRef zpuino_io_write_save_q_not00011)) - (portRef I1 (instanceRef zpuino_io_io_we_or0000_inv1)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_not00021)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_not00021)) - (portRef I1 (instanceRef uart_inst_fifo_instance__and000011)) - (portRef I1 (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - (portRef I2 (instanceRef crc16_inst_data_q_not00011)) - (portRef I2 (instanceRef zpuino_io_io_cyc_or0000_inv1)) - (portRef I1 (instanceRef zpuino_io_io_stb_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_ien_q_or00001)) - (portRef I1 (instanceRef zpuino_core_prefr_pc_or0000_inv1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_served_q_not00011)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000119)) - (portRef I1 (instanceRef zpuino_core_prefr_valid_or00001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011)) - (portRef I3 (instanceRef uart_inst_tx_timer_clkout_or00001)) - (portRef I3 (instanceRef slot11_tx_timer_clkout_or00001)) - (portRef I3 (instanceRef uart_inst_tx_core_t_r_not00011)) - (portRef I3 (instanceRef slot11_tx_core_t_r_not00011)) - (portRef I1 (instanceRef slot1_zspi_read_reg_q_not00011)) - (portRef I1 (instanceRef slot0_zspi_read_reg_q_not00011)) - (portRef I3 (instanceRef slot1_zspiclk_clk_i_or00001)) - (portRef I3 (instanceRef slot0_zspiclk_clk_i_or00001)) - (portRef I2 (instanceRef uart_inst_rx_timer_clkout_or00001)) - (portRef I2 (instanceRef slot11_rx_timer_clkout_or00001)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - (portRef I1 (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - (portRef I1 (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - (portRef I3 (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - (portRef I1 (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - (portRef I3 (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - (portRef I1 (instanceRef slot0_spi_en_or0000_renamed_2173)) - (portRef I1 (instanceRef slot1_spi_en_or0000_renamed_2174)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133)) - (portRef I3 (instanceRef zpuino_rom_wb_cti_i_0_1)) - (portRef I2 (instanceRef zpuino_core_shl_output_not00011)) - (portRef I3 (instanceRef zpuino_io_addr_save_q_not00011)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - (portRef I2 (instanceRef slot1_zspiclk_running_q_or00001)) - (portRef I2 (instanceRef slot0_zspiclk_running_q_or00001)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - (portRef I0 (instanceRef rstgen_rstout_or00001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - (portRef I0 (instanceRef rstgen_rstout_or00001_1_renamed_2219)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000 "rstgen/rstcount_zero_q_and0000") - (joined - (portRef D (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_7__)) - (portRef I0 (instanceRef rstgen_rstcount_not00011)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_0_ "rstgen/rstcount_zero_q_and0000_wg_cy<0>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_0__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_1__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_1_ "rstgen/rstcount_zero_q_and0000_wg_cy<1>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_1__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_2__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_2_ "rstgen/rstcount_zero_q_and0000_wg_cy<2>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_2__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_3__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_3_ "rstgen/rstcount_zero_q_and0000_wg_cy<3>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_3__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_4__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_4_ "rstgen/rstcount_zero_q_and0000_wg_cy<4>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_4__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_5__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_5_ "rstgen/rstcount_zero_q_and0000_wg_cy<5>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_5__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_6__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_6_ "rstgen/rstcount_zero_q_and0000_wg_cy<6>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_6__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_7__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_0_ "rstgen/rstcount_zero_q_and0000_wg_lut<0>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_0__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_1_ "rstgen/rstcount_zero_q_and0000_wg_lut<1>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_1__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_2_ "rstgen/rstcount_zero_q_and0000_wg_lut<2>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_2__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_3_ "rstgen/rstcount_zero_q_and0000_wg_lut<3>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_3__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_4_ "rstgen/rstcount_zero_q_and0000_wg_lut<4>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_4__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_5_ "rstgen/rstcount_zero_q_and0000_wg_lut<5>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_5__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_6_ "rstgen/rstcount_zero_q_and0000_wg_lut<6>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_6__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_7_ "rstgen/rstcount_zero_q_and0000_wg_lut<7>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_7__)) - ) - ) - (net (rename rstgen_rstout_or00001_1 "rstgen/rstout_or00001_1") - (joined - (portRef O (instanceRef rstgen_rstout_or00001_1_renamed_2219)) - (portRef R (instanceRef slot9_read_ended_renamed_8)) - (portRef PRE (instanceRef slot9_rstq2_renamed_7)) - (portRef R (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef R (instanceRef zpuino_io_wb_ack_o_renamed_10)) - (portRef R (instanceRef zpuino_core_decr_pcint_0_renamed_31)) - (portRef R (instanceRef zpuino_core_decr_pcint_2_renamed_33)) - (portRef R (instanceRef zpuino_core_decr_pcint_1_renamed_32)) - (portRef R (instanceRef zpuino_core_decr_pcint_3_renamed_34)) - (portRef R (instanceRef zpuino_core_decr_pcint_4_renamed_35)) - (portRef R (instanceRef zpuino_core_decr_pcint_5_renamed_36)) - (portRef R (instanceRef zpuino_core_decr_pcint_7_renamed_38)) - (portRef R (instanceRef zpuino_core_decr_pcint_6_renamed_37)) - (portRef R (instanceRef zpuino_core_decr_pcint_8_renamed_39)) - (portRef R (instanceRef zpuino_core_decr_pcint_9_renamed_40)) - (portRef R (instanceRef zpuino_core_decr_pcint_10_renamed_41)) - (portRef R (instanceRef zpuino_core_decr_pcint_11_renamed_42)) - (portRef R (instanceRef zpuino_core_decr_pcint_12_renamed_43)) - (portRef R (instanceRef zpuino_core_decr_pcint_13_renamed_44)) - (portRef R (instanceRef zpuino_core_decr_break_renamed_45)) - (portRef R (instanceRef zpuino_core_decr_im_renamed_80)) - (portRef R (instanceRef zpuino_core_decr_valid_renamed_79)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_0_renamed_81)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_1_renamed_82)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_2_renamed_83)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_3_renamed_84)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_4_renamed_85)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_5_renamed_86)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_6_renamed_87)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_8_renamed_89)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_7_renamed_88)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_9_renamed_90)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_10_renamed_91)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_11_renamed_92)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_12_renamed_93)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_13_renamed_94)) - (portRef R (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef S (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef S (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef S (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef S (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef S (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef S (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef S (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef S (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef R (instanceRef zpuino_core_exr_wb_cyc_renamed_171)) - (portRef R (instanceRef zpuino_core_exr_inInterrupt_renamed_172)) - (portRef PRE (instanceRef slot9_rstq1_renamed_173)) - (portRef S (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef R (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef S (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef S (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef S (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef S (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef S (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef S (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef S (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef R (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef R (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef R (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef R (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef R (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef R (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef R (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef R (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef R (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef R (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef R (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef R (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef R (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef R (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef S (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef R (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef S (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef R (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef S (instanceRef zpuino_core_exr_state_FSM_FFd14_renamed_421)) - (portRef R (instanceRef zpuino_core_decr_state_FSM_FFd2_renamed_408)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd13_renamed_420)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd12_renamed_419)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd11_renamed_418)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd9_renamed_416)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd10_renamed_417)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd7_renamed_415)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd6_renamed_414)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd5_renamed_413)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd3_renamed_411)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd4_renamed_412)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd2_renamed_410)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd1_renamed_409)) - (portRef R (instanceRef sid_sd_data_out_renamed_422)) - (portRef R (instanceRef sid_sd_sigma_latch_18)) - (portRef S (instanceRef sid_sd_sigma_latch_19)) - (portRef R (instanceRef gpio_inst_ppspin_q_98)) - (portRef R (instanceRef gpio_inst_ppspin_q_96)) - (portRef R (instanceRef gpio_inst_ppspin_q_99)) - (portRef R (instanceRef gpio_inst_ppspin_q_97)) - (portRef R (instanceRef gpio_inst_ppspin_q_101)) - (portRef R (instanceRef gpio_inst_ppspin_q_100)) - (portRef R (instanceRef gpio_inst_ppspin_q_104)) - (portRef R (instanceRef gpio_inst_ppspin_q_102)) - (portRef R (instanceRef gpio_inst_ppspin_q_103)) - (portRef R (instanceRef gpio_inst_ppspin_q_106)) - (portRef R (instanceRef gpio_inst_ppspin_q_105)) - (portRef R (instanceRef gpio_inst_ppspin_q_112)) - (portRef R (instanceRef gpio_inst_ppspin_q_110)) - (portRef R (instanceRef gpio_inst_ppspin_q_111)) - (portRef R (instanceRef gpio_inst_ppspin_q_107)) - (portRef R (instanceRef gpio_inst_ppspin_q_113)) - (portRef R (instanceRef gpio_inst_ppspin_q_108)) - (portRef R (instanceRef gpio_inst_ppspin_q_114)) - (portRef R (instanceRef gpio_inst_ppspin_q_109)) - (portRef R (instanceRef gpio_inst_ppspin_q_120)) - (portRef R (instanceRef gpio_inst_ppspin_q_121)) - (portRef R (instanceRef gpio_inst_ppspin_q_115)) - (portRef R (instanceRef gpio_inst_ppspin_q_116)) - (portRef R (instanceRef gpio_inst_ppspin_q_122)) - (portRef R (instanceRef gpio_inst_ppspin_q_117)) - (portRef R (instanceRef gpio_inst_ppspin_q_118)) - (portRef R (instanceRef gpio_inst_ppspin_q_123)) - (portRef R (instanceRef gpio_inst_ppspin_q_124)) - (portRef R (instanceRef gpio_inst_ppspin_q_119)) - (portRef R (instanceRef gpio_inst_ppspin_q_126)) - (portRef R (instanceRef gpio_inst_ppspin_q_125)) - (portRef R (instanceRef gpio_inst_ppspin_q_127)) - (portRef R (instanceRef gpio_inst_ppspin_q_0)) - (portRef R (instanceRef gpio_inst_ppspin_q_1)) - (portRef R (instanceRef gpio_inst_ppspin_q_3)) - (portRef R (instanceRef gpio_inst_ppspin_q_2)) - (portRef R (instanceRef gpio_inst_ppspin_q_4)) - (portRef R (instanceRef gpio_inst_ppspin_q_5)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef R (instanceRef gpio_inst_ppspin_q_6)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef R (instanceRef gpio_inst_ppspin_q_7)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef R (instanceRef gpio_inst_ppspin_q_8)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef R (instanceRef gpio_inst_ppspin_q_9)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef R (instanceRef gpio_inst_ppspin_q_10)) - (portRef R (instanceRef gpio_inst_ppspin_q_13)) - (portRef R (instanceRef gpio_inst_ppspin_q_11)) - (portRef R (instanceRef gpio_inst_ppspin_q_12)) - (portRef R (instanceRef gpio_inst_ppspin_q_14)) - (portRef R (instanceRef gpio_inst_ppspin_q_15)) - (portRef R (instanceRef gpio_inst_ppspin_q_20)) - (portRef R (instanceRef gpio_inst_ppspin_q_21)) - (portRef R (instanceRef gpio_inst_ppspin_q_16)) - (portRef R (instanceRef gpio_inst_ppspin_q_22)) - (portRef R (instanceRef gpio_inst_ppspin_q_23)) - (portRef R (instanceRef gpio_inst_ppspin_q_17)) - (portRef R (instanceRef gpio_inst_ppspin_q_19)) - (portRef R (instanceRef gpio_inst_ppspin_q_18)) - (portRef R (instanceRef gpio_inst_ppspin_q_24)) - (portRef R (instanceRef gpio_inst_ppspin_q_31)) - (portRef R (instanceRef gpio_inst_ppspin_q_25)) - (portRef R (instanceRef gpio_inst_ppspin_q_30)) - (portRef R (instanceRef gpio_inst_ppspin_q_26)) - (portRef R (instanceRef gpio_inst_ppspin_q_32)) - (portRef R (instanceRef gpio_inst_ppspin_q_27)) - (portRef R (instanceRef gpio_inst_ppspin_q_29)) - (portRef R (instanceRef gpio_inst_ppspin_q_28)) - (portRef R (instanceRef gpio_inst_ppspin_q_33)) - (portRef R (instanceRef gpio_inst_ppspin_q_35)) - (portRef R (instanceRef gpio_inst_ppspin_q_34)) - (portRef R (instanceRef gpio_inst_ppspin_q_40)) - (portRef R (instanceRef gpio_inst_ppspin_q_41)) - (portRef R (instanceRef gpio_inst_ppspin_q_36)) - (portRef R (instanceRef gpio_inst_ppspin_q_37)) - (portRef R (instanceRef gpio_inst_ppspin_q_42)) - (portRef R (instanceRef gpio_inst_ppspin_q_38)) - (portRef R (instanceRef gpio_inst_ppspin_q_43)) - (portRef R (instanceRef gpio_inst_ppspin_q_39)) - (portRef R (instanceRef gpio_inst_ppspin_q_44)) - (portRef R (instanceRef gpio_inst_ppspin_q_45)) - (portRef R (instanceRef gpio_inst_ppspin_q_46)) - (portRef R (instanceRef gpio_inst_ppspin_q_50)) - (portRef R (instanceRef gpio_inst_ppspin_q_51)) - (portRef R (instanceRef gpio_inst_ppspin_q_47)) - (portRef R (instanceRef gpio_inst_ppspin_q_52)) - (portRef R (instanceRef gpio_inst_ppspin_q_53)) - (portRef R (instanceRef gpio_inst_ppspin_q_48)) - (portRef R (instanceRef gpio_inst_ppspin_q_49)) - (portRef R (instanceRef gpio_inst_ppspin_q_54)) - (portRef R (instanceRef gpio_inst_ppspin_q_55)) - (portRef R (instanceRef gpio_inst_ppspin_q_61)) - (portRef R (instanceRef gpio_inst_ppspin_q_60)) - (portRef R (instanceRef gpio_inst_ppspin_q_56)) - (portRef R (instanceRef gpio_inst_ppspin_q_58)) - (portRef R (instanceRef gpio_inst_ppspin_q_57)) - (portRef R (instanceRef gpio_inst_ppspin_q_62)) - (portRef R (instanceRef gpio_inst_ppspin_q_64)) - (portRef R (instanceRef gpio_inst_ppspin_q_63)) - (portRef R (instanceRef gpio_inst_ppspin_q_59)) - (portRef R (instanceRef gpio_inst_ppspin_q_65)) - (portRef R (instanceRef gpio_inst_ppspin_q_66)) - (portRef R (instanceRef gpio_inst_ppspin_q_70)) - (portRef R (instanceRef gpio_inst_ppspin_q_72)) - (portRef R (instanceRef gpio_inst_ppspin_q_71)) - (portRef R (instanceRef gpio_inst_ppspin_q_67)) - (portRef R (instanceRef gpio_inst_ppspin_q_69)) - (portRef R (instanceRef gpio_inst_ppspin_q_68)) - (portRef R (instanceRef gpio_inst_ppspin_q_73)) - (portRef R (instanceRef gpio_inst_ppspin_q_74)) - (portRef R (instanceRef gpio_inst_ppspin_q_80)) - (portRef R (instanceRef gpio_inst_ppspin_q_75)) - (portRef R (instanceRef gpio_inst_ppspin_q_77)) - (portRef R (instanceRef gpio_inst_ppspin_q_76)) - (portRef R (instanceRef gpio_inst_ppspin_q_81)) - (portRef R (instanceRef gpio_inst_ppspin_q_83)) - (portRef R (instanceRef gpio_inst_ppspin_q_82)) - (portRef R (instanceRef gpio_inst_ppspin_q_78)) - (portRef R (instanceRef gpio_inst_ppspin_q_79)) - (portRef R (instanceRef gpio_inst_ppspin_q_85)) - (portRef R (instanceRef gpio_inst_ppspin_q_84)) - (portRef R (instanceRef gpio_inst_ppspin_q_91)) - (portRef R (instanceRef gpio_inst_ppspin_q_90)) - (portRef R (instanceRef gpio_inst_ppspin_q_88)) - (portRef R (instanceRef gpio_inst_ppspin_q_86)) - (portRef R (instanceRef gpio_inst_ppspin_q_87)) - (portRef R (instanceRef gpio_inst_ppspin_q_92)) - (portRef R (instanceRef gpio_inst_ppspin_q_94)) - (portRef R (instanceRef gpio_inst_ppspin_q_89)) - (portRef R (instanceRef gpio_inst_ppspin_q_93)) - (portRef R (instanceRef gpio_inst_ppspin_q_95)) - (portRef S (instanceRef gpio_inst_gpio_o_48)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef S (instanceRef gpio_inst_gpio_o_24)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_24)) - (portRef S (instanceRef gpio_inst_gpio_o_25)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_25)) - (portRef S (instanceRef gpio_inst_gpio_o_26)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_26)) - (portRef S (instanceRef gpio_inst_gpio_o_27)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_27)) - (portRef S (instanceRef gpio_inst_gpio_o_28)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_28)) - (portRef S (instanceRef gpio_inst_gpio_o_29)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_29)) - (portRef S (instanceRef gpio_inst_gpio_o_30)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_30)) - (portRef S (instanceRef gpio_inst_gpio_o_31)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_31)) - (portRef S (instanceRef gpio_inst_gpio_o_42)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef S (instanceRef gpio_inst_gpio_o_43)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef S (instanceRef gpio_inst_gpio_o_32)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef S (instanceRef gpio_inst_gpio_o_44)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef S (instanceRef gpio_inst_gpio_o_33)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef S (instanceRef gpio_inst_gpio_o_45)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef S (instanceRef gpio_inst_gpio_o_46)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef S (instanceRef gpio_inst_gpio_o_47)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef S (instanceRef gpio_inst_gpio_o_40)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef S (instanceRef gpio_inst_gpio_o_41)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_41)) - (portRef S (instanceRef gpio_inst_gpio_o_15)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef S (instanceRef gpio_inst_gpio_o_14)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef S (instanceRef gpio_inst_gpio_o_13)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef S (instanceRef gpio_inst_gpio_o_12)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef S (instanceRef gpio_inst_gpio_o_11)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef S (instanceRef gpio_inst_gpio_o_10)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef S (instanceRef gpio_inst_gpio_o_9)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef S (instanceRef gpio_inst_gpio_o_8)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef S (instanceRef gpio_inst_gpio_o_7)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef S (instanceRef gpio_inst_gpio_o_6)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef S (instanceRef gpio_inst_gpio_o_5)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef S (instanceRef gpio_inst_gpio_o_4)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef S (instanceRef gpio_inst_gpio_o_3)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef S (instanceRef gpio_inst_gpio_o_2)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef S (instanceRef gpio_inst_gpio_o_1)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_0)) - (portRef S (instanceRef gpio_inst_gpio_o_0)) - ) - ) - (net (rename rstgen_rx_inv "rstgen/rx_inv") - (joined - (portRef CE (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef O (instanceRef rstgen_rx_inv1_INV_0)) - ) - ) - (net (rename sid_sd_Maccum_sigma_latch_cy_18_ "sid_sd/Maccum_sigma_latch_cy<18>") - (joined - (portRef D (instanceRef sid_sd_sigma_latch_19)) - (portRef O (instanceRef sid_sd_Maccum_sigma_latch_cy_18_11)) - ) - ) - (net (rename sid_sd_Maccum_sigma_latch_lut_18_ "sid_sd/Maccum_sigma_latch_lut<18>") - (joined - (portRef D (instanceRef sid_sd_sigma_latch_18)) - (portRef O (instanceRef sid_sd_Maccum_sigma_latch_lut_18_1)) - ) - ) - (net (rename sid_sd_data_out "sid_sd/data_out") - (joined - (portRef Q (instanceRef sid_sd_data_out_renamed_422)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000235_renamed_1247)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000235_renamed_1251)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000235_renamed_1255)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000235_renamed_1259)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000235_renamed_1263)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000235_renamed_1267)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000235_renamed_1271)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000235_renamed_1275)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000235_renamed_1279)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000235_renamed_1283)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000235_renamed_1287)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000235_renamed_1291)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000235_renamed_1295)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000235_renamed_1299)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000235_renamed_1303)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000235_renamed_1307)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000235_renamed_1311)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000235_renamed_1315)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000235_renamed_1319)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000235_renamed_1323)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000235_renamed_1327)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000235_renamed_1331)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000235_renamed_1335)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000235_renamed_1339)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000235_renamed_1343)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000235_renamed_1347)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000235_renamed_1351)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000235_renamed_1355)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000235_renamed_1359)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000235_renamed_1363)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000235_renamed_1367)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000235_renamed_1371)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000235_renamed_1375)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000235_renamed_1379)) - ) - ) - (net (rename sid_sd_sigma_latch_18_ "sid_sd/sigma_latch<18>") - (joined - (portRef Q (instanceRef sid_sd_sigma_latch_18)) - (portRef I0 (instanceRef sid_sd_Maccum_sigma_latch_lut_18_1)) - (portRef I0 (instanceRef sid_sd_Maccum_sigma_latch_cy_18_11)) - ) - ) - (net (rename sid_sd_sigma_latch_19_ "sid_sd/sigma_latch<19>") - (joined - (portRef D (instanceRef sid_sd_data_out_renamed_422)) - (portRef Q (instanceRef sid_sd_sigma_latch_19)) - (portRef I1 (instanceRef sid_sd_Maccum_sigma_latch_lut_18_1)) - (portRef I1 (instanceRef sid_sd_Maccum_sigma_latch_cy_18_11)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_0_ "sigmadelta_inst/Maccum_sigma_latch1_cy<0>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_0__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_1__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_10_ "sigmadelta_inst/Maccum_sigma_latch1_cy<10>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_10__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_11__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_11_ "sigmadelta_inst/Maccum_sigma_latch1_cy<11>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_11__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_12__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_12_ "sigmadelta_inst/Maccum_sigma_latch1_cy<12>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_12__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_13__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_13_ "sigmadelta_inst/Maccum_sigma_latch1_cy<13>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_13__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_14__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_14_ "sigmadelta_inst/Maccum_sigma_latch1_cy<14>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_14__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_15__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_15_ "sigmadelta_inst/Maccum_sigma_latch1_cy<15>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_15__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_16__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_16_ "sigmadelta_inst/Maccum_sigma_latch1_cy<16>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_16__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_17__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_1_ "sigmadelta_inst/Maccum_sigma_latch1_cy<1>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_1__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_2__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_2_ "sigmadelta_inst/Maccum_sigma_latch1_cy<2>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_2__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_3__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_3_ "sigmadelta_inst/Maccum_sigma_latch1_cy<3>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_3__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_4__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_4_ "sigmadelta_inst/Maccum_sigma_latch1_cy<4>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_4__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_5__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_5_ "sigmadelta_inst/Maccum_sigma_latch1_cy<5>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_5__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_6__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_6_ "sigmadelta_inst/Maccum_sigma_latch1_cy<6>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_6__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_7__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_7_ "sigmadelta_inst/Maccum_sigma_latch1_cy<7>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_7__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_8__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_8_ "sigmadelta_inst/Maccum_sigma_latch1_cy<8>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_8__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_9__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_9_ "sigmadelta_inst/Maccum_sigma_latch1_cy<9>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_9__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_10__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_0_ "sigmadelta_inst/Maccum_sigma_latch1_lut<0>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_0__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_0__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_0__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_10_ "sigmadelta_inst/Maccum_sigma_latch1_lut<10>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_10__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_10__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_11_ "sigmadelta_inst/Maccum_sigma_latch1_lut<11>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_11__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_11__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_12_ "sigmadelta_inst/Maccum_sigma_latch1_lut<12>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_12__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_12__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_13_ "sigmadelta_inst/Maccum_sigma_latch1_lut<13>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_13__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_13__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_14_ "sigmadelta_inst/Maccum_sigma_latch1_lut<14>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_14__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_14__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_15_ "sigmadelta_inst/Maccum_sigma_latch1_lut<15>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_15__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_15__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_16_ "sigmadelta_inst/Maccum_sigma_latch1_lut<16>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_16__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_16__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_1_ "sigmadelta_inst/Maccum_sigma_latch1_lut<1>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_1__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_1__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_2_ "sigmadelta_inst/Maccum_sigma_latch1_lut<2>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_2__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_2__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_3_ "sigmadelta_inst/Maccum_sigma_latch1_lut<3>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_3__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_3__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_4_ "sigmadelta_inst/Maccum_sigma_latch1_lut<4>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_4__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_4__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_5_ "sigmadelta_inst/Maccum_sigma_latch1_lut<5>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_5__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_5__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_6_ "sigmadelta_inst/Maccum_sigma_latch1_lut<6>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_6__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_6__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_7_ "sigmadelta_inst/Maccum_sigma_latch1_lut<7>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_7__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_7__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_8_ "sigmadelta_inst/Maccum_sigma_latch1_lut<8>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_8__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_8__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_9_ "sigmadelta_inst/Maccum_sigma_latch1_lut<9>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_9__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_9__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_0_ "sigmadelta_inst/Maccum_sigma_latch2_cy<0>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_0__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_1__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_10_ "sigmadelta_inst/Maccum_sigma_latch2_cy<10>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_10__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_11__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_11_ "sigmadelta_inst/Maccum_sigma_latch2_cy<11>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_11__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_12__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_12_ "sigmadelta_inst/Maccum_sigma_latch2_cy<12>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_12__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_13__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_13_ "sigmadelta_inst/Maccum_sigma_latch2_cy<13>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_13__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_14__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_14_ "sigmadelta_inst/Maccum_sigma_latch2_cy<14>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_14__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_15__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_15_ "sigmadelta_inst/Maccum_sigma_latch2_cy<15>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_15__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_16__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_16_ "sigmadelta_inst/Maccum_sigma_latch2_cy<16>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_16__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_17__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_1_ "sigmadelta_inst/Maccum_sigma_latch2_cy<1>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_1__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_2__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_2_ "sigmadelta_inst/Maccum_sigma_latch2_cy<2>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_2__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_3__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_3_ "sigmadelta_inst/Maccum_sigma_latch2_cy<3>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_3__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_4__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_4_ "sigmadelta_inst/Maccum_sigma_latch2_cy<4>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_4__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_5__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_5_ "sigmadelta_inst/Maccum_sigma_latch2_cy<5>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_5__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_6__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_6_ "sigmadelta_inst/Maccum_sigma_latch2_cy<6>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_6__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_7__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_7_ "sigmadelta_inst/Maccum_sigma_latch2_cy<7>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_7__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_8__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_8_ "sigmadelta_inst/Maccum_sigma_latch2_cy<8>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_8__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_9__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_9_ "sigmadelta_inst/Maccum_sigma_latch2_cy<9>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_9__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_10__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_0_ "sigmadelta_inst/Maccum_sigma_latch2_lut<0>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_0__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_0__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_0__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_10_ "sigmadelta_inst/Maccum_sigma_latch2_lut<10>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_10__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_10__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_11_ "sigmadelta_inst/Maccum_sigma_latch2_lut<11>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_11__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_11__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_12_ "sigmadelta_inst/Maccum_sigma_latch2_lut<12>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_12__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_12__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_13_ "sigmadelta_inst/Maccum_sigma_latch2_lut<13>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_13__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_13__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_14_ "sigmadelta_inst/Maccum_sigma_latch2_lut<14>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_14__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_14__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_15_ "sigmadelta_inst/Maccum_sigma_latch2_lut<15>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_15__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_15__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_16_ "sigmadelta_inst/Maccum_sigma_latch2_lut<16>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_16__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_16__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_1_ "sigmadelta_inst/Maccum_sigma_latch2_lut<1>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_1__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_1__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_2_ "sigmadelta_inst/Maccum_sigma_latch2_lut<2>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_2__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_2__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_3_ "sigmadelta_inst/Maccum_sigma_latch2_lut<3>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_3__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_3__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_4_ "sigmadelta_inst/Maccum_sigma_latch2_lut<4>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_4__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_4__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_5_ "sigmadelta_inst/Maccum_sigma_latch2_lut<5>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_5__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_5__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_6_ "sigmadelta_inst/Maccum_sigma_latch2_lut<6>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_6__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_6__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_7_ "sigmadelta_inst/Maccum_sigma_latch2_lut<7>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_7__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_7__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_8_ "sigmadelta_inst/Maccum_sigma_latch2_lut<8>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_8__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_8__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_9_ "sigmadelta_inst/Maccum_sigma_latch2_lut<9>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_9__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_9__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Result_0_ "sigmadelta_inst/Result<0>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_0__)) - ) - ) - (net (rename sigmadelta_inst_Result_0_1 "sigmadelta_inst/Result<0>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_0__)) - ) - ) - (net (rename sigmadelta_inst_Result_10_ "sigmadelta_inst/Result<10>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Result_10_1 "sigmadelta_inst/Result<10>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Result_11_ "sigmadelta_inst/Result<11>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Result_11_1 "sigmadelta_inst/Result<11>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Result_12_ "sigmadelta_inst/Result<12>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Result_12_1 "sigmadelta_inst/Result<12>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Result_13_ "sigmadelta_inst/Result<13>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Result_13_1 "sigmadelta_inst/Result<13>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Result_14_ "sigmadelta_inst/Result<14>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Result_14_1 "sigmadelta_inst/Result<14>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Result_15_ "sigmadelta_inst/Result<15>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Result_15_1 "sigmadelta_inst/Result<15>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Result_16_ "sigmadelta_inst/Result<16>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Result_16_1 "sigmadelta_inst/Result<16>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Result_17_ "sigmadelta_inst/Result<17>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_17)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_17__)) - ) - ) - (net (rename sigmadelta_inst_Result_17_1 "sigmadelta_inst/Result<17>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_17)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_17__)) - ) - ) - (net (rename sigmadelta_inst_Result_1_ "sigmadelta_inst/Result<1>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Result_1_1 "sigmadelta_inst/Result<1>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Result_2_ "sigmadelta_inst/Result<2>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Result_2_1 "sigmadelta_inst/Result<2>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Result_3_ "sigmadelta_inst/Result<3>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Result_3_1 "sigmadelta_inst/Result<3>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Result_4_ "sigmadelta_inst/Result<4>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Result_4_1 "sigmadelta_inst/Result<4>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Result_5_ "sigmadelta_inst/Result<5>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Result_5_1 "sigmadelta_inst/Result<5>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Result_6_ "sigmadelta_inst/Result<6>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Result_6_1 "sigmadelta_inst/Result<6>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Result_7_ "sigmadelta_inst/Result<7>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Result_7_1 "sigmadelta_inst/Result<7>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Result_8_ "sigmadelta_inst/Result<8>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Result_8_1 "sigmadelta_inst/Result<8>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Result_9_ "sigmadelta_inst/Result<9>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Result_9_1 "sigmadelta_inst/Result<9>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_0_ "sigmadelta_inst/dat_q1<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_0)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_0_mux0000 "sigmadelta_inst/dat_q1_0_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_0_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_1_ "sigmadelta_inst/dat_q1<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_1)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_10_ "sigmadelta_inst/dat_q1<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_10)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_10_mux0000 "sigmadelta_inst/dat_q1_10_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_10_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_11_ "sigmadelta_inst/dat_q1<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_11)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_11_mux0000 "sigmadelta_inst/dat_q1_11_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_11_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_12_ "sigmadelta_inst/dat_q1<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_12)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_12_mux0000 "sigmadelta_inst/dat_q1_12_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_12_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_13_ "sigmadelta_inst/dat_q1<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_13)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_13_mux0000 "sigmadelta_inst/dat_q1_13_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_13_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_14_ "sigmadelta_inst/dat_q1<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_14)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_14_mux0000 "sigmadelta_inst/dat_q1_14_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_14_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_15_ "sigmadelta_inst/dat_q1<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_15)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_15_mux0000 "sigmadelta_inst/dat_q1_15_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_15_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_1_mux0000 "sigmadelta_inst/dat_q1_1_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_1_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_2_ "sigmadelta_inst/dat_q1<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_2)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_2_mux0000 "sigmadelta_inst/dat_q1_2_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_2_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_3_ "sigmadelta_inst/dat_q1<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_3)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_3_mux0000 "sigmadelta_inst/dat_q1_3_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_3_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_4_ "sigmadelta_inst/dat_q1<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_4)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_4_mux0000 "sigmadelta_inst/dat_q1_4_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_4_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_5_ "sigmadelta_inst/dat_q1<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_5)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_5_mux0000 "sigmadelta_inst/dat_q1_5_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_5_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_6_ "sigmadelta_inst/dat_q1<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_6)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_6_mux0000 "sigmadelta_inst/dat_q1_6_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_6_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_7_ "sigmadelta_inst/dat_q1<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_7)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_7_mux0000 "sigmadelta_inst/dat_q1_7_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_7_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_8_ "sigmadelta_inst/dat_q1<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_8)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_8_mux0000 "sigmadelta_inst/dat_q1_8_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_8_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_9_ "sigmadelta_inst/dat_q1<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_9)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_9_mux0000 "sigmadelta_inst/dat_q1_9_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_9_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_0_ "sigmadelta_inst/dat_q2<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_0)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_0_mux0000 "sigmadelta_inst/dat_q2_0_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_0_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_0_not0001 "sigmadelta_inst/dat_q2_0_not0001") - (joined - (portRef CE (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_1_ "sigmadelta_inst/dat_q2<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_1)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_10_ "sigmadelta_inst/dat_q2<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_10)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_10_mux0000 "sigmadelta_inst/dat_q2_10_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_10_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_11_ "sigmadelta_inst/dat_q2<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_11)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_11_mux0000 "sigmadelta_inst/dat_q2_11_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_11_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_12_ "sigmadelta_inst/dat_q2<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_12)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_12_mux0000 "sigmadelta_inst/dat_q2_12_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_12_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_13_ "sigmadelta_inst/dat_q2<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_13)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_13_mux0000 "sigmadelta_inst/dat_q2_13_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_13_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_14_ "sigmadelta_inst/dat_q2<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_14)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_14_mux0000 "sigmadelta_inst/dat_q2_14_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_14_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_15_ "sigmadelta_inst/dat_q2<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_15)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_15_mux0000 "sigmadelta_inst/dat_q2_15_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_15_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_1_mux0000 "sigmadelta_inst/dat_q2_1_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_1_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_2_ "sigmadelta_inst/dat_q2<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_2)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_2_mux0000 "sigmadelta_inst/dat_q2_2_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_2_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_3_ "sigmadelta_inst/dat_q2<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_3)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_3_mux0000 "sigmadelta_inst/dat_q2_3_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_3_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_4_ "sigmadelta_inst/dat_q2<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_4)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_4_mux0000 "sigmadelta_inst/dat_q2_4_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_4_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_5_ "sigmadelta_inst/dat_q2<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_5)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_5_mux0000 "sigmadelta_inst/dat_q2_5_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_5_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_6_ "sigmadelta_inst/dat_q2<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_6)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_6_mux0000 "sigmadelta_inst/dat_q2_6_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_6_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_7_ "sigmadelta_inst/dat_q2<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_7)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_7_mux0000 "sigmadelta_inst/dat_q2_7_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_7_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_8_ "sigmadelta_inst/dat_q2<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_8)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_8_mux0000 "sigmadelta_inst/dat_q2_8_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_8_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_9_ "sigmadelta_inst/dat_q2<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_9)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_9_mux0000 "sigmadelta_inst/dat_q2_9_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_9_mux00001)) - ) - ) - (net (rename sigmadelta_inst_le_q "sigmadelta_inst/le_q") - (joined - (portRef Q (instanceRef sigmadelta_inst_le_q_renamed_763)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_9_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_8_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_7_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_6_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_5_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_4_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_3_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_2_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_1_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_15_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_14_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_13_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_12_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_11_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_10_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_0_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_9_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_8_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_7_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_6_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_5_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_4_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_3_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_2_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_1_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_15_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_14_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_13_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_12_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_11_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_10_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_0_mux00001)) - ) - ) - (net (rename sigmadelta_inst_le_q_not0001 "sigmadelta_inst/le_q_not0001") - (joined - (portRef CE (instanceRef sigmadelta_inst_le_q_renamed_763)) - (portRef O (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - ) - ) - (net (rename sigmadelta_inst_sd_en_q_0_ "sigmadelta_inst/sd_en_q<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef CE (instanceRef sigmadelta_inst_sdout_0)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_17)) - ) - ) - (net (rename sigmadelta_inst_sd_en_q_0_not0001 "sigmadelta_inst/sd_en_q_0_not0001") - (joined - (portRef CE (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef CE (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef O (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - ) - ) - (net (rename sigmadelta_inst_sd_en_q_1_ "sigmadelta_inst/sd_en_q<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef CE (instanceRef sigmadelta_inst_sdout_1)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_17)) - ) - ) - (net (rename sigmadelta_inst_sdout_0_ "sigmadelta_inst/sdout<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sdout_0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - ) - ) - (net (rename sigmadelta_inst_sdout_1_ "sigmadelta_inst/sdout<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sdout_1)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000294_renamed_1249)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000294_renamed_1253)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000294_renamed_1257)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000294_renamed_1261)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000294_renamed_1265)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000294_renamed_1269)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000294_renamed_1273)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000294_renamed_1277)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000294_renamed_1281)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000294_renamed_1285)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000294_renamed_1289)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000294_renamed_1293)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000294_renamed_1297)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000294_renamed_1301)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000294_renamed_1305)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000294_renamed_1309)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000294_renamed_1313)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000294_renamed_1317)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000294_renamed_1321)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000294_renamed_1325)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000294_renamed_1329)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000294_renamed_1333)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000294_renamed_1337)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000294_renamed_1341)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000294_renamed_1345)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000294_renamed_1349)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000294_renamed_1353)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000294_renamed_1357)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000294_renamed_1361)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000294_renamed_1365)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000294_renamed_1369)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000294_renamed_1373)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000294_renamed_1377)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000294_renamed_1381)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_0_ "sigmadelta_inst/sigma_latch1<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_0__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_0__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_1_ "sigmadelta_inst/sigma_latch1<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_1__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_1__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_10_ "sigmadelta_inst/sigma_latch1<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_10__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_10__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_11_ "sigmadelta_inst/sigma_latch1<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_11__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_11__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_12_ "sigmadelta_inst/sigma_latch1<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_12__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_12__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_13_ "sigmadelta_inst/sigma_latch1<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_13__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_13__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_14_ "sigmadelta_inst/sigma_latch1<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_14__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_14__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_15_ "sigmadelta_inst/sigma_latch1<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_15__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_15__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_16_ "sigmadelta_inst/sigma_latch1<16>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_16__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_16__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_17_ "sigmadelta_inst/sigma_latch1<17>") - (joined - (portRef D (instanceRef sigmadelta_inst_sdout_0)) - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_17)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_16__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_2_ "sigmadelta_inst/sigma_latch1<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_2__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_2__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_3_ "sigmadelta_inst/sigma_latch1<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_3__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_3__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_4_ "sigmadelta_inst/sigma_latch1<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_4__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_4__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_5_ "sigmadelta_inst/sigma_latch1<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_5__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_5__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_6_ "sigmadelta_inst/sigma_latch1<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_6__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_6__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_7_ "sigmadelta_inst/sigma_latch1<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_7__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_7__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_8_ "sigmadelta_inst/sigma_latch1<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_8__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_8__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_9_ "sigmadelta_inst/sigma_latch1<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_9__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_9__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_0_ "sigmadelta_inst/sigma_latch2<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_0__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_0__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_1_ "sigmadelta_inst/sigma_latch2<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_1__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_1__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_10_ "sigmadelta_inst/sigma_latch2<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_10__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_10__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_11_ "sigmadelta_inst/sigma_latch2<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_11__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_11__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_12_ "sigmadelta_inst/sigma_latch2<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_12__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_12__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_13_ "sigmadelta_inst/sigma_latch2<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_13__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_13__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_14_ "sigmadelta_inst/sigma_latch2<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_14__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_14__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_15_ "sigmadelta_inst/sigma_latch2<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_15__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_15__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_16_ "sigmadelta_inst/sigma_latch2<16>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_16__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_16__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_17_ "sigmadelta_inst/sigma_latch2<17>") - (joined - (portRef D (instanceRef sigmadelta_inst_sdout_1)) - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_17)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_16__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_2_ "sigmadelta_inst/sigma_latch2<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_2__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_2__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_3_ "sigmadelta_inst/sigma_latch2<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_3__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_3__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_4_ "sigmadelta_inst/sigma_latch2<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_4__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_4__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_5_ "sigmadelta_inst/sigma_latch2<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_5__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_5__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_6_ "sigmadelta_inst/sigma_latch2<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_6__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_6__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_7_ "sigmadelta_inst/sigma_latch2<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_7__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_7__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_8_ "sigmadelta_inst/sigma_latch2<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_8__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_8__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_9_ "sigmadelta_inst/sigma_latch2<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_9__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_9__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_0_ "sigmadelta_inst/sync_dat_q1<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_0)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_0__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_1_ "sigmadelta_inst/sync_dat_q1<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_1)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_1__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_10_ "sigmadelta_inst/sync_dat_q1<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_10)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_10__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_11_ "sigmadelta_inst/sync_dat_q1<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_11)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_11__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_12_ "sigmadelta_inst/sync_dat_q1<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_12)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_12__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_13_ "sigmadelta_inst/sync_dat_q1<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_13)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_13__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_14_ "sigmadelta_inst/sync_dat_q1<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_14)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_14__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_15_ "sigmadelta_inst/sync_dat_q1<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_15)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_15__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_2_ "sigmadelta_inst/sync_dat_q1<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_2)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_2__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_3_ "sigmadelta_inst/sync_dat_q1<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_3)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_3__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_4_ "sigmadelta_inst/sync_dat_q1<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_4)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_4__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_5_ "sigmadelta_inst/sync_dat_q1<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_5)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_5__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_6_ "sigmadelta_inst/sync_dat_q1<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_6)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_6__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_7_ "sigmadelta_inst/sync_dat_q1<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_7)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_7__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_8_ "sigmadelta_inst/sync_dat_q1<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_8)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_8__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_9_ "sigmadelta_inst/sync_dat_q1<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_9)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_9__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_0_ "sigmadelta_inst/sync_dat_q2<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_0)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_0__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_1_ "sigmadelta_inst/sync_dat_q2<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_1)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_1__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_10_ "sigmadelta_inst/sync_dat_q2<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_10)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_10__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_11_ "sigmadelta_inst/sync_dat_q2<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_11)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_11__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_12_ "sigmadelta_inst/sync_dat_q2<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_12)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_12__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_13_ "sigmadelta_inst/sync_dat_q2<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_13)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_13__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_14_ "sigmadelta_inst/sync_dat_q2<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_14)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_14__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_15_ "sigmadelta_inst/sync_dat_q2<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_15)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_15__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_2_ "sigmadelta_inst/sync_dat_q2<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_2)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_2__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_3_ "sigmadelta_inst/sync_dat_q2<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_3)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_3__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_4_ "sigmadelta_inst/sync_dat_q2<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_4)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_4__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_5_ "sigmadelta_inst/sync_dat_q2<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_5)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_5__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_6_ "sigmadelta_inst/sync_dat_q2<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_6)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_6__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_7_ "sigmadelta_inst/sync_dat_q2<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_7)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_7__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_8_ "sigmadelta_inst/sync_dat_q2<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_8)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_8__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_9_ "sigmadelta_inst/sync_dat_q2<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_9)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_9__)) - ) - ) - (net (rename slot0_cpol "slot0/cpol") - (joined - (portRef Q (instanceRef slot0_cpol_renamed_326)) - (portRef I1 (instanceRef slot0_wb_dat_o_4_mux00001)) - (portRef I0 (instanceRef slot0_zspiclk_spiclk_mux00001)) - ) - ) - (net (rename slot0_cpol_not0001 "slot0/cpol_not0001") - (joined - (portRef CE (instanceRef slot0_cpol_renamed_326)) - (portRef CE (instanceRef slot0_spi_samprise_renamed_320)) - (portRef CE (instanceRef slot0_spi_clk_pres_0)) - (portRef CE (instanceRef slot0_spi_clk_pres_1)) - (portRef CE (instanceRef slot0_spi_clk_pres_2)) - (portRef O (instanceRef slot0_cpol_not00011)) - ) - ) - (net (rename slot0_spi_clk_en "slot0/spi_clk_en") - (joined - (portRef CE (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef I0 (instanceRef slot0_zspiclk_prescale_q_not00011)) - (portRef I1 (instanceRef slot0_zspiclk_spiclk_mux00001)) - (portRef I1 (instanceRef slot0_zspiclk_clk_i_or00001)) - (portRef I3 (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - (portRef I1 (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - (portRef I1 (instanceRef slot0_zspiclk_running_q_or00001)) - (portRef O (instanceRef slot0_zspi_clk_en11_f5)) - ) - ) - (net (rename slot0_spi_clk_pres_0_ "slot0/spi_clk_pres<0>") - (joined - (portRef Q (instanceRef slot0_spi_clk_pres_0)) - (portRef D (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef I1 (instanceRef slot0_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot0_spi_clk_pres_1_ "slot0/spi_clk_pres<1>") - (joined - (portRef Q (instanceRef slot0_spi_clk_pres_1)) - (portRef D (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef I1 (instanceRef slot0_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot0_spi_clk_pres_2_ "slot0/spi_clk_pres<2>") - (joined - (portRef Q (instanceRef slot0_spi_clk_pres_2)) - (portRef D (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef I1 (instanceRef slot0_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot0_spi_en "slot0/spi_en") - (joined - (portRef Q (instanceRef slot0_spi_en_renamed_324)) - (portRef I1 (instanceRef slot0_zspi_ignore_sample_q_not00011)) - (portRef I1 (instanceRef slot0_zspi_count_not00011_f5)) - (portRef I1 (instanceRef slot0_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot0_spi_en_mux0007 "slot0/spi_en_mux0007") - (joined - (portRef D (instanceRef slot0_spi_en_renamed_324)) - (portRef O (instanceRef slot0_spi_en_mux00071)) - ) - ) - (net (rename slot0_spi_en_or0000 "slot0/spi_en_or0000") - (joined - (portRef R (instanceRef slot0_spi_en_renamed_324)) - (portRef O (instanceRef slot0_spi_en_or0000_renamed_2173)) - ) - ) - (net (rename slot0_spi_enable_q "slot0/spi_enable_q") - (joined - (portRef Q (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef I1 (instanceRef slot0_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot0_spi_samprise "slot0/spi_samprise") - (joined - (portRef Q (instanceRef slot0_spi_samprise_renamed_320)) - (portRef I0 (instanceRef slot0_zspi_ignore_sample_q_mux00001)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - (portRef I0 (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I1 (instanceRef slot0_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot0_spi_transfersize_q_0_ "slot0/spi_transfersize_q<0>") - (joined - (portRef Q (instanceRef slot0_spi_transfersize_q_0)) - (portRef I0 (instanceRef slot0_zspi_Mmux_mosi_mux0001_3_renamed_800)) - (portRef I0 (instanceRef slot0_zspi_Mmux_mosi_mux0001_4_renamed_801)) - (portRef I1 (instanceRef slot_address_0__26__929_renamed_1926)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count41_renamed_2223)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count51_renamed_2295)) - (portRef I (instanceRef slot0_zspi_Mcount_count_xor_3_11_INV_0)) - ) - ) - (net (rename slot0_spi_transfersize_q_1_ "slot0/spi_transfersize_q<1>") - (joined - (portRef Q (instanceRef slot0_spi_transfersize_q_1)) - (portRef S (instanceRef slot0_zspi_Mmux_mosi_mux0001_2_f5)) - (portRef I1 (instanceRef slot_address_0__26__930_renamed_1925)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count41_renamed_2223)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count51_renamed_2295)) - ) - ) - (net (rename slot0_spi_transfersize_q_not0001 "slot0/spi_transfersize_q_not0001") - (joined - (portRef CE (instanceRef slot0_spi_transfersize_q_0)) - (portRef CE (instanceRef slot0_spi_transfersize_q_1)) - (portRef O (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - ) - ) - (net (rename slot0_spi_txblock_q "slot0/spi_txblock_q") - (joined - (portRef Q (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef I1 (instanceRef slot0_spi_en_mux00071)) - (portRef I2 (instanceRef slot0_trans_or00011)) - (portRef I1 (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef slot0_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot0_spi_txblock_q_not0001 "slot0/spi_txblock_q_not0001") - (joined - (portRef CE (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef CE (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef O (instanceRef slot0_spi_txblock_q_not00011)) - ) - ) - (net (rename slot0_trans "slot0/trans") - (joined - (portRef Q (instanceRef slot0_trans_renamed_325)) - (portRef I0 (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I0 (instanceRef slot0_trans_or00001)) - (portRef I2 (instanceRef slot0_spi_en_or0000_renamed_2173)) - ) - ) - (net (rename slot0_trans_or0000 "slot0/trans_or0000") - (joined - (portRef R (instanceRef slot0_trans_renamed_325)) - (portRef R (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef O (instanceRef slot0_trans_or00001)) - ) - ) - (net (rename slot0_trans_or0001 "slot0/trans_or0001") - (joined - (portRef S (instanceRef slot0_trans_renamed_325)) - (portRef S (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef O (instanceRef slot0_trans_or00011)) - ) - ) - (net (rename slot0_wb_ack_o "slot0/wb_ack_o") - (joined - (portRef Q (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef I0 (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - ) - ) - (net (rename slot0_zspi_Mcount_count "slot0/zspi/Mcount_count") - (joined - (portRef D (instanceRef slot0_zspi_count_0)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_0_11)) - ) - ) - (net (rename slot0_zspi_Mcount_count1 "slot0/zspi/Mcount_count1") - (joined - (portRef D (instanceRef slot0_zspi_count_1)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_1_11)) - ) - ) - (net (rename slot0_zspi_Mcount_count2 "slot0/zspi/Mcount_count2") - (joined - (portRef D (instanceRef slot0_zspi_count_2)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - ) - ) - (net (rename slot0_zspi_Mcount_count3 "slot0/zspi/Mcount_count3") - (joined - (portRef D (instanceRef slot0_zspi_count_3)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_3_1_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count4 "slot0/zspi/Mcount_count4") - (joined - (portRef D (instanceRef slot0_zspi_count_4)) - (portRef O (instanceRef slot0_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count41 "slot0/zspi/Mcount_count41") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count41_renamed_2223)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count42 "slot0/zspi/Mcount_count42") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count42_renamed_2224)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count4_bdd0 "slot0/zspi/Mcount_count4_bdd0") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count411)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count42_renamed_2224)) - (portRef I2 (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef I2 (instanceRef slot0_zspi_count_not000111)) - (portRef I1 (instanceRef slot0_zspi_ready_q_not000111)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count52_renamed_2296)) - ) - ) - (net (rename slot0_zspi_Mcount_count5 "slot0/zspi/Mcount_count5") - (joined - (portRef D (instanceRef slot0_zspi_count_5)) - (portRef O (instanceRef slot0_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count51 "slot0/zspi/Mcount_count51") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count51_renamed_2295)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count52 "slot0/zspi/Mcount_count52") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count52_renamed_2296)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count_xor_3_1 "slot0/zspi/Mcount_count_xor<3>1") - (joined - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_3_1_f5)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_3_11_INV_0)) - ) - ) - (net (rename slot0_zspi_Mcount_count_xor_3_11 "slot0/zspi/Mcount_count_xor<3>11") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_3_1_f5)) - ) - ) - (net (rename slot0_zspi_Mmux_mosi_mux0001_3 "slot0/zspi/Mmux_mosi_mux0001_3") - (joined - (portRef O (instanceRef slot0_zspi_Mmux_mosi_mux0001_3_renamed_800)) - (portRef I1 (instanceRef slot0_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot0_zspi_Mmux_mosi_mux0001_4 "slot0/zspi/Mmux_mosi_mux0001_4") - (joined - (portRef O (instanceRef slot0_zspi_Mmux_mosi_mux0001_4_renamed_801)) - (portRef I0 (instanceRef slot0_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot0_zspi_N01 "slot0/zspi/N01") - (joined - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_0_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - ) - ) - (net (rename slot0_zspi_N7 "slot0/zspi/N7") - (joined - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - (portRef I3 (instanceRef slot0_zspi_ignore_sample_q_not00011)) - (portRef O (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot0_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot0_zspi_clk_en11 "slot0/zspi/clk_en11") - (joined - (portRef O (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef I1 (instanceRef slot0_zspi_clk_en11_f5)) - ) - ) - (net (rename slot0_zspi_clk_en111 "slot0/zspi/clk_en111") - (joined - (portRef I0 (instanceRef slot0_zspi_clk_en11_f5)) - (portRef O (instanceRef slot0_zspi_clk_en112_INV_0)) - ) - ) - (net (rename slot0_zspi_count_0_ "slot0/zspi/count<0>") - (joined - (portRef Q (instanceRef slot0_zspi_count_0)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_0_11)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_1_11)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count411)) - (portRef I3 (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot0_zspi_count_1_ "slot0/zspi/count<1>") - (joined - (portRef Q (instanceRef slot0_zspi_count_1)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count_xor_1_11)) - (portRef I3 (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count411)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot0_zspi_count_2_ "slot0/zspi/count<2>") - (joined - (portRef Q (instanceRef slot0_zspi_count_2)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count411)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot0_zspi_count_3_ "slot0/zspi/count<3>") - (joined - (portRef Q (instanceRef slot0_zspi_count_3)) - (portRef I3 (instanceRef slot0_zspi_Mcount_count411)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot0_zspi_count_4_ "slot0/zspi/count<4>") - (joined - (portRef Q (instanceRef slot0_zspi_count_4)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count42_renamed_2224)) - (portRef I0 (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef I1 (instanceRef slot0_zspi_count_not000111)) - (portRef I3 (instanceRef slot0_zspi_ready_q_not000111)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count52_renamed_2296)) - ) - ) - (net (rename slot0_zspi_count_5_ "slot0/zspi/count<5>") - (joined - (portRef Q (instanceRef slot0_zspi_count_5)) - (portRef I3 (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef I3 (instanceRef slot0_zspi_count_not000111)) - (portRef I0 (instanceRef slot0_zspi_ready_q_not000111)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count52_renamed_2296)) - ) - ) - (net (rename slot0_zspi_count_not0001 "slot0/zspi/count_not0001") - (joined - (portRef CE (instanceRef slot0_zspi_count_0)) - (portRef CE (instanceRef slot0_zspi_count_1)) - (portRef CE (instanceRef slot0_zspi_count_2)) - (portRef CE (instanceRef slot0_zspi_count_3)) - (portRef CE (instanceRef slot0_zspi_count_4)) - (portRef CE (instanceRef slot0_zspi_count_5)) - (portRef O (instanceRef slot0_zspi_count_not00011_f5)) - ) - ) - (net (rename slot0_zspi_count_not00011 "slot0/zspi/count_not00011") - (joined - (portRef O (instanceRef slot0_zspi_count_not000111)) - (portRef I0 (instanceRef slot0_zspi_count_not00011_f5)) - ) - ) - (net (rename slot0_zspi_do_shift "slot0/zspi/do_shift") - (joined - (portRef CE (instanceRef slot0_zspi_mosi_renamed_802)) - (portRef O (instanceRef slot0_zspi_do_shift_and00001)) - ) - ) - (net (rename slot0_zspi_ignore_sample_q "slot0/zspi/ignore_sample_q") - (joined - (portRef Q (instanceRef slot0_zspi_ignore_sample_q_renamed_804)) - (portRef I3 (instanceRef slot0_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot0_zspi_ignore_sample_q_mux0000 "slot0/zspi/ignore_sample_q_mux0000") - (joined - (portRef D (instanceRef slot0_zspi_ignore_sample_q_renamed_804)) - (portRef O (instanceRef slot0_zspi_ignore_sample_q_mux00001)) - ) - ) - (net (rename slot0_zspi_ignore_sample_q_not0001 "slot0/zspi/ignore_sample_q_not0001") - (joined - (portRef CE (instanceRef slot0_zspi_ignore_sample_q_renamed_804)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_0)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_1)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_2)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_3)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_4)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_5)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_6)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_7)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_8)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_9)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_10)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_11)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_12)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_13)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_14)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_15)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_16)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_17)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_18)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_19)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_20)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_21)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_22)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_23)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_24)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_25)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_26)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_27)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_28)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_29)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_30)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_31)) - (portRef O (instanceRef slot0_zspi_ignore_sample_q_not00011)) - ) - ) - (net (rename slot0_zspi_mosi "slot0/zspi/mosi") - (joined - (portRef I (instanceRef ospimosi_obufi)) - (portRef Q (instanceRef slot0_zspi_mosi_renamed_802)) - ) - ) - (net (rename slot0_zspi_mosi_mux0001 "slot0/zspi/mosi_mux0001") - (joined - (portRef D (instanceRef slot0_zspi_mosi_renamed_802)) - (portRef O (instanceRef slot0_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot0_zspi_read_reg_q_0_ "slot0/zspi/read_reg_q<0>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_0)) - (portRef D (instanceRef slot0_zspi_read_reg_q_1)) - (portRef I2 (instanceRef slot0_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_1_ "slot0/zspi/read_reg_q<1>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_1)) - (portRef D (instanceRef slot0_zspi_read_reg_q_2)) - (portRef I2 (instanceRef slot0_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_10_ "slot0/zspi/read_reg_q<10>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_10)) - (portRef D (instanceRef slot0_zspi_read_reg_q_11)) - (portRef I1 (instanceRef slot_address_0__26__9_renamed_1964)) - ) - ) - (net (rename slot0_zspi_read_reg_q_11_ "slot0/zspi/read_reg_q<11>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_11)) - (portRef D (instanceRef slot0_zspi_read_reg_q_12)) - (portRef I1 (instanceRef slot_address_0__26__91_renamed_1963)) - ) - ) - (net (rename slot0_zspi_read_reg_q_12_ "slot0/zspi/read_reg_q<12>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_12)) - (portRef D (instanceRef slot0_zspi_read_reg_q_13)) - (portRef I1 (instanceRef slot_address_0__26__92_renamed_1962)) - ) - ) - (net (rename slot0_zspi_read_reg_q_13_ "slot0/zspi/read_reg_q<13>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_13)) - (portRef D (instanceRef slot0_zspi_read_reg_q_14)) - (portRef I1 (instanceRef slot_address_0__26__93_renamed_1961)) - ) - ) - (net (rename slot0_zspi_read_reg_q_14_ "slot0/zspi/read_reg_q<14>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_14)) - (portRef D (instanceRef slot0_zspi_read_reg_q_15)) - (portRef I1 (instanceRef slot_address_0__26__94_renamed_1960)) - ) - ) - (net (rename slot0_zspi_read_reg_q_15_ "slot0/zspi/read_reg_q<15>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_15)) - (portRef D (instanceRef slot0_zspi_read_reg_q_16)) - (portRef I1 (instanceRef slot_address_0__26__95_renamed_1959)) - ) - ) - (net (rename slot0_zspi_read_reg_q_16_ "slot0/zspi/read_reg_q<16>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_16)) - (portRef D (instanceRef slot0_zspi_read_reg_q_17)) - (portRef I1 (instanceRef slot_address_0__26__96_renamed_1957)) - ) - ) - (net (rename slot0_zspi_read_reg_q_17_ "slot0/zspi/read_reg_q<17>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_17)) - (portRef D (instanceRef slot0_zspi_read_reg_q_18)) - (portRef I1 (instanceRef slot_address_0__26__97_renamed_1955)) - ) - ) - (net (rename slot0_zspi_read_reg_q_18_ "slot0/zspi/read_reg_q<18>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_18)) - (portRef D (instanceRef slot0_zspi_read_reg_q_19)) - (portRef I1 (instanceRef slot_address_0__26__98_renamed_1953)) - ) - ) - (net (rename slot0_zspi_read_reg_q_19_ "slot0/zspi/read_reg_q<19>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_19)) - (portRef D (instanceRef slot0_zspi_read_reg_q_20)) - (portRef I1 (instanceRef slot_address_0__26__99_renamed_1951)) - ) - ) - (net (rename slot0_zspi_read_reg_q_2_ "slot0/zspi/read_reg_q<2>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_2)) - (portRef D (instanceRef slot0_zspi_read_reg_q_3)) - (portRef I2 (instanceRef slot0_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_20_ "slot0/zspi/read_reg_q<20>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_20)) - (portRef D (instanceRef slot0_zspi_read_reg_q_21)) - (portRef I1 (instanceRef slot_address_0__26__911_renamed_1949)) - ) - ) - (net (rename slot0_zspi_read_reg_q_21_ "slot0/zspi/read_reg_q<21>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_21)) - (portRef D (instanceRef slot0_zspi_read_reg_q_22)) - (portRef I1 (instanceRef slot_address_0__26__912_renamed_1947)) - ) - ) - (net (rename slot0_zspi_read_reg_q_22_ "slot0/zspi/read_reg_q<22>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_22)) - (portRef D (instanceRef slot0_zspi_read_reg_q_23)) - (portRef I1 (instanceRef slot_address_0__26__913_renamed_1945)) - ) - ) - (net (rename slot0_zspi_read_reg_q_23_ "slot0/zspi/read_reg_q<23>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_23)) - (portRef D (instanceRef slot0_zspi_read_reg_q_24)) - (portRef I1 (instanceRef slot_address_0__26__914_renamed_1943)) - ) - ) - (net (rename slot0_zspi_read_reg_q_24_ "slot0/zspi/read_reg_q<24>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_24)) - (portRef D (instanceRef slot0_zspi_read_reg_q_25)) - (portRef I1 (instanceRef slot_address_0__26__915_renamed_1941)) - ) - ) - (net (rename slot0_zspi_read_reg_q_25_ "slot0/zspi/read_reg_q<25>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_25)) - (portRef D (instanceRef slot0_zspi_read_reg_q_26)) - (portRef I1 (instanceRef slot_address_0__26__916_renamed_1939)) - ) - ) - (net (rename slot0_zspi_read_reg_q_26_ "slot0/zspi/read_reg_q<26>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_26)) - (portRef D (instanceRef slot0_zspi_read_reg_q_27)) - (portRef I1 (instanceRef slot_address_0__26__917_renamed_1937)) - ) - ) - (net (rename slot0_zspi_read_reg_q_27_ "slot0/zspi/read_reg_q<27>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_27)) - (portRef D (instanceRef slot0_zspi_read_reg_q_28)) - (portRef I1 (instanceRef slot_address_0__26__918_renamed_1935)) - ) - ) - (net (rename slot0_zspi_read_reg_q_28_ "slot0/zspi/read_reg_q<28>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_28)) - (portRef D (instanceRef slot0_zspi_read_reg_q_29)) - (portRef I1 (instanceRef slot_address_0__26__919_renamed_1933)) - ) - ) - (net (rename slot0_zspi_read_reg_q_29_ "slot0/zspi/read_reg_q<29>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_29)) - (portRef D (instanceRef slot0_zspi_read_reg_q_30)) - (portRef I1 (instanceRef slot_address_0__26__920_renamed_1931)) - ) - ) - (net (rename slot0_zspi_read_reg_q_3_ "slot0/zspi/read_reg_q<3>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_3)) - (portRef D (instanceRef slot0_zspi_read_reg_q_4)) - (portRef I2 (instanceRef slot0_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_30_ "slot0/zspi/read_reg_q<30>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_30)) - (portRef D (instanceRef slot0_zspi_read_reg_q_31)) - (portRef I1 (instanceRef slot_address_0__26__922_renamed_1929)) - ) - ) - (net (rename slot0_zspi_read_reg_q_31_ "slot0/zspi/read_reg_q<31>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_31)) - (portRef I1 (instanceRef slot_address_0__26__923_renamed_1927)) - ) - ) - (net (rename slot0_zspi_read_reg_q_4_ "slot0/zspi/read_reg_q<4>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_4)) - (portRef D (instanceRef slot0_zspi_read_reg_q_5)) - (portRef I2 (instanceRef slot0_wb_dat_o_4_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_5_ "slot0/zspi/read_reg_q<5>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_5)) - (portRef D (instanceRef slot0_zspi_read_reg_q_6)) - (portRef I2 (instanceRef slot0_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_6_ "slot0/zspi/read_reg_q<6>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_6)) - (portRef D (instanceRef slot0_zspi_read_reg_q_7)) - (portRef I2 (instanceRef slot0_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_7_ "slot0/zspi/read_reg_q<7>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_7)) - (portRef D (instanceRef slot0_zspi_read_reg_q_8)) - (portRef I2 (instanceRef slot0_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_8_ "slot0/zspi/read_reg_q<8>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_8)) - (portRef D (instanceRef slot0_zspi_read_reg_q_9)) - (portRef I3 (instanceRef slot_address_0__26__929_renamed_1926)) - ) - ) - (net (rename slot0_zspi_read_reg_q_9_ "slot0/zspi/read_reg_q<9>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_9)) - (portRef D (instanceRef slot0_zspi_read_reg_q_10)) - (portRef I3 (instanceRef slot_address_0__26__930_renamed_1925)) - ) - ) - (net (rename slot0_zspi_read_reg_q_not0001 "slot0/zspi/read_reg_q_not0001") - (joined - (portRef CE (instanceRef slot0_zspi_read_reg_q_0)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_1)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_2)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_3)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_4)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_5)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_6)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_7)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_8)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_9)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_10)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_11)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_12)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_13)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_14)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_15)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_16)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_17)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_18)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_19)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_20)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_21)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_22)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_23)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_24)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_25)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_26)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_27)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_28)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_29)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_30)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_31)) - (portRef O (instanceRef slot0_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot0_zspi_ready_q "slot0/zspi/ready_q") - (joined - (portRef Q (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_0_11)) - (portRef I2 (instanceRef slot0_zspi_ignore_sample_q_mux00001)) - (portRef I2 (instanceRef slot0_spi_en_mux00071)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_1_11)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - (portRef I1 (instanceRef slot0_zspi_do_shift_and00001)) - (portRef I0 (instanceRef slot0_trans_or00011)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - (portRef I0 (instanceRef slot0_zspi_ignore_sample_q_not00011)) - (portRef I2 (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef slot0_wb_dat_o_0_mux00001)) - (portRef I3 (instanceRef slot0_zspiclk_spiclk_not00011)) - (portRef I (instanceRef slot0_zspi_ready_q_mux00001_INV_0)) - (portRef S (instanceRef slot0_zspi_Mcount_count4_f5)) - (portRef S (instanceRef slot0_zspi_Mcount_count_xor_3_1_f5)) - (portRef I1 (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef S (instanceRef slot0_zspi_count_not00011_f5)) - (portRef S (instanceRef slot0_zspi_ready_q_not00011_f5)) - (portRef S (instanceRef slot0_zspi_Mcount_count5_f5)) - (portRef I (instanceRef slot0_zspi_clk_en112_INV_0)) - ) - ) - (net (rename slot0_zspi_ready_q_mux0000 "slot0/zspi/ready_q_mux0000") - (joined - (portRef D (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef O (instanceRef slot0_zspi_ready_q_mux00001_INV_0)) - ) - ) - (net (rename slot0_zspi_ready_q_not0001 "slot0/zspi/ready_q_not0001") - (joined - (portRef CE (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef O (instanceRef slot0_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot0_zspi_ready_q_not00011 "slot0/zspi/ready_q_not00011") - (joined - (portRef O (instanceRef slot0_zspi_ready_q_not000111)) - (portRef I0 (instanceRef slot0_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot0_zspi_write_reg_q_0_ "slot0/zspi/write_reg_q<0>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_0)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_1_ "slot0/zspi/write_reg_q<1>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_1)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_10_ "slot0/zspi/write_reg_q<10>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_10)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_11_ "slot0/zspi/write_reg_q<11>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_11)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_12_ "slot0/zspi/write_reg_q<12>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_12)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_13_ "slot0/zspi/write_reg_q<13>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_13)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_14_ "slot0/zspi/write_reg_q<14>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_14)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_15_ "slot0/zspi/write_reg_q<15>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_15)) - (portRef I2 (instanceRef slot0_zspi_Mmux_mosi_mux0001_4_renamed_801)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_16_ "slot0/zspi/write_reg_q<16>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_16)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_17_ "slot0/zspi/write_reg_q<17>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_17)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_18_ "slot0/zspi/write_reg_q<18>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_18)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_19_ "slot0/zspi/write_reg_q<19>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_19)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_2_ "slot0/zspi/write_reg_q<2>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_2)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_20_ "slot0/zspi/write_reg_q<20>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_20)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_21_ "slot0/zspi/write_reg_q<21>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_21)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_22_ "slot0/zspi/write_reg_q<22>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_22)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_23_ "slot0/zspi/write_reg_q<23>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_23)) - (portRef I1 (instanceRef slot0_zspi_Mmux_mosi_mux0001_3_renamed_800)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_24_ "slot0/zspi/write_reg_q<24>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_24)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_25_ "slot0/zspi/write_reg_q<25>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_25)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_26_ "slot0/zspi/write_reg_q<26>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_26)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_27_ "slot0/zspi/write_reg_q<27>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_27)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_28_ "slot0/zspi/write_reg_q<28>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_28)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_29_ "slot0/zspi/write_reg_q<29>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_29)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_3_ "slot0/zspi/write_reg_q<3>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_3)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_30_ "slot0/zspi/write_reg_q<30>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_30)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_31_ "slot0/zspi/write_reg_q<31>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_31)) - (portRef I2 (instanceRef slot0_zspi_Mmux_mosi_mux0001_3_renamed_800)) - ) - ) - (net (rename slot0_zspi_write_reg_q_4_ "slot0/zspi/write_reg_q<4>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_4)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_5_ "slot0/zspi/write_reg_q<5>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_5)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_6_ "slot0/zspi/write_reg_q<6>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_6)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_7_ "slot0/zspi/write_reg_q<7>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_7)) - (portRef I1 (instanceRef slot0_zspi_Mmux_mosi_mux0001_4_renamed_801)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_8_ "slot0/zspi/write_reg_q<8>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_8)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_9_ "slot0/zspi/write_reg_q<9>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_9)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_0_ "slot0/zspi/write_reg_q_mux0000<0>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_0)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_0_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_10_ "slot0/zspi/write_reg_q_mux0000<10>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_10)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_11_ "slot0/zspi/write_reg_q_mux0000<11>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_11)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_12_ "slot0/zspi/write_reg_q_mux0000<12>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_12)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_13_ "slot0/zspi/write_reg_q_mux0000<13>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_13)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_14_ "slot0/zspi/write_reg_q_mux0000<14>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_14)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_15_ "slot0/zspi/write_reg_q_mux0000<15>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_15)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_16_ "slot0/zspi/write_reg_q_mux0000<16>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_16)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_17_ "slot0/zspi/write_reg_q_mux0000<17>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_17)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_18_ "slot0/zspi/write_reg_q_mux0000<18>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_18)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_19_ "slot0/zspi/write_reg_q_mux0000<19>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_19)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_1_ "slot0/zspi/write_reg_q_mux0000<1>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_1)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_20_ "slot0/zspi/write_reg_q_mux0000<20>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_20)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_21_ "slot0/zspi/write_reg_q_mux0000<21>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_21)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_22_ "slot0/zspi/write_reg_q_mux0000<22>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_22)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_23_ "slot0/zspi/write_reg_q_mux0000<23>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_23)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_24_ "slot0/zspi/write_reg_q_mux0000<24>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_24)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_25_ "slot0/zspi/write_reg_q_mux0000<25>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_25)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_26_ "slot0/zspi/write_reg_q_mux0000<26>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_26)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_27_ "slot0/zspi/write_reg_q_mux0000<27>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_27)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_28_ "slot0/zspi/write_reg_q_mux0000<28>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_28)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_29_ "slot0/zspi/write_reg_q_mux0000<29>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_29)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_2_ "slot0/zspi/write_reg_q_mux0000<2>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_2)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_30_ "slot0/zspi/write_reg_q_mux0000<30>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_30)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_31_ "slot0/zspi/write_reg_q_mux0000<31>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_31)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_3_ "slot0/zspi/write_reg_q_mux0000<3>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_3)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_4_ "slot0/zspi/write_reg_q_mux0000<4>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_4)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_5_ "slot0/zspi/write_reg_q_mux0000<5>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_5)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_6_ "slot0/zspi/write_reg_q_mux0000<6>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_6)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_7_ "slot0/zspi/write_reg_q_mux0000<7>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_7)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_8_ "slot0/zspi/write_reg_q_mux0000<8>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_8)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_9_ "slot0/zspi/write_reg_q_mux0000<9>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_9)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - ) - ) - (net (rename slot0_zspiclk_clk_i "slot0/zspiclk/clk_i") - (joined - (portRef Q (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef I0 (instanceRef slot0_zspiclk_clkrise_i_or0000_SW1)) - (portRef I0 (instanceRef slot0_zspiclk_clkfall_i_or0000_SW1)) - (portRef I (instanceRef slot0_zspiclk_clk_i_not00011_INV_0)) - ) - ) - (net (rename slot0_zspiclk_clk_i_not0001 "slot0/zspiclk/clk_i_not0001") - (joined - (portRef D (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef O (instanceRef slot0_zspiclk_clk_i_not00011_INV_0)) - ) - ) - (net (rename slot0_zspiclk_clk_i_or0000 "slot0/zspiclk/clk_i_or0000") - (joined - (portRef R (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef O (instanceRef slot0_zspiclk_clk_i_or00001)) - ) - ) - (net (rename slot0_zspiclk_clkfall_i "slot0/zspiclk/clkfall_i") - (joined - (portRef Q (instanceRef slot0_zspiclk_clkfall_i_renamed_825)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - (portRef I1 (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot0_zspiclk_spiclk_mux00001)) - (portRef I0 (instanceRef slot0_zspiclk_spiclk_not00011)) - ) - ) - (net (rename slot0_zspiclk_clkfall_i_or0000 "slot0/zspiclk/clkfall_i_or0000") - (joined - (portRef R (instanceRef slot0_zspiclk_clkfall_i_renamed_825)) - (portRef O (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - ) - ) - (net (rename slot0_zspiclk_clkrise_i "slot0/zspiclk/clkrise_i") - (joined - (portRef Q (instanceRef slot0_zspiclk_clkrise_i_renamed_826)) - (portRef I1 (instanceRef slot0_zspi_ignore_sample_q_mux00001)) - (portRef I0 (instanceRef slot0_zspi_do_shift_and00001)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - (portRef I3 (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot0_zspiclk_spiclk_not00011)) - (portRef S (instanceRef slot0_zspi_clk_en11_f5)) - (portRef I0 (instanceRef slot0_zspi_count_not000111)) - (portRef I2 (instanceRef slot0_zspi_ready_q_not000111)) - ) - ) - (net (rename slot0_zspiclk_clkrise_i_or0000 "slot0/zspiclk/clkrise_i_or0000") - (joined - (portRef R (instanceRef slot0_zspiclk_clkrise_i_renamed_826)) - (portRef O (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_0_ "slot0/zspiclk/pr/Mcount_counter_cy<0>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_1_ "slot0/zspiclk/pr/Mcount_counter_cy<1>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_1__rt "slot0/zspiclk/pr/Mcount_counter_cy<1>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1752)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_2_ "slot0/zspiclk/pr/Mcount_counter_cy<2>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_2__rt "slot0/zspiclk/pr/Mcount_counter_cy<2>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1753)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_3_ "slot0/zspiclk/pr/Mcount_counter_cy<3>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_3__rt "slot0/zspiclk/pr/Mcount_counter_cy<3>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1754)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_4_ "slot0/zspiclk/pr/Mcount_counter_cy<4>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_4__rt "slot0/zspiclk/pr/Mcount_counter_cy<4>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1755)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_5_ "slot0/zspiclk/pr/Mcount_counter_cy<5>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_5__rt "slot0/zspiclk/pr/Mcount_counter_cy<5>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1756)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_6_ "slot0/zspiclk/pr/Mcount_counter_cy<6>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_6__rt "slot0/zspiclk/pr/Mcount_counter_cy<6>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1757)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_7_ "slot0/zspiclk/pr/Mcount_counter_cy<7>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_7__rt "slot0/zspiclk/pr/Mcount_counter_cy<7>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1758)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_8_ "slot0/zspiclk/pr/Mcount_counter_cy<8>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_8__rt "slot0/zspiclk/pr/Mcount_counter_cy<8>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1759)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_lut_0_ "slot0/zspiclk/pr/Mcount_counter_lut<0>") - (joined - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_0__)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_xor_9__rt "slot0/zspiclk/pr/Mcount_counter_xor<9>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1840)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_3_f5 "slot0/zspiclk/pr/Mmux_event_i_3_f5") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_4 "slot0/zspiclk/pr/Mmux_event_i_4") - (joined - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_4_f5 "slot0/zspiclk/pr/Mmux_event_i_4_f5") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_5 "slot0/zspiclk/pr/Mmux_event_i_5") - (joined - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_51 "slot0/zspiclk/pr/Mmux_event_i_51") - (joined - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_6 "slot0/zspiclk/pr/Mmux_event_i_6") - (joined - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_0_ "slot0/zspiclk/pr/Result<0>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_0)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_0__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_1_ "slot0/zspiclk/pr/Result<1>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_1)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_2_ "slot0/zspiclk/pr/Result<2>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_2)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_3_ "slot0/zspiclk/pr/Result<3>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_3)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_4_ "slot0/zspiclk/pr/Result<4>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_4)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_5_ "slot0/zspiclk/pr/Result<5>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_5)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_6_ "slot0/zspiclk/pr/Result<6>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_6)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_7_ "slot0/zspiclk/pr/Result<7>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_7)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_8_ "slot0/zspiclk/pr/Result<8>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_8)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_9_ "slot0/zspiclk/pr/Result<9>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_9)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot0_zspiclk_pr_ck1024_q "slot0/zspiclk/pr/ck1024_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck1024_q_renamed_813)) - (portRef I1 (instanceRef slot0_zspiclk_pr_event_i_and00001)) - ) - ) - (net (rename slot0_zspiclk_pr_ck16_q "slot0/zspiclk/pr/ck16_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck16_q_renamed_815)) - (portRef I2 (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - ) - ) - (net (rename slot0_zspiclk_pr_ck256_q "slot0/zspiclk/pr/ck256_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck256_q_renamed_818)) - (portRef I2 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_pr_ck2_q "slot0/zspiclk/pr/ck2_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck2_q_renamed_816)) - (portRef I2 (instanceRef slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966)) - ) - ) - (net (rename slot0_zspiclk_pr_ck4_q "slot0/zspiclk/pr/ck4_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck4_q_renamed_817)) - (portRef I2 (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - ) - ) - (net (rename slot0_zspiclk_pr_ck64_q "slot0/zspiclk/pr/ck64_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck64_q_renamed_812)) - (portRef I1 (instanceRef slot0_zspiclk_pr_event_i_and00021)) - ) - ) - (net (rename slot0_zspiclk_pr_ck8_q "slot0/zspiclk/pr/ck8_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck8_q_renamed_814)) - (portRef I1 (instanceRef slot0_zspiclk_pr_event_i_and00041)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_0_ "slot0/zspiclk/pr/counter<0>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck2_q_renamed_816)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_0)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966)) - (portRef I (instanceRef slot0_zspiclk_pr_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_1_ "slot0/zspiclk/pr/counter<1>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck4_q_renamed_817)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_1)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1752)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_2_ "slot0/zspiclk/pr/counter<2>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck8_q_renamed_814)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_2)) - (portRef I0 (instanceRef slot0_zspiclk_pr_event_i_and00041)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1753)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_3_ "slot0/zspiclk/pr/counter<3>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck16_q_renamed_815)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_3)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1754)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_4_ "slot0/zspiclk/pr/counter<4>") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_counter_4)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1755)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_5_ "slot0/zspiclk/pr/counter<5>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck64_q_renamed_812)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_5)) - (portRef I0 (instanceRef slot0_zspiclk_pr_event_i_and00021)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1756)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_6_ "slot0/zspiclk/pr/counter<6>") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_counter_6)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1757)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_7_ "slot0/zspiclk/pr/counter<7>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck256_q_renamed_818)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_7)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1758)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_8_ "slot0/zspiclk/pr/counter<8>") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_counter_8)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1759)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_9_ "slot0/zspiclk/pr/counter<9>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck1024_q_renamed_813)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_9)) - (portRef I0 (instanceRef slot0_zspiclk_pr_event_i_and00001)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1840)) - ) - ) - (net (rename slot0_zspiclk_pr_event_i_and0000 "slot0/zspiclk/pr/event_i_and0000") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_event_i_and00001)) - (portRef I3 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_pr_event_i_and0002 "slot0/zspiclk/pr/event_i_and0002") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_event_i_and00021)) - (portRef I3 (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - ) - ) - (net (rename slot0_zspiclk_pr_event_i_and0004 "slot0/zspiclk/pr/event_i_and0004") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_event_i_and00041)) - (portRef I3 (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - ) - ) - (net (rename slot0_zspiclk_prescale_event "slot0/zspiclk/prescale_event") - (joined - (portRef CE (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_2_f6)) - (portRef I1 (instanceRef slot0_zspiclk_clkrise_i_or0000_SW1)) - (portRef I1 (instanceRef slot0_zspiclk_clkfall_i_or0000_SW1)) - ) - ) - (net (rename slot0_zspiclk_prescale_q_0_ "slot0/zspiclk/prescale_q<0>") - (joined - (portRef Q (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_prescale_q_1_ "slot0/zspiclk/prescale_q<1>") - (joined - (portRef Q (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef S (instanceRef slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820)) - (portRef S (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819)) - ) - ) - (net (rename slot0_zspiclk_prescale_q_2_ "slot0/zspiclk/prescale_q<2>") - (joined - (portRef Q (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef S (instanceRef slot0_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot0_zspiclk_prescale_q_not0001 "slot0/zspiclk/prescale_q_not0001") - (joined - (portRef CE (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef CE (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef CE (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef O (instanceRef slot0_zspiclk_prescale_q_not00011)) - ) - ) - (net (rename slot0_zspiclk_prescale_reset "slot0/zspiclk/prescale_reset") - (joined - (portRef Q (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef R (instanceRef slot0_zspiclk_pr_ck64_q_renamed_812)) - (portRef R (instanceRef slot0_zspiclk_pr_ck1024_q_renamed_813)) - (portRef R (instanceRef slot0_zspiclk_pr_ck8_q_renamed_814)) - (portRef R (instanceRef slot0_zspiclk_pr_ck16_q_renamed_815)) - (portRef R (instanceRef slot0_zspiclk_pr_ck2_q_renamed_816)) - (portRef R (instanceRef slot0_zspiclk_pr_ck4_q_renamed_817)) - (portRef R (instanceRef slot0_zspiclk_pr_ck256_q_renamed_818)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_0)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_1)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_2)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_3)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_4)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_5)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_6)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_7)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_8)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_9)) - ) - ) - (net (rename slot0_zspiclk_prescale_reset_mux0001 "slot0/zspiclk/prescale_reset_mux0001") - (joined - (portRef D (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef O (instanceRef slot0_zspiclk_prescale_reset_mux00011_INV_0)) - ) - ) - (net (rename slot0_zspiclk_running_q "slot0/zspiclk/running_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_running_q_renamed_823)) - (portRef I1 (instanceRef slot0_zspiclk_prescale_q_not00011)) - (portRef I2 (instanceRef slot0_zspiclk_clk_i_or00001)) - (portRef I2 (instanceRef slot0_zspiclk_clkrise_i_or0000_SW1)) - (portRef I2 (instanceRef slot0_zspiclk_clkfall_i_or0000_SW1)) - (portRef I (instanceRef slot0_zspiclk_prescale_reset_mux00011_INV_0)) - ) - ) - (net (rename slot0_zspiclk_running_q_or0000 "slot0/zspiclk/running_q_or0000") - (joined - (portRef R (instanceRef slot0_zspiclk_running_q_renamed_823)) - (portRef O (instanceRef slot0_zspiclk_running_q_or00001)) - ) - ) - (net (rename slot0_zspiclk_spiclk "slot0/zspiclk/spiclk") - (joined - (portRef I (instanceRef ospiclk_obufi)) - (portRef Q (instanceRef slot0_zspiclk_spiclk_renamed_822)) - ) - ) - (net (rename slot0_zspiclk_spiclk_mux0000 "slot0/zspiclk/spiclk_mux0000") - (joined - (portRef D (instanceRef slot0_zspiclk_spiclk_renamed_822)) - (portRef O (instanceRef slot0_zspiclk_spiclk_mux00001)) - ) - ) - (net (rename slot0_zspiclk_spiclk_not0001 "slot0/zspiclk/spiclk_not0001") - (joined - (portRef CE (instanceRef slot0_zspiclk_spiclk_renamed_822)) - (portRef O (instanceRef slot0_zspiclk_spiclk_not00011)) - ) - ) - (net (rename slot1_cpol "slot1/cpol") - (joined - (portRef Q (instanceRef slot1_cpol_renamed_319)) - (portRef I1 (instanceRef slot1_wb_dat_o_4_mux00001)) - (portRef I0 (instanceRef slot1_zspiclk_spiclk_mux00001)) - ) - ) - (net (rename slot1_cpol_not0001 "slot1/cpol_not0001") - (joined - (portRef CE (instanceRef slot1_cpol_renamed_319)) - (portRef CE (instanceRef slot1_spi_samprise_renamed_313)) - (portRef CE (instanceRef slot1_spi_clk_pres_0)) - (portRef CE (instanceRef slot1_spi_clk_pres_1)) - (portRef CE (instanceRef slot1_spi_clk_pres_2)) - (portRef O (instanceRef slot1_cpol_not00011)) - ) - ) - (net (rename slot1_spi_clk_en "slot1/spi_clk_en") - (joined - (portRef CE (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef I0 (instanceRef slot1_zspiclk_prescale_q_not00011)) - (portRef I1 (instanceRef slot1_zspiclk_spiclk_mux00001)) - (portRef I1 (instanceRef slot1_zspiclk_clk_i_or00001)) - (portRef I3 (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - (portRef I1 (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - (portRef I1 (instanceRef slot1_zspiclk_running_q_or00001)) - (portRef O (instanceRef slot1_zspi_clk_en11_f5)) - ) - ) - (net (rename slot1_spi_clk_pres_0_ "slot1/spi_clk_pres<0>") - (joined - (portRef Q (instanceRef slot1_spi_clk_pres_0)) - (portRef D (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef I1 (instanceRef slot1_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot1_spi_clk_pres_1_ "slot1/spi_clk_pres<1>") - (joined - (portRef Q (instanceRef slot1_spi_clk_pres_1)) - (portRef D (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef I1 (instanceRef slot1_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot1_spi_clk_pres_2_ "slot1/spi_clk_pres<2>") - (joined - (portRef Q (instanceRef slot1_spi_clk_pres_2)) - (portRef D (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef I1 (instanceRef slot1_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot1_spi_en "slot1/spi_en") - (joined - (portRef Q (instanceRef slot1_spi_en_renamed_317)) - (portRef I1 (instanceRef slot1_zspi_ignore_sample_q_not00011)) - (portRef I1 (instanceRef slot1_zspi_count_not00011_f5)) - (portRef I1 (instanceRef slot1_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot1_spi_en_mux0007 "slot1/spi_en_mux0007") - (joined - (portRef D (instanceRef slot1_spi_en_renamed_317)) - (portRef O (instanceRef slot1_spi_en_mux00071)) - ) - ) - (net (rename slot1_spi_en_or0000 "slot1/spi_en_or0000") - (joined - (portRef R (instanceRef slot1_spi_en_renamed_317)) - (portRef O (instanceRef slot1_spi_en_or0000_renamed_2174)) - ) - ) - (net (rename slot1_spi_enable_q "slot1/spi_enable_q") - (joined - (portRef Q (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef I1 (instanceRef slot1_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot1_spi_samprise "slot1/spi_samprise") - (joined - (portRef Q (instanceRef slot1_spi_samprise_renamed_313)) - (portRef I0 (instanceRef slot1_zspi_ignore_sample_q_mux00001)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - (portRef I0 (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I1 (instanceRef slot1_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot1_spi_transfersize_q_0_ "slot1/spi_transfersize_q<0>") - (joined - (portRef Q (instanceRef slot1_spi_transfersize_q_0)) - (portRef I0 (instanceRef slot1_zspi_Mmux_mosi_mux0001_3_renamed_805)) - (portRef I0 (instanceRef slot1_zspi_Mmux_mosi_mux0001_4_renamed_806)) - (portRef I1 (instanceRef slot1_wb_dat_o_8_mux00001)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count41_renamed_2221)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count51_renamed_2293)) - (portRef I (instanceRef slot1_zspi_Mcount_count_xor_3_11_INV_0)) - ) - ) - (net (rename slot1_spi_transfersize_q_1_ "slot1/spi_transfersize_q<1>") - (joined - (portRef Q (instanceRef slot1_spi_transfersize_q_1)) - (portRef S (instanceRef slot1_zspi_Mmux_mosi_mux0001_2_f5)) - (portRef I1 (instanceRef slot1_wb_dat_o_9_mux00001)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count41_renamed_2221)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count51_renamed_2293)) - ) - ) - (net (rename slot1_spi_transfersize_q_not0001 "slot1/spi_transfersize_q_not0001") - (joined - (portRef CE (instanceRef slot1_spi_transfersize_q_0)) - (portRef CE (instanceRef slot1_spi_transfersize_q_1)) - (portRef O (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - ) - ) - (net (rename slot1_spi_txblock_q "slot1/spi_txblock_q") - (joined - (portRef Q (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef I1 (instanceRef slot1_spi_en_mux00071)) - (portRef I2 (instanceRef slot1_trans_or00011)) - (portRef I1 (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef slot1_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot1_spi_txblock_q_not0001 "slot1/spi_txblock_q_not0001") - (joined - (portRef CE (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef CE (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef O (instanceRef slot1_spi_txblock_q_not00011)) - ) - ) - (net (rename slot1_trans "slot1/trans") - (joined - (portRef Q (instanceRef slot1_trans_renamed_318)) - (portRef I0 (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I0 (instanceRef slot1_trans_or00001)) - (portRef I2 (instanceRef slot1_spi_en_or0000_renamed_2174)) - ) - ) - (net (rename slot1_trans_or0000 "slot1/trans_or0000") - (joined - (portRef R (instanceRef slot1_trans_renamed_318)) - (portRef R (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef O (instanceRef slot1_trans_or00001)) - ) - ) - (net (rename slot1_trans_or0001 "slot1/trans_or0001") - (joined - (portRef S (instanceRef slot1_trans_renamed_318)) - (portRef S (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef O (instanceRef slot1_trans_or00011)) - ) - ) - (net (rename slot1_wb_ack_o "slot1/wb_ack_o") - (joined - (portRef Q (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef I3 (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - ) - ) - (net (rename slot1_zspi_Mcount_count "slot1/zspi/Mcount_count") - (joined - (portRef D (instanceRef slot1_zspi_count_0)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_0_11)) - ) - ) - (net (rename slot1_zspi_Mcount_count1 "slot1/zspi/Mcount_count1") - (joined - (portRef D (instanceRef slot1_zspi_count_1)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_1_11)) - ) - ) - (net (rename slot1_zspi_Mcount_count2 "slot1/zspi/Mcount_count2") - (joined - (portRef D (instanceRef slot1_zspi_count_2)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - ) - ) - (net (rename slot1_zspi_Mcount_count3 "slot1/zspi/Mcount_count3") - (joined - (portRef D (instanceRef slot1_zspi_count_3)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_3_1_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count4 "slot1/zspi/Mcount_count4") - (joined - (portRef D (instanceRef slot1_zspi_count_4)) - (portRef O (instanceRef slot1_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count41 "slot1/zspi/Mcount_count41") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count41_renamed_2221)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count42 "slot1/zspi/Mcount_count42") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count42_renamed_2222)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count4_bdd0 "slot1/zspi/Mcount_count4_bdd0") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count411)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count42_renamed_2222)) - (portRef I2 (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef I2 (instanceRef slot1_zspi_count_not000111)) - (portRef I1 (instanceRef slot1_zspi_ready_q_not000111)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count52_renamed_2294)) - ) - ) - (net (rename slot1_zspi_Mcount_count5 "slot1/zspi/Mcount_count5") - (joined - (portRef D (instanceRef slot1_zspi_count_5)) - (portRef O (instanceRef slot1_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count51 "slot1/zspi/Mcount_count51") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count51_renamed_2293)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count52 "slot1/zspi/Mcount_count52") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count52_renamed_2294)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count_xor_3_1 "slot1/zspi/Mcount_count_xor<3>1") - (joined - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_3_1_f5)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_3_11_INV_0)) - ) - ) - (net (rename slot1_zspi_Mcount_count_xor_3_11 "slot1/zspi/Mcount_count_xor<3>11") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_3_1_f5)) - ) - ) - (net (rename slot1_zspi_Mmux_mosi_mux0001_3 "slot1/zspi/Mmux_mosi_mux0001_3") - (joined - (portRef O (instanceRef slot1_zspi_Mmux_mosi_mux0001_3_renamed_805)) - (portRef I1 (instanceRef slot1_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot1_zspi_Mmux_mosi_mux0001_4 "slot1/zspi/Mmux_mosi_mux0001_4") - (joined - (portRef O (instanceRef slot1_zspi_Mmux_mosi_mux0001_4_renamed_806)) - (portRef I0 (instanceRef slot1_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot1_zspi_N01 "slot1/zspi/N01") - (joined - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_0_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - ) - ) - (net (rename slot1_zspi_N7 "slot1/zspi/N7") - (joined - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - (portRef I3 (instanceRef slot1_zspi_ignore_sample_q_not00011)) - (portRef O (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot1_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot1_zspi_clk_en11 "slot1/zspi/clk_en11") - (joined - (portRef O (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef I1 (instanceRef slot1_zspi_clk_en11_f5)) - ) - ) - (net (rename slot1_zspi_clk_en111 "slot1/zspi/clk_en111") - (joined - (portRef I0 (instanceRef slot1_zspi_clk_en11_f5)) - (portRef O (instanceRef slot1_zspi_clk_en112_INV_0)) - ) - ) - (net (rename slot1_zspi_count_0_ "slot1/zspi/count<0>") - (joined - (portRef Q (instanceRef slot1_zspi_count_0)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_0_11)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_1_11)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count411)) - (portRef I3 (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot1_zspi_count_1_ "slot1/zspi/count<1>") - (joined - (portRef Q (instanceRef slot1_zspi_count_1)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count_xor_1_11)) - (portRef I3 (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count411)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot1_zspi_count_2_ "slot1/zspi/count<2>") - (joined - (portRef Q (instanceRef slot1_zspi_count_2)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count411)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot1_zspi_count_3_ "slot1/zspi/count<3>") - (joined - (portRef Q (instanceRef slot1_zspi_count_3)) - (portRef I3 (instanceRef slot1_zspi_Mcount_count411)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot1_zspi_count_4_ "slot1/zspi/count<4>") - (joined - (portRef Q (instanceRef slot1_zspi_count_4)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count42_renamed_2222)) - (portRef I0 (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef I1 (instanceRef slot1_zspi_count_not000111)) - (portRef I3 (instanceRef slot1_zspi_ready_q_not000111)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count52_renamed_2294)) - ) - ) - (net (rename slot1_zspi_count_5_ "slot1/zspi/count<5>") - (joined - (portRef Q (instanceRef slot1_zspi_count_5)) - (portRef I3 (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef I3 (instanceRef slot1_zspi_count_not000111)) - (portRef I0 (instanceRef slot1_zspi_ready_q_not000111)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count52_renamed_2294)) - ) - ) - (net (rename slot1_zspi_count_not0001 "slot1/zspi/count_not0001") - (joined - (portRef CE (instanceRef slot1_zspi_count_0)) - (portRef CE (instanceRef slot1_zspi_count_1)) - (portRef CE (instanceRef slot1_zspi_count_2)) - (portRef CE (instanceRef slot1_zspi_count_3)) - (portRef CE (instanceRef slot1_zspi_count_4)) - (portRef CE (instanceRef slot1_zspi_count_5)) - (portRef O (instanceRef slot1_zspi_count_not00011_f5)) - ) - ) - (net (rename slot1_zspi_count_not00011 "slot1/zspi/count_not00011") - (joined - (portRef O (instanceRef slot1_zspi_count_not000111)) - (portRef I0 (instanceRef slot1_zspi_count_not00011_f5)) - ) - ) - (net (rename slot1_zspi_do_shift "slot1/zspi/do_shift") - (joined - (portRef CE (instanceRef slot1_zspi_mosi_renamed_807)) - (portRef O (instanceRef slot1_zspi_do_shift_and00001)) - ) - ) - (net (rename slot1_zspi_ignore_sample_q "slot1/zspi/ignore_sample_q") - (joined - (portRef Q (instanceRef slot1_zspi_ignore_sample_q_renamed_809)) - (portRef I3 (instanceRef slot1_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot1_zspi_ignore_sample_q_mux0000 "slot1/zspi/ignore_sample_q_mux0000") - (joined - (portRef D (instanceRef slot1_zspi_ignore_sample_q_renamed_809)) - (portRef O (instanceRef slot1_zspi_ignore_sample_q_mux00001)) - ) - ) - (net (rename slot1_zspi_ignore_sample_q_not0001 "slot1/zspi/ignore_sample_q_not0001") - (joined - (portRef CE (instanceRef slot1_zspi_ignore_sample_q_renamed_809)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_0)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_1)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_2)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_3)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_4)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_5)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_6)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_7)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_8)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_9)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_10)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_11)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_12)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_13)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_14)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_15)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_16)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_17)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_18)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_19)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_20)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_21)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_22)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_23)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_24)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_25)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_26)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_27)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_28)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_29)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_30)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_31)) - (portRef O (instanceRef slot1_zspi_ignore_sample_q_not00011)) - ) - ) - (net (rename slot1_zspi_mosi "slot1/zspi/mosi") - (joined - (portRef Q (instanceRef slot1_zspi_mosi_renamed_807)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - ) - ) - (net (rename slot1_zspi_mosi_mux0001 "slot1/zspi/mosi_mux0001") - (joined - (portRef D (instanceRef slot1_zspi_mosi_renamed_807)) - (portRef O (instanceRef slot1_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot1_zspi_read_reg_q_0_ "slot1/zspi/read_reg_q<0>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_0)) - (portRef D (instanceRef slot1_zspi_read_reg_q_1)) - (portRef I2 (instanceRef slot1_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_1_ "slot1/zspi/read_reg_q<1>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_1)) - (portRef D (instanceRef slot1_zspi_read_reg_q_2)) - (portRef I2 (instanceRef slot1_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_10_ "slot1/zspi/read_reg_q<10>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_10)) - (portRef D (instanceRef slot1_zspi_read_reg_q_11)) - (portRef I3 (instanceRef slot_address_0__26__7_renamed_1991)) - ) - ) - (net (rename slot1_zspi_read_reg_q_11_ "slot1/zspi/read_reg_q<11>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_11)) - (portRef D (instanceRef slot1_zspi_read_reg_q_12)) - (portRef I3 (instanceRef slot_address_0__26__71_renamed_1990)) - ) - ) - (net (rename slot1_zspi_read_reg_q_12_ "slot1/zspi/read_reg_q<12>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_12)) - (portRef D (instanceRef slot1_zspi_read_reg_q_13)) - (portRef I3 (instanceRef slot_address_0__26__72_renamed_1989)) - ) - ) - (net (rename slot1_zspi_read_reg_q_13_ "slot1/zspi/read_reg_q<13>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_13)) - (portRef D (instanceRef slot1_zspi_read_reg_q_14)) - (portRef I3 (instanceRef slot_address_0__26__73_renamed_1988)) - ) - ) - (net (rename slot1_zspi_read_reg_q_14_ "slot1/zspi/read_reg_q<14>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_14)) - (portRef D (instanceRef slot1_zspi_read_reg_q_15)) - (portRef I3 (instanceRef slot_address_0__26__74_renamed_1987)) - ) - ) - (net (rename slot1_zspi_read_reg_q_15_ "slot1/zspi/read_reg_q<15>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_15)) - (portRef D (instanceRef slot1_zspi_read_reg_q_16)) - (portRef I3 (instanceRef slot_address_0__26__75_renamed_1986)) - ) - ) - (net (rename slot1_zspi_read_reg_q_16_ "slot1/zspi/read_reg_q<16>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_16)) - (portRef D (instanceRef slot1_zspi_read_reg_q_17)) - (portRef I1 (instanceRef slot_address_0__26__76_renamed_1958)) - ) - ) - (net (rename slot1_zspi_read_reg_q_17_ "slot1/zspi/read_reg_q<17>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_17)) - (portRef D (instanceRef slot1_zspi_read_reg_q_18)) - (portRef I1 (instanceRef slot_address_0__26__77_renamed_1956)) - ) - ) - (net (rename slot1_zspi_read_reg_q_18_ "slot1/zspi/read_reg_q<18>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_18)) - (portRef D (instanceRef slot1_zspi_read_reg_q_19)) - (portRef I1 (instanceRef slot_address_0__26__78_renamed_1954)) - ) - ) - (net (rename slot1_zspi_read_reg_q_19_ "slot1/zspi/read_reg_q<19>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_19)) - (portRef D (instanceRef slot1_zspi_read_reg_q_20)) - (portRef I1 (instanceRef slot_address_0__26__79_renamed_1952)) - ) - ) - (net (rename slot1_zspi_read_reg_q_2_ "slot1/zspi/read_reg_q<2>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_2)) - (portRef D (instanceRef slot1_zspi_read_reg_q_3)) - (portRef I2 (instanceRef slot1_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_20_ "slot1/zspi/read_reg_q<20>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_20)) - (portRef D (instanceRef slot1_zspi_read_reg_q_21)) - (portRef I1 (instanceRef slot_address_0__26__711_renamed_1950)) - ) - ) - (net (rename slot1_zspi_read_reg_q_21_ "slot1/zspi/read_reg_q<21>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_21)) - (portRef D (instanceRef slot1_zspi_read_reg_q_22)) - (portRef I1 (instanceRef slot_address_0__26__712_renamed_1948)) - ) - ) - (net (rename slot1_zspi_read_reg_q_22_ "slot1/zspi/read_reg_q<22>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_22)) - (portRef D (instanceRef slot1_zspi_read_reg_q_23)) - (portRef I1 (instanceRef slot_address_0__26__713_renamed_1946)) - ) - ) - (net (rename slot1_zspi_read_reg_q_23_ "slot1/zspi/read_reg_q<23>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_23)) - (portRef D (instanceRef slot1_zspi_read_reg_q_24)) - (portRef I1 (instanceRef slot_address_0__26__714_renamed_1944)) - ) - ) - (net (rename slot1_zspi_read_reg_q_24_ "slot1/zspi/read_reg_q<24>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_24)) - (portRef D (instanceRef slot1_zspi_read_reg_q_25)) - (portRef I1 (instanceRef slot_address_0__26__715_renamed_1942)) - ) - ) - (net (rename slot1_zspi_read_reg_q_25_ "slot1/zspi/read_reg_q<25>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_25)) - (portRef D (instanceRef slot1_zspi_read_reg_q_26)) - (portRef I1 (instanceRef slot_address_0__26__716_renamed_1940)) - ) - ) - (net (rename slot1_zspi_read_reg_q_26_ "slot1/zspi/read_reg_q<26>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_26)) - (portRef D (instanceRef slot1_zspi_read_reg_q_27)) - (portRef I1 (instanceRef slot_address_0__26__717_renamed_1938)) - ) - ) - (net (rename slot1_zspi_read_reg_q_27_ "slot1/zspi/read_reg_q<27>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_27)) - (portRef D (instanceRef slot1_zspi_read_reg_q_28)) - (portRef I1 (instanceRef slot_address_0__26__718_renamed_1936)) - ) - ) - (net (rename slot1_zspi_read_reg_q_28_ "slot1/zspi/read_reg_q<28>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_28)) - (portRef D (instanceRef slot1_zspi_read_reg_q_29)) - (portRef I1 (instanceRef slot_address_0__26__719_renamed_1934)) - ) - ) - (net (rename slot1_zspi_read_reg_q_29_ "slot1/zspi/read_reg_q<29>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_29)) - (portRef D (instanceRef slot1_zspi_read_reg_q_30)) - (portRef I1 (instanceRef slot_address_0__26__720_renamed_1932)) - ) - ) - (net (rename slot1_zspi_read_reg_q_3_ "slot1/zspi/read_reg_q<3>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_3)) - (portRef D (instanceRef slot1_zspi_read_reg_q_4)) - (portRef I2 (instanceRef slot1_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_30_ "slot1/zspi/read_reg_q<30>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_30)) - (portRef D (instanceRef slot1_zspi_read_reg_q_31)) - (portRef I1 (instanceRef slot_address_0__26__722_renamed_1930)) - ) - ) - (net (rename slot1_zspi_read_reg_q_31_ "slot1/zspi/read_reg_q<31>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_31)) - (portRef I1 (instanceRef slot_address_0__26__723_renamed_1928)) - ) - ) - (net (rename slot1_zspi_read_reg_q_4_ "slot1/zspi/read_reg_q<4>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_4)) - (portRef D (instanceRef slot1_zspi_read_reg_q_5)) - (portRef I2 (instanceRef slot1_wb_dat_o_4_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_5_ "slot1/zspi/read_reg_q<5>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_5)) - (portRef D (instanceRef slot1_zspi_read_reg_q_6)) - (portRef I2 (instanceRef slot1_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_6_ "slot1/zspi/read_reg_q<6>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_6)) - (portRef D (instanceRef slot1_zspi_read_reg_q_7)) - (portRef I2 (instanceRef slot1_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_7_ "slot1/zspi/read_reg_q<7>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_7)) - (portRef D (instanceRef slot1_zspi_read_reg_q_8)) - (portRef I2 (instanceRef slot1_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_8_ "slot1/zspi/read_reg_q<8>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_8)) - (portRef D (instanceRef slot1_zspi_read_reg_q_9)) - (portRef I2 (instanceRef slot1_wb_dat_o_8_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_9_ "slot1/zspi/read_reg_q<9>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_9)) - (portRef D (instanceRef slot1_zspi_read_reg_q_10)) - (portRef I2 (instanceRef slot1_wb_dat_o_9_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_not0001 "slot1/zspi/read_reg_q_not0001") - (joined - (portRef CE (instanceRef slot1_zspi_read_reg_q_0)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_1)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_2)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_3)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_4)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_5)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_6)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_7)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_8)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_9)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_10)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_11)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_12)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_13)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_14)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_15)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_16)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_17)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_18)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_19)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_20)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_21)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_22)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_23)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_24)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_25)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_26)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_27)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_28)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_29)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_30)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_31)) - (portRef O (instanceRef slot1_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot1_zspi_ready_q "slot1/zspi/ready_q") - (joined - (portRef Q (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_0_11)) - (portRef I2 (instanceRef slot1_zspi_ignore_sample_q_mux00001)) - (portRef I2 (instanceRef slot1_spi_en_mux00071)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_1_11)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - (portRef I1 (instanceRef slot1_zspi_do_shift_and00001)) - (portRef I0 (instanceRef slot1_trans_or00011)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - (portRef I0 (instanceRef slot1_zspi_ignore_sample_q_not00011)) - (portRef I2 (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef slot1_wb_dat_o_0_mux00001)) - (portRef I3 (instanceRef slot1_zspiclk_spiclk_not00011)) - (portRef I (instanceRef slot1_zspi_ready_q_mux00001_INV_0)) - (portRef S (instanceRef slot1_zspi_Mcount_count4_f5)) - (portRef S (instanceRef slot1_zspi_Mcount_count_xor_3_1_f5)) - (portRef I1 (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef S (instanceRef slot1_zspi_count_not00011_f5)) - (portRef S (instanceRef slot1_zspi_ready_q_not00011_f5)) - (portRef S (instanceRef slot1_zspi_Mcount_count5_f5)) - (portRef I (instanceRef slot1_zspi_clk_en112_INV_0)) - ) - ) - (net (rename slot1_zspi_ready_q_mux0000 "slot1/zspi/ready_q_mux0000") - (joined - (portRef D (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef O (instanceRef slot1_zspi_ready_q_mux00001_INV_0)) - ) - ) - (net (rename slot1_zspi_ready_q_not0001 "slot1/zspi/ready_q_not0001") - (joined - (portRef CE (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef O (instanceRef slot1_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot1_zspi_ready_q_not00011 "slot1/zspi/ready_q_not00011") - (joined - (portRef O (instanceRef slot1_zspi_ready_q_not000111)) - (portRef I0 (instanceRef slot1_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot1_zspi_write_reg_q_0_ "slot1/zspi/write_reg_q<0>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_0)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_1_ "slot1/zspi/write_reg_q<1>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_1)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_10_ "slot1/zspi/write_reg_q<10>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_10)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_11_ "slot1/zspi/write_reg_q<11>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_11)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_12_ "slot1/zspi/write_reg_q<12>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_12)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_13_ "slot1/zspi/write_reg_q<13>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_13)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_14_ "slot1/zspi/write_reg_q<14>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_14)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_15_ "slot1/zspi/write_reg_q<15>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_15)) - (portRef I2 (instanceRef slot1_zspi_Mmux_mosi_mux0001_4_renamed_806)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_16_ "slot1/zspi/write_reg_q<16>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_16)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_17_ "slot1/zspi/write_reg_q<17>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_17)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_18_ "slot1/zspi/write_reg_q<18>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_18)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_19_ "slot1/zspi/write_reg_q<19>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_19)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_2_ "slot1/zspi/write_reg_q<2>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_2)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_20_ "slot1/zspi/write_reg_q<20>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_20)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_21_ "slot1/zspi/write_reg_q<21>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_21)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_22_ "slot1/zspi/write_reg_q<22>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_22)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_23_ "slot1/zspi/write_reg_q<23>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_23)) - (portRef I1 (instanceRef slot1_zspi_Mmux_mosi_mux0001_3_renamed_805)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_24_ "slot1/zspi/write_reg_q<24>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_24)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_25_ "slot1/zspi/write_reg_q<25>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_25)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_26_ "slot1/zspi/write_reg_q<26>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_26)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_27_ "slot1/zspi/write_reg_q<27>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_27)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_28_ "slot1/zspi/write_reg_q<28>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_28)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_29_ "slot1/zspi/write_reg_q<29>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_29)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_3_ "slot1/zspi/write_reg_q<3>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_3)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_30_ "slot1/zspi/write_reg_q<30>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_30)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_31_ "slot1/zspi/write_reg_q<31>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_31)) - (portRef I2 (instanceRef slot1_zspi_Mmux_mosi_mux0001_3_renamed_805)) - ) - ) - (net (rename slot1_zspi_write_reg_q_4_ "slot1/zspi/write_reg_q<4>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_4)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_5_ "slot1/zspi/write_reg_q<5>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_5)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_6_ "slot1/zspi/write_reg_q<6>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_6)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_7_ "slot1/zspi/write_reg_q<7>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_7)) - (portRef I1 (instanceRef slot1_zspi_Mmux_mosi_mux0001_4_renamed_806)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_8_ "slot1/zspi/write_reg_q<8>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_8)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_9_ "slot1/zspi/write_reg_q<9>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_9)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_0_ "slot1/zspi/write_reg_q_mux0000<0>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_0)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_0_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_10_ "slot1/zspi/write_reg_q_mux0000<10>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_10)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_11_ "slot1/zspi/write_reg_q_mux0000<11>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_11)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_12_ "slot1/zspi/write_reg_q_mux0000<12>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_12)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_13_ "slot1/zspi/write_reg_q_mux0000<13>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_13)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_14_ "slot1/zspi/write_reg_q_mux0000<14>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_14)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_15_ "slot1/zspi/write_reg_q_mux0000<15>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_15)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_16_ "slot1/zspi/write_reg_q_mux0000<16>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_16)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_17_ "slot1/zspi/write_reg_q_mux0000<17>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_17)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_18_ "slot1/zspi/write_reg_q_mux0000<18>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_18)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_19_ "slot1/zspi/write_reg_q_mux0000<19>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_19)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_1_ "slot1/zspi/write_reg_q_mux0000<1>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_1)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_20_ "slot1/zspi/write_reg_q_mux0000<20>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_20)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_21_ "slot1/zspi/write_reg_q_mux0000<21>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_21)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_22_ "slot1/zspi/write_reg_q_mux0000<22>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_22)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_23_ "slot1/zspi/write_reg_q_mux0000<23>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_23)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_24_ "slot1/zspi/write_reg_q_mux0000<24>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_24)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_25_ "slot1/zspi/write_reg_q_mux0000<25>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_25)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_26_ "slot1/zspi/write_reg_q_mux0000<26>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_26)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_27_ "slot1/zspi/write_reg_q_mux0000<27>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_27)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_28_ "slot1/zspi/write_reg_q_mux0000<28>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_28)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_29_ "slot1/zspi/write_reg_q_mux0000<29>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_29)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_2_ "slot1/zspi/write_reg_q_mux0000<2>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_2)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_30_ "slot1/zspi/write_reg_q_mux0000<30>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_30)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_31_ "slot1/zspi/write_reg_q_mux0000<31>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_31)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_3_ "slot1/zspi/write_reg_q_mux0000<3>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_3)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_4_ "slot1/zspi/write_reg_q_mux0000<4>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_4)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_5_ "slot1/zspi/write_reg_q_mux0000<5>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_5)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_6_ "slot1/zspi/write_reg_q_mux0000<6>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_6)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_7_ "slot1/zspi/write_reg_q_mux0000<7>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_7)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_8_ "slot1/zspi/write_reg_q_mux0000<8>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_8)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_9_ "slot1/zspi/write_reg_q_mux0000<9>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_9)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - ) - ) - (net (rename slot1_zspiclk_clk_i "slot1/zspiclk/clk_i") - (joined - (portRef Q (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef I0 (instanceRef slot1_zspiclk_clkrise_i_or0000_SW1)) - (portRef I0 (instanceRef slot1_zspiclk_clkfall_i_or0000_SW1)) - (portRef I (instanceRef slot1_zspiclk_clk_i_not00011_INV_0)) - ) - ) - (net (rename slot1_zspiclk_clk_i_not0001 "slot1/zspiclk/clk_i_not0001") - (joined - (portRef D (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef O (instanceRef slot1_zspiclk_clk_i_not00011_INV_0)) - ) - ) - (net (rename slot1_zspiclk_clk_i_or0000 "slot1/zspiclk/clk_i_or0000") - (joined - (portRef R (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef O (instanceRef slot1_zspiclk_clk_i_or00001)) - ) - ) - (net (rename slot1_zspiclk_clkfall_i "slot1/zspiclk/clkfall_i") - (joined - (portRef Q (instanceRef slot1_zspiclk_clkfall_i_renamed_840)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - (portRef I1 (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot1_zspiclk_spiclk_mux00001)) - (portRef I0 (instanceRef slot1_zspiclk_spiclk_not00011)) - ) - ) - (net (rename slot1_zspiclk_clkfall_i_or0000 "slot1/zspiclk/clkfall_i_or0000") - (joined - (portRef R (instanceRef slot1_zspiclk_clkfall_i_renamed_840)) - (portRef O (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - ) - ) - (net (rename slot1_zspiclk_clkrise_i "slot1/zspiclk/clkrise_i") - (joined - (portRef Q (instanceRef slot1_zspiclk_clkrise_i_renamed_841)) - (portRef I1 (instanceRef slot1_zspi_ignore_sample_q_mux00001)) - (portRef I0 (instanceRef slot1_zspi_do_shift_and00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - (portRef I3 (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot1_zspiclk_spiclk_not00011)) - (portRef S (instanceRef slot1_zspi_clk_en11_f5)) - (portRef I0 (instanceRef slot1_zspi_count_not000111)) - (portRef I2 (instanceRef slot1_zspi_ready_q_not000111)) - ) - ) - (net (rename slot1_zspiclk_clkrise_i_or0000 "slot1/zspiclk/clkrise_i_or0000") - (joined - (portRef R (instanceRef slot1_zspiclk_clkrise_i_renamed_841)) - (portRef O (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_0_ "slot1/zspiclk/pr/Mcount_counter_cy<0>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_1_ "slot1/zspiclk/pr/Mcount_counter_cy<1>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_1__rt "slot1/zspiclk/pr/Mcount_counter_cy<1>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1760)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_2_ "slot1/zspiclk/pr/Mcount_counter_cy<2>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_2__rt "slot1/zspiclk/pr/Mcount_counter_cy<2>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1761)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_3_ "slot1/zspiclk/pr/Mcount_counter_cy<3>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_3__rt "slot1/zspiclk/pr/Mcount_counter_cy<3>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1762)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_4_ "slot1/zspiclk/pr/Mcount_counter_cy<4>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_4__rt "slot1/zspiclk/pr/Mcount_counter_cy<4>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1763)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_5_ "slot1/zspiclk/pr/Mcount_counter_cy<5>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_5__rt "slot1/zspiclk/pr/Mcount_counter_cy<5>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1764)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_6_ "slot1/zspiclk/pr/Mcount_counter_cy<6>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_6__rt "slot1/zspiclk/pr/Mcount_counter_cy<6>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1765)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_7_ "slot1/zspiclk/pr/Mcount_counter_cy<7>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_7__rt "slot1/zspiclk/pr/Mcount_counter_cy<7>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1766)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_8_ "slot1/zspiclk/pr/Mcount_counter_cy<8>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_8__rt "slot1/zspiclk/pr/Mcount_counter_cy<8>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1767)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_lut_0_ "slot1/zspiclk/pr/Mcount_counter_lut<0>") - (joined - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_0__)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_xor_9__rt "slot1/zspiclk/pr/Mcount_counter_xor<9>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1841)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_3_f5 "slot1/zspiclk/pr/Mmux_event_i_3_f5") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_4 "slot1/zspiclk/pr/Mmux_event_i_4") - (joined - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_4_f5 "slot1/zspiclk/pr/Mmux_event_i_4_f5") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_5 "slot1/zspiclk/pr/Mmux_event_i_5") - (joined - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_51 "slot1/zspiclk/pr/Mmux_event_i_51") - (joined - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_6 "slot1/zspiclk/pr/Mmux_event_i_6") - (joined - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_0_ "slot1/zspiclk/pr/Result<0>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_0)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_0__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_1_ "slot1/zspiclk/pr/Result<1>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_1)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_2_ "slot1/zspiclk/pr/Result<2>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_2)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_3_ "slot1/zspiclk/pr/Result<3>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_3)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_4_ "slot1/zspiclk/pr/Result<4>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_4)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_5_ "slot1/zspiclk/pr/Result<5>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_5)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_6_ "slot1/zspiclk/pr/Result<6>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_6)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_7_ "slot1/zspiclk/pr/Result<7>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_7)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_8_ "slot1/zspiclk/pr/Result<8>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_8)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_9_ "slot1/zspiclk/pr/Result<9>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_9)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot1_zspiclk_pr_ck1024_q "slot1/zspiclk/pr/ck1024_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck1024_q_renamed_828)) - (portRef I1 (instanceRef slot1_zspiclk_pr_event_i_and00001)) - ) - ) - (net (rename slot1_zspiclk_pr_ck16_q "slot1/zspiclk/pr/ck16_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck16_q_renamed_830)) - (portRef I2 (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - ) - ) - (net (rename slot1_zspiclk_pr_ck256_q "slot1/zspiclk/pr/ck256_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck256_q_renamed_833)) - (portRef I2 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_pr_ck2_q "slot1/zspiclk/pr/ck2_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck2_q_renamed_831)) - (portRef I2 (instanceRef slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967)) - ) - ) - (net (rename slot1_zspiclk_pr_ck4_q "slot1/zspiclk/pr/ck4_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck4_q_renamed_832)) - (portRef I2 (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - ) - ) - (net (rename slot1_zspiclk_pr_ck64_q "slot1/zspiclk/pr/ck64_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck64_q_renamed_827)) - (portRef I1 (instanceRef slot1_zspiclk_pr_event_i_and00021)) - ) - ) - (net (rename slot1_zspiclk_pr_ck8_q "slot1/zspiclk/pr/ck8_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck8_q_renamed_829)) - (portRef I1 (instanceRef slot1_zspiclk_pr_event_i_and00041)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_0_ "slot1/zspiclk/pr/counter<0>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck2_q_renamed_831)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_0)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967)) - (portRef I (instanceRef slot1_zspiclk_pr_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_1_ "slot1/zspiclk/pr/counter<1>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck4_q_renamed_832)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_1)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1760)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_2_ "slot1/zspiclk/pr/counter<2>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck8_q_renamed_829)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_2)) - (portRef I0 (instanceRef slot1_zspiclk_pr_event_i_and00041)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1761)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_3_ "slot1/zspiclk/pr/counter<3>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck16_q_renamed_830)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_3)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1762)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_4_ "slot1/zspiclk/pr/counter<4>") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_counter_4)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1763)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_5_ "slot1/zspiclk/pr/counter<5>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck64_q_renamed_827)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_5)) - (portRef I0 (instanceRef slot1_zspiclk_pr_event_i_and00021)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1764)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_6_ "slot1/zspiclk/pr/counter<6>") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_counter_6)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1765)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_7_ "slot1/zspiclk/pr/counter<7>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck256_q_renamed_833)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_7)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1766)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_8_ "slot1/zspiclk/pr/counter<8>") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_counter_8)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1767)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_9_ "slot1/zspiclk/pr/counter<9>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck1024_q_renamed_828)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_9)) - (portRef I0 (instanceRef slot1_zspiclk_pr_event_i_and00001)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1841)) - ) - ) - (net (rename slot1_zspiclk_pr_event_i_and0000 "slot1/zspiclk/pr/event_i_and0000") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_event_i_and00001)) - (portRef I3 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_pr_event_i_and0002 "slot1/zspiclk/pr/event_i_and0002") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_event_i_and00021)) - (portRef I3 (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - ) - ) - (net (rename slot1_zspiclk_pr_event_i_and0004 "slot1/zspiclk/pr/event_i_and0004") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_event_i_and00041)) - (portRef I3 (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - ) - ) - (net (rename slot1_zspiclk_prescale_event "slot1/zspiclk/prescale_event") - (joined - (portRef CE (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_2_f6)) - (portRef I1 (instanceRef slot1_zspiclk_clkrise_i_or0000_SW1)) - (portRef I1 (instanceRef slot1_zspiclk_clkfall_i_or0000_SW1)) - ) - ) - (net (rename slot1_zspiclk_prescale_q_0_ "slot1/zspiclk/prescale_q<0>") - (joined - (portRef Q (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_prescale_q_1_ "slot1/zspiclk/prescale_q<1>") - (joined - (portRef Q (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef S (instanceRef slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835)) - (portRef S (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834)) - ) - ) - (net (rename slot1_zspiclk_prescale_q_2_ "slot1/zspiclk/prescale_q<2>") - (joined - (portRef Q (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef S (instanceRef slot1_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot1_zspiclk_prescale_q_not0001 "slot1/zspiclk/prescale_q_not0001") - (joined - (portRef CE (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef CE (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef CE (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef O (instanceRef slot1_zspiclk_prescale_q_not00011)) - ) - ) - (net (rename slot1_zspiclk_prescale_reset "slot1/zspiclk/prescale_reset") - (joined - (portRef Q (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef R (instanceRef slot1_zspiclk_pr_ck64_q_renamed_827)) - (portRef R (instanceRef slot1_zspiclk_pr_ck1024_q_renamed_828)) - (portRef R (instanceRef slot1_zspiclk_pr_ck8_q_renamed_829)) - (portRef R (instanceRef slot1_zspiclk_pr_ck16_q_renamed_830)) - (portRef R (instanceRef slot1_zspiclk_pr_ck2_q_renamed_831)) - (portRef R (instanceRef slot1_zspiclk_pr_ck4_q_renamed_832)) - (portRef R (instanceRef slot1_zspiclk_pr_ck256_q_renamed_833)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_0)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_1)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_2)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_3)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_4)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_5)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_6)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_7)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_8)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_9)) - ) - ) - (net (rename slot1_zspiclk_prescale_reset_mux0001 "slot1/zspiclk/prescale_reset_mux0001") - (joined - (portRef D (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef O (instanceRef slot1_zspiclk_prescale_reset_mux00011_INV_0)) - ) - ) - (net (rename slot1_zspiclk_running_q "slot1/zspiclk/running_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_running_q_renamed_838)) - (portRef I1 (instanceRef slot1_zspiclk_prescale_q_not00011)) - (portRef I2 (instanceRef slot1_zspiclk_clk_i_or00001)) - (portRef I2 (instanceRef slot1_zspiclk_clkrise_i_or0000_SW1)) - (portRef I2 (instanceRef slot1_zspiclk_clkfall_i_or0000_SW1)) - (portRef I (instanceRef slot1_zspiclk_prescale_reset_mux00011_INV_0)) - ) - ) - (net (rename slot1_zspiclk_running_q_or0000 "slot1/zspiclk/running_q_or0000") - (joined - (portRef R (instanceRef slot1_zspiclk_running_q_renamed_838)) - (portRef O (instanceRef slot1_zspiclk_running_q_or00001)) - ) - ) - (net (rename slot1_zspiclk_spiclk "slot1/zspiclk/spiclk") - (joined - (portRef Q (instanceRef slot1_zspiclk_spiclk_renamed_837)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - ) - ) - (net (rename slot1_zspiclk_spiclk_mux0000 "slot1/zspiclk/spiclk_mux0000") - (joined - (portRef D (instanceRef slot1_zspiclk_spiclk_renamed_837)) - (portRef O (instanceRef slot1_zspiclk_spiclk_mux00001)) - ) - ) - (net (rename slot1_zspiclk_spiclk_not0001 "slot1/zspiclk/spiclk_not0001") - (joined - (portRef CE (instanceRef slot1_zspiclk_spiclk_renamed_837)) - (portRef O (instanceRef slot1_zspiclk_spiclk_not00011)) - ) - ) - (net (rename slot11_N22 "slot11/N22") - (joined - (portRef O (instanceRef slot11_tx_core_loaded_r_mux000011)) - (portRef I0 (instanceRef slot11_tx_core_bitpos_mux0002_3_1)) - (portRef I3 (instanceRef slot11_tx_core_loaded_r_mux00001)) - ) - ) - (net (rename slot11_N3 "slot11/N3") - (joined - (portRef I0 (instanceRef slot11_tx_core_intx_o_not00011)) - (portRef I0 (instanceRef slot11_tx_core_idle_not00011)) - (portRef I2 (instanceRef slot11_tx_core_t_r_not00011)) - (portRef O (instanceRef slot11_tx_core_loaded_r_not00011_f5)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not00013_renamed_2233)) - ) - ) - (net (rename slot11_N4 "slot11/N4") - (joined - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0_2_renamed_2228)) - ) - ) - (net (rename slot11_N5 "slot11/N5") - (joined - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_0_2_renamed_2228)) - ) - ) - (net (rename slot11_N6 "slot11/N6") - (joined - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_1_11)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - ) - ) - (net (rename slot11_Result_0_ "slot11/Result<0>") - (joined - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0)) - ) - ) - (net (rename slot11_Result_1_ "slot11/Result<1>") - (joined - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - ) - ) - (net (rename slot11_Result_2_ "slot11/Result<2>") - (joined - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - ) - ) - (net (rename slot11_Result_3_ "slot11/Result<3>") - (joined - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - ) - ) - (net (rename slot11_data_ready_dly_q "slot11/data_ready_dly_q") - (joined - (portRef Q (instanceRef slot11_data_ready_dly_q_renamed_1115)) - (portRef I0 (instanceRef slot11_dready_q_or00001)) - ) - ) - (net (rename slot11_divider_rx_q_0_ "slot11/divider_rx_q<0>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_0)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_divider_rx_q_1_ "slot11/divider_rx_q<1>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_1)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_divider_rx_q_10_ "slot11/divider_rx_q<10>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_10)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_divider_rx_q_11_ "slot11/divider_rx_q<11>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_11)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_divider_rx_q_12_ "slot11/divider_rx_q<12>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_12)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_divider_rx_q_13_ "slot11/divider_rx_q<13>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_13)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_divider_rx_q_14_ "slot11/divider_rx_q<14>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_14)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_divider_rx_q_15_ "slot11/divider_rx_q<15>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_15)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_divider_rx_q_2_ "slot11/divider_rx_q<2>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_2)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_divider_rx_q_3_ "slot11/divider_rx_q<3>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_3)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_divider_rx_q_4_ "slot11/divider_rx_q<4>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_4)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_divider_rx_q_5_ "slot11/divider_rx_q<5>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_5)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_divider_rx_q_6_ "slot11/divider_rx_q<6>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_6)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_divider_rx_q_7_ "slot11/divider_rx_q<7>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_7)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_divider_rx_q_8_ "slot11/divider_rx_q<8>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_8)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_divider_rx_q_9_ "slot11/divider_rx_q<9>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_9)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_divider_rx_q_not0001 "slot11/divider_rx_q_not0001") - (joined - (portRef CE (instanceRef slot11_divider_rx_q_0)) - (portRef CE (instanceRef slot11_divider_rx_q_1)) - (portRef CE (instanceRef slot11_divider_rx_q_2)) - (portRef CE (instanceRef slot11_divider_rx_q_3)) - (portRef CE (instanceRef slot11_divider_rx_q_4)) - (portRef CE (instanceRef slot11_divider_rx_q_5)) - (portRef CE (instanceRef slot11_divider_rx_q_6)) - (portRef CE (instanceRef slot11_divider_rx_q_7)) - (portRef CE (instanceRef slot11_divider_rx_q_8)) - (portRef CE (instanceRef slot11_divider_rx_q_9)) - (portRef CE (instanceRef slot11_divider_rx_q_10)) - (portRef CE (instanceRef slot11_divider_rx_q_11)) - (portRef CE (instanceRef slot11_divider_rx_q_12)) - (portRef CE (instanceRef slot11_divider_rx_q_13)) - (portRef CE (instanceRef slot11_divider_rx_q_14)) - (portRef CE (instanceRef slot11_divider_rx_q_15)) - (portRef O (instanceRef slot11_divider_rx_q_not00011)) - ) - ) - (net (rename slot11_dready_q "slot11/dready_q") - (joined - (portRef Q (instanceRef slot11_dready_q_renamed_1116)) - (portRef I2 (instanceRef slot11_fifo_instance__and000011)) - (portRef I2 (instanceRef slot11_fifo_instance__and00001)) - (portRef I3 (instanceRef slot11_rx_inst_dataready_not00011)) - ) - ) - (net (rename slot11_dready_q_or0000 "slot11/dready_q_or0000") - (joined - (portRef R (instanceRef slot11_dready_q_renamed_1116)) - (portRef O (instanceRef slot11_dready_q_or00001)) - ) - ) - (net (rename slot11_fifo_instance_N01 "slot11/fifo_instance/N01") - (joined - (portRef I3 (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - (portRef O (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - (portRef I2 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - ) - ) - (net (rename slot11_fifo_instance_N3 "slot11/fifo_instance/N3") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq0000421)) - (portRef I0 (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - ) - ) - (net (rename slot11_fifo_instance_Result_0_ "slot11/fifo_instance/Result<0>") - (joined - (portRef D (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_0_11_INV_0)) - ) - ) - (net (rename slot11_fifo_instance_Result_0_1 "slot11/fifo_instance/Result<0>1") - (joined - (portRef D (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_0_11_INV_0)) - ) - ) - (net (rename slot11_fifo_instance_Result_1_ "slot11/fifo_instance/Result<1>") - (joined - (portRef D (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_1_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_1_1 "slot11/fifo_instance/Result<1>1") - (joined - (portRef D (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_1_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_2_ "slot11/fifo_instance/Result<2>") - (joined - (portRef D (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_2_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_2_1 "slot11/fifo_instance/Result<2>1") - (joined - (portRef D (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_2_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_3_ "slot11/fifo_instance/Result<3>") - (joined - (portRef D (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_3_1 "slot11/fifo_instance/Result<3>1") - (joined - (portRef D (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - ) - ) - (net (rename slot11_fifo_instance__and0000 "slot11/fifo_instance/_and0000") - (joined - (portRef CE (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef CE (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef CE (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef CE (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef O (instanceRef slot11_fifo_instance__and00001)) - ) - ) - (net (rename slot11_fifo_instance__and0000_0 "slot11/fifo_instance/_and0000_0") - (joined - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef O (instanceRef slot11_fifo_instance__and000011)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_0_ "slot11/fifo_instance/_varindex0000<0>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_0)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory1)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_1_ "slot11/fifo_instance/_varindex0000<1>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_1)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory2)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_2_ "slot11/fifo_instance/_varindex0000<2>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_2)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory3)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_3_ "slot11/fifo_instance/_varindex0000<3>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_3)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory4)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_4_ "slot11/fifo_instance/_varindex0000<4>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_4)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory5)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_5_ "slot11/fifo_instance/_varindex0000<5>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_5)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory6)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_6_ "slot11/fifo_instance/_varindex0000<6>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_6)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory7)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_7_ "slot11/fifo_instance/_varindex0000<7>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_7)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory8)) - ) - ) - (net (rename slot11_fifo_instance_empty "slot11/fifo_instance/empty") - (joined - (portRef Q (instanceRef slot11_fifo_instance_empty_renamed_1102)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - ) - ) - (net (rename slot11_fifo_instance_empty_v_cmp_eq0000 "slot11/fifo_instance/empty_v_cmp_eq0000") - (joined - (portRef D (instanceRef slot11_fifo_instance_empty_renamed_1102)) - (portRef I1 (instanceRef slot11_fifo_instance_rdaddr_and00001)) - (portRef O (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq00004104 "slot11/fifo_instance/full_v_cmp_eq00004104") - (joined - (portRef I3 (instanceRef slot11_fifo_instance__and000011)) - (portRef I1 (instanceRef slot11_fifo_instance__and00001)) - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq00004104_f5)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq000041041 "slot11/fifo_instance/full_v_cmp_eq000041041") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq00004104_f5)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq000041042 "slot11/fifo_instance/full_v_cmp_eq000041042") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq00004104_f5)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq0000468 "slot11/fifo_instance/full_v_cmp_eq0000468") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - (portRef I2 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq000049 "slot11/fifo_instance/full_v_cmp_eq000049") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - (portRef I1 (instanceRef slot11_fifo_instance__and000011)) - (portRef I0 (instanceRef slot11_fifo_instance__and00001)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_0_ "slot11/fifo_instance/rdaddr<0>") - (joined - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_1_11)) - (portRef I2 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_2_11)) - (portRef I2 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - (portRef I1 (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - (portRef I2 (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - (portRef I (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_0_11_INV_0)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - (portRef I3 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_1_ "slot11/fifo_instance/rdaddr<1>") - (joined - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_1_11)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_2_11)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000421)) - (portRef I3 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_2_ "slot11/fifo_instance/rdaddr<2>") - (joined - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_2_11)) - (portRef I3 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - (portRef I2 (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I2 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_3_ "slot11/fifo_instance/rdaddr<3>") - (joined - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - (portRef I0 (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_and0000 "slot11/fifo_instance/rdaddr_and0000") - (joined - (portRef CE (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef CE (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef CE (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef CE (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef O (instanceRef slot11_fifo_instance_rdaddr_and00001)) - ) - ) - (net (rename slot11_fifo_instance_read_0_ "slot11/fifo_instance/read<0>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - ) - ) - (net (rename slot11_fifo_instance_read_1_ "slot11/fifo_instance/read<1>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_1)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - ) - ) - (net (rename slot11_fifo_instance_read_2_ "slot11/fifo_instance/read<2>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_2)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - ) - ) - (net (rename slot11_fifo_instance_read_3_ "slot11/fifo_instance/read<3>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_3)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - ) - ) - (net (rename slot11_fifo_instance_read_4_ "slot11/fifo_instance/read<4>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_4)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - ) - ) - (net (rename slot11_fifo_instance_read_5_ "slot11/fifo_instance/read<5>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - ) - ) - (net (rename slot11_fifo_instance_read_6_ "slot11/fifo_instance/read<6>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_6)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - ) - ) - (net (rename slot11_fifo_instance_read_7_ "slot11/fifo_instance/read<7>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_7)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - ) - ) - (net (rename slot11_fifo_instance_wraddr_0_ "slot11/fifo_instance/wraddr<0>") - (joined - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_1_11)) - (portRef I2 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_2_11)) - (portRef I2 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - (portRef I2 (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - (portRef I3 (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - (portRef I (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_0_11_INV_0)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - ) - ) - (net (rename slot11_fifo_instance_wraddr_1_ "slot11/fifo_instance/wraddr<1>") - (joined - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_1_11)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_2_11)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000421)) - (portRef S (instanceRef slot11_fifo_instance_full_v_cmp_eq00004104_f5)) - ) - ) - (net (rename slot11_fifo_instance_wraddr_2_ "slot11/fifo_instance/wraddr<2>") - (joined - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_2_11)) - (portRef I3 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - (portRef I3 (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I3 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - ) - ) - (net (rename slot11_fifo_instance_wraddr_3_ "slot11/fifo_instance/wraddr<3>") - (joined - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - (portRef I1 (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1830)) - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_clkout "slot11/rx_inst/baudgen/clkout") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_clkout_renamed_1105)) - (portRef I2 (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_1_11)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I3 (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I0 (instanceRef slot11_rx_inst_rxd_0_not00011)) - (portRef I3 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - ) - ) - (net (rename slot11_rx_inst_baudgen_clkout_or0000 "slot11/rx_inst/baudgen/clkout_or0000") - (joined - (portRef R (instanceRef slot11_rx_inst_baudgen_clkout_renamed_1105)) - (portRef O (instanceRef slot11_rx_inst_baudgen_clkout_or00001)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_0_ "slot11/rx_inst/baudgen/cnt<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_0)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1830)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_1_ "slot11/rx_inst/baudgen/cnt<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_1)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_10_ "slot11/rx_inst/baudgen/cnt<10>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_10)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_11_ "slot11/rx_inst/baudgen/cnt<11>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_11)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_12_ "slot11/rx_inst/baudgen/cnt<12>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_12)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_13_ "slot11/rx_inst/baudgen/cnt<13>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_13)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_14_ "slot11/rx_inst/baudgen/cnt<14>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_14)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_15_ "slot11/rx_inst/baudgen/cnt<15>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_15)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_2_ "slot11/rx_inst/baudgen/cnt<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_2)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_3_ "slot11/rx_inst/baudgen/cnt<3>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_3)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_4_ "slot11/rx_inst/baudgen/cnt<4>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_4)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_5_ "slot11/rx_inst/baudgen/cnt<5>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_5)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_6_ "slot11/rx_inst/baudgen/cnt<6>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_6)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_7_ "slot11/rx_inst/baudgen/cnt<7>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_7)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_8_ "slot11/rx_inst/baudgen/cnt<8>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_8)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_9_ "slot11/rx_inst/baudgen/cnt<9>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_9)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_0_ "slot11/rx_inst/baudgen/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_10_ "slot11/rx_inst/baudgen/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_11_ "slot11/rx_inst/baudgen/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_12_ "slot11/rx_inst/baudgen/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_13_ "slot11/rx_inst/baudgen/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_14_ "slot11/rx_inst/baudgen/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_15_ "slot11/rx_inst/baudgen/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_1_ "slot11/rx_inst/baudgen/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_2_ "slot11/rx_inst/baudgen/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_3_ "slot11/rx_inst/baudgen/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_4_ "slot11/rx_inst/baudgen/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_5_ "slot11/rx_inst/baudgen/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_6_ "slot11/rx_inst/baudgen/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_7_ "slot11/rx_inst/baudgen/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_8_ "slot11/rx_inst/baudgen/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_9_ "slot11/rx_inst/baudgen/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq0000 "slot11/rx_inst/baudgen/cnt_cmp_eq0000") - (joined - (portRef I2 (instanceRef slot11_rx_inst_baudgen_clkout_or00001)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_0_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_1_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_2_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_3_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_4_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_5_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_6_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_7_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_8_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_9_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_15_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_14_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_13_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_12_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_11_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq000012 "slot11/rx_inst/baudgen/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq000025 "slot11/rx_inst/baudgen/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq000049 "slot11/rx_inst/baudgen/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq000062 "slot11/rx_inst/baudgen/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_0_ "slot11/rx_inst/baudgen/cnt_mux0001<0>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_0)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_10_ "slot11/rx_inst/baudgen/cnt_mux0001<10>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_10)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_11_ "slot11/rx_inst/baudgen/cnt_mux0001<11>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_11)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_12_ "slot11/rx_inst/baudgen/cnt_mux0001<12>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_12)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_13_ "slot11/rx_inst/baudgen/cnt_mux0001<13>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_13)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_14_ "slot11/rx_inst/baudgen/cnt_mux0001<14>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_14)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_15_ "slot11/rx_inst/baudgen/cnt_mux0001<15>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_15)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_1_ "slot11/rx_inst/baudgen/cnt_mux0001<1>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_1)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_2_ "slot11/rx_inst/baudgen/cnt_mux0001<2>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_2)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_3_ "slot11/rx_inst/baudgen/cnt_mux0001<3>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_3)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_4_ "slot11/rx_inst/baudgen/cnt_mux0001<4>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_4)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_5_ "slot11/rx_inst/baudgen/cnt_mux0001<5>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_5)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_6_ "slot11/rx_inst/baudgen/cnt_mux0001<6>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_6)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_7_ "slot11/rx_inst/baudgen/cnt_mux0001<7>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_7)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_8_ "slot11/rx_inst/baudgen/cnt_mux0001<8>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_8)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_9_ "slot11/rx_inst/baudgen/cnt_mux0001<9>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_9)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_not0002 "slot11/rx_inst/baudgen/cnt_not0002") - (joined - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_0)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_1)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_2)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_3)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_4)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_5)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_6)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_7)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_8)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_9)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_10)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_11)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_12)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_13)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_14)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_15)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_not00021)) - ) - ) - (net (rename slot11_rx_inst_baudreset "slot11/rx_inst/baudreset") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudreset_renamed_1108)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_not00021)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_clkout_or00001)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_0_1)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_1_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_2_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_3_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_4_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_5_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_6_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_7_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_8_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_9_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_15_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_14_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_13_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_12_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_11_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_inst_baudreset_or0000 "slot11/rx_inst/baudreset_or0000") - (joined - (portRef R (instanceRef slot11_rx_inst_baudreset_renamed_1108)) - (portRef O (instanceRef slot11_rx_inst_baudreset_or00001_f5)) - ) - ) - (net (rename slot11_rx_inst_baudreset_or00001 "slot11/rx_inst/baudreset_or00001") - (joined - (portRef O (instanceRef slot11_rx_inst_baudreset_or000011)) - (portRef I0 (instanceRef slot11_rx_inst_baudreset_or00001_f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_0_ "slot11/rx_inst/datacount<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datacount_0)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd2_In15_SW0)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - ) - ) - (net (rename slot11_rx_inst_datacount_1_ "slot11/rx_inst/datacount<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datacount_1)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd2_In15_SW0)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0_2_renamed_2228)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - ) - ) - (net (rename slot11_rx_inst_datacount_2_ "slot11/rx_inst/datacount<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datacount_2)) - (portRef I2 (instanceRef slot11_rx_inst_state_FSM_FFd2_In15_SW0)) - (portRef S (instanceRef slot11_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_0_ "slot11/rx_inst/datacount_mux0000<0>") - (joined - (portRef D (instanceRef slot11_rx_inst_datacount_2)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_0_1 "slot11/rx_inst/datacount_mux0000<0>1") - (joined - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_0_2 "slot11/rx_inst/datacount_mux0000<0>2") - (joined - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0_2_renamed_2228)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_1_ "slot11/rx_inst/datacount_mux0000<1>") - (joined - (portRef D (instanceRef slot11_rx_inst_datacount_1)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_1_1 "slot11/rx_inst/datacount_mux0000<1>1") - (joined - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_1_2 "slot11/rx_inst/datacount_mux0000<1>2") - (joined - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_2_ "slot11/rx_inst/datacount_mux0000<2>") - (joined - (portRef D (instanceRef slot11_rx_inst_datacount_0)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - ) - ) - (net (rename slot11_rx_inst_datao_0_ "slot11/rx_inst/datao<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_0)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory1)) - ) - ) - (net (rename slot11_rx_inst_datao_1_ "slot11/rx_inst/datao<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_1)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory2)) - ) - ) - (net (rename slot11_rx_inst_datao_2_ "slot11/rx_inst/datao<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_2)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory3)) - ) - ) - (net (rename slot11_rx_inst_datao_3_ "slot11/rx_inst/datao<3>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_3)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory4)) - ) - ) - (net (rename slot11_rx_inst_datao_4_ "slot11/rx_inst/datao<4>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_4)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory5)) - ) - ) - (net (rename slot11_rx_inst_datao_5_ "slot11/rx_inst/datao<5>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_5)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory6)) - ) - ) - (net (rename slot11_rx_inst_datao_6_ "slot11/rx_inst/datao<6>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_6)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory7)) - ) - ) - (net (rename slot11_rx_inst_datao_7_ "slot11/rx_inst/datao<7>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_7)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory8)) - ) - ) - (net (rename slot11_rx_inst_datao_not0001 "slot11/rx_inst/datao_not0001") - (joined - (portRef CE (instanceRef slot11_rx_inst_datao_0)) - (portRef CE (instanceRef slot11_rx_inst_datao_1)) - (portRef CE (instanceRef slot11_rx_inst_datao_2)) - (portRef CE (instanceRef slot11_rx_inst_datao_3)) - (portRef CE (instanceRef slot11_rx_inst_datao_4)) - (portRef CE (instanceRef slot11_rx_inst_datao_5)) - (portRef CE (instanceRef slot11_rx_inst_datao_6)) - (portRef CE (instanceRef slot11_rx_inst_datao_7)) - (portRef O (instanceRef slot11_rx_inst_datao_not00012)) - ) - ) - (net (rename slot11_rx_inst_dataready "slot11/rx_inst/dataready") - (joined - (portRef D (instanceRef slot11_data_ready_dly_q_renamed_1115)) - (portRef Q (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef I3 (instanceRef slot11_dready_q_or00001)) - ) - ) - (net (rename slot11_rx_inst_dataready_mux0000 "slot11/rx_inst/dataready_mux0000") - (joined - (portRef D (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef O (instanceRef slot11_rx_inst_datao_not000111)) - ) - ) - (net (rename slot11_rx_inst_dataready_not0001 "slot11/rx_inst/dataready_not0001") - (joined - (portRef CE (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef O (instanceRef slot11_rx_inst_dataready_not00011)) - ) - ) - (net (rename slot11_rx_inst_rst_inv "slot11/rx_inst/rst_inv") - (joined - (portRef CE (instanceRef slot11_rx_inst_datacount_0)) - (portRef CE (instanceRef slot11_rx_inst_datacount_1)) - (portRef CE (instanceRef slot11_rx_inst_datacount_2)) - (portRef CE (instanceRef uart_inst_rx_inst_datacount_0)) - (portRef CE (instanceRef uart_inst_rx_inst_datacount_1)) - (portRef CE (instanceRef uart_inst_rx_inst_datacount_2)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_in_q_3)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_in_q_4)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_1_renamed_11)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_3_renamed_12)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_4_renamed_13)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_5_renamed_14)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_6_renamed_15)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_8_renamed_16)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_9_renamed_17)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_12_renamed_18)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_14_renamed_19)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_15_renamed_20)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_19_renamed_21)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_22_renamed_22)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_23_renamed_23)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_24_renamed_24)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_25_renamed_25)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_27_renamed_26)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_30_renamed_27)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_31_renamed_28)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_32_renamed_29)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_33_renamed_30)) - (portRef CE (instanceRef zpuino_core_decr_stackOperation_1_renamed_150)) - (portRef CE (instanceRef zpuino_core_decr_stackOperation_2_renamed_151)) - (portRef CE (instanceRef zpuino_core_decr_stackOperation_3_renamed_152)) - (portRef CE (instanceRef zpuino_core_decr_opWillFreeze_renamed_140)) - (portRef CE (instanceRef zpuino_core_decr_opcode_0_renamed_133)) - (portRef CE (instanceRef zpuino_core_decr_opcode_1_renamed_134)) - (portRef CE (instanceRef zpuino_core_decr_opcode_2_renamed_135)) - (portRef CE (instanceRef zpuino_core_decr_opcode_3_renamed_136)) - (portRef CE (instanceRef zpuino_core_decr_opcode_4_renamed_137)) - (portRef CE (instanceRef zpuino_core_decr_opcode_5_renamed_138)) - (portRef CE (instanceRef zpuino_core_decr_opcode_6_renamed_139)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_0_renamed_128)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_1_renamed_129)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_2_renamed_130)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_3_renamed_131)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_4_renamed_132)) - (portRef CE (instanceRef zpuino_core_wroteback_q_renamed_127)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_0_renamed_95)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_1_renamed_96)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_2_renamed_97)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_3_renamed_98)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_4_renamed_99)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_5_renamed_100)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_6_renamed_101)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_7_renamed_102)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_8_renamed_103)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_9_renamed_104)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_10_renamed_105)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_11_renamed_106)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_12_renamed_107)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_13_renamed_108)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_14_renamed_109)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_15_renamed_110)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_16_renamed_111)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_17_renamed_112)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_18_renamed_113)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_19_renamed_114)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_20_renamed_115)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_21_renamed_116)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_22_renamed_117)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_23_renamed_118)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_24_renamed_119)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_25_renamed_120)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_26_renamed_121)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_27_renamed_122)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_28_renamed_123)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_29_renamed_124)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_30_renamed_125)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_31_renamed_126)) - (portRef CE (instanceRef zpuino_core_exr_wb_we_renamed_78)) - (portRef CE (instanceRef zpuino_core_shl_d_0)) - (portRef CE (instanceRef zpuino_core_shl_d_2)) - (portRef CE (instanceRef zpuino_core_shl_d_1)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_0_renamed_153)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_1_renamed_154)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_2_renamed_155)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_3_renamed_156)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_4_renamed_157)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_5_renamed_158)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_6_renamed_159)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_7_renamed_160)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_8_renamed_161)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_9_renamed_162)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_10_renamed_163)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_11_renamed_164)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_12_renamed_165)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_13_renamed_166)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_14_renamed_167)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_15_renamed_168)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_16_renamed_169)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_17_renamed_170)) - (portRef CE (instanceRef zpuino_core_exr_tos_0_renamed_46)) - (portRef CE (instanceRef zpuino_core_exr_tos_1_renamed_47)) - (portRef CE (instanceRef zpuino_core_exr_tos_2_renamed_48)) - (portRef CE (instanceRef zpuino_core_exr_tos_3_renamed_49)) - (portRef CE (instanceRef zpuino_core_exr_tos_4_renamed_50)) - (portRef CE (instanceRef zpuino_core_exr_tos_5_renamed_51)) - (portRef CE (instanceRef zpuino_core_exr_tos_6_renamed_52)) - (portRef CE (instanceRef zpuino_core_exr_tos_7_renamed_53)) - (portRef CE (instanceRef zpuino_core_exr_tos_8_renamed_54)) - (portRef CE (instanceRef zpuino_core_exr_tos_9_renamed_55)) - (portRef CE (instanceRef zpuino_core_exr_tos_10_renamed_56)) - (portRef CE (instanceRef zpuino_core_exr_tos_11_renamed_57)) - (portRef CE (instanceRef zpuino_core_exr_tos_12_renamed_58)) - (portRef CE (instanceRef zpuino_core_exr_tos_13_renamed_59)) - (portRef CE (instanceRef zpuino_core_exr_tos_14_renamed_60)) - (portRef CE (instanceRef zpuino_core_exr_tos_15_renamed_61)) - (portRef CE (instanceRef zpuino_core_exr_tos_16_renamed_62)) - (portRef CE (instanceRef zpuino_core_exr_tos_17_renamed_63)) - (portRef CE (instanceRef zpuino_core_exr_tos_18_renamed_64)) - (portRef CE (instanceRef zpuino_core_exr_tos_19_renamed_65)) - (portRef CE (instanceRef zpuino_core_exr_tos_20_renamed_66)) - (portRef CE (instanceRef zpuino_core_exr_tos_21_renamed_67)) - (portRef CE (instanceRef zpuino_core_exr_tos_22_renamed_68)) - (portRef CE (instanceRef zpuino_core_exr_tos_23_renamed_69)) - (portRef CE (instanceRef zpuino_core_exr_tos_24_renamed_70)) - (portRef CE (instanceRef zpuino_core_exr_tos_25_renamed_71)) - (portRef CE (instanceRef zpuino_core_exr_tos_26_renamed_72)) - (portRef CE (instanceRef zpuino_core_exr_tos_27_renamed_73)) - (portRef CE (instanceRef zpuino_core_exr_tos_28_renamed_74)) - (portRef CE (instanceRef zpuino_core_exr_tos_29_renamed_75)) - (portRef CE (instanceRef zpuino_core_exr_tos_30_renamed_76)) - (portRef CE (instanceRef zpuino_core_exr_tos_31_renamed_77)) - (portRef CEA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef CEA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef CEA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_presrst_renamed_878)) - (portRef O (instanceRef zpuino_io_intr_inst_wb_rst_i_inv1)) - ) - ) - (net (rename slot11_rx_inst_rxd_0_ "slot11/rx_inst/rxd<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_0)) - (portRef D (instanceRef slot11_rx_inst_datao_0)) - ) - ) - (net (rename slot11_rx_inst_rxd_0_not0001 "slot11/rx_inst/rxd_0_not0001") - (joined - (portRef CE (instanceRef slot11_rx_inst_rxd_7)) - (portRef CE (instanceRef slot11_rx_inst_rxd_6)) - (portRef CE (instanceRef slot11_rx_inst_rxd_5)) - (portRef CE (instanceRef slot11_rx_inst_rxd_4)) - (portRef CE (instanceRef slot11_rx_inst_rxd_3)) - (portRef CE (instanceRef slot11_rx_inst_rxd_2)) - (portRef CE (instanceRef slot11_rx_inst_rxd_1)) - (portRef CE (instanceRef slot11_rx_inst_rxd_0)) - (portRef O (instanceRef slot11_rx_inst_rxd_0_not00011)) - ) - ) - (net (rename slot11_rx_inst_rxd_1_ "slot11/rx_inst/rxd<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_1)) - (portRef D (instanceRef slot11_rx_inst_rxd_0)) - (portRef D (instanceRef slot11_rx_inst_datao_1)) - ) - ) - (net (rename slot11_rx_inst_rxd_2_ "slot11/rx_inst/rxd<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_2)) - (portRef D (instanceRef slot11_rx_inst_rxd_1)) - (portRef D (instanceRef slot11_rx_inst_datao_2)) - ) - ) - (net (rename slot11_rx_inst_rxd_3_ "slot11/rx_inst/rxd<3>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_3)) - (portRef D (instanceRef slot11_rx_inst_rxd_2)) - (portRef D (instanceRef slot11_rx_inst_datao_3)) - ) - ) - (net (rename slot11_rx_inst_rxd_4_ "slot11/rx_inst/rxd<4>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_4)) - (portRef D (instanceRef slot11_rx_inst_rxd_3)) - (portRef D (instanceRef slot11_rx_inst_datao_4)) - ) - ) - (net (rename slot11_rx_inst_rxd_5_ "slot11/rx_inst/rxd<5>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_5)) - (portRef D (instanceRef slot11_rx_inst_rxd_4)) - (portRef D (instanceRef slot11_rx_inst_datao_5)) - ) - ) - (net (rename slot11_rx_inst_rxd_6_ "slot11/rx_inst/rxd<6>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_6)) - (portRef D (instanceRef slot11_rx_inst_rxd_5)) - (portRef D (instanceRef slot11_rx_inst_datao_6)) - ) - ) - (net (rename slot11_rx_inst_rxd_7_ "slot11/rx_inst/rxd<7>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_7)) - (portRef D (instanceRef slot11_rx_inst_rxd_6)) - (portRef D (instanceRef slot11_rx_inst_datao_7)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_0_ "slot11/rx_inst/rxmvfilter/count_q<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_1_ "slot11/rx_inst/rxmvfilter/count_q<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_2_ "slot11/rx_inst/rxmvfilter/count_q<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I3 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_3_ "slot11/rx_inst/rxmvfilter/count_q<3>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_not0001 "slot11/rx_inst/rxmvfilter/count_q_not0001") - (joined - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_count_q_not00011)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_or0000 "slot11/rx_inst/rxmvfilter/count_q_or0000") - (joined - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_sout "slot11/rx_inst/rxmvfilter/sout") - (joined - (portRef D (instanceRef slot11_rx_inst_rxd_7)) - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I1 (instanceRef slot11_rx_inst_datao_not000111)) - (portRef I1 (instanceRef slot11_rx_inst_dataready_not00011)) - (portRef I1 (instanceRef slot11_rx_inst_datao_not00012)) - (portRef I2 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_sout_cmp_ge0000 "slot11/rx_inst/rxmvfilter/sout_cmp_ge0000") - (joined - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename slot11_rx_inst_state_FSM_FFd1 "slot11/rx_inst/state_FSM_FFd1") - (joined - (portRef Q (instanceRef slot11_rx_inst_state_FSM_FFd1_renamed_1107)) - (portRef I3 (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I2 (instanceRef slot11_rx_inst_datao_not000111)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_1_11)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I2 (instanceRef slot11_rx_inst_dataready_not00011)) - (portRef I2 (instanceRef slot11_rx_inst_rxd_0_not00011)) - (portRef I2 (instanceRef slot11_rx_inst_datao_not00012)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_F)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - (portRef I3 (instanceRef slot11_rx_inst_baudreset_or000011)) - (portRef S (instanceRef slot11_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename slot11_rx_inst_state_FSM_FFd1_In "slot11/rx_inst/state_FSM_FFd1-In") - (joined - (portRef D (instanceRef slot11_rx_inst_state_FSM_FFd1_renamed_1107)) - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - ) - ) - (net (rename slot11_rx_inst_state_FSM_FFd2 "slot11/rx_inst/state_FSM_FFd2") - (joined - (portRef Q (instanceRef slot11_rx_inst_state_FSM_FFd2_renamed_1106)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I0 (instanceRef slot11_rx_inst_datao_not000111)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_1_11)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I0 (instanceRef slot11_rx_inst_dataready_not00011)) - (portRef I1 (instanceRef slot11_rx_inst_rxd_0_not00011)) - (portRef I0 (instanceRef slot11_rx_inst_datao_not00012)) - (portRef S (instanceRef slot11_rx_inst_state_FSM_FFd2_In44)) - (portRef I0 (instanceRef slot11_rx_inst_baudreset_or000011)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - ) - ) - (net (rename slot11_rx_inst_state_FSM_FFd2_In "slot11/rx_inst/state_FSM_FFd2-In") - (joined - (portRef D (instanceRef slot11_rx_inst_state_FSM_FFd2_renamed_1106)) - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd2_In44)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_0_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_0__rt "slot11/rx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1828)) - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_10_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_11_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_12_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_13_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_14_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_1_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_2_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_3_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_4_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_5_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_6_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_7_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_8_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_9_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_10_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_11_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_12_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_13_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_14_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_15_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_1_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_2_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_3_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_4_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_5_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_6_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_7_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_8_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_9_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_rx_timer_clkout "slot11/rx_timer/clkout") - (joined - (portRef Q (instanceRef slot11_rx_timer_clkout_renamed_1103)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_not00021)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_clkout_or00001)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_not00021)) - (portRef I1 (instanceRef slot11_tx_timer_clkout_or00001)) - ) - ) - (net (rename slot11_rx_timer_clkout_or0000 "slot11/rx_timer/clkout_or0000") - (joined - (portRef R (instanceRef slot11_rx_timer_clkout_renamed_1103)) - (portRef O (instanceRef slot11_rx_timer_clkout_or00001)) - ) - ) - (net (rename slot11_rx_timer_cnt_0_ "slot11/rx_timer/cnt<0>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_0)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I0 (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1828)) - ) - ) - (net (rename slot11_rx_timer_cnt_1_ "slot11/rx_timer/cnt<1>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_10_ "slot11/rx_timer/cnt<10>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_10)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_11_ "slot11/rx_timer/cnt<11>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_11)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_12_ "slot11/rx_timer/cnt<12>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_12)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_13_ "slot11/rx_timer/cnt<13>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_13)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_14_ "slot11/rx_timer/cnt<14>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_14)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_15_ "slot11/rx_timer/cnt<15>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_15)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_2_ "slot11/rx_timer/cnt<2>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_2)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_3_ "slot11/rx_timer/cnt<3>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_3)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_4_ "slot11/rx_timer/cnt<4>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_4)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_5_ "slot11/rx_timer/cnt<5>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_5)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_6_ "slot11/rx_timer/cnt<6>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_6)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_7_ "slot11/rx_timer/cnt<7>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_7)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_8_ "slot11/rx_timer/cnt<8>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_8)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_9_ "slot11/rx_timer/cnt<9>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_9)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_0_ "slot11/rx_timer/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_10_ "slot11/rx_timer/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_11_ "slot11/rx_timer/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_12_ "slot11/rx_timer/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_13_ "slot11/rx_timer/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_14_ "slot11/rx_timer/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_15_ "slot11/rx_timer/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_1_ "slot11/rx_timer/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_2_ "slot11/rx_timer/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_3_ "slot11/rx_timer/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_4_ "slot11/rx_timer/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_5_ "slot11/rx_timer/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_6_ "slot11/rx_timer/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_7_ "slot11/rx_timer/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_8_ "slot11/rx_timer/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_9_ "slot11/rx_timer/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq0000 "slot11/rx_timer/cnt_cmp_eq0000") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - (portRef I1 (instanceRef slot11_rx_timer_clkout_or00001)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq000012 "slot11/rx_timer/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq000025 "slot11/rx_timer/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq000049 "slot11/rx_timer/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq000062 "slot11/rx_timer/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_0_ "slot11/rx_timer/cnt_mux0001<0>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_0)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_10_ "slot11/rx_timer/cnt_mux0001<10>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_10)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_11_ "slot11/rx_timer/cnt_mux0001<11>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_11)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_12_ "slot11/rx_timer/cnt_mux0001<12>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_12)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_13_ "slot11/rx_timer/cnt_mux0001<13>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_13)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_14_ "slot11/rx_timer/cnt_mux0001<14>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_14)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_15_ "slot11/rx_timer/cnt_mux0001<15>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_15)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_1_ "slot11/rx_timer/cnt_mux0001<1>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_1)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_2_ "slot11/rx_timer/cnt_mux0001<2>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_2)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_3_ "slot11/rx_timer/cnt_mux0001<3>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_3)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_4_ "slot11/rx_timer/cnt_mux0001<4>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_4)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_5_ "slot11/rx_timer/cnt_mux0001<5>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_5)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_6_ "slot11/rx_timer/cnt_mux0001<6>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_6)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_7_ "slot11/rx_timer/cnt_mux0001<7>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_7)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_8_ "slot11/rx_timer/cnt_mux0001<8>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_8)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_9_ "slot11/rx_timer/cnt_mux0001<9>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_9)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_tx_core_bitpos_0_ "slot11/tx_core/bitpos<0>") - (joined - (portRef Q (instanceRef slot11_tx_core_bitpos_0)) - (portRef I2 (instanceRef slot11_tx_core_bitpos_mux0002_1_1)) - (portRef I0 (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - (portRef I3 (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - (portRef I1 (instanceRef slot11_tx_core_bitpos_mux0002_3_1)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_mux00001)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - (portRef S (instanceRef slot11_tx_core_txd_r_mux000184_renamed_2218)) - (portRef I3 (instanceRef slot11_tx_core_loaded_r_not000111)) - ) - ) - (net (rename slot11_tx_core_bitpos_1_ "slot11/tx_core/bitpos<1>") - (joined - (portRef Q (instanceRef slot11_tx_core_bitpos_1)) - (portRef I0 (instanceRef slot11_tx_core_bitpos_mux0002_1_1)) - (portRef I1 (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - (portRef I2 (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - (portRef I2 (instanceRef slot11_tx_core_loaded_r_mux000011)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux0001121_renamed_1152)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000184_F)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000184_G)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not000111)) - ) - ) - (net (rename slot11_tx_core_bitpos_2_ "slot11/tx_core/bitpos<2>") - (joined - (portRef Q (instanceRef slot11_tx_core_bitpos_2)) - (portRef I1 (instanceRef slot11_tx_core_bitpos_mux0002_1_1)) - (portRef I2 (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - (portRef I0 (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_mux000011)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux0001121_renamed_1152)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000184_F)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000184_G)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not000111)) - ) - ) - (net (rename slot11_tx_core_bitpos_3_ "slot11/tx_core/bitpos<3>") - (joined - (portRef Q (instanceRef slot11_tx_core_bitpos_3)) - (portRef I3 (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - (portRef I1 (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_mux000011)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux0001132)) - (portRef I2 (instanceRef slot11_tx_core_loaded_r_not000111)) - ) - ) - (net (rename slot11_tx_core_bitpos_mux0002_0_ "slot11/tx_core/bitpos_mux0002<0>") - (joined - (portRef D (instanceRef slot11_tx_core_bitpos_3)) - (portRef O (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - ) - ) - (net (rename slot11_tx_core_bitpos_mux0002_1_ "slot11/tx_core/bitpos_mux0002<1>") - (joined - (portRef D (instanceRef slot11_tx_core_bitpos_2)) - (portRef O (instanceRef slot11_tx_core_bitpos_mux0002_1_1)) - ) - ) - (net (rename slot11_tx_core_bitpos_mux0002_2_ "slot11/tx_core/bitpos_mux0002<2>") - (joined - (portRef D (instanceRef slot11_tx_core_bitpos_1)) - (portRef O (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - ) - ) - (net (rename slot11_tx_core_bitpos_mux0002_3_ "slot11/tx_core/bitpos_mux0002<3>") - (joined - (portRef D (instanceRef slot11_tx_core_bitpos_0)) - (portRef O (instanceRef slot11_tx_core_bitpos_mux0002_3_1)) - ) - ) - (net (rename slot11_tx_core_idle "slot11/tx_core/idle") - (joined - (portRef Q (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef I1 (instanceRef slot11_tx_core_intx_o_not00011)) - (portRef I1 (instanceRef slot11_tx_core_idle_not00011)) - ) - ) - (net (rename slot11_tx_core_idle_mux0000 "slot11/tx_core/idle_mux0000") - (joined - (portRef D (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef O (instanceRef slot11_tx_core_idle_mux00001_INV_0)) - ) - ) - (net (rename slot11_tx_core_idle_not0001 "slot11/tx_core/idle_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef O (instanceRef slot11_tx_core_idle_not00011)) - ) - ) - (net (rename slot11_tx_core_intx_o "slot11/tx_core/intx_o") - (joined - (portRef Q (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - ) - ) - (net (rename slot11_tx_core_intx_o_not0001 "slot11/tx_core/intx_o_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef O (instanceRef slot11_tx_core_intx_o_not00011)) - ) - ) - (net (rename slot11_tx_core_loaded_r "slot11/tx_core/loaded_r") - (joined - (portRef Q (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef D (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef I2 (instanceRef slot11_tx_core_bitpos_mux0002_3_1)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_mux00001)) - (portRef I2 (instanceRef slot11_tx_core_intx_o_not00011)) - (portRef I2 (instanceRef slot11_tx_core_idle_not00011)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - (portRef I1 (instanceRef slot11_tx_core_t_r_not00011)) - (portRef I (instanceRef slot11_tx_core_idle_mux00001_INV_0)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not00013_renamed_2233)) - ) - ) - (net (rename slot11_tx_core_loaded_r_mux0000 "slot11/tx_core/loaded_r_mux0000") - (joined - (portRef D (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef O (instanceRef slot11_tx_core_loaded_r_mux00001)) - ) - ) - (net (rename slot11_tx_core_loaded_r_not0001 "slot11/tx_core/loaded_r_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef O (instanceRef slot11_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename slot11_tx_core_loaded_r_not00011 "slot11/tx_core/loaded_r_not00011") - (joined - (portRef O (instanceRef slot11_tx_core_loaded_r_not000111)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not00011_f5)) - ) - ) - (net (rename slot11_tx_core_loaded_r_not00012 "slot11/tx_core/loaded_r_not00012") - (joined - (portRef O (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename slot11_tx_core_loaded_r_not00013 "slot11/tx_core/loaded_r_not00013") - (joined - (portRef O (instanceRef slot11_tx_core_loaded_r_not00013_renamed_2233)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename slot11_tx_core_t_r_0_ "slot11/tx_core/t_r<0>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_0)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - ) - ) - (net (rename slot11_tx_core_t_r_1_ "slot11/tx_core/t_r<1>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_1)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - ) - ) - (net (rename slot11_tx_core_t_r_2_ "slot11/tx_core/t_r<2>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_2)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux000184_F)) - ) - ) - (net (rename slot11_tx_core_t_r_3_ "slot11/tx_core/t_r<3>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_3)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux000184_G)) - ) - ) - (net (rename slot11_tx_core_t_r_4_ "slot11/tx_core/t_r<4>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_4)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - ) - ) - (net (rename slot11_tx_core_t_r_5_ "slot11/tx_core/t_r<5>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_5)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - ) - ) - (net (rename slot11_tx_core_t_r_6_ "slot11/tx_core/t_r<6>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_6)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - ) - ) - (net (rename slot11_tx_core_t_r_7_ "slot11/tx_core/t_r<7>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_7)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - ) - ) - (net (rename slot11_tx_core_t_r_not0001 "slot11/tx_core/t_r_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_t_r_0)) - (portRef CE (instanceRef slot11_tx_core_t_r_1)) - (portRef CE (instanceRef slot11_tx_core_t_r_2)) - (portRef CE (instanceRef slot11_tx_core_t_r_3)) - (portRef CE (instanceRef slot11_tx_core_t_r_4)) - (portRef CE (instanceRef slot11_tx_core_t_r_5)) - (portRef CE (instanceRef slot11_tx_core_t_r_6)) - (portRef CE (instanceRef slot11_tx_core_t_r_7)) - (portRef O (instanceRef slot11_tx_core_t_r_not00011)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_0_ "slot11/tx_core/tbuff_r<0>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_0)) - (portRef D (instanceRef slot11_tx_core_t_r_0)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_1_ "slot11/tx_core/tbuff_r<1>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_1)) - (portRef D (instanceRef slot11_tx_core_t_r_1)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_2_ "slot11/tx_core/tbuff_r<2>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_2)) - (portRef D (instanceRef slot11_tx_core_t_r_2)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_3_ "slot11/tx_core/tbuff_r<3>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_3)) - (portRef D (instanceRef slot11_tx_core_t_r_3)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_4_ "slot11/tx_core/tbuff_r<4>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_4)) - (portRef D (instanceRef slot11_tx_core_t_r_4)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_5_ "slot11/tx_core/tbuff_r<5>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_5)) - (portRef D (instanceRef slot11_tx_core_t_r_5)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_6_ "slot11/tx_core/tbuff_r<6>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_6)) - (portRef D (instanceRef slot11_tx_core_t_r_6)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_7_ "slot11/tx_core/tbuff_r<7>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_7)) - (portRef D (instanceRef slot11_tx_core_t_r_7)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_not0001 "slot11/tx_core/tbuff_r_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_tbuff_r_0)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_1)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_2)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_3)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_4)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_5)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_6)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_7)) - (portRef O (instanceRef slot11_tx_core_tbuff_r_not00011)) - ) - ) - (net (rename slot11_tx_core_txd_r "slot11/tx_core/txd_r") - (joined - (portRef Q (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux0001 "slot11/tx_core/txd_r_mux0001") - (joined - (portRef D (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef O (instanceRef slot11_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux0001117 "slot11/tx_core/txd_r_mux0001117") - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux000112 "slot11/tx_core/txd_r_mux000112") - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux000184_G)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux0001121 "slot11/tx_core/txd_r_mux0001121") - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux0001121_renamed_1152)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux000148 "slot11/tx_core/txd_r_mux000148") - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux000184_F)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux000184 "slot11/tx_core/txd_r_mux000184") - (joined - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux0001132)) - (portRef O (instanceRef slot11_tx_core_txd_r_mux000184_renamed_2218)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_0_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_0__rt "slot11/tx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1829)) - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_10_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_11_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_12_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_13_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_14_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_1_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_2_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_3_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_4_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_5_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_6_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_7_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_8_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_9_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_10_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_11_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_12_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_13_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_14_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_15_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_1_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_2_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_3_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_4_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_5_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_6_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_7_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_8_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_9_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_tx_timer_clkout "slot11/tx_timer/clkout") - (joined - (portRef CE (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef CE (instanceRef slot11_tx_core_bitpos_0)) - (portRef CE (instanceRef slot11_tx_core_bitpos_1)) - (portRef CE (instanceRef slot11_tx_core_bitpos_2)) - (portRef CE (instanceRef slot11_tx_core_bitpos_3)) - (portRef Q (instanceRef slot11_tx_timer_clkout_renamed_1104)) - (portRef I2 (instanceRef slot11_tx_core_loaded_r_mux00001)) - (portRef S (instanceRef slot11_tx_core_loaded_r_not00011_f5)) - ) - ) - (net (rename slot11_tx_timer_clkout_or0000 "slot11/tx_timer/clkout_or0000") - (joined - (portRef R (instanceRef slot11_tx_timer_clkout_renamed_1104)) - (portRef O (instanceRef slot11_tx_timer_clkout_or00001)) - ) - ) - (net (rename slot11_tx_timer_cnt_0_ "slot11/tx_timer/cnt<0>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_0)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I0 (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1829)) - ) - ) - (net (rename slot11_tx_timer_cnt_1_ "slot11/tx_timer/cnt<1>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_10_ "slot11/tx_timer/cnt<10>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_10)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_11_ "slot11/tx_timer/cnt<11>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_11)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_12_ "slot11/tx_timer/cnt<12>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_12)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_13_ "slot11/tx_timer/cnt<13>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_13)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_14_ "slot11/tx_timer/cnt<14>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_14)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_15_ "slot11/tx_timer/cnt<15>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_15)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_2_ "slot11/tx_timer/cnt<2>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_2)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_3_ "slot11/tx_timer/cnt<3>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_3)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_4_ "slot11/tx_timer/cnt<4>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_4)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_5_ "slot11/tx_timer/cnt<5>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_5)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_6_ "slot11/tx_timer/cnt<6>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_6)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_7_ "slot11/tx_timer/cnt<7>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_7)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_8_ "slot11/tx_timer/cnt<8>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_8)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_9_ "slot11/tx_timer/cnt<9>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_9)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_0_ "slot11/tx_timer/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_10_ "slot11/tx_timer/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_11_ "slot11/tx_timer/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_12_ "slot11/tx_timer/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_13_ "slot11/tx_timer/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_14_ "slot11/tx_timer/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_15_ "slot11/tx_timer/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_1_ "slot11/tx_timer/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_2_ "slot11/tx_timer/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_3_ "slot11/tx_timer/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_4_ "slot11/tx_timer/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_5_ "slot11/tx_timer/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_6_ "slot11/tx_timer/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_7_ "slot11/tx_timer/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_8_ "slot11/tx_timer/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_9_ "slot11/tx_timer/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq0000 "slot11/tx_timer/cnt_cmp_eq0000") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - (portRef I2 (instanceRef slot11_tx_timer_clkout_or00001)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq000012 "slot11/tx_timer/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq000025 "slot11/tx_timer/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq000049 "slot11/tx_timer/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq000062 "slot11/tx_timer/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_0_ "slot11/tx_timer/cnt_mux0001<0>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_0)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_10_ "slot11/tx_timer/cnt_mux0001<10>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_10)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_11_ "slot11/tx_timer/cnt_mux0001<11>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_11)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_12_ "slot11/tx_timer/cnt_mux0001<12>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_12)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_13_ "slot11/tx_timer/cnt_mux0001<13>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_13)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_14_ "slot11/tx_timer/cnt_mux0001<14>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_14)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_15_ "slot11/tx_timer/cnt_mux0001<15>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_15)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_1_ "slot11/tx_timer/cnt_mux0001<1>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_1)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_2_ "slot11/tx_timer/cnt_mux0001<2>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_2)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_3_ "slot11/tx_timer/cnt_mux0001<3>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_3)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_4_ "slot11/tx_timer/cnt_mux0001<4>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_4)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_5_ "slot11/tx_timer/cnt_mux0001<5>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_5)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_6_ "slot11/tx_timer/cnt_mux0001<6>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_6)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_7_ "slot11/tx_timer/cnt_mux0001<7>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_7)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_8_ "slot11/tx_timer/cnt_mux0001<8>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_8)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_9_ "slot11/tx_timer/cnt_mux0001<9>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_9)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_not0002 "slot11/tx_timer/cnt_not0002") - (joined - (portRef CE (instanceRef slot11_tx_timer_cnt_0)) - (portRef CE (instanceRef slot11_tx_timer_cnt_1)) - (portRef CE (instanceRef slot11_tx_timer_cnt_2)) - (portRef CE (instanceRef slot11_tx_timer_cnt_3)) - (portRef CE (instanceRef slot11_tx_timer_cnt_4)) - (portRef CE (instanceRef slot11_tx_timer_cnt_5)) - (portRef CE (instanceRef slot11_tx_timer_cnt_6)) - (portRef CE (instanceRef slot11_tx_timer_cnt_7)) - (portRef CE (instanceRef slot11_tx_timer_cnt_8)) - (portRef CE (instanceRef slot11_tx_timer_cnt_9)) - (portRef CE (instanceRef slot11_tx_timer_cnt_10)) - (portRef CE (instanceRef slot11_tx_timer_cnt_11)) - (portRef CE (instanceRef slot11_tx_timer_cnt_12)) - (portRef CE (instanceRef slot11_tx_timer_cnt_13)) - (portRef CE (instanceRef slot11_tx_timer_cnt_14)) - (portRef CE (instanceRef slot11_tx_timer_cnt_15)) - (portRef O (instanceRef slot11_tx_timer_cnt_not00021)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_10_ "slot9/Maccum_vga_v_offset_cy<10>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_10__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_11__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_11__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_10__rt "slot9/Maccum_vga_v_offset_cy<10>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_10__rt_renamed_1748)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_10__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_10__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_11_ "slot9/Maccum_vga_v_offset_cy<11>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_11__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_12__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_12__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_11__rt "slot9/Maccum_vga_v_offset_cy<11>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_11__rt_renamed_1749)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_11__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_11__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_12_ "slot9/Maccum_vga_v_offset_cy<12>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_12__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_13__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_13__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_12__rt "slot9/Maccum_vga_v_offset_cy<12>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_12__rt_renamed_1750)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_12__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_12__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_13_ "slot9/Maccum_vga_v_offset_cy<13>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_13__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_14__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_13__rt "slot9/Maccum_vga_v_offset_cy<13>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_13__rt_renamed_1751)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_13__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_13__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_5_ "slot9/Maccum_vga_v_offset_cy<5>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_5__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_6__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_6__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_6_ "slot9/Maccum_vga_v_offset_cy<6>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_6__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_7__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_7__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_6__rt "slot9/Maccum_vga_v_offset_cy<6>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_6__rt_renamed_1745)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_6__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_6__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_7_ "slot9/Maccum_vga_v_offset_cy<7>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_7__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_8__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_8__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_8_ "slot9/Maccum_vga_v_offset_cy<8>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_8__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_9__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_9__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_8__rt "slot9/Maccum_vga_v_offset_cy<8>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_8__rt_renamed_1746)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_8__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_8__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_9_ "slot9/Maccum_vga_v_offset_cy<9>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_9__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_10__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_10__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_9__rt "slot9/Maccum_vga_v_offset_cy<9>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_9__rt_renamed_1747)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_9__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_9__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_lut_5__ "slot9/Maccum_vga_v_offset_lut<5>") - (joined - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_5__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_5__)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_lut_5__INV_0)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_lut_7__ "slot9/Maccum_vga_v_offset_lut<7>") - (joined - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_7__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_7__)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_lut_7__INV_0)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_xor_14__rt "slot9/Maccum_vga_v_offset_xor<14>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_14__rt_renamed_1839)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_14__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_0_ "slot9/Madd_vga_ram_address_cy<0>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_0__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_1__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_1__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_0__rt "slot9/Madd_vga_ram_address_cy<0>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_0__rt_renamed_1685)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_0__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_0__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_10_ "slot9/Madd_vga_ram_address_cy<10>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_10__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_11__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_11__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_11_ "slot9/Madd_vga_ram_address_cy<11>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_11__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_12__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_12__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_12_ "slot9/Madd_vga_ram_address_cy<12>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_12__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_13__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_13__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_12__rt "slot9/Madd_vga_ram_address_cy<12>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_12__rt_renamed_1690)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_12__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_12__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_13_ "slot9/Madd_vga_ram_address_cy<13>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_13__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_14__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_13__rt "slot9/Madd_vga_ram_address_cy<13>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_13__rt_renamed_1691)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_13__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_13__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_1_ "slot9/Madd_vga_ram_address_cy<1>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_1__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_2__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_2__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_1__rt "slot9/Madd_vga_ram_address_cy<1>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_1__rt_renamed_1686)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_1__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_1__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_2_ "slot9/Madd_vga_ram_address_cy<2>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_2__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_3__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_3__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_2__rt "slot9/Madd_vga_ram_address_cy<2>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_2__rt_renamed_1687)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_2__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_2__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_3_ "slot9/Madd_vga_ram_address_cy<3>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_3__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_4__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_4__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_3__rt "slot9/Madd_vga_ram_address_cy<3>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_3__rt_renamed_1688)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_3__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_3__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_4_ "slot9/Madd_vga_ram_address_cy<4>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_4__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_5__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_5__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_4__rt "slot9/Madd_vga_ram_address_cy<4>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_4__rt_renamed_1689)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_4__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_4__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_5_ "slot9/Madd_vga_ram_address_cy<5>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_5__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_6__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_6__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_6_ "slot9/Madd_vga_ram_address_cy<6>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_6__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_7__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_7__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_7_ "slot9/Madd_vga_ram_address_cy<7>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_7__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_8__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_8__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_8_ "slot9/Madd_vga_ram_address_cy<8>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_8__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_9__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_9__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_9_ "slot9/Madd_vga_ram_address_cy<9>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_9__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_10__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_10__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_10_ "slot9/Madd_vga_ram_address_lut<10>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_10__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_10__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_10__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_11_ "slot9/Madd_vga_ram_address_lut<11>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_11__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_11__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_11__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_5_ "slot9/Madd_vga_ram_address_lut<5>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_5__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_5__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_5__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_6_ "slot9/Madd_vga_ram_address_lut<6>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_6__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_6__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_6__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_7_ "slot9/Madd_vga_ram_address_lut<7>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_7__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_7__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_7__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_8_ "slot9/Madd_vga_ram_address_lut<8>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_8__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_8__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_8__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_9_ "slot9/Madd_vga_ram_address_lut<9>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_9__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_9__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_9__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_xor_14__rt "slot9/Madd_vga_ram_address_xor<14>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_14__rt_renamed_1831)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_14__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_0_ "slot9/Mcount_hcount_q_cy<0>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_1__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_1_ "slot9/Mcount_hcount_q_cy<1>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_2__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_1__rt "slot9/Mcount_hcount_q_cy<1>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_1__rt_renamed_1726)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_1__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_1__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_2_ "slot9/Mcount_hcount_q_cy<2>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_3__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_2__rt "slot9/Mcount_hcount_q_cy<2>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_2__rt_renamed_1727)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_2__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_2__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_3_ "slot9/Mcount_hcount_q_cy<3>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_4__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_3__rt "slot9/Mcount_hcount_q_cy<3>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_3__rt_renamed_1728)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_3__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_3__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_4_ "slot9/Mcount_hcount_q_cy<4>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_5__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_4__rt "slot9/Mcount_hcount_q_cy<4>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_4__rt_renamed_1729)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_4__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_4__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_5_ "slot9/Mcount_hcount_q_cy<5>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_6__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_5__rt "slot9/Mcount_hcount_q_cy<5>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_5__rt_renamed_1730)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_5__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_5__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_6_ "slot9/Mcount_hcount_q_cy<6>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_7__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_6__rt "slot9/Mcount_hcount_q_cy<6>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_6__rt_renamed_1731)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_6__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_6__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_7_ "slot9/Mcount_hcount_q_cy<7>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_8__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_7__rt "slot9/Mcount_hcount_q_cy<7>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_7__rt_renamed_1732)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_7__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_7__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_8_ "slot9/Mcount_hcount_q_cy<8>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_9__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_8__rt "slot9/Mcount_hcount_q_cy<8>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_8__rt_renamed_1733)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_8__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_8__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_9_ "slot9/Mcount_hcount_q_cy<9>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_10__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_9__rt "slot9/Mcount_hcount_q_cy<9>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_9__rt_renamed_1734)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_9__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_9__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_lut_0_ "slot9/Mcount_hcount_q_lut<0>") - (joined - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_0__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_0__)) - (portRef O (instanceRef slot9_Mcount_hcount_q_lut_0__INV_0)) - ) - ) - (net (rename slot9_Mcount_hcount_q_val "slot9/Mcount_hcount_q_val") - (joined - (portRef R (instanceRef slot9_hcount_q_5)) - (portRef R (instanceRef slot9_hcount_q_10)) - (portRef R (instanceRef slot9_hcount_q_7)) - (portRef O (instanceRef slot9_Mcount_hcount_q_val1)) - ) - ) - (net (rename slot9_Mcount_hcount_q_xor_10__rt "slot9/Mcount_hcount_q_xor<10>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_10__rt_renamed_1837)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_10__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_0_ "slot9/Mcount_hdisp_cy<0>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_1__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_10_ "slot9/Mcount_hdisp_cy<10>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_10__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_11__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_10__rt "slot9/Mcount_hdisp_cy<10>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_10__rt_renamed_1744)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_10__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_10__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_1_ "slot9/Mcount_hdisp_cy<1>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_2__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_1__rt "slot9/Mcount_hdisp_cy<1>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_1__rt_renamed_1735)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_1__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_1__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_2_ "slot9/Mcount_hdisp_cy<2>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_3__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_2__rt "slot9/Mcount_hdisp_cy<2>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_2__rt_renamed_1736)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_2__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_2__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_3_ "slot9/Mcount_hdisp_cy<3>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_4__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_3__rt "slot9/Mcount_hdisp_cy<3>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_3__rt_renamed_1737)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_3__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_3__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_4_ "slot9/Mcount_hdisp_cy<4>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_5__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_4__rt "slot9/Mcount_hdisp_cy<4>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_4__rt_renamed_1738)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_4__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_4__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_5_ "slot9/Mcount_hdisp_cy<5>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_6__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_5__rt "slot9/Mcount_hdisp_cy<5>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_5__rt_renamed_1739)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_5__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_5__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_6_ "slot9/Mcount_hdisp_cy<6>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_7__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_6__rt "slot9/Mcount_hdisp_cy<6>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_6__rt_renamed_1740)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_6__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_6__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_7_ "slot9/Mcount_hdisp_cy<7>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_8__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_7__rt "slot9/Mcount_hdisp_cy<7>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_7__rt_renamed_1741)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_7__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_7__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_8_ "slot9/Mcount_hdisp_cy<8>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_9__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_8__rt "slot9/Mcount_hdisp_cy<8>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_8__rt_renamed_1742)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_8__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_8__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_9_ "slot9/Mcount_hdisp_cy<9>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_10__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_10__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_9__rt "slot9/Mcount_hdisp_cy<9>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_9__rt_renamed_1743)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_9__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_9__)) - ) - ) - (net (rename slot9_Mcount_hdisp_lut_0_ "slot9/Mcount_hdisp_lut<0>") - (joined - (portRef S (instanceRef slot9_Mcount_hdisp_cy_0__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_0__)) - (portRef O (instanceRef slot9_Mcount_hdisp_lut_0__INV_0)) - ) - ) - (net (rename slot9_Mcount_hdisp_xor_11__rt "slot9/Mcount_hdisp_xor<11>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_xor_11__rt_renamed_1838)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_11__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_0_ "slot9/Mcount_vcount_q_cy<0>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_1__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_1_ "slot9/Mcount_vcount_q_cy<1>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_2__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_1__rt "slot9/Mcount_vcount_q_cy<1>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_1__rt_renamed_1718)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_1__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_1__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_2_ "slot9/Mcount_vcount_q_cy<2>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_3__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_2__rt "slot9/Mcount_vcount_q_cy<2>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_2__rt_renamed_1719)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_2__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_2__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_3_ "slot9/Mcount_vcount_q_cy<3>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_4__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_3__rt "slot9/Mcount_vcount_q_cy<3>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_3__rt_renamed_1720)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_3__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_3__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_4_ "slot9/Mcount_vcount_q_cy<4>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_5__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_4__rt "slot9/Mcount_vcount_q_cy<4>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_4__rt_renamed_1721)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_4__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_4__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_5_ "slot9/Mcount_vcount_q_cy<5>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_6__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_5__rt "slot9/Mcount_vcount_q_cy<5>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_5__rt_renamed_1722)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_5__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_5__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_6_ "slot9/Mcount_vcount_q_cy<6>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_7__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_6__rt "slot9/Mcount_vcount_q_cy<6>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_6__rt_renamed_1723)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_6__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_6__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_7_ "slot9/Mcount_vcount_q_cy<7>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_8__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_7__rt "slot9/Mcount_vcount_q_cy<7>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_7__rt_renamed_1724)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_7__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_7__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_8_ "slot9/Mcount_vcount_q_cy<8>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_9__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_8__rt "slot9/Mcount_vcount_q_cy<8>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_8__rt_renamed_1725)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_8__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_8__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_lut_0_ "slot9/Mcount_vcount_q_lut<0>") - (joined - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_0__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_0__)) - (portRef O (instanceRef slot9_Mcount_vcount_q_lut_0__INV_0)) - ) - ) - (net (rename slot9_Mcount_vcount_q_val "slot9/Mcount_vcount_q_val") - (joined - (portRef R (instanceRef slot9_vcount_q_0)) - (portRef R (instanceRef slot9_vcount_q_8)) - (portRef R (instanceRef slot9_vcount_q_7)) - (portRef R (instanceRef slot9_vcount_q_4)) - (portRef R (instanceRef slot9_vga_v_offset_5)) - (portRef R (instanceRef slot9_vga_v_offset_6)) - (portRef R (instanceRef slot9_vga_v_offset_7)) - (portRef R (instanceRef slot9_vga_v_offset_8)) - (portRef R (instanceRef slot9_vga_v_offset_9)) - (portRef R (instanceRef slot9_vga_v_offset_10)) - (portRef R (instanceRef slot9_vga_v_offset_11)) - (portRef R (instanceRef slot9_vga_v_offset_12)) - (portRef R (instanceRef slot9_vga_v_offset_13)) - (portRef R (instanceRef slot9_vga_v_offset_14)) - (portRef O (instanceRef slot9_Mcount_vcount_q_val1)) - ) - ) - (net (rename slot9_Mcount_vcount_q_xor_9__rt "slot9/Mcount_vcount_q_xor<9>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_9__rt_renamed_1836)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_9__)) - ) - ) - (net (rename slot9_h_sync_tick "slot9/h_sync_tick") - (joined - (portRef Q (instanceRef slot9_h_sync_tick_renamed_294)) - (portRef CE (instanceRef slot9_voff_0)) - (portRef CE (instanceRef slot9_voff_1)) - (portRef CE (instanceRef slot9_voff_2)) - (portRef CE (instanceRef slot9_vcount_q_0)) - (portRef CE (instanceRef slot9_vcount_q_1)) - (portRef CE (instanceRef slot9_vcount_q_2)) - (portRef CE (instanceRef slot9_vcount_q_5)) - (portRef CE (instanceRef slot9_vcount_q_3)) - (portRef CE (instanceRef slot9_vcount_q_4)) - (portRef CE (instanceRef slot9_vcount_q_6)) - (portRef CE (instanceRef slot9_vcount_q_7)) - (portRef CE (instanceRef slot9_vcount_q_8)) - (portRef CE (instanceRef slot9_vcount_q_9)) - (portRef I1 (instanceRef slot9_vga_v_offset_not00011)) - (portRef I1 (instanceRef slot9_voff_or0000_renamed_1120)) - ) - ) - (net (rename slot9_h_sync_tick_cmp_eq0000 "slot9/h_sync_tick_cmp_eq0000") - (joined - (portRef D (instanceRef slot9_h_sync_tick_renamed_294)) - (portRef I3 (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - (portRef O (instanceRef slot9_vga_hsync_mux00002_f5)) - ) - ) - (net (rename slot9_hcount_q_0_ "slot9/hcount_q<0>") - (joined - (portRef Q (instanceRef slot9_hcount_q_0)) - (portRef I0 (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I0 (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I (instanceRef slot9_Mcount_hcount_q_lut_0__INV_0)) - ) - ) - (net (rename slot9_hcount_q_1_ "slot9/hcount_q<1>") - (joined - (portRef Q (instanceRef slot9_hcount_q_1)) - (portRef I2 (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I1 (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_1__rt_renamed_1726)) - ) - ) - (net (rename slot9_hcount_q_10_ "slot9/hcount_q<10>") - (joined - (portRef Q (instanceRef slot9_hcount_q_10)) - (portRef I0 (instanceRef slot9_h_sync_tick_cmp_eq00001)) - (portRef I2 (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I3 (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_xor_10__rt_renamed_1837)) - ) - ) - (net (rename slot9_hcount_q_2_ "slot9/hcount_q<2>") - (joined - (portRef Q (instanceRef slot9_hcount_q_2)) - (portRef I1 (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I2 (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_2__rt_renamed_1727)) - ) - ) - (net (rename slot9_hcount_q_3_ "slot9/hcount_q<3>") - (joined - (portRef Q (instanceRef slot9_hcount_q_3)) - (portRef I2 (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I3 (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_3__rt_renamed_1728)) - (portRef I3 (instanceRef slot9_vga_hsync_mux000021)) - ) - ) - (net (rename slot9_hcount_q_4_ "slot9/hcount_q<4>") - (joined - (portRef Q (instanceRef slot9_hcount_q_4)) - (portRef I1 (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_4__rt_renamed_1729)) - (portRef I1 (instanceRef slot9_vga_hsync_mux000021)) - ) - ) - (net (rename slot9_hcount_q_5_ "slot9/hcount_q<5>") - (joined - (portRef Q (instanceRef slot9_hcount_q_5)) - (portRef I3 (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_hdisp_cmp_eq000011)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_5__rt_renamed_1730)) - (portRef I2 (instanceRef slot9_vga_hsync_mux000021)) - ) - ) - (net (rename slot9_hcount_q_6_ "slot9/hcount_q<6>") - (joined - (portRef Q (instanceRef slot9_hcount_q_6)) - (portRef I1 (instanceRef slot9_h_sync_tick_cmp_eq00001)) - (portRef I0 (instanceRef slot9_hdisp_cmp_eq000011)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_6__rt_renamed_1731)) - ) - ) - (net (rename slot9_hcount_q_7_ "slot9/hcount_q<7>") - (joined - (portRef Q (instanceRef slot9_hcount_q_7)) - (portRef I0 (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I2 (instanceRef slot9_hdisp_cmp_eq000011)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_7__rt_renamed_1732)) - (portRef I0 (instanceRef slot9_vga_hsync_mux000021)) - ) - ) - (net (rename slot9_hcount_q_8_ "slot9/hcount_q<8>") - (joined - (portRef Q (instanceRef slot9_hcount_q_8)) - (portRef I2 (instanceRef slot9_h_sync_tick_cmp_eq00001)) - (portRef I0 (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I2 (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_8__rt_renamed_1733)) - ) - ) - (net (rename slot9_hcount_q_9_ "slot9/hcount_q<9>") - (joined - (portRef Q (instanceRef slot9_hcount_q_9)) - (portRef I3 (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I3 (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I1 (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_9__rt_renamed_1734)) - ) - ) - (net (rename slot9_hdisp_10_ "slot9/hdisp<10>") - (joined - (portRef Q (instanceRef slot9_hdisp_10)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_8__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_8__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_8__rt_renamed_1742)) - ) - ) - (net (rename slot9_hdisp_11_ "slot9/hdisp<11>") - (joined - (portRef Q (instanceRef slot9_hdisp_11)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_9__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_9__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_9__rt_renamed_1743)) - ) - ) - (net (rename slot9_hdisp_12_ "slot9/hdisp<12>") - (joined - (portRef Q (instanceRef slot9_hdisp_12)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_10__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_10__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_10__rt_renamed_1744)) - ) - ) - (net (rename slot9_hdisp_13_ "slot9/hdisp<13>") - (joined - (portRef Q (instanceRef slot9_hdisp_13)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_11__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_11__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_xor_11__rt_renamed_1838)) - ) - ) - (net (rename slot9_hdisp_2_ "slot9/hdisp<2>") - (joined - (portRef Q (instanceRef slot9_hdisp_2)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_0__rt_renamed_1685)) - (portRef I (instanceRef slot9_Mcount_hdisp_lut_0__INV_0)) - ) - ) - (net (rename slot9_hdisp_3_ "slot9/hdisp<3>") - (joined - (portRef Q (instanceRef slot9_hdisp_3)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_1__rt_renamed_1686)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_1__rt_renamed_1735)) - ) - ) - (net (rename slot9_hdisp_4_ "slot9/hdisp<4>") - (joined - (portRef Q (instanceRef slot9_hdisp_4)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_2__rt_renamed_1687)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_2__rt_renamed_1736)) - ) - ) - (net (rename slot9_hdisp_5_ "slot9/hdisp<5>") - (joined - (portRef Q (instanceRef slot9_hdisp_5)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_3__rt_renamed_1688)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_3__rt_renamed_1737)) - ) - ) - (net (rename slot9_hdisp_6_ "slot9/hdisp<6>") - (joined - (portRef Q (instanceRef slot9_hdisp_6)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_4__rt_renamed_1689)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_4__rt_renamed_1738)) - ) - ) - (net (rename slot9_hdisp_7_ "slot9/hdisp<7>") - (joined - (portRef Q (instanceRef slot9_hdisp_7)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_5__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_5__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_5__rt_renamed_1739)) - ) - ) - (net (rename slot9_hdisp_8_ "slot9/hdisp<8>") - (joined - (portRef Q (instanceRef slot9_hdisp_8)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_6__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_6__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_6__rt_renamed_1740)) - ) - ) - (net (rename slot9_hdisp_9_ "slot9/hdisp<9>") - (joined - (portRef Q (instanceRef slot9_hdisp_9)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_7__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_7__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_7__rt_renamed_1741)) - ) - ) - (net (rename slot9_hdisp_and0000 "slot9/hdisp_and0000") - (joined - (portRef R (instanceRef slot9_hcount_q_0)) - (portRef R (instanceRef slot9_hcount_q_1)) - (portRef R (instanceRef slot9_hcount_q_2)) - (portRef R (instanceRef slot9_hcount_q_3)) - (portRef R (instanceRef slot9_hcount_q_4)) - (portRef R (instanceRef slot9_hcount_q_6)) - (portRef R (instanceRef slot9_hcount_q_8)) - (portRef R (instanceRef slot9_hcount_q_9)) - (portRef R (instanceRef slot9_hdisp_2)) - (portRef R (instanceRef slot9_hdisp_3)) - (portRef R (instanceRef slot9_hdisp_4)) - (portRef R (instanceRef slot9_hdisp_5)) - (portRef R (instanceRef slot9_hdisp_6)) - (portRef R (instanceRef slot9_hdisp_7)) - (portRef R (instanceRef slot9_hdisp_8)) - (portRef R (instanceRef slot9_hdisp_9)) - (portRef R (instanceRef slot9_hdisp_10)) - (portRef R (instanceRef slot9_hdisp_11)) - (portRef R (instanceRef slot9_hdisp_12)) - (portRef R (instanceRef slot9_hdisp_13)) - (portRef O (instanceRef slot9_hdisp_and00001)) - ) - ) - (net (rename slot9_hdisp_cmp_eq0000 "slot9/hdisp_cmp_eq0000") - (joined - (portRef I0 (instanceRef slot9_hoff_and0000_renamed_1121)) - (portRef O (instanceRef slot9_hdisp_cmp_eq000031)) - ) - ) - (net (rename slot9_hdisp_cmp_eq000010 "slot9/hdisp_cmp_eq000010") - (joined - (portRef O (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I1 (instanceRef slot9_hdisp_cmp_eq000031)) - (portRef I1 (instanceRef slot9_hdisp_and00001)) - (portRef I1 (instanceRef slot9_Mcount_hcount_q_val1)) - ) - ) - (net (rename slot9_hdisp_cmp_eq000029 "slot9/hdisp_cmp_eq000029") - (joined - (portRef O (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I2 (instanceRef slot9_hdisp_cmp_eq000031)) - (portRef I2 (instanceRef slot9_hdisp_and00001)) - (portRef I2 (instanceRef slot9_Mcount_hcount_q_val1)) - ) - ) - (net (rename slot9_hdisp_not0001 "slot9/hdisp_not0001") - (joined - (portRef CE (instanceRef slot9_hdisp_2)) - (portRef CE (instanceRef slot9_hdisp_3)) - (portRef CE (instanceRef slot9_hdisp_4)) - (portRef CE (instanceRef slot9_hdisp_5)) - (portRef CE (instanceRef slot9_hdisp_6)) - (portRef CE (instanceRef slot9_hdisp_7)) - (portRef CE (instanceRef slot9_hdisp_8)) - (portRef CE (instanceRef slot9_hdisp_9)) - (portRef CE (instanceRef slot9_hdisp_10)) - (portRef CE (instanceRef slot9_hdisp_11)) - (portRef CE (instanceRef slot9_hdisp_12)) - (portRef CE (instanceRef slot9_hdisp_13)) - (portRef O (instanceRef slot9_hdisp_not00011)) - ) - ) - (net (rename slot9_hoff_0_ "slot9/hoff<0>") - (joined - (portRef Q (instanceRef slot9_hoff_0)) - (portRef I1 (instanceRef slot9_Mcount_hoff_xor_1_11)) - (portRef I0 (instanceRef slot9_Mcount_hoff_xor_2_11)) - (portRef I0 (instanceRef slot9_hdisp_not00011)) - (portRef I1 (instanceRef slot9_hoff_and0000_SW0)) - (portRef I (instanceRef slot9_Mcount_hoff_xor_0_11_INV_0)) - ) - ) - (net (rename slot9_hoff_1_ "slot9/hoff<1>") - (joined - (portRef Q (instanceRef slot9_hoff_1)) - (portRef I0 (instanceRef slot9_Mcount_hoff_xor_1_11)) - (portRef I2 (instanceRef slot9_Mcount_hoff_xor_2_11)) - (portRef I3 (instanceRef slot9_hdisp_not00011)) - (portRef I2 (instanceRef slot9_hoff_and0000_renamed_1121)) - ) - ) - (net (rename slot9_hoff_2_ "slot9/hoff<2>") - (joined - (portRef Q (instanceRef slot9_hoff_2)) - (portRef I1 (instanceRef slot9_Mcount_hoff_xor_2_11)) - (portRef I2 (instanceRef slot9_hdisp_not00011)) - (portRef I0 (instanceRef slot9_hoff_and0000_SW0)) - ) - ) - (net (rename slot9_hoff_and0000 "slot9/hoff_and0000") - (joined - (portRef R (instanceRef slot9_hoff_0)) - (portRef R (instanceRef slot9_hoff_1)) - (portRef R (instanceRef slot9_hoff_2)) - (portRef O (instanceRef slot9_hoff_and0000_renamed_1121)) - ) - ) - (net (rename slot9_ram_mi_addrh_q "slot9/ram/mi_addrh_q") - (joined - (portRef Q (instanceRef slot9_ram_mi_addrh_q_renamed_311)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_0_ "slot9/ram/mi_ram_0_dat_o<0>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_1_ "slot9/ram/mi_ram_0_dat_o<1>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_2_ "slot9/ram/mi_ram_0_dat_o<2>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_3_ "slot9/ram/mi_ram_0_dat_o<3>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_4_ "slot9/ram/mi_ram_0_dat_o<4>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_5_ "slot9/ram/mi_ram_0_dat_o<5>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_6_ "slot9/ram/mi_ram_0_dat_o<6>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_7_ "slot9/ram/mi_ram_0_dat_o<7>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_0_ "slot9/ram/mi_ram_1_dat_o<0>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - (portRef (member DOA 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_1_ "slot9/ram/mi_ram_1_dat_o<1>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef (member DOA 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_2_ "slot9/ram/mi_ram_1_dat_o<2>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef (member DOA 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_3_ "slot9/ram/mi_ram_1_dat_o<3>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_4_ "slot9/ram/mi_ram_1_dat_o<4>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef (member DOA 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_5_ "slot9/ram/mi_ram_1_dat_o<5>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef (member DOA 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_6_ "slot9/ram/mi_ram_1_dat_o<6>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef (member DOA 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_7_ "slot9/ram/mi_ram_1_dat_o<7>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_addrh_q "slot9/ram/v_addrh_q") - (joined - (portRef Q (instanceRef slot9_ram_v_addrh_q_renamed_6)) - (portRef I0 (instanceRef slot9_ram_v_data_7_1)) - (portRef I0 (instanceRef slot9_ram_v_data_6_1)) - (portRef I0 (instanceRef slot9_ram_v_data_5_1)) - (portRef I0 (instanceRef slot9_ram_v_data_4_1)) - (portRef I0 (instanceRef slot9_ram_v_data_3_1)) - (portRef I0 (instanceRef slot9_ram_v_data_2_1)) - (portRef I0 (instanceRef slot9_ram_v_data_1_1)) - (portRef I0 (instanceRef slot9_ram_v_data_0_1)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_0_ "slot9/ram/v_ram_0_data<0>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_0_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_1_ "slot9/ram/v_ram_0_data<1>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_1_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_2_ "slot9/ram/v_ram_0_data<2>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_2_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_3_ "slot9/ram/v_ram_0_data<3>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_3_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_4_ "slot9/ram/v_ram_0_data<4>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_4_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_5_ "slot9/ram/v_ram_0_data<5>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_5_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_6_ "slot9/ram/v_ram_0_data<6>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_6_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_7_ "slot9/ram/v_ram_0_data<7>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_7_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_ram_v_ram_0_en "slot9/ram/v_ram_0_en") - (joined - (portRef O (instanceRef slot9_ram_v_ram_0_en1_INV_0)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_0_ "slot9/ram/v_ram_1_data<0>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_0_1)) - (portRef (member DOB 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_1_ "slot9/ram/v_ram_1_data<1>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_1_1)) - (portRef (member DOB 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_2_ "slot9/ram/v_ram_1_data<2>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_2_1)) - (portRef (member DOB 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_3_ "slot9/ram/v_ram_1_data<3>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_3_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_4_ "slot9/ram/v_ram_1_data<4>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_4_1)) - (portRef (member DOB 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_5_ "slot9/ram/v_ram_1_data<5>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_5_1)) - (portRef (member DOB 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_6_ "slot9/ram/v_ram_1_data<6>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_6_1)) - (portRef (member DOB 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_7_ "slot9/ram/v_ram_1_data<7>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_7_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_we "slot9/ram_we") - (joined - (portRef O (instanceRef slot9_ram_we1)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef WEA (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef WEA (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_read_ended "slot9/read_ended") - (joined - (portRef Q (instanceRef slot9_read_ended_renamed_8)) - (portRef I1 (instanceRef zpuino_io_io_device_ack118_SW0)) - ) - ) - (net (rename slot9_read_ended_and0000 "slot9/read_ended_and0000") - (joined - (portRef D (instanceRef slot9_read_ended_renamed_8)) - (portRef O (instanceRef slot9_read_ended_and00001_f5)) - ) - ) - (net (rename slot9_read_ended_and00001 "slot9/read_ended_and00001") - (joined - (portRef O (instanceRef slot9_read_ended_and000011)) - (portRef I0 (instanceRef slot9_read_ended_and00001_f5)) - ) - ) - (net (rename slot9_rstq1 "slot9/rstq1") - (joined - (portRef S (instanceRef slot9_hcount_q_0)) - (portRef S (instanceRef slot9_hcount_q_1)) - (portRef S (instanceRef slot9_hcount_q_2)) - (portRef S (instanceRef slot9_hcount_q_3)) - (portRef S (instanceRef slot9_hcount_q_4)) - (portRef S (instanceRef slot9_hcount_q_6)) - (portRef S (instanceRef slot9_hcount_q_8)) - (portRef S (instanceRef slot9_hcount_q_9)) - (portRef Q (instanceRef slot9_rstq1_renamed_173)) - (portRef R (instanceRef slot9_vga_hsync_renamed_292)) - (portRef R (instanceRef slot9_vga_vsync_renamed_293)) - (portRef S (instanceRef slot9_vcount_q_1)) - (portRef S (instanceRef slot9_vcount_q_2)) - (portRef S (instanceRef slot9_vcount_q_5)) - (portRef S (instanceRef slot9_vcount_q_3)) - (portRef S (instanceRef slot9_vcount_q_6)) - (portRef S (instanceRef slot9_vcount_q_9)) - (portRef I1 (instanceRef slot9_hdisp_not00011)) - (portRef I0 (instanceRef slot9_voff_or0000_renamed_1120)) - (portRef I1 (instanceRef slot9_vcount_q_and00001)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_val1)) - (portRef I1 (instanceRef slot9_hoff_and0000_renamed_1121)) - (portRef I3 (instanceRef slot9_hdisp_and00001)) - (portRef I3 (instanceRef slot9_Mcount_hcount_q_val1)) - (portRef I (instanceRef slot9_rstq1_inv1_INV_0)) - ) - ) - (net (rename slot9_rstq1_inv "slot9/rstq1_inv") - (joined - (portRef CE (instanceRef slot9_h_sync_tick_renamed_294)) - (portRef CE (instanceRef slot9_hoff_0)) - (portRef CE (instanceRef slot9_hoff_1)) - (portRef CE (instanceRef slot9_hoff_2)) - (portRef O (instanceRef slot9_rstq1_inv1_INV_0)) - ) - ) - (net (rename slot9_rstq2 "slot9/rstq2") - (joined - (portRef Q (instanceRef slot9_rstq2_renamed_7)) - (portRef D (instanceRef slot9_rstq1_renamed_173)) - ) - ) - (net (rename slot9_v_display "slot9/v_display") - (joined - (portRef Q (instanceRef slot9_v_display_renamed_0)) - (portRef I (instanceRef slot9_v_display_inv1_INV_0)) - ) - ) - (net (rename slot9_v_display_inv "slot9/v_display_inv") - (joined - (portRef R (instanceRef slot9_vga_r_0)) - (portRef R (instanceRef slot9_vga_r_1)) - (portRef R (instanceRef slot9_vga_r_2)) - (portRef R (instanceRef slot9_vga_b_0)) - (portRef R (instanceRef slot9_vga_b_1)) - (portRef R (instanceRef slot9_vga_g_0)) - (portRef R (instanceRef slot9_vga_g_1)) - (portRef R (instanceRef slot9_vga_g_2)) - (portRef O (instanceRef slot9_v_display_inv1_INV_0)) - ) - ) - (net (rename slot9_v_display_not0001 "slot9/v_display_not0001") - (joined - (portRef R (instanceRef slot9_v_display_renamed_0)) - (portRef O (instanceRef slot9_v_display_not000127)) - ) - ) - (net (rename slot9_v_display_not000115 "slot9/v_display_not000115") - (joined - (portRef O (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I3 (instanceRef slot9_v_display_not000122_renamed_1981)) - ) - ) - (net (rename slot9_v_display_not000122 "slot9/v_display_not000122") - (joined - (portRef I1 (instanceRef slot9_v_display_not000127)) - (portRef O (instanceRef slot9_v_display_not000122_renamed_1981)) - ) - ) - (net (rename slot9_v_display_not00018 "slot9/v_display_not00018") - (joined - (portRef O (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_v_display_not000127)) - ) - ) - (net (rename slot9_vcount_q_0_ "slot9/vcount_q<0>") - (joined - (portRef Q (instanceRef slot9_vcount_q_0)) - (portRef I1 (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - (portRef I3 (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I (instanceRef slot9_Mcount_vcount_q_lut_0__INV_0)) - ) - ) - (net (rename slot9_vcount_q_1_ "slot9/vcount_q<1>") - (joined - (portRef Q (instanceRef slot9_vcount_q_1)) - (portRef I3 (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I2 (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_1__rt_renamed_1718)) - ) - ) - (net (rename slot9_vcount_q_2_ "slot9/vcount_q<2>") - (joined - (portRef Q (instanceRef slot9_vcount_q_2)) - (portRef I0 (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - (portRef I0 (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_2__rt_renamed_1719)) - ) - ) - (net (rename slot9_vcount_q_3_ "slot9/vcount_q<3>") - (joined - (portRef Q (instanceRef slot9_vcount_q_3)) - (portRef I1 (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I1 (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_3__rt_renamed_1720)) - ) - ) - (net (rename slot9_vcount_q_4_ "slot9/vcount_q<4>") - (joined - (portRef Q (instanceRef slot9_vcount_q_4)) - (portRef I2 (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I2 (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I2 (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_4__rt_renamed_1721)) - ) - ) - (net (rename slot9_vcount_q_5_ "slot9/vcount_q<5>") - (joined - (portRef Q (instanceRef slot9_vcount_q_5)) - (portRef I2 (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I3 (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I3 (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_5__rt_renamed_1722)) - ) - ) - (net (rename slot9_vcount_q_6_ "slot9/vcount_q<6>") - (joined - (portRef Q (instanceRef slot9_vcount_q_6)) - (portRef I1 (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I0 (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I0 (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_6__rt_renamed_1723)) - ) - ) - (net (rename slot9_vcount_q_7_ "slot9/vcount_q<7>") - (joined - (portRef Q (instanceRef slot9_vcount_q_7)) - (portRef I3 (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I1 (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_7__rt_renamed_1724)) - (portRef I1 (instanceRef slot9_v_display_not000122_renamed_1981)) - ) - ) - (net (rename slot9_vcount_q_8_ "slot9/vcount_q<8>") - (joined - (portRef Q (instanceRef slot9_vcount_q_8)) - (portRef I0 (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_8__rt_renamed_1725)) - (portRef I2 (instanceRef slot9_v_display_not000122_renamed_1981)) - (portRef I3 (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vcount_q_9_ "slot9/vcount_q<9>") - (joined - (portRef Q (instanceRef slot9_vcount_q_9)) - (portRef I2 (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_xor_9__rt_renamed_1836)) - (portRef I0 (instanceRef slot9_v_display_not000122_renamed_1981)) - (portRef I1 (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vcount_q_and0000 "slot9/vcount_q_and0000") - (joined - (portRef R (instanceRef slot9_vcount_q_1)) - (portRef R (instanceRef slot9_vcount_q_2)) - (portRef R (instanceRef slot9_vcount_q_5)) - (portRef R (instanceRef slot9_vcount_q_3)) - (portRef R (instanceRef slot9_vcount_q_6)) - (portRef R (instanceRef slot9_vcount_q_9)) - (portRef O (instanceRef slot9_vcount_q_and00001)) - ) - ) - (net (rename slot9_vga_b_0_ "slot9/vga_b<0>") - (joined - (portRef Q (instanceRef slot9_vga_b_0)) - (portRef I (instanceRef pin18_obufi)) - ) - ) - (net (rename slot9_vga_b_1_ "slot9/vga_b<1>") - (joined - (portRef Q (instanceRef slot9_vga_b_1)) - (portRef I (instanceRef pin19_obufi)) - ) - ) - (net (rename slot9_vga_g_0_ "slot9/vga_g<0>") - (joined - (portRef Q (instanceRef slot9_vga_g_0)) - (portRef I (instanceRef pin21_obufi)) - ) - ) - (net (rename slot9_vga_g_1_ "slot9/vga_g<1>") - (joined - (portRef Q (instanceRef slot9_vga_g_1)) - (portRef I (instanceRef pin22_obufi)) - ) - ) - (net (rename slot9_vga_g_2_ "slot9/vga_g<2>") - (joined - (portRef Q (instanceRef slot9_vga_g_2)) - (portRef I (instanceRef pin23_obufi)) - ) - ) - (net (rename slot9_vga_hsync "slot9/vga_hsync") - (joined - (portRef Q (instanceRef slot9_vga_hsync_renamed_292)) - (portRef I (instanceRef pin35_obufi)) - (portRef I0 (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - ) - ) - (net (rename slot9_vga_hsync_and0000 "slot9/vga_hsync_and0000") - (joined - (portRef I2 (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - (portRef O (instanceRef slot9_h_sync_tick_cmp_eq00001)) - (portRef S (instanceRef slot9_vga_hsync_mux00002_f5)) - ) - ) - (net (rename slot9_vga_hsync_mux0000 "slot9/vga_hsync_mux0000") - (joined - (portRef D (instanceRef slot9_vga_hsync_renamed_292)) - (portRef O (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - ) - ) - (net (rename slot9_vga_hsync_mux00002 "slot9/vga_hsync_mux00002") - (joined - (portRef O (instanceRef slot9_vga_hsync_mux000021)) - (portRef I1 (instanceRef slot9_vga_hsync_mux00002_f5)) - ) - ) - (net (rename slot9_vga_r_0_ "slot9/vga_r<0>") - (joined - (portRef Q (instanceRef slot9_vga_r_0)) - (portRef I (instanceRef pin37_obufi)) - ) - ) - (net (rename slot9_vga_r_1_ "slot9/vga_r<1>") - (joined - (portRef Q (instanceRef slot9_vga_r_1)) - (portRef I (instanceRef pin38_obufi)) - ) - ) - (net (rename slot9_vga_r_2_ "slot9/vga_r<2>") - (joined - (portRef Q (instanceRef slot9_vga_r_2)) - (portRef I (instanceRef pin39_obufi)) - ) - ) - (net (rename slot9_vga_ram_address_0_ "slot9/vga_ram_address<0>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_0__)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_10_ "slot9/vga_ram_address<10>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_10__)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_11_ "slot9/vga_ram_address<11>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_11__)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_12_ "slot9/vga_ram_address<12>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_12__)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_vga_ram_address_13_ "slot9/vga_ram_address<13>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_13__)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_vga_ram_address_14_ "slot9/vga_ram_address<14>") - (joined - (portRef D (instanceRef slot9_ram_v_addrh_q_renamed_6)) - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_14__)) - (portRef I (instanceRef slot9_ram_v_ram_0_en1_INV_0)) - (portRef ENB (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef ENB (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_1_ "slot9/vga_ram_address<1>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_1__)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_2_ "slot9/vga_ram_address<2>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_2__)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_3_ "slot9/vga_ram_address<3>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_3__)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_4_ "slot9/vga_ram_address<4>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_4__)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_5_ "slot9/vga_ram_address<5>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_5__)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_6_ "slot9/vga_ram_address<6>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_6__)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_7_ "slot9/vga_ram_address<7>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_7__)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_8_ "slot9/vga_ram_address<8>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_8__)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_9_ "slot9/vga_ram_address<9>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_9__)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_data_0_ "slot9/vga_ram_data<0>") - (joined - (portRef D (instanceRef slot9_vga_b_0)) - (portRef O (instanceRef slot9_ram_v_data_0_1)) - ) - ) - (net (rename slot9_vga_ram_data_1_ "slot9/vga_ram_data<1>") - (joined - (portRef D (instanceRef slot9_vga_b_1)) - (portRef O (instanceRef slot9_ram_v_data_1_1)) - ) - ) - (net (rename slot9_vga_ram_data_2_ "slot9/vga_ram_data<2>") - (joined - (portRef D (instanceRef slot9_vga_g_0)) - (portRef O (instanceRef slot9_ram_v_data_2_1)) - ) - ) - (net (rename slot9_vga_ram_data_3_ "slot9/vga_ram_data<3>") - (joined - (portRef D (instanceRef slot9_vga_g_1)) - (portRef O (instanceRef slot9_ram_v_data_3_1)) - ) - ) - (net (rename slot9_vga_ram_data_4_ "slot9/vga_ram_data<4>") - (joined - (portRef D (instanceRef slot9_vga_g_2)) - (portRef O (instanceRef slot9_ram_v_data_4_1)) - ) - ) - (net (rename slot9_vga_ram_data_5_ "slot9/vga_ram_data<5>") - (joined - (portRef D (instanceRef slot9_vga_r_0)) - (portRef O (instanceRef slot9_ram_v_data_5_1)) - ) - ) - (net (rename slot9_vga_ram_data_6_ "slot9/vga_ram_data<6>") - (joined - (portRef D (instanceRef slot9_vga_r_1)) - (portRef O (instanceRef slot9_ram_v_data_6_1)) - ) - ) - (net (rename slot9_vga_ram_data_7_ "slot9/vga_ram_data<7>") - (joined - (portRef D (instanceRef slot9_vga_r_2)) - (portRef O (instanceRef slot9_ram_v_data_7_1)) - ) - ) - (net (rename slot9_vga_v_offset_10_ "slot9/vga_v_offset<10>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_10)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_10__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_10__rt_renamed_1748)) - ) - ) - (net (rename slot9_vga_v_offset_11_ "slot9/vga_v_offset<11>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_11)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_11__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_11__rt_renamed_1749)) - ) - ) - (net (rename slot9_vga_v_offset_12_ "slot9/vga_v_offset<12>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_12)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_12__rt_renamed_1690)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_12__rt_renamed_1750)) - ) - ) - (net (rename slot9_vga_v_offset_13_ "slot9/vga_v_offset<13>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_13)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_13__rt_renamed_1691)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_13__rt_renamed_1751)) - ) - ) - (net (rename slot9_vga_v_offset_14_ "slot9/vga_v_offset<14>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_14)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_xor_14__rt_renamed_1831)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_xor_14__rt_renamed_1839)) - ) - ) - (net (rename slot9_vga_v_offset_5_ "slot9/vga_v_offset<5>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_5)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_5__)) - (portRef I (instanceRef slot9_Maccum_vga_v_offset_lut_5__INV_0)) - ) - ) - (net (rename slot9_vga_v_offset_6_ "slot9/vga_v_offset<6>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_6)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_6__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_6__rt_renamed_1745)) - ) - ) - (net (rename slot9_vga_v_offset_7_ "slot9/vga_v_offset<7>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_7)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_7__)) - (portRef I (instanceRef slot9_Maccum_vga_v_offset_lut_7__INV_0)) - ) - ) - (net (rename slot9_vga_v_offset_8_ "slot9/vga_v_offset<8>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_8)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_8__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_8__rt_renamed_1746)) - ) - ) - (net (rename slot9_vga_v_offset_9_ "slot9/vga_v_offset<9>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_9)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_9__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_9__rt_renamed_1747)) - ) - ) - (net (rename slot9_vga_v_offset_cmp_eq0000 "slot9/vga_v_offset_cmp_eq0000") - (joined - (portRef I3 (instanceRef slot9_voff_or0000_renamed_1120)) - (portRef I0 (instanceRef slot9_vcount_q_and00001)) - (portRef I1 (instanceRef slot9_Mcount_vcount_q_val1)) - (portRef O (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vga_v_offset_cmp_eq000010 "slot9/vga_v_offset_cmp_eq000010") - (joined - (portRef O (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I2 (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vga_v_offset_cmp_eq000024 "slot9/vga_v_offset_cmp_eq000024") - (joined - (portRef O (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I0 (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vga_v_offset_not0001 "slot9/vga_v_offset_not0001") - (joined - (portRef CE (instanceRef slot9_vga_v_offset_5)) - (portRef CE (instanceRef slot9_vga_v_offset_6)) - (portRef CE (instanceRef slot9_vga_v_offset_7)) - (portRef CE (instanceRef slot9_vga_v_offset_8)) - (portRef CE (instanceRef slot9_vga_v_offset_9)) - (portRef CE (instanceRef slot9_vga_v_offset_10)) - (portRef CE (instanceRef slot9_vga_v_offset_11)) - (portRef CE (instanceRef slot9_vga_v_offset_12)) - (portRef CE (instanceRef slot9_vga_v_offset_13)) - (portRef CE (instanceRef slot9_vga_v_offset_14)) - (portRef O (instanceRef slot9_vga_v_offset_not00011)) - ) - ) - (net (rename slot9_vga_vsync "slot9/vga_vsync") - (joined - (portRef Q (instanceRef slot9_vga_vsync_renamed_293)) - (portRef I (instanceRef pin34_obufi)) - (portRef I0 (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_vga_vsync_mux0000_renamed_1117)) - ) - ) - (net (rename slot9_vga_vsync_and0000 "slot9/vga_vsync_and0000") - (joined - (portRef I0 (instanceRef slot9_vga_vsync_mux0000_renamed_1117)) - (portRef O (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - ) - ) - (net (rename slot9_vga_vsync_mux0000 "slot9/vga_vsync_mux0000") - (joined - (portRef D (instanceRef slot9_vga_vsync_renamed_293)) - (portRef O (instanceRef slot9_vga_vsync_mux0000_renamed_1117)) - ) - ) - (net (rename slot9_voff_0_ "slot9/voff<0>") - (joined - (portRef Q (instanceRef slot9_voff_0)) - (portRef I1 (instanceRef slot9_Mcount_voff_xor_1_11)) - (portRef I0 (instanceRef slot9_Mcount_voff_xor_2_11)) - (portRef I0 (instanceRef slot9_vga_v_offset_not00011)) - (portRef I1 (instanceRef slot9_voff_or0000_SW0)) - (portRef I (instanceRef slot9_Mcount_voff_xor_0_11_INV_0)) - ) - ) - (net (rename slot9_voff_1_ "slot9/voff<1>") - (joined - (portRef Q (instanceRef slot9_voff_1)) - (portRef I0 (instanceRef slot9_Mcount_voff_xor_1_11)) - (portRef I2 (instanceRef slot9_Mcount_voff_xor_2_11)) - (portRef I3 (instanceRef slot9_vga_v_offset_not00011)) - (portRef I0 (instanceRef slot9_voff_or0000_SW0)) - ) - ) - (net (rename slot9_voff_2_ "slot9/voff<2>") - (joined - (portRef Q (instanceRef slot9_voff_2)) - (portRef I1 (instanceRef slot9_Mcount_voff_xor_2_11)) - (portRef I2 (instanceRef slot9_vga_v_offset_not00011)) - (portRef I2 (instanceRef slot9_voff_or0000_SW0)) - ) - ) - (net (rename slot9_voff_or0000 "slot9/voff_or0000") - (joined - (portRef R (instanceRef slot9_voff_0)) - (portRef R (instanceRef slot9_voff_1)) - (portRef R (instanceRef slot9_voff_2)) - (portRef O (instanceRef slot9_voff_or0000_renamed_1120)) - ) - ) - (net (rename slot_ack_11__ "slot_ack<11>") - (joined - (portRef I2 (instanceRef slot11_tx_core_tbuff_r_not00011)) - (portRef I2 (instanceRef slot11_fifo_instance_rdaddr_and00001)) - (portRef I3 (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef O (instanceRef slot11_fifo_rd_and000011)) - (portRef I2 (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - ) - ) - (net (rename slot_ack_1__ "slot_ack<1>") - (joined - (portRef I2 (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - (portRef I2 (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - (portRef I2 (instanceRef uart_inst_divider_rx_q_not00011)) - (portRef O (instanceRef uart_inst_fifo_rd_and000011)) - (portRef I3 (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - ) - ) - (net (rename slot_ack_7__ "slot_ack<7>") - (joined - (portRef I2 (instanceRef crc16_inst_crcA_q_not00011)) - (portRef O (instanceRef crc16_inst_poly_q_not000111)) - (portRef I3 (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - ) - ) - (net (rename slot_address_0__16__inv "slot_address<0><16>_inv") - (joined - (portRef O (instanceRef slot_address_0__16__inv_INV_0)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot_address_0__26__5_f6 "slot_address<0><26>_5_f6") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_renamed_398)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_10_70)) - ) - ) - (net (rename slot_address_0__26__5_f61 "slot_address<0><26>_5_f61") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_11_70)) - ) - ) - (net (rename slot_address_0__26__5_f610 "slot_address<0><26>_5_f610") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_9)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_123_F)) - ) - ) - (net (rename slot_address_0__26__5_f611 "slot_address<0><26>_5_f611") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_10)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_20__)) - ) - ) - (net (rename slot_address_0__26__5_f612 "slot_address<0><26>_5_f612") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_11)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_21__)) - ) - ) - (net (rename slot_address_0__26__5_f613 "slot_address<0><26>_5_f613") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_12)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_22__)) - ) - ) - (net (rename slot_address_0__26__5_f614 "slot_address<0><26>_5_f614") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_13)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_23__)) - ) - ) - (net (rename slot_address_0__26__5_f615 "slot_address<0><26>_5_f615") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_14)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_24__)) - ) - ) - (net (rename slot_address_0__26__5_f616 "slot_address<0><26>_5_f616") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_15)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_25__)) - ) - ) - (net (rename slot_address_0__26__5_f617 "slot_address<0><26>_5_f617") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_16)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_26__)) - ) - ) - (net (rename slot_address_0__26__5_f618 "slot_address<0><26>_5_f618") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_17)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_27__)) - ) - ) - (net (rename slot_address_0__26__5_f619 "slot_address<0><26>_5_f619") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_18)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_28__)) - ) - ) - (net (rename slot_address_0__26__5_f62 "slot_address<0><26>_5_f62") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_1)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_12_70)) - ) - ) - (net (rename slot_address_0__26__5_f620 "slot_address<0><26>_5_f620") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_19)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_29__)) - ) - ) - (net (rename slot_address_0__26__5_f621 "slot_address<0><26>_5_f621") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_20)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_123_F)) - ) - ) - (net (rename slot_address_0__26__5_f622 "slot_address<0><26>_5_f622") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_21)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_30__)) - ) - ) - (net (rename slot_address_0__26__5_f623 "slot_address<0><26>_5_f623") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_22)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_31__)) - ) - ) - (net (rename slot_address_0__26__5_f624 "slot_address<0><26>_5_f624") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_23)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f625 "slot_address<0><26>_5_f625") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_24)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f626 "slot_address<0><26>_5_f626") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_25)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f627 "slot_address<0><26>_5_f627") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_26)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f628 "slot_address<0><26>_5_f628") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_27)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f629 "slot_address<0><26>_5_f629") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_28)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_8_70)) - ) - ) - (net (rename slot_address_0__26__5_f63 "slot_address<0><26>_5_f63") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_2)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_13_70)) - ) - ) - (net (rename slot_address_0__26__5_f630 "slot_address<0><26>_5_f630") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_29)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_70)) - ) - ) - (net (rename slot_address_0__26__5_f64 "slot_address<0><26>_5_f64") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_3)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_14_70)) - ) - ) - (net (rename slot_address_0__26__5_f65 "slot_address<0><26>_5_f65") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_4)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_15_70)) - ) - ) - (net (rename slot_address_0__26__5_f66 "slot_address<0><26>_5_f66") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_16_86)) - ) - ) - (net (rename slot_address_0__26__5_f67 "slot_address<0><26>_5_f67") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_6)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_86)) - ) - ) - (net (rename slot_address_0__26__5_f68 "slot_address<0><26>_5_f68") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_7)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_18__)) - ) - ) - (net (rename slot_address_0__26__5_f69 "slot_address<0><26>_5_f69") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_8)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_19__)) - ) - ) - (net (rename slot_address_0__26__6_f5 "slot_address<0><26>_6_f5") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_renamed_401)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_renamed_398)) - ) - ) - (net (rename slot_address_0__26__6_f51 "slot_address<0><26>_6_f51") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_0)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_0)) - ) - ) - (net (rename slot_address_0__26__6_f510 "slot_address<0><26>_6_f510") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_9)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_9)) - ) - ) - (net (rename slot_address_0__26__6_f511 "slot_address<0><26>_6_f511") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_10)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_10)) - ) - ) - (net (rename slot_address_0__26__6_f512 "slot_address<0><26>_6_f512") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_11)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_11)) - ) - ) - (net (rename slot_address_0__26__6_f513 "slot_address<0><26>_6_f513") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_12)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_12)) - ) - ) - (net (rename slot_address_0__26__6_f514 "slot_address<0><26>_6_f514") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_13)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_13)) - ) - ) - (net (rename slot_address_0__26__6_f515 "slot_address<0><26>_6_f515") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_14)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_14)) - ) - ) - (net (rename slot_address_0__26__6_f516 "slot_address<0><26>_6_f516") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_15)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_15)) - ) - ) - (net (rename slot_address_0__26__6_f517 "slot_address<0><26>_6_f517") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_16)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_16)) - ) - ) - (net (rename slot_address_0__26__6_f518 "slot_address<0><26>_6_f518") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_17)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_17)) - ) - ) - (net (rename slot_address_0__26__6_f519 "slot_address<0><26>_6_f519") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_18)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_18)) - ) - ) - (net (rename slot_address_0__26__6_f52 "slot_address<0><26>_6_f52") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_1)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_1)) - ) - ) - (net (rename slot_address_0__26__6_f520 "slot_address<0><26>_6_f520") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_19)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_19)) - ) - ) - (net (rename slot_address_0__26__6_f521 "slot_address<0><26>_6_f521") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_20)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_20)) - ) - ) - (net (rename slot_address_0__26__6_f522 "slot_address<0><26>_6_f522") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_21)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_21)) - ) - ) - (net (rename slot_address_0__26__6_f523 "slot_address<0><26>_6_f523") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_22)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_22)) - ) - ) - (net (rename slot_address_0__26__6_f524 "slot_address<0><26>_6_f524") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_23)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_23)) - ) - ) - (net (rename slot_address_0__26__6_f525 "slot_address<0><26>_6_f525") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_24)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_24)) - ) - ) - (net (rename slot_address_0__26__6_f526 "slot_address<0><26>_6_f526") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_25)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_25)) - ) - ) - (net (rename slot_address_0__26__6_f527 "slot_address<0><26>_6_f527") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_26)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_26)) - ) - ) - (net (rename slot_address_0__26__6_f528 "slot_address<0><26>_6_f528") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_27)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_27)) - ) - ) - (net (rename slot_address_0__26__6_f529 "slot_address<0><26>_6_f529") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_28)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_28)) - ) - ) - (net (rename slot_address_0__26__6_f53 "slot_address<0><26>_6_f53") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_2)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_2)) - ) - ) - (net (rename slot_address_0__26__6_f530 "slot_address<0><26>_6_f530") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_29)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_29)) - ) - ) - (net (rename slot_address_0__26__6_f54 "slot_address<0><26>_6_f54") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_3)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_3)) - ) - ) - (net (rename slot_address_0__26__6_f55 "slot_address<0><26>_6_f55") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_4)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_4)) - ) - ) - (net (rename slot_address_0__26__6_f56 "slot_address<0><26>_6_f56") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_5)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_5)) - ) - ) - (net (rename slot_address_0__26__6_f57 "slot_address<0><26>_6_f57") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_6)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_6)) - ) - ) - (net (rename slot_address_0__26__6_f58 "slot_address<0><26>_6_f58") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_7)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_7)) - ) - ) - (net (rename slot_address_0__26__6_f59 "slot_address<0><26>_6_f59") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_8)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_8)) - ) - ) - (net (rename slot_address_0__26__6_f5_0_rt "slot_address<0><26>_6_f5_0_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_0_rt_renamed_1875)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_0)) - ) - ) - (net (rename slot_address_0__26__6_f5_10_rt "slot_address<0><26>_6_f5_10_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_10_rt_renamed_1865)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_10)) - ) - ) - (net (rename slot_address_0__26__6_f5_11_rt "slot_address<0><26>_6_f5_11_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_11_rt_renamed_1864)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_11)) - ) - ) - (net (rename slot_address_0__26__6_f5_12_rt "slot_address<0><26>_6_f5_12_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_12_rt_renamed_1863)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_12)) - ) - ) - (net (rename slot_address_0__26__6_f5_13_rt "slot_address<0><26>_6_f5_13_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_13_rt_renamed_1862)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_13)) - ) - ) - (net (rename slot_address_0__26__6_f5_14_rt "slot_address<0><26>_6_f5_14_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_14_rt_renamed_1861)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_14)) - ) - ) - (net (rename slot_address_0__26__6_f5_15_rt "slot_address<0><26>_6_f5_15_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_15_rt_renamed_1860)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_15)) - ) - ) - (net (rename slot_address_0__26__6_f5_16_rt "slot_address<0><26>_6_f5_16_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_16_rt_renamed_1859)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_16)) - ) - ) - (net (rename slot_address_0__26__6_f5_17_rt "slot_address<0><26>_6_f5_17_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_17_rt_renamed_1858)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_17)) - ) - ) - (net (rename slot_address_0__26__6_f5_18_rt "slot_address<0><26>_6_f5_18_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_18_rt_renamed_1857)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_18)) - ) - ) - (net (rename slot_address_0__26__6_f5_19_rt "slot_address<0><26>_6_f5_19_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_19_rt_renamed_1856)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_19)) - ) - ) - (net (rename slot_address_0__26__6_f5_1_rt "slot_address<0><26>_6_f5_1_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_1_rt_renamed_1874)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_1)) - ) - ) - (net (rename slot_address_0__26__6_f5_20_rt "slot_address<0><26>_6_f5_20_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_20_rt_renamed_1855)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_20)) - ) - ) - (net (rename slot_address_0__26__6_f5_21_rt "slot_address<0><26>_6_f5_21_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_21_rt_renamed_1854)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_21)) - ) - ) - (net (rename slot_address_0__26__6_f5_22_rt "slot_address<0><26>_6_f5_22_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_22_rt_renamed_1853)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_22)) - ) - ) - (net (rename slot_address_0__26__6_f5_23_rt "slot_address<0><26>_6_f5_23_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_23_rt_renamed_1852)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_23)) - ) - ) - (net (rename slot_address_0__26__6_f5_24_rt "slot_address<0><26>_6_f5_24_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_24_rt_renamed_1851)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_24)) - ) - ) - (net (rename slot_address_0__26__6_f5_25_rt "slot_address<0><26>_6_f5_25_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_25_rt_renamed_1850)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_25)) - ) - ) - (net (rename slot_address_0__26__6_f5_26_rt "slot_address<0><26>_6_f5_26_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_26_rt_renamed_1849)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_26)) - ) - ) - (net (rename slot_address_0__26__6_f5_27_rt "slot_address<0><26>_6_f5_27_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_27_rt_renamed_1848)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_27)) - ) - ) - (net (rename slot_address_0__26__6_f5_28_rt "slot_address<0><26>_6_f5_28_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_28_rt_renamed_1847)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_28)) - ) - ) - (net (rename slot_address_0__26__6_f5_29_rt "slot_address<0><26>_6_f5_29_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_29_rt_renamed_1846)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_29)) - ) - ) - (net (rename slot_address_0__26__6_f5_2_rt "slot_address<0><26>_6_f5_2_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_2_rt_renamed_1873)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_2)) - ) - ) - (net (rename slot_address_0__26__6_f5_3_rt "slot_address<0><26>_6_f5_3_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_3_rt_renamed_1872)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_3)) - ) - ) - (net (rename slot_address_0__26__6_f5_4_rt "slot_address<0><26>_6_f5_4_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_4_rt_renamed_1871)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_4)) - ) - ) - (net (rename slot_address_0__26__6_f5_5_rt "slot_address<0><26>_6_f5_5_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_5_rt_renamed_1870)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_5)) - ) - ) - (net (rename slot_address_0__26__6_f5_6_rt "slot_address<0><26>_6_f5_6_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_6_rt_renamed_1869)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_6)) - ) - ) - (net (rename slot_address_0__26__6_f5_7_rt "slot_address<0><26>_6_f5_7_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_7_rt_renamed_1868)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_7)) - ) - ) - (net (rename slot_address_0__26__6_f5_8_rt "slot_address<0><26>_6_f5_8_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_8_rt_renamed_1867)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_8)) - ) - ) - (net (rename slot_address_0__26__6_f5_9_rt "slot_address<0><26>_6_f5_9_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_9_rt_renamed_1866)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_9)) - ) - ) - (net (rename slot_address_0__26__6_f5_rt "slot_address<0><26>_6_f5_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_rt_renamed_1876)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_renamed_401)) - ) - ) - (net (rename slot_address_0__26__7 "slot_address<0><26>_7") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_renamed_401)) - (portRef O (instanceRef slot_address_0__26__7_renamed_1991)) - ) - ) - (net (rename slot_address_0__26__71 "slot_address<0><26>_71") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_0)) - (portRef O (instanceRef slot_address_0__26__71_renamed_1990)) - ) - ) - (net (rename slot_address_0__26__710 "slot_address<0><26>_710") - (joined - (portRef O (instanceRef slot_address_0__26__710_renamed_388)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_9)) - ) - ) - (net (rename slot_address_0__26__711 "slot_address<0><26>_711") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_10)) - (portRef O (instanceRef slot_address_0__26__711_renamed_1950)) - ) - ) - (net (rename slot_address_0__26__712 "slot_address<0><26>_712") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_11)) - (portRef O (instanceRef slot_address_0__26__712_renamed_1948)) - ) - ) - (net (rename slot_address_0__26__713 "slot_address<0><26>_713") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_12)) - (portRef O (instanceRef slot_address_0__26__713_renamed_1946)) - ) - ) - (net (rename slot_address_0__26__714 "slot_address<0><26>_714") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_13)) - (portRef O (instanceRef slot_address_0__26__714_renamed_1944)) - ) - ) - (net (rename slot_address_0__26__715 "slot_address<0><26>_715") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_14)) - (portRef O (instanceRef slot_address_0__26__715_renamed_1942)) - ) - ) - (net (rename slot_address_0__26__716 "slot_address<0><26>_716") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_15)) - (portRef O (instanceRef slot_address_0__26__716_renamed_1940)) - ) - ) - (net (rename slot_address_0__26__717 "slot_address<0><26>_717") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_16)) - (portRef O (instanceRef slot_address_0__26__717_renamed_1938)) - ) - ) - (net (rename slot_address_0__26__718 "slot_address<0><26>_718") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_17)) - (portRef O (instanceRef slot_address_0__26__718_renamed_1936)) - ) - ) - (net (rename slot_address_0__26__719 "slot_address<0><26>_719") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_18)) - (portRef O (instanceRef slot_address_0__26__719_renamed_1934)) - ) - ) - (net (rename slot_address_0__26__72 "slot_address<0><26>_72") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_1)) - (portRef O (instanceRef slot_address_0__26__72_renamed_1989)) - ) - ) - (net (rename slot_address_0__26__720 "slot_address<0><26>_720") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_19)) - (portRef O (instanceRef slot_address_0__26__720_renamed_1932)) - ) - ) - (net (rename slot_address_0__26__721 "slot_address<0><26>_721") - (joined - (portRef O (instanceRef slot_address_0__26__721_renamed_375)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_20)) - ) - ) - (net (rename slot_address_0__26__722 "slot_address<0><26>_722") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_21)) - (portRef O (instanceRef slot_address_0__26__722_renamed_1930)) - ) - ) - (net (rename slot_address_0__26__723 "slot_address<0><26>_723") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_22)) - (portRef O (instanceRef slot_address_0__26__723_renamed_1928)) - ) - ) - (net (rename slot_address_0__26__724 "slot_address<0><26>_724") - (joined - (portRef O (instanceRef slot_address_0__26__724_renamed_370)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_23)) - ) - ) - (net (rename slot_address_0__26__725 "slot_address<0><26>_725") - (joined - (portRef O (instanceRef slot_address_0__26__725_renamed_368)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_24)) - ) - ) - (net (rename slot_address_0__26__726 "slot_address<0><26>_726") - (joined - (portRef O (instanceRef slot_address_0__26__726_renamed_366)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_25)) - ) - ) - (net (rename slot_address_0__26__727 "slot_address<0><26>_727") - (joined - (portRef O (instanceRef slot_address_0__26__727_renamed_364)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_26)) - ) - ) - (net (rename slot_address_0__26__728 "slot_address<0><26>_728") - (joined - (portRef O (instanceRef slot_address_0__26__728_renamed_362)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_27)) - ) - ) - (net (rename slot_address_0__26__729 "slot_address<0><26>_729") - (joined - (portRef O (instanceRef slot_address_0__26__729_renamed_360)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_28)) - ) - ) - (net (rename slot_address_0__26__73 "slot_address<0><26>_73") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_2)) - (portRef O (instanceRef slot_address_0__26__73_renamed_1988)) - ) - ) - (net (rename slot_address_0__26__730 "slot_address<0><26>_730") - (joined - (portRef O (instanceRef slot_address_0__26__730_renamed_358)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_29)) - ) - ) - (net (rename slot_address_0__26__74 "slot_address<0><26>_74") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_3)) - (portRef O (instanceRef slot_address_0__26__74_renamed_1987)) - ) - ) - (net (rename slot_address_0__26__75 "slot_address<0><26>_75") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_4)) - (portRef O (instanceRef slot_address_0__26__75_renamed_1986)) - ) - ) - (net (rename slot_address_0__26__76 "slot_address<0><26>_76") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_5)) - (portRef O (instanceRef slot_address_0__26__76_renamed_1958)) - ) - ) - (net (rename slot_address_0__26__77 "slot_address<0><26>_77") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_6)) - (portRef O (instanceRef slot_address_0__26__77_renamed_1956)) - ) - ) - (net (rename slot_address_0__26__78 "slot_address<0><26>_78") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_7)) - (portRef O (instanceRef slot_address_0__26__78_renamed_1954)) - ) - ) - (net (rename slot_address_0__26__79 "slot_address<0><26>_79") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_8)) - (portRef O (instanceRef slot_address_0__26__79_renamed_1952)) - ) - ) - (net (rename slot_address_0__26__7_f5 "slot_address<0><26>_7_f5") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_renamed_399)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_renamed_398)) - ) - ) - (net (rename slot_address_0__26__7_f51 "slot_address<0><26>_7_f51") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_0)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_0)) - ) - ) - (net (rename slot_address_0__26__7_f510 "slot_address<0><26>_7_f510") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_9)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_9)) - ) - ) - (net (rename slot_address_0__26__7_f511 "slot_address<0><26>_7_f511") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_10)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_10)) - ) - ) - (net (rename slot_address_0__26__7_f512 "slot_address<0><26>_7_f512") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_11)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_11)) - ) - ) - (net (rename slot_address_0__26__7_f513 "slot_address<0><26>_7_f513") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_12)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_12)) - ) - ) - (net (rename slot_address_0__26__7_f514 "slot_address<0><26>_7_f514") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_13)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_13)) - ) - ) - (net (rename slot_address_0__26__7_f515 "slot_address<0><26>_7_f515") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_14)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_14)) - ) - ) - (net (rename slot_address_0__26__7_f516 "slot_address<0><26>_7_f516") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_15)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_15)) - ) - ) - (net (rename slot_address_0__26__7_f517 "slot_address<0><26>_7_f517") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_16)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_16)) - ) - ) - (net (rename slot_address_0__26__7_f518 "slot_address<0><26>_7_f518") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_17)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_17)) - ) - ) - (net (rename slot_address_0__26__7_f519 "slot_address<0><26>_7_f519") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_18)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_18)) - ) - ) - (net (rename slot_address_0__26__7_f52 "slot_address<0><26>_7_f52") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_1)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_1)) - ) - ) - (net (rename slot_address_0__26__7_f520 "slot_address<0><26>_7_f520") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_19)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_19)) - ) - ) - (net (rename slot_address_0__26__7_f521 "slot_address<0><26>_7_f521") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_20)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_20)) - ) - ) - (net (rename slot_address_0__26__7_f522 "slot_address<0><26>_7_f522") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_21)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_21)) - ) - ) - (net (rename slot_address_0__26__7_f523 "slot_address<0><26>_7_f523") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_22)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_22)) - ) - ) - (net (rename slot_address_0__26__7_f524 "slot_address<0><26>_7_f524") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_23)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_23)) - ) - ) - (net (rename slot_address_0__26__7_f525 "slot_address<0><26>_7_f525") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_24)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_24)) - ) - ) - (net (rename slot_address_0__26__7_f526 "slot_address<0><26>_7_f526") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_25)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_25)) - ) - ) - (net (rename slot_address_0__26__7_f527 "slot_address<0><26>_7_f527") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_26)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_26)) - ) - ) - (net (rename slot_address_0__26__7_f528 "slot_address<0><26>_7_f528") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_27)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_27)) - ) - ) - (net (rename slot_address_0__26__7_f529 "slot_address<0><26>_7_f529") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_28)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_28)) - ) - ) - (net (rename slot_address_0__26__7_f53 "slot_address<0><26>_7_f53") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_2)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_2)) - ) - ) - (net (rename slot_address_0__26__7_f530 "slot_address<0><26>_7_f530") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_29)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_29)) - ) - ) - (net (rename slot_address_0__26__7_f54 "slot_address<0><26>_7_f54") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_3)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_3)) - ) - ) - (net (rename slot_address_0__26__7_f55 "slot_address<0><26>_7_f55") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_4)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_4)) - ) - ) - (net (rename slot_address_0__26__7_f56 "slot_address<0><26>_7_f56") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_5)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_5)) - ) - ) - (net (rename slot_address_0__26__7_f57 "slot_address<0><26>_7_f57") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_6)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_6)) - ) - ) - (net (rename slot_address_0__26__7_f58 "slot_address<0><26>_7_f58") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_7)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_7)) - ) - ) - (net (rename slot_address_0__26__7_f59 "slot_address<0><26>_7_f59") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_8)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_8)) - ) - ) - (net (rename slot_address_0__26__81 "slot_address<0><26>_81") - (joined - (portRef O (instanceRef slot_address_0__26__81_renamed_400)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_renamed_399)) - ) - ) - (net (rename slot_address_0__26__811 "slot_address<0><26>_811") - (joined - (portRef O (instanceRef slot_address_0__26__811_renamed_393)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_4)) - ) - ) - (net (rename slot_address_0__26__813 "slot_address<0><26>_813") - (joined - (portRef O (instanceRef slot_address_0__26__813_renamed_392)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_5)) - ) - ) - (net (rename slot_address_0__26__815 "slot_address<0><26>_815") - (joined - (portRef O (instanceRef slot_address_0__26__815_renamed_391)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_6)) - ) - ) - (net (rename slot_address_0__26__817 "slot_address<0><26>_817") - (joined - (portRef O (instanceRef slot_address_0__26__817_renamed_390)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_7)) - ) - ) - (net (rename slot_address_0__26__819 "slot_address<0><26>_819") - (joined - (portRef O (instanceRef slot_address_0__26__819_renamed_389)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_8)) - ) - ) - (net (rename slot_address_0__26__821 "slot_address<0><26>_821") - (joined - (portRef O (instanceRef slot_address_0__26__821_renamed_387)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_9)) - ) - ) - (net (rename slot_address_0__26__823 "slot_address<0><26>_823") - (joined - (portRef O (instanceRef slot_address_0__26__823_renamed_385)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_10)) - ) - ) - (net (rename slot_address_0__26__825 "slot_address<0><26>_825") - (joined - (portRef O (instanceRef slot_address_0__26__825_renamed_384)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_11)) - ) - ) - (net (rename slot_address_0__26__827 "slot_address<0><26>_827") - (joined - (portRef O (instanceRef slot_address_0__26__827_renamed_383)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_12)) - ) - ) - (net (rename slot_address_0__26__829 "slot_address<0><26>_829") - (joined - (portRef O (instanceRef slot_address_0__26__829_renamed_382)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_13)) - ) - ) - (net (rename slot_address_0__26__83 "slot_address<0><26>_83") - (joined - (portRef O (instanceRef slot_address_0__26__83_renamed_397)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_0)) - ) - ) - (net (rename slot_address_0__26__831 "slot_address<0><26>_831") - (joined - (portRef O (instanceRef slot_address_0__26__831_renamed_381)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_14)) - ) - ) - (net (rename slot_address_0__26__833 "slot_address<0><26>_833") - (joined - (portRef O (instanceRef slot_address_0__26__833_renamed_380)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_15)) - ) - ) - (net (rename slot_address_0__26__835 "slot_address<0><26>_835") - (joined - (portRef O (instanceRef slot_address_0__26__835_renamed_379)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_16)) - ) - ) - (net (rename slot_address_0__26__837 "slot_address<0><26>_837") - (joined - (portRef O (instanceRef slot_address_0__26__837_renamed_378)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_17)) - ) - ) - (net (rename slot_address_0__26__839 "slot_address<0><26>_839") - (joined - (portRef O (instanceRef slot_address_0__26__839_renamed_377)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_18)) - ) - ) - (net (rename slot_address_0__26__841 "slot_address<0><26>_841") - (joined - (portRef O (instanceRef slot_address_0__26__841_renamed_376)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_19)) - ) - ) - (net (rename slot_address_0__26__843 "slot_address<0><26>_843") - (joined - (portRef O (instanceRef slot_address_0__26__843_renamed_374)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_20)) - ) - ) - (net (rename slot_address_0__26__845 "slot_address<0><26>_845") - (joined - (portRef O (instanceRef slot_address_0__26__845_renamed_372)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_21)) - ) - ) - (net (rename slot_address_0__26__847 "slot_address<0><26>_847") - (joined - (portRef O (instanceRef slot_address_0__26__847_renamed_371)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_22)) - ) - ) - (net (rename slot_address_0__26__849 "slot_address<0><26>_849") - (joined - (portRef O (instanceRef slot_address_0__26__849_renamed_369)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_23)) - ) - ) - (net (rename slot_address_0__26__85 "slot_address<0><26>_85") - (joined - (portRef O (instanceRef slot_address_0__26__85_renamed_396)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_1)) - ) - ) - (net (rename slot_address_0__26__851 "slot_address<0><26>_851") - (joined - (portRef O (instanceRef slot_address_0__26__851_renamed_367)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_24)) - ) - ) - (net (rename slot_address_0__26__853 "slot_address<0><26>_853") - (joined - (portRef O (instanceRef slot_address_0__26__853_renamed_365)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_25)) - ) - ) - (net (rename slot_address_0__26__855 "slot_address<0><26>_855") - (joined - (portRef O (instanceRef slot_address_0__26__855_renamed_363)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_26)) - ) - ) - (net (rename slot_address_0__26__857 "slot_address<0><26>_857") - (joined - (portRef O (instanceRef slot_address_0__26__857_renamed_361)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_27)) - ) - ) - (net (rename slot_address_0__26__859 "slot_address<0><26>_859") - (joined - (portRef O (instanceRef slot_address_0__26__859_renamed_359)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_28)) - ) - ) - (net (rename slot_address_0__26__861 "slot_address<0><26>_861") - (joined - (portRef O (instanceRef slot_address_0__26__861_renamed_357)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_29)) - ) - ) - (net (rename slot_address_0__26__87 "slot_address<0><26>_87") - (joined - (portRef O (instanceRef slot_address_0__26__87_renamed_395)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_2)) - ) - ) - (net (rename slot_address_0__26__89 "slot_address<0><26>_89") - (joined - (portRef O (instanceRef slot_address_0__26__89_renamed_394)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_3)) - ) - ) - (net (rename slot_address_0__26__9 "slot_address<0><26>_9") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_renamed_399)) - (portRef O (instanceRef slot_address_0__26__9_renamed_1964)) - ) - ) - (net (rename slot_address_0__26__91 "slot_address<0><26>_91") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_0)) - (portRef O (instanceRef slot_address_0__26__91_renamed_1963)) - ) - ) - (net (rename slot_address_0__26__910 "slot_address<0><26>_910") - (joined - (portRef O (instanceRef slot_address_0__26__910_renamed_386)) - (portRef I0 (instanceRef slot_address_0__26__7_f5_9)) - ) - ) - (net (rename slot_address_0__26__911 "slot_address<0><26>_911") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_10)) - (portRef O (instanceRef slot_address_0__26__911_renamed_1949)) - ) - ) - (net (rename slot_address_0__26__912 "slot_address<0><26>_912") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_11)) - (portRef O (instanceRef slot_address_0__26__912_renamed_1947)) - ) - ) - (net (rename slot_address_0__26__913 "slot_address<0><26>_913") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_12)) - (portRef O (instanceRef slot_address_0__26__913_renamed_1945)) - ) - ) - (net (rename slot_address_0__26__914 "slot_address<0><26>_914") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_13)) - (portRef O (instanceRef slot_address_0__26__914_renamed_1943)) - ) - ) - (net (rename slot_address_0__26__915 "slot_address<0><26>_915") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_14)) - (portRef O (instanceRef slot_address_0__26__915_renamed_1941)) - ) - ) - (net (rename slot_address_0__26__916 "slot_address<0><26>_916") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_15)) - (portRef O (instanceRef slot_address_0__26__916_renamed_1939)) - ) - ) - (net (rename slot_address_0__26__917 "slot_address<0><26>_917") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_16)) - (portRef O (instanceRef slot_address_0__26__917_renamed_1937)) - ) - ) - (net (rename slot_address_0__26__918 "slot_address<0><26>_918") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_17)) - (portRef O (instanceRef slot_address_0__26__918_renamed_1935)) - ) - ) - (net (rename slot_address_0__26__919 "slot_address<0><26>_919") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_18)) - (portRef O (instanceRef slot_address_0__26__919_renamed_1933)) - ) - ) - (net (rename slot_address_0__26__92 "slot_address<0><26>_92") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_1)) - (portRef O (instanceRef slot_address_0__26__92_renamed_1962)) - ) - ) - (net (rename slot_address_0__26__920 "slot_address<0><26>_920") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_19)) - (portRef O (instanceRef slot_address_0__26__920_renamed_1931)) - ) - ) - (net (rename slot_address_0__26__921 "slot_address<0><26>_921") - (joined - (portRef O (instanceRef slot_address_0__26__921_renamed_373)) - (portRef I0 (instanceRef slot_address_0__26__7_f5_20)) - ) - ) - (net (rename slot_address_0__26__922 "slot_address<0><26>_922") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_21)) - (portRef O (instanceRef slot_address_0__26__922_renamed_1929)) - ) - ) - (net (rename slot_address_0__26__923 "slot_address<0><26>_923") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_22)) - (portRef O (instanceRef slot_address_0__26__923_renamed_1927)) - ) - ) - (net (rename slot_address_0__26__924 "slot_address<0><26>_924") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_23)) - (portRef O (instanceRef slot_address_0__26__924_renamed_1920)) - ) - ) - (net (rename slot_address_0__26__925 "slot_address<0><26>_925") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_24)) - (portRef O (instanceRef slot_address_0__26__925_renamed_1919)) - ) - ) - (net (rename slot_address_0__26__926 "slot_address<0><26>_926") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_25)) - (portRef O (instanceRef slot_address_0__26__926_renamed_1918)) - ) - ) - (net (rename slot_address_0__26__927 "slot_address<0><26>_927") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_26)) - (portRef O (instanceRef slot_address_0__26__927_renamed_1917)) - ) - ) - (net (rename slot_address_0__26__928 "slot_address<0><26>_928") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_27)) - (portRef O (instanceRef slot_address_0__26__928_renamed_1916)) - ) - ) - (net (rename slot_address_0__26__929 "slot_address<0><26>_929") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_28)) - (portRef O (instanceRef slot_address_0__26__929_renamed_1926)) - ) - ) - (net (rename slot_address_0__26__93 "slot_address<0><26>_93") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_2)) - (portRef O (instanceRef slot_address_0__26__93_renamed_1961)) - ) - ) - (net (rename slot_address_0__26__930 "slot_address<0><26>_930") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_29)) - (portRef O (instanceRef slot_address_0__26__930_renamed_1925)) - ) - ) - (net (rename slot_address_0__26__94 "slot_address<0><26>_94") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_3)) - (portRef O (instanceRef slot_address_0__26__94_renamed_1960)) - ) - ) - (net (rename slot_address_0__26__95 "slot_address<0><26>_95") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_4)) - (portRef O (instanceRef slot_address_0__26__95_renamed_1959)) - ) - ) - (net (rename slot_address_0__26__96 "slot_address<0><26>_96") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_5)) - (portRef O (instanceRef slot_address_0__26__96_renamed_1957)) - ) - ) - (net (rename slot_address_0__26__97 "slot_address<0><26>_97") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_6)) - (portRef O (instanceRef slot_address_0__26__97_renamed_1955)) - ) - ) - (net (rename slot_address_0__26__98 "slot_address<0><26>_98") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_7)) - (portRef O (instanceRef slot_address_0__26__98_renamed_1953)) - ) - ) - (net (rename slot_address_0__26__99 "slot_address<0><26>_99") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_8)) - (portRef O (instanceRef slot_address_0__26__99_renamed_1951)) - ) - ) - (net (rename slot_cyc_0__ "slot_cyc<0>") - (joined - (portRef I1 (instanceRef slot0_cpol_not00011)) - (portRef I1 (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - (portRef I2 (instanceRef slot0_trans_or00001)) - (portRef I0 (instanceRef slot0_spi_txblock_q_not00011)) - (portRef I0 (instanceRef slot0_spi_en_or0000_SW1)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_0_mux0000_f5)) - ) - ) - (net (rename slot_cyc_2__ "slot_cyc<2>") - (joined - (portRef O (instanceRef zpuino_io_slot_cyc_i_2_mux00001)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef I0 (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I1 (instanceRef gpio_inst_gpio_q_0_not00015)) - (portRef I1 (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - ) - ) - (net (rename slot_cyc_5__ "slot_cyc<5>") - (joined - (portRef I0 (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - (portRef I3 (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_5_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - ) - ) - (net (rename slot_cyc_6__ "slot_cyc<6>") - (joined - (portRef I1 (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - (portRef I1 (instanceRef slot1_cpol_not00011)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_6_mux00001)) - (portRef I2 (instanceRef slot1_trans_or00001)) - (portRef I0 (instanceRef slot1_spi_txblock_q_not00011)) - (portRef I0 (instanceRef slot1_spi_en_or0000_SW1)) - ) - ) - (net (rename slot_cyc_7__ "slot_cyc<7>") - (joined - (portRef I1 (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - (portRef I0 (instanceRef crc16_inst_data_q_and000011)) - (portRef I1 (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - (portRef I0 (instanceRef crc16_inst_ready_q_not0001_G)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - ) - ) - (net (rename slot_read_0__0__ "slot_read<0><0>") - (joined - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_9_renamed_404)) - (portRef O (instanceRef slot0_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot_read_0__1__ "slot_read<0><1>") - (joined - (portRef I1 (instanceRef slot_address_0__26__910_renamed_386)) - (portRef O (instanceRef slot0_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot_read_0__2__ "slot_read<0><2>") - (joined - (portRef I1 (instanceRef slot_address_0__26__921_renamed_373)) - (portRef O (instanceRef slot0_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot_read_0__3__ "slot_read<0><3>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_3_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__924_renamed_1920)) - ) - ) - (net (rename slot_read_0__4__ "slot_read<0><4>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_4_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__925_renamed_1919)) - ) - ) - (net (rename slot_read_0__5__ "slot_read<0><5>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_5_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__926_renamed_1918)) - ) - ) - (net (rename slot_read_0__6__ "slot_read<0><6>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_6_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__927_renamed_1917)) - ) - ) - (net (rename slot_read_0__7__ "slot_read<0><7>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_7_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__928_renamed_1916)) - ) - ) - (net (rename slot_read_1__0__ "slot_read<1><0>") - (joined - (portRef I2 (instanceRef zpuino_io_Mmux__varindex0000_9_renamed_404)) - (portRef O (instanceRef uart_inst_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot_read_1__1__ "slot_read<1><1>") - (joined - (portRef I2 (instanceRef slot_address_0__26__910_renamed_386)) - (portRef O (instanceRef uart_inst_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot_read_1__2__ "slot_read<1><2>") - (joined - (portRef I2 (instanceRef slot_address_0__26__921_renamed_373)) - (portRef O (instanceRef uart_inst_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot_read_2__0__ "slot_read<2><0>") - (joined - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_81_renamed_405)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6)) - ) - ) - (net (rename slot_read_2__10__ "slot_read<2><10>") - (joined - (portRef I1 (instanceRef slot_address_0__26__81_renamed_400)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_0)) - ) - ) - (net (rename slot_read_2__11__ "slot_read<2><11>") - (joined - (portRef I1 (instanceRef slot_address_0__26__83_renamed_397)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_1)) - ) - ) - (net (rename slot_read_2__12__ "slot_read<2><12>") - (joined - (portRef I1 (instanceRef slot_address_0__26__85_renamed_396)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_2)) - ) - ) - (net (rename slot_read_2__13__ "slot_read<2><13>") - (joined - (portRef I1 (instanceRef slot_address_0__26__87_renamed_395)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_3)) - ) - ) - (net (rename slot_read_2__14__ "slot_read<2><14>") - (joined - (portRef I1 (instanceRef slot_address_0__26__89_renamed_394)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_4)) - ) - ) - (net (rename slot_read_2__15__ "slot_read<2><15>") - (joined - (portRef I1 (instanceRef slot_address_0__26__811_renamed_393)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_5)) - ) - ) - (net (rename slot_read_2__16__ "slot_read<2><16>") - (joined - (portRef I1 (instanceRef slot_address_0__26__813_renamed_392)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_6)) - ) - ) - (net (rename slot_read_2__17__ "slot_read<2><17>") - (joined - (portRef I1 (instanceRef slot_address_0__26__815_renamed_391)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_7)) - ) - ) - (net (rename slot_read_2__18__ "slot_read<2><18>") - (joined - (portRef I1 (instanceRef slot_address_0__26__817_renamed_390)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_8)) - ) - ) - (net (rename slot_read_2__19__ "slot_read<2><19>") - (joined - (portRef I1 (instanceRef slot_address_0__26__819_renamed_389)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_9)) - ) - ) - (net (rename slot_read_2__1__ "slot_read<2><1>") - (joined - (portRef I1 (instanceRef slot_address_0__26__821_renamed_387)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_10)) - ) - ) - (net (rename slot_read_2__20__ "slot_read<2><20>") - (joined - (portRef I1 (instanceRef slot_address_0__26__823_renamed_385)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_11)) - ) - ) - (net (rename slot_read_2__21__ "slot_read<2><21>") - (joined - (portRef I1 (instanceRef slot_address_0__26__825_renamed_384)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_12)) - ) - ) - (net (rename slot_read_2__22__ "slot_read<2><22>") - (joined - (portRef I1 (instanceRef slot_address_0__26__827_renamed_383)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_13)) - ) - ) - (net (rename slot_read_2__23__ "slot_read<2><23>") - (joined - (portRef I1 (instanceRef slot_address_0__26__829_renamed_382)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_14)) - ) - ) - (net (rename slot_read_2__24__ "slot_read<2><24>") - (joined - (portRef I1 (instanceRef slot_address_0__26__831_renamed_381)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_15)) - ) - ) - (net (rename slot_read_2__25__ "slot_read<2><25>") - (joined - (portRef I1 (instanceRef slot_address_0__26__833_renamed_380)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_16)) - ) - ) - (net (rename slot_read_2__26__ "slot_read<2><26>") - (joined - (portRef I1 (instanceRef slot_address_0__26__835_renamed_379)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_17)) - ) - ) - (net (rename slot_read_2__27__ "slot_read<2><27>") - (joined - (portRef I1 (instanceRef slot_address_0__26__837_renamed_378)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_18)) - ) - ) - (net (rename slot_read_2__28__ "slot_read<2><28>") - (joined - (portRef I1 (instanceRef slot_address_0__26__839_renamed_377)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_19)) - ) - ) - (net (rename slot_read_2__29__ "slot_read<2><29>") - (joined - (portRef I1 (instanceRef slot_address_0__26__841_renamed_376)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_20)) - ) - ) - (net (rename slot_read_2__2__ "slot_read<2><2>") - (joined - (portRef I1 (instanceRef slot_address_0__26__843_renamed_374)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_21)) - ) - ) - (net (rename slot_read_2__30__ "slot_read<2><30>") - (joined - (portRef I1 (instanceRef slot_address_0__26__845_renamed_372)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_22)) - ) - ) - (net (rename slot_read_2__31__ "slot_read<2><31>") - (joined - (portRef I1 (instanceRef slot_address_0__26__847_renamed_371)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_23)) - ) - ) - (net (rename slot_read_2__3__ "slot_read<2><3>") - (joined - (portRef I1 (instanceRef slot_address_0__26__849_renamed_369)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_24)) - ) - ) - (net (rename slot_read_2__4__ "slot_read<2><4>") - (joined - (portRef I1 (instanceRef slot_address_0__26__851_renamed_367)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_25)) - ) - ) - (net (rename slot_read_2__5__ "slot_read<2><5>") - (joined - (portRef I1 (instanceRef slot_address_0__26__853_renamed_365)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_26)) - ) - ) - (net (rename slot_read_2__6__ "slot_read<2><6>") - (joined - (portRef I1 (instanceRef slot_address_0__26__855_renamed_363)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_27)) - ) - ) - (net (rename slot_read_2__7__ "slot_read<2><7>") - (joined - (portRef I1 (instanceRef slot_address_0__26__857_renamed_361)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_28)) - ) - ) - (net (rename slot_read_2__8__ "slot_read<2><8>") - (joined - (portRef I1 (instanceRef slot_address_0__26__859_renamed_359)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_29)) - ) - ) - (net (rename slot_read_2__9__ "slot_read<2><9>") - (joined - (portRef I1 (instanceRef slot_address_0__26__861_renamed_357)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_30)) - ) - ) - (net (rename slot_read_3__0__ "slot_read<3><0>") - (joined - (portRef I2 (instanceRef zpuino_io_Mmux__varindex0000_81_renamed_405)) - (portRef O (instanceRef timers_inst_wb_dat_o_0_40)) - ) - ) - (net (rename slot_read_3__10__ "slot_read<3><10>") - (joined - (portRef I2 (instanceRef slot_address_0__26__81_renamed_400)) - (portRef O (instanceRef timers_inst_wb_dat_o_10_40)) - ) - ) - (net (rename slot_read_3__11__ "slot_read<3><11>") - (joined - (portRef I2 (instanceRef slot_address_0__26__83_renamed_397)) - (portRef O (instanceRef timers_inst_wb_dat_o_11_49)) - ) - ) - (net (rename slot_read_3__12__ "slot_read<3><12>") - (joined - (portRef I2 (instanceRef slot_address_0__26__85_renamed_396)) - (portRef O (instanceRef timers_inst_wb_dat_o_12_49)) - ) - ) - (net (rename slot_read_3__13__ "slot_read<3><13>") - (joined - (portRef I2 (instanceRef slot_address_0__26__87_renamed_395)) - (portRef O (instanceRef timers_inst_wb_dat_o_13_49)) - ) - ) - (net (rename slot_read_3__14__ "slot_read<3><14>") - (joined - (portRef I2 (instanceRef slot_address_0__26__89_renamed_394)) - (portRef O (instanceRef timers_inst_wb_dat_o_14_49)) - ) - ) - (net (rename slot_read_3__15__ "slot_read<3><15>") - (joined - (portRef I2 (instanceRef slot_address_0__26__811_renamed_393)) - (portRef O (instanceRef timers_inst_wb_dat_o_15_49)) - ) - ) - (net (rename slot_read_3__16__ "slot_read<3><16>") - (joined - (portRef I2 (instanceRef slot_address_0__26__813_renamed_392)) - (portRef O (instanceRef timers_inst_wb_dat_o_16__)) - ) - ) - (net (rename slot_read_3__17__ "slot_read<3><17>") - (joined - (portRef I2 (instanceRef slot_address_0__26__815_renamed_391)) - (portRef O (instanceRef timers_inst_wb_dat_o_17__)) - ) - ) - (net (rename slot_read_3__18__ "slot_read<3><18>") - (joined - (portRef I2 (instanceRef slot_address_0__26__817_renamed_390)) - (portRef O (instanceRef timers_inst_wb_dat_o_18__)) - ) - ) - (net (rename slot_read_3__19__ "slot_read<3><19>") - (joined - (portRef I2 (instanceRef slot_address_0__26__819_renamed_389)) - (portRef O (instanceRef timers_inst_wb_dat_o_19__)) - ) - ) - (net (rename slot_read_3__1__ "slot_read<3><1>") - (joined - (portRef I2 (instanceRef slot_address_0__26__821_renamed_387)) - (portRef O (instanceRef timers_inst_wb_dat_o_1_40)) - ) - ) - (net (rename slot_read_3__20__ "slot_read<3><20>") - (joined - (portRef I2 (instanceRef slot_address_0__26__823_renamed_385)) - (portRef O (instanceRef timers_inst_wb_dat_o_20__)) - ) - ) - (net (rename slot_read_3__21__ "slot_read<3><21>") - (joined - (portRef I2 (instanceRef slot_address_0__26__825_renamed_384)) - (portRef O (instanceRef timers_inst_wb_dat_o_21__)) - ) - ) - (net (rename slot_read_3__22__ "slot_read<3><22>") - (joined - (portRef I2 (instanceRef slot_address_0__26__827_renamed_383)) - (portRef O (instanceRef timers_inst_wb_dat_o_22__)) - ) - ) - (net (rename slot_read_3__23__ "slot_read<3><23>") - (joined - (portRef I2 (instanceRef slot_address_0__26__829_renamed_382)) - (portRef O (instanceRef timers_inst_wb_dat_o_23__)) - ) - ) - (net (rename slot_read_3__24__ "slot_read<3><24>") - (joined - (portRef I2 (instanceRef slot_address_0__26__831_renamed_381)) - (portRef O (instanceRef timers_inst_wb_dat_o_24_1)) - ) - ) - (net (rename slot_read_3__25__ "slot_read<3><25>") - (joined - (portRef I2 (instanceRef slot_address_0__26__833_renamed_380)) - (portRef O (instanceRef timers_inst_wb_dat_o_25_1)) - ) - ) - (net (rename slot_read_3__26__ "slot_read<3><26>") - (joined - (portRef I2 (instanceRef slot_address_0__26__835_renamed_379)) - (portRef O (instanceRef timers_inst_wb_dat_o_26_1)) - ) - ) - (net (rename slot_read_3__27__ "slot_read<3><27>") - (joined - (portRef I2 (instanceRef slot_address_0__26__837_renamed_378)) - (portRef O (instanceRef timers_inst_wb_dat_o_27_1)) - ) - ) - (net (rename slot_read_3__28__ "slot_read<3><28>") - (joined - (portRef I2 (instanceRef slot_address_0__26__839_renamed_377)) - (portRef O (instanceRef timers_inst_wb_dat_o_28_1)) - ) - ) - (net (rename slot_read_3__29__ "slot_read<3><29>") - (joined - (portRef I2 (instanceRef slot_address_0__26__841_renamed_376)) - (portRef O (instanceRef timers_inst_wb_dat_o_29_1)) - ) - ) - (net (rename slot_read_3__2__ "slot_read<3><2>") - (joined - (portRef I2 (instanceRef slot_address_0__26__843_renamed_374)) - (portRef O (instanceRef timers_inst_wb_dat_o_2_40)) - ) - ) - (net (rename slot_read_3__30__ "slot_read<3><30>") - (joined - (portRef I2 (instanceRef slot_address_0__26__845_renamed_372)) - (portRef O (instanceRef timers_inst_wb_dat_o_30_1)) - ) - ) - (net (rename slot_read_3__31__ "slot_read<3><31>") - (joined - (portRef I2 (instanceRef slot_address_0__26__847_renamed_371)) - (portRef O (instanceRef timers_inst_wb_dat_o_31_1)) - ) - ) - (net (rename slot_read_3__3__ "slot_read<3><3>") - (joined - (portRef I2 (instanceRef slot_address_0__26__849_renamed_369)) - (portRef O (instanceRef timers_inst_wb_dat_o_3_40)) - ) - ) - (net (rename slot_read_3__4__ "slot_read<3><4>") - (joined - (portRef I2 (instanceRef slot_address_0__26__851_renamed_367)) - (portRef O (instanceRef timers_inst_wb_dat_o_4_40)) - ) - ) - (net (rename slot_read_3__5__ "slot_read<3><5>") - (joined - (portRef I2 (instanceRef slot_address_0__26__853_renamed_365)) - (portRef O (instanceRef timers_inst_wb_dat_o_5_40)) - ) - ) - (net (rename slot_read_3__6__ "slot_read<3><6>") - (joined - (portRef I2 (instanceRef slot_address_0__26__855_renamed_363)) - (portRef O (instanceRef timers_inst_wb_dat_o_6_40)) - ) - ) - (net (rename slot_read_3__7__ "slot_read<3><7>") - (joined - (portRef I2 (instanceRef slot_address_0__26__857_renamed_361)) - (portRef O (instanceRef timers_inst_wb_dat_o_7_40)) - ) - ) - (net (rename slot_read_3__8__ "slot_read<3><8>") - (joined - (portRef I2 (instanceRef slot_address_0__26__859_renamed_359)) - (portRef O (instanceRef timers_inst_wb_dat_o_8_49)) - ) - ) - (net (rename slot_read_3__9__ "slot_read<3><9>") - (joined - (portRef I2 (instanceRef slot_address_0__26__861_renamed_357)) - (portRef O (instanceRef timers_inst_wb_dat_o_9_40)) - ) - ) - (net (rename slot_read_6__0__ "slot_read<6><0>") - (joined - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_7_renamed_407)) - (portRef O (instanceRef slot1_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot_read_6__1__ "slot_read<6><1>") - (joined - (portRef I1 (instanceRef slot_address_0__26__710_renamed_388)) - (portRef O (instanceRef slot1_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot_read_6__2__ "slot_read<6><2>") - (joined - (portRef I1 (instanceRef slot_address_0__26__721_renamed_375)) - (portRef O (instanceRef slot1_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot_read_6__3__ "slot_read<6><3>") - (joined - (portRef I1 (instanceRef slot_address_0__26__724_renamed_370)) - (portRef O (instanceRef slot1_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot_read_6__4__ "slot_read<6><4>") - (joined - (portRef I1 (instanceRef slot_address_0__26__725_renamed_368)) - (portRef O (instanceRef slot1_wb_dat_o_4_mux00001)) - ) - ) - (net (rename slot_read_6__5__ "slot_read<6><5>") - (joined - (portRef I1 (instanceRef slot_address_0__26__726_renamed_366)) - (portRef O (instanceRef slot1_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot_read_6__6__ "slot_read<6><6>") - (joined - (portRef I1 (instanceRef slot_address_0__26__727_renamed_364)) - (portRef O (instanceRef slot1_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot_read_6__7__ "slot_read<6><7>") - (joined - (portRef I1 (instanceRef slot_address_0__26__728_renamed_362)) - (portRef O (instanceRef slot1_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot_read_6__8__ "slot_read<6><8>") - (joined - (portRef I1 (instanceRef slot_address_0__26__729_renamed_360)) - (portRef O (instanceRef slot1_wb_dat_o_8_mux00001)) - ) - ) - (net (rename slot_read_6__9__ "slot_read<6><9>") - (joined - (portRef I1 (instanceRef slot_address_0__26__730_renamed_358)) - (portRef O (instanceRef slot1_wb_dat_o_9_mux00001)) - ) - ) - (net (rename slot_read_7__0__ "slot_read<7><0>") - (joined - (portRef I2 (instanceRef zpuino_io_Mmux__varindex0000_7_renamed_407)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename slot_read_7__10__ "slot_read<7><10>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_10__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__7_renamed_1991)) - ) - ) - (net (rename slot_read_7__11__ "slot_read<7><11>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_11__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__71_renamed_1990)) - ) - ) - (net (rename slot_read_7__12__ "slot_read<7><12>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_12__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__72_renamed_1989)) - ) - ) - (net (rename slot_read_7__13__ "slot_read<7><13>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_13__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__73_renamed_1988)) - ) - ) - (net (rename slot_read_7__14__ "slot_read<7><14>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_14__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__74_renamed_1987)) - ) - ) - (net (rename slot_read_7__15__ "slot_read<7><15>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_15__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__75_renamed_1986)) - ) - ) - (net (rename slot_read_7__1__ "slot_read<7><1>") - (joined - (portRef I2 (instanceRef slot_address_0__26__710_renamed_388)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename slot_read_7__2__ "slot_read<7><2>") - (joined - (portRef I2 (instanceRef slot_address_0__26__721_renamed_375)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename slot_read_7__3__ "slot_read<7><3>") - (joined - (portRef I2 (instanceRef slot_address_0__26__724_renamed_370)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename slot_read_7__4__ "slot_read<7><4>") - (joined - (portRef I2 (instanceRef slot_address_0__26__725_renamed_368)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename slot_read_7__5__ "slot_read<7><5>") - (joined - (portRef I2 (instanceRef slot_address_0__26__726_renamed_366)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename slot_read_7__6__ "slot_read<7><6>") - (joined - (portRef I2 (instanceRef slot_address_0__26__727_renamed_364)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename slot_read_7__7__ "slot_read<7><7>") - (joined - (portRef I2 (instanceRef slot_address_0__26__728_renamed_362)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename slot_read_7__8__ "slot_read<7><8>") - (joined - (portRef I2 (instanceRef slot_address_0__26__729_renamed_360)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_8__2_f5)) - ) - ) - (net (rename slot_read_7__9__ "slot_read<7><9>") - (joined - (portRef I2 (instanceRef slot_address_0__26__730_renamed_358)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net sysclk - (joined - (portRef C (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef C (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef C (instanceRef zpuino_io_io_stb_renamed_3)) - (portRef C (instanceRef zpuino_io_wb_dat_o_0)) - (portRef C (instanceRef zpuino_io_wb_dat_o_1)) - (portRef C (instanceRef zpuino_io_wb_dat_o_2)) - (portRef C (instanceRef zpuino_io_wb_dat_o_3)) - (portRef C (instanceRef zpuino_io_wb_dat_o_4)) - (portRef C (instanceRef zpuino_io_wb_dat_o_5)) - (portRef C (instanceRef zpuino_io_wb_dat_o_6)) - (portRef C (instanceRef zpuino_io_wb_dat_o_7)) - (portRef C (instanceRef zpuino_io_wb_dat_o_8)) - (portRef C (instanceRef zpuino_io_wb_dat_o_9)) - (portRef C (instanceRef zpuino_io_wb_dat_o_10)) - (portRef C (instanceRef zpuino_io_wb_dat_o_11)) - (portRef C (instanceRef zpuino_io_wb_dat_o_12)) - (portRef C (instanceRef zpuino_io_wb_dat_o_13)) - (portRef C (instanceRef zpuino_io_wb_dat_o_14)) - (portRef C (instanceRef zpuino_io_wb_dat_o_15)) - (portRef C (instanceRef zpuino_io_wb_dat_o_16)) - (portRef C (instanceRef zpuino_io_wb_dat_o_17)) - (portRef C (instanceRef zpuino_io_wb_dat_o_18)) - (portRef C (instanceRef zpuino_io_wb_dat_o_19)) - (portRef C (instanceRef zpuino_io_wb_dat_o_20)) - (portRef C (instanceRef zpuino_io_wb_dat_o_21)) - (portRef C (instanceRef zpuino_io_wb_dat_o_22)) - (portRef C (instanceRef zpuino_io_wb_dat_o_23)) - (portRef C (instanceRef zpuino_io_wb_dat_o_24)) - (portRef C (instanceRef zpuino_io_wb_dat_o_25)) - (portRef C (instanceRef zpuino_io_wb_dat_o_26)) - (portRef C (instanceRef zpuino_io_wb_dat_o_27)) - (portRef C (instanceRef zpuino_io_wb_dat_o_28)) - (portRef C (instanceRef zpuino_io_wb_dat_o_29)) - (portRef C (instanceRef zpuino_io_wb_dat_o_30)) - (portRef C (instanceRef zpuino_io_wb_dat_o_31)) - (portRef C (instanceRef zpuino_io_wb_in_transaction_renamed_4)) - (portRef C (instanceRef zpuino_core_prefr_recompute_sp_renamed_5)) - (portRef C (instanceRef slot9_read_ended_renamed_8)) - (portRef C (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef C (instanceRef zpuino_io_wb_ack_o_renamed_10)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_1_renamed_11)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_3_renamed_12)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_4_renamed_13)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_5_renamed_14)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_6_renamed_15)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_8_renamed_16)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_9_renamed_17)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_12_renamed_18)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_14_renamed_19)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_15_renamed_20)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_19_renamed_21)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_22_renamed_22)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_23_renamed_23)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_24_renamed_24)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_25_renamed_25)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_27_renamed_26)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_30_renamed_27)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_31_renamed_28)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_32_renamed_29)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_33_renamed_30)) - (portRef C (instanceRef zpuino_core_decr_pcint_0_renamed_31)) - (portRef C (instanceRef zpuino_core_decr_pcint_1_renamed_32)) - (portRef C (instanceRef zpuino_core_decr_pcint_2_renamed_33)) - (portRef C (instanceRef zpuino_core_decr_pcint_3_renamed_34)) - (portRef C (instanceRef zpuino_core_decr_pcint_4_renamed_35)) - (portRef C (instanceRef zpuino_core_decr_pcint_5_renamed_36)) - (portRef C (instanceRef zpuino_core_decr_pcint_6_renamed_37)) - (portRef C (instanceRef zpuino_core_decr_pcint_7_renamed_38)) - (portRef C (instanceRef zpuino_core_decr_pcint_8_renamed_39)) - (portRef C (instanceRef zpuino_core_decr_pcint_9_renamed_40)) - (portRef C (instanceRef zpuino_core_decr_pcint_10_renamed_41)) - (portRef C (instanceRef zpuino_core_decr_pcint_11_renamed_42)) - (portRef C (instanceRef zpuino_core_decr_pcint_12_renamed_43)) - (portRef C (instanceRef zpuino_core_decr_pcint_13_renamed_44)) - (portRef C (instanceRef zpuino_core_decr_break_renamed_45)) - (portRef C (instanceRef zpuino_core_exr_tos_0_renamed_46)) - (portRef C (instanceRef zpuino_core_exr_tos_1_renamed_47)) - (portRef C (instanceRef zpuino_core_exr_tos_2_renamed_48)) - (portRef C (instanceRef zpuino_core_exr_tos_3_renamed_49)) - (portRef C (instanceRef zpuino_core_exr_tos_4_renamed_50)) - (portRef C (instanceRef zpuino_core_exr_tos_5_renamed_51)) - (portRef C (instanceRef zpuino_core_exr_tos_6_renamed_52)) - (portRef C (instanceRef zpuino_core_exr_tos_7_renamed_53)) - (portRef C (instanceRef zpuino_core_exr_tos_8_renamed_54)) - (portRef C (instanceRef zpuino_core_exr_tos_9_renamed_55)) - (portRef C (instanceRef zpuino_core_exr_tos_10_renamed_56)) - (portRef C (instanceRef zpuino_core_exr_tos_11_renamed_57)) - (portRef C (instanceRef zpuino_core_exr_tos_12_renamed_58)) - (portRef C (instanceRef zpuino_core_exr_tos_13_renamed_59)) - (portRef C (instanceRef zpuino_core_exr_tos_14_renamed_60)) - (portRef C (instanceRef zpuino_core_exr_tos_15_renamed_61)) - (portRef C (instanceRef zpuino_core_exr_tos_16_renamed_62)) - (portRef C (instanceRef zpuino_core_exr_tos_17_renamed_63)) - (portRef C (instanceRef zpuino_core_exr_tos_18_renamed_64)) - (portRef C (instanceRef zpuino_core_exr_tos_19_renamed_65)) - (portRef C (instanceRef zpuino_core_exr_tos_20_renamed_66)) - (portRef C (instanceRef zpuino_core_exr_tos_21_renamed_67)) - (portRef C (instanceRef zpuino_core_exr_tos_22_renamed_68)) - (portRef C (instanceRef zpuino_core_exr_tos_23_renamed_69)) - (portRef C (instanceRef zpuino_core_exr_tos_24_renamed_70)) - (portRef C (instanceRef zpuino_core_exr_tos_25_renamed_71)) - (portRef C (instanceRef zpuino_core_exr_tos_26_renamed_72)) - (portRef C (instanceRef zpuino_core_exr_tos_27_renamed_73)) - (portRef C (instanceRef zpuino_core_exr_tos_28_renamed_74)) - (portRef C (instanceRef zpuino_core_exr_tos_29_renamed_75)) - (portRef C (instanceRef zpuino_core_exr_tos_30_renamed_76)) - (portRef C (instanceRef zpuino_core_exr_tos_31_renamed_77)) - (portRef C (instanceRef zpuino_core_exr_wb_we_renamed_78)) - (portRef C (instanceRef zpuino_core_decr_valid_renamed_79)) - (portRef C (instanceRef zpuino_core_decr_im_renamed_80)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_0_renamed_81)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_1_renamed_82)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_2_renamed_83)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_3_renamed_84)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_4_renamed_85)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_5_renamed_86)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_6_renamed_87)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_7_renamed_88)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_8_renamed_89)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_9_renamed_90)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_10_renamed_91)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_11_renamed_92)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_12_renamed_93)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_13_renamed_94)) - (portRef C (instanceRef zpuino_core_exr_nos_save_0_renamed_95)) - (portRef C (instanceRef zpuino_core_exr_nos_save_1_renamed_96)) - (portRef C (instanceRef zpuino_core_exr_nos_save_2_renamed_97)) - (portRef C (instanceRef zpuino_core_exr_nos_save_3_renamed_98)) - (portRef C (instanceRef zpuino_core_exr_nos_save_4_renamed_99)) - (portRef C (instanceRef zpuino_core_exr_nos_save_5_renamed_100)) - (portRef C (instanceRef zpuino_core_exr_nos_save_6_renamed_101)) - (portRef C (instanceRef zpuino_core_exr_nos_save_7_renamed_102)) - (portRef C (instanceRef zpuino_core_exr_nos_save_8_renamed_103)) - (portRef C (instanceRef zpuino_core_exr_nos_save_9_renamed_104)) - (portRef C (instanceRef zpuino_core_exr_nos_save_10_renamed_105)) - (portRef C (instanceRef zpuino_core_exr_nos_save_11_renamed_106)) - (portRef C (instanceRef zpuino_core_exr_nos_save_12_renamed_107)) - (portRef C (instanceRef zpuino_core_exr_nos_save_13_renamed_108)) - (portRef C (instanceRef zpuino_core_exr_nos_save_14_renamed_109)) - (portRef C (instanceRef zpuino_core_exr_nos_save_15_renamed_110)) - (portRef C (instanceRef zpuino_core_exr_nos_save_16_renamed_111)) - (portRef C (instanceRef zpuino_core_exr_nos_save_17_renamed_112)) - (portRef C (instanceRef zpuino_core_exr_nos_save_18_renamed_113)) - (portRef C (instanceRef zpuino_core_exr_nos_save_19_renamed_114)) - (portRef C (instanceRef zpuino_core_exr_nos_save_20_renamed_115)) - (portRef C (instanceRef zpuino_core_exr_nos_save_21_renamed_116)) - (portRef C (instanceRef zpuino_core_exr_nos_save_22_renamed_117)) - (portRef C (instanceRef zpuino_core_exr_nos_save_23_renamed_118)) - (portRef C (instanceRef zpuino_core_exr_nos_save_24_renamed_119)) - (portRef C (instanceRef zpuino_core_exr_nos_save_25_renamed_120)) - (portRef C (instanceRef zpuino_core_exr_nos_save_26_renamed_121)) - (portRef C (instanceRef zpuino_core_exr_nos_save_27_renamed_122)) - (portRef C (instanceRef zpuino_core_exr_nos_save_28_renamed_123)) - (portRef C (instanceRef zpuino_core_exr_nos_save_29_renamed_124)) - (portRef C (instanceRef zpuino_core_exr_nos_save_30_renamed_125)) - (portRef C (instanceRef zpuino_core_exr_nos_save_31_renamed_126)) - (portRef C (instanceRef zpuino_core_wroteback_q_renamed_127)) - (portRef C (instanceRef zpuino_core_decr_spOffset_0_renamed_128)) - (portRef C (instanceRef zpuino_core_decr_spOffset_1_renamed_129)) - (portRef C (instanceRef zpuino_core_decr_spOffset_2_renamed_130)) - (portRef C (instanceRef zpuino_core_decr_spOffset_3_renamed_131)) - (portRef C (instanceRef zpuino_core_decr_spOffset_4_renamed_132)) - (portRef C (instanceRef zpuino_core_decr_opcode_0_renamed_133)) - (portRef C (instanceRef zpuino_core_decr_opcode_1_renamed_134)) - (portRef C (instanceRef zpuino_core_decr_opcode_2_renamed_135)) - (portRef C (instanceRef zpuino_core_decr_opcode_3_renamed_136)) - (portRef C (instanceRef zpuino_core_decr_opcode_4_renamed_137)) - (portRef C (instanceRef zpuino_core_decr_opcode_5_renamed_138)) - (portRef C (instanceRef zpuino_core_decr_opcode_6_renamed_139)) - (portRef C (instanceRef zpuino_core_decr_opWillFreeze_renamed_140)) - (portRef C (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef C (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef C (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef C (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef C (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef C (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef C (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef C (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef C (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef C (instanceRef zpuino_core_decr_stackOperation_1_renamed_150)) - (portRef C (instanceRef zpuino_core_decr_stackOperation_2_renamed_151)) - (portRef C (instanceRef zpuino_core_decr_stackOperation_3_renamed_152)) - (portRef C (instanceRef zpuino_core_decr_tosSource_0_renamed_153)) - (portRef C (instanceRef zpuino_core_decr_tosSource_1_renamed_154)) - (portRef C (instanceRef zpuino_core_decr_tosSource_2_renamed_155)) - (portRef C (instanceRef zpuino_core_decr_tosSource_3_renamed_156)) - (portRef C (instanceRef zpuino_core_decr_tosSource_4_renamed_157)) - (portRef C (instanceRef zpuino_core_decr_tosSource_5_renamed_158)) - (portRef C (instanceRef zpuino_core_decr_tosSource_6_renamed_159)) - (portRef C (instanceRef zpuino_core_decr_tosSource_7_renamed_160)) - (portRef C (instanceRef zpuino_core_decr_tosSource_8_renamed_161)) - (portRef C (instanceRef zpuino_core_decr_tosSource_9_renamed_162)) - (portRef C (instanceRef zpuino_core_decr_tosSource_10_renamed_163)) - (portRef C (instanceRef zpuino_core_decr_tosSource_11_renamed_164)) - (portRef C (instanceRef zpuino_core_decr_tosSource_12_renamed_165)) - (portRef C (instanceRef zpuino_core_decr_tosSource_13_renamed_166)) - (portRef C (instanceRef zpuino_core_decr_tosSource_14_renamed_167)) - (portRef C (instanceRef zpuino_core_decr_tosSource_15_renamed_168)) - (portRef C (instanceRef zpuino_core_decr_tosSource_16_renamed_169)) - (portRef C (instanceRef zpuino_core_decr_tosSource_17_renamed_170)) - (portRef C (instanceRef zpuino_core_exr_wb_cyc_renamed_171)) - (portRef C (instanceRef zpuino_core_exr_inInterrupt_renamed_172)) - (portRef C (instanceRef zpuino_core_shl_d_1)) - (portRef C (instanceRef zpuino_core_shl_d_2)) - (portRef C (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef C (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef C (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef C (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef C (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef C (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef C (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef C (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef C (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef C (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef C (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef C (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef C (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef C (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef C (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef C (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef C (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef C (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef C (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef C (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef C (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef C (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef C (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef C (instanceRef zpuino_core_prefr_opcode_0_renamed_197)) - (portRef C (instanceRef zpuino_core_prefr_opcode_1_renamed_198)) - (portRef C (instanceRef zpuino_core_prefr_opcode_2_renamed_199)) - (portRef C (instanceRef zpuino_core_prefr_opcode_3_renamed_200)) - (portRef C (instanceRef zpuino_core_prefr_opcode_4_renamed_201)) - (portRef C (instanceRef zpuino_core_prefr_opcode_5_renamed_202)) - (portRef C (instanceRef zpuino_core_prefr_opcode_6_renamed_203)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_0_renamed_204)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_1_renamed_205)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_2_renamed_206)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_3_renamed_207)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_4_renamed_208)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_5_renamed_209)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_6_renamed_210)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_7_renamed_211)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_8_renamed_212)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_9_renamed_213)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_10_renamed_214)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_11_renamed_215)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_12_renamed_216)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_13_renamed_217)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_14_renamed_218)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_15_renamed_219)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_16_renamed_220)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_17_renamed_221)) - (portRef C (instanceRef zpuino_core_prefr_break_renamed_222)) - (portRef C (instanceRef zpuino_core_exr_tos_save_0_renamed_223)) - (portRef C (instanceRef zpuino_core_exr_tos_save_1_renamed_224)) - (portRef C (instanceRef zpuino_core_exr_tos_save_2_renamed_225)) - (portRef C (instanceRef zpuino_core_exr_tos_save_3_renamed_226)) - (portRef C (instanceRef zpuino_core_exr_tos_save_4_renamed_227)) - (portRef C (instanceRef zpuino_core_exr_tos_save_5_renamed_228)) - (portRef C (instanceRef zpuino_core_exr_tos_save_6_renamed_229)) - (portRef C (instanceRef zpuino_core_exr_tos_save_7_renamed_230)) - (portRef C (instanceRef zpuino_core_exr_tos_save_8_renamed_231)) - (portRef C (instanceRef zpuino_core_exr_tos_save_9_renamed_232)) - (portRef C (instanceRef zpuino_core_exr_tos_save_10_renamed_233)) - (portRef C (instanceRef zpuino_core_exr_tos_save_11_renamed_234)) - (portRef C (instanceRef zpuino_core_exr_tos_save_12_renamed_235)) - (portRef C (instanceRef zpuino_core_exr_tos_save_13_renamed_236)) - (portRef C (instanceRef zpuino_core_exr_tos_save_14_renamed_237)) - (portRef C (instanceRef zpuino_core_exr_tos_save_15_renamed_238)) - (portRef C (instanceRef zpuino_core_exr_tos_save_16_renamed_239)) - (portRef C (instanceRef zpuino_core_exr_tos_save_17_renamed_240)) - (portRef C (instanceRef zpuino_core_exr_tos_save_18_renamed_241)) - (portRef C (instanceRef zpuino_core_exr_tos_save_19_renamed_242)) - (portRef C (instanceRef zpuino_core_exr_tos_save_20_renamed_243)) - (portRef C (instanceRef zpuino_core_exr_tos_save_21_renamed_244)) - (portRef C (instanceRef zpuino_core_exr_tos_save_22_renamed_245)) - (portRef C (instanceRef zpuino_core_exr_tos_save_23_renamed_246)) - (portRef C (instanceRef zpuino_core_exr_tos_save_24_renamed_247)) - (portRef C (instanceRef zpuino_core_exr_tos_save_25_renamed_248)) - (portRef C (instanceRef zpuino_core_exr_tos_save_26_renamed_249)) - (portRef C (instanceRef zpuino_core_exr_tos_save_27_renamed_250)) - (portRef C (instanceRef zpuino_core_exr_tos_save_28_renamed_251)) - (portRef C (instanceRef zpuino_core_exr_tos_save_29_renamed_252)) - (portRef C (instanceRef zpuino_core_exr_tos_save_30_renamed_253)) - (portRef C (instanceRef zpuino_core_exr_tos_save_31_renamed_254)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_0_renamed_255)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_1_renamed_256)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_2_renamed_257)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_3_renamed_258)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_4_renamed_259)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_5_renamed_260)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_6_renamed_261)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_7_renamed_262)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_8_renamed_263)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_9_renamed_264)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_10_renamed_265)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_11_renamed_266)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_12_renamed_267)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_13_renamed_268)) - (portRef C (instanceRef zpuino_core_prefr_valid_renamed_269)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_1_renamed_270)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_3_renamed_271)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_4_renamed_272)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_5_renamed_273)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_6_renamed_274)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_8_renamed_275)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_9_renamed_276)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_12_renamed_277)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_14_renamed_278)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_15_renamed_279)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_19_renamed_280)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_22_renamed_281)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_23_renamed_282)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_24_renamed_283)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_25_renamed_284)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_27_renamed_285)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_30_renamed_286)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_31_renamed_287)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_32_renamed_288)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_33_renamed_289)) - (portRef C (instanceRef zpuino_core_prefr_opWillFreeze_renamed_290)) - (portRef C (instanceRef zpuino_core_shl_done_renamed_291)) - (portRef C (instanceRef zpuino_core_prefr_pc_0_renamed_295)) - (portRef C (instanceRef zpuino_core_prefr_pc_1_renamed_296)) - (portRef C (instanceRef zpuino_core_prefr_pc_2_renamed_297)) - (portRef C (instanceRef zpuino_core_prefr_pc_3_renamed_298)) - (portRef C (instanceRef zpuino_core_prefr_pc_4_renamed_299)) - (portRef C (instanceRef zpuino_core_prefr_pc_5_renamed_300)) - (portRef C (instanceRef zpuino_core_prefr_pc_6_renamed_301)) - (portRef C (instanceRef zpuino_core_prefr_pc_7_renamed_302)) - (portRef C (instanceRef zpuino_core_prefr_pc_8_renamed_303)) - (portRef C (instanceRef zpuino_core_prefr_pc_9_renamed_304)) - (portRef C (instanceRef zpuino_core_prefr_pc_10_renamed_305)) - (portRef C (instanceRef zpuino_core_prefr_pc_11_renamed_306)) - (portRef C (instanceRef zpuino_core_prefr_pc_12_renamed_307)) - (portRef C (instanceRef zpuino_core_prefr_pc_13_renamed_308)) - (portRef C (instanceRef zpuino_io_write_save_q_0)) - (portRef C (instanceRef zpuino_io_write_save_q_1)) - (portRef C (instanceRef zpuino_io_write_save_q_2)) - (portRef C (instanceRef zpuino_io_write_save_q_3)) - (portRef C (instanceRef zpuino_io_write_save_q_4)) - (portRef C (instanceRef zpuino_io_write_save_q_5)) - (portRef C (instanceRef zpuino_io_write_save_q_6)) - (portRef C (instanceRef zpuino_io_write_save_q_7)) - (portRef C (instanceRef zpuino_io_write_save_q_8)) - (portRef C (instanceRef zpuino_io_write_save_q_9)) - (portRef C (instanceRef zpuino_io_write_save_q_10)) - (portRef C (instanceRef zpuino_io_write_save_q_11)) - (portRef C (instanceRef zpuino_io_write_save_q_12)) - (portRef C (instanceRef zpuino_io_write_save_q_13)) - (portRef C (instanceRef zpuino_io_write_save_q_14)) - (portRef C (instanceRef zpuino_io_write_save_q_15)) - (portRef C (instanceRef zpuino_io_write_save_q_16)) - (portRef C (instanceRef zpuino_io_write_save_q_17)) - (portRef C (instanceRef zpuino_io_write_save_q_18)) - (portRef C (instanceRef zpuino_io_write_save_q_19)) - (portRef C (instanceRef zpuino_io_write_save_q_20)) - (portRef C (instanceRef zpuino_io_write_save_q_21)) - (portRef C (instanceRef zpuino_io_write_save_q_22)) - (portRef C (instanceRef zpuino_io_write_save_q_23)) - (portRef C (instanceRef zpuino_io_write_save_q_24)) - (portRef C (instanceRef zpuino_io_write_save_q_25)) - (portRef C (instanceRef zpuino_io_write_save_q_26)) - (portRef C (instanceRef zpuino_io_write_save_q_27)) - (portRef C (instanceRef zpuino_io_write_save_q_28)) - (portRef C (instanceRef zpuino_io_write_save_q_29)) - (portRef C (instanceRef zpuino_io_write_save_q_30)) - (portRef C (instanceRef zpuino_io_write_save_q_31)) - (portRef C (instanceRef zpuino_io_addr_save_q_2)) - (portRef C (instanceRef zpuino_io_addr_save_q_3)) - (portRef C (instanceRef zpuino_io_addr_save_q_4)) - (portRef C (instanceRef zpuino_io_addr_save_q_5)) - (portRef C (instanceRef zpuino_io_addr_save_q_6)) - (portRef C (instanceRef zpuino_io_addr_save_q_7)) - (portRef C (instanceRef zpuino_io_addr_save_q_8)) - (portRef C (instanceRef zpuino_io_addr_save_q_9)) - (portRef C (instanceRef zpuino_io_addr_save_q_10)) - (portRef C (instanceRef zpuino_io_addr_save_q_11)) - (portRef C (instanceRef zpuino_io_addr_save_q_12)) - (portRef C (instanceRef zpuino_io_addr_save_q_13)) - (portRef C (instanceRef zpuino_io_addr_save_q_14)) - (portRef C (instanceRef zpuino_io_addr_save_q_15)) - (portRef C (instanceRef zpuino_io_addr_save_q_16)) - (portRef C (instanceRef zpuino_io_addr_save_q_23)) - (portRef C (instanceRef zpuino_io_addr_save_q_24)) - (portRef C (instanceRef zpuino_io_addr_save_q_25)) - (portRef C (instanceRef zpuino_io_addr_save_q_26)) - (portRef C (instanceRef zpuino_io_io_cyc_renamed_309)) - (portRef C (instanceRef zpuino_io_io_we_renamed_310)) - (portRef C (instanceRef slot9_ram_mi_addrh_q_renamed_311)) - (portRef C (instanceRef zpuino_core_shl_d_0)) - (portRef C (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef C (instanceRef slot1_cpol_renamed_319)) - (portRef C (instanceRef slot1_trans_renamed_318)) - (portRef C (instanceRef slot1_spi_transfersize_q_0)) - (portRef C (instanceRef slot1_spi_transfersize_q_1)) - (portRef C (instanceRef slot1_spi_en_renamed_317)) - (portRef C (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef C (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef C (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef C (instanceRef slot1_spi_samprise_renamed_313)) - (portRef C (instanceRef slot1_spi_clk_pres_0)) - (portRef C (instanceRef slot1_spi_clk_pres_1)) - (portRef C (instanceRef slot1_spi_clk_pres_2)) - (portRef C (instanceRef slot0_cpol_renamed_326)) - (portRef C (instanceRef slot0_trans_renamed_325)) - (portRef C (instanceRef slot0_spi_transfersize_q_0)) - (portRef C (instanceRef slot0_spi_transfersize_q_1)) - (portRef C (instanceRef slot0_spi_en_renamed_324)) - (portRef C (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef C (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef C (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef C (instanceRef slot0_spi_samprise_renamed_320)) - (portRef C (instanceRef slot0_spi_clk_pres_0)) - (portRef C (instanceRef slot0_spi_clk_pres_1)) - (portRef C (instanceRef slot0_spi_clk_pres_2)) - (portRef CLK (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef CLK (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef CLK (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef C (instanceRef rstgen_rstcount_0)) - (portRef C (instanceRef rstgen_rstcount_1)) - (portRef C (instanceRef rstgen_rstcount_2)) - (portRef C (instanceRef rstgen_rstcount_5)) - (portRef C (instanceRef rstgen_rstcount_3)) - (portRef C (instanceRef rstgen_rstcount_4)) - (portRef C (instanceRef rstgen_rstcount_6)) - (portRef C (instanceRef rstgen_rstcount_7)) - (portRef C (instanceRef rstgen_rstcount_8)) - (portRef C (instanceRef rstgen_rstcount_9)) - (portRef C (instanceRef rstgen_rstcount_10)) - (portRef C (instanceRef rstgen_rstcount_11)) - (portRef C (instanceRef rstgen_rstcount_12)) - (portRef C (instanceRef rstgen_rstcount_13)) - (portRef C (instanceRef rstgen_rstcount_14)) - (portRef C (instanceRef rstgen_rstcount_15)) - (portRef C (instanceRef rstgen_rstcount_16)) - (portRef C (instanceRef rstgen_rstcount_17)) - (portRef C (instanceRef rstgen_rstcount_20)) - (portRef C (instanceRef rstgen_rstcount_18)) - (portRef C (instanceRef rstgen_rstcount_19)) - (portRef C (instanceRef rstgen_rstcount_21)) - (portRef C (instanceRef rstgen_rstcount_22)) - (portRef C (instanceRef rstgen_rstcount_23)) - (portRef C (instanceRef rstgen_rstcount_24)) - (portRef C (instanceRef rstgen_rstcount_25)) - (portRef C (instanceRef rstgen_rstcount_26)) - (portRef C (instanceRef rstgen_rstcount_27)) - (portRef C (instanceRef rstgen_rstcount_28)) - (portRef C (instanceRef rstgen_rstcount_29)) - (portRef C (instanceRef rstgen_rstcount_30)) - (portRef C (instanceRef rstgen_rstcount_31)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356)) - (portRef C (instanceRef zpuino_core_decr_state_FSM_FFd2_renamed_408)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd14_renamed_421)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd13_renamed_420)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd12_renamed_419)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd11_renamed_418)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd10_renamed_417)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd9_renamed_416)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd7_renamed_415)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd6_renamed_414)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd5_renamed_413)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd4_renamed_412)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd3_renamed_411)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd2_renamed_410)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd1_renamed_409)) - (portRef C (instanceRef sid_sd_data_out_renamed_422)) - (portRef C (instanceRef sid_sd_sigma_latch_18)) - (portRef C (instanceRef sid_sd_sigma_latch_19)) - (portRef C (instanceRef gpio_inst_ppspin_q_98)) - (portRef C (instanceRef gpio_inst_ppspin_q_96)) - (portRef C (instanceRef gpio_inst_ppspin_q_97)) - (portRef C (instanceRef gpio_inst_gpio_q_1)) - (portRef C (instanceRef gpio_inst_ppspin_q_99)) - (portRef C (instanceRef gpio_inst_gpio_q_0)) - (portRef C (instanceRef gpio_inst_gpio_q_4)) - (portRef C (instanceRef gpio_inst_gpio_q_2)) - (portRef C (instanceRef gpio_inst_gpio_q_3)) - (portRef C (instanceRef gpio_inst_gpio_q_7)) - (portRef C (instanceRef gpio_inst_gpio_q_5)) - (portRef C (instanceRef gpio_inst_gpio_q_6)) - (portRef C (instanceRef gpio_inst_gpio_q_8)) - (portRef C (instanceRef gpio_inst_gpio_q_9)) - (portRef C (instanceRef gpio_inst_gpio_q_12)) - (portRef C (instanceRef gpio_inst_gpio_q_10)) - (portRef C (instanceRef gpio_inst_gpio_q_11)) - (portRef C (instanceRef gpio_inst_gpio_q_13)) - (portRef C (instanceRef gpio_inst_gpio_q_14)) - (portRef C (instanceRef gpio_inst_gpio_q_15)) - (portRef C (instanceRef gpio_inst_gpio_q_24)) - (portRef C (instanceRef gpio_inst_gpio_q_31)) - (portRef C (instanceRef gpio_inst_gpio_q_30)) - (portRef C (instanceRef gpio_inst_gpio_q_25)) - (portRef C (instanceRef gpio_inst_gpio_q_27)) - (portRef C (instanceRef gpio_inst_gpio_q_26)) - (portRef C (instanceRef gpio_inst_gpio_q_32)) - (portRef C (instanceRef gpio_inst_gpio_q_28)) - (portRef C (instanceRef gpio_inst_gpio_q_33)) - (portRef C (instanceRef gpio_inst_gpio_q_29)) - (portRef C (instanceRef gpio_inst_gpio_q_41)) - (portRef C (instanceRef gpio_inst_gpio_q_40)) - (portRef C (instanceRef gpio_inst_gpio_q_42)) - (portRef C (instanceRef gpio_inst_gpio_q_44)) - (portRef C (instanceRef gpio_inst_gpio_q_43)) - (portRef C (instanceRef gpio_inst_gpio_q_47)) - (portRef C (instanceRef gpio_inst_gpio_q_45)) - (portRef C (instanceRef gpio_inst_gpio_q_46)) - (portRef C (instanceRef gpio_inst_ppspin_q_101)) - (portRef C (instanceRef gpio_inst_gpio_q_48)) - (portRef C (instanceRef gpio_inst_ppspin_q_100)) - (portRef C (instanceRef gpio_inst_ppspin_q_104)) - (portRef C (instanceRef gpio_inst_ppspin_q_102)) - (portRef C (instanceRef gpio_inst_ppspin_q_103)) - (portRef C (instanceRef gpio_inst_ppspin_q_106)) - (portRef C (instanceRef gpio_inst_ppspin_q_105)) - (portRef C (instanceRef gpio_inst_ppspin_q_110)) - (portRef C (instanceRef gpio_inst_ppspin_q_112)) - (portRef C (instanceRef gpio_inst_ppspin_q_111)) - (portRef C (instanceRef gpio_inst_ppspin_q_107)) - (portRef C (instanceRef gpio_inst_ppspin_q_113)) - (portRef C (instanceRef gpio_inst_ppspin_q_108)) - (portRef C (instanceRef gpio_inst_ppspin_q_114)) - (portRef C (instanceRef gpio_inst_ppspin_q_109)) - (portRef C (instanceRef gpio_inst_ppspin_q_120)) - (portRef C (instanceRef gpio_inst_ppspin_q_115)) - (portRef C (instanceRef gpio_inst_ppspin_q_121)) - (portRef C (instanceRef gpio_inst_ppspin_q_116)) - (portRef C (instanceRef gpio_inst_ppspin_q_122)) - (portRef C (instanceRef gpio_inst_ppspin_q_117)) - (portRef C (instanceRef gpio_inst_ppspin_q_118)) - (portRef C (instanceRef gpio_inst_ppspin_q_123)) - (portRef C (instanceRef gpio_inst_ppspin_q_124)) - (portRef C (instanceRef gpio_inst_ppspin_q_119)) - (portRef C (instanceRef gpio_inst_ppspin_q_125)) - (portRef C (instanceRef gpio_inst_ppspin_q_126)) - (portRef C (instanceRef gpio_inst_ppspin_q_127)) - (portRef C (instanceRef gpio_inst_ppspin_q_0)) - (portRef C (instanceRef gpio_inst_ppspin_q_1)) - (portRef C (instanceRef gpio_inst_ppspin_q_3)) - (portRef C (instanceRef gpio_inst_ppspin_q_2)) - (portRef C (instanceRef gpio_inst_ppspin_q_4)) - (portRef C (instanceRef gpio_inst_ppspin_q_5)) - (portRef C (instanceRef gpio_inst_ppspin_q_6)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef C (instanceRef gpio_inst_ppspin_q_7)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef C (instanceRef gpio_inst_ppspin_q_8)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef C (instanceRef gpio_inst_ppspin_q_9)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef C (instanceRef gpio_inst_ppspin_q_10)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef C (instanceRef gpio_inst_ppspin_q_13)) - (portRef C (instanceRef gpio_inst_ppspin_q_11)) - (portRef C (instanceRef gpio_inst_ppspin_q_12)) - (portRef C (instanceRef gpio_inst_ppspin_q_15)) - (portRef C (instanceRef gpio_inst_ppspin_q_14)) - (portRef C (instanceRef gpio_inst_ppspin_q_20)) - (portRef C (instanceRef gpio_inst_ppspin_q_21)) - (portRef C (instanceRef gpio_inst_ppspin_q_16)) - (portRef C (instanceRef gpio_inst_ppspin_q_23)) - (portRef C (instanceRef gpio_inst_ppspin_q_22)) - (portRef C (instanceRef gpio_inst_ppspin_q_17)) - (portRef C (instanceRef gpio_inst_ppspin_q_19)) - (portRef C (instanceRef gpio_inst_ppspin_q_18)) - (portRef C (instanceRef gpio_inst_ppspin_q_24)) - (portRef C (instanceRef gpio_inst_ppspin_q_31)) - (portRef C (instanceRef gpio_inst_ppspin_q_25)) - (portRef C (instanceRef gpio_inst_ppspin_q_30)) - (portRef C (instanceRef gpio_inst_ppspin_q_32)) - (portRef C (instanceRef gpio_inst_ppspin_q_26)) - (portRef C (instanceRef gpio_inst_ppspin_q_27)) - (portRef C (instanceRef gpio_inst_ppspin_q_29)) - (portRef C (instanceRef gpio_inst_ppspin_q_28)) - (portRef C (instanceRef gpio_inst_ppspin_q_33)) - (portRef C (instanceRef gpio_inst_ppspin_q_35)) - (portRef C (instanceRef gpio_inst_ppspin_q_34)) - (portRef C (instanceRef gpio_inst_ppspin_q_40)) - (portRef C (instanceRef gpio_inst_ppspin_q_36)) - (portRef C (instanceRef gpio_inst_ppspin_q_41)) - (portRef C (instanceRef gpio_inst_ppspin_q_37)) - (portRef C (instanceRef gpio_inst_ppspin_q_42)) - (portRef C (instanceRef gpio_inst_ppspin_q_38)) - (portRef C (instanceRef gpio_inst_ppspin_q_43)) - (portRef C (instanceRef gpio_inst_ppspin_q_39)) - (portRef C (instanceRef gpio_inst_ppspin_q_44)) - (portRef C (instanceRef gpio_inst_ppspin_q_45)) - (portRef C (instanceRef gpio_inst_ppspin_q_50)) - (portRef C (instanceRef gpio_inst_ppspin_q_46)) - (portRef C (instanceRef gpio_inst_ppspin_q_51)) - (portRef C (instanceRef gpio_inst_ppspin_q_47)) - (portRef C (instanceRef gpio_inst_ppspin_q_52)) - (portRef C (instanceRef gpio_inst_ppspin_q_48)) - (portRef C (instanceRef gpio_inst_ppspin_q_53)) - (portRef C (instanceRef gpio_inst_ppspin_q_49)) - (portRef C (instanceRef gpio_inst_ppspin_q_54)) - (portRef C (instanceRef gpio_inst_ppspin_q_55)) - (portRef C (instanceRef gpio_inst_ppspin_q_60)) - (portRef C (instanceRef gpio_inst_ppspin_q_61)) - (portRef C (instanceRef gpio_inst_ppspin_q_56)) - (portRef C (instanceRef gpio_inst_ppspin_q_58)) - (portRef C (instanceRef gpio_inst_ppspin_q_57)) - (portRef C (instanceRef gpio_inst_ppspin_q_62)) - (portRef C (instanceRef gpio_inst_ppspin_q_64)) - (portRef C (instanceRef gpio_inst_ppspin_q_63)) - (portRef C (instanceRef gpio_inst_ppspin_q_59)) - (portRef C (instanceRef gpio_inst_ppspin_q_66)) - (portRef C (instanceRef gpio_inst_ppspin_q_65)) - (portRef C (instanceRef gpio_inst_ppspin_q_70)) - (portRef C (instanceRef gpio_inst_ppspin_q_72)) - (portRef C (instanceRef gpio_inst_ppspin_q_71)) - (portRef C (instanceRef gpio_inst_ppspin_q_67)) - (portRef C (instanceRef gpio_inst_ppspin_q_69)) - (portRef C (instanceRef gpio_inst_ppspin_q_68)) - (portRef C (instanceRef gpio_inst_ppspin_q_73)) - (portRef C (instanceRef gpio_inst_ppspin_q_80)) - (portRef C (instanceRef gpio_inst_ppspin_q_74)) - (portRef C (instanceRef gpio_inst_ppspin_q_75)) - (portRef C (instanceRef gpio_inst_ppspin_q_77)) - (portRef C (instanceRef gpio_inst_ppspin_q_76)) - (portRef C (instanceRef gpio_inst_ppspin_q_81)) - (portRef C (instanceRef gpio_inst_ppspin_q_83)) - (portRef C (instanceRef gpio_inst_ppspin_q_82)) - (portRef C (instanceRef gpio_inst_ppspin_q_78)) - (portRef C (instanceRef gpio_inst_ppspin_q_85)) - (portRef C (instanceRef gpio_inst_ppspin_q_79)) - (portRef C (instanceRef gpio_inst_ppspin_q_84)) - (portRef C (instanceRef gpio_inst_ppspin_q_91)) - (portRef C (instanceRef gpio_inst_ppspin_q_90)) - (portRef C (instanceRef gpio_inst_ppspin_q_86)) - (portRef C (instanceRef gpio_inst_ppspin_q_88)) - (portRef C (instanceRef gpio_inst_ppspin_q_87)) - (portRef C (instanceRef gpio_inst_ppspin_q_92)) - (portRef C (instanceRef gpio_inst_ppspin_q_94)) - (portRef C (instanceRef gpio_inst_ppspin_q_93)) - (portRef C (instanceRef gpio_inst_ppspin_q_89)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_0_renamed_762)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_1_renamed_761)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_2_renamed_760)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_3_renamed_759)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_4_renamed_758)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_5_renamed_757)) - (portRef C (instanceRef gpio_inst_ppspin_q_95)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_0_renamed_756)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_1_renamed_755)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_2_renamed_754)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_3_renamed_753)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_4_renamed_752)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_5_renamed_751)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_0_renamed_750)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_1_renamed_749)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_2_renamed_748)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_3_renamed_747)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_4_renamed_746)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_5_renamed_745)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_0_renamed_744)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_1_renamed_743)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_2_renamed_742)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_3_renamed_741)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_4_renamed_740)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_5_renamed_739)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_0_renamed_738)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_1_renamed_737)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_2_renamed_736)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_3_renamed_735)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_4_renamed_734)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_5_renamed_733)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_0_renamed_732)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_1_renamed_731)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_2_renamed_730)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_3_renamed_729)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_4_renamed_728)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_5_renamed_727)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_0_renamed_726)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_1_renamed_725)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_2_renamed_724)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_3_renamed_723)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_4_renamed_722)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_5_renamed_721)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_0_renamed_720)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_1_renamed_719)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_2_renamed_718)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_3_renamed_717)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_4_renamed_716)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_5_renamed_715)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_0_renamed_714)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_1_renamed_713)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_2_renamed_712)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_3_renamed_711)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_4_renamed_710)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_5_renamed_709)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_0_renamed_708)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_1_renamed_707)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_2_renamed_706)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_3_renamed_705)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_4_renamed_704)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_5_renamed_703)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_0_renamed_702)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_1_renamed_701)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_2_renamed_700)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_3_renamed_699)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_4_renamed_698)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_5_renamed_697)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_0_renamed_696)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_1_renamed_695)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_2_renamed_694)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_3_renamed_693)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_4_renamed_692)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_5_renamed_691)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_0_renamed_690)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_1_renamed_689)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_2_renamed_688)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_3_renamed_687)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_4_renamed_686)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_5_renamed_685)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_0_renamed_684)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_1_renamed_683)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_2_renamed_682)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_3_renamed_681)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_4_renamed_680)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_5_renamed_679)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_0_renamed_678)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_1_renamed_677)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_2_renamed_676)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_3_renamed_675)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_4_renamed_674)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_5_renamed_673)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_0_renamed_672)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_1_renamed_671)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_2_renamed_670)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_3_renamed_669)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_4_renamed_668)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_5_renamed_667)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_0_renamed_666)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_1_renamed_665)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_2_renamed_664)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_3_renamed_663)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_4_renamed_662)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_5_renamed_661)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_0_renamed_660)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_1_renamed_659)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_2_renamed_658)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_3_renamed_657)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_4_renamed_656)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_5_renamed_655)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_0_renamed_654)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_1_renamed_653)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_2_renamed_652)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_3_renamed_651)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_4_renamed_650)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_5_renamed_649)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_0_renamed_648)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_1_renamed_647)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_2_renamed_646)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_3_renamed_645)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_4_renamed_644)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_5_renamed_643)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_0_renamed_642)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_1_renamed_641)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_2_renamed_640)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_3_renamed_639)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_4_renamed_638)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_5_renamed_637)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_0_renamed_636)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_1_renamed_635)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_2_renamed_634)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_3_renamed_633)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_4_renamed_632)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_5_renamed_631)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_0_renamed_630)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_1_renamed_629)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_2_renamed_628)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_3_renamed_627)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_4_renamed_626)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_5_renamed_625)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_0_renamed_624)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_1_renamed_623)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_2_renamed_622)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_3_renamed_621)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_4_renamed_620)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_5_renamed_619)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_0_renamed_618)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_1_renamed_617)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_2_renamed_616)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_3_renamed_615)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_4_renamed_614)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_5_renamed_613)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_0_renamed_612)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_1_renamed_611)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_2_renamed_610)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_3_renamed_609)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_4_renamed_608)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_5_renamed_607)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_0_renamed_606)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_1_renamed_605)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_2_renamed_604)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_3_renamed_603)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_4_renamed_602)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_5_renamed_601)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_0_renamed_600)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_1_renamed_599)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_2_renamed_598)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_3_renamed_597)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_4_renamed_596)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_5_renamed_595)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_0_renamed_594)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_1_renamed_593)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_2_renamed_592)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_3_renamed_591)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_4_renamed_590)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_5_renamed_589)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_0_renamed_588)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_1_renamed_587)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_2_renamed_586)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_3_renamed_585)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_4_renamed_584)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_5_renamed_583)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_0_renamed_582)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_1_renamed_581)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_2_renamed_580)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_3_renamed_579)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_4_renamed_578)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_5_renamed_577)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_0_renamed_576)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_1_renamed_575)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_2_renamed_574)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_3_renamed_573)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_4_renamed_572)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_5_renamed_571)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_0_renamed_570)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_1_renamed_569)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_2_renamed_568)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_3_renamed_567)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_4_renamed_566)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_5_renamed_565)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_6_renamed_564)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_0_renamed_563)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_1_renamed_562)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_2_renamed_561)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_3_renamed_560)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_4_renamed_559)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_5_renamed_558)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_0_renamed_557)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_1_renamed_556)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_2_renamed_555)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_3_renamed_554)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_4_renamed_553)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_5_renamed_552)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_0_renamed_551)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_1_renamed_550)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_2_renamed_549)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_3_renamed_548)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_4_renamed_547)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_5_renamed_546)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_6_renamed_545)) - (portRef C (instanceRef gpio_inst_gpio_o_48)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef C (instanceRef sigmadelta_inst_le_q_renamed_763)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef C (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef C (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_0)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_1)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_2)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_3)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_4)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_5)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_6)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_7)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_8)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_9)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_10)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_11)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_12)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_13)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_14)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_15)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_0)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_1)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_2)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_3)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_4)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_5)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_6)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_7)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_8)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_9)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_10)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_11)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_12)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_13)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_14)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_15)) - (portRef C (instanceRef sigmadelta_inst_sdout_0)) - (portRef C (instanceRef sigmadelta_inst_sdout_1)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_17)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_17)) - (portRef C (instanceRef crc16_inst_crc_q_0)) - (portRef C (instanceRef crc16_inst_crc_q_1)) - (portRef C (instanceRef crc16_inst_crc_q_2)) - (portRef C (instanceRef crc16_inst_crc_q_3)) - (portRef C (instanceRef crc16_inst_crc_q_4)) - (portRef C (instanceRef crc16_inst_crc_q_5)) - (portRef C (instanceRef crc16_inst_crc_q_6)) - (portRef C (instanceRef crc16_inst_crc_q_7)) - (portRef C (instanceRef crc16_inst_crc_q_8)) - (portRef C (instanceRef crc16_inst_crc_q_9)) - (portRef C (instanceRef crc16_inst_crc_q_10)) - (portRef C (instanceRef crc16_inst_crc_q_11)) - (portRef C (instanceRef crc16_inst_crc_q_12)) - (portRef C (instanceRef crc16_inst_crc_q_13)) - (portRef C (instanceRef crc16_inst_crc_q_14)) - (portRef C (instanceRef crc16_inst_crc_q_15)) - (portRef C (instanceRef crc16_inst_data_q_0)) - (portRef C (instanceRef crc16_inst_data_q_1)) - (portRef C (instanceRef crc16_inst_data_q_2)) - (portRef C (instanceRef crc16_inst_data_q_3)) - (portRef C (instanceRef crc16_inst_data_q_4)) - (portRef C (instanceRef crc16_inst_data_q_5)) - (portRef C (instanceRef crc16_inst_data_q_6)) - (portRef C (instanceRef crc16_inst_data_q_7)) - (portRef C (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef C (instanceRef crc16_inst_count_q_0)) - (portRef C (instanceRef crc16_inst_count_q_1)) - (portRef C (instanceRef crc16_inst_count_q_2)) - (portRef C (instanceRef crc16_inst_poly_q_0)) - (portRef C (instanceRef crc16_inst_poly_q_1)) - (portRef C (instanceRef crc16_inst_poly_q_2)) - (portRef C (instanceRef crc16_inst_poly_q_3)) - (portRef C (instanceRef crc16_inst_poly_q_4)) - (portRef C (instanceRef crc16_inst_poly_q_5)) - (portRef C (instanceRef crc16_inst_poly_q_6)) - (portRef C (instanceRef crc16_inst_poly_q_7)) - (portRef C (instanceRef crc16_inst_poly_q_8)) - (portRef C (instanceRef crc16_inst_poly_q_9)) - (portRef C (instanceRef crc16_inst_poly_q_10)) - (portRef C (instanceRef crc16_inst_poly_q_11)) - (portRef C (instanceRef crc16_inst_poly_q_12)) - (portRef C (instanceRef crc16_inst_poly_q_13)) - (portRef C (instanceRef crc16_inst_poly_q_14)) - (portRef C (instanceRef crc16_inst_poly_q_15)) - (portRef C (instanceRef crc16_inst_crcA_q_0)) - (portRef C (instanceRef crc16_inst_crcA_q_1)) - (portRef C (instanceRef crc16_inst_crcA_q_2)) - (portRef C (instanceRef crc16_inst_crcA_q_3)) - (portRef C (instanceRef crc16_inst_crcA_q_4)) - (portRef C (instanceRef crc16_inst_crcA_q_5)) - (portRef C (instanceRef crc16_inst_crcA_q_6)) - (portRef C (instanceRef crc16_inst_crcA_q_7)) - (portRef C (instanceRef crc16_inst_crcA_q_8)) - (portRef C (instanceRef crc16_inst_crcA_q_9)) - (portRef C (instanceRef crc16_inst_crcA_q_10)) - (portRef C (instanceRef crc16_inst_crcA_q_11)) - (portRef C (instanceRef crc16_inst_crcA_q_12)) - (portRef C (instanceRef crc16_inst_crcA_q_13)) - (portRef C (instanceRef crc16_inst_crcA_q_14)) - (portRef C (instanceRef crc16_inst_crcA_q_15)) - (portRef C (instanceRef crc16_inst_crcB_q_0)) - (portRef C (instanceRef crc16_inst_crcB_q_1)) - (portRef C (instanceRef crc16_inst_crcB_q_2)) - (portRef C (instanceRef crc16_inst_crcB_q_3)) - (portRef C (instanceRef crc16_inst_crcB_q_4)) - (portRef C (instanceRef crc16_inst_crcB_q_5)) - (portRef C (instanceRef crc16_inst_crcB_q_6)) - (portRef C (instanceRef crc16_inst_crcB_q_7)) - (portRef C (instanceRef crc16_inst_crcB_q_8)) - (portRef C (instanceRef crc16_inst_crcB_q_9)) - (portRef C (instanceRef crc16_inst_crcB_q_10)) - (portRef C (instanceRef crc16_inst_crcB_q_11)) - (portRef C (instanceRef crc16_inst_crcB_q_12)) - (portRef C (instanceRef crc16_inst_crcB_q_13)) - (portRef C (instanceRef crc16_inst_crcB_q_14)) - (portRef C (instanceRef crc16_inst_crcB_q_15)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_16)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_17)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_0)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_1)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_2)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_3)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_4)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_5)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_6)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_7)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_8)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_9)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_10)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_11)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_12)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_13)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_14)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_15)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_16)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_17)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_0)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_1)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_in_q_3)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_in_q_4)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_2)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_5)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_3)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_4)) - (portRef C (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_6)) - (portRef C (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_7)) - (portRef C (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_8)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_9)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_10)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_11)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_12)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_13)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_14)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_15)) - (portRef C (instanceRef slot0_zspi_ignore_sample_q_renamed_804)) - (portRef C (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef C (instanceRef slot0_zspi_write_reg_q_0)) - (portRef C (instanceRef slot0_zspi_write_reg_q_1)) - (portRef C (instanceRef slot0_zspi_write_reg_q_2)) - (portRef C (instanceRef slot0_zspi_write_reg_q_3)) - (portRef C (instanceRef slot0_zspi_write_reg_q_4)) - (portRef C (instanceRef slot0_zspi_write_reg_q_5)) - (portRef C (instanceRef slot0_zspi_write_reg_q_6)) - (portRef C (instanceRef slot0_zspi_write_reg_q_7)) - (portRef C (instanceRef slot0_zspi_write_reg_q_8)) - (portRef C (instanceRef slot0_zspi_write_reg_q_9)) - (portRef C (instanceRef slot0_zspi_write_reg_q_10)) - (portRef C (instanceRef slot0_zspi_write_reg_q_11)) - (portRef C (instanceRef slot0_zspi_write_reg_q_12)) - (portRef C (instanceRef slot0_zspi_write_reg_q_13)) - (portRef C (instanceRef slot0_zspi_write_reg_q_14)) - (portRef C (instanceRef slot0_zspi_write_reg_q_15)) - (portRef C (instanceRef slot0_zspi_write_reg_q_16)) - (portRef C (instanceRef slot0_zspi_write_reg_q_17)) - (portRef C (instanceRef slot0_zspi_write_reg_q_18)) - (portRef C (instanceRef slot0_zspi_write_reg_q_19)) - (portRef C (instanceRef slot0_zspi_write_reg_q_20)) - (portRef C (instanceRef slot0_zspi_write_reg_q_21)) - (portRef C (instanceRef slot0_zspi_write_reg_q_22)) - (portRef C (instanceRef slot0_zspi_write_reg_q_23)) - (portRef C (instanceRef slot0_zspi_write_reg_q_24)) - (portRef C (instanceRef slot0_zspi_write_reg_q_25)) - (portRef C (instanceRef slot0_zspi_write_reg_q_26)) - (portRef C (instanceRef slot0_zspi_write_reg_q_27)) - (portRef C (instanceRef slot0_zspi_write_reg_q_28)) - (portRef C (instanceRef slot0_zspi_write_reg_q_29)) - (portRef C (instanceRef slot0_zspi_write_reg_q_30)) - (portRef C (instanceRef slot0_zspi_write_reg_q_31)) - (portRef C (instanceRef slot0_zspi_read_reg_q_0)) - (portRef C (instanceRef slot0_zspi_read_reg_q_1)) - (portRef C (instanceRef slot0_zspi_read_reg_q_2)) - (portRef C (instanceRef slot0_zspi_read_reg_q_3)) - (portRef C (instanceRef slot0_zspi_read_reg_q_4)) - (portRef C (instanceRef slot0_zspi_read_reg_q_5)) - (portRef C (instanceRef slot0_zspi_read_reg_q_6)) - (portRef C (instanceRef slot0_zspi_read_reg_q_7)) - (portRef C (instanceRef slot0_zspi_read_reg_q_8)) - (portRef C (instanceRef slot0_zspi_read_reg_q_9)) - (portRef C (instanceRef slot0_zspi_read_reg_q_10)) - (portRef C (instanceRef slot0_zspi_read_reg_q_11)) - (portRef C (instanceRef slot0_zspi_read_reg_q_12)) - (portRef C (instanceRef slot0_zspi_read_reg_q_13)) - (portRef C (instanceRef slot0_zspi_read_reg_q_14)) - (portRef C (instanceRef slot0_zspi_read_reg_q_15)) - (portRef C (instanceRef slot0_zspi_read_reg_q_16)) - (portRef C (instanceRef slot0_zspi_read_reg_q_17)) - (portRef C (instanceRef slot0_zspi_read_reg_q_18)) - (portRef C (instanceRef slot0_zspi_read_reg_q_19)) - (portRef C (instanceRef slot0_zspi_read_reg_q_20)) - (portRef C (instanceRef slot0_zspi_read_reg_q_21)) - (portRef C (instanceRef slot0_zspi_read_reg_q_22)) - (portRef C (instanceRef slot0_zspi_read_reg_q_23)) - (portRef C (instanceRef slot0_zspi_read_reg_q_24)) - (portRef C (instanceRef slot0_zspi_read_reg_q_25)) - (portRef C (instanceRef slot0_zspi_read_reg_q_26)) - (portRef C (instanceRef slot0_zspi_read_reg_q_27)) - (portRef C (instanceRef slot0_zspi_read_reg_q_28)) - (portRef C (instanceRef slot0_zspi_read_reg_q_29)) - (portRef C (instanceRef slot0_zspi_read_reg_q_30)) - (portRef C (instanceRef slot0_zspi_read_reg_q_31)) - (portRef C (instanceRef slot0_zspi_mosi_renamed_802)) - (portRef C (instanceRef slot0_zspi_count_0)) - (portRef C (instanceRef slot0_zspi_count_1)) - (portRef C (instanceRef slot0_zspi_count_2)) - (portRef C (instanceRef slot0_zspi_count_3)) - (portRef C (instanceRef slot0_zspi_count_4)) - (portRef C (instanceRef slot0_zspi_count_5)) - (portRef C (instanceRef slot1_zspi_ignore_sample_q_renamed_809)) - (portRef C (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef C (instanceRef slot1_zspi_write_reg_q_0)) - (portRef C (instanceRef slot1_zspi_write_reg_q_1)) - (portRef C (instanceRef slot1_zspi_write_reg_q_2)) - (portRef C (instanceRef slot1_zspi_write_reg_q_3)) - (portRef C (instanceRef slot1_zspi_write_reg_q_4)) - (portRef C (instanceRef slot1_zspi_write_reg_q_5)) - (portRef C (instanceRef slot1_zspi_write_reg_q_6)) - (portRef C (instanceRef slot1_zspi_write_reg_q_7)) - (portRef C (instanceRef slot1_zspi_write_reg_q_8)) - (portRef C (instanceRef slot1_zspi_write_reg_q_9)) - (portRef C (instanceRef slot1_zspi_write_reg_q_10)) - (portRef C (instanceRef slot1_zspi_write_reg_q_11)) - (portRef C (instanceRef slot1_zspi_write_reg_q_12)) - (portRef C (instanceRef slot1_zspi_write_reg_q_13)) - (portRef C (instanceRef slot1_zspi_write_reg_q_14)) - (portRef C (instanceRef slot1_zspi_write_reg_q_15)) - (portRef C (instanceRef slot1_zspi_write_reg_q_16)) - (portRef C (instanceRef slot1_zspi_write_reg_q_17)) - (portRef C (instanceRef slot1_zspi_write_reg_q_18)) - (portRef C (instanceRef slot1_zspi_write_reg_q_19)) - (portRef C (instanceRef slot1_zspi_write_reg_q_20)) - (portRef C (instanceRef slot1_zspi_write_reg_q_21)) - (portRef C (instanceRef slot1_zspi_write_reg_q_22)) - (portRef C (instanceRef slot1_zspi_write_reg_q_23)) - (portRef C (instanceRef slot1_zspi_write_reg_q_24)) - (portRef C (instanceRef slot1_zspi_write_reg_q_25)) - (portRef C (instanceRef slot1_zspi_write_reg_q_26)) - (portRef C (instanceRef slot1_zspi_write_reg_q_27)) - (portRef C (instanceRef slot1_zspi_write_reg_q_28)) - (portRef C (instanceRef slot1_zspi_write_reg_q_29)) - (portRef C (instanceRef slot1_zspi_write_reg_q_30)) - (portRef C (instanceRef slot1_zspi_write_reg_q_31)) - (portRef C (instanceRef slot1_zspi_read_reg_q_0)) - (portRef C (instanceRef slot1_zspi_read_reg_q_1)) - (portRef C (instanceRef slot1_zspi_read_reg_q_2)) - (portRef C (instanceRef slot1_zspi_read_reg_q_3)) - (portRef C (instanceRef slot1_zspi_read_reg_q_4)) - (portRef C (instanceRef slot1_zspi_read_reg_q_5)) - (portRef C (instanceRef slot1_zspi_read_reg_q_6)) - (portRef C (instanceRef slot1_zspi_read_reg_q_7)) - (portRef C (instanceRef slot1_zspi_read_reg_q_8)) - (portRef C (instanceRef slot1_zspi_read_reg_q_9)) - (portRef C (instanceRef slot1_zspi_read_reg_q_10)) - (portRef C (instanceRef slot1_zspi_read_reg_q_11)) - (portRef C (instanceRef slot1_zspi_read_reg_q_12)) - (portRef C (instanceRef slot1_zspi_read_reg_q_13)) - (portRef C (instanceRef slot1_zspi_read_reg_q_14)) - (portRef C (instanceRef slot1_zspi_read_reg_q_15)) - (portRef C (instanceRef slot1_zspi_read_reg_q_16)) - (portRef C (instanceRef slot1_zspi_read_reg_q_17)) - (portRef C (instanceRef slot1_zspi_read_reg_q_18)) - (portRef C (instanceRef slot1_zspi_read_reg_q_19)) - (portRef C (instanceRef slot1_zspi_read_reg_q_20)) - (portRef C (instanceRef slot1_zspi_read_reg_q_21)) - (portRef C (instanceRef slot1_zspi_read_reg_q_22)) - (portRef C (instanceRef slot1_zspi_read_reg_q_23)) - (portRef C (instanceRef slot1_zspi_read_reg_q_24)) - (portRef C (instanceRef slot1_zspi_read_reg_q_25)) - (portRef C (instanceRef slot1_zspi_read_reg_q_26)) - (portRef C (instanceRef slot1_zspi_read_reg_q_27)) - (portRef C (instanceRef slot1_zspi_read_reg_q_28)) - (portRef C (instanceRef slot1_zspi_read_reg_q_29)) - (portRef C (instanceRef slot1_zspi_read_reg_q_30)) - (portRef C (instanceRef slot1_zspi_read_reg_q_31)) - (portRef C (instanceRef slot1_zspi_mosi_renamed_807)) - (portRef C (instanceRef slot1_zspi_count_0)) - (portRef C (instanceRef slot1_zspi_count_1)) - (portRef C (instanceRef slot1_zspi_count_2)) - (portRef C (instanceRef slot1_zspi_count_3)) - (portRef C (instanceRef slot1_zspi_count_4)) - (portRef C (instanceRef slot1_zspi_count_5)) - (portRef C (instanceRef clkgen_inst_rst2_q_renamed_811)) - (portRef C (instanceRef clkgen_inst_rst1_q_renamed_810)) - (portRef O (instanceRef clkgen_inst_clkfx_inst)) - (portRef C (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef C (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef C (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef C (instanceRef slot0_zspiclk_clkrise_i_renamed_826)) - (portRef C (instanceRef slot0_zspiclk_clkfall_i_renamed_825)) - (portRef C (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef C (instanceRef slot0_zspiclk_running_q_renamed_823)) - (portRef C (instanceRef slot0_zspiclk_spiclk_renamed_822)) - (portRef C (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef C (instanceRef slot0_zspiclk_pr_ck64_q_renamed_812)) - (portRef C (instanceRef slot0_zspiclk_pr_ck1024_q_renamed_813)) - (portRef C (instanceRef slot0_zspiclk_pr_ck8_q_renamed_814)) - (portRef C (instanceRef slot0_zspiclk_pr_ck16_q_renamed_815)) - (portRef C (instanceRef slot0_zspiclk_pr_ck2_q_renamed_816)) - (portRef C (instanceRef slot0_zspiclk_pr_ck4_q_renamed_817)) - (portRef C (instanceRef slot0_zspiclk_pr_ck256_q_renamed_818)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_0)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_1)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_2)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_3)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_4)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_5)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_6)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_7)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_8)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_9)) - (portRef C (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef C (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef C (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef C (instanceRef slot1_zspiclk_clkrise_i_renamed_841)) - (portRef C (instanceRef slot1_zspiclk_clkfall_i_renamed_840)) - (portRef C (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef C (instanceRef slot1_zspiclk_running_q_renamed_838)) - (portRef C (instanceRef slot1_zspiclk_spiclk_renamed_837)) - (portRef C (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef C (instanceRef slot1_zspiclk_pr_ck64_q_renamed_827)) - (portRef C (instanceRef slot1_zspiclk_pr_ck1024_q_renamed_828)) - (portRef C (instanceRef slot1_zspiclk_pr_ck8_q_renamed_829)) - (portRef C (instanceRef slot1_zspiclk_pr_ck16_q_renamed_830)) - (portRef C (instanceRef slot1_zspiclk_pr_ck2_q_renamed_831)) - (portRef C (instanceRef slot1_zspiclk_pr_ck4_q_renamed_832)) - (portRef C (instanceRef slot1_zspiclk_pr_ck256_q_renamed_833)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_0)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_1)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_2)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_3)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_4)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_5)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_6)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_7)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_8)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_9)) - (portRef C (instanceRef uart_inst_dready_q_renamed_856)) - (portRef C (instanceRef uart_inst_divider_rx_q_0)) - (portRef C (instanceRef uart_inst_divider_rx_q_1)) - (portRef C (instanceRef uart_inst_divider_rx_q_2)) - (portRef C (instanceRef uart_inst_divider_rx_q_3)) - (portRef C (instanceRef uart_inst_divider_rx_q_4)) - (portRef C (instanceRef uart_inst_divider_rx_q_5)) - (portRef C (instanceRef uart_inst_divider_rx_q_6)) - (portRef C (instanceRef uart_inst_divider_rx_q_7)) - (portRef C (instanceRef uart_inst_divider_rx_q_8)) - (portRef C (instanceRef uart_inst_divider_rx_q_9)) - (portRef C (instanceRef uart_inst_divider_rx_q_10)) - (portRef C (instanceRef uart_inst_divider_rx_q_11)) - (portRef C (instanceRef uart_inst_divider_rx_q_12)) - (portRef C (instanceRef uart_inst_divider_rx_q_13)) - (portRef C (instanceRef uart_inst_divider_rx_q_14)) - (portRef C (instanceRef uart_inst_divider_rx_q_15)) - (portRef C (instanceRef uart_inst_data_ready_dly_q_renamed_855)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_0)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_1)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_2)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_3)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_4)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_5)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_6)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_7)) - (portRef C (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef C (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - (portRef C (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef C (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef C (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef C (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef C (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef C (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef C (instanceRef uart_inst_tx_core_t_r_0)) - (portRef C (instanceRef uart_inst_tx_core_t_r_1)) - (portRef C (instanceRef uart_inst_tx_core_t_r_2)) - (portRef C (instanceRef uart_inst_tx_core_t_r_3)) - (portRef C (instanceRef uart_inst_tx_core_t_r_4)) - (portRef C (instanceRef uart_inst_tx_core_t_r_5)) - (portRef C (instanceRef uart_inst_tx_core_t_r_6)) - (portRef C (instanceRef uart_inst_tx_core_t_r_7)) - (portRef C (instanceRef uart_inst_rx_inst_datacount_0)) - (portRef C (instanceRef uart_inst_rx_inst_datacount_1)) - (portRef C (instanceRef uart_inst_rx_inst_datacount_2)) - (portRef C (instanceRef uart_inst_rx_inst_baudreset_renamed_848)) - (portRef C (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_7)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_6)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_5)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_4)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_3)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_2)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_1)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_0)) - (portRef C (instanceRef uart_inst_rx_inst_datao_0)) - (portRef C (instanceRef uart_inst_rx_inst_datao_1)) - (portRef C (instanceRef uart_inst_rx_inst_datao_2)) - (portRef C (instanceRef uart_inst_rx_inst_datao_3)) - (portRef C (instanceRef uart_inst_rx_inst_datao_4)) - (portRef C (instanceRef uart_inst_rx_inst_datao_5)) - (portRef C (instanceRef uart_inst_rx_inst_datao_6)) - (portRef C (instanceRef uart_inst_rx_inst_datao_7)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef C (instanceRef uart_inst_rx_inst_state_FSM_FFd2_renamed_846)) - (portRef C (instanceRef uart_inst_rx_inst_state_FSM_FFd1_renamed_847)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_clkout_renamed_845)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_0)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_1)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_2)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_3)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_4)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_5)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_6)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_7)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_8)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_9)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_10)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_11)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_12)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_13)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_14)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_15)) - (portRef C (instanceRef uart_inst_tx_timer_clkout_renamed_844)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_0)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_1)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_2)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_3)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_4)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_5)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_6)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_7)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_8)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_9)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_10)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_11)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_12)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_13)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_14)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_15)) - (portRef C (instanceRef uart_inst_rx_timer_clkout_renamed_843)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_0)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_1)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_2)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_3)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_4)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_5)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_6)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_7)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_8)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_9)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_10)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_11)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_12)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_13)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_14)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_15)) - (portRef C (instanceRef uart_inst_fifo_instance_empty_renamed_842)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_intr_renamed_997)) - (portRef C (instanceRef timers_inst_timer1_inst_pwm_out_0)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_intr_renamed_858)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_renamed_860)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_presrst_renamed_878)) - (portRef C (instanceRef timers_inst_timer0_inst_pwm_out_0)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0_renamed_879)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1_renamed_880)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2_renamed_881)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3_renamed_882)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4_renamed_883)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5_renamed_884)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6_renamed_885)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7_renamed_886)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8_renamed_887)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9_renamed_888)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10_renamed_889)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11_renamed_890)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12_renamed_891)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13_renamed_892)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14_renamed_893)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15_renamed_894)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_0_renamed_895)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_1_renamed_896)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_2_renamed_897)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_3_renamed_898)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_4_renamed_899)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_5_renamed_900)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_6_renamed_901)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_7_renamed_902)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_8_renamed_903)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_9_renamed_904)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_10_renamed_905)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_11_renamed_906)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_12_renamed_907)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_13_renamed_908)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_14_renamed_909)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_15_renamed_910)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0_renamed_933)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1_renamed_934)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2_renamed_935)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3_renamed_936)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4_renamed_937)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5_renamed_938)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6_renamed_939)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7_renamed_940)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8_renamed_941)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9_renamed_942)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10_renamed_943)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11_renamed_944)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12_renamed_945)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13_renamed_946)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14_renamed_947)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15_renamed_948)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_0)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_1)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_2)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_3)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_4)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_5)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_6)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_7)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_8)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_9)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_10)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_11)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_12)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_13)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_14)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_15)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_16)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_17)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_18)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_19)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_20)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_21)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_22)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_23)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_24)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_25)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_26)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_27)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_28)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_29)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_30)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_31)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9)) - (portRef C (instanceRef slot11_dready_q_renamed_1116)) - (portRef C (instanceRef slot11_divider_rx_q_0)) - (portRef C (instanceRef slot11_divider_rx_q_1)) - (portRef C (instanceRef slot11_divider_rx_q_2)) - (portRef C (instanceRef slot11_divider_rx_q_3)) - (portRef C (instanceRef slot11_divider_rx_q_4)) - (portRef C (instanceRef slot11_divider_rx_q_5)) - (portRef C (instanceRef slot11_divider_rx_q_6)) - (portRef C (instanceRef slot11_divider_rx_q_7)) - (portRef C (instanceRef slot11_divider_rx_q_8)) - (portRef C (instanceRef slot11_divider_rx_q_9)) - (portRef C (instanceRef slot11_divider_rx_q_10)) - (portRef C (instanceRef slot11_divider_rx_q_11)) - (portRef C (instanceRef slot11_divider_rx_q_12)) - (portRef C (instanceRef slot11_divider_rx_q_13)) - (portRef C (instanceRef slot11_divider_rx_q_14)) - (portRef C (instanceRef slot11_divider_rx_q_15)) - (portRef C (instanceRef slot11_data_ready_dly_q_renamed_1115)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_0)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_1)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_2)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_3)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_4)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_5)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_6)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_7)) - (portRef C (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef C (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef C (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef C (instanceRef slot11_tx_core_bitpos_0)) - (portRef C (instanceRef slot11_tx_core_bitpos_1)) - (portRef C (instanceRef slot11_tx_core_bitpos_2)) - (portRef C (instanceRef slot11_tx_core_bitpos_3)) - (portRef C (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef C (instanceRef slot11_tx_core_t_r_0)) - (portRef C (instanceRef slot11_tx_core_t_r_1)) - (portRef C (instanceRef slot11_tx_core_t_r_2)) - (portRef C (instanceRef slot11_tx_core_t_r_3)) - (portRef C (instanceRef slot11_tx_core_t_r_4)) - (portRef C (instanceRef slot11_tx_core_t_r_5)) - (portRef C (instanceRef slot11_tx_core_t_r_6)) - (portRef C (instanceRef slot11_tx_core_t_r_7)) - (portRef C (instanceRef slot11_rx_inst_datacount_0)) - (portRef C (instanceRef slot11_rx_inst_datacount_1)) - (portRef C (instanceRef slot11_rx_inst_datacount_2)) - (portRef C (instanceRef slot11_rx_inst_baudreset_renamed_1108)) - (portRef C (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef C (instanceRef slot11_rx_inst_rxd_7)) - (portRef C (instanceRef slot11_rx_inst_rxd_6)) - (portRef C (instanceRef slot11_rx_inst_rxd_5)) - (portRef C (instanceRef slot11_rx_inst_rxd_4)) - (portRef C (instanceRef slot11_rx_inst_rxd_3)) - (portRef C (instanceRef slot11_rx_inst_rxd_2)) - (portRef C (instanceRef slot11_rx_inst_rxd_1)) - (portRef C (instanceRef slot11_rx_inst_rxd_0)) - (portRef C (instanceRef slot11_rx_inst_datao_0)) - (portRef C (instanceRef slot11_rx_inst_datao_1)) - (portRef C (instanceRef slot11_rx_inst_datao_2)) - (portRef C (instanceRef slot11_rx_inst_datao_3)) - (portRef C (instanceRef slot11_rx_inst_datao_4)) - (portRef C (instanceRef slot11_rx_inst_datao_5)) - (portRef C (instanceRef slot11_rx_inst_datao_6)) - (portRef C (instanceRef slot11_rx_inst_datao_7)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef C (instanceRef slot11_rx_inst_state_FSM_FFd2_renamed_1106)) - (portRef C (instanceRef slot11_rx_inst_state_FSM_FFd1_renamed_1107)) - (portRef C (instanceRef slot11_rx_inst_baudgen_clkout_renamed_1105)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_0)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_1)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_2)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_3)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_4)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_5)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_6)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_7)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_8)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_9)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_10)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_11)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_12)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_13)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_14)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_15)) - (portRef C (instanceRef slot11_tx_timer_clkout_renamed_1104)) - (portRef C (instanceRef slot11_tx_timer_cnt_0)) - (portRef C (instanceRef slot11_tx_timer_cnt_1)) - (portRef C (instanceRef slot11_tx_timer_cnt_2)) - (portRef C (instanceRef slot11_tx_timer_cnt_3)) - (portRef C (instanceRef slot11_tx_timer_cnt_4)) - (portRef C (instanceRef slot11_tx_timer_cnt_5)) - (portRef C (instanceRef slot11_tx_timer_cnt_6)) - (portRef C (instanceRef slot11_tx_timer_cnt_7)) - (portRef C (instanceRef slot11_tx_timer_cnt_8)) - (portRef C (instanceRef slot11_tx_timer_cnt_9)) - (portRef C (instanceRef slot11_tx_timer_cnt_10)) - (portRef C (instanceRef slot11_tx_timer_cnt_11)) - (portRef C (instanceRef slot11_tx_timer_cnt_12)) - (portRef C (instanceRef slot11_tx_timer_cnt_13)) - (portRef C (instanceRef slot11_tx_timer_cnt_14)) - (portRef C (instanceRef slot11_tx_timer_cnt_15)) - (portRef C (instanceRef slot11_rx_timer_clkout_renamed_1103)) - (portRef C (instanceRef slot11_rx_timer_cnt_0)) - (portRef C (instanceRef slot11_rx_timer_cnt_1)) - (portRef C (instanceRef slot11_rx_timer_cnt_2)) - (portRef C (instanceRef slot11_rx_timer_cnt_3)) - (portRef C (instanceRef slot11_rx_timer_cnt_4)) - (portRef C (instanceRef slot11_rx_timer_cnt_5)) - (portRef C (instanceRef slot11_rx_timer_cnt_6)) - (portRef C (instanceRef slot11_rx_timer_cnt_7)) - (portRef C (instanceRef slot11_rx_timer_cnt_8)) - (portRef C (instanceRef slot11_rx_timer_cnt_9)) - (portRef C (instanceRef slot11_rx_timer_cnt_10)) - (portRef C (instanceRef slot11_rx_timer_cnt_11)) - (portRef C (instanceRef slot11_rx_timer_cnt_12)) - (portRef C (instanceRef slot11_rx_timer_cnt_13)) - (portRef C (instanceRef slot11_rx_timer_cnt_14)) - (portRef C (instanceRef slot11_rx_timer_cnt_15)) - (portRef C (instanceRef slot11_fifo_instance_empty_renamed_1102)) - (portRef C (instanceRef slot11_fifo_instance_read_0)) - (portRef C (instanceRef slot11_fifo_instance_read_1)) - (portRef C (instanceRef slot11_fifo_instance_read_2)) - (portRef C (instanceRef slot11_fifo_instance_read_3)) - (portRef C (instanceRef slot11_fifo_instance_read_4)) - (portRef C (instanceRef slot11_fifo_instance_read_5)) - (portRef C (instanceRef slot11_fifo_instance_read_6)) - (portRef C (instanceRef slot11_fifo_instance_read_7)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef C (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef C (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef C (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef C (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef C (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef C (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef C (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef C (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef C (instanceRef gpio_inst_gpio_o_24)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_24)) - (portRef C (instanceRef gpio_inst_gpio_o_25)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_25)) - (portRef C (instanceRef gpio_inst_gpio_o_26)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_26)) - (portRef C (instanceRef gpio_inst_gpio_o_27)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_27)) - (portRef C (instanceRef gpio_inst_gpio_o_28)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_28)) - (portRef C (instanceRef gpio_inst_gpio_o_29)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_29)) - (portRef C (instanceRef gpio_inst_gpio_o_30)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_30)) - (portRef C (instanceRef gpio_inst_gpio_o_31)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_31)) - (portRef C (instanceRef gpio_inst_gpio_o_42)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef C (instanceRef gpio_inst_gpio_o_43)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef C (instanceRef gpio_inst_gpio_o_32)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef C (instanceRef gpio_inst_gpio_o_44)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef C (instanceRef gpio_inst_gpio_o_33)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef C (instanceRef gpio_inst_gpio_o_45)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef C (instanceRef gpio_inst_gpio_o_46)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef C (instanceRef gpio_inst_gpio_o_47)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef C (instanceRef gpio_inst_gpio_o_40)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef C (instanceRef gpio_inst_gpio_o_41)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_41)) - (portRef C (instanceRef gpio_inst_gpio_o_15)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef C (instanceRef gpio_inst_gpio_o_14)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef C (instanceRef gpio_inst_gpio_o_13)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef C (instanceRef gpio_inst_gpio_o_12)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef C (instanceRef gpio_inst_gpio_o_11)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef C (instanceRef gpio_inst_gpio_o_10)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef C (instanceRef gpio_inst_gpio_o_9)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef C (instanceRef gpio_inst_gpio_o_8)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef C (instanceRef gpio_inst_gpio_o_7)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef C (instanceRef gpio_inst_gpio_o_6)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef C (instanceRef gpio_inst_gpio_o_5)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef C (instanceRef gpio_inst_gpio_o_4)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef C (instanceRef gpio_inst_gpio_o_3)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef C (instanceRef gpio_inst_gpio_o_2)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef C (instanceRef gpio_inst_gpio_o_1)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_0)) - (portRef C (instanceRef gpio_inst_gpio_o_0)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef CLKA (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef CLKA (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef CLKA (instanceRef zpuino_stack_stack)) - (portRef CLKB (instanceRef zpuino_stack_stack)) - (portRef CLKA (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef CLKB (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef C (instanceRef zpuino_core_shl_output_2)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef C (instanceRef zpuino_core_shl_output_0)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef C (instanceRef zpuino_core_shl_output_1)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef C (instanceRef zpuino_core_shl_output_3)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef C (instanceRef zpuino_core_shl_output_4)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef C (instanceRef zpuino_core_shl_output_5)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef C (instanceRef zpuino_core_shl_output_6)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef C (instanceRef zpuino_core_shl_output_7)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef C (instanceRef zpuino_core_shl_output_8)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef C (instanceRef zpuino_core_shl_output_9)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef C (instanceRef zpuino_core_shl_output_10)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef C (instanceRef zpuino_core_shl_output_11)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef C (instanceRef zpuino_core_shl_output_12)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef C (instanceRef zpuino_core_shl_output_13)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef C (instanceRef zpuino_core_shl_output_14)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef C (instanceRef zpuino_core_shl_output_15)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef C (instanceRef zpuino_core_shl_output_16)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef C (instanceRef zpuino_core_shl_output_19)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef C (instanceRef zpuino_core_shl_output_17)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef C (instanceRef zpuino_core_shl_output_18)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef C (instanceRef zpuino_core_shl_output_20)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef C (instanceRef zpuino_core_shl_output_21)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef C (instanceRef zpuino_core_shl_output_22)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef C (instanceRef zpuino_core_shl_output_23)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef C (instanceRef zpuino_core_shl_output_24)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef C (instanceRef zpuino_core_shl_output_25)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef C (instanceRef zpuino_core_shl_output_26)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef C (instanceRef zpuino_core_shl_output_27)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef C (instanceRef zpuino_core_shl_output_28)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef C (instanceRef zpuino_core_shl_output_29)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef C (instanceRef zpuino_core_shl_output_30)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef C (instanceRef zpuino_core_shl_output_31)) - (portRef CLK (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef C (instanceRef pin47_sync_ff2_renamed_2457)) - (portRef CLK (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef C (instanceRef pin46_sync_ff2_renamed_2459)) - (portRef CLK (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef C (instanceRef pin43_sync_ff2_renamed_2461)) - (portRef CLK (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef C (instanceRef pin45_sync_ff2_renamed_2463)) - (portRef CLK (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef C (instanceRef pin44_sync_ff2_renamed_2465)) - (portRef CLK (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef C (instanceRef pin42_sync_ff2_renamed_2467)) - (portRef CLK (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef C (instanceRef pin41_sync_ff2_renamed_2469)) - (portRef CLK (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef C (instanceRef pin40_sync_ff2_renamed_2471)) - (portRef CLK (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef C (instanceRef pin33_sync_ff2_renamed_2473)) - (portRef CLK (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef C (instanceRef pin32_sync_ff2_renamed_2475)) - (portRef CLK (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef C (instanceRef pin31_sync_ff2_renamed_2477)) - (portRef CLK (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef C (instanceRef pin30_sync_ff2_renamed_2479)) - (portRef CLK (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef C (instanceRef pin29_sync_ff2_renamed_2481)) - (portRef CLK (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef C (instanceRef pin28_sync_ff2_renamed_2483)) - (portRef CLK (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef C (instanceRef pin27_sync_ff2_renamed_2485)) - (portRef CLK (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef C (instanceRef pin26_sync_ff2_renamed_2487)) - (portRef CLK (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef C (instanceRef pin25_sync_ff2_renamed_2489)) - (portRef CLK (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef C (instanceRef pin24_sync_ff2_renamed_2491)) - (portRef CLK (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef C (instanceRef pin15_sync_ff2_renamed_2493)) - (portRef CLK (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef C (instanceRef pin14_sync_ff2_renamed_2495)) - (portRef CLK (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef C (instanceRef pin13_sync_ff2_renamed_2497)) - (portRef CLK (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef C (instanceRef pin12_sync_ff2_renamed_2499)) - (portRef CLK (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef C (instanceRef pin11_sync_ff2_renamed_2501)) - (portRef CLK (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef C (instanceRef pin10_sync_ff2_renamed_2503)) - (portRef CLK (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef C (instanceRef pin09_sync_ff2_renamed_2505)) - (portRef CLK (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef C (instanceRef pin08_sync_ff2_renamed_2507)) - (portRef CLK (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef C (instanceRef pin07_sync_ff2_renamed_2509)) - (portRef CLK (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef C (instanceRef pin06_sync_ff2_renamed_2511)) - (portRef CLK (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef C (instanceRef pin05_sync_ff2_renamed_2513)) - (portRef CLK (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef C (instanceRef pin04_sync_ff2_renamed_2515)) - (portRef CLK (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef C (instanceRef pin03_sync_ff2_renamed_2517)) - (portRef CLK (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef C (instanceRef pin02_sync_ff2_renamed_2519)) - (portRef CLK (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef C (instanceRef pin01_sync_ff2_renamed_2521)) - (portRef CLK (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef C (instanceRef pin00_sync_ff2_renamed_2523)) - (portRef CLK (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef C (instanceRef ibufrx_sync_ff2_renamed_2525)) - ) - ) - (net sysrst - (joined - (portRef R (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef S (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef S (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef R (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef R (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef R (instanceRef sigmadelta_inst_sdout_0)) - (portRef R (instanceRef sigmadelta_inst_sdout_1)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef S (instanceRef sigmadelta_inst_sigma_latch1_17)) - (portRef S (instanceRef sigmadelta_inst_sigma_latch2_17)) - (portRef S (instanceRef crc16_inst_crc_q_0)) - (portRef S (instanceRef crc16_inst_crc_q_1)) - (portRef S (instanceRef crc16_inst_crc_q_2)) - (portRef S (instanceRef crc16_inst_crc_q_3)) - (portRef S (instanceRef crc16_inst_crc_q_4)) - (portRef S (instanceRef crc16_inst_crc_q_5)) - (portRef S (instanceRef crc16_inst_crc_q_6)) - (portRef S (instanceRef crc16_inst_crc_q_7)) - (portRef S (instanceRef crc16_inst_crc_q_8)) - (portRef S (instanceRef crc16_inst_crc_q_9)) - (portRef S (instanceRef crc16_inst_crc_q_10)) - (portRef S (instanceRef crc16_inst_crc_q_11)) - (portRef S (instanceRef crc16_inst_crc_q_12)) - (portRef S (instanceRef crc16_inst_crc_q_13)) - (portRef S (instanceRef crc16_inst_crc_q_14)) - (portRef S (instanceRef crc16_inst_crc_q_15)) - (portRef S (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef S (instanceRef crc16_inst_poly_q_0)) - (portRef R (instanceRef crc16_inst_poly_q_1)) - (portRef R (instanceRef crc16_inst_poly_q_2)) - (portRef R (instanceRef crc16_inst_poly_q_3)) - (portRef R (instanceRef crc16_inst_poly_q_4)) - (portRef R (instanceRef crc16_inst_poly_q_5)) - (portRef R (instanceRef crc16_inst_poly_q_6)) - (portRef R (instanceRef crc16_inst_poly_q_7)) - (portRef R (instanceRef crc16_inst_poly_q_8)) - (portRef R (instanceRef crc16_inst_poly_q_9)) - (portRef R (instanceRef crc16_inst_poly_q_10)) - (portRef R (instanceRef crc16_inst_poly_q_11)) - (portRef R (instanceRef crc16_inst_poly_q_12)) - (portRef S (instanceRef crc16_inst_poly_q_13)) - (portRef R (instanceRef crc16_inst_poly_q_14)) - (portRef S (instanceRef crc16_inst_poly_q_15)) - (portRef R (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef R (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef S (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef R (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef S (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef R (instanceRef slot0_zspi_count_0)) - (portRef R (instanceRef slot0_zspi_count_1)) - (portRef R (instanceRef slot0_zspi_count_2)) - (portRef R (instanceRef slot0_zspi_count_3)) - (portRef R (instanceRef slot0_zspi_count_4)) - (portRef R (instanceRef slot0_zspi_count_5)) - (portRef S (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef R (instanceRef slot1_zspi_count_0)) - (portRef R (instanceRef slot1_zspi_count_1)) - (portRef R (instanceRef slot1_zspi_count_2)) - (portRef R (instanceRef slot1_zspi_count_3)) - (portRef R (instanceRef slot1_zspi_count_4)) - (portRef R (instanceRef slot1_zspi_count_5)) - (portRef R (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef R (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef R (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef R (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef R (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef R (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef R (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef R (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef R (instanceRef uart_inst_data_ready_dly_q_renamed_855)) - (portRef S (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef S (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - (portRef R (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef R (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef R (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef R (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef R (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef R (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef R (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef R (instanceRef uart_inst_rx_inst_state_FSM_FFd2_renamed_846)) - (portRef R (instanceRef uart_inst_rx_inst_state_FSM_FFd1_renamed_847)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef S (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef S (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_0)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_1)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_2)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_3)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_4)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_5)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_6)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_7)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_8)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_9)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_10)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_11)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_12)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_13)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_14)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_15)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_16)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_17)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_18)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_19)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_20)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_21)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_22)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_23)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_24)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_25)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_26)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_27)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_28)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_29)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_30)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_31)) - (portRef R (instanceRef slot11_data_ready_dly_q_renamed_1115)) - (portRef S (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef S (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef R (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef R (instanceRef slot11_tx_core_bitpos_0)) - (portRef R (instanceRef slot11_tx_core_bitpos_1)) - (portRef R (instanceRef slot11_tx_core_bitpos_2)) - (portRef R (instanceRef slot11_tx_core_bitpos_3)) - (portRef R (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef R (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef R (instanceRef slot11_rx_inst_state_FSM_FFd2_renamed_1106)) - (portRef R (instanceRef slot11_rx_inst_state_FSM_FFd1_renamed_1107)) - (portRef R (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef R (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef R (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef R (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef R (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef R (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef R (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef R (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef I2 (instanceRef slot1_zspi_ignore_sample_q_not00011)) - (portRef I2 (instanceRef slot0_zspi_ignore_sample_q_not00011)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudreset_or00001)) - (portRef I3 (instanceRef slot0_cpol_not00011)) - (portRef I0 (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - (portRef I0 (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - (portRef I3 (instanceRef slot0_trans_or00001)) - (portRef I0 (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - (portRef I3 (instanceRef uart_inst_divider_rx_q_not00011)) - (portRef I0 (instanceRef slot11_tx_core_tbuff_r_not00011)) - (portRef I3 (instanceRef slot11_divider_rx_q_not00011)) - (portRef I3 (instanceRef slot1_cpol_not00011)) - (portRef I3 (instanceRef slot1_trans_or00001)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - (portRef I3 (instanceRef crc16_inst_crcA_q_not00011)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - (portRef O (instanceRef rstgen_rstout_or00001)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - (portRef I0 (instanceRef slot11_fifo_instance__and000011)) - (portRef I2 (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - (portRef I3 (instanceRef slot1_zspiclk_spiclk_mux00001)) - (portRef I3 (instanceRef slot0_zspiclk_spiclk_mux00001)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - (portRef I3 (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - (portRef I3 (instanceRef uart_inst_rx_inst_datao_not00012)) - (portRef I3 (instanceRef slot11_rx_inst_rxd_0_not00011)) - (portRef I3 (instanceRef slot11_rx_inst_datao_not00012)) - (portRef I1 (instanceRef slot1_zspiclk_spiclk_not00011)) - (portRef I1 (instanceRef slot0_zspiclk_spiclk_not00011)) - (portRef S (instanceRef slot11_rx_inst_baudreset_or00001_f5)) - (portRef I0 (instanceRef zpuino_core_pfu_busy1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename timers_inst_timer0_cyc "timers_inst/timer0_cyc") - (joined - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef LO (instanceRef timers_inst_timer0_cyc1)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_0_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_10_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_10__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<10>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__rt_renamed_1799)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_11_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_11__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<11>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__rt_renamed_1800)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_12_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_12__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<12>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__rt_renamed_1801)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_13_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_13__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<13>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__rt_renamed_1802)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_14_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_14__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<14>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__rt_renamed_1803)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_15_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_16__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_15__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<15>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__rt_renamed_1804)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_16_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<16>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_17__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_16__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<16>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__rt_renamed_1805)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_16__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_17_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<17>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_18__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_17__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<17>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__rt_renamed_1806)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_17__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_18_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<18>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_19__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_18__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<18>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__rt_renamed_1807)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_18__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_19_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<19>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_20__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_19__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<19>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__rt_renamed_1808)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_19__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_1_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_1__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<1>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__rt_renamed_1790)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_20_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<20>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_21__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_20__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<20>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__rt_renamed_1809)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_20__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_21_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<21>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_22__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_21__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<21>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__rt_renamed_1810)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_21__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_22_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<22>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_23__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_22__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<22>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__rt_renamed_1811)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_22__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_23_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<23>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_24__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_23__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<23>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__rt_renamed_1812)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_23__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_24_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<24>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_25__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_24__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<24>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__rt_renamed_1813)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_24__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_25_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<25>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_26__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_25__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<25>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__rt_renamed_1814)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_25__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_26_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<26>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_27__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_26__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<26>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__rt_renamed_1815)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_26__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_27_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<27>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_28__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_27__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<27>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__rt_renamed_1816)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_27__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_28_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<28>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_29__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_28__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<28>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__rt_renamed_1817)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_28__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_29_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<29>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_30__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_29__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<29>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__rt_renamed_1818)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_29__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_2_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_2__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<2>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__rt_renamed_1791)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_30_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<30>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_30__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<30>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__rt_renamed_1819)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_30__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_3_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_3__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<3>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__rt_renamed_1792)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_4_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_4__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<4>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__rt_renamed_1793)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_5_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_5__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<5>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__rt_renamed_1794)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_6_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_6__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<6>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__rt_renamed_1795)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_7_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_7__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<7>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__rt_renamed_1796)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_8_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_8__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<8>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__rt_renamed_1797)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_9_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_9__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<9>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__rt_renamed_1798)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_lut_0_ "timers_inst/timer0_inst/Mcount_TSC_q_lut<0>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_0__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_0__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_lut_0__INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_31__rt "timers_inst/timer0_inst/Mcount_TSC_q_xor<31>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__rt_renamed_1844)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_0 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_0") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_1 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_10 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_10") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_11") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_12 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_12") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_13 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_13") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_14 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_14") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_15 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_15") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_2 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_2") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_3 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_3") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_4 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_4") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_5 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_5") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_6 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_6") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_7 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_7") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_8 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_8") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_9 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_9") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<0>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<10>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_10__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<11>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_11__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<12>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_12__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<13>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_13__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<14>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_14__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<15>") - (joined - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_15__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<1>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_1__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<2>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_2__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<3>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_3__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<4>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_4__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<5>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_5__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<6>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_6__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<7>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_7__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<8>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_8__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<9>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_9__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_N01 "timers_inst/timer0_inst/N01") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_N12 "timers_inst/timer0_inst/N12") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_N3 "timers_inst/timer0_inst/N3") - (joined - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_N4 "timers_inst/timer0_inst/N4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_N6 "timers_inst/timer0_inst/N6") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_SW1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - ) - ) - (net (rename timers_inst_timer0_inst_N7 "timers_inst/timer0_inst/N7") - (joined - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_0_ "timers_inst/timer0_inst/Result<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_0_1 "timers_inst/timer0_inst/Result<0>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_0)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_10_ "timers_inst/timer0_inst/Result<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_10__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_10_1 "timers_inst/timer0_inst/Result<10>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_10)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_11_ "timers_inst/timer0_inst/Result<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_11__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_11_1 "timers_inst/timer0_inst/Result<11>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_11)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_12_ "timers_inst/timer0_inst/Result<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_12__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_12_1 "timers_inst/timer0_inst/Result<12>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_12)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_13_ "timers_inst/timer0_inst/Result<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_13__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_13_1 "timers_inst/timer0_inst/Result<13>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_13)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_14_ "timers_inst/timer0_inst/Result<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_14__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_14_1 "timers_inst/timer0_inst/Result<14>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_14)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_15_ "timers_inst/timer0_inst/Result<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_15__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_15_1 "timers_inst/timer0_inst/Result<15>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_15)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_16_ "timers_inst/timer0_inst/Result<16>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_16)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_16__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_17_ "timers_inst/timer0_inst/Result<17>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_17)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_17__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_18_ "timers_inst/timer0_inst/Result<18>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_18)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_18__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_19_ "timers_inst/timer0_inst/Result<19>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_19)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_19__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_1_ "timers_inst/timer0_inst/Result<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_1__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_1_1 "timers_inst/timer0_inst/Result<1>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_1)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_20_ "timers_inst/timer0_inst/Result<20>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_20)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_20__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_21_ "timers_inst/timer0_inst/Result<21>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_21)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_21__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_22_ "timers_inst/timer0_inst/Result<22>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_22)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_22__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_23_ "timers_inst/timer0_inst/Result<23>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_23)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_23__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_24_ "timers_inst/timer0_inst/Result<24>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_24)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_24__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_25_ "timers_inst/timer0_inst/Result<25>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_25)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_25__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_26_ "timers_inst/timer0_inst/Result<26>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_26)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_26__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_27_ "timers_inst/timer0_inst/Result<27>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_27)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_27__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_28_ "timers_inst/timer0_inst/Result<28>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_28)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_28__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_29_ "timers_inst/timer0_inst/Result<29>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_29)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_29__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_2_ "timers_inst/timer0_inst/Result<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_2__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_2_1 "timers_inst/timer0_inst/Result<2>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_2)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_30_ "timers_inst/timer0_inst/Result<30>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_30)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_30__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_31_ "timers_inst/timer0_inst/Result<31>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_31)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_3_ "timers_inst/timer0_inst/Result<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_3__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_3_1 "timers_inst/timer0_inst/Result<3>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_3)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_4_ "timers_inst/timer0_inst/Result<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_4__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_4_1 "timers_inst/timer0_inst/Result<4>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_4)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_5_ "timers_inst/timer0_inst/Result<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_5__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_5_1 "timers_inst/timer0_inst/Result<5>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_5)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_6_ "timers_inst/timer0_inst/Result<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_6__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_6_1 "timers_inst/timer0_inst/Result<6>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_6)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_7_ "timers_inst/timer0_inst/Result<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_7__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_7_1 "timers_inst/timer0_inst/Result<7>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_7)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_8_ "timers_inst/timer0_inst/Result<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_8__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_8_1 "timers_inst/timer0_inst/Result<8>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_8)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_9_ "timers_inst/timer0_inst/Result<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_9__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_9_1 "timers_inst/timer0_inst/Result<9>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_9)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_0_ "timers_inst/timer0_inst/TSC_q<0>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_0)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967)) - (portRef I (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_lut_0__INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_1_ "timers_inst/timer0_inst/TSC_q<1>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__rt_renamed_1790)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_10_ "timers_inst/timer0_inst/TSC_q<10>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_10)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__rt_renamed_1799)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_11_ "timers_inst/timer0_inst/TSC_q<11>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_11)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_11_16_renamed_1397)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__rt_renamed_1800)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_12_ "timers_inst/timer0_inst/TSC_q<12>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_12)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_12_16_renamed_1396)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__rt_renamed_1801)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_13_ "timers_inst/timer0_inst/TSC_q<13>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_13)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_13_16_renamed_1395)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__rt_renamed_1802)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_14_ "timers_inst/timer0_inst/TSC_q<14>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_14)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_14_16_renamed_1394)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__rt_renamed_1803)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_15_ "timers_inst/timer0_inst/TSC_q<15>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_15)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_15_16_renamed_1393)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__rt_renamed_1804)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_16_ "timers_inst/timer0_inst/TSC_q<16>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_16)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__rt_renamed_1805)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_16__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_17_ "timers_inst/timer0_inst/TSC_q<17>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_17)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__rt_renamed_1806)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_17__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_18_ "timers_inst/timer0_inst/TSC_q<18>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_18)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__rt_renamed_1807)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_18__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_19_ "timers_inst/timer0_inst/TSC_q<19>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_19)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__rt_renamed_1808)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_19__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_2_ "timers_inst/timer0_inst/TSC_q<2>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_2)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__rt_renamed_1791)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_20_ "timers_inst/timer0_inst/TSC_q<20>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_20)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__rt_renamed_1809)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_20__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_21_ "timers_inst/timer0_inst/TSC_q<21>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_21)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__rt_renamed_1810)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_21__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_22_ "timers_inst/timer0_inst/TSC_q<22>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_22)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__rt_renamed_1811)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_22__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_23_ "timers_inst/timer0_inst/TSC_q<23>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_23)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__rt_renamed_1812)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_23__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_24_ "timers_inst/timer0_inst/TSC_q<24>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_24)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__rt_renamed_1813)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_24_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_25_ "timers_inst/timer0_inst/TSC_q<25>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_25)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__rt_renamed_1814)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_25_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_26_ "timers_inst/timer0_inst/TSC_q<26>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_26)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__rt_renamed_1815)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_26_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_27_ "timers_inst/timer0_inst/TSC_q<27>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_27)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__rt_renamed_1816)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_27_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_28_ "timers_inst/timer0_inst/TSC_q<28>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_28)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__rt_renamed_1817)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_28_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_29_ "timers_inst/timer0_inst/TSC_q<29>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_29)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__rt_renamed_1818)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_29_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_3_ "timers_inst/timer0_inst/TSC_q<3>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_3)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__rt_renamed_1792)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_30_ "timers_inst/timer0_inst/TSC_q<30>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_30)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__rt_renamed_1819)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_30_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_31_ "timers_inst/timer0_inst/TSC_q<31>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_31)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__rt_renamed_1844)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_31_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_4_ "timers_inst/timer0_inst/TSC_q<4>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_4)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__rt_renamed_1793)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_5_ "timers_inst/timer0_inst/TSC_q<5>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_5)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__rt_renamed_1794)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_6_ "timers_inst/timer0_inst/TSC_q<6>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_6)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__rt_renamed_1795)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_7_ "timers_inst/timer0_inst/TSC_q<7>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_7)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__rt_renamed_1796)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_8_ "timers_inst/timer0_inst/TSC_q<8>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_8)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_8_16_renamed_1392)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__rt_renamed_1797)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_9_ "timers_inst/timer0_inst/TSC_q<9>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_9)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__rt_renamed_1798)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__rt_renamed_1827)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__rt_renamed_1826)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__rt_renamed_1825)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__rt_renamed_1824)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__rt_renamed_1823)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__rt_renamed_1822)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__rt_renamed_1821)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__rt_renamed_1820)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_lut_0_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_lut<0>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_0__)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<9>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__rt_renamed_1845)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_3_f5") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_4") - (joined - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_4_f5") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_5") - (joined - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_51") - (joined - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_6") - (joined - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_0_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<0>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_1_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<1>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_2_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<2>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_3_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<3>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_4_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<4>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_5_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<5>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_6_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<6>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_7_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<7>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_8_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<8>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_9_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<9>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck1024_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00001)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck16_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck256_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck2_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck4_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck64_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00021)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck8_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00041)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<0>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965)) - (portRef I (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<1>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__rt_renamed_1827)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<2>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00041)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__rt_renamed_1826)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<3>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__rt_renamed_1825)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<4>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__rt_renamed_1824)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<5>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00021)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__rt_renamed_1823)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<6>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__rt_renamed_1822)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<7>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__rt_renamed_1821)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<8>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__rt_renamed_1820)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<9>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00001)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__rt_renamed_1845)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and0000 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and0000") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00001)) - (portRef I3 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and0002 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and0002") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00021)) - (portRef I3 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and0004 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and0004") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00041)) - (portRef I3 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_pwm_out_0_ "timers_inst/timer0_inst/pwm_out<0>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pwm_out_0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - ) - ) - (net (rename timers_inst_timer0_inst_pwm_out_0_cmp_ge0000 "timers_inst/timer0_inst/pwm_out_0_cmp_ge0000") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer0_inst_pwm_out_0_or0000 "timers_inst/timer0_inst/pwm_out_0_or0000") - (joined - (portRef R (instanceRef timers_inst_timer0_inst_pwm_out_0)) - (portRef O (instanceRef timers_inst_timer0_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmr0_prescale_event "timers_inst/timer0_inst/tmr0_prescale_event") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_ccm "timers_inst/timer0_inst/tmrr.ccm") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_0 "timers_inst/timer0_inst/tmrr.cmp_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_0_renamed_895)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_1 "timers_inst/timer0_inst/tmrr.cmp_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_1_renamed_896)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_10 "timers_inst/timer0_inst/tmrr.cmp_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_10_renamed_905)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_11 "timers_inst/timer0_inst/tmrr.cmp_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_11_renamed_906)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_11_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_12 "timers_inst/timer0_inst/tmrr.cmp_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_12_renamed_907)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_12_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_13 "timers_inst/timer0_inst/tmrr.cmp_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_13_renamed_908)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_13_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_14 "timers_inst/timer0_inst/tmrr.cmp_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_14_renamed_909)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_14_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_15 "timers_inst/timer0_inst/tmrr.cmp_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_15_renamed_910)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_15_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_2 "timers_inst/timer0_inst/tmrr.cmp_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_2_renamed_897)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_3 "timers_inst/timer0_inst/tmrr.cmp_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_3_renamed_898)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_4 "timers_inst/timer0_inst/tmrr.cmp_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_4_renamed_899)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_5 "timers_inst/timer0_inst/tmrr.cmp_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_5_renamed_900)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_6 "timers_inst/timer0_inst/tmrr.cmp_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_6_renamed_901)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_7 "timers_inst/timer0_inst/tmrr.cmp_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_7_renamed_902)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_8 "timers_inst/timer0_inst/tmrr.cmp_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_8_renamed_903)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_8_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_9 "timers_inst/timer0_inst/tmrr.cmp_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_9_renamed_904)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_0 "timers_inst/timer0_inst/tmrr.cnt_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_1 "timers_inst/timer0_inst/tmrr.cnt_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_10 "timers_inst/timer0_inst/tmrr.cnt_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_11 "timers_inst/timer0_inst/tmrr.cnt_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_11_16_renamed_1397)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_12 "timers_inst/timer0_inst/tmrr.cnt_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_12_16_renamed_1396)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_13 "timers_inst/timer0_inst/tmrr.cnt_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_13_16_renamed_1395)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_14 "timers_inst/timer0_inst/tmrr.cnt_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_14_16_renamed_1394)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_15 "timers_inst/timer0_inst/tmrr.cnt_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_15_16_renamed_1393)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_2 "timers_inst/timer0_inst/tmrr.cnt_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_3 "timers_inst/timer0_inst/tmrr.cnt_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_4 "timers_inst/timer0_inst/tmrr.cnt_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_5 "timers_inst/timer0_inst/tmrr.cnt_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_6 "timers_inst/timer0_inst/tmrr.cnt_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_7 "timers_inst/timer0_inst/tmrr.cnt_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_8 "timers_inst/timer0_inst/tmrr.cnt_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_8_16_renamed_1392)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_9 "timers_inst/timer0_inst/tmrr.cnt_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_dir "timers_inst/timer0_inst/tmrr.dir") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15__)) - (portRef I (instanceRef timers_inst_timer0_inst_tmrr_dir_inv2_INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_en "timers_inst/timer0_inst/tmrr.en") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_ien "timers_inst/timer0_inst/tmrr.ien") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_intr "timers_inst/timer0_inst/tmrr.intr") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_in_q_3)) - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_intr_renamed_858)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pres_0 "timers_inst/timer0_inst/tmrr.pres_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pres_1 "timers_inst/timer0_inst/tmrr.pres_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pres_2 "timers_inst/timer0_inst/tmrr.pres_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_presrst "timers_inst/timer0_inst/tmrr.presrst") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_presrst_renamed_878)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0_renamed_879)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1_renamed_880)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10_renamed_889)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11_renamed_890)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12_renamed_891)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13_renamed_892)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14_renamed_893)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15_renamed_894)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2_renamed_881)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3_renamed_882)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4_renamed_883)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5_renamed_884)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6_renamed_885)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7_renamed_886)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8_renamed_887)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9_renamed_888)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0_renamed_933)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1_renamed_934)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10_renamed_943)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11_renamed_944)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12_renamed_945)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13_renamed_946)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14_renamed_947)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15_renamed_948)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2_renamed_935)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3_renamed_936)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4_renamed_937)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5_renamed_938)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6_renamed_939)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7_renamed_940)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8_renamed_941)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9_renamed_942)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__en "timers_inst/timer0_inst/tmrr.pwmr<0>.en") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_renamed_860)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pwm_out_0_or00001)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en "timers_inst/timer0_inst/tmrr.pwmrb<0>.en") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_updp_0 "timers_inst/timer0_inst/tmrr.updp_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_updp_1 "timers_inst/timer0_inst/tmrr.updp_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_not0001 "timers_inst/timer0_inst/tmrr_cmp_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_0_renamed_895)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_1_renamed_896)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_2_renamed_897)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_3_renamed_898)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_4_renamed_899)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_5_renamed_900)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_6_renamed_901)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_7_renamed_902)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_8_renamed_903)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_9_renamed_904)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_10_renamed_905)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_11_renamed_906)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_12_renamed_907)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_13_renamed_908)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_14_renamed_909)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_15_renamed_910)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_and0000 "timers_inst/timer0_inst/tmrr_cnt_and0000") - (joined - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_and0001 "timers_inst/timer0_inst/tmrr_cnt_and0001") - (joined - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_not0001 "timers_inst/timer0_inst/tmrr_cnt_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000119)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_not000113 "timers_inst/timer0_inst/tmrr_cnt_not000113") - (joined - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000119)) - (portRef LO (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_not00016 "timers_inst/timer0_inst/tmrr_cnt_not00016") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_dir_inv "timers_inst/timer0_inst/tmrr_dir_inv") - (joined - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_dir_inv2_INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_ien_and0000 "timers_inst/timer0_inst/tmrr_ien_and0000") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_mux00001)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_intr_mux0000 "timers_inst/timer0_inst/tmrr_intr_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_intr_renamed_858)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_mux00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_intr_not0001 "timers_inst/timer0_inst/tmrr_intr_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_intr_renamed_858)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_intr_not000114 "timers_inst/timer0_inst/tmrr_intr_not000114") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<0>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0_renamed_879)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<10>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10_renamed_889)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<11>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11_renamed_890)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<12>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12_renamed_891)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<13>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13_renamed_892)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<14>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14_renamed_893)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<15>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15_renamed_894)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<1>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1_renamed_880)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<2>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2_renamed_881)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<3>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3_renamed_882)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<4>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4_renamed_883)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<5>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5_renamed_884)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<6>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6_renamed_885)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<7>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7_renamed_886)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<8>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8_renamed_887)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<9>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9_renamed_888)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<0>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0_renamed_933)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<10>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10_renamed_943)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<11>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11_renamed_944)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<12>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12_renamed_945)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<13>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13_renamed_946)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<14>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14_renamed_947)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<15>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15_renamed_948)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<1>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1_renamed_934)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<2>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2_renamed_935)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<3>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3_renamed_936)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<4>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4_renamed_937)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<5>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5_renamed_938)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<6>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6_renamed_939)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<7>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7_renamed_940)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<8>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8_renamed_941)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<9>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9_renamed_942)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_renamed_860)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not0001 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not00011") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not0001 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmplow_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_not0001 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011)) - ) - ) - (net (rename timers_inst_timer0_read_0__ "timers_inst/timer0_read<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_0_40)) - ) - ) - (net (rename timers_inst_timer0_read_10__ "timers_inst/timer0_read<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_10_40)) - ) - ) - (net (rename timers_inst_timer0_read_1__ "timers_inst/timer0_read<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_1_40)) - ) - ) - (net (rename timers_inst_timer0_read_2__ "timers_inst/timer0_read<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_2_40)) - ) - ) - (net (rename timers_inst_timer0_read_3__ "timers_inst/timer0_read<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_3_40)) - ) - ) - (net (rename timers_inst_timer0_read_4__ "timers_inst/timer0_read<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_4_40)) - ) - ) - (net (rename timers_inst_timer0_read_5__ "timers_inst/timer0_read<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_5_40)) - ) - ) - (net (rename timers_inst_timer0_read_6__ "timers_inst/timer0_read<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_6_40)) - ) - ) - (net (rename timers_inst_timer0_read_7__ "timers_inst/timer0_read<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_7_40)) - ) - ) - (net (rename timers_inst_timer0_read_9__ "timers_inst/timer0_read<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_9_40)) - ) - ) - (net (rename timers_inst_timer1_cyc "timers_inst/timer1_cyc") - (joined - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - (portRef O (instanceRef timers_inst_timer1_cyc1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<23>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<23>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__)) - (portRef I2 (instanceRef timers_inst_timer1_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<23>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_0 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_0") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_1 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_1") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_10 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_10") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_11") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_12 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_12") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_13 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_13") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_14 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_14") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_15 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_15") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_16 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_16") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_17 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_17") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_18 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_18") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_19 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_19") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_2 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_2") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_20 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_20") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_21 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_21") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_22 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_22") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_23 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_23") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_3 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_3") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_4 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_4") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_5 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_5") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_6 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_6") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_7 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_7") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_8 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_8") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_9 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_9") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<0>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<10>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_10__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<11>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_11__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<12>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_12__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<13>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_13__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<14>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_14__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<15>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_15__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<16>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_16__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<17>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_17__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<18>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_18__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<19>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_19__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<1>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_1__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<20>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_20__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<21>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_21__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<22>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_22__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<23>") - (joined - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_23__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<2>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_2__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<3>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_3__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<4>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_4__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<5>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_5__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<6>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_6__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<7>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_7__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<8>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_8__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<9>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_9__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_N10 "timers_inst/timer1_inst/N10") - (joined - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_ien_and00001)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net (rename timers_inst_timer1_inst_N2 "timers_inst/timer1_inst/N2") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mmux_wb_dat_o_0_211)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - ) - ) - (net (rename timers_inst_timer1_inst_N8 "timers_inst/timer1_inst/N8") - (joined - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - ) - ) - (net (rename timers_inst_timer1_inst_N9 "timers_inst/timer1_inst/N9") - (joined - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_0_ "timers_inst/timer1_inst/Result<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_10_ "timers_inst/timer1_inst/Result<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_10__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_11_ "timers_inst/timer1_inst/Result<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_12_ "timers_inst/timer1_inst/Result<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_12__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_13_ "timers_inst/timer1_inst/Result<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_13__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_14_ "timers_inst/timer1_inst/Result<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_14__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_15_ "timers_inst/timer1_inst/Result<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_15__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_16_ "timers_inst/timer1_inst/Result<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_16__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_17_ "timers_inst/timer1_inst/Result<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_17__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_18_ "timers_inst/timer1_inst/Result<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_18__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_19_ "timers_inst/timer1_inst/Result<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_19__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_1_ "timers_inst/timer1_inst/Result<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_1__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_20_ "timers_inst/timer1_inst/Result<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_20__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_21_ "timers_inst/timer1_inst/Result<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_21__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_22_ "timers_inst/timer1_inst/Result<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_22__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_23_ "timers_inst/timer1_inst/Result<23>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_23__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_2_ "timers_inst/timer1_inst/Result<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_2__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_3_ "timers_inst/timer1_inst/Result<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_4_ "timers_inst/timer1_inst/Result<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_5_ "timers_inst/timer1_inst/Result<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_6_ "timers_inst/timer1_inst/Result<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_7_ "timers_inst/timer1_inst/Result<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_8_ "timers_inst/timer1_inst/Result<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_9_ "timers_inst/timer1_inst/Result<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_9__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - ) - ) - (net (rename timers_inst_timer1_inst_pwm_out_0_ "timers_inst/timer1_inst/pwm_out<0>") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_pwm_out_0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - ) - ) - (net (rename timers_inst_timer1_inst_pwm_out_0_cmp_ge0000 "timers_inst/timer1_inst/pwm_out_0_cmp_ge0000") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer1_inst_pwm_out_0_or0000 "timers_inst/timer1_inst/pwm_out_0_or0000") - (joined - (portRef R (instanceRef timers_inst_timer1_inst_pwm_out_0)) - (portRef O (instanceRef timers_inst_timer1_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_ccm "timers_inst/timer1_inst/tmrr.ccm") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_0 "timers_inst/timer1_inst/tmrr.cmp_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_0_0_renamed_1426)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_1 "timers_inst/timer1_inst/tmrr.cmp_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_1_0_renamed_1424)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_10 "timers_inst/timer1_inst/tmrr.cmp_10") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_10_0_renamed_1390)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_11 "timers_inst/timer1_inst/tmrr.cmp_11") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_11_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_12 "timers_inst/timer1_inst/tmrr.cmp_12") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_12_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_13 "timers_inst/timer1_inst/tmrr.cmp_13") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_13_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_14 "timers_inst/timer1_inst/tmrr.cmp_14") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_14_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_15 "timers_inst/timer1_inst/tmrr.cmp_15") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_15_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_16 "timers_inst/timer1_inst/tmrr.cmp_16") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_16__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_17 "timers_inst/timer1_inst/tmrr.cmp_17") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_17__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_18 "timers_inst/timer1_inst/tmrr.cmp_18") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_18__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_19 "timers_inst/timer1_inst/tmrr.cmp_19") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_19__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_2 "timers_inst/timer1_inst/tmrr.cmp_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_2_0_renamed_1422)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_20 "timers_inst/timer1_inst/tmrr.cmp_20") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_20__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_21 "timers_inst/timer1_inst/tmrr.cmp_21") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_21__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_22 "timers_inst/timer1_inst/tmrr.cmp_22") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_22__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_23 "timers_inst/timer1_inst/tmrr.cmp_23") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_23__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_3 "timers_inst/timer1_inst/tmrr.cmp_3") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_3_0_renamed_1421)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_4 "timers_inst/timer1_inst/tmrr.cmp_4") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_4_0_renamed_1420)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_5 "timers_inst/timer1_inst/tmrr.cmp_5") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_5_0_renamed_1419)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_6 "timers_inst/timer1_inst/tmrr.cmp_6") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_6_0_renamed_1418)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_7 "timers_inst/timer1_inst/tmrr.cmp_7") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_7_0_renamed_1417)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_8 "timers_inst/timer1_inst/tmrr.cmp_8") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_8_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_9 "timers_inst/timer1_inst/tmrr.cmp_9") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_9_0_renamed_1388)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_0 "timers_inst/timer1_inst/tmrr.cnt_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_1 "timers_inst/timer1_inst/tmrr.cnt_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_10 "timers_inst/timer1_inst/tmrr.cnt_10") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_11 "timers_inst/timer1_inst/tmrr.cnt_11") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_11_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_12 "timers_inst/timer1_inst/tmrr.cnt_12") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_12_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_13 "timers_inst/timer1_inst/tmrr.cnt_13") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_13_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_14 "timers_inst/timer1_inst/tmrr.cnt_14") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_14_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_15 "timers_inst/timer1_inst/tmrr.cnt_15") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_15_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_16 "timers_inst/timer1_inst/tmrr.cnt_16") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_16__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_17 "timers_inst/timer1_inst/tmrr.cnt_17") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_17__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_18 "timers_inst/timer1_inst/tmrr.cnt_18") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_18__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_19 "timers_inst/timer1_inst/tmrr.cnt_19") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_19__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_2 "timers_inst/timer1_inst/tmrr.cnt_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_20 "timers_inst/timer1_inst/tmrr.cnt_20") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_20__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_21 "timers_inst/timer1_inst/tmrr.cnt_21") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_21__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_22 "timers_inst/timer1_inst/tmrr.cnt_22") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_22__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_23 "timers_inst/timer1_inst/tmrr.cnt_23") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_23__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_3 "timers_inst/timer1_inst/tmrr.cnt_3") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_4 "timers_inst/timer1_inst/tmrr.cnt_4") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_5 "timers_inst/timer1_inst/tmrr.cnt_5") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_6 "timers_inst/timer1_inst/tmrr.cnt_6") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_7 "timers_inst/timer1_inst/tmrr.cnt_7") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_8 "timers_inst/timer1_inst/tmrr.cnt_8") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_8_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_9 "timers_inst/timer1_inst/tmrr.cnt_9") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_dir "timers_inst/timer1_inst/tmrr.dir") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23__)) - (portRef I (instanceRef timers_inst_timer1_inst_tmrr_dir_inv2_INV_0)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_en "timers_inst/timer1_inst/tmrr.en") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_ien "timers_inst/timer1_inst/tmrr.ien") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_intr "timers_inst/timer1_inst/tmrr.intr") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_in_q_4)) - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_intr_renamed_997)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pres_0 "timers_inst/timer1_inst/tmrr.pres_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pres_1 "timers_inst/timer1_inst/tmrr.pres_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pres_2 "timers_inst/timer1_inst/tmrr.pres_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_10") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_11") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_12") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_13") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_14") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_15") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_16") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_17") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_18") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_19") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_20") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_21") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_22") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_23") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_3") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_4") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_5") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_6") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_7") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_8") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_9") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_10") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_11") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_12") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_13") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_14") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_15") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_16") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_17") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_18") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_19") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_20") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_21") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_22") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_23") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_3") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_4") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_5") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_6") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_7") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_8") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_9") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__en "timers_inst/timer1_inst/tmrr.pwmr<0>.en") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer1_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_updp_0 "timers_inst/timer1_inst/tmrr.updp_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_updp_1 "timers_inst/timer1_inst/tmrr.updp_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_not0001 "timers_inst/timer1_inst/tmrr_cmp_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_and0000 "timers_inst/timer1_inst/tmrr_cnt_and0000") - (joined - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_not0001 "timers_inst/timer1_inst/tmrr_cnt_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_dir_inv "timers_inst/timer1_inst/tmrr_dir_inv") - (joined - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_dir_inv2_INV_0)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_ien_and0000 "timers_inst/timer1_inst/tmrr_ien_and0000") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_ien_and00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_intr_mux0000 "timers_inst/timer1_inst/tmrr_intr_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_intr_renamed_997)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_intr_mux00007 "timers_inst/timer1_inst/tmrr_intr_mux00007") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_intr_not0001 "timers_inst/timer1_inst/tmrr_intr_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_intr_renamed_997)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not0001 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmphigh_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not0001 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmplow_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_not0001 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011)) - ) - ) - (net (rename timers_inst_timer1_stb "timers_inst/timer1_stb") - (joined - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - (portRef O (instanceRef timers_inst_timer1_stb1)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net (rename timers_inst_timer1_we "timers_inst/timer1_we") - (joined - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - (portRef O (instanceRef timers_inst_timer1_we1)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net (rename timers_inst_wb_dat_o_0_0 "timers_inst/wb_dat_o<0>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_0_0_renamed_1426)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_0_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_0_15 "timers_inst/wb_dat_o<0>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_0_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_10_0 "timers_inst/wb_dat_o<10>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_10_0_renamed_1390)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_10_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_10_15 "timers_inst/wb_dat_o<10>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_10_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_11_16 "timers_inst/wb_dat_o<11>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_11_16_renamed_1397)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_11_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_12_16 "timers_inst/wb_dat_o<12>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_12_16_renamed_1396)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_12_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_13_16 "timers_inst/wb_dat_o<13>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_13_16_renamed_1395)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_13_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_14_16 "timers_inst/wb_dat_o<14>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_14_16_renamed_1394)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_14_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_15_16 "timers_inst/wb_dat_o<15>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_15_16_renamed_1393)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_15_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_1_0 "timers_inst/wb_dat_o<1>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_1_0_renamed_1424)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_1_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_1_15 "timers_inst/wb_dat_o<1>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_1_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_2_0 "timers_inst/wb_dat_o<2>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_2_0_renamed_1422)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_2_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_2_15 "timers_inst/wb_dat_o<2>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_2_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_3_0 "timers_inst/wb_dat_o<3>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_3_0_renamed_1421)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_3_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_3_15 "timers_inst/wb_dat_o<3>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_3_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - ) - ) - (net (rename timers_inst_wb_dat_o_4_0 "timers_inst/wb_dat_o<4>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_4_0_renamed_1420)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_4_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_4_15 "timers_inst/wb_dat_o<4>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_4_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - ) - ) - (net (rename timers_inst_wb_dat_o_5_0 "timers_inst/wb_dat_o<5>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_5_0_renamed_1419)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_5_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_5_15 "timers_inst/wb_dat_o<5>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_5_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - ) - ) - (net (rename timers_inst_wb_dat_o_6_0 "timers_inst/wb_dat_o<6>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_6_0_renamed_1418)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_6_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_6_15 "timers_inst/wb_dat_o<6>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_6_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - ) - ) - (net (rename timers_inst_wb_dat_o_7_0 "timers_inst/wb_dat_o<7>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_7_0_renamed_1417)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_7_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_7_15 "timers_inst/wb_dat_o<7>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_7_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - ) - ) - (net (rename timers_inst_wb_dat_o_8_16 "timers_inst/wb_dat_o<8>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_8_16_renamed_1392)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_8_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_9_0 "timers_inst/wb_dat_o<9>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_9_0_renamed_1388)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_9_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_9_15 "timers_inst/wb_dat_o<9>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_9_40)) - ) - ) - (net (rename uart_inst_N22 "uart_inst/N22") - (joined - (portRef O (instanceRef uart_inst_tx_core_loaded_r_mux000011)) - (portRef I0 (instanceRef uart_inst_tx_core_bitpos_mux0002_3_1)) - (portRef I3 (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - ) - ) - (net (rename uart_inst_N3 "uart_inst/N3") - (joined - (portRef I0 (instanceRef uart_inst_tx_core_intx_o_not00011)) - (portRef I0 (instanceRef uart_inst_tx_core_idle_not00011)) - (portRef I2 (instanceRef uart_inst_tx_core_t_r_not00011)) - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not00011_f5)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not00013_renamed_2231)) - ) - ) - (net (rename uart_inst_N4 "uart_inst/N4") - (joined - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226)) - ) - ) - (net (rename uart_inst_N5 "uart_inst/N5") - (joined - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226)) - ) - ) - (net (rename uart_inst_N6 "uart_inst/N6") - (joined - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_1_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - ) - ) - (net (rename uart_inst_Result_0_ "uart_inst/Result<0>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0)) - ) - ) - (net (rename uart_inst_Result_1_ "uart_inst/Result<1>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - ) - ) - (net (rename uart_inst_Result_2_ "uart_inst/Result<2>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - ) - ) - (net (rename uart_inst_Result_3_ "uart_inst/Result<3>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - ) - ) - (net (rename uart_inst_data_ready_dly_q "uart_inst/data_ready_dly_q") - (joined - (portRef Q (instanceRef uart_inst_data_ready_dly_q_renamed_855)) - (portRef I0 (instanceRef uart_inst_dready_q_or00001)) - ) - ) - (net (rename uart_inst_divider_rx_q_0_ "uart_inst/divider_rx_q<0>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_0)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_1_ "uart_inst/divider_rx_q<1>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_1)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_10_ "uart_inst/divider_rx_q<10>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_10)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_11_ "uart_inst/divider_rx_q<11>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_11)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_12_ "uart_inst/divider_rx_q<12>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_12)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_13_ "uart_inst/divider_rx_q<13>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_13)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_14_ "uart_inst/divider_rx_q<14>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_14)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_15_ "uart_inst/divider_rx_q<15>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_15)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_2_ "uart_inst/divider_rx_q<2>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_2)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_3_ "uart_inst/divider_rx_q<3>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_3)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_4_ "uart_inst/divider_rx_q<4>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_4)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_5_ "uart_inst/divider_rx_q<5>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_5)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_6_ "uart_inst/divider_rx_q<6>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_6)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_7_ "uart_inst/divider_rx_q<7>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_7)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_8_ "uart_inst/divider_rx_q<8>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_8)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_9_ "uart_inst/divider_rx_q<9>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_9)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_not0001 "uart_inst/divider_rx_q_not0001") - (joined - (portRef CE (instanceRef uart_inst_divider_rx_q_0)) - (portRef CE (instanceRef uart_inst_divider_rx_q_1)) - (portRef CE (instanceRef uart_inst_divider_rx_q_2)) - (portRef CE (instanceRef uart_inst_divider_rx_q_3)) - (portRef CE (instanceRef uart_inst_divider_rx_q_4)) - (portRef CE (instanceRef uart_inst_divider_rx_q_5)) - (portRef CE (instanceRef uart_inst_divider_rx_q_6)) - (portRef CE (instanceRef uart_inst_divider_rx_q_7)) - (portRef CE (instanceRef uart_inst_divider_rx_q_8)) - (portRef CE (instanceRef uart_inst_divider_rx_q_9)) - (portRef CE (instanceRef uart_inst_divider_rx_q_10)) - (portRef CE (instanceRef uart_inst_divider_rx_q_11)) - (portRef CE (instanceRef uart_inst_divider_rx_q_12)) - (portRef CE (instanceRef uart_inst_divider_rx_q_13)) - (portRef CE (instanceRef uart_inst_divider_rx_q_14)) - (portRef CE (instanceRef uart_inst_divider_rx_q_15)) - (portRef O (instanceRef uart_inst_divider_rx_q_not00011)) - ) - ) - (net (rename uart_inst_dready_q "uart_inst/dready_q") - (joined - (portRef Q (instanceRef uart_inst_dready_q_renamed_856)) - (portRef I0 (instanceRef uart_inst_fifo_instance__and00002)) - (portRef I3 (instanceRef uart_inst_rx_inst_dataready_not00011)) - (portRef I2 (instanceRef uart_inst_fifo_instance__and000011)) - ) - ) - (net (rename uart_inst_dready_q_or0000 "uart_inst/dready_q_or0000") - (joined - (portRef R (instanceRef uart_inst_dready_q_renamed_856)) - (portRef O (instanceRef uart_inst_dready_q_or00001)) - ) - ) - (net (rename uart_inst_fifo_data_0_ "uart_inst/fifo_data<0>") - (joined - (portRef I1 (instanceRef uart_inst_wb_dat_o_0_mux00001)) - (portRef (member DOB 7) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_1_ "uart_inst/fifo_data<1>") - (joined - (portRef I1 (instanceRef uart_inst_wb_dat_o_1_mux00001)) - (portRef (member DOB 6) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_2_ "uart_inst/fifo_data<2>") - (joined - (portRef I1 (instanceRef uart_inst_wb_dat_o_2_mux00001)) - (portRef (member DOB 5) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_3_ "uart_inst/fifo_data<3>") - (joined - (portRef I1 (instanceRef slot_address_0__26__924_renamed_1920)) - (portRef (member DOB 4) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_4_ "uart_inst/fifo_data<4>") - (joined - (portRef I1 (instanceRef slot_address_0__26__925_renamed_1919)) - (portRef (member DOB 3) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_5_ "uart_inst/fifo_data<5>") - (joined - (portRef I1 (instanceRef slot_address_0__26__926_renamed_1918)) - (portRef (member DOB 2) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_6_ "uart_inst/fifo_data<6>") - (joined - (portRef I1 (instanceRef slot_address_0__26__927_renamed_1917)) - (portRef (member DOB 1) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_7_ "uart_inst/fifo_data<7>") - (joined - (portRef I1 (instanceRef slot_address_0__26__928_renamed_1916)) - (portRef (member DOB 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__)) - (portRef D (instanceRef uart_inst_fifo_instance_empty_renamed_842)) - (portRef I1 (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__)) - (portRef I1 (instanceRef uart_inst_fifo_instance__and00002)) - (portRef I3 (instanceRef uart_inst_fifo_instance__and000011)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_0_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_1_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_1__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<1>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__rt_renamed_1769)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_2_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_2__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<2>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__rt_renamed_1770)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_3_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_3__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<3>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__rt_renamed_1771)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_4_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_4__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<4>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__rt_renamed_1772)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_5_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_5__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<5>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__rt_renamed_1773)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_6_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<6>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_6__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<6>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__rt_renamed_1774)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_7_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<7>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_7__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<7>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__rt_renamed_1775)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_8_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<8>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_8__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<8>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__rt_renamed_1776)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_9_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<9>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_9__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<9>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__rt_renamed_1777)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_lut_0_ "uart_inst/fifo_instance/Mcount_rdaddr_lut<0>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_0__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_0__)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_lut_0__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_10__rt "uart_inst/fifo_instance/Mcount_rdaddr_xor<10>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__rt_renamed_1842)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_0_ "uart_inst/fifo_instance/Mcount_wraddr_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_1_ "uart_inst/fifo_instance/Mcount_wraddr_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_1__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<1>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__rt_renamed_1778)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_2_ "uart_inst/fifo_instance/Mcount_wraddr_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_2__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<2>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__rt_renamed_1779)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_3_ "uart_inst/fifo_instance/Mcount_wraddr_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_3__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<3>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__rt_renamed_1780)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_4_ "uart_inst/fifo_instance/Mcount_wraddr_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_4__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<4>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__rt_renamed_1781)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_5_ "uart_inst/fifo_instance/Mcount_wraddr_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_5__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<5>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__rt_renamed_1782)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_6_ "uart_inst/fifo_instance/Mcount_wraddr_cy<6>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_6__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<6>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__rt_renamed_1783)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_7_ "uart_inst/fifo_instance/Mcount_wraddr_cy<7>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_7__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<7>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__rt_renamed_1784)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_8_ "uart_inst/fifo_instance/Mcount_wraddr_cy<8>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_8__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<8>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__rt_renamed_1785)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_9_ "uart_inst/fifo_instance/Mcount_wraddr_cy<9>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_9__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<9>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__rt_renamed_1786)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_lut_0_ "uart_inst/fifo_instance/Mcount_wraddr_lut<0>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_0__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_0__)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_lut_0__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_xor_10__rt "uart_inst/fifo_instance/Mcount_wraddr_xor<10>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__rt_renamed_1843)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__rt "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__rt_renamed_1768)) - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<6>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<7>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<8>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<9>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_10_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<10>") - (joined - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_10__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_1_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<1>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_1__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_2_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<2>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_2__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_3_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<3>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_3__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_4_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<4>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_4__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_5_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<5>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_5__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_6_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<6>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_6__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_7_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<7>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_7__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_8_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<8>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_8__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_9_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<9>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_9__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_0_ "uart_inst/fifo_instance/Result<0>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_0_1 "uart_inst/fifo_instance/Result<0>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_10_ "uart_inst/fifo_instance/Result<10>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_10_1 "uart_inst/fifo_instance/Result<10>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_1_ "uart_inst/fifo_instance/Result<1>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_1_1 "uart_inst/fifo_instance/Result<1>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_2_ "uart_inst/fifo_instance/Result<2>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_2_1 "uart_inst/fifo_instance/Result<2>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_3_ "uart_inst/fifo_instance/Result<3>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_3_1 "uart_inst/fifo_instance/Result<3>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_4_ "uart_inst/fifo_instance/Result<4>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_4_1 "uart_inst/fifo_instance/Result<4>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_5_ "uart_inst/fifo_instance/Result<5>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_5_1 "uart_inst/fifo_instance/Result<5>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_6_ "uart_inst/fifo_instance/Result<6>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_6_1 "uart_inst/fifo_instance/Result<6>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_7_ "uart_inst/fifo_instance/Result<7>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_7_1 "uart_inst/fifo_instance/Result<7>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_8_ "uart_inst/fifo_instance/Result<8>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_8_1 "uart_inst/fifo_instance/Result<8>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_9_ "uart_inst/fifo_instance/Result<9>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_9_1 "uart_inst/fifo_instance/Result<9>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance__and0000 "uart_inst/fifo_instance/_and0000") - (joined - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef O (instanceRef uart_inst_fifo_instance__and00002)) - ) - ) - (net (rename uart_inst_fifo_instance__and0000_0 "uart_inst/fifo_instance/_and0000_0") - (joined - (portRef O (instanceRef uart_inst_fifo_instance__and000011)) - (portRef WEA (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_empty "uart_inst/fifo_instance/empty") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_empty_renamed_842)) - (portRef I2 (instanceRef uart_inst_wb_dat_o_0_mux00001)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_0_ "uart_inst/fifo_instance/full_v_addsub0000<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_0__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_10_ "uart_inst/fifo_instance/full_v_addsub0000<10>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_10__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_1_ "uart_inst/fifo_instance/full_v_addsub0000<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_1__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_2_ "uart_inst/fifo_instance/full_v_addsub0000<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_2__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_3_ "uart_inst/fifo_instance/full_v_addsub0000<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_3__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_4_ "uart_inst/fifo_instance/full_v_addsub0000<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_4__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_5_ "uart_inst/fifo_instance/full_v_addsub0000<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_5__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_6_ "uart_inst/fifo_instance/full_v_addsub0000<6>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_6__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_7_ "uart_inst/fifo_instance/full_v_addsub0000<7>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_7__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_8_ "uart_inst/fifo_instance/full_v_addsub0000<8>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_8__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_9_ "uart_inst/fifo_instance/full_v_addsub0000<9>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_9__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_0_ "uart_inst/fifo_instance/rdaddr<0>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__rt_renamed_1768)) - (portRef I (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_lut_0__INV_0)) - (portRef (member ADDRB 10) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_1_ "uart_inst/fifo_instance/rdaddr<1>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__rt_renamed_1769)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_1__INV_0)) - (portRef (member ADDRB 9) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_10_ "uart_inst/fifo_instance/rdaddr<10>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__rt_renamed_1842)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_10__INV_0)) - (portRef (member ADDRB 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_2_ "uart_inst/fifo_instance/rdaddr<2>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__rt_renamed_1770)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_2__INV_0)) - (portRef (member ADDRB 8) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_3_ "uart_inst/fifo_instance/rdaddr<3>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__rt_renamed_1771)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_3__INV_0)) - (portRef (member ADDRB 7) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_4_ "uart_inst/fifo_instance/rdaddr<4>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__rt_renamed_1772)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_4__INV_0)) - (portRef (member ADDRB 6) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_5_ "uart_inst/fifo_instance/rdaddr<5>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__rt_renamed_1773)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_5__INV_0)) - (portRef (member ADDRB 5) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_6_ "uart_inst/fifo_instance/rdaddr<6>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__rt_renamed_1774)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_6__INV_0)) - (portRef (member ADDRB 4) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_7_ "uart_inst/fifo_instance/rdaddr<7>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__rt_renamed_1775)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_7__INV_0)) - (portRef (member ADDRB 3) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_8_ "uart_inst/fifo_instance/rdaddr<8>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__rt_renamed_1776)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_8__INV_0)) - (portRef (member ADDRB 2) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_9_ "uart_inst/fifo_instance/rdaddr<9>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__rt_renamed_1777)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_9__INV_0)) - (portRef (member ADDRB 1) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_and0000 "uart_inst/fifo_instance/rdaddr_and0000") - (joined - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef O (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_0_ "uart_inst/fifo_instance/wraddr<0>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - (portRef I (instanceRef uart_inst_fifo_instance_Mcount_wraddr_lut_0__INV_0)) - (portRef (member ADDRA 10) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_1_ "uart_inst/fifo_instance/wraddr<1>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__rt_renamed_1778)) - (portRef (member ADDRA 9) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_10_ "uart_inst/fifo_instance/wraddr<10>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__rt_renamed_1843)) - (portRef (member ADDRA 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_2_ "uart_inst/fifo_instance/wraddr<2>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__rt_renamed_1779)) - (portRef (member ADDRA 8) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_3_ "uart_inst/fifo_instance/wraddr<3>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__rt_renamed_1780)) - (portRef (member ADDRA 7) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_4_ "uart_inst/fifo_instance/wraddr<4>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__rt_renamed_1781)) - (portRef (member ADDRA 6) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_5_ "uart_inst/fifo_instance/wraddr<5>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__rt_renamed_1782)) - (portRef (member ADDRA 5) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_6_ "uart_inst/fifo_instance/wraddr<6>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__rt_renamed_1783)) - (portRef (member ADDRA 4) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_7_ "uart_inst/fifo_instance/wraddr<7>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__rt_renamed_1784)) - (portRef (member ADDRA 3) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_8_ "uart_inst/fifo_instance/wraddr<8>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__rt_renamed_1785)) - (portRef (member ADDRA 2) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_9_ "uart_inst/fifo_instance/wraddr<9>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__rt_renamed_1786)) - (portRef (member ADDRA 1) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1789)) - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_clkout "uart_inst/rx_inst/baudgen/clkout") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_clkout_renamed_845)) - (portRef I2 (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I3 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - (portRef I3 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW02)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_clkout_or0000 "uart_inst/rx_inst/baudgen/clkout_or0000") - (joined - (portRef R (instanceRef uart_inst_rx_inst_baudgen_clkout_renamed_845)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_clkout_or00001)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_0_ "uart_inst/rx_inst/baudgen/cnt<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_0)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1789)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_1_ "uart_inst/rx_inst/baudgen/cnt<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_1)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_10_ "uart_inst/rx_inst/baudgen/cnt<10>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_10)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_11_ "uart_inst/rx_inst/baudgen/cnt<11>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_12_ "uart_inst/rx_inst/baudgen/cnt<12>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_12)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_13_ "uart_inst/rx_inst/baudgen/cnt<13>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_13)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_14_ "uart_inst/rx_inst/baudgen/cnt<14>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_14)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_15_ "uart_inst/rx_inst/baudgen/cnt<15>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_15)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_2_ "uart_inst/rx_inst/baudgen/cnt<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_2)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_3_ "uart_inst/rx_inst/baudgen/cnt<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_3)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_4_ "uart_inst/rx_inst/baudgen/cnt<4>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_4)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_5_ "uart_inst/rx_inst/baudgen/cnt<5>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_5)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_6_ "uart_inst/rx_inst/baudgen/cnt<6>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_6)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_7_ "uart_inst/rx_inst/baudgen/cnt<7>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_7)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_8_ "uart_inst/rx_inst/baudgen/cnt<8>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_8)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_9_ "uart_inst/rx_inst/baudgen/cnt<9>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_9)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_0_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_10_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_11_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_12_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_13_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_14_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_15_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_1_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_2_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_3_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_4_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_5_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_6_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_7_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_8_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_9_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq0000 "uart_inst/rx_inst/baudgen/cnt_cmp_eq0000") - (joined - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_clkout_or00001)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_0_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_1_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_2_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_3_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_4_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_5_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_6_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_7_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_8_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_9_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_15_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_14_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_13_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_12_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_11_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000012 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000025 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000049 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000062 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_0_ "uart_inst/rx_inst/baudgen/cnt_mux0001<0>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_0)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_10_ "uart_inst/rx_inst/baudgen/cnt_mux0001<10>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_10)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_11_ "uart_inst/rx_inst/baudgen/cnt_mux0001<11>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_11)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_12_ "uart_inst/rx_inst/baudgen/cnt_mux0001<12>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_12)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_13_ "uart_inst/rx_inst/baudgen/cnt_mux0001<13>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_13)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_14_ "uart_inst/rx_inst/baudgen/cnt_mux0001<14>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_14)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_15_ "uart_inst/rx_inst/baudgen/cnt_mux0001<15>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_15)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_1_ "uart_inst/rx_inst/baudgen/cnt_mux0001<1>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_1)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_2_ "uart_inst/rx_inst/baudgen/cnt_mux0001<2>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_2)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_3_ "uart_inst/rx_inst/baudgen/cnt_mux0001<3>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_3)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_4_ "uart_inst/rx_inst/baudgen/cnt_mux0001<4>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_4)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_5_ "uart_inst/rx_inst/baudgen/cnt_mux0001<5>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_5)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_6_ "uart_inst/rx_inst/baudgen/cnt_mux0001<6>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_6)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_7_ "uart_inst/rx_inst/baudgen/cnt_mux0001<7>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_7)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_8_ "uart_inst/rx_inst/baudgen/cnt_mux0001<8>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_8)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_9_ "uart_inst/rx_inst/baudgen/cnt_mux0001<9>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_9)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_not0002 "uart_inst/rx_inst/baudgen/cnt_not0002") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_0)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_1)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_2)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_3)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_4)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_5)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_6)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_7)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_8)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_9)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_10)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_11)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_12)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_13)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_14)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_15)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_not00021)) - ) - ) - (net (rename uart_inst_rx_inst_baudreset "uart_inst/rx_inst/baudreset") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudreset_renamed_848)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_not00021)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_clkout_or00001)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_0_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_1_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_2_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_3_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_4_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_5_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_6_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_7_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_8_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_9_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_15_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_14_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_13_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_12_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_11_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudreset_or0000 "uart_inst/rx_inst/baudreset_or0000") - (joined - (portRef R (instanceRef uart_inst_rx_inst_baudreset_renamed_848)) - (portRef O (instanceRef uart_inst_rx_inst_baudreset_or00001)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_0_ "uart_inst/rx_inst/datacount<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datacount_0)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_1_ "uart_inst/rx_inst/datacount<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datacount_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226)) - (portRef I2 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_2_ "uart_inst/rx_inst/datacount<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datacount_2)) - (portRef S (instanceRef uart_inst_rx_inst_datacount_mux0000_0__f5)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_0_ "uart_inst/rx_inst/datacount_mux0000<0>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_datacount_2)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_0_1 "uart_inst/rx_inst/datacount_mux0000<0>1") - (joined - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_0_2 "uart_inst/rx_inst/datacount_mux0000<0>2") - (joined - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_1_ "uart_inst/rx_inst/datacount_mux0000<1>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_datacount_1)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_1_1 "uart_inst/rx_inst/datacount_mux0000<1>1") - (joined - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_1_2 "uart_inst/rx_inst/datacount_mux0000<1>2") - (joined - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_2_ "uart_inst/rx_inst/datacount_mux0000<2>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_datacount_0)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - ) - ) - (net (rename uart_inst_rx_inst_datao_0_ "uart_inst/rx_inst/datao<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_0)) - (portRef (member DIA 7) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_1_ "uart_inst/rx_inst/datao<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_1)) - (portRef (member DIA 6) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_2_ "uart_inst/rx_inst/datao<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_2)) - (portRef (member DIA 5) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_3_ "uart_inst/rx_inst/datao<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_3)) - (portRef (member DIA 4) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_4_ "uart_inst/rx_inst/datao<4>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_4)) - (portRef (member DIA 3) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_5_ "uart_inst/rx_inst/datao<5>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_5)) - (portRef (member DIA 2) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_6_ "uart_inst/rx_inst/datao<6>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_6)) - (portRef (member DIA 1) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_7_ "uart_inst/rx_inst/datao<7>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_7)) - (portRef (member DIA 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_not0001 "uart_inst/rx_inst/datao_not0001") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_datao_0)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_1)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_2)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_3)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_4)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_5)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_6)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_7)) - (portRef O (instanceRef uart_inst_rx_inst_datao_not00012)) - ) - ) - (net (rename uart_inst_rx_inst_dataready "uart_inst/rx_inst/dataready") - (joined - (portRef D (instanceRef uart_inst_data_ready_dly_q_renamed_855)) - (portRef Q (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef I3 (instanceRef uart_inst_dready_q_or00001)) - ) - ) - (net (rename uart_inst_rx_inst_dataready_mux0000 "uart_inst/rx_inst/dataready_mux0000") - (joined - (portRef D (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef O (instanceRef uart_inst_rx_inst_datao_not000111)) - ) - ) - (net (rename uart_inst_rx_inst_dataready_not0001 "uart_inst/rx_inst/dataready_not0001") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef O (instanceRef uart_inst_rx_inst_dataready_not00011)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_0_ "uart_inst/rx_inst/rxd<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_0)) - (portRef D (instanceRef uart_inst_rx_inst_datao_0)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_0_not0001 "uart_inst/rx_inst/rxd_0_not0001") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_rxd_7)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_6)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_5)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_4)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_3)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_2)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_1)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_0)) - (portRef O (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_1_ "uart_inst/rx_inst/rxd<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_1)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_0)) - (portRef D (instanceRef uart_inst_rx_inst_datao_1)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_2_ "uart_inst/rx_inst/rxd<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_2)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_1)) - (portRef D (instanceRef uart_inst_rx_inst_datao_2)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_3_ "uart_inst/rx_inst/rxd<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_3)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_2)) - (portRef D (instanceRef uart_inst_rx_inst_datao_3)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_4_ "uart_inst/rx_inst/rxd<4>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_4)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_3)) - (portRef D (instanceRef uart_inst_rx_inst_datao_4)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_5_ "uart_inst/rx_inst/rxd<5>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_5)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_4)) - (portRef D (instanceRef uart_inst_rx_inst_datao_5)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_6_ "uart_inst/rx_inst/rxd<6>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_6)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_5)) - (portRef D (instanceRef uart_inst_rx_inst_datao_6)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_7_ "uart_inst/rx_inst/rxd<7>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_7)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_6)) - (portRef D (instanceRef uart_inst_rx_inst_datao_7)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_0_ "uart_inst/rx_inst/rxmvfilter/count_q<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_1_ "uart_inst/rx_inst/rxmvfilter/count_q<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_2_ "uart_inst/rx_inst/rxmvfilter/count_q<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I3 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_3_ "uart_inst/rx_inst/rxmvfilter/count_q<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_not0001 "uart_inst/rx_inst/rxmvfilter/count_q_not0001") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_not00011)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_or0000 "uart_inst/rx_inst/rxmvfilter/count_q_or0000") - (joined - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_sout "uart_inst/rx_inst/rxmvfilter/sout") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxd_7)) - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I1 (instanceRef uart_inst_rx_inst_datao_not000111)) - (portRef I1 (instanceRef uart_inst_rx_inst_dataready_not00011)) - (portRef I1 (instanceRef uart_inst_rx_inst_datao_not00012)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW02)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_sout_cmp_ge0000 "uart_inst/rx_inst/rxmvfilter/sout_cmp_ge0000") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd1 "uart_inst/rx_inst/state_FSM_FFd1") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_state_FSM_FFd1_renamed_847)) - (portRef I3 (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I2 (instanceRef uart_inst_rx_inst_datao_not000111)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudreset_or00001)) - (portRef I2 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - (portRef I2 (instanceRef uart_inst_rx_inst_dataready_not00011)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - (portRef I2 (instanceRef uart_inst_rx_inst_datao_not00012)) - (portRef S (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5)) - (portRef S (instanceRef uart_inst_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd1_In "uart_inst/rx_inst/state_FSM_FFd1-In") - (joined - (portRef D (instanceRef uart_inst_rx_inst_state_FSM_FFd1_renamed_847)) - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd2 "uart_inst/rx_inst/state_FSM_FFd2") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_state_FSM_FFd2_renamed_846)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I0 (instanceRef uart_inst_rx_inst_datao_not000111)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudreset_or00001)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - (portRef I0 (instanceRef uart_inst_rx_inst_dataready_not00011)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - (portRef I0 (instanceRef uart_inst_rx_inst_datao_not00012)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd2_In "uart_inst/rx_inst/state_FSM_FFd2-In") - (joined - (portRef D (instanceRef uart_inst_rx_inst_state_FSM_FFd2_renamed_846)) - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW0 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW0") - (joined - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW01 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW01") - (joined - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW02)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__rt "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1787)) - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_10_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_11_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_12_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_13_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_14_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_15_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_1_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_2_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_3_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_4_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_5_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_6_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_7_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_8_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_9_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_clkout "uart_inst/rx_timer/clkout") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_clkout_renamed_843)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_not00021)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_clkout_or00001)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_not00021)) - (portRef I1 (instanceRef uart_inst_tx_timer_clkout_or00001)) - ) - ) - (net (rename uart_inst_rx_timer_clkout_or0000 "uart_inst/rx_timer/clkout_or0000") - (joined - (portRef R (instanceRef uart_inst_rx_timer_clkout_renamed_843)) - (portRef O (instanceRef uart_inst_rx_timer_clkout_or00001)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_0_ "uart_inst/rx_timer/cnt<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_0)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I0 (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1787)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_1_ "uart_inst/rx_timer/cnt<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_10_ "uart_inst/rx_timer/cnt<10>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_10)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_11_ "uart_inst/rx_timer/cnt<11>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_11)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_12_ "uart_inst/rx_timer/cnt<12>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_12)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_13_ "uart_inst/rx_timer/cnt<13>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_13)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_14_ "uart_inst/rx_timer/cnt<14>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_14)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_15_ "uart_inst/rx_timer/cnt<15>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_15)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_2_ "uart_inst/rx_timer/cnt<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_2)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_3_ "uart_inst/rx_timer/cnt<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_3)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_4_ "uart_inst/rx_timer/cnt<4>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_4)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_5_ "uart_inst/rx_timer/cnt<5>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_5)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_6_ "uart_inst/rx_timer/cnt<6>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_6)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_7_ "uart_inst/rx_timer/cnt<7>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_7)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_8_ "uart_inst/rx_timer/cnt<8>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_8)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_9_ "uart_inst/rx_timer/cnt<9>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_9)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_0_ "uart_inst/rx_timer/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_10_ "uart_inst/rx_timer/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_11_ "uart_inst/rx_timer/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_12_ "uart_inst/rx_timer/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_13_ "uart_inst/rx_timer/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_14_ "uart_inst/rx_timer/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_15_ "uart_inst/rx_timer/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_1_ "uart_inst/rx_timer/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_2_ "uart_inst/rx_timer/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_3_ "uart_inst/rx_timer/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_4_ "uart_inst/rx_timer/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_5_ "uart_inst/rx_timer/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_6_ "uart_inst/rx_timer/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_7_ "uart_inst/rx_timer/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_8_ "uart_inst/rx_timer/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_9_ "uart_inst/rx_timer/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq0000 "uart_inst/rx_timer/cnt_cmp_eq0000") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_clkout_or00001)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq000012 "uart_inst/rx_timer/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq000025 "uart_inst/rx_timer/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq000049 "uart_inst/rx_timer/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq000062 "uart_inst/rx_timer/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_0_ "uart_inst/rx_timer/cnt_mux0001<0>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_0)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_10_ "uart_inst/rx_timer/cnt_mux0001<10>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_10)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_11_ "uart_inst/rx_timer/cnt_mux0001<11>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_11)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_12_ "uart_inst/rx_timer/cnt_mux0001<12>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_12)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_13_ "uart_inst/rx_timer/cnt_mux0001<13>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_13)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_14_ "uart_inst/rx_timer/cnt_mux0001<14>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_14)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_15_ "uart_inst/rx_timer/cnt_mux0001<15>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_15)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_1_ "uart_inst/rx_timer/cnt_mux0001<1>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_1)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_2_ "uart_inst/rx_timer/cnt_mux0001<2>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_2)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_3_ "uart_inst/rx_timer/cnt_mux0001<3>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_3)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_4_ "uart_inst/rx_timer/cnt_mux0001<4>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_4)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_5_ "uart_inst/rx_timer/cnt_mux0001<5>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_5)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_6_ "uart_inst/rx_timer/cnt_mux0001<6>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_6)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_7_ "uart_inst/rx_timer/cnt_mux0001<7>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_7)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_8_ "uart_inst/rx_timer/cnt_mux0001<8>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_8)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_9_ "uart_inst/rx_timer/cnt_mux0001<9>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_9)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_0_ "uart_inst/tx_core/bitpos<0>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef I2 (instanceRef uart_inst_tx_core_bitpos_mux0002_1_1)) - (portRef I0 (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - (portRef I3 (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - (portRef I1 (instanceRef uart_inst_tx_core_bitpos_mux0002_3_1)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - (portRef S (instanceRef uart_inst_tx_core_txd_r_mux000184_renamed_2217)) - (portRef I3 (instanceRef uart_inst_tx_core_loaded_r_not000111)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_1_ "uart_inst/tx_core/bitpos<1>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef I0 (instanceRef uart_inst_tx_core_bitpos_mux0002_1_1)) - (portRef I1 (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - (portRef I2 (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - (portRef I2 (instanceRef uart_inst_tx_core_loaded_r_mux000011)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux0001121_renamed_1148)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not000111)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_2_ "uart_inst/tx_core/bitpos<2>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef I1 (instanceRef uart_inst_tx_core_bitpos_mux0002_1_1)) - (portRef I2 (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - (portRef I0 (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_mux000011)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux0001121_renamed_1148)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not000111)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_3_ "uart_inst/tx_core/bitpos<3>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef I3 (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - (portRef I1 (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_mux000011)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - (portRef I2 (instanceRef uart_inst_tx_core_loaded_r_not000111)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_mux0002_0_ "uart_inst/tx_core/bitpos_mux0002<0>") - (joined - (portRef D (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef O (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_mux0002_1_ "uart_inst/tx_core/bitpos_mux0002<1>") - (joined - (portRef D (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef O (instanceRef uart_inst_tx_core_bitpos_mux0002_1_1)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_mux0002_2_ "uart_inst/tx_core/bitpos_mux0002<2>") - (joined - (portRef D (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef O (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_mux0002_3_ "uart_inst/tx_core/bitpos_mux0002<3>") - (joined - (portRef D (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef O (instanceRef uart_inst_tx_core_bitpos_mux0002_3_1)) - ) - ) - (net (rename uart_inst_tx_core_idle "uart_inst/tx_core/idle") - (joined - (portRef Q (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef I1 (instanceRef uart_inst_tx_core_intx_o_not00011)) - (portRef I1 (instanceRef uart_inst_tx_core_idle_not00011)) - ) - ) - (net (rename uart_inst_tx_core_idle_mux0000 "uart_inst/tx_core/idle_mux0000") - (joined - (portRef D (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef O (instanceRef uart_inst_tx_core_idle_mux00001_INV_0)) - ) - ) - (net (rename uart_inst_tx_core_idle_not0001 "uart_inst/tx_core/idle_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef O (instanceRef uart_inst_tx_core_idle_not00011)) - ) - ) - (net (rename uart_inst_tx_core_intx_o "uart_inst/tx_core/intx_o") - (joined - (portRef Q (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef I2 (instanceRef uart_inst_wb_dat_o_2_mux00001)) - ) - ) - (net (rename uart_inst_tx_core_intx_o_not0001 "uart_inst/tx_core/intx_o_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef O (instanceRef uart_inst_tx_core_intx_o_not00011)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r "uart_inst/tx_core/loaded_r") - (joined - (portRef Q (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef D (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef I2 (instanceRef uart_inst_tx_core_bitpos_mux0002_3_1)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - (portRef I2 (instanceRef uart_inst_tx_core_intx_o_not00011)) - (portRef I2 (instanceRef uart_inst_tx_core_idle_not00011)) - (portRef I2 (instanceRef uart_inst_wb_dat_o_1_mux00001)) - (portRef I1 (instanceRef uart_inst_tx_core_t_r_not00011)) - (portRef I (instanceRef uart_inst_tx_core_idle_mux00001_INV_0)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not00013_renamed_2231)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_mux0000 "uart_inst/tx_core/loaded_r_mux0000") - (joined - (portRef D (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef O (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_not0001 "uart_inst/tx_core/loaded_r_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_not00011 "uart_inst/tx_core/loaded_r_not00011") - (joined - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not000111)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not00011_f5)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_not00012 "uart_inst/tx_core/loaded_r_not00012") - (joined - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_not00013 "uart_inst/tx_core/loaded_r_not00013") - (joined - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not00013_renamed_2231)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename uart_inst_tx_core_t_r_0_ "uart_inst/tx_core/t_r<0>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_0)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - ) - ) - (net (rename uart_inst_tx_core_t_r_1_ "uart_inst/tx_core/t_r<1>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_1)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - ) - ) - (net (rename uart_inst_tx_core_t_r_2_ "uart_inst/tx_core/t_r<2>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_2)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - ) - ) - (net (rename uart_inst_tx_core_t_r_3_ "uart_inst/tx_core/t_r<3>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_3)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - ) - ) - (net (rename uart_inst_tx_core_t_r_4_ "uart_inst/tx_core/t_r<4>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_4)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - ) - ) - (net (rename uart_inst_tx_core_t_r_5_ "uart_inst/tx_core/t_r<5>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_5)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - ) - ) - (net (rename uart_inst_tx_core_t_r_6_ "uart_inst/tx_core/t_r<6>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_6)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - ) - ) - (net (rename uart_inst_tx_core_t_r_7_ "uart_inst/tx_core/t_r<7>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_7)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - ) - ) - (net (rename uart_inst_tx_core_t_r_not0001 "uart_inst/tx_core/t_r_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_t_r_0)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_1)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_2)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_3)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_4)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_5)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_6)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_7)) - (portRef O (instanceRef uart_inst_tx_core_t_r_not00011)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_0_ "uart_inst/tx_core/tbuff_r<0>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_0)) - (portRef D (instanceRef uart_inst_tx_core_t_r_0)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_1_ "uart_inst/tx_core/tbuff_r<1>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_1)) - (portRef D (instanceRef uart_inst_tx_core_t_r_1)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_2_ "uart_inst/tx_core/tbuff_r<2>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_2)) - (portRef D (instanceRef uart_inst_tx_core_t_r_2)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_3_ "uart_inst/tx_core/tbuff_r<3>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_3)) - (portRef D (instanceRef uart_inst_tx_core_t_r_3)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_4_ "uart_inst/tx_core/tbuff_r<4>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_4)) - (portRef D (instanceRef uart_inst_tx_core_t_r_4)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_5_ "uart_inst/tx_core/tbuff_r<5>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_5)) - (portRef D (instanceRef uart_inst_tx_core_t_r_5)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_6_ "uart_inst/tx_core/tbuff_r<6>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_6)) - (portRef D (instanceRef uart_inst_tx_core_t_r_6)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_7_ "uart_inst/tx_core/tbuff_r<7>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_7)) - (portRef D (instanceRef uart_inst_tx_core_t_r_7)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_not0001 "uart_inst/tx_core/tbuff_r_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_0)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_1)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_2)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_3)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_4)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_5)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_6)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_7)) - (portRef O (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - ) - ) - (net (rename uart_inst_tx_core_txd_r "uart_inst/tx_core/txd_r") - (joined - (portRef I (instanceRef obuftx_obufi)) - (portRef Q (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux0001 "uart_inst/tx_core/txd_r_mux0001") - (joined - (portRef D (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux0001117 "uart_inst/tx_core/txd_r_mux0001117") - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux000112 "uart_inst/tx_core/txd_r_mux000112") - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux0001121 "uart_inst/tx_core/txd_r_mux0001121") - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux0001121_renamed_1148)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux000148 "uart_inst/tx_core/txd_r_mux000148") - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux000184 "uart_inst/tx_core/txd_r_mux000184") - (joined - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000184_renamed_2217)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__rt "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1788)) - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_10_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_11_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_12_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_13_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_14_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_15_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_1_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_2_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_3_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_4_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_5_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_6_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_7_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_8_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_9_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_clkout "uart_inst/tx_timer/clkout") - (joined - (portRef CE (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - (portRef CE (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef CE (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef CE (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef CE (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef Q (instanceRef uart_inst_tx_timer_clkout_renamed_844)) - (portRef I2 (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - (portRef S (instanceRef uart_inst_tx_core_loaded_r_not00011_f5)) - ) - ) - (net (rename uart_inst_tx_timer_clkout_or0000 "uart_inst/tx_timer/clkout_or0000") - (joined - (portRef R (instanceRef uart_inst_tx_timer_clkout_renamed_844)) - (portRef O (instanceRef uart_inst_tx_timer_clkout_or00001)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_0_ "uart_inst/tx_timer/cnt<0>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_0)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I0 (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1788)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_1_ "uart_inst/tx_timer/cnt<1>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_1)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_10_ "uart_inst/tx_timer/cnt<10>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_10)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_11_ "uart_inst/tx_timer/cnt<11>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_11)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_12_ "uart_inst/tx_timer/cnt<12>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_12)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_13_ "uart_inst/tx_timer/cnt<13>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_13)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_14_ "uart_inst/tx_timer/cnt<14>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_14)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_15_ "uart_inst/tx_timer/cnt<15>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_15)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_2_ "uart_inst/tx_timer/cnt<2>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_2)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_3_ "uart_inst/tx_timer/cnt<3>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_3)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_4_ "uart_inst/tx_timer/cnt<4>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_4)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_5_ "uart_inst/tx_timer/cnt<5>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_5)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_6_ "uart_inst/tx_timer/cnt<6>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_6)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_7_ "uart_inst/tx_timer/cnt<7>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_7)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_8_ "uart_inst/tx_timer/cnt<8>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_8)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_9_ "uart_inst/tx_timer/cnt<9>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_9)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_0_ "uart_inst/tx_timer/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_10_ "uart_inst/tx_timer/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_11_ "uart_inst/tx_timer/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_12_ "uart_inst/tx_timer/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_13_ "uart_inst/tx_timer/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_14_ "uart_inst/tx_timer/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_15_ "uart_inst/tx_timer/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_1_ "uart_inst/tx_timer/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_2_ "uart_inst/tx_timer/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_3_ "uart_inst/tx_timer/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_4_ "uart_inst/tx_timer/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_5_ "uart_inst/tx_timer/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_6_ "uart_inst/tx_timer/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_7_ "uart_inst/tx_timer/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_8_ "uart_inst/tx_timer/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_9_ "uart_inst/tx_timer/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq0000 "uart_inst/tx_timer/cnt_cmp_eq0000") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - (portRef I2 (instanceRef uart_inst_tx_timer_clkout_or00001)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq000012 "uart_inst/tx_timer/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq000025 "uart_inst/tx_timer/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq000049 "uart_inst/tx_timer/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq000062 "uart_inst/tx_timer/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_0_ "uart_inst/tx_timer/cnt_mux0001<0>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_0)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_10_ "uart_inst/tx_timer/cnt_mux0001<10>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_10)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_11_ "uart_inst/tx_timer/cnt_mux0001<11>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_11)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_12_ "uart_inst/tx_timer/cnt_mux0001<12>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_12)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_13_ "uart_inst/tx_timer/cnt_mux0001<13>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_13)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_14_ "uart_inst/tx_timer/cnt_mux0001<14>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_14)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_15_ "uart_inst/tx_timer/cnt_mux0001<15>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_15)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_1_ "uart_inst/tx_timer/cnt_mux0001<1>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_1)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_2_ "uart_inst/tx_timer/cnt_mux0001<2>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_2)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_3_ "uart_inst/tx_timer/cnt_mux0001<3>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_3)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_4_ "uart_inst/tx_timer/cnt_mux0001<4>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_4)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_5_ "uart_inst/tx_timer/cnt_mux0001<5>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_5)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_6_ "uart_inst/tx_timer/cnt_mux0001<6>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_6)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_7_ "uart_inst/tx_timer/cnt_mux0001<7>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_7)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_8_ "uart_inst/tx_timer/cnt_mux0001<8>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_8)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_9_ "uart_inst/tx_timer/cnt_mux0001<9>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_9)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_not0002 "uart_inst/tx_timer/cnt_not0002") - (joined - (portRef CE (instanceRef uart_inst_tx_timer_cnt_0)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_1)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_2)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_3)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_4)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_5)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_6)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_7)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_8)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_9)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_10)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_11)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_12)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_13)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_14)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_15)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_not00021)) - ) - ) - (net vgaclk - (joined - (portRef C (instanceRef slot9_v_display_renamed_0)) - (portRef C (instanceRef slot9_vga_r_0)) - (portRef C (instanceRef slot9_vga_r_1)) - (portRef C (instanceRef slot9_vga_r_2)) - (portRef C (instanceRef slot9_vga_g_0)) - (portRef C (instanceRef slot9_vga_g_1)) - (portRef C (instanceRef slot9_vga_g_2)) - (portRef C (instanceRef slot9_vga_b_0)) - (portRef C (instanceRef slot9_vga_b_1)) - (portRef C (instanceRef slot9_ram_v_addrh_q_renamed_6)) - (portRef C (instanceRef slot9_rstq2_renamed_7)) - (portRef C (instanceRef slot9_rstq1_renamed_173)) - (portRef C (instanceRef slot9_vga_hsync_renamed_292)) - (portRef C (instanceRef slot9_vga_vsync_renamed_293)) - (portRef C (instanceRef slot9_h_sync_tick_renamed_294)) - (portRef C (instanceRef slot9_voff_0)) - (portRef C (instanceRef slot9_voff_1)) - (portRef C (instanceRef slot9_voff_2)) - (portRef C (instanceRef slot9_vcount_q_0)) - (portRef C (instanceRef slot9_vcount_q_1)) - (portRef C (instanceRef slot9_vcount_q_2)) - (portRef C (instanceRef slot9_vcount_q_5)) - (portRef C (instanceRef slot9_vcount_q_3)) - (portRef C (instanceRef slot9_vcount_q_4)) - (portRef C (instanceRef slot9_vcount_q_6)) - (portRef C (instanceRef slot9_vcount_q_7)) - (portRef C (instanceRef slot9_vcount_q_8)) - (portRef C (instanceRef slot9_hoff_0)) - (portRef C (instanceRef slot9_hoff_1)) - (portRef C (instanceRef slot9_hoff_2)) - (portRef C (instanceRef slot9_hcount_q_0)) - (portRef C (instanceRef slot9_hcount_q_1)) - (portRef C (instanceRef slot9_hcount_q_2)) - (portRef C (instanceRef slot9_hcount_q_3)) - (portRef C (instanceRef slot9_hcount_q_4)) - (portRef C (instanceRef slot9_hcount_q_7)) - (portRef C (instanceRef slot9_hcount_q_5)) - (portRef C (instanceRef slot9_hcount_q_6)) - (portRef C (instanceRef slot9_hcount_q_8)) - (portRef C (instanceRef slot9_hcount_q_9)) - (portRef C (instanceRef slot9_hdisp_2)) - (portRef C (instanceRef slot9_hdisp_3)) - (portRef C (instanceRef slot9_hdisp_4)) - (portRef C (instanceRef slot9_hdisp_5)) - (portRef C (instanceRef slot9_hdisp_6)) - (portRef C (instanceRef slot9_hdisp_7)) - (portRef C (instanceRef slot9_hdisp_8)) - (portRef C (instanceRef slot9_hdisp_9)) - (portRef C (instanceRef slot9_hdisp_10)) - (portRef C (instanceRef slot9_hdisp_11)) - (portRef C (instanceRef slot9_hdisp_12)) - (portRef C (instanceRef slot9_hdisp_13)) - (portRef C (instanceRef slot9_vga_v_offset_5)) - (portRef C (instanceRef slot9_vga_v_offset_6)) - (portRef C (instanceRef slot9_vga_v_offset_7)) - (portRef C (instanceRef slot9_vga_v_offset_8)) - (portRef C (instanceRef slot9_vga_v_offset_9)) - (portRef C (instanceRef slot9_vga_v_offset_10)) - (portRef C (instanceRef slot9_vga_v_offset_11)) - (portRef C (instanceRef slot9_vga_v_offset_12)) - (portRef C (instanceRef slot9_vga_v_offset_13)) - (portRef C (instanceRef slot9_vga_v_offset_14)) - (portRef C (instanceRef slot9_vcount_q_9)) - (portRef C (instanceRef slot9_hcount_q_10)) - (portRef O (instanceRef clkgen_inst_vgaclkfx_inst)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef CLKB (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef CLKB (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_0_ "zpuino/core/Madd_jump_address_add0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_10_ "zpuino/core/Madd_jump_address_add0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_11_ "zpuino/core/Madd_jump_address_add0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_12_ "zpuino/core/Madd_jump_address_add0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_1_ "zpuino/core/Madd_jump_address_add0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_2_ "zpuino/core/Madd_jump_address_add0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_3_ "zpuino/core/Madd_jump_address_add0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_4_ "zpuino/core/Madd_jump_address_add0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_5_ "zpuino/core/Madd_jump_address_add0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_6_ "zpuino/core/Madd_jump_address_add0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_7_ "zpuino/core/Madd_jump_address_add0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_8_ "zpuino/core/Madd_jump_address_add0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_9_ "zpuino/core/Madd_jump_address_add0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_0_ "zpuino/core/Madd_jump_address_add0000_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_0__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_0__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_10_ "zpuino/core/Madd_jump_address_add0000_lut<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_10__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_10__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_11_ "zpuino/core/Madd_jump_address_add0000_lut<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_11__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_11__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_12_ "zpuino/core/Madd_jump_address_add0000_lut<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_12__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_12__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_13_ "zpuino/core/Madd_jump_address_add0000_lut<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_13__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_1_ "zpuino/core/Madd_jump_address_add0000_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_1__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_2_ "zpuino/core/Madd_jump_address_add0000_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_2__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_3_ "zpuino/core/Madd_jump_address_add0000_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_3__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_4_ "zpuino/core/Madd_jump_address_add0000_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_4__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_5_ "zpuino/core/Madd_jump_address_add0000_lut<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_5__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_6_ "zpuino/core/Madd_jump_address_add0000_lut<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_6__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_7_ "zpuino/core/Madd_jump_address_add0000_lut<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_7__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_8_ "zpuino/core/Madd_jump_address_add0000_lut<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_8__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_8__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_9_ "zpuino/core/Madd_jump_address_add0000_lut<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_9__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_9__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_0_ "zpuino/core/Madd_pcnext_add0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_10_ "zpuino/core/Madd_pcnext_add0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_10__rt "zpuino/core/Madd_pcnext_add0000_cy<10>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__rt_renamed_1708)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_11_ "zpuino/core/Madd_pcnext_add0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_11__rt "zpuino/core/Madd_pcnext_add0000_cy<11>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__rt_renamed_1709)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_12_ "zpuino/core/Madd_pcnext_add0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_12__rt "zpuino/core/Madd_pcnext_add0000_cy<12>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__rt_renamed_1710)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_1_ "zpuino/core/Madd_pcnext_add0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_1__rt "zpuino/core/Madd_pcnext_add0000_cy<1>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__rt_renamed_1699)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_2_ "zpuino/core/Madd_pcnext_add0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_2__rt "zpuino/core/Madd_pcnext_add0000_cy<2>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__rt_renamed_1700)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_3_ "zpuino/core/Madd_pcnext_add0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_3__rt "zpuino/core/Madd_pcnext_add0000_cy<3>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__rt_renamed_1701)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_4_ "zpuino/core/Madd_pcnext_add0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_4__rt "zpuino/core/Madd_pcnext_add0000_cy<4>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__rt_renamed_1702)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_5_ "zpuino/core/Madd_pcnext_add0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_5__rt "zpuino/core/Madd_pcnext_add0000_cy<5>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__rt_renamed_1703)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_6_ "zpuino/core/Madd_pcnext_add0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_6__rt "zpuino/core/Madd_pcnext_add0000_cy<6>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__rt_renamed_1704)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_7_ "zpuino/core/Madd_pcnext_add0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_7__rt "zpuino/core/Madd_pcnext_add0000_cy<7>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__rt_renamed_1705)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_8_ "zpuino/core/Madd_pcnext_add0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_8__rt "zpuino/core/Madd_pcnext_add0000_cy<8>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__rt_renamed_1706)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_9_ "zpuino/core/Madd_pcnext_add0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_9__rt "zpuino/core/Madd_pcnext_add0000_cy<9>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__rt_renamed_1707)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_lut_0_ "zpuino/core/Madd_pcnext_add0000_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_0__)) - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_lut_0__INV_0)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_xor_13__rt "zpuino/core/Madd_pcnext_add0000_xor<13>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__rt_renamed_1833)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_prefr_spnext_addsub0001_cy_4__ "zpuino/core/Madd_prefr.spnext_addsub0001_cy<4>") - (joined - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - (portRef I2 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11)) - (portRef O (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_G)) - ) - ) - (net (rename zpuino_core_Madd_prefr_spnext_addsub0001_cy_6__ "zpuino/core/Madd_prefr.spnext_addsub0001_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_0_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_1_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_2_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_3_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_4_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_5_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__rt "zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__rt_renamed_1711)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_6_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__rt "zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__rt_renamed_1712)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_7_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__rt "zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__rt_renamed_1713)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_0_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_0__)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_0__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_1_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_1__)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_2_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_2__)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_3_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_3__)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_4_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_4__)) - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__rt "zpuino/core/Madd_stack_a_addr_addsub0000_xor<8>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__rt_renamed_1834)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_0_ "zpuino/core/Madd_stack_b_addr_add0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_1_ "zpuino/core/Madd_stack_b_addr_add0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_1__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<1>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__rt_renamed_1692)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_2_ "zpuino/core/Madd_stack_b_addr_add0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_2__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<2>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__rt_renamed_1693)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_3_ "zpuino/core/Madd_stack_b_addr_add0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_3__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<3>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__rt_renamed_1694)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_4_ "zpuino/core/Madd_stack_b_addr_add0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_4__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<4>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__rt_renamed_1695)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_5_ "zpuino/core/Madd_stack_b_addr_add0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_5__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<5>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__rt_renamed_1696)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_6_ "zpuino/core/Madd_stack_b_addr_add0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_6__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<6>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__rt_renamed_1697)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_7_ "zpuino/core/Madd_stack_b_addr_add0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_7__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<7>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__rt_renamed_1698)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_lut_0_ "zpuino/core/Madd_stack_b_addr_add0000_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_0__)) - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_lut_0__INV_0)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_xor_8__rt "zpuino/core/Madd_stack_b_addr_add0000_xor<8>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__rt_renamed_1832)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_0_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_1_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_2_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_3_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_4_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_5_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__rt "zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__rt_renamed_1714)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_6_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__rt "zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__rt_renamed_1715)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_7_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__rt "zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__rt_renamed_1716)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_0_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_0__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_0__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_1_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_1__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_2_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_2__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_3_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_3__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_4_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_4__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__rt "zpuino/core/Madd_stack_b_addr_addsub0000_xor<8>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__rt_renamed_1835)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_0_ "zpuino/core/Madd_w1.tos_add0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_10_ "zpuino/core/Madd_w1.tos_add0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_11_ "zpuino/core/Madd_w1.tos_add0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_12_ "zpuino/core/Madd_w1.tos_add0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_13_ "zpuino/core/Madd_w1.tos_add0000_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_14__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_14__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_14_ "zpuino/core/Madd_w1.tos_add0000_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_14__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_15__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_15__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_15_ "zpuino/core/Madd_w1.tos_add0000_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_15__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_16__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_16__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_16_ "zpuino/core/Madd_w1.tos_add0000_cy<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_16__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_17__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_17_ "zpuino/core/Madd_w1.tos_add0000_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_18__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_18__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_18_ "zpuino/core/Madd_w1.tos_add0000_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_18__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_19__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_19__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_19_ "zpuino/core/Madd_w1.tos_add0000_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_19__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_20__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_20__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_1_ "zpuino/core/Madd_w1.tos_add0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_20_ "zpuino/core/Madd_w1.tos_add0000_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_20__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_21__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_21__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_21_ "zpuino/core/Madd_w1.tos_add0000_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_21__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_22__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_22__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_22_ "zpuino/core/Madd_w1.tos_add0000_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_22__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_23__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_23__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_23_ "zpuino/core/Madd_w1.tos_add0000_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_23__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_24__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_24__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_24_ "zpuino/core/Madd_w1.tos_add0000_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_24__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_25__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_25__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_25_ "zpuino/core/Madd_w1.tos_add0000_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_25__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_26__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_26__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_26_ "zpuino/core/Madd_w1.tos_add0000_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_26__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_27__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_27__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_27_ "zpuino/core/Madd_w1.tos_add0000_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_27__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_28__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_28__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_28_ "zpuino/core/Madd_w1.tos_add0000_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_28__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_29__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_29__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_29_ "zpuino/core/Madd_w1.tos_add0000_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_29__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_30__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_30__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_2_ "zpuino/core/Madd_w1.tos_add0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_30_ "zpuino/core/Madd_w1.tos_add0000_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_30__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_31__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_3_ "zpuino/core/Madd_w1.tos_add0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_4_ "zpuino/core/Madd_w1.tos_add0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_5_ "zpuino/core/Madd_w1.tos_add0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_6_ "zpuino/core/Madd_w1.tos_add0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_7_ "zpuino/core/Madd_w1.tos_add0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_8_ "zpuino/core/Madd_w1.tos_add0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_9_ "zpuino/core/Madd_w1.tos_add0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_0_ "zpuino/core/Madd_w1.tos_add0000_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_0__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_10_ "zpuino/core/Madd_w1.tos_add0000_lut<10>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_10__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_10__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_11_ "zpuino/core/Madd_w1.tos_add0000_lut<11>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_11__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_11__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_12_ "zpuino/core/Madd_w1.tos_add0000_lut<12>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_12__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_12__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_13_ "zpuino/core/Madd_w1.tos_add0000_lut<13>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_13__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_13__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_14_ "zpuino/core/Madd_w1.tos_add0000_lut<14>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_14__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_14__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_15_ "zpuino/core/Madd_w1.tos_add0000_lut<15>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_15__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_15__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_16_ "zpuino/core/Madd_w1.tos_add0000_lut<16>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_16__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_16__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_17_ "zpuino/core/Madd_w1.tos_add0000_lut<17>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_17__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_17__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_18_ "zpuino/core/Madd_w1.tos_add0000_lut<18>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_18__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_18__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_19_ "zpuino/core/Madd_w1.tos_add0000_lut<19>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_19__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_19__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_1_ "zpuino/core/Madd_w1.tos_add0000_lut<1>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_1__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_20_ "zpuino/core/Madd_w1.tos_add0000_lut<20>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_20__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_20__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_21_ "zpuino/core/Madd_w1.tos_add0000_lut<21>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_21__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_21__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_22_ "zpuino/core/Madd_w1.tos_add0000_lut<22>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_22__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_22__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_23_ "zpuino/core/Madd_w1.tos_add0000_lut<23>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_23__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_23__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_24_ "zpuino/core/Madd_w1.tos_add0000_lut<24>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_24__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_24__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_25_ "zpuino/core/Madd_w1.tos_add0000_lut<25>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_25__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_25__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_26_ "zpuino/core/Madd_w1.tos_add0000_lut<26>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_26__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_26__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_27_ "zpuino/core/Madd_w1.tos_add0000_lut<27>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_27__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_27__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_28_ "zpuino/core/Madd_w1.tos_add0000_lut<28>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_28__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_28__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_29_ "zpuino/core/Madd_w1.tos_add0000_lut<29>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_29__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_29__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_2_ "zpuino/core/Madd_w1.tos_add0000_lut<2>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_2__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_30_ "zpuino/core/Madd_w1.tos_add0000_lut<30>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_30__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_30__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_31_ "zpuino/core/Madd_w1.tos_add0000_lut<31>") - (joined - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_31__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_3_ "zpuino/core/Madd_w1.tos_add0000_lut<3>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_3__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_4_ "zpuino/core/Madd_w1.tos_add0000_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_4__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_5_ "zpuino/core/Madd_w1.tos_add0000_lut<5>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_5__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_6_ "zpuino/core/Madd_w1.tos_add0000_lut<6>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_6__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_7_ "zpuino/core/Madd_w1.tos_add0000_lut<7>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_7__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_8_ "zpuino/core/Madd_w1.tos_add0000_lut<8>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_8__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_8__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_9_ "zpuino/core/Madd_w1.tos_add0000_lut<9>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_9__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_9__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_0_ "zpuino/core/Madd_w1.tos_add0001_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_10_ "zpuino/core/Madd_w1.tos_add0001_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_11_ "zpuino/core/Madd_w1.tos_add0001_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_12_ "zpuino/core/Madd_w1.tos_add0001_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_13_ "zpuino/core/Madd_w1.tos_add0001_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_14__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_14__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_14_ "zpuino/core/Madd_w1.tos_add0001_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_14__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_15__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_15__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_15_ "zpuino/core/Madd_w1.tos_add0001_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_15__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_16__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_16__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_16_ "zpuino/core/Madd_w1.tos_add0001_cy<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_16__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_17__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_17_ "zpuino/core/Madd_w1.tos_add0001_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_18__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_18__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_18_ "zpuino/core/Madd_w1.tos_add0001_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_18__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_19__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_19__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_19_ "zpuino/core/Madd_w1.tos_add0001_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_19__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_20__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_20__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_1_ "zpuino/core/Madd_w1.tos_add0001_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_20_ "zpuino/core/Madd_w1.tos_add0001_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_20__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_21__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_21__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_21_ "zpuino/core/Madd_w1.tos_add0001_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_21__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_22__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_22__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_22_ "zpuino/core/Madd_w1.tos_add0001_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_22__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_23__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_23__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_23_ "zpuino/core/Madd_w1.tos_add0001_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_23__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_24__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_24__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_24_ "zpuino/core/Madd_w1.tos_add0001_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_24__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_25__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_25__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_25_ "zpuino/core/Madd_w1.tos_add0001_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_25__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_26__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_26__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_26_ "zpuino/core/Madd_w1.tos_add0001_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_26__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_27__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_27__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_27_ "zpuino/core/Madd_w1.tos_add0001_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_27__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_28__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_28__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_28_ "zpuino/core/Madd_w1.tos_add0001_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_28__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_29__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_29__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_29_ "zpuino/core/Madd_w1.tos_add0001_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_29__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_30__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_30__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_2_ "zpuino/core/Madd_w1.tos_add0001_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_30_ "zpuino/core/Madd_w1.tos_add0001_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_30__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_31__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_3_ "zpuino/core/Madd_w1.tos_add0001_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_4_ "zpuino/core/Madd_w1.tos_add0001_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_5_ "zpuino/core/Madd_w1.tos_add0001_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_6_ "zpuino/core/Madd_w1.tos_add0001_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_7_ "zpuino/core/Madd_w1.tos_add0001_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_8_ "zpuino/core/Madd_w1.tos_add0001_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_9_ "zpuino/core/Madd_w1.tos_add0001_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_0_ "zpuino/core/Madd_w1.tos_add0001_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_0__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_0__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_10_ "zpuino/core/Madd_w1.tos_add0001_lut<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_10__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_10__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_11_ "zpuino/core/Madd_w1.tos_add0001_lut<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_11__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_11__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_12_ "zpuino/core/Madd_w1.tos_add0001_lut<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_12__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_12__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_13_ "zpuino/core/Madd_w1.tos_add0001_lut<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_13__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_13__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_14_ "zpuino/core/Madd_w1.tos_add0001_lut<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_14__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_14__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_14__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_15_ "zpuino/core/Madd_w1.tos_add0001_lut<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_15__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_15__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_15__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_16_ "zpuino/core/Madd_w1.tos_add0001_lut<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_16__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_16__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_16__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_17_ "zpuino/core/Madd_w1.tos_add0001_lut<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_17__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_17__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_17__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_18_ "zpuino/core/Madd_w1.tos_add0001_lut<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_18__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_18__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_18__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_19_ "zpuino/core/Madd_w1.tos_add0001_lut<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_19__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_19__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_19__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_1_ "zpuino/core/Madd_w1.tos_add0001_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_1__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_20_ "zpuino/core/Madd_w1.tos_add0001_lut<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_20__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_20__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_20__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_21_ "zpuino/core/Madd_w1.tos_add0001_lut<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_21__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_21__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_21__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_22_ "zpuino/core/Madd_w1.tos_add0001_lut<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_22__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_22__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_22__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_23_ "zpuino/core/Madd_w1.tos_add0001_lut<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_23__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_23__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_23__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_24_ "zpuino/core/Madd_w1.tos_add0001_lut<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_24__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_24__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_24__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_25_ "zpuino/core/Madd_w1.tos_add0001_lut<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_25__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_25__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_25__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_26_ "zpuino/core/Madd_w1.tos_add0001_lut<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_26__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_26__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_26__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_27_ "zpuino/core/Madd_w1.tos_add0001_lut<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_27__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_27__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_27__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_28_ "zpuino/core/Madd_w1.tos_add0001_lut<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_28__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_28__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_28__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_29_ "zpuino/core/Madd_w1.tos_add0001_lut<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_29__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_29__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_29__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_2_ "zpuino/core/Madd_w1.tos_add0001_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_2__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_30_ "zpuino/core/Madd_w1.tos_add0001_lut<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_30__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_30__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_30__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_31_ "zpuino/core/Madd_w1.tos_add0001_lut<31>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_31__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_31__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_3_ "zpuino/core/Madd_w1.tos_add0001_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_3__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_4_ "zpuino/core/Madd_w1.tos_add0001_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_4__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_5_ "zpuino/core/Madd_w1.tos_add0001_lut<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_5__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_6_ "zpuino/core/Madd_w1.tos_add0001_lut<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_6__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_7_ "zpuino/core/Madd_w1.tos_add0001_lut<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_7__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_8_ "zpuino/core/Madd_w1.tos_add0001_lut<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_8__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_8__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_9_ "zpuino/core/Madd_w1.tos_add0001_lut<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_9__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_9__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002158_renamed_1682)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<10>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<11>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<12>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<13>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<14>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<15>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<6>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<7>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<8>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<9>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<16>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<31>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002182_renamed_1878)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<10>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<11>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<12>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<13>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<14>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<15>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<16>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<17>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<18>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<19>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<1>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<20>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<21>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<22>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<23>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<24>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<25>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<26>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<27>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<28>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<29>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<2>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<30>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<31>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<3>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<5>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<6>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<7>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<8>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<9>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<16>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<31>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<10>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<11>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<12>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<13>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<14>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<15>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<16>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<17>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<18>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<19>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<1>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<20>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<21>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<22>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<23>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<24>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<25>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<26>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<27>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<28>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<29>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<2>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<30>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<31>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<3>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<5>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<6>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<7>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<8>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<9>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - ) - ) - (net (rename zpuino_core_Mrom_sampledDecodedOpcode_mux002414 "zpuino/core/Mrom_sampledDecodedOpcode_mux002414") - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - (portRef O (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - ) - ) - (net (rename zpuino_core_Mrom_sampledDecodedOpcode_mux002415 "zpuino/core/Mrom_sampledDecodedOpcode_mux002415") - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672)) - (portRef I1 (instanceRef zpuino_core_sampledTosSource_cmp_eq00042)) - (portRef O (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_0_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__rt "zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__rt_renamed_1717)) - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_0__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_1_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_2_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_3_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_4_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_5_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_6_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_7_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_1_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<1>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_1__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_2_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<2>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_2__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_3_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<3>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_3__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_4_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_4__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_5_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<5>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_5__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_6_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<6>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_6__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_7_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<7>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_7__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_8_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<8>") - (joined - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_8__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename zpuino_core_decode_jump "zpuino/core/decode_jump") - (joined - (portRef I3 (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - (portRef I0 (instanceRef zpuino_core_decr_break_mux000089)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - (portRef I2 (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I2 (instanceRef zpuino_core_prefr_valid_or00001)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - (portRef I3 (instanceRef zpuino_core_decr_pc_not00011)) - (portRef I2 (instanceRef zpuino_rom_wb_cti_i_0_1)) - (portRef I0 (instanceRef zpuino_core_decr_valid_mux0000_SW1)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_72)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - (portRef O (instanceRef zpuino_core_decode_jump_renamed_2314)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - ) - ) - (net (rename zpuino_core_decode_load_sp "zpuino/core/decode_load_sp") - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_61)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_114)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - (portRef I3 (instanceRef zpuino_core_exu_busy42_SW0)) - (portRef O (instanceRef zpuino_core_stack_a_addr_10_42)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_7_89_f5)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_4_89_f5)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_not00011_renamed_2291)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - ) - ) - (net (rename zpuino_core_decr_break "zpuino/core/decr.break") - (joined - (portRef Q (instanceRef zpuino_core_decr_break_renamed_45)) - (portRef D (instanceRef zpuino_core_prefr_break_renamed_222)) - (portRef I1 (instanceRef zpuino_core_decr_break_mux000089)) - (portRef I1 (instanceRef zpuino_core_decr_valid_mux0000_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_1 "zpuino/core/decr.decodedOpcode_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_1_renamed_11)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_1_renamed_270)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_12 "zpuino/core/decr.decodedOpcode_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_12_renamed_18)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_12_renamed_277)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_14 "zpuino/core/decr.decodedOpcode_14") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_14_renamed_19)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_14_renamed_278)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14__SW0)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_15 "zpuino/core/decr.decodedOpcode_15") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_15_renamed_20)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_15_renamed_279)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15__SW0)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_19 "zpuino/core/decr.decodedOpcode_19") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_19_renamed_21)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_19_renamed_280)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_22 "zpuino/core/decr.decodedOpcode_22") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_22_renamed_22)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_22_renamed_281)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_23 "zpuino/core/decr.decodedOpcode_23") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_23_renamed_23)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_23_renamed_282)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_24 "zpuino/core/decr.decodedOpcode_24") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_24_renamed_24)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_24_renamed_283)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_25 "zpuino/core/decr.decodedOpcode_25") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_25_renamed_25)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_25_renamed_284)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_27 "zpuino/core/decr.decodedOpcode_27") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_27_renamed_26)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_27_renamed_285)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_3 "zpuino/core/decr.decodedOpcode_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_3_renamed_12)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_3_renamed_271)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_10_11)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_30 "zpuino/core/decr.decodedOpcode_30") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_30_renamed_27)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_30_renamed_286)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_31 "zpuino/core/decr.decodedOpcode_31") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_31_renamed_28)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_31_renamed_287)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_32 "zpuino/core/decr.decodedOpcode_32") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_32_renamed_29)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_32_renamed_288)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_33 "zpuino/core/decr.decodedOpcode_33") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_33_renamed_30)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_33_renamed_289)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_4 "zpuino/core/decr.decodedOpcode_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_4_renamed_13)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_4_renamed_272)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_5 "zpuino/core/decr.decodedOpcode_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_5_renamed_14)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_5_renamed_273)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_6 "zpuino/core/decr.decodedOpcode_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_6_renamed_15)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_6_renamed_274)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_8 "zpuino/core/decr.decodedOpcode_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_8_renamed_16)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_8_renamed_275)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_9 "zpuino/core/decr.decodedOpcode_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_9_renamed_17)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_9_renamed_276)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_10_11)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9__SW0)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_0 "zpuino/core/decr.fetchpc_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_0_renamed_81)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - (portRef I (instanceRef zpuino_core_Madd_pcnext_add0000_lut_0__INV_0)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_1 "zpuino/core/decr.fetchpc_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_1_renamed_82)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__rt_renamed_1699)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_10 "zpuino/core/decr.fetchpc_10") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_10_renamed_91)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__rt_renamed_1708)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_11 "zpuino/core/decr.fetchpc_11") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_11_renamed_92)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__rt_renamed_1709)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_12 "zpuino/core/decr.fetchpc_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_12_renamed_93)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__rt_renamed_1710)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_13 "zpuino/core/decr.fetchpc_13") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_13_renamed_94)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__rt_renamed_1833)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_2 "zpuino/core/decr.fetchpc_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_2_renamed_83)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__rt_renamed_1700)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_3 "zpuino/core/decr.fetchpc_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_3_renamed_84)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__rt_renamed_1701)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_4 "zpuino/core/decr.fetchpc_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_4_renamed_85)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__rt_renamed_1702)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_5 "zpuino/core/decr.fetchpc_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_5_renamed_86)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__rt_renamed_1703)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_6 "zpuino/core/decr.fetchpc_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_6_renamed_87)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__rt_renamed_1704)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_7 "zpuino/core/decr.fetchpc_7") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_7_renamed_88)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__rt_renamed_1705)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_8 "zpuino/core/decr.fetchpc_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_8_renamed_89)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__rt_renamed_1706)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_9 "zpuino/core/decr.fetchpc_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_9_renamed_90)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__rt_renamed_1707)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - ) - ) - (net (rename zpuino_core_decr_im "zpuino/core/decr.im") - (joined - (portRef Q (instanceRef zpuino_core_decr_im_renamed_80)) - (portRef I0 (instanceRef zpuino_core_sampledTosSource_and00001)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - (portRef I1 (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze "zpuino/core/decr.opWillFreeze") - (joined - (portRef Q (instanceRef zpuino_core_decr_opWillFreeze_renamed_140)) - (portRef D (instanceRef zpuino_core_prefr_opWillFreeze_renamed_290)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - ) - ) - (net (rename zpuino_core_decr_opcode_0 "zpuino/core/decr.opcode_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_0_renamed_133)) - (portRef D (instanceRef zpuino_core_prefr_opcode_0_renamed_197)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - ) - ) - (net (rename zpuino_core_decr_opcode_1 "zpuino/core/decr.opcode_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_1_renamed_134)) - (portRef D (instanceRef zpuino_core_prefr_opcode_1_renamed_198)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_2 "zpuino/core/decr.opcode_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_2_renamed_135)) - (portRef D (instanceRef zpuino_core_prefr_opcode_2_renamed_199)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_3 "zpuino/core/decr.opcode_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_3_renamed_136)) - (portRef D (instanceRef zpuino_core_prefr_opcode_3_renamed_200)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_4 "zpuino/core/decr.opcode_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_4_renamed_137)) - (portRef D (instanceRef zpuino_core_prefr_opcode_4_renamed_201)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_5 "zpuino/core/decr.opcode_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_5_renamed_138)) - (portRef D (instanceRef zpuino_core_prefr_opcode_5_renamed_202)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_6 "zpuino/core/decr.opcode_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_6_renamed_139)) - (portRef D (instanceRef zpuino_core_prefr_opcode_6_renamed_203)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_pc_0 "zpuino/core/decr.pc_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef D (instanceRef zpuino_core_prefr_pc_0_renamed_295)) - ) - ) - (net (rename zpuino_core_decr_pc_1 "zpuino/core/decr.pc_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef D (instanceRef zpuino_core_prefr_pc_1_renamed_296)) - ) - ) - (net (rename zpuino_core_decr_pc_10 "zpuino/core/decr.pc_10") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef D (instanceRef zpuino_core_prefr_pc_10_renamed_305)) - ) - ) - (net (rename zpuino_core_decr_pc_11 "zpuino/core/decr.pc_11") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef D (instanceRef zpuino_core_prefr_pc_11_renamed_306)) - ) - ) - (net (rename zpuino_core_decr_pc_12 "zpuino/core/decr.pc_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef D (instanceRef zpuino_core_prefr_pc_12_renamed_307)) - ) - ) - (net (rename zpuino_core_decr_pc_13 "zpuino/core/decr.pc_13") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef D (instanceRef zpuino_core_prefr_pc_13_renamed_308)) - ) - ) - (net (rename zpuino_core_decr_pc_2 "zpuino/core/decr.pc_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef D (instanceRef zpuino_core_prefr_pc_2_renamed_297)) - ) - ) - (net (rename zpuino_core_decr_pc_3 "zpuino/core/decr.pc_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef D (instanceRef zpuino_core_prefr_pc_3_renamed_298)) - ) - ) - (net (rename zpuino_core_decr_pc_4 "zpuino/core/decr.pc_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef D (instanceRef zpuino_core_prefr_pc_4_renamed_299)) - ) - ) - (net (rename zpuino_core_decr_pc_5 "zpuino/core/decr.pc_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef D (instanceRef zpuino_core_prefr_pc_5_renamed_300)) - ) - ) - (net (rename zpuino_core_decr_pc_6 "zpuino/core/decr.pc_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef D (instanceRef zpuino_core_prefr_pc_6_renamed_301)) - ) - ) - (net (rename zpuino_core_decr_pc_7 "zpuino/core/decr.pc_7") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef D (instanceRef zpuino_core_prefr_pc_7_renamed_302)) - ) - ) - (net (rename zpuino_core_decr_pc_8 "zpuino/core/decr.pc_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef D (instanceRef zpuino_core_prefr_pc_8_renamed_303)) - ) - ) - (net (rename zpuino_core_decr_pc_9 "zpuino/core/decr.pc_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef D (instanceRef zpuino_core_prefr_pc_9_renamed_304)) - ) - ) - (net (rename zpuino_core_decr_pcint_0 "zpuino/core/decr.pcint_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_0_renamed_31)) - (portRef D (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_0_renamed_255)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_4_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_3_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_2_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_1_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_7_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_6_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_5_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_0_29)) - ) - ) - (net (rename zpuino_core_decr_pcint_1 "zpuino/core/decr.pcint_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_1_renamed_32)) - (portRef D (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_1_renamed_256)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_4_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_4_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_3_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_3_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_2_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_2_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_1_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_1_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_7_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_7_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_6_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_6_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_5_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_5_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_0_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_0_29_G)) - ) - ) - (net (rename zpuino_core_decr_pcint_10 "zpuino/core/decr.pcint_10") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_10_renamed_41)) - (portRef D (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_10_renamed_265)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_11 "zpuino/core/decr.pcint_11") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_11_renamed_42)) - (portRef D (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_11_renamed_266)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_12 "zpuino/core/decr.pcint_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_12_renamed_43)) - (portRef D (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_12_renamed_267)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_13 "zpuino/core/decr.pcint_13") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_13_renamed_44)) - (portRef D (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_13_renamed_268)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_2 "zpuino/core/decr.pcint_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_2_renamed_33)) - (portRef D (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_2_renamed_257)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_3 "zpuino/core/decr.pcint_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_3_renamed_34)) - (portRef D (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_3_renamed_258)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_4 "zpuino/core/decr.pcint_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_4_renamed_35)) - (portRef D (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_4_renamed_259)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_5 "zpuino/core/decr.pcint_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_5_renamed_36)) - (portRef D (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_5_renamed_260)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_6 "zpuino/core/decr.pcint_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_6_renamed_37)) - (portRef D (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_6_renamed_261)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_7 "zpuino/core/decr.pcint_7") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_7_renamed_38)) - (portRef D (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_7_renamed_262)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_8 "zpuino/core/decr.pcint_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_8_renamed_39)) - (portRef D (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_8_renamed_263)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_9 "zpuino/core/decr.pcint_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_9_renamed_40)) - (portRef D (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_9_renamed_264)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_0 "zpuino/core/decr.spOffset_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_0_renamed_128)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_1 "zpuino/core/decr.spOffset_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_1_renamed_129)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_1__)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_2 "zpuino/core/decr.spOffset_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_2_renamed_130)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_3 "zpuino/core/decr.spOffset_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_3_renamed_131)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_4 "zpuino/core/decr.spOffset_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_4_renamed_132)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_4__)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_1 "zpuino/core/decr.stackOperation_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_stackOperation_1_renamed_150)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_24_renamed_1225)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_42_renamed_1227)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_7_42_renamed_1238)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_F)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_2 "zpuino/core/decr.stackOperation_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_stackOperation_2_renamed_151)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_24_renamed_1225)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_70_renamed_1235)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43_SW0)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_G)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_F)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_F)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_3 "zpuino/core/decr.stackOperation_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_stackOperation_3_renamed_152)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - ) - ) - (net (rename zpuino_core_decr_state_FSM_FFd2 "zpuino/core/decr.state_FSM_FFd2") - (joined - (portRef Q (instanceRef zpuino_core_decr_state_FSM_FFd2_renamed_408)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I1 (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I1 (instanceRef zpuino_core_decr_pc_not00011)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - (portRef I2 (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_72)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_18)) - (portRef I2 (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_13__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388)) - ) - ) - (net (rename zpuino_core_decr_state_FSM_FFd2_In "zpuino/core/decr.state_FSM_FFd2-In") - (joined - (portRef D (instanceRef zpuino_core_decr_state_FSM_FFd2_renamed_408)) - (portRef I1 (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_72)) - ) - ) - (net (rename zpuino_core_decr_tosSource_0 "zpuino/core/decr.tosSource_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_0_renamed_153)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_0_renamed_204)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_1 "zpuino/core/decr.tosSource_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_1_renamed_154)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_1_renamed_205)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388)) - ) - ) - (net (rename zpuino_core_decr_tosSource_10 "zpuino/core/decr.tosSource_10") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_10_renamed_163)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_10_renamed_214)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10__SW0)) - ) - ) - (net (rename zpuino_core_decr_tosSource_11 "zpuino/core/decr.tosSource_11") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_11_renamed_164)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_11_renamed_215)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_12 "zpuino/core/decr.tosSource_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_12_renamed_165)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_12_renamed_216)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_13 "zpuino/core/decr.tosSource_13") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_13_renamed_166)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_13_renamed_217)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_13__SW0)) - ) - ) - (net (rename zpuino_core_decr_tosSource_14 "zpuino/core/decr.tosSource_14") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_14_renamed_167)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_14_renamed_218)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_15 "zpuino/core/decr.tosSource_15") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_15_renamed_168)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_15_renamed_219)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_16 "zpuino/core/decr.tosSource_16") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_16_renamed_169)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_16_renamed_220)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - ) - ) - (net (rename zpuino_core_decr_tosSource_17 "zpuino/core/decr.tosSource_17") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_17_renamed_170)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_17_renamed_221)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_2 "zpuino/core/decr.tosSource_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_2_renamed_155)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_2_renamed_206)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_3 "zpuino/core/decr.tosSource_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_3_renamed_156)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_3_renamed_207)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_4 "zpuino/core/decr.tosSource_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_4_renamed_157)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_4_renamed_208)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - ) - ) - (net (rename zpuino_core_decr_tosSource_5 "zpuino/core/decr.tosSource_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_5_renamed_158)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_5_renamed_209)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_6 "zpuino/core/decr.tosSource_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_6_renamed_159)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_6_renamed_210)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_7 "zpuino/core/decr.tosSource_7") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_7_renamed_160)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_7_renamed_211)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_8 "zpuino/core/decr.tosSource_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_8_renamed_161)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_8_renamed_212)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_9 "zpuino/core/decr.tosSource_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_9_renamed_162)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_9_renamed_213)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - ) - ) - (net (rename zpuino_core_decr_valid "zpuino/core/decr.valid") - (joined - (portRef Q (instanceRef zpuino_core_decr_valid_renamed_79)) - (portRef D (instanceRef zpuino_core_prefr_valid_renamed_269)) - (portRef I0 (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_not00011_renamed_2291)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - ) - ) - (net (rename zpuino_core_decr_break_mux0000 "zpuino/core/decr_break_mux0000") - (joined - (portRef D (instanceRef zpuino_core_decr_break_renamed_45)) - (portRef O (instanceRef zpuino_core_decr_break_mux000089)) - ) - ) - (net (rename zpuino_core_decr_break_mux000018 "zpuino/core/decr_break_mux000018") - (joined - (portRef O (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I2 (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - ) - ) - (net (rename zpuino_core_decr_break_mux000027 "zpuino/core/decr_break_mux000027") - (joined - (portRef I0 (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - (portRef LO (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - ) - ) - (net (rename zpuino_core_decr_break_mux000042 "zpuino/core/decr_break_mux000042") - (joined - (portRef O (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - (portRef I3 (instanceRef zpuino_core_decr_break_mux000089)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_12__ "zpuino/core/decr_decodedOpcode_mux0000<12>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_12_renamed_18)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_14__ "zpuino/core/decr_decodedOpcode_mux0000<14>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_14_renamed_19)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_15__ "zpuino/core/decr_decodedOpcode_mux0000<15>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_15_renamed_20)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_19__ "zpuino/core/decr_decodedOpcode_mux0000<19>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_19_renamed_21)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_1__ "zpuino/core/decr_decodedOpcode_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_1_renamed_11)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_22__ "zpuino/core/decr_decodedOpcode_mux0000<22>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_22_renamed_22)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_23__ "zpuino/core/decr_decodedOpcode_mux0000<23>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_23_renamed_23)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_24__ "zpuino/core/decr_decodedOpcode_mux0000<24>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_24_renamed_24)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_25__ "zpuino/core/decr_decodedOpcode_mux0000<25>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_25_renamed_25)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_27__ "zpuino/core/decr_decodedOpcode_mux0000<27>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_27_renamed_26)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_30__ "zpuino/core/decr_decodedOpcode_mux0000<30>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_30_renamed_27)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_31__ "zpuino/core/decr_decodedOpcode_mux0000<31>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_31_renamed_28)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_32__ "zpuino/core/decr_decodedOpcode_mux0000<32>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_32_renamed_29)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_33__ "zpuino/core/decr_decodedOpcode_mux0000<33>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_33_renamed_30)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_3__ "zpuino/core/decr_decodedOpcode_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_3_renamed_12)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_4__ "zpuino/core/decr_decodedOpcode_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_4_renamed_13)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_5__ "zpuino/core/decr_decodedOpcode_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_5_renamed_14)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_6__ "zpuino/core/decr_decodedOpcode_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_6_renamed_15)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_8__ "zpuino/core/decr_decodedOpcode_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_8_renamed_16)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_9__ "zpuino/core/decr_decodedOpcode_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_9_renamed_17)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_0_ "zpuino/core/decr_fetchpc_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_0_renamed_81)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_0_11 "zpuino/core/decr_fetchpc_mux0000<0>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_0_4 "zpuino/core/decr_fetchpc_mux0000<0>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_10_ "zpuino/core/decr_fetchpc_mux0000<10>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_10_renamed_91)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_10_11 "zpuino/core/decr_fetchpc_mux0000<10>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_10_4 "zpuino/core/decr_fetchpc_mux0000<10>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_11_ "zpuino/core/decr_fetchpc_mux0000<11>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_11_renamed_92)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_11_11 "zpuino/core/decr_fetchpc_mux0000<11>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_11_4 "zpuino/core/decr_fetchpc_mux0000<11>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_12_ "zpuino/core/decr_fetchpc_mux0000<12>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_12_renamed_93)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_12_11 "zpuino/core/decr_fetchpc_mux0000<12>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_12_4 "zpuino/core/decr_fetchpc_mux0000<12>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_13_ "zpuino/core/decr_fetchpc_mux0000<13>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_13_renamed_94)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_13_11 "zpuino/core/decr_fetchpc_mux0000<13>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_13_4 "zpuino/core/decr_fetchpc_mux0000<13>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_1_ "zpuino/core/decr_fetchpc_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_1_renamed_82)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_1_11 "zpuino/core/decr_fetchpc_mux0000<1>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_1_4 "zpuino/core/decr_fetchpc_mux0000<1>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_2_ "zpuino/core/decr_fetchpc_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_2_renamed_83)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_2_11 "zpuino/core/decr_fetchpc_mux0000<2>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_2_4 "zpuino/core/decr_fetchpc_mux0000<2>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_3_ "zpuino/core/decr_fetchpc_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_3_renamed_84)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_3_11 "zpuino/core/decr_fetchpc_mux0000<3>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_3_4 "zpuino/core/decr_fetchpc_mux0000<3>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_4_ "zpuino/core/decr_fetchpc_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_4_renamed_85)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_4_11 "zpuino/core/decr_fetchpc_mux0000<4>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_4_4 "zpuino/core/decr_fetchpc_mux0000<4>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_5_ "zpuino/core/decr_fetchpc_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_5_renamed_86)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_5_10 "zpuino/core/decr_fetchpc_mux0000<5>10") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_5_17 "zpuino/core/decr_fetchpc_mux0000<5>17") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_5_31 "zpuino/core/decr_fetchpc_mux0000<5>31") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_6_ "zpuino/core/decr_fetchpc_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_6_renamed_87)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_6_11 "zpuino/core/decr_fetchpc_mux0000<6>11") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_6_19 "zpuino/core/decr_fetchpc_mux0000<6>19") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_7_ "zpuino/core/decr_fetchpc_mux0000<7>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_7_renamed_88)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_7_11 "zpuino/core/decr_fetchpc_mux0000<7>11") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_7_19 "zpuino/core/decr_fetchpc_mux0000<7>19") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_8_ "zpuino/core/decr_fetchpc_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_8_renamed_89)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_8_11 "zpuino/core/decr_fetchpc_mux0000<8>11") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_8_19 "zpuino/core/decr_fetchpc_mux0000<8>19") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_9_ "zpuino/core/decr_fetchpc_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_9_renamed_90)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_9_11 "zpuino/core/decr_fetchpc_mux0000<9>11") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_9_19 "zpuino/core/decr_fetchpc_mux0000<9>19") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - ) - ) - (net (rename zpuino_core_decr_im_mux0000 "zpuino/core/decr_im_mux0000") - (joined - (portRef D (instanceRef zpuino_core_decr_im_renamed_80)) - (portRef O (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze_mux0000 "zpuino/core/decr_opWillFreeze_mux0000") - (joined - (portRef D (instanceRef zpuino_core_decr_opWillFreeze_renamed_140)) - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze_mux000037 "zpuino/core/decr_opWillFreeze_mux000037") - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze_mux000052 "zpuino/core/decr_opWillFreeze_mux000052") - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze_mux000096 "zpuino/core/decr_opWillFreeze_mux000096") - (joined - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - (portRef LO (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_0_ "zpuino/core/decr_opcode_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_0_renamed_133)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_1_ "zpuino/core/decr_opcode_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_1_renamed_134)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_2_ "zpuino/core/decr_opcode_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_2_renamed_135)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_3_ "zpuino/core/decr_opcode_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_3_renamed_136)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_4_ "zpuino/core/decr_opcode_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_4_renamed_137)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_5_ "zpuino/core/decr_opcode_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_5_renamed_138)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_6_ "zpuino/core/decr_opcode_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_6_renamed_139)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_pc_not0001 "zpuino/core/decr_pc_not0001") - (joined - (portRef CE (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef CE (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef CE (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef CE (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef CE (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef CE (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef CE (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef CE (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef CE (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef CE (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef CE (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef CE (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef CE (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef CE (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef O (instanceRef zpuino_core_decr_pc_not00011)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_0_ "zpuino/core/decr_pcint_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_0_renamed_31)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_10_ "zpuino/core/decr_pcint_mux0000<10>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_10_renamed_41)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_11_ "zpuino/core/decr_pcint_mux0000<11>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_11_renamed_42)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_12_ "zpuino/core/decr_pcint_mux0000<12>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_12_renamed_43)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_13_ "zpuino/core/decr_pcint_mux0000<13>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_13_renamed_44)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_1_ "zpuino/core/decr_pcint_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_1_renamed_32)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_2_ "zpuino/core/decr_pcint_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_2_renamed_33)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_3_ "zpuino/core/decr_pcint_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_3_renamed_34)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_4_ "zpuino/core/decr_pcint_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_4_renamed_35)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_5_ "zpuino/core/decr_pcint_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_5_renamed_36)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_6_ "zpuino/core/decr_pcint_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_6_renamed_37)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_7_ "zpuino/core/decr_pcint_mux0000<7>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_7_renamed_38)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_8_ "zpuino/core/decr_pcint_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_8_renamed_39)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_9_ "zpuino/core/decr_pcint_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_9_renamed_40)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_0_ "zpuino/core/decr_spOffset_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_0_renamed_128)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_1_ "zpuino/core/decr_spOffset_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_1_renamed_129)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_2_ "zpuino/core/decr_spOffset_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_2_renamed_130)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_3_ "zpuino/core/decr_spOffset_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_3_renamed_131)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_4_ "zpuino/core/decr_spOffset_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_4_renamed_132)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_ "zpuino/core/decr_stackOperation_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_stackOperation_1_renamed_150)) - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_23 "zpuino/core/decr_stackOperation_mux0000<1>23") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_26 "zpuino/core/decr_stackOperation_mux0000<1>26") - (joined - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - (portRef LO (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_6 "zpuino/core/decr_stackOperation_mux0000<1>6") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_62 "zpuino/core/decr_stackOperation_mux0000<1>62") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_ "zpuino/core/decr_stackOperation_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_stackOperation_2_renamed_151)) - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW0 "zpuino/core/decr_stackOperation_mux0000<2>110_SW0") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW01 "zpuino/core/decr_stackOperation_mux0000<2>110_SW01") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW02)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_34 "zpuino/core/decr_stackOperation_mux0000<2>34") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW02)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_47 "zpuino/core/decr_stackOperation_mux0000<2>47") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW02)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_3_ "zpuino/core/decr_stackOperation_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_stackOperation_3_renamed_152)) - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_0_ "zpuino/core/decr_tosSource_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_0_renamed_153)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_10_ "zpuino/core/decr_tosSource_mux0000<10>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_10_renamed_163)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_11_ "zpuino/core/decr_tosSource_mux0000<11>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_11_renamed_164)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_12_ "zpuino/core/decr_tosSource_mux0000<12>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_12_renamed_165)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_13_ "zpuino/core/decr_tosSource_mux0000<13>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_13_renamed_166)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_14_ "zpuino/core/decr_tosSource_mux0000<14>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_14_renamed_167)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_15_ "zpuino/core/decr_tosSource_mux0000<15>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_15_renamed_168)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_16_ "zpuino/core/decr_tosSource_mux0000<16>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_16_renamed_169)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_16_5 "zpuino/core/decr_tosSource_mux0000<16>5") - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_17_ "zpuino/core/decr_tosSource_mux0000<17>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_17_renamed_170)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_1_ "zpuino/core/decr_tosSource_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_1_renamed_154)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_1_0 "zpuino/core/decr_tosSource_mux0000<1>0") - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_1_14 "zpuino/core/decr_tosSource_mux0000<1>14") - (joined - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_1_46 "zpuino/core/decr_tosSource_mux0000<1>46") - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_2_ "zpuino/core/decr_tosSource_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_2_renamed_155)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_3_ "zpuino/core/decr_tosSource_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_3_renamed_156)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_4_ "zpuino/core/decr_tosSource_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_4_renamed_157)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_4_8 "zpuino/core/decr_tosSource_mux0000<4>8") - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_5_ "zpuino/core/decr_tosSource_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_5_renamed_158)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_6_ "zpuino/core/decr_tosSource_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_6_renamed_159)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_7_ "zpuino/core/decr_tosSource_mux0000<7>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_7_renamed_160)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_8_ "zpuino/core/decr_tosSource_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_8_renamed_161)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_9_ "zpuino/core/decr_tosSource_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_9_renamed_162)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_9_13 "zpuino/core/decr_tosSource_mux0000<9>13") - (joined - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_9_13_f5)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_9_131 "zpuino/core/decr_tosSource_mux0000<9>131") - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_9_13_f5)) - ) - ) - (net (rename zpuino_core_decr_valid_mux0000 "zpuino/core/decr_valid_mux0000") - (joined - (portRef D (instanceRef zpuino_core_decr_valid_renamed_79)) - (portRef O (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - ) - ) - (net (rename zpuino_core_exr_inInterrupt "zpuino/core/exr.inInterrupt") - (joined - (portRef Q (instanceRef zpuino_core_exr_inInterrupt_renamed_172)) - (portRef I1 (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - (portRef I1 (instanceRef zpuino_core_sampledTosSource_and00001)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - ) - ) - (net (rename zpuino_core_exr_nos_save_0 "zpuino/core/exr.nos_save_0") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_0)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_0_renamed_95)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_0_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_1 "zpuino/core/exr.nos_save_1") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_1)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_1_renamed_96)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_1_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_1__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_10 "zpuino/core/exr.nos_save_10") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_10)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_10_renamed_105)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_10_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_10__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_11 "zpuino/core/exr.nos_save_11") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_11)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_11_renamed_106)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_11_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_11__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_12 "zpuino/core/exr.nos_save_12") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_12)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_12_renamed_107)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_12_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_12__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_13 "zpuino/core/exr.nos_save_13") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_13)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_13_renamed_108)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_13_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_13__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_14 "zpuino/core/exr.nos_save_14") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_14)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_14_renamed_109)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_14_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_14__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_15 "zpuino/core/exr.nos_save_15") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_15)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_15_renamed_110)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_15_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_15__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_16 "zpuino/core/exr.nos_save_16") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_16)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_16_renamed_111)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_16_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_16__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_17 "zpuino/core/exr.nos_save_17") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_17)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_17_renamed_112)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_17_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_17__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_18 "zpuino/core/exr.nos_save_18") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_18)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_18_renamed_113)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_18_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_18__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_19 "zpuino/core/exr.nos_save_19") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_19)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_19_renamed_114)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_19_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_19__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_2 "zpuino/core/exr.nos_save_2") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_2)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_2_renamed_97)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_2_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_2__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_20 "zpuino/core/exr.nos_save_20") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_20)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_20_renamed_115)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_20_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_20__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_21 "zpuino/core/exr.nos_save_21") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_21)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_21_renamed_116)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_21_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_21__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_22 "zpuino/core/exr.nos_save_22") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_22)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_22_renamed_117)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_22_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_22__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_23 "zpuino/core/exr.nos_save_23") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_23)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_23_renamed_118)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_23_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_23__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_24 "zpuino/core/exr.nos_save_24") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_24)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_24_renamed_119)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_24_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_24__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_25 "zpuino/core/exr.nos_save_25") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_25)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_25_renamed_120)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_25_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_25__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_26 "zpuino/core/exr.nos_save_26") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_26)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_26_renamed_121)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_26_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_26__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_27 "zpuino/core/exr.nos_save_27") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_27)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_27_renamed_122)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_27_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_27__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_28 "zpuino/core/exr.nos_save_28") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_28)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_28_renamed_123)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_28_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_28__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_29 "zpuino/core/exr.nos_save_29") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_29)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_29_renamed_124)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_29_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_29__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_3 "zpuino/core/exr.nos_save_3") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_3)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_3_renamed_98)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_3_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_3__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_30 "zpuino/core/exr.nos_save_30") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_30)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_30_renamed_125)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_30_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_30__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_31 "zpuino/core/exr.nos_save_31") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_31)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_31_renamed_126)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_31_2_renamed_1243)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_31_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - ) - ) - (net (rename zpuino_core_exr_nos_save_4 "zpuino/core/exr.nos_save_4") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_4)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_4_renamed_99)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_4_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_4__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_5 "zpuino/core/exr.nos_save_5") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_5)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_5_renamed_100)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_5_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_5__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_6 "zpuino/core/exr.nos_save_6") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_6)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_6_renamed_101)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_6_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_6__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_7 "zpuino/core/exr.nos_save_7") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_7)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_7_renamed_102)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_7_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_7__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_8 "zpuino/core/exr.nos_save_8") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_8)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_8_renamed_103)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_8_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_8__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_9 "zpuino/core/exr.nos_save_9") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_9)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_9_renamed_104)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_9_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_9__SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd1 "zpuino/core/exr.state_FSM_FFd1") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd1_renamed_409)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - (portRef I3 (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_31)) - (portRef I0 (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I1 (instanceRef zpuino_core_stack_a_enable21)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_236_renamed_1222)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_31_2_renamed_1243)) - (portRef I1 (instanceRef zpuino_core_stack_b_enable40)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - (portRef I0 (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_11)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd10 "zpuino/core/exr.state_FSM_FFd10") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd10_renamed_417)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I0 (instanceRef zpuino_core_exr_state_Out161)) - (portRef I1 (instanceRef zpuino_core_shl_done_or00001)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux00023_renamed_2132)) - (portRef I1 (instanceRef zpuino_core_shl_d_2_mux00011)) - (portRef I1 (instanceRef zpuino_core_shl_d_1_mux00011)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef I3 (instanceRef zpuino_core_shl_output_not00011)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd10_In "zpuino/core/exr.state_FSM_FFd10-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd10_renamed_417)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd11 "zpuino/core/exr.state_FSM_FFd11") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd11_renamed_418)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000034_renamed_1179)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd11_In "zpuino/core/exr.state_FSM_FFd11-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd11_renamed_418)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd12 "zpuino/core/exr.state_FSM_FFd12") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd12_renamed_419)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef I1 (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000352)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd12_In "zpuino/core/exr.state_FSM_FFd12-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd12_renamed_419)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd13 "zpuino/core/exr.state_FSM_FFd13") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd13_renamed_420)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000034_renamed_1179)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000236_renamed_2152)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000236_renamed_2154)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000236_renamed_2156)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000236_renamed_2158)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000236_renamed_2160)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000236_renamed_2162)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd13_In "zpuino/core/exr.state_FSM_FFd13-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd13_renamed_420)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14 "zpuino/core/exr.state_FSM_FFd14") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd14_renamed_421)) - (portRef I0 (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I2 (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I3 (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136)) - (portRef I1 (instanceRef zpuino_core_stack_a_enable)) - (portRef I0 (instanceRef zpuino_core_stack_b_enable31_renamed_1142)) - (portRef I2 (instanceRef zpuino_core_stack_a_enable21)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_31_29)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I2 (instanceRef zpuino_core_exr_tos_save_not00011)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_51)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_0_21)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd4_In1)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002164)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002164)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002164)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002185)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002185)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - (portRef I2 (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux0002144)) - (portRef S (instanceRef zpuino_core_w1_nos_save_7_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_6_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_5_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_4_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_3_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_2_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_1_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_0_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_9_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_8_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_31_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_30_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_29_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_28_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_27_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_26_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_25_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_24_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_23_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_22_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_21_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_20_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_19_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_18_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_17_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_16_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_15_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_14_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_13_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_12_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_11_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_10_mux000024)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_12)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_42)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000264)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000352)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_240)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In "zpuino/core/exr.state_FSM_FFd14-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd14_renamed_421)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In15 "zpuino/core/exr.state_FSM_FFd14-In15") - (joined - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In15_SW0 "zpuino/core/exr.state_FSM_FFd14-In15_SW0") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In15_SW01 "zpuino/core/exr.state_FSM_FFd14-In15_SW01") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In30 "zpuino/core/exr.state_FSM_FFd14-In30") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In43 "zpuino/core/exr.state_FSM_FFd14-In43") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In66 "zpuino/core/exr.state_FSM_FFd14-In66") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In68 "zpuino/core/exr.state_FSM_FFd14-In68") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd2 "zpuino/core/exr.state_FSM_FFd2") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd2_renamed_410)) - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd1_renamed_409)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_7_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_6_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_5_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_4_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_3_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_2_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_1_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_0_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_9_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_8_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_31_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_30_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_29_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_28_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_27_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_26_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_25_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_24_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_23_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_22_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_21_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_20_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_19_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_18_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_17_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_16_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_15_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_14_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_13_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_12_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_11_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_10_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd2_In "zpuino/core/exr.state_FSM_FFd2-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd2_renamed_410)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd3 "zpuino/core/exr.state_FSM_FFd3") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd3_renamed_411)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000025_renamed_1178)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002101)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002121)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002111)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd3_In "zpuino/core/exr.state_FSM_FFd3-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd3_renamed_411)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd4 "zpuino/core/exr.state_FSM_FFd4") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd4_renamed_412)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I0 (instanceRef zpuino_core_exu_busy33_renamed_2305)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000352)) - (portRef I0 (instanceRef zpuino_core_poppc_inst1)) - (portRef I3 (instanceRef zpuino_core_decode_jump_renamed_2314)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd4_In "zpuino/core/exr.state_FSM_FFd4-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd4_renamed_412)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd4_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd5 "zpuino/core/exr.state_FSM_FFd5") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd5_renamed_413)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - (portRef I2 (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000271)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000025_renamed_1178)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux000062)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000251)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - (portRef S (instanceRef zpuino_core_w1_tos_0_mux00025138)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002810)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd5_In "zpuino/core/exr.state_FSM_FFd5-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd5_renamed_413)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd6 "zpuino/core/exr.state_FSM_FFd6") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd6_renamed_414)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000034_renamed_1179)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000236_renamed_2152)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000236_renamed_2154)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000236_renamed_2156)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000236_renamed_2158)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000236_renamed_2160)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000236_renamed_2162)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000062)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd6_In "zpuino/core/exr.state_FSM_FFd6-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd6_renamed_414)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd7 "zpuino/core/exr.state_FSM_FFd7") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd7_renamed_415)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - (portRef I1 (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I0 (instanceRef zpuino_core_stack_a_enable21)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - (portRef I2 (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000352)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd7_In "zpuino/core/exr.state_FSM_FFd7-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd7_renamed_415)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd9 "zpuino/core/exr.state_FSM_FFd9") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd9_renamed_416)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_0_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - (portRef I1 (instanceRef zpuino_core_exr_state_Out161)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - (portRef I2 (instanceRef zpuino_core_shl_done_or00001)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux00023_renamed_2132)) - (portRef I2 (instanceRef zpuino_core_shl_d_2_mux00011)) - (portRef I2 (instanceRef zpuino_core_shl_d_1_mux00011)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef I0 (instanceRef zpuino_core_shl_output_not00011)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd9_In "zpuino/core/exr.state_FSM_FFd9-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd9_renamed_416)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - ) - ) - (net (rename zpuino_core_exr_tos_0 "zpuino/core/exr.tos_0") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_0_renamed_46)) - (portRef D (instanceRef zpuino_core_exr_tos_save_0_renamed_223)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_0__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_0__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_0__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_0__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_0__SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_exr_tos_1 "zpuino/core/exr.tos_1") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_1_renamed_47)) - (portRef D (instanceRef zpuino_core_exr_tos_save_1_renamed_224)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_1__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_1__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_1__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_1__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000296_renamed_1983)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_1__SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net (rename zpuino_core_exr_tos_10 "zpuino/core/exr.tos_10") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_10_renamed_56)) - (portRef D (instanceRef zpuino_core_exr_tos_save_10_renamed_233)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_10__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_10__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_10__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_10__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_10__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_10__SW0)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net (rename zpuino_core_exr_tos_11 "zpuino/core/exr.tos_11") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_11_renamed_57)) - (portRef D (instanceRef zpuino_core_exr_tos_save_11_renamed_234)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_11__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_11__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_11__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_11__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_11__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_11__SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - ) - ) - (net (rename zpuino_core_exr_tos_12 "zpuino/core/exr.tos_12") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_12_renamed_58)) - (portRef D (instanceRef zpuino_core_exr_tos_save_12_renamed_235)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_12__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_12__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_12__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_12__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_12__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000270_renamed_1604)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_12__SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - ) - ) - (net (rename zpuino_core_exr_tos_13 "zpuino/core/exr.tos_13") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_13_renamed_59)) - (portRef D (instanceRef zpuino_core_exr_tos_save_13_renamed_236)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_13__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_13__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_13__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_13__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_13__SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_exr_tos_14 "zpuino/core/exr.tos_14") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_14_renamed_60)) - (portRef D (instanceRef zpuino_core_exr_tos_save_14_renamed_237)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_14__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_14__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_14__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000210_renamed_1488)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_14__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_15 "zpuino/core/exr.tos_15") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_15_renamed_61)) - (portRef D (instanceRef zpuino_core_exr_tos_save_15_renamed_238)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_15__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_15__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_15__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000210_renamed_1509)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000270_renamed_1586)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_15__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_16 "zpuino/core/exr.tos_16") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_16_renamed_62)) - (portRef D (instanceRef zpuino_core_exr_tos_save_16_renamed_239)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_16__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_16__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_16__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_16__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_17 "zpuino/core/exr.tos_17") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_17_renamed_63)) - (portRef D (instanceRef zpuino_core_exr_tos_save_17_renamed_240)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_17__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_17__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_17__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_17__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_18 "zpuino/core/exr.tos_18") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_18_renamed_64)) - (portRef D (instanceRef zpuino_core_exr_tos_save_18_renamed_241)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_18__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_18__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_18__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_18__SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_exr_tos_19 "zpuino/core/exr.tos_19") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_19_renamed_65)) - (portRef D (instanceRef zpuino_core_exr_tos_save_19_renamed_242)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_19__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_19__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_19__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_19__SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - ) - ) - (net (rename zpuino_core_exr_tos_2 "zpuino/core/exr.tos_2") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_2_renamed_48)) - (portRef D (instanceRef zpuino_core_exr_tos_save_2_renamed_225)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_2__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_2__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_2__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_2__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_2__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_20 "zpuino/core/exr.tos_20") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_20_renamed_66)) - (portRef D (instanceRef zpuino_core_exr_tos_save_20_renamed_243)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_20__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_20__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_20__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_20__SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - ) - ) - (net (rename zpuino_core_exr_tos_21 "zpuino/core/exr.tos_21") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_21_renamed_67)) - (portRef D (instanceRef zpuino_core_exr_tos_save_21_renamed_244)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_21__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_21__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_21__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_21__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_22 "zpuino/core/exr.tos_22") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_22_renamed_68)) - (portRef D (instanceRef zpuino_core_exr_tos_save_22_renamed_245)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_22__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_22__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_22__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_22__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_23 "zpuino/core/exr.tos_23") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_23_renamed_69)) - (portRef D (instanceRef zpuino_core_exr_tos_save_23_renamed_246)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_23__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_23__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_23__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_23__SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - ) - ) - (net (rename zpuino_core_exr_tos_24 "zpuino/core/exr.tos_24") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_24_renamed_70)) - (portRef D (instanceRef zpuino_core_exr_tos_save_24_renamed_247)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_24__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_24__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_24__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_24__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_25 "zpuino/core/exr.tos_25") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_25_renamed_71)) - (portRef D (instanceRef zpuino_core_exr_tos_save_25_renamed_248)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_25__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_25__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_25__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_25__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_26 "zpuino/core/exr.tos_26") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_26_renamed_72)) - (portRef D (instanceRef zpuino_core_exr_tos_save_26_renamed_249)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_26__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_26__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_26__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_26__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_27 "zpuino/core/exr.tos_27") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_27_renamed_73)) - (portRef D (instanceRef zpuino_core_exr_tos_save_27_renamed_250)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_27__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_27__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_27__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_27__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_28 "zpuino/core/exr.tos_28") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_28_renamed_74)) - (portRef D (instanceRef zpuino_core_exr_tos_save_28_renamed_251)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_28__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_28__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_28__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_28__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_29 "zpuino/core/exr.tos_29") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_29_renamed_75)) - (portRef D (instanceRef zpuino_core_exr_tos_save_29_renamed_252)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_29__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_29__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_29__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_29__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_3 "zpuino/core/exr.tos_3") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_3_renamed_49)) - (portRef D (instanceRef zpuino_core_exr_tos_save_3_renamed_226)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_3__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_3__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_3__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_3__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_3__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_30 "zpuino/core/exr.tos_30") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_30_renamed_76)) - (portRef D (instanceRef zpuino_core_exr_tos_save_30_renamed_253)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_30__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_30__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_30__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_30__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_31 "zpuino/core/exr.tos_31") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_31_renamed_77)) - (portRef D (instanceRef zpuino_core_exr_tos_save_31_renamed_254)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_31__)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - (portRef I3 (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I2 (instanceRef zpuino_core_exr_wb_we_mux000037)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_31)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - (portRef I0 (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I1 (instanceRef zpuino_core_stack_b_enable7_renamed_1140)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I1 (instanceRef zpuino_core_stack_a_writeenable_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_61)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_31_29)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I2 (instanceRef zpuino_core_stack_b_enable40)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_21)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - (portRef I2 (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - (portRef I1 (instanceRef zpuino_core_exr_wb_cyc_mux0001282)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - ) - ) - (net (rename zpuino_core_exr_tos_4 "zpuino/core/exr.tos_4") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_4_renamed_50)) - (portRef D (instanceRef zpuino_core_exr_tos_save_4_renamed_227)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_4__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_4__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_4__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_4__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_4__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_89_f5)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_4__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_5 "zpuino/core/exr.tos_5") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_5_renamed_51)) - (portRef D (instanceRef zpuino_core_exr_tos_save_5_renamed_228)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_5__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_5__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_5__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_5__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_5__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_5__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_6 "zpuino/core/exr.tos_6") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_6_renamed_52)) - (portRef D (instanceRef zpuino_core_exr_tos_save_6_renamed_229)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_6__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_6__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_6__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_6__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_6__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_114)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_6__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_7 "zpuino/core/exr.tos_7") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_7_renamed_53)) - (portRef D (instanceRef zpuino_core_exr_tos_save_7_renamed_230)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_7__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_7__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_7__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_7__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_7__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_SW0)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_7_89_f5)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_7__SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - ) - ) - (net (rename zpuino_core_exr_tos_8 "zpuino/core/exr.tos_8") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_8_renamed_54)) - (portRef D (instanceRef zpuino_core_exr_tos_save_8_renamed_231)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_8__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_8__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_8__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_8__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_8__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_8__SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - ) - ) - (net (rename zpuino_core_exr_tos_9 "zpuino/core/exr.tos_9") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_9_renamed_55)) - (portRef D (instanceRef zpuino_core_exr_tos_save_9_renamed_232)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_9__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_9__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_9__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_9__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_9__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_9__SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net (rename zpuino_core_exr_tos_save_0 "zpuino/core/exr.tos_save_0") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_0_renamed_223)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_13_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_11_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_10_21)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_0_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_19_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_18_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_17_11)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002101)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_0_21)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002121)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002111)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - ) - ) - (net (rename zpuino_core_exr_tos_save_1 "zpuino/core/exr.tos_save_1") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_1_renamed_224)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_13_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_11_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_10_21)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_0_31)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_19_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_18_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_17_11)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002101)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_0_21)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002121)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002111)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - ) - ) - (net (rename zpuino_core_exr_tos_save_10 "zpuino/core/exr.tos_save_10") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_10)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_10_renamed_233)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_11 "zpuino/core/exr.tos_save_11") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_11)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_11_renamed_234)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_12 "zpuino/core/exr.tos_save_12") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_12)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_12_renamed_235)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_13 "zpuino/core/exr.tos_save_13") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_13)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_13_renamed_236)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_core_exr_tos_save_14 "zpuino/core/exr.tos_save_14") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_14)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_14_renamed_237)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_15 "zpuino/core/exr.tos_save_15") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_15)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_15_renamed_238)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_16 "zpuino/core/exr.tos_save_16") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_16)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_16_renamed_239)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_17 "zpuino/core/exr.tos_save_17") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_17_renamed_240)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_18 "zpuino/core/exr.tos_save_18") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_18_renamed_241)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_19 "zpuino/core/exr.tos_save_19") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_19_renamed_242)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_2 "zpuino/core/exr.tos_save_2") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_2)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_2_renamed_225)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - ) - ) - (net (rename zpuino_core_exr_tos_save_20 "zpuino/core/exr.tos_save_20") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_20_renamed_243)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_21 "zpuino/core/exr.tos_save_21") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_21_renamed_244)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_22 "zpuino/core/exr.tos_save_22") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_22_renamed_245)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_23 "zpuino/core/exr.tos_save_23") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_23)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_23_renamed_246)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_24 "zpuino/core/exr.tos_save_24") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_24)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_24_renamed_247)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_25 "zpuino/core/exr.tos_save_25") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_25)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_25_renamed_248)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_26 "zpuino/core/exr.tos_save_26") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_26)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_26_renamed_249)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_27 "zpuino/core/exr.tos_save_27") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_27_renamed_250)) - (portRef I1 (instanceRef zpuino_iomemmux_s0_wb_cyc_o1)) - (portRef I1 (instanceRef zpuino_iomemmux_s1_wb_cyc_o1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000271)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000251)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - (portRef I0 (instanceRef zpuino_iomemmux_m_wb_ack_o1)) - (portRef I1 (instanceRef zpuino_io_addr_save_q_or00001)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - (portRef I1 (instanceRef zpuino_io_addr_save_q_not00011)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025138_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002810)) - ) - ) - (net (rename zpuino_core_exr_tos_save_28 "zpuino/core/exr.tos_save_28") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_28_renamed_251)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_29 "zpuino/core/exr.tos_save_29") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_29_renamed_252)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_3 "zpuino/core/exr.tos_save_3") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_3)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_3_renamed_226)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - ) - ) - (net (rename zpuino_core_exr_tos_save_30 "zpuino/core/exr.tos_save_30") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_30_renamed_253)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_31 "zpuino/core/exr.tos_save_31") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_31_renamed_254)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_4 "zpuino/core/exr.tos_save_4") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_4)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_4_renamed_227)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_0_31)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_19_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_18_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_17_11)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - ) - ) - (net (rename zpuino_core_exr_tos_save_5 "zpuino/core/exr.tos_save_5") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_5)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_5_renamed_228)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - ) - ) - (net (rename zpuino_core_exr_tos_save_6 "zpuino/core/exr.tos_save_6") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_6)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_6_renamed_229)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - ) - ) - (net (rename zpuino_core_exr_tos_save_7 "zpuino/core/exr.tos_save_7") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_7)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_7_renamed_230)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - ) - ) - (net (rename zpuino_core_exr_tos_save_8 "zpuino/core/exr.tos_save_8") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_8)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_8_renamed_231)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_9 "zpuino/core/exr.tos_save_9") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_9)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_9_renamed_232)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc "zpuino/core/exr.wb_cyc") - (joined - (portRef Q (instanceRef zpuino_core_exr_wb_cyc_renamed_171)) - (portRef I0 (instanceRef zpuino_iomemmux_s0_wb_cyc_o1)) - (portRef I0 (instanceRef zpuino_iomemmux_s1_wb_cyc_o1)) - (portRef I2 (instanceRef zpuino_io_addr_save_q_or00001)) - (portRef I2 (instanceRef zpuino_io_addr_save_q_not00011)) - (portRef I0 (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - (portRef I2 (instanceRef zpuino_core_exr_wb_cyc_mux0001282)) - ) - ) - (net (rename zpuino_core_exr_wb_we "zpuino/core/exr.wb_we") - (joined - (portRef Q (instanceRef zpuino_core_exr_wb_we_renamed_78)) - (portRef D (instanceRef zpuino_io_io_we_renamed_310)) - (portRef I1 (instanceRef zpuino_memory_memAWriteEnable1)) - (portRef I1 (instanceRef zpuino_core_exr_wb_we_mux000037)) - (portRef I2 (instanceRef zpuino_io_write_save_q_not00011)) - ) - ) - (net (rename zpuino_core_exr_inInterrupt_mux0001 "zpuino/core/exr_inInterrupt_mux0001") - (joined - (portRef D (instanceRef zpuino_core_exr_inInterrupt_renamed_172)) - (portRef O (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - ) - ) - (net (rename zpuino_core_exr_tos_save_not0001 "zpuino/core/exr_tos_save_not0001") - (joined - (portRef CE (instanceRef zpuino_core_exr_tos_save_0_renamed_223)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_1_renamed_224)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_2_renamed_225)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_3_renamed_226)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_4_renamed_227)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_5_renamed_228)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_6_renamed_229)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_7_renamed_230)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_8_renamed_231)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_9_renamed_232)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_10_renamed_233)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_11_renamed_234)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_12_renamed_235)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_13_renamed_236)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_14_renamed_237)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_15_renamed_238)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_16_renamed_239)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_17_renamed_240)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_18_renamed_241)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_19_renamed_242)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_20_renamed_243)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_21_renamed_244)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_22_renamed_245)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_23_renamed_246)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_24_renamed_247)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_25_renamed_248)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_26_renamed_249)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_27_renamed_250)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_28_renamed_251)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_29_renamed_252)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_30_renamed_253)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_31_renamed_254)) - (portRef O (instanceRef zpuino_core_exr_tos_save_not00011)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc_mux0001 "zpuino/core/exr_wb_cyc_mux0001") - (joined - (portRef D (instanceRef zpuino_core_exr_wb_cyc_renamed_171)) - (portRef O (instanceRef zpuino_core_exr_wb_cyc_mux000128_f5)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc_mux000128 "zpuino/core/exr_wb_cyc_mux000128") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - (portRef I1 (instanceRef zpuino_core_exr_wb_cyc_mux000128_f5)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc_mux0001281 "zpuino/core/exr_wb_cyc_mux0001281") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_cyc_mux0001282)) - (portRef I0 (instanceRef zpuino_core_exr_wb_cyc_mux000128_f5)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc_mux00018 "zpuino/core/exr_wb_cyc_mux00018") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I1 (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - ) - ) - (net (rename zpuino_core_exr_wb_we_mux0000 "zpuino/core/exr_wb_we_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_wb_we_renamed_78)) - (portRef O (instanceRef zpuino_core_exr_wb_we_mux000037)) - ) - ) - (net (rename zpuino_core_exr_wb_we_mux000014 "zpuino/core/exr_wb_we_mux000014") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I0 (instanceRef zpuino_core_exr_wb_we_mux000037)) - ) - ) - (net (rename zpuino_core_exr_wb_we_mux000027 "zpuino/core/exr_wb_we_mux000027") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I3 (instanceRef zpuino_core_exr_wb_we_mux000037)) - ) - ) - (net (rename zpuino_core_exu_busy "zpuino/core/exu_busy") - (joined - (portRef I3 (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - (portRef I2 (instanceRef zpuino_core_prefr_pc_or0000_inv1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - (portRef O (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net (rename zpuino_core_exu_busy10 "zpuino/core/exu_busy10") - (joined - (portRef O (instanceRef zpuino_core_exu_busy10_renamed_1640)) - (portRef I1 (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net (rename zpuino_core_exu_busy32 "zpuino/core/exu_busy32") - (joined - (portRef O (instanceRef zpuino_core_exu_busy32_renamed_1641)) - (portRef I3 (instanceRef zpuino_core_pfu_busy1)) - (portRef I2 (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net (rename zpuino_core_exu_busy33 "zpuino/core/exu_busy33") - (joined - (portRef O (instanceRef zpuino_core_exu_busy33_renamed_2305)) - (portRef I3 (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net (rename zpuino_core_exu_busy4 "zpuino/core/exu_busy4") - (joined - (portRef I0 (instanceRef zpuino_core_exu_busy42_SW0)) - (portRef O (instanceRef zpuino_core_exu_busy4_renamed_2304)) - ) - ) - (net (rename zpuino_core_exu_busy9 "zpuino/core/exu_busy9") - (joined - (portRef I1 (instanceRef zpuino_core_exu_busy10_renamed_1640)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux000062)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - (portRef I1 (instanceRef zpuino_core_exu_busy42_SW0)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000 "zpuino/core/jump_address_0_and0000") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_7__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I1 (instanceRef zpuino_core_exu_busy33_renamed_2305)) - (portRef I1 (instanceRef zpuino_core_poppc_inst1)) - (portRef I2 (instanceRef zpuino_core_decode_jump_renamed_2314)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_0_ "zpuino/core/jump_address_0_and0000_wg_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_0__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_1__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_1_ "zpuino/core/jump_address_0_and0000_wg_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_1__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_2__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_2_ "zpuino/core/jump_address_0_and0000_wg_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_2__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_3__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_3_ "zpuino/core/jump_address_0_and0000_wg_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_3__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_4__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_4_ "zpuino/core/jump_address_0_and0000_wg_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_4__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_5__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_5_ "zpuino/core/jump_address_0_and0000_wg_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_5__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_6__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_6_ "zpuino/core/jump_address_0_and0000_wg_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_6__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_7__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_0_ "zpuino/core/jump_address_0_and0000_wg_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_0__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_1_ "zpuino/core/jump_address_0_and0000_wg_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_1__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_2_ "zpuino/core/jump_address_0_and0000_wg_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_2__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_3_ "zpuino/core/jump_address_0_and0000_wg_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_3__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_4_ "zpuino/core/jump_address_0_and0000_wg_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_4__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_5_ "zpuino/core/jump_address_0_and0000_wg_lut<5>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_5__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_6_ "zpuino/core/jump_address_0_and0000_wg_lut<6>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_6__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_7_ "zpuino/core/jump_address_0_and0000_wg_lut<7>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_7__)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_0_ "zpuino/core/jump_address_add0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_0__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_10_ "zpuino/core/jump_address_add0000<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_10__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_11_ "zpuino/core/jump_address_add0000<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_11__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_12_ "zpuino/core/jump_address_add0000<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_12__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_13_ "zpuino/core/jump_address_add0000<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_13__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_1_ "zpuino/core/jump_address_add0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_1__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_2_ "zpuino/core/jump_address_add0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_2__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_3_ "zpuino/core/jump_address_add0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_3__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_4_ "zpuino/core/jump_address_add0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_4__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_5_ "zpuino/core/jump_address_add0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_5__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_6_ "zpuino/core/jump_address_add0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_6__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_7_ "zpuino/core/jump_address_add0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_7__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_8_ "zpuino/core/jump_address_add0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_8__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_9_ "zpuino/core/jump_address_add0000<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_9__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - ) - ) - (net (rename zpuino_core_lshifter_enable "zpuino/core/lshifter_enable") - (joined - (portRef D (instanceRef zpuino_core_shl_d_0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef O (instanceRef zpuino_core_exr_state_Out161)) - (portRef I2 (instanceRef zpuino_core_exu_busy32_renamed_1641)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - ) - ) - (net (rename zpuino_core_pcnext_0_ "zpuino/core/pcnext<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_0__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - ) - ) - (net (rename zpuino_core_pcnext_10_ "zpuino/core/pcnext<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_10__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - ) - ) - (net (rename zpuino_core_pcnext_11_ "zpuino/core/pcnext<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_11__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - ) - ) - (net (rename zpuino_core_pcnext_12_ "zpuino/core/pcnext<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_12__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - ) - ) - (net (rename zpuino_core_pcnext_13_ "zpuino/core/pcnext<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - ) - ) - (net (rename zpuino_core_pcnext_1_ "zpuino/core/pcnext<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_1__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - ) - ) - (net (rename zpuino_core_pcnext_2_ "zpuino/core/pcnext<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_2__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - ) - ) - (net (rename zpuino_core_pcnext_3_ "zpuino/core/pcnext<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_3__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - ) - ) - (net (rename zpuino_core_pcnext_4_ "zpuino/core/pcnext<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_4__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - ) - ) - (net (rename zpuino_core_pcnext_5_ "zpuino/core/pcnext<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_5__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - ) - ) - (net (rename zpuino_core_pcnext_6_ "zpuino/core/pcnext<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_6__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net (rename zpuino_core_pcnext_7_ "zpuino/core/pcnext<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_7__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - ) - ) - (net (rename zpuino_core_pcnext_8_ "zpuino/core/pcnext<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_8__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - ) - ) - (net (rename zpuino_core_pcnext_9_ "zpuino/core/pcnext<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_9__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - ) - ) - (net (rename zpuino_core_pfu_busy "zpuino/core/pfu_busy") - (joined - (portRef O (instanceRef zpuino_core_pfu_busy1)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I2 (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I2 (instanceRef zpuino_core_decr_pc_not00011)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - (portRef I0 (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_72)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_18)) - (portRef I3 (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_13__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388)) - ) - ) - (net (rename zpuino_core_prefr_break "zpuino/core/prefr.break") - (joined - (portRef Q (instanceRef zpuino_core_prefr_break_renamed_222)) - (portRef I0 (instanceRef zpuino_core_decr_pc_not00011)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_1 "zpuino/core/prefr.decodedOpcode_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_1_renamed_270)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_12 "zpuino/core/prefr.decodedOpcode_12") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_12_renamed_277)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - (portRef I3 (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_SW0)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_14 "zpuino/core/prefr.decodedOpcode_14") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_14_renamed_278)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_15 "zpuino/core/prefr.decodedOpcode_15") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_15_renamed_279)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136)) - (portRef I1 (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I2 (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_SW0)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_19 "zpuino/core/prefr.decodedOpcode_19") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_19_renamed_280)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_or00001)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_22 "zpuino/core/prefr.decodedOpcode_22") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_22_renamed_281)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - (portRef I1 (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I1 (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I2 (instanceRef zpuino_core_stack_b_enable7_renamed_1140)) - (portRef I0 (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I2 (instanceRef zpuino_core_stack_a_writeenable_SW0)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_21)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_12)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_11)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_23 "zpuino/core/prefr.decodedOpcode_23") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_23_renamed_282)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef I2 (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_42)) - (portRef I1 (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_24 "zpuino/core/prefr.decodedOpcode_24") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_24_renamed_283)) - (portRef I2 (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I3 (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - (portRef I1 (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_SW0)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_SW1)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_25 "zpuino/core/prefr.decodedOpcode_25") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_25_renamed_284)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd4_In1)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_27 "zpuino/core/prefr.decodedOpcode_27") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_27_renamed_285)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_or00001)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000062)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_3 "zpuino/core/prefr.decodedOpcode_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_3_renamed_271)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_30 "zpuino/core/prefr.decodedOpcode_30") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_30_renamed_286)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_31 "zpuino/core/prefr.decodedOpcode_31") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_31_renamed_287)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_or00001)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000062)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_32 "zpuino/core/prefr.decodedOpcode_32") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_32_renamed_288)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - (portRef I2 (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I0 (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_SW0)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_33 "zpuino/core/prefr.decodedOpcode_33") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_33_renamed_289)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_4 "zpuino/core/prefr.decodedOpcode_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_4_renamed_272)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_5 "zpuino/core/prefr.decodedOpcode_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_5_renamed_273)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_6 "zpuino/core/prefr.decodedOpcode_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_6_renamed_274)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_51)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_SW1)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_8 "zpuino/core/prefr.decodedOpcode_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_8_renamed_275)) - (portRef I3 (instanceRef zpuino_core_stack_a_writeenable_SW0)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_9 "zpuino/core/prefr.decodedOpcode_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_9_renamed_276)) - (portRef I0 (instanceRef zpuino_core_stack_a_writeenable_SW0)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_0 "zpuino/core/prefr.fetchpc_0") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_0_renamed_255)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_1 "zpuino/core/prefr.fetchpc_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_1_renamed_256)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_10 "zpuino/core/prefr.fetchpc_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_10_renamed_265)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000286_renamed_1536)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_11 "zpuino/core/prefr.fetchpc_11") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_11_renamed_266)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_12 "zpuino/core/prefr.fetchpc_12") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_12_renamed_267)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_13 "zpuino/core/prefr.fetchpc_13") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_13_renamed_268)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_2 "zpuino/core/prefr.fetchpc_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_2_renamed_257)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_3 "zpuino/core/prefr.fetchpc_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_3_renamed_258)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_4 "zpuino/core/prefr.fetchpc_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_4_renamed_259)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_5 "zpuino/core/prefr.fetchpc_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_5_renamed_260)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_6 "zpuino/core/prefr.fetchpc_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_6_renamed_261)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002107_renamed_1495)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_7 "zpuino/core/prefr.fetchpc_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_7_renamed_262)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002107_renamed_1515)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_8 "zpuino/core/prefr.fetchpc_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_8_renamed_263)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000286_renamed_1522)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_9 "zpuino/core/prefr.fetchpc_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_9_renamed_264)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000286_renamed_1529)) - ) - ) - (net (rename zpuino_core_prefr_opWillFreeze "zpuino/core/prefr.opWillFreeze") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opWillFreeze_renamed_290)) - (portRef I0 (instanceRef zpuino_core_exu_busy32_renamed_1641)) - ) - ) - (net (rename zpuino_core_prefr_opcode_0 "zpuino/core/prefr.opcode_0") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_0_renamed_197)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_0__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_SW0)) - ) - ) - (net (rename zpuino_core_prefr_opcode_1 "zpuino/core/prefr.opcode_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_1_renamed_198)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_1__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_prefr_opcode_2 "zpuino/core/prefr.opcode_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_2_renamed_199)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_2__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - ) - ) - (net (rename zpuino_core_prefr_opcode_3 "zpuino/core/prefr.opcode_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_3_renamed_200)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_3__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - ) - ) - (net (rename zpuino_core_prefr_opcode_4 "zpuino/core/prefr.opcode_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_4_renamed_201)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_4__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - ) - ) - (net (rename zpuino_core_prefr_opcode_5 "zpuino/core/prefr.opcode_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_5_renamed_202)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - ) - ) - (net (rename zpuino_core_prefr_opcode_6 "zpuino/core/prefr.opcode_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_6_renamed_203)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000211)) - ) - ) - (net (rename zpuino_core_prefr_pc_0 "zpuino/core/prefr.pc_0") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_0_renamed_295)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_0__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - ) - ) - (net (rename zpuino_core_prefr_pc_1 "zpuino/core/prefr.pc_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_1_renamed_296)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_1__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_prefr_pc_10 "zpuino/core/prefr.pc_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_10_renamed_305)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_10__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - ) - ) - (net (rename zpuino_core_prefr_pc_11 "zpuino/core/prefr.pc_11") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_11_renamed_306)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_11__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - ) - ) - (net (rename zpuino_core_prefr_pc_12 "zpuino/core/prefr.pc_12") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_12_renamed_307)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_12__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - ) - ) - (net (rename zpuino_core_prefr_pc_13 "zpuino/core/prefr.pc_13") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_13_renamed_308)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_13__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - ) - ) - (net (rename zpuino_core_prefr_pc_2 "zpuino/core/prefr.pc_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_2_renamed_297)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_2__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - ) - ) - (net (rename zpuino_core_prefr_pc_3 "zpuino/core/prefr.pc_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_3_renamed_298)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_3__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - ) - ) - (net (rename zpuino_core_prefr_pc_4 "zpuino/core/prefr.pc_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_4_renamed_299)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_4__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - ) - ) - (net (rename zpuino_core_prefr_pc_5 "zpuino/core/prefr.pc_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_5_renamed_300)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_5__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - ) - ) - (net (rename zpuino_core_prefr_pc_6 "zpuino/core/prefr.pc_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_6_renamed_301)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_6__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - ) - ) - (net (rename zpuino_core_prefr_pc_7 "zpuino/core/prefr.pc_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_7_renamed_302)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_7__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - ) - ) - (net (rename zpuino_core_prefr_pc_8 "zpuino/core/prefr.pc_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_8_renamed_303)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_8__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - ) - ) - (net (rename zpuino_core_prefr_pc_9 "zpuino/core/prefr.pc_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_9_renamed_304)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_9__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - ) - ) - (net (rename zpuino_core_prefr_recompute_sp "zpuino/core/prefr.recompute_sp") - (joined - (portRef Q (instanceRef zpuino_core_prefr_recompute_sp_renamed_5)) - (portRef I0 (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - (portRef S (instanceRef zpuino_core_prefr_spnext_not0001_f5)) - ) - ) - (net (rename zpuino_core_prefr_sp_10 "zpuino/core/prefr.sp_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__rt_renamed_1834)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_14)) - ) - ) - (net (rename zpuino_core_prefr_sp_2 "zpuino/core/prefr.sp_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_2_14)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - ) - ) - (net (rename zpuino_core_prefr_sp_3 "zpuino/core/prefr.sp_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_3_14)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - ) - ) - (net (rename zpuino_core_prefr_sp_4 "zpuino/core/prefr.sp_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - ) - ) - (net (rename zpuino_core_prefr_sp_5 "zpuino/core/prefr.sp_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - ) - ) - (net (rename zpuino_core_prefr_sp_6 "zpuino/core/prefr.sp_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_4__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - ) - ) - (net (rename zpuino_core_prefr_sp_7 "zpuino/core/prefr.sp_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__rt_renamed_1711)) - ) - ) - (net (rename zpuino_core_prefr_sp_8 "zpuino/core/prefr.sp_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__rt_renamed_1712)) - ) - ) - (net (rename zpuino_core_prefr_sp_9 "zpuino/core/prefr.sp_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__rt_renamed_1713)) - ) - ) - (net (rename zpuino_core_prefr_spnext_10 "zpuino/core/prefr.spnext_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef D (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__rt_renamed_1832)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__rt_renamed_1835)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_8__INV_0)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net (rename zpuino_core_prefr_spnext_2 "zpuino/core/prefr.spnext_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef D (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - (portRef I0 (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__rt_renamed_1717)) - (portRef I (instanceRef zpuino_core_Madd_stack_b_addr_add0000_lut_0__INV_0)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - ) - ) - (net (rename zpuino_core_prefr_spnext_3 "zpuino/core/prefr.spnext_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef D (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I3 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__rt_renamed_1692)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_4 "zpuino/core/prefr.spnext_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef D (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I2 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__rt_renamed_1693)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_5 "zpuino/core/prefr.spnext_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef D (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I1 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__rt_renamed_1694)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_6 "zpuino/core/prefr.spnext_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef D (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_4__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - (portRef I0 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__rt_renamed_1695)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_7 "zpuino/core/prefr.spnext_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef D (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - (portRef I1 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__rt_renamed_1696)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__rt_renamed_1714)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_8 "zpuino/core/prefr.spnext_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef D (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I0 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__rt_renamed_1697)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__rt_renamed_1715)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_F)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_9 "zpuino/core/prefr.spnext_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef D (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__rt_renamed_1698)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__rt_renamed_1716)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_7__INV_0)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_0 "zpuino/core/prefr.tosSource_0") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_0_renamed_204)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_1 "zpuino/core/prefr.tosSource_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_1_renamed_205)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002107_renamed_1495)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002107_renamed_1515)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000286_renamed_1522)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000286_renamed_1529)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000286_renamed_1536)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_10 "zpuino/core/prefr.tosSource_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_10_renamed_214)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000296_renamed_1983)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_11 "zpuino/core/prefr.tosSource_11") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_11_renamed_215)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000270_renamed_1586)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000270_renamed_1604)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_12 "zpuino/core/prefr.tosSource_12") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_12_renamed_216)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_13 "zpuino/core/prefr.tosSource_13") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_13_renamed_217)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_14 "zpuino/core/prefr.tosSource_14") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_14_renamed_218)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000211)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002149_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002143_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002143_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002164_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002164_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002143_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_15 "zpuino/core/prefr.tosSource_15") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_15_renamed_219)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000270_renamed_1586)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_16 "zpuino/core/prefr.tosSource_16") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_16_renamed_220)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002182_renamed_1878)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_17 "zpuino/core/prefr.tosSource_17") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_17_renamed_221)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_2 "zpuino/core/prefr.tosSource_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_2_renamed_206)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002311)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_3 "zpuino/core/prefr.tosSource_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_3_renamed_207)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000270_renamed_1604)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002311)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_4 "zpuino/core/prefr.tosSource_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_4_renamed_208)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000221)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002125_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002140_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002140_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002119_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002119_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002119_SW0)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_5 "zpuino/core/prefr.tosSource_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_5_renamed_209)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef S (instanceRef zpuino_core_w1_tos_31_mux000266_f5)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_6 "zpuino/core/prefr.tosSource_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_6_renamed_210)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000211)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002149_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002143_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002143_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002164_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002164_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002143_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_7 "zpuino/core/prefr.tosSource_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_7_renamed_211)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_8 "zpuino/core/prefr.tosSource_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_8_renamed_212)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000221)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002125_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002119_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002119_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000241103)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002119_SW0)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_9 "zpuino/core/prefr.tosSource_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_9_renamed_213)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002158_renamed_1682)) - (portRef S (instanceRef zpuino_core_w1_tos_0_mux00024120_f5)) - ) - ) - (net (rename zpuino_core_prefr_valid "zpuino/core/prefr.valid") - (joined - (portRef Q (instanceRef zpuino_core_prefr_valid_renamed_269)) - (portRef I1 (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I0 (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I3 (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I0 (instanceRef zpuino_core_stack_b_enable7_renamed_1140)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef I1 (instanceRef zpuino_core_exr_tos_save_not00011)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_51)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_0_21)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd4_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002164)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002164)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002164)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002185)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002185)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - (portRef I3 (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux0002144)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_0_12)) - (portRef S (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_42)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000264)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_240)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000241103)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net (rename zpuino_core_prefr_pc_or0000_inv "zpuino/core/prefr_pc_or0000_inv") - (joined - (portRef CE (instanceRef zpuino_core_prefr_opcode_0_renamed_197)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_1_renamed_198)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_2_renamed_199)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_3_renamed_200)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_4_renamed_201)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_5_renamed_202)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_6_renamed_203)) - (portRef CE (instanceRef zpuino_core_prefr_pc_0_renamed_295)) - (portRef CE (instanceRef zpuino_core_prefr_pc_1_renamed_296)) - (portRef CE (instanceRef zpuino_core_prefr_pc_2_renamed_297)) - (portRef CE (instanceRef zpuino_core_prefr_pc_3_renamed_298)) - (portRef CE (instanceRef zpuino_core_prefr_pc_4_renamed_299)) - (portRef CE (instanceRef zpuino_core_prefr_pc_5_renamed_300)) - (portRef CE (instanceRef zpuino_core_prefr_pc_6_renamed_301)) - (portRef CE (instanceRef zpuino_core_prefr_pc_7_renamed_302)) - (portRef CE (instanceRef zpuino_core_prefr_pc_8_renamed_303)) - (portRef CE (instanceRef zpuino_core_prefr_pc_9_renamed_304)) - (portRef CE (instanceRef zpuino_core_prefr_pc_10_renamed_305)) - (portRef CE (instanceRef zpuino_core_prefr_pc_11_renamed_306)) - (portRef CE (instanceRef zpuino_core_prefr_pc_12_renamed_307)) - (portRef CE (instanceRef zpuino_core_prefr_pc_13_renamed_308)) - (portRef CE (instanceRef zpuino_core_prefr_opWillFreeze_renamed_290)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_1_renamed_270)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_3_renamed_271)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_4_renamed_272)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_5_renamed_273)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_6_renamed_274)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_8_renamed_275)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_9_renamed_276)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_12_renamed_277)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_14_renamed_278)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_15_renamed_279)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_19_renamed_280)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_22_renamed_281)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_23_renamed_282)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_24_renamed_283)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_25_renamed_284)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_27_renamed_285)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_30_renamed_286)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_31_renamed_287)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_32_renamed_288)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_33_renamed_289)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_0_renamed_255)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_1_renamed_256)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_2_renamed_257)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_3_renamed_258)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_4_renamed_259)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_5_renamed_260)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_6_renamed_261)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_7_renamed_262)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_8_renamed_263)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_9_renamed_264)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_10_renamed_265)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_11_renamed_266)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_12_renamed_267)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_13_renamed_268)) - (portRef CE (instanceRef zpuino_core_prefr_break_renamed_222)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_0_renamed_204)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_1_renamed_205)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_2_renamed_206)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_3_renamed_207)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_4_renamed_208)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_5_renamed_209)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_6_renamed_210)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_7_renamed_211)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_8_renamed_212)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_9_renamed_213)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_10_renamed_214)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_11_renamed_215)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_12_renamed_216)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_13_renamed_217)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_14_renamed_218)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_15_renamed_219)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_16_renamed_220)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_17_renamed_221)) - (portRef CE (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef I3 (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - (portRef O (instanceRef zpuino_core_prefr_pc_or0000_inv1)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_core_prefr_recompute_sp_or0000 "zpuino/core/prefr_recompute_sp_or0000") - (joined - (portRef R (instanceRef zpuino_core_prefr_recompute_sp_renamed_5)) - (portRef O (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - ) - ) - (net (rename zpuino_core_prefr_sp_not0001 "zpuino/core/prefr_sp_not0001") - (joined - (portRef CE (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef CE (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef CE (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef CE (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef CE (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef CE (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef CE (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef CE (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef CE (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef O (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_0_ "zpuino/core/prefr_spnext_addsub0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_0__)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_2_15_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_1_ "zpuino/core/prefr_spnext_addsub0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_1__)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_2_ "zpuino/core/prefr_spnext_addsub0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_2__)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_42_renamed_1227)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_3_ "zpuino/core/prefr_spnext_addsub0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_3__)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_4_ "zpuino/core/prefr_spnext_addsub0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_4__)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_5_ "zpuino/core/prefr_spnext_addsub0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_5__)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_7_42_renamed_1238)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_6_ "zpuino/core/prefr_spnext_addsub0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_6__)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_7_ "zpuino/core/prefr_spnext_addsub0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_7__)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_9_41_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_8_ "zpuino/core/prefr_spnext_addsub0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_8__)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_G)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_10_ "zpuino/core/prefr_spnext_mux0000<10>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_10_24 "zpuino/core/prefr_spnext_mux0000<10>24") - (joined - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_10_58 "zpuino/core/prefr_spnext_mux0000<10>58") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_2_ "zpuino/core/prefr_spnext_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_2_15 "zpuino/core/prefr_spnext_mux0000<2>15") - (joined - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43_SW0)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_15_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_2_151 "zpuino/core/prefr_spnext_mux0000<2>151") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_15_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_2_152 "zpuino/core/prefr_spnext_mux0000<2>152") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_15_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_3_ "zpuino/core/prefr_spnext_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_3_23 "zpuino/core/prefr_spnext_mux0000<3>23") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_ "zpuino/core/prefr_spnext_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_89_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_24 "zpuino/core/prefr_spnext_mux0000<4>24") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_24_renamed_1225)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_39 "zpuino/core/prefr_spnext_mux0000<4>39") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_42 "zpuino/core/prefr_spnext_mux0000<4>42") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_42_renamed_1227)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_89 "zpuino/core/prefr_spnext_mux0000<4>89") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_89_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_ "zpuino/core/prefr_spnext_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_28 "zpuino/core/prefr_spnext_mux0000<5>28") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_40 "zpuino/core/prefr_spnext_mux0000<5>40") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_58 "zpuino/core/prefr_spnext_mux0000<5>58") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_70 "zpuino/core/prefr_spnext_mux0000<5>70") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_70_renamed_1235)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_ "zpuino/core/prefr_spnext_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_114)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_57 "zpuino/core/prefr_spnext_mux0000<6>57") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_88 "zpuino/core/prefr_spnext_mux0000<6>88") - (joined - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_114)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_88_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_881 "zpuino/core/prefr_spnext_mux0000<6>881") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_88_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_882 "zpuino/core/prefr_spnext_mux0000<6>882") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_88_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_7_ "zpuino/core/prefr_spnext_mux0000<7>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_7_89_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_7_39 "zpuino/core/prefr_spnext_mux0000<7>39") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_7_42 "zpuino/core/prefr_spnext_mux0000<7>42") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_7_42_renamed_1238)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_7_89 "zpuino/core/prefr_spnext_mux0000<7>89") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_7_89_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_8_ "zpuino/core/prefr_spnext_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_8_46 "zpuino/core/prefr_spnext_mux0000<8>46") - (joined - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_8_5 "zpuino/core/prefr_spnext_mux0000<8>5") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_ "zpuino/core/prefr_spnext_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_12 "zpuino/core/prefr_spnext_mux0000<9>12") - (joined - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_21 "zpuino/core/prefr_spnext_mux0000<9>21") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_41 "zpuino/core/prefr_spnext_mux0000<9>41") - (joined - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_41_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_411 "zpuino/core/prefr_spnext_mux0000<9>411") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_41_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_412 "zpuino/core/prefr_spnext_mux0000<9>412") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_41_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_not0001 "zpuino/core/prefr_spnext_not0001") - (joined - (portRef CE (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef O (instanceRef zpuino_core_prefr_spnext_not0001_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_not00011 "zpuino/core/prefr_spnext_not00011") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_not00011_renamed_2291)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_not0001_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_not00012 "zpuino/core/prefr_spnext_not00012") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_not0001_f5)) - ) - ) - (net (rename zpuino_core_prefr_valid_or0000 "zpuino/core/prefr_valid_or0000") - (joined - (portRef R (instanceRef zpuino_core_prefr_valid_renamed_269)) - (portRef O (instanceRef zpuino_core_prefr_valid_or00001)) - ) - ) - (net (rename zpuino_core_sampledDecodedOpcode_and0000 "zpuino/core/sampledDecodedOpcode_and0000") - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_14_11)) - (portRef O (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - ) - ) - (net (rename zpuino_core_sampledDecodedOpcode_cmp_eq0000 "zpuino/core/sampledDecodedOpcode_cmp_eq0000") - (joined - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - (portRef I3 (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_14_11)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19__SW0)) - (portRef O (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - ) - ) - (net (rename zpuino_core_sampledStackOperation_or0003 "zpuino/core/sampledStackOperation_or0003") - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000382)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - ) - ) - (net (rename zpuino_core_sampledTosSource_0_ "zpuino/core/sampledTosSource<0>") - (joined - (portRef O (instanceRef zpuino_core_sampledTosSource_and00001)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - (portRef I0 (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - ) - ) - (net (rename zpuino_core_sampledTosSource_cmp_eq0003 "zpuino/core/sampledTosSource_cmp_eq0003") - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - (portRef LO (instanceRef zpuino_core_sampledTosSource_cmp_eq00031)) - ) - ) - (net (rename zpuino_core_sampledTosSource_cmp_eq0004 "zpuino/core/sampledTosSource_cmp_eq0004") - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - (portRef LO (instanceRef zpuino_core_sampledTosSource_cmp_eq00042)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_17 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_17") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_17__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_18 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_18") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_18__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_19 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_19") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_19__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_20 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_20") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_20__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_21 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_21") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_21__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_22 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_22") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_22__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_23 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_23") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_23__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_24 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_24") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_24__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_25 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_25") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_25__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_26 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_26") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_26__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_27 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_27") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_27__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_28 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_28") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_28__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_29 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_29") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_29__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_30 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_30") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_30__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_31 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_31") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_31__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<17>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<18>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<19>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<20>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<21>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<22>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<23>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<24>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<25>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<26>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<27>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<28>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<29>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<30>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<31>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_17 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_17") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_18 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_18") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_19 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_19") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_20 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_20") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_21 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_21") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_22 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_22") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_23 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_23") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_24 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_24") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_25 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_25") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_26 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_26") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_27 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_27") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_28 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_28") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_29 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_29") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_30 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_30") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_31 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_31") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<17>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<18>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<19>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<20>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<21>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<22>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<23>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<24>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<25>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<26>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<27>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<28>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<29>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<30>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<31>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_0") - (joined - (portRef (member P 35) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_1") - (joined - (portRef (member P 34) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_10 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_10") - (joined - (portRef (member P 25) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_11 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_11") - (joined - (portRef (member P 24) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_12 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_12") - (joined - (portRef (member P 23) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_13 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_13") - (joined - (portRef (member P 22) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_14 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_14") - (joined - (portRef (member P 21) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_2 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_2") - (joined - (portRef (member P 33) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_3 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_3") - (joined - (portRef (member P 32) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_4 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_4") - (joined - (portRef (member P 31) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_5 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_5") - (joined - (portRef (member P 30) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_6 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_6") - (joined - (portRef (member P 29) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_7 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_7") - (joined - (portRef (member P 28) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_8 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_8") - (joined - (portRef (member P 27) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_9 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_9") - (joined - (portRef (member P 26) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_18") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_19") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_20") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_21") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_22") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_23") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_24") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_25") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_26") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_27") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_28") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_29") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_30") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_31") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_32") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_0") - (joined - (portRef (member BCOUT 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_1") - (joined - (portRef (member BCOUT 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_10 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_10") - (joined - (portRef (member BCOUT 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_11 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_11") - (joined - (portRef (member BCOUT 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_12 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_12") - (joined - (portRef (member BCOUT 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_13 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_13") - (joined - (portRef (member BCOUT 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_14 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_14") - (joined - (portRef (member BCOUT 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_15 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_15") - (joined - (portRef (member BCOUT 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_16 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_16") - (joined - (portRef (member BCOUT 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_17 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_17") - (joined - (portRef (member BCOUT 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_2 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_2") - (joined - (portRef (member BCOUT 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_3 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_3") - (joined - (portRef (member BCOUT 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_4 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_4") - (joined - (portRef (member BCOUT 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_5 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_5") - (joined - (portRef (member BCOUT 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_6 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_6") - (joined - (portRef (member BCOUT 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_7 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_7") - (joined - (portRef (member BCOUT 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_8 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_8") - (joined - (portRef (member BCOUT 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_9 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_9") - (joined - (portRef (member BCOUT 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_0") - (joined - (portRef (member P 35) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_1") - (joined - (portRef (member P 34) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_10 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_10") - (joined - (portRef (member P 25) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_11 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_11") - (joined - (portRef (member P 24) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_12 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_12") - (joined - (portRef (member P 23) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_13 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_13") - (joined - (portRef (member P 22) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_14 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_14") - (joined - (portRef (member P 21) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_15 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_15") - (joined - (portRef (member P 20) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_16 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_16") - (joined - (portRef (member P 19) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_17 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_17") - (joined - (portRef (member P 18) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_18 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_18") - (joined - (portRef (member P 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_19 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_19") - (joined - (portRef (member P 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_2 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_2") - (joined - (portRef (member P 33) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_20 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_20") - (joined - (portRef (member P 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_21 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_21") - (joined - (portRef (member P 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_22 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_22") - (joined - (portRef (member P 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_23 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_23") - (joined - (portRef (member P 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_24 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_24") - (joined - (portRef (member P 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_25 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_25") - (joined - (portRef (member P 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_26 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_26") - (joined - (portRef (member P 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_27 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_27") - (joined - (portRef (member P 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_28 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_28") - (joined - (portRef (member P 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_29 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_29") - (joined - (portRef (member P 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_3 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_3") - (joined - (portRef (member P 32) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_30 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_30") - (joined - (portRef (member P 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_31 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_31") - (joined - (portRef (member P 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_4 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_4") - (joined - (portRef (member P 31) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_5 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_5") - (joined - (portRef (member P 30) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_6 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_6") - (joined - (portRef (member P 29) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_7 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_7") - (joined - (portRef (member P 28) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_8 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_8") - (joined - (portRef (member P 27) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_9 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_9") - (joined - (portRef (member P 26) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_32") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_33") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_34") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_35") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_36") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_37") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_38") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_39") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_40") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_41") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_42") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_43") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_44") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_45") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_46") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_0") - (joined - (portRef (member P 35) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_1") - (joined - (portRef (member P 34) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_10 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_10") - (joined - (portRef (member P 25) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_11 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_11") - (joined - (portRef (member P 24) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_12 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_12") - (joined - (portRef (member P 23) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_13 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_13") - (joined - (portRef (member P 22) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_14 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_14") - (joined - (portRef (member P 21) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_2 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_2") - (joined - (portRef (member P 33) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_3 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_3") - (joined - (portRef (member P 32) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_4 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_4") - (joined - (portRef (member P 31) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_5 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_5") - (joined - (portRef (member P 30) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_6 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_6") - (joined - (portRef (member P 29) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_7 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_7") - (joined - (portRef (member P 28) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_8 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_8") - (joined - (portRef (member P 27) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_9 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_9") - (joined - (portRef (member P 26) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_0 "zpuino/core/shl/Mshreg_output_0") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef D (instanceRef zpuino_core_shl_output_0)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_1 "zpuino/core/shl/Mshreg_output_1") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef D (instanceRef zpuino_core_shl_output_1)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_10 "zpuino/core/shl/Mshreg_output_10") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef D (instanceRef zpuino_core_shl_output_10)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_11 "zpuino/core/shl/Mshreg_output_11") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef D (instanceRef zpuino_core_shl_output_11)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_12 "zpuino/core/shl/Mshreg_output_12") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef D (instanceRef zpuino_core_shl_output_12)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_13 "zpuino/core/shl/Mshreg_output_13") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef D (instanceRef zpuino_core_shl_output_13)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_14 "zpuino/core/shl/Mshreg_output_14") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef D (instanceRef zpuino_core_shl_output_14)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_15 "zpuino/core/shl/Mshreg_output_15") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef D (instanceRef zpuino_core_shl_output_15)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_16 "zpuino/core/shl/Mshreg_output_16") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef D (instanceRef zpuino_core_shl_output_16)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_17 "zpuino/core/shl/Mshreg_output_17") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef D (instanceRef zpuino_core_shl_output_17)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_18 "zpuino/core/shl/Mshreg_output_18") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef D (instanceRef zpuino_core_shl_output_18)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_19 "zpuino/core/shl/Mshreg_output_19") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef D (instanceRef zpuino_core_shl_output_19)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_2 "zpuino/core/shl/Mshreg_output_2") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef D (instanceRef zpuino_core_shl_output_2)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_20 "zpuino/core/shl/Mshreg_output_20") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef D (instanceRef zpuino_core_shl_output_20)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_21 "zpuino/core/shl/Mshreg_output_21") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef D (instanceRef zpuino_core_shl_output_21)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_22 "zpuino/core/shl/Mshreg_output_22") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef D (instanceRef zpuino_core_shl_output_22)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_23 "zpuino/core/shl/Mshreg_output_23") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef D (instanceRef zpuino_core_shl_output_23)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_24 "zpuino/core/shl/Mshreg_output_24") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef D (instanceRef zpuino_core_shl_output_24)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_25 "zpuino/core/shl/Mshreg_output_25") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef D (instanceRef zpuino_core_shl_output_25)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_26 "zpuino/core/shl/Mshreg_output_26") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef D (instanceRef zpuino_core_shl_output_26)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_27 "zpuino/core/shl/Mshreg_output_27") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef D (instanceRef zpuino_core_shl_output_27)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_28 "zpuino/core/shl/Mshreg_output_28") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef D (instanceRef zpuino_core_shl_output_28)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_29 "zpuino/core/shl/Mshreg_output_29") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef D (instanceRef zpuino_core_shl_output_29)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_3 "zpuino/core/shl/Mshreg_output_3") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef D (instanceRef zpuino_core_shl_output_3)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_30 "zpuino/core/shl/Mshreg_output_30") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef D (instanceRef zpuino_core_shl_output_30)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_31 "zpuino/core/shl/Mshreg_output_31") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef D (instanceRef zpuino_core_shl_output_31)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_4 "zpuino/core/shl/Mshreg_output_4") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef D (instanceRef zpuino_core_shl_output_4)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_5 "zpuino/core/shl/Mshreg_output_5") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef D (instanceRef zpuino_core_shl_output_5)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_6 "zpuino/core/shl/Mshreg_output_6") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef D (instanceRef zpuino_core_shl_output_6)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_7 "zpuino/core/shl/Mshreg_output_7") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef D (instanceRef zpuino_core_shl_output_7)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_8 "zpuino/core/shl/Mshreg_output_8") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef D (instanceRef zpuino_core_shl_output_8)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_9 "zpuino/core/shl/Mshreg_output_9") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef D (instanceRef zpuino_core_shl_output_9)) - ) - ) - (net (rename zpuino_core_shl_d_0_ "zpuino/core/shl/d<0>") - (joined - (portRef Q (instanceRef zpuino_core_shl_d_0)) - (portRef I0 (instanceRef zpuino_core_shl_d_1_mux00011)) - ) - ) - (net (rename zpuino_core_shl_d_1_ "zpuino/core/shl/d<1>") - (joined - (portRef Q (instanceRef zpuino_core_shl_d_1)) - (portRef I0 (instanceRef zpuino_core_shl_d_2_mux00011)) - ) - ) - (net (rename zpuino_core_shl_d_1_mux0001 "zpuino/core/shl/d_1_mux0001") - (joined - (portRef D (instanceRef zpuino_core_shl_d_1)) - (portRef O (instanceRef zpuino_core_shl_d_1_mux00011)) - ) - ) - (net (rename zpuino_core_shl_d_2_ "zpuino/core/shl/d<2>") - (joined - (portRef Q (instanceRef zpuino_core_shl_d_2)) - (portRef D (instanceRef zpuino_core_shl_done_renamed_291)) - ) - ) - (net (rename zpuino_core_shl_d_2_mux0001 "zpuino/core/shl/d_2_mux0001") - (joined - (portRef D (instanceRef zpuino_core_shl_d_2)) - (portRef O (instanceRef zpuino_core_shl_d_2_mux00011)) - ) - ) - (net (rename zpuino_core_shl_done "zpuino/core/shl/done") - (joined - (portRef Q (instanceRef zpuino_core_shl_done_renamed_291)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - (portRef I1 (instanceRef zpuino_core_exu_busy32_renamed_1641)) - ) - ) - (net (rename zpuino_core_shl_done_or0000 "zpuino/core/shl/done_or0000") - (joined - (portRef R (instanceRef zpuino_core_shl_done_renamed_291)) - (portRef O (instanceRef zpuino_core_shl_done_or00001)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_0_ "zpuino/core/shl/idx_mux0001<0>") - (joined - (portRef (member B 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_0_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_10_ "zpuino/core/shl/idx_mux0001<10>") - (joined - (portRef (member B 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_11_ "zpuino/core/shl/idx_mux0001<11>") - (joined - (portRef (member B 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_12_ "zpuino/core/shl/idx_mux0001<12>") - (joined - (portRef (member B 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_13_ "zpuino/core/shl/idx_mux0001<13>") - (joined - (portRef (member B 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_14_ "zpuino/core/shl/idx_mux0001<14>") - (joined - (portRef (member B 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_15_ "zpuino/core/shl/idx_mux0001<15>") - (joined - (portRef (member B 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_16_ "zpuino/core/shl/idx_mux0001<16>") - (joined - (portRef (member B 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_17_ "zpuino/core/shl/idx_mux0001<17>") - (joined - (portRef (member B 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_18_ "zpuino/core/shl/idx_mux0001<18>") - (joined - (portRef (member B 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_19_ "zpuino/core/shl/idx_mux0001<19>") - (joined - (portRef (member B 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_1_ "zpuino/core/shl/idx_mux0001<1>") - (joined - (portRef (member B 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_20_ "zpuino/core/shl/idx_mux0001<20>") - (joined - (portRef (member B 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_21_ "zpuino/core/shl/idx_mux0001<21>") - (joined - (portRef (member B 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_22_ "zpuino/core/shl/idx_mux0001<22>") - (joined - (portRef (member B 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_23_ "zpuino/core/shl/idx_mux0001<23>") - (joined - (portRef (member B 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_24_ "zpuino/core/shl/idx_mux0001<24>") - (joined - (portRef (member B 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_25_ "zpuino/core/shl/idx_mux0001<25>") - (joined - (portRef (member B 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_26_ "zpuino/core/shl/idx_mux0001<26>") - (joined - (portRef (member B 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_27_ "zpuino/core/shl/idx_mux0001<27>") - (joined - (portRef (member B 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_28_ "zpuino/core/shl/idx_mux0001<28>") - (joined - (portRef (member B 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_29_ "zpuino/core/shl/idx_mux0001<29>") - (joined - (portRef (member B 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_2_ "zpuino/core/shl/idx_mux0001<2>") - (joined - (portRef (member B 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_30_ "zpuino/core/shl/idx_mux0001<30>") - (joined - (portRef (member B 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_31_ "zpuino/core/shl/idx_mux0001<31>") - (joined - (portRef (member B 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef (member B 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef (member B 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef (member B 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_3_ "zpuino/core/shl/idx_mux0001<3>") - (joined - (portRef (member B 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_4_ "zpuino/core/shl/idx_mux0001<4>") - (joined - (portRef (member B 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_5_ "zpuino/core/shl/idx_mux0001<5>") - (joined - (portRef (member B 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_6_ "zpuino/core/shl/idx_mux0001<6>") - (joined - (portRef (member B 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_7_ "zpuino/core/shl/idx_mux0001<7>") - (joined - (portRef (member B 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_8_ "zpuino/core/shl/idx_mux0001<8>") - (joined - (portRef (member B 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_9_ "zpuino/core/shl/idx_mux0001<9>") - (joined - (portRef (member B 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - ) - ) - (net (rename zpuino_core_shl_output_0_ "zpuino/core/shl/output<0>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - (portRef Q (instanceRef zpuino_core_shl_output_0)) - ) - ) - (net (rename zpuino_core_shl_output_1_ "zpuino/core/shl/output<1>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef Q (instanceRef zpuino_core_shl_output_1)) - ) - ) - (net (rename zpuino_core_shl_output_10_ "zpuino/core/shl/output<10>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef Q (instanceRef zpuino_core_shl_output_10)) - ) - ) - (net (rename zpuino_core_shl_output_11_ "zpuino/core/shl/output<11>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef Q (instanceRef zpuino_core_shl_output_11)) - ) - ) - (net (rename zpuino_core_shl_output_12_ "zpuino/core/shl/output<12>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef Q (instanceRef zpuino_core_shl_output_12)) - ) - ) - (net (rename zpuino_core_shl_output_13_ "zpuino/core/shl/output<13>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - (portRef Q (instanceRef zpuino_core_shl_output_13)) - ) - ) - (net (rename zpuino_core_shl_output_14_ "zpuino/core/shl/output<14>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef Q (instanceRef zpuino_core_shl_output_14)) - ) - ) - (net (rename zpuino_core_shl_output_15_ "zpuino/core/shl/output<15>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef Q (instanceRef zpuino_core_shl_output_15)) - ) - ) - (net (rename zpuino_core_shl_output_16_ "zpuino/core/shl/output<16>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef Q (instanceRef zpuino_core_shl_output_16)) - ) - ) - (net (rename zpuino_core_shl_output_17_ "zpuino/core/shl/output<17>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef Q (instanceRef zpuino_core_shl_output_17)) - ) - ) - (net (rename zpuino_core_shl_output_18_ "zpuino/core/shl/output<18>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef Q (instanceRef zpuino_core_shl_output_18)) - ) - ) - (net (rename zpuino_core_shl_output_19_ "zpuino/core/shl/output<19>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef Q (instanceRef zpuino_core_shl_output_19)) - ) - ) - (net (rename zpuino_core_shl_output_2_ "zpuino/core/shl/output<2>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - (portRef Q (instanceRef zpuino_core_shl_output_2)) - ) - ) - (net (rename zpuino_core_shl_output_20_ "zpuino/core/shl/output<20>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef Q (instanceRef zpuino_core_shl_output_20)) - ) - ) - (net (rename zpuino_core_shl_output_21_ "zpuino/core/shl/output<21>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef Q (instanceRef zpuino_core_shl_output_21)) - ) - ) - (net (rename zpuino_core_shl_output_22_ "zpuino/core/shl/output<22>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef Q (instanceRef zpuino_core_shl_output_22)) - ) - ) - (net (rename zpuino_core_shl_output_23_ "zpuino/core/shl/output<23>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef Q (instanceRef zpuino_core_shl_output_23)) - ) - ) - (net (rename zpuino_core_shl_output_24_ "zpuino/core/shl/output<24>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef Q (instanceRef zpuino_core_shl_output_24)) - ) - ) - (net (rename zpuino_core_shl_output_25_ "zpuino/core/shl/output<25>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef Q (instanceRef zpuino_core_shl_output_25)) - ) - ) - (net (rename zpuino_core_shl_output_26_ "zpuino/core/shl/output<26>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef Q (instanceRef zpuino_core_shl_output_26)) - ) - ) - (net (rename zpuino_core_shl_output_27_ "zpuino/core/shl/output<27>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux00023_renamed_2132)) - (portRef Q (instanceRef zpuino_core_shl_output_27)) - ) - ) - (net (rename zpuino_core_shl_output_28_ "zpuino/core/shl/output<28>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef Q (instanceRef zpuino_core_shl_output_28)) - ) - ) - (net (rename zpuino_core_shl_output_29_ "zpuino/core/shl/output<29>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef Q (instanceRef zpuino_core_shl_output_29)) - ) - ) - (net (rename zpuino_core_shl_output_3_ "zpuino/core/shl/output<3>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - (portRef Q (instanceRef zpuino_core_shl_output_3)) - ) - ) - (net (rename zpuino_core_shl_output_30_ "zpuino/core/shl/output<30>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef Q (instanceRef zpuino_core_shl_output_30)) - ) - ) - (net (rename zpuino_core_shl_output_31_ "zpuino/core/shl/output<31>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef Q (instanceRef zpuino_core_shl_output_31)) - ) - ) - (net (rename zpuino_core_shl_output_4_ "zpuino/core/shl/output<4>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - (portRef Q (instanceRef zpuino_core_shl_output_4)) - ) - ) - (net (rename zpuino_core_shl_output_5_ "zpuino/core/shl/output<5>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - (portRef Q (instanceRef zpuino_core_shl_output_5)) - ) - ) - (net (rename zpuino_core_shl_output_6_ "zpuino/core/shl/output<6>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - (portRef Q (instanceRef zpuino_core_shl_output_6)) - ) - ) - (net (rename zpuino_core_shl_output_7_ "zpuino/core/shl/output<7>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - (portRef Q (instanceRef zpuino_core_shl_output_7)) - ) - ) - (net (rename zpuino_core_shl_output_8_ "zpuino/core/shl/output<8>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef Q (instanceRef zpuino_core_shl_output_8)) - ) - ) - (net (rename zpuino_core_shl_output_9_ "zpuino/core/shl/output<9>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef Q (instanceRef zpuino_core_shl_output_9)) - ) - ) - (net (rename zpuino_core_shl_output_not0001 "zpuino/core/shl/output_not0001") - (joined - (portRef CEP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef CEP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef CEP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356)) - (portRef O (instanceRef zpuino_core_shl_output_not00011)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef CE (instanceRef zpuino_core_shl_output_2)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef CE (instanceRef zpuino_core_shl_output_0)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef CE (instanceRef zpuino_core_shl_output_1)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef CE (instanceRef zpuino_core_shl_output_3)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef CE (instanceRef zpuino_core_shl_output_4)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef CE (instanceRef zpuino_core_shl_output_5)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef CE (instanceRef zpuino_core_shl_output_6)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef CE (instanceRef zpuino_core_shl_output_7)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef CE (instanceRef zpuino_core_shl_output_8)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef CE (instanceRef zpuino_core_shl_output_9)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef CE (instanceRef zpuino_core_shl_output_10)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef CE (instanceRef zpuino_core_shl_output_11)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef CE (instanceRef zpuino_core_shl_output_12)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef CE (instanceRef zpuino_core_shl_output_13)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef CE (instanceRef zpuino_core_shl_output_14)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef CE (instanceRef zpuino_core_shl_output_15)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef CE (instanceRef zpuino_core_shl_output_16)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef CE (instanceRef zpuino_core_shl_output_19)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef CE (instanceRef zpuino_core_shl_output_17)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef CE (instanceRef zpuino_core_shl_output_18)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef CE (instanceRef zpuino_core_shl_output_20)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef CE (instanceRef zpuino_core_shl_output_21)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef CE (instanceRef zpuino_core_shl_output_22)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef CE (instanceRef zpuino_core_shl_output_23)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef CE (instanceRef zpuino_core_shl_output_24)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef CE (instanceRef zpuino_core_shl_output_25)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef CE (instanceRef zpuino_core_shl_output_26)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef CE (instanceRef zpuino_core_shl_output_27)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef CE (instanceRef zpuino_core_shl_output_28)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef CE (instanceRef zpuino_core_shl_output_29)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef CE (instanceRef zpuino_core_shl_output_30)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef CE (instanceRef zpuino_core_shl_output_31)) - ) - ) - (net (rename zpuino_core_stack_a_addr_10_215 "zpuino/core/stack_a_addr<10>215") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_240)) - ) - ) - (net (rename zpuino_core_stack_a_addr_10_236 "zpuino/core/stack_a_addr<10>236") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_236_renamed_1222)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_240)) - ) - ) - (net (rename zpuino_core_stack_a_addr_10_4 "zpuino/core/stack_a_addr<10>4") - (joined - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_14)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net (rename zpuino_core_stack_a_addr_2_4 "zpuino/core/stack_a_addr<2>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_2_14)) - ) - ) - (net (rename zpuino_core_stack_a_addr_2_5 "zpuino/core/stack_a_addr<2>5") - (joined - (portRef I3 (instanceRef zpuino_core_stack_a_addr_2_14)) - (portRef O (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - ) - ) - (net (rename zpuino_core_stack_a_addr_3_4 "zpuino/core/stack_a_addr<3>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_3_14)) - ) - ) - (net (rename zpuino_core_stack_a_addr_3_5 "zpuino/core/stack_a_addr<3>5") - (joined - (portRef I3 (instanceRef zpuino_core_stack_a_addr_3_14)) - (portRef O (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - ) - ) - (net (rename zpuino_core_stack_a_addr_4_4 "zpuino/core/stack_a_addr<4>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_4_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_4_9 "zpuino/core/stack_a_addr<4>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_4_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - ) - ) - (net (rename zpuino_core_stack_a_addr_5_4 "zpuino/core/stack_a_addr<5>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_5_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_5_9 "zpuino/core/stack_a_addr<5>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_5_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - ) - ) - (net (rename zpuino_core_stack_a_addr_6_4 "zpuino/core/stack_a_addr<6>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_6_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_6_9 "zpuino/core/stack_a_addr<6>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_6_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - ) - ) - (net (rename zpuino_core_stack_a_addr_7_4 "zpuino/core/stack_a_addr<7>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_7_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_7_9 "zpuino/core/stack_a_addr<7>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_7_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - ) - ) - (net (rename zpuino_core_stack_a_addr_8_4 "zpuino/core/stack_a_addr<8>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_8_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_8_9 "zpuino/core/stack_a_addr<8>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_8_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - ) - ) - (net (rename zpuino_core_stack_a_addr_9_4 "zpuino/core/stack_a_addr<9>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_9_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_9_9 "zpuino/core/stack_a_addr<9>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_9_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_0_ "zpuino/core/stack_a_addr_addsub0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_0__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_1_ "zpuino/core/stack_a_addr_addsub0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_1__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_2_ "zpuino/core/stack_a_addr_addsub0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_2__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_3_ "zpuino/core/stack_a_addr_addsub0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_3__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_4_ "zpuino/core/stack_a_addr_addsub0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_4__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_5_ "zpuino/core/stack_a_addr_addsub0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_5__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_6_ "zpuino/core/stack_a_addr_addsub0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_6__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_7_ "zpuino/core/stack_a_addr_addsub0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_7__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_8_ "zpuino/core/stack_a_addr_addsub0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - ) - ) - (net (rename zpuino_core_stack_a_addr_or0000 "zpuino/core/stack_a_addr_or0000") - (joined - (portRef I2 (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - (portRef I2 (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef O (instanceRef zpuino_core_stack_a_addr_or00001)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - (portRef S (instanceRef zpuino_core_stack_a_write_0_1_f5)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_11)) - ) - ) - (net (rename zpuino_core_stack_a_write_0_1 "zpuino/core/stack_a_write<0>1") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_1_f5)) - ) - ) - (net (rename zpuino_core_stack_a_write_0_11 "zpuino/core/stack_a_write<0>11") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0_12)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_1_f5)) - ) - ) - (net (rename zpuino_core_stack_a_write_31_13 "zpuino/core/stack_a_write<31>13") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_31_29)) - ) - ) - (net (rename zpuino_core_stack_a_write_31_2 "zpuino/core/stack_a_write<31>2") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_31_2_renamed_1243)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_31_29)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_0_ "zpuino/core/stack_b_addr_add0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_0__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_2_1)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43_SW0)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_1_ "zpuino/core/stack_b_addr_add0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_1__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_3_1)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_2_ "zpuino/core/stack_b_addr_add0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_2__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_4_1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_3_ "zpuino/core/stack_b_addr_add0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_3__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_5_1)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_70_renamed_1235)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_4_ "zpuino/core/stack_b_addr_add0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_4__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_6_1)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_6_88_f5)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_5_ "zpuino/core/stack_b_addr_add0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_5__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_7_1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_6_ "zpuino/core/stack_b_addr_add0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_6__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_8_1)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_7_ "zpuino/core/stack_b_addr_add0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_7__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_9_1)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_8_ "zpuino/core/stack_b_addr_add0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_10_1)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_G)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_0_ "zpuino/core/stack_b_addr_addsub0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_0__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_2_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_1_ "zpuino/core/stack_b_addr_addsub0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_1__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_3_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_2_ "zpuino/core/stack_b_addr_addsub0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_2__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_4_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_3_ "zpuino/core/stack_b_addr_addsub0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_3__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_5_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_4_ "zpuino/core/stack_b_addr_addsub0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_4__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_6_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_5_ "zpuino/core/stack_b_addr_addsub0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_5__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_7_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_6_ "zpuino/core/stack_b_addr_addsub0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_6__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_8_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_7_ "zpuino/core/stack_b_addr_addsub0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_7__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_9_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_8_ "zpuino/core/stack_b_addr_addsub0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_10_1)) - ) - ) - (net (rename zpuino_core_stack_b_enable20 "zpuino/core/stack_b_enable20") - (joined - (portRef O (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I2 (instanceRef zpuino_core_stack_b_enable31_renamed_1142)) - ) - ) - (net (rename zpuino_core_stack_b_enable31 "zpuino/core/stack_b_enable31") - (joined - (portRef O (instanceRef zpuino_core_stack_b_enable31_renamed_1142)) - (portRef I3 (instanceRef zpuino_core_stack_b_enable40)) - ) - ) - (net (rename zpuino_core_stack_b_enable7 "zpuino/core/stack_b_enable7") - (joined - (portRef O (instanceRef zpuino_core_stack_b_enable7_renamed_1140)) - (portRef I1 (instanceRef zpuino_core_stack_b_enable31_renamed_1142)) - ) - ) - (net (rename zpuino_core_tOpcode_0_ "zpuino/core/tOpcode<0>") - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_0_29)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I3 (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_F)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux0000121)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354)) - (portRef I0 (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - (portRef I1 (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0)) - ) - ) - (net (rename zpuino_core_tOpcode_1_ "zpuino/core/tOpcode<1>") - (joined - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux0000121)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_1_29)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - (portRef S (instanceRef zpuino_core_sampledStackOperation_or000382)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354)) - (portRef I2 (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000311)) - (portRef I0 (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - (portRef I0 (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0)) - ) - ) - (net (rename zpuino_core_tOpcode_2_ "zpuino/core/tOpcode<2>") - (joined - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_2_29)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I2 (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_F)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux0000121)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354)) - (portRef I1 (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000311)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - (portRef I2 (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000331)) - (portRef I3 (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - ) - ) - (net (rename zpuino_core_tOpcode_3_ "zpuino/core/tOpcode<3>") - (joined - (portRef I3 (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_3_29)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef S (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19__SW0)) - (portRef I3 (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I2 (instanceRef zpuino_core_sampledStackOperation_or000311)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - (portRef I0 (instanceRef zpuino_core_sampledTosSource_cmp_eq00031)) - (portRef I3 (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - (portRef I1 (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - ) - ) - (net (rename zpuino_core_tOpcode_4_ "zpuino/core/tOpcode<4>") - (joined - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_4_29)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef S (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef S (instanceRef zpuino_core_decr_tosSource_mux0000_9_13_f5)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW02)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30__SW0)) - (portRef I2 (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_core_sampledTosSource_cmp_eq00042)) - (portRef I1 (instanceRef zpuino_core_sampledTosSource_cmp_eq00031)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000331)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - (portRef I2 (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - ) - ) - (net (rename zpuino_core_tOpcode_5_ "zpuino/core/tOpcode<5>") - (joined - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_5_29)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_11)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - (portRef I3 (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - (portRef I1 (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net (rename zpuino_core_tOpcode_6_ "zpuino/core/tOpcode<6>") - (joined - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_11)) - (portRef I1 (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_6_29)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef S (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - (portRef I1 (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net (rename zpuino_core_tOpcode_7_ "zpuino/core/tOpcode<7>") - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_7_29)) - (portRef I3 (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I0 (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - ) - ) - (net (rename zpuino_core_w1_nos_save_0_mux0000 "zpuino/core/w1_nos_save_0_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_0_renamed_95)) - (portRef (member A 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_0_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_0_mux000013 "zpuino/core/w1_nos_save_0_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_0_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_10_mux0000 "zpuino/core/w1_nos_save_10_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_10_renamed_105)) - (portRef (member A 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_10_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_10_mux000013 "zpuino/core/w1_nos_save_10_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_10_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_11_mux0000 "zpuino/core/w1_nos_save_11_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_11_renamed_106)) - (portRef (member A 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_11_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_11_mux000013 "zpuino/core/w1_nos_save_11_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_11_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_12_mux0000 "zpuino/core/w1_nos_save_12_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_12_renamed_107)) - (portRef (member A 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_12_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_12_mux000013 "zpuino/core/w1_nos_save_12_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_12_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_13_mux0000 "zpuino/core/w1_nos_save_13_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_13_renamed_108)) - (portRef (member A 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_13_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_13_mux000013 "zpuino/core/w1_nos_save_13_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_13_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_14_mux0000 "zpuino/core/w1_nos_save_14_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_14_renamed_109)) - (portRef (member A 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_14_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_14_mux000013 "zpuino/core/w1_nos_save_14_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_14_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_15_mux0000 "zpuino/core/w1_nos_save_15_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_15_renamed_110)) - (portRef (member A 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_15_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_15_mux000013 "zpuino/core/w1_nos_save_15_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_15_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_16_mux0000 "zpuino/core/w1_nos_save_16_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_16_renamed_111)) - (portRef (member A 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_16_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_16_mux000013 "zpuino/core/w1_nos_save_16_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_16_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_17_mux0000 "zpuino/core/w1_nos_save_17_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_17_renamed_112)) - (portRef (member A 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_17_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_17_mux000013 "zpuino/core/w1_nos_save_17_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_17_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_18_mux0000 "zpuino/core/w1_nos_save_18_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_18_renamed_113)) - (portRef (member A 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_18_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_18_mux000013 "zpuino/core/w1_nos_save_18_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_18_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_19_mux0000 "zpuino/core/w1_nos_save_19_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_19_renamed_114)) - (portRef (member A 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_19_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_19_mux000013 "zpuino/core/w1_nos_save_19_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_19_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_1_mux0000 "zpuino/core/w1_nos_save_1_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_1_renamed_96)) - (portRef (member A 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_1_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_1_mux000013 "zpuino/core/w1_nos_save_1_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_1_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_20_mux0000 "zpuino/core/w1_nos_save_20_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_20_renamed_115)) - (portRef (member A 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_20_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_20_mux000013 "zpuino/core/w1_nos_save_20_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_20_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_21_mux0000 "zpuino/core/w1_nos_save_21_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_21_renamed_116)) - (portRef (member A 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_21_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_21_mux000013 "zpuino/core/w1_nos_save_21_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_21_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_22_mux0000 "zpuino/core/w1_nos_save_22_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_22_renamed_117)) - (portRef (member A 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_22_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_22_mux000013 "zpuino/core/w1_nos_save_22_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_22_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_23_mux0000 "zpuino/core/w1_nos_save_23_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_23_renamed_118)) - (portRef (member A 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_23_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_23_mux000013 "zpuino/core/w1_nos_save_23_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_23_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_24_mux0000 "zpuino/core/w1_nos_save_24_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_24_renamed_119)) - (portRef (member A 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_24_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_24_mux000013 "zpuino/core/w1_nos_save_24_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_24_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_25_mux0000 "zpuino/core/w1_nos_save_25_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_25_renamed_120)) - (portRef (member A 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_25_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_25_mux000013 "zpuino/core/w1_nos_save_25_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_25_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_26_mux0000 "zpuino/core/w1_nos_save_26_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_26_renamed_121)) - (portRef (member A 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_26_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_26_mux000013 "zpuino/core/w1_nos_save_26_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_26_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_27_mux0000 "zpuino/core/w1_nos_save_27_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_27_renamed_122)) - (portRef (member A 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_27_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_27_mux000013 "zpuino/core/w1_nos_save_27_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_27_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_28_mux0000 "zpuino/core/w1_nos_save_28_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_28_renamed_123)) - (portRef (member A 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_28_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_28_mux000013 "zpuino/core/w1_nos_save_28_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_28_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_29_mux0000 "zpuino/core/w1_nos_save_29_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_29_renamed_124)) - (portRef (member A 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_29_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_29_mux000013 "zpuino/core/w1_nos_save_29_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_29_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_2_mux0000 "zpuino/core/w1_nos_save_2_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_2_renamed_97)) - (portRef (member A 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_2_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_2_mux000013 "zpuino/core/w1_nos_save_2_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_2_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_30_mux0000 "zpuino/core/w1_nos_save_30_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_30_renamed_125)) - (portRef (member A 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_30_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_30_mux000013 "zpuino/core/w1_nos_save_30_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_30_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_31_mux0000 "zpuino/core/w1_nos_save_31_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_31_renamed_126)) - (portRef (member A 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef (member A 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef (member A 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef (member A 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_31_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_31_mux000013 "zpuino/core/w1_nos_save_31_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_31_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_3_mux0000 "zpuino/core/w1_nos_save_3_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_3_renamed_98)) - (portRef (member A 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_3_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_3_mux000013 "zpuino/core/w1_nos_save_3_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_3_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_4_mux0000 "zpuino/core/w1_nos_save_4_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_4_renamed_99)) - (portRef (member A 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_4_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_4_mux000013 "zpuino/core/w1_nos_save_4_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_4_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_5_mux0000 "zpuino/core/w1_nos_save_5_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_5_renamed_100)) - (portRef (member A 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_5_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_5_mux000013 "zpuino/core/w1_nos_save_5_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_5_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_6_mux0000 "zpuino/core/w1_nos_save_6_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_6_renamed_101)) - (portRef (member A 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_6_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_6_mux000013 "zpuino/core/w1_nos_save_6_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_6_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_7_mux0000 "zpuino/core/w1_nos_save_7_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_7_renamed_102)) - (portRef (member A 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_7_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_7_mux000013 "zpuino/core/w1_nos_save_7_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_7_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_8_mux0000 "zpuino/core/w1_nos_save_8_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_8_renamed_103)) - (portRef (member A 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_8_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_8_mux000013 "zpuino/core/w1_nos_save_8_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_8_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_9_mux0000 "zpuino/core/w1_nos_save_9_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_9_renamed_104)) - (portRef (member A 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_9_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_9_mux000013 "zpuino/core/w1_nos_save_9_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_9_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002 "zpuino/core/w1_tos_0_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_0_renamed_46)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002207)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002101 "zpuino/core/w1_tos_0_mux0002101") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002125 "zpuino/core/w1_tos_0_mux0002125") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000214 "zpuino/core/w1_tos_0_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002149 "zpuino/core/w1_tos_0_mux0002149") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002158 "zpuino/core/w1_tos_0_mux0002158") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002158_renamed_1682)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002173 "zpuino/core/w1_tos_0_mux0002173") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002207)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002182 "zpuino/core/w1_tos_0_mux0002182") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002207)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002182_renamed_1878)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000223 "zpuino/core/w1_tos_0_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000235 "zpuino/core/w1_tos_0_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000236 "zpuino/core/w1_tos_0_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000236_renamed_2162)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024 "zpuino/core/w1_tos_0_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024120 "zpuino/core/w1_tos_0_mux00024120") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00024120_f5)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000241103)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000241201 "zpuino/core/w1_tos_0_mux000241201") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024120_f5)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024135 "zpuino/core/w1_tos_0_mux00024135") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000241103_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024161 "zpuino/core/w1_tos_0_mux00024161") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000241103_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024174 "zpuino/core/w1_tos_0_mux00024174") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000241103_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000242 "zpuino/core/w1_tos_0_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00025 "zpuino/core/w1_tos_0_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00025125 "zpuino/core/w1_tos_0_mux00025125") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000256 "zpuino/core/w1_tos_0_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000268 "zpuino/core/w1_tos_0_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002207)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000281 "zpuino/core/w1_tos_0_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000289 "zpuino/core/w1_tos_0_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux0002 "zpuino/core/w1_tos_10_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_10_renamed_56)) - (portRef O (instanceRef zpuino_core_w1_tos_10_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux00020 "zpuino/core/w1_tos_10_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000210 "zpuino/core/w1_tos_10_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux0002119 "zpuino/core/w1_tos_10_mux0002119") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux0002143 "zpuino/core/w1_tos_10_mux0002143") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002164)) - (portRef LO (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000226 "zpuino/core/w1_tos_10_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - (portRef LO (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000239 "zpuino/core/w1_tos_10_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000251 "zpuino/core/w1_tos_10_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000264 "zpuino/core/w1_tos_10_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000272 "zpuino/core/w1_tos_10_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000285 "zpuino/core/w1_tos_10_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000286 "zpuino/core/w1_tos_10_mux000286") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000286_renamed_1536)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000294 "zpuino/core/w1_tos_10_mux000294") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002 "zpuino/core/w1_tos_11_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_11_renamed_57)) - (portRef O (instanceRef zpuino_core_w1_tos_11_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux00020 "zpuino/core/w1_tos_11_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000210 "zpuino/core/w1_tos_11_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002101 "zpuino/core/w1_tos_11_mux0002101") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002111 "zpuino/core/w1_tos_11_mux0002111") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002126 "zpuino/core/w1_tos_11_mux0002126") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - (portRef LO (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002149 "zpuino/core/w1_tos_11_mux0002149") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000226 "zpuino/core/w1_tos_11_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - (portRef LO (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000239 "zpuino/core/w1_tos_11_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000251 "zpuino/core/w1_tos_11_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000264 "zpuino/core/w1_tos_11_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000273_renamed_1554)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000272 "zpuino/core/w1_tos_11_mux000272") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000273_renamed_1554)) - (portRef LO (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000273 "zpuino/core/w1_tos_11_mux000273") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000273_renamed_1554)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000285 "zpuino/core/w1_tos_11_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002 "zpuino/core/w1_tos_12_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_12_renamed_58)) - (portRef O (instanceRef zpuino_core_w1_tos_12_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux00020 "zpuino/core/w1_tos_12_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000210 "zpuino/core/w1_tos_12_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002101 "zpuino/core/w1_tos_12_mux0002101") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002111 "zpuino/core/w1_tos_12_mux0002111") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - (portRef O (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002126 "zpuino/core/w1_tos_12_mux0002126") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002149 "zpuino/core/w1_tos_12_mux0002149") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002158)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000226 "zpuino/core/w1_tos_12_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000239 "zpuino/core/w1_tos_12_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000251 "zpuino/core/w1_tos_12_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000264 "zpuino/core/w1_tos_12_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000273_renamed_1561)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000272 "zpuino/core/w1_tos_12_mux000272") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000273_renamed_1561)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000273 "zpuino/core/w1_tos_12_mux000273") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000273_renamed_1561)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000285 "zpuino/core/w1_tos_12_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002 "zpuino/core/w1_tos_13_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_13_renamed_59)) - (portRef O (instanceRef zpuino_core_w1_tos_13_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux00020 "zpuino/core/w1_tos_13_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000210 "zpuino/core/w1_tos_13_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002101 "zpuino/core/w1_tos_13_mux0002101") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002111 "zpuino/core/w1_tos_13_mux0002111") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002126 "zpuino/core/w1_tos_13_mux0002126") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - (portRef LO (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002149 "zpuino/core/w1_tos_13_mux0002149") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000226 "zpuino/core/w1_tos_13_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - (portRef LO (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000239 "zpuino/core/w1_tos_13_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000251 "zpuino/core/w1_tos_13_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000264 "zpuino/core/w1_tos_13_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000273_renamed_1567)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000272 "zpuino/core/w1_tos_13_mux000272") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000273_renamed_1567)) - (portRef LO (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000273 "zpuino/core/w1_tos_13_mux000273") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000273_renamed_1567)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000285 "zpuino/core/w1_tos_13_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux0002 "zpuino/core/w1_tos_14_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_14_renamed_60)) - (portRef O (instanceRef zpuino_core_w1_tos_14_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux00020 "zpuino/core/w1_tos_14_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000210 "zpuino/core/w1_tos_14_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux0002108 "zpuino/core/w1_tos_14_mux0002108") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux0002131 "zpuino/core/w1_tos_14_mux0002131") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux0002140)) - (portRef LO (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000226 "zpuino/core/w1_tos_14_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - (portRef LO (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000239 "zpuino/core/w1_tos_14_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000251 "zpuino/core/w1_tos_14_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000264 "zpuino/core/w1_tos_14_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000272 "zpuino/core/w1_tos_14_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000284 "zpuino/core/w1_tos_14_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000292 "zpuino/core/w1_tos_14_mux000292") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux0002 "zpuino/core/w1_tos_15_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_15_renamed_61)) - (portRef O (instanceRef zpuino_core_w1_tos_15_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux00020 "zpuino/core/w1_tos_15_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000210 "zpuino/core/w1_tos_15_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux0002108 "zpuino/core/w1_tos_15_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - (portRef LO (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux0002131 "zpuino/core/w1_tos_15_mux0002131") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000226 "zpuino/core/w1_tos_15_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - (portRef LO (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000239 "zpuino/core/w1_tos_15_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000251 "zpuino/core/w1_tos_15_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000264 "zpuino/core/w1_tos_15_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000272 "zpuino/core/w1_tos_15_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000284 "zpuino/core/w1_tos_15_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000292 "zpuino/core/w1_tos_15_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux0002 "zpuino/core/w1_tos_16_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_16_renamed_62)) - (portRef O (instanceRef zpuino_core_w1_tos_16_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux00020 "zpuino/core/w1_tos_16_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000210 "zpuino/core/w1_tos_16_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux0002103 "zpuino/core/w1_tos_16_mux0002103") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux0002127 "zpuino/core/w1_tos_16_mux0002127") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux0002136)) - (portRef LO (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000226 "zpuino/core/w1_tos_16_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - (portRef LO (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000239 "zpuino/core/w1_tos_16_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000251 "zpuino/core/w1_tos_16_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000267 "zpuino/core/w1_tos_16_mux000267") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000270 "zpuino/core/w1_tos_16_mux000270") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000270_renamed_1586)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000281 "zpuino/core/w1_tos_16_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000288 "zpuino/core/w1_tos_16_mux000288") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux0002 "zpuino/core/w1_tos_17_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_17_renamed_63)) - (portRef O (instanceRef zpuino_core_w1_tos_17_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux00020 "zpuino/core/w1_tos_17_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000210 "zpuino/core/w1_tos_17_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux0002108 "zpuino/core/w1_tos_17_mux0002108") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux0002131 "zpuino/core/w1_tos_17_mux0002131") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux0002140)) - (portRef LO (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000226 "zpuino/core/w1_tos_17_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - (portRef LO (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000239 "zpuino/core/w1_tos_17_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000251 "zpuino/core/w1_tos_17_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000264 "zpuino/core/w1_tos_17_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000272 "zpuino/core/w1_tos_17_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000284 "zpuino/core/w1_tos_17_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000292 "zpuino/core/w1_tos_17_mux000292") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux0002 "zpuino/core/w1_tos_18_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_18_renamed_64)) - (portRef O (instanceRef zpuino_core_w1_tos_18_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux00020 "zpuino/core/w1_tos_18_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000210 "zpuino/core/w1_tos_18_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux0002108 "zpuino/core/w1_tos_18_mux0002108") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux0002131 "zpuino/core/w1_tos_18_mux0002131") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux0002140)) - (portRef LO (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000226 "zpuino/core/w1_tos_18_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - (portRef LO (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000239 "zpuino/core/w1_tos_18_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000251 "zpuino/core/w1_tos_18_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000264 "zpuino/core/w1_tos_18_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000272 "zpuino/core/w1_tos_18_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000284 "zpuino/core/w1_tos_18_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000292 "zpuino/core/w1_tos_18_mux000292") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux0002 "zpuino/core/w1_tos_19_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_19_renamed_65)) - (portRef O (instanceRef zpuino_core_w1_tos_19_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux00020 "zpuino/core/w1_tos_19_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000210 "zpuino/core/w1_tos_19_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux0002103 "zpuino/core/w1_tos_19_mux0002103") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - (portRef LO (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux0002127 "zpuino/core/w1_tos_19_mux0002127") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000226 "zpuino/core/w1_tos_19_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - (portRef LO (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000239 "zpuino/core/w1_tos_19_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000251 "zpuino/core/w1_tos_19_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000267 "zpuino/core/w1_tos_19_mux000267") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000270 "zpuino/core/w1_tos_19_mux000270") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000270_renamed_1604)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000281 "zpuino/core/w1_tos_19_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000288 "zpuino/core/w1_tos_19_mux000288") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - ) - ) - (net (rename zpuino_core_w1_tos_1_cmp_eq0000 "zpuino/core/w1_tos_1_cmp_eq0000") - (joined - (portRef I1 (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_11_11)) - ) - ) - (net (rename zpuino_core_w1_tos_1_cmp_eq0001 "zpuino/core/w1_tos_1_cmp_eq0001") - (joined - (portRef I1 (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_10_21)) - ) - ) - (net (rename zpuino_core_w1_tos_1_cmp_eq0002 "zpuino/core/w1_tos_1_cmp_eq0002") - (joined - (portRef I1 (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_13_11)) - ) - ) - (net (rename zpuino_core_w1_tos_1_cmp_eq0003 "zpuino/core/w1_tos_1_cmp_eq0003") - (joined - (portRef I1 (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_0_21)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002 "zpuino/core/w1_tos_1_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_1_renamed_47)) - (portRef O (instanceRef zpuino_core_w1_tos_1_mux0002173)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002117 "zpuino/core/w1_tos_1_mux0002117") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002126 "zpuino/core/w1_tos_1_mux0002126") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000214 "zpuino/core/w1_tos_1_mux000214") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002141 "zpuino/core/w1_tos_1_mux0002141") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002164 "zpuino/core/w1_tos_1_mux0002164") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002173)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000223 "zpuino/core/w1_tos_1_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000235 "zpuino/core/w1_tos_1_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000236 "zpuino/core/w1_tos_1_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000236_renamed_2152)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux00024 "zpuino/core/w1_tos_1_mux00024") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000242 "zpuino/core/w1_tos_1_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux00025 "zpuino/core/w1_tos_1_mux00025") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000256 "zpuino/core/w1_tos_1_mux000256") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000268 "zpuino/core/w1_tos_1_mux000268") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002173)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000281 "zpuino/core/w1_tos_1_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000284 "zpuino/core/w1_tos_1_mux000284") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000292 "zpuino/core/w1_tos_1_mux000292") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000296_renamed_1983)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000296 "zpuino/core/w1_tos_1_mux000296") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000296_renamed_1983)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux0002 "zpuino/core/w1_tos_20_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_20_renamed_66)) - (portRef O (instanceRef zpuino_core_w1_tos_20_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux00020 "zpuino/core/w1_tos_20_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000210 "zpuino/core/w1_tos_20_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux0002108 "zpuino/core/w1_tos_20_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - (portRef LO (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux0002131 "zpuino/core/w1_tos_20_mux0002131") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000226 "zpuino/core/w1_tos_20_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - (portRef LO (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000239 "zpuino/core/w1_tos_20_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000251 "zpuino/core/w1_tos_20_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000264 "zpuino/core/w1_tos_20_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000272 "zpuino/core/w1_tos_20_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000284 "zpuino/core/w1_tos_20_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000292 "zpuino/core/w1_tos_20_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux0002 "zpuino/core/w1_tos_21_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_21_renamed_67)) - (portRef O (instanceRef zpuino_core_w1_tos_21_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux00020 "zpuino/core/w1_tos_21_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000210 "zpuino/core/w1_tos_21_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux0002108 "zpuino/core/w1_tos_21_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - (portRef LO (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux0002131 "zpuino/core/w1_tos_21_mux0002131") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000226 "zpuino/core/w1_tos_21_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - (portRef LO (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000239 "zpuino/core/w1_tos_21_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000251 "zpuino/core/w1_tos_21_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000264 "zpuino/core/w1_tos_21_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000272 "zpuino/core/w1_tos_21_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000284 "zpuino/core/w1_tos_21_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000292 "zpuino/core/w1_tos_21_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux0002 "zpuino/core/w1_tos_22_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_22_renamed_68)) - (portRef O (instanceRef zpuino_core_w1_tos_22_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux00020 "zpuino/core/w1_tos_22_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000210 "zpuino/core/w1_tos_22_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux0002108 "zpuino/core/w1_tos_22_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - (portRef LO (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux0002131 "zpuino/core/w1_tos_22_mux0002131") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000226 "zpuino/core/w1_tos_22_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - (portRef LO (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000239 "zpuino/core/w1_tos_22_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000251 "zpuino/core/w1_tos_22_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000264 "zpuino/core/w1_tos_22_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000272 "zpuino/core/w1_tos_22_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000284 "zpuino/core/w1_tos_22_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000292 "zpuino/core/w1_tos_22_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux0002 "zpuino/core/w1_tos_23_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_23_renamed_69)) - (portRef O (instanceRef zpuino_core_w1_tos_23_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux00020 "zpuino/core/w1_tos_23_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000210 "zpuino/core/w1_tos_23_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux0002108 "zpuino/core/w1_tos_23_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000226 "zpuino/core/w1_tos_23_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - (portRef LO (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000239 "zpuino/core/w1_tos_23_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000251 "zpuino/core/w1_tos_23_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000264 "zpuino/core/w1_tos_23_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000272 "zpuino/core/w1_tos_23_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000284 "zpuino/core/w1_tos_23_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000292 "zpuino/core/w1_tos_23_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux0002 "zpuino/core/w1_tos_24_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_24_renamed_70)) - (portRef O (instanceRef zpuino_core_w1_tos_24_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux00020 "zpuino/core/w1_tos_24_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000210 "zpuino/core/w1_tos_24_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux0002108 "zpuino/core/w1_tos_24_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000226 "zpuino/core/w1_tos_24_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - (portRef LO (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000239 "zpuino/core/w1_tos_24_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000251 "zpuino/core/w1_tos_24_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000264 "zpuino/core/w1_tos_24_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000272 "zpuino/core/w1_tos_24_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000284 "zpuino/core/w1_tos_24_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000292 "zpuino/core/w1_tos_24_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux0002 "zpuino/core/w1_tos_25_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_25_renamed_71)) - (portRef O (instanceRef zpuino_core_w1_tos_25_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux00020 "zpuino/core/w1_tos_25_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000210 "zpuino/core/w1_tos_25_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux0002108 "zpuino/core/w1_tos_25_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000226 "zpuino/core/w1_tos_25_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - (portRef LO (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000239 "zpuino/core/w1_tos_25_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000251 "zpuino/core/w1_tos_25_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000264 "zpuino/core/w1_tos_25_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000272 "zpuino/core/w1_tos_25_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000284 "zpuino/core/w1_tos_25_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000292 "zpuino/core/w1_tos_25_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux0002 "zpuino/core/w1_tos_26_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_26_renamed_72)) - (portRef O (instanceRef zpuino_core_w1_tos_26_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux00020 "zpuino/core/w1_tos_26_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000210 "zpuino/core/w1_tos_26_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux0002108 "zpuino/core/w1_tos_26_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000226 "zpuino/core/w1_tos_26_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - (portRef LO (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000239 "zpuino/core/w1_tos_26_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000251 "zpuino/core/w1_tos_26_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000264 "zpuino/core/w1_tos_26_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000272 "zpuino/core/w1_tos_26_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000284 "zpuino/core/w1_tos_26_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000292 "zpuino/core/w1_tos_26_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux0002 "zpuino/core/w1_tos_27_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_27_renamed_73)) - (portRef O (instanceRef zpuino_core_w1_tos_27_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000210 "zpuino/core/w1_tos_27_mux000210") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux0002108 "zpuino/core/w1_tos_27_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000226 "zpuino/core/w1_tos_27_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux00023 "zpuino/core/w1_tos_27_mux00023") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux00023_renamed_2132)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000239 "zpuino/core/w1_tos_27_mux000239") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef LO (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000251 "zpuino/core/w1_tos_27_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000264 "zpuino/core/w1_tos_27_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000272 "zpuino/core/w1_tos_27_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000284 "zpuino/core/w1_tos_27_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000292 "zpuino/core/w1_tos_27_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux0002 "zpuino/core/w1_tos_28_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_28_renamed_74)) - (portRef O (instanceRef zpuino_core_w1_tos_28_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux00020 "zpuino/core/w1_tos_28_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000210 "zpuino/core/w1_tos_28_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux0002108 "zpuino/core/w1_tos_28_mux0002108") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000226 "zpuino/core/w1_tos_28_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - (portRef LO (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000239 "zpuino/core/w1_tos_28_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000251 "zpuino/core/w1_tos_28_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000264 "zpuino/core/w1_tos_28_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000272 "zpuino/core/w1_tos_28_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000284 "zpuino/core/w1_tos_28_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000292 "zpuino/core/w1_tos_28_mux000292") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux0002 "zpuino/core/w1_tos_29_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_29_renamed_75)) - (portRef O (instanceRef zpuino_core_w1_tos_29_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux00020 "zpuino/core/w1_tos_29_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000210 "zpuino/core/w1_tos_29_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux0002108 "zpuino/core/w1_tos_29_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000226 "zpuino/core/w1_tos_29_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - (portRef LO (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000239 "zpuino/core/w1_tos_29_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000251 "zpuino/core/w1_tos_29_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000264 "zpuino/core/w1_tos_29_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000272 "zpuino/core/w1_tos_29_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000284 "zpuino/core/w1_tos_29_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000292 "zpuino/core/w1_tos_29_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002 "zpuino/core/w1_tos_2_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_2_renamed_48)) - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002102 "zpuino/core/w1_tos_2_mux0002102") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002124 "zpuino/core/w1_tos_2_mux0002124") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002133 "zpuino/core/w1_tos_2_mux0002133") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000214 "zpuino/core/w1_tos_2_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002148 "zpuino/core/w1_tos_2_mux0002148") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - (portRef LO (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002171 "zpuino/core/w1_tos_2_mux0002171") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000223 "zpuino/core/w1_tos_2_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000235 "zpuino/core/w1_tos_2_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000236 "zpuino/core/w1_tos_2_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000236_renamed_2154)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux00024 "zpuino/core/w1_tos_2_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef LO (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000242 "zpuino/core/w1_tos_2_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux00025 "zpuino/core/w1_tos_2_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef O (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000256 "zpuino/core/w1_tos_2_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - (portRef LO (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000268 "zpuino/core/w1_tos_2_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000281 "zpuino/core/w1_tos_2_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000289 "zpuino/core/w1_tos_2_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux0002 "zpuino/core/w1_tos_30_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_30_renamed_76)) - (portRef O (instanceRef zpuino_core_w1_tos_30_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux00020 "zpuino/core/w1_tos_30_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000210 "zpuino/core/w1_tos_30_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux0002108 "zpuino/core/w1_tos_30_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000226 "zpuino/core/w1_tos_30_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - (portRef LO (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000239 "zpuino/core/w1_tos_30_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000251 "zpuino/core/w1_tos_30_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000264 "zpuino/core/w1_tos_30_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000272 "zpuino/core/w1_tos_30_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000284 "zpuino/core/w1_tos_30_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000292 "zpuino/core/w1_tos_30_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux0002 "zpuino/core/w1_tos_31_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_31_renamed_77)) - (portRef O (instanceRef zpuino_core_w1_tos_31_mux0002144)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux00020 "zpuino/core/w1_tos_31_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000210 "zpuino/core/w1_tos_31_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux0002112 "zpuino/core/w1_tos_31_mux0002112") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000226 "zpuino/core/w1_tos_31_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - (portRef LO (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000239 "zpuino/core/w1_tos_31_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000251 "zpuino/core/w1_tos_31_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux0002144)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000266 "zpuino/core/w1_tos_31_mux000266") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000266_f5)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux0002661 "zpuino/core/w1_tos_31_mux0002661") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000266_f5)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000275 "zpuino/core/w1_tos_31_mux000275") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000288 "zpuino/core/w1_tos_31_mux000288") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000297 "zpuino/core/w1_tos_31_mux000297") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002 "zpuino/core/w1_tos_3_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_3_renamed_49)) - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002102 "zpuino/core/w1_tos_3_mux0002102") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002124 "zpuino/core/w1_tos_3_mux0002124") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002133 "zpuino/core/w1_tos_3_mux0002133") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000214 "zpuino/core/w1_tos_3_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002148 "zpuino/core/w1_tos_3_mux0002148") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - (portRef LO (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002171 "zpuino/core/w1_tos_3_mux0002171") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000223 "zpuino/core/w1_tos_3_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000235 "zpuino/core/w1_tos_3_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000236 "zpuino/core/w1_tos_3_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000236_renamed_2156)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux00024 "zpuino/core/w1_tos_3_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef LO (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000242 "zpuino/core/w1_tos_3_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux00025 "zpuino/core/w1_tos_3_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef O (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000256 "zpuino/core/w1_tos_3_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - (portRef LO (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000268 "zpuino/core/w1_tos_3_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000281 "zpuino/core/w1_tos_3_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000289 "zpuino/core/w1_tos_3_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002 "zpuino/core/w1_tos_4_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_4_renamed_50)) - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002102 "zpuino/core/w1_tos_4_mux0002102") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002124 "zpuino/core/w1_tos_4_mux0002124") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002133 "zpuino/core/w1_tos_4_mux0002133") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000214 "zpuino/core/w1_tos_4_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002148 "zpuino/core/w1_tos_4_mux0002148") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - (portRef LO (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002171 "zpuino/core/w1_tos_4_mux0002171") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000223 "zpuino/core/w1_tos_4_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000235 "zpuino/core/w1_tos_4_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000236 "zpuino/core/w1_tos_4_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000236_renamed_2158)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux00024 "zpuino/core/w1_tos_4_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef LO (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000242 "zpuino/core/w1_tos_4_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux00025 "zpuino/core/w1_tos_4_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef O (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000256 "zpuino/core/w1_tos_4_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - (portRef LO (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000268 "zpuino/core/w1_tos_4_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000281 "zpuino/core/w1_tos_4_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000289 "zpuino/core/w1_tos_4_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002 "zpuino/core/w1_tos_5_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_5_renamed_51)) - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002102 "zpuino/core/w1_tos_5_mux0002102") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002124 "zpuino/core/w1_tos_5_mux0002124") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002133 "zpuino/core/w1_tos_5_mux0002133") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000214 "zpuino/core/w1_tos_5_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002148 "zpuino/core/w1_tos_5_mux0002148") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - (portRef LO (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002171 "zpuino/core/w1_tos_5_mux0002171") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000223 "zpuino/core/w1_tos_5_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000235 "zpuino/core/w1_tos_5_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000236 "zpuino/core/w1_tos_5_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000236_renamed_2160)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux00024 "zpuino/core/w1_tos_5_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef LO (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000242 "zpuino/core/w1_tos_5_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux00025 "zpuino/core/w1_tos_5_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef O (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000256 "zpuino/core/w1_tos_5_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - (portRef LO (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000268 "zpuino/core/w1_tos_5_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000281 "zpuino/core/w1_tos_5_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000289 "zpuino/core/w1_tos_5_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002 "zpuino/core/w1_tos_6_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_6_renamed_52)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002185)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000210 "zpuino/core/w1_tos_6_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000210_renamed_1488)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002106 "zpuino/core/w1_tos_6_mux0002106") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002107 "zpuino/core/w1_tos_6_mux0002107") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002107_renamed_1495)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002115 "zpuino/core/w1_tos_6_mux0002115") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002140 "zpuino/core/w1_tos_6_mux0002140") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002164 "zpuino/core/w1_tos_6_mux0002164") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002185)) - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000237 "zpuino/core/w1_tos_6_mux000237") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000244 "zpuino/core/w1_tos_6_mux000244") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000260 "zpuino/core/w1_tos_6_mux000260") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux00027 "zpuino/core/w1_tos_6_mux00027") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000210_renamed_1488)) - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000272 "zpuino/core/w1_tos_6_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002185)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000285 "zpuino/core/w1_tos_6_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000293 "zpuino/core/w1_tos_6_mux000293") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002 "zpuino/core/w1_tos_7_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_7_renamed_53)) - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002185)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000210 "zpuino/core/w1_tos_7_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000210_renamed_1509)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002106 "zpuino/core/w1_tos_7_mux0002106") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002107 "zpuino/core/w1_tos_7_mux0002107") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002107_renamed_1515)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002115 "zpuino/core/w1_tos_7_mux0002115") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002140 "zpuino/core/w1_tos_7_mux0002140") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002164 "zpuino/core/w1_tos_7_mux0002164") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002185)) - (portRef LO (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000237 "zpuino/core/w1_tos_7_mux000237") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000244 "zpuino/core/w1_tos_7_mux000244") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - (portRef LO (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000260 "zpuino/core/w1_tos_7_mux000260") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux00027 "zpuino/core/w1_tos_7_mux00027") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000210_renamed_1509)) - (portRef LO (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000272 "zpuino/core/w1_tos_7_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002185)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000285 "zpuino/core/w1_tos_7_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000293 "zpuino/core/w1_tos_7_mux000293") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux0002 "zpuino/core/w1_tos_8_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_8_renamed_54)) - (portRef O (instanceRef zpuino_core_w1_tos_8_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux00020 "zpuino/core/w1_tos_8_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000210 "zpuino/core/w1_tos_8_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux0002119 "zpuino/core/w1_tos_8_mux0002119") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux0002143 "zpuino/core/w1_tos_8_mux0002143") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002164)) - (portRef LO (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000226 "zpuino/core/w1_tos_8_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - (portRef LO (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000239 "zpuino/core/w1_tos_8_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000251 "zpuino/core/w1_tos_8_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000264 "zpuino/core/w1_tos_8_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000272 "zpuino/core/w1_tos_8_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000285 "zpuino/core/w1_tos_8_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000286 "zpuino/core/w1_tos_8_mux000286") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000286_renamed_1522)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000294 "zpuino/core/w1_tos_8_mux000294") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux0002 "zpuino/core/w1_tos_9_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_9_renamed_55)) - (portRef O (instanceRef zpuino_core_w1_tos_9_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux00020 "zpuino/core/w1_tos_9_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000210 "zpuino/core/w1_tos_9_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux0002119 "zpuino/core/w1_tos_9_mux0002119") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux0002143 "zpuino/core/w1_tos_9_mux0002143") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002164)) - (portRef LO (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000226 "zpuino/core/w1_tos_9_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - (portRef LO (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000239 "zpuino/core/w1_tos_9_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000251 "zpuino/core/w1_tos_9_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000264 "zpuino/core/w1_tos_9_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000272 "zpuino/core/w1_tos_9_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000285 "zpuino/core/w1_tos_9_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000286 "zpuino/core/w1_tos_9_mux000286") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000286_renamed_1529)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000294 "zpuino/core/w1_tos_9_mux000294") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_0_ "zpuino/core/w1_tos_add0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_0__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002149_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_10_ "zpuino/core/w1_tos_add0000<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_10__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002143_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_11_ "zpuino/core/w1_tos_add0000<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_11__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_12_ "zpuino/core/w1_tos_add0000<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_12__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_13_ "zpuino/core/w1_tos_add0000<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_13__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_14_ "zpuino/core/w1_tos_add0000<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_14__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_15_ "zpuino/core/w1_tos_add0000<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_15__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_16_ "zpuino/core/w1_tos_add0000<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_16__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_17_ "zpuino/core/w1_tos_add0000<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_17__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_18_ "zpuino/core/w1_tos_add0000<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_18__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_19_ "zpuino/core/w1_tos_add0000<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_19__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_1_ "zpuino/core/w1_tos_add0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_20_ "zpuino/core/w1_tos_add0000<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_20__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_21_ "zpuino/core/w1_tos_add0000<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_21__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_22_ "zpuino/core/w1_tos_add0000<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_22__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_23_ "zpuino/core/w1_tos_add0000<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_23__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_24_ "zpuino/core/w1_tos_add0000<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_24__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_25_ "zpuino/core/w1_tos_add0000<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_25__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_26_ "zpuino/core/w1_tos_add0000<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_26__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_27_ "zpuino/core/w1_tos_add0000<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_27__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_28_ "zpuino/core/w1_tos_add0000<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_28__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_29_ "zpuino/core/w1_tos_add0000<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_29__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_2_ "zpuino/core/w1_tos_add0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_2__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_30_ "zpuino/core/w1_tos_add0000<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_30__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_31_ "zpuino/core/w1_tos_add0000<31>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_31__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_3_ "zpuino/core/w1_tos_add0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_3__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_4_ "zpuino/core/w1_tos_add0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_4__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_5_ "zpuino/core/w1_tos_add0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_5__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_6_ "zpuino/core/w1_tos_add0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_6__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002164_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_7_ "zpuino/core/w1_tos_add0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_7__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002164_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_8_ "zpuino/core/w1_tos_add0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_8__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002143_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_9_ "zpuino/core/w1_tos_add0000<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_9__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002143_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_0_ "zpuino/core/w1_tos_add0001<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_0__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_10_ "zpuino/core/w1_tos_add0001<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_10__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_11_ "zpuino/core/w1_tos_add0001<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_11__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_12_ "zpuino/core/w1_tos_add0001<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_12__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_13_ "zpuino/core/w1_tos_add0001<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_13__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_14_ "zpuino/core/w1_tos_add0001<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_14__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_15_ "zpuino/core/w1_tos_add0001<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_15__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_16_ "zpuino/core/w1_tos_add0001<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_16__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_17_ "zpuino/core/w1_tos_add0001<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_17__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_18_ "zpuino/core/w1_tos_add0001<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_18__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_19_ "zpuino/core/w1_tos_add0001<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_19__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_1_ "zpuino/core/w1_tos_add0001<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_20_ "zpuino/core/w1_tos_add0001<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_20__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_21_ "zpuino/core/w1_tos_add0001<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_21__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_22_ "zpuino/core/w1_tos_add0001<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_22__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_23_ "zpuino/core/w1_tos_add0001<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_23__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_24_ "zpuino/core/w1_tos_add0001<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_24__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_25_ "zpuino/core/w1_tos_add0001<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_25__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_26_ "zpuino/core/w1_tos_add0001<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_26__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_27_ "zpuino/core/w1_tos_add0001<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_27__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_28_ "zpuino/core/w1_tos_add0001<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_28__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_29_ "zpuino/core/w1_tos_add0001<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_29__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_2_ "zpuino/core/w1_tos_add0001<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_2__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_30_ "zpuino/core/w1_tos_add0001<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_30__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_31_ "zpuino/core/w1_tos_add0001<31>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_31__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_3_ "zpuino/core/w1_tos_add0001<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_3__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_4_ "zpuino/core/w1_tos_add0001<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_4__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_5_ "zpuino/core/w1_tos_add0001<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_5__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_6_ "zpuino/core/w1_tos_add0001<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_6__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_7_ "zpuino/core/w1_tos_add0001<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_7__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_8_ "zpuino/core/w1_tos_add0001<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_8__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_9_ "zpuino/core/w1_tos_add0001<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_9__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - ) - ) - (net (rename zpuino_core_wroteback_q "zpuino/core/wroteback_q") - (joined - (portRef Q (instanceRef zpuino_core_wroteback_q_renamed_127)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000108)) - (portRef I0 (instanceRef zpuino_core_nos_31_1)) - (portRef I0 (instanceRef zpuino_core_nos_27_1)) - (portRef I0 (instanceRef zpuino_core_nos_5_1)) - (portRef I0 (instanceRef zpuino_core_nos_4_1)) - (portRef I0 (instanceRef zpuino_core_nos_3_1)) - (portRef I0 (instanceRef zpuino_core_nos_2_1)) - (portRef I0 (instanceRef zpuino_core_nos_1_1)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - (portRef I0 (instanceRef zpuino_core_nos_30_1)) - (portRef I0 (instanceRef zpuino_core_nos_29_1)) - (portRef I0 (instanceRef zpuino_core_nos_28_1)) - (portRef I0 (instanceRef zpuino_core_nos_26_1)) - (portRef I0 (instanceRef zpuino_core_nos_25_1)) - (portRef I0 (instanceRef zpuino_core_nos_24_1)) - (portRef I0 (instanceRef zpuino_core_nos_23_1)) - (portRef I0 (instanceRef zpuino_core_nos_22_1)) - (portRef I0 (instanceRef zpuino_core_nos_21_1)) - (portRef I0 (instanceRef zpuino_core_nos_20_1)) - (portRef I0 (instanceRef zpuino_core_nos_19_1)) - (portRef I0 (instanceRef zpuino_core_nos_18_1)) - (portRef I0 (instanceRef zpuino_core_nos_17_1)) - (portRef I0 (instanceRef zpuino_core_nos_16_1)) - (portRef I0 (instanceRef zpuino_core_nos_15_1)) - (portRef I0 (instanceRef zpuino_core_nos_14_1)) - (portRef I0 (instanceRef zpuino_core_nos_13_1)) - (portRef I0 (instanceRef zpuino_core_nos_12_1)) - (portRef I0 (instanceRef zpuino_core_nos_11_1)) - (portRef I0 (instanceRef zpuino_core_nos_10_1)) - (portRef I0 (instanceRef zpuino_core_nos_9_1)) - (portRef I0 (instanceRef zpuino_core_nos_8_1)) - (portRef I0 (instanceRef zpuino_core_nos_7_1)) - (portRef I0 (instanceRef zpuino_core_nos_6_1)) - (portRef I0 (instanceRef zpuino_core_nos_0_1)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux0000 "zpuino/core/wroteback_q_mux0000") - (joined - (portRef D (instanceRef zpuino_core_wroteback_q_renamed_127)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000108)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux0000105 "zpuino/core/wroteback_q_mux0000105") - (joined - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000108)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000012 "zpuino/core/wroteback_q_mux000012") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux0000141 "zpuino/core/wroteback_q_mux0000141") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000110)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux0000191 "zpuino/core/wroteback_q_mux0000191") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000110)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000025 "zpuino/core/wroteback_q_mux000025") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux000025_renamed_1178)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000034 "zpuino/core/wroteback_q_mux000034") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux000034_renamed_1179)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000045 "zpuino/core/wroteback_q_mux000045") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000108)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000068 "zpuino/core/wroteback_q_mux000068") - (joined - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000108)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - ) - ) - (net (rename zpuino_cpu_ram_wb_cyc_i "zpuino/cpu_ram_wb_cyc_i") - (joined - (portRef CE (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef O (instanceRef zpuino_iomemmux_s0_wb_cyc_o1)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_0_ "zpuino/dbg_from_zpu_stackb<0>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_0_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_10_ "zpuino/dbg_from_zpu_stackb<10>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_10__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_10_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_11_ "zpuino/dbg_from_zpu_stackb<11>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_11__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_11_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_12_ "zpuino/dbg_from_zpu_stackb<12>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_12__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_12_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_13_ "zpuino/dbg_from_zpu_stackb<13>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_13__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_13_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_14_ "zpuino/dbg_from_zpu_stackb<14>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_14__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_14_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_15_ "zpuino/dbg_from_zpu_stackb<15>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_15__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_15_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_16_ "zpuino/dbg_from_zpu_stackb<16>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_16__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_16_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_17_ "zpuino/dbg_from_zpu_stackb<17>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_17__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_17_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_18_ "zpuino/dbg_from_zpu_stackb<18>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_18__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_18_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_19_ "zpuino/dbg_from_zpu_stackb<19>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_19__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_19_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_1_ "zpuino/dbg_from_zpu_stackb<1>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - (portRef O (instanceRef zpuino_core_nos_1_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_20_ "zpuino/dbg_from_zpu_stackb<20>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_20__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_20_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_21_ "zpuino/dbg_from_zpu_stackb<21>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_21__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_21_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_22_ "zpuino/dbg_from_zpu_stackb<22>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_22__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_22_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_23_ "zpuino/dbg_from_zpu_stackb<23>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_23__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_23_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_24_ "zpuino/dbg_from_zpu_stackb<24>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_24__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_24_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_25_ "zpuino/dbg_from_zpu_stackb<25>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_25__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_25_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_26_ "zpuino/dbg_from_zpu_stackb<26>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_26__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_26_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_27_ "zpuino/dbg_from_zpu_stackb<27>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_27__)) - (portRef O (instanceRef zpuino_core_nos_27_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_28_ "zpuino/dbg_from_zpu_stackb<28>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_28__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_28_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_29_ "zpuino/dbg_from_zpu_stackb<29>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_29__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_29_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_2_ "zpuino/dbg_from_zpu_stackb<2>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_2__)) - (portRef O (instanceRef zpuino_core_nos_2_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_30_ "zpuino/dbg_from_zpu_stackb<30>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_30__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_30_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_31_ "zpuino/dbg_from_zpu_stackb<31>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef O (instanceRef zpuino_core_nos_31_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_3_ "zpuino/dbg_from_zpu_stackb<3>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_3__)) - (portRef O (instanceRef zpuino_core_nos_3_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_4_ "zpuino/dbg_from_zpu_stackb<4>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_4__)) - (portRef O (instanceRef zpuino_core_nos_4_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_5_ "zpuino/dbg_from_zpu_stackb<5>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_5__)) - (portRef O (instanceRef zpuino_core_nos_5_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_6_ "zpuino/dbg_from_zpu_stackb<6>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_6__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_6_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_7_ "zpuino/dbg_from_zpu_stackb<7>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_7__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_7_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_8_ "zpuino/dbg_from_zpu_stackb<8>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_8__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_8_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002119_SW0)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_9_ "zpuino/dbg_from_zpu_stackb<9>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_9__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_9_1)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_5_f6 "zpuino/io/Mmux__varindex0000_5_f6") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_5_f6_renamed_402)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_130_F)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_6_f5 "zpuino/io/Mmux__varindex0000_6_f5") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_6_f5_renamed_406)) - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_5_f6_renamed_402)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_6_f5_rt "zpuino/io/Mmux__varindex0000_6_f5_rt") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_6_f5_rt_renamed_1877)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_6_f5_renamed_406)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_7 "zpuino/io/Mmux__varindex0000_7") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_7_renamed_407)) - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_6_f5_renamed_406)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_7_f5 "zpuino/io/Mmux__varindex0000_7_f5") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_7_f5_renamed_403)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_5_f6_renamed_402)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_81 "zpuino/io/Mmux__varindex0000_81") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_81_renamed_405)) - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_7_f5_renamed_403)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_9 "zpuino/io/Mmux__varindex0000_9") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_9_renamed_404)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_7_f5_renamed_403)) - ) - ) - (net (rename zpuino_io_addr_save_q_10__ "zpuino/io/addr_save_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_10)) - (portRef I2 (instanceRef gpio_inst_gpio_q_32_not00014_SW0)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I3 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and000061)) - ) - ) - (net (rename zpuino_io_addr_save_q_11__ "zpuino/io/addr_save_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_11)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_io_addr_save_q_12__ "zpuino/io/addr_save_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_12)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_io_addr_save_q_13__ "zpuino/io/addr_save_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_13)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_io_addr_save_q_14__ "zpuino/io/addr_save_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_14)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename zpuino_io_addr_save_q_15__ "zpuino/io/addr_save_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_15)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename zpuino_io_addr_save_q_16__ "zpuino/io/addr_save_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_16)) - (portRef D (instanceRef slot9_ram_mi_addrh_q_renamed_311)) - (portRef I (instanceRef slot_address_0__16__inv_INV_0)) - (portRef ENA (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef ENA (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_io_addr_save_q_2__ "zpuino/io/addr_save_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_2)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_renamed_423)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_5_renamed_424)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_41_renamed_429)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_52_renamed_430)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_42_renamed_433)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_54_renamed_434)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_43_renamed_437)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_56_renamed_438)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_44_renamed_441)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_58_renamed_442)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_45_renamed_445)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_510_renamed_446)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_46_renamed_449)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_512_renamed_450)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_47_renamed_453)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_514_renamed_454)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_67_renamed_456)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_48_renamed_457)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_516_renamed_458)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_517_renamed_459)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_410_renamed_461)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_518_renamed_462)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_519_renamed_463)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_412_renamed_465)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_520_renamed_466)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_521_renamed_467)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_414_renamed_469)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_522_renamed_470)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_415_renamed_473)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_524_renamed_474)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_525_renamed_475)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_417_renamed_477)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_526_renamed_478)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_527_renamed_479)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_419_renamed_481)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_528_renamed_482)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_529_renamed_483)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_421_renamed_485)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_530_renamed_486)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_531_renamed_487)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_423_renamed_488)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_532_renamed_489)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_533_renamed_490)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_69_renamed_491)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_424_renamed_492)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_534_renamed_493)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_535_renamed_494)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_610_renamed_495)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_425_renamed_496)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_536_renamed_497)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_537_renamed_498)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_611_renamed_499)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_426_renamed_500)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_538_renamed_501)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_539_renamed_502)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_612_renamed_503)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_427_renamed_504)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_540_renamed_505)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_541_renamed_506)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_613_renamed_507)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_428_renamed_508)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_542_renamed_509)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_543_renamed_510)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_614_renamed_511)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_429_renamed_512)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_544_renamed_513)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_615_renamed_515)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_430_renamed_516)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_546_renamed_517)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_547_renamed_518)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_616_renamed_519)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_431_renamed_520)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_548_renamed_521)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_549_renamed_522)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_617_renamed_523)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_432)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_550_renamed_524)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_618_renamed_526)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_433)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_552_renamed_527)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_619_renamed_529)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_434)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_554_renamed_530)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_620_renamed_532)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_435)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_556_renamed_533)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_621_renamed_535)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_436)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_558_renamed_536)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_622_renamed_538)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_437)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_560_renamed_539)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_438)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_562_renamed_542)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_1__3_renamed_794)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_1__4_renamed_795)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_0__3_renamed_792)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_0__4_renamed_793)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_2__3_renamed_790)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_2__4_renamed_791)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_3__3_renamed_788)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_3__4_renamed_789)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_4__3_renamed_786)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_4__4_renamed_787)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_5__3_renamed_784)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_5__4_renamed_785)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_6__3_renamed_782)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_6__4_renamed_783)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_7__3_renamed_780)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_7__4_renamed_781)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_8__3_renamed_778)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_8__4_renamed_779)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_9__3_renamed_776)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_9__4_renamed_777)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_10__3_renamed_774)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_10__4_renamed_775)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_11__3_renamed_772)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_11__4_renamed_773)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_12__3_renamed_770)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_12__4_renamed_771)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_13__3_renamed_768)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_13__4_renamed_769)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_14__3_renamed_766)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_14__4_renamed_767)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_15__3_renamed_764)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_15__4_renamed_765)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984)) - (portRef I1 (instanceRef slot1_trans_or00011)) - (portRef I1 (instanceRef slot0_trans_or00011)) - (portRef I0 (instanceRef slot0_cpol_not00011)) - (portRef I3 (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I3 (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef crc16_inst_data_q_and00011)) - (portRef I3 (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - (portRef I0 (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - (portRef I1 (instanceRef uart_inst_divider_rx_q_not00011)) - (portRef I3 (instanceRef slot11_tx_core_tbuff_r_not00011)) - (portRef I0 (instanceRef slot11_fifo_instance_rdaddr_and00001)) - (portRef I1 (instanceRef slot11_divider_rx_q_not00011)) - (portRef I0 (instanceRef slot1_cpol_not00011)) - (portRef I3 (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - (portRef I2 (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - (portRef I0 (instanceRef slot1_wb_dat_o_9_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_8_mux00001)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_wb_inta_o_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_mask_q_not00011)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not00011)) - (portRef I2 (instanceRef gpio_inst_output_mapper_q_1_and00001)) - (portRef I0 (instanceRef gpio_inst_output_mapper_q_0_and00001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_ien_and00001)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_33_and000041)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and000041)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - (portRef I1 (instanceRef gpio_inst_wb_adr_i_3_1)) - (portRef I0 (instanceRef slot1_wb_dat_o_7_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_6_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_5_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_4_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_3_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_2_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_1_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_0_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_7_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_6_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_5_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_4_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_3_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_2_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_1_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_0_mux00001)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_11_and000041)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mmux_wb_dat_o_0_211)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I0 (instanceRef uart_inst_wb_dat_o_2_mux00001)) - (portRef I0 (instanceRef uart_inst_wb_dat_o_1_mux00001)) - (portRef I0 (instanceRef uart_inst_wb_dat_o_0_mux00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_71)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_81)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_91)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_111)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_121)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_131)) - (portRef I2 (instanceRef slot_address_0__26__928_renamed_1916)) - (portRef I2 (instanceRef slot_address_0__26__927_renamed_1917)) - (portRef I2 (instanceRef slot_address_0__26__926_renamed_1918)) - (portRef I2 (instanceRef slot_address_0__26__925_renamed_1919)) - (portRef I2 (instanceRef slot_address_0__26__924_renamed_1920)) - (portRef I0 (instanceRef slot_address_0__26__930_renamed_1925)) - (portRef I0 (instanceRef slot_address_0__26__929_renamed_1926)) - (portRef I2 (instanceRef slot_address_0__26__923_renamed_1927)) - (portRef I2 (instanceRef slot_address_0__26__723_renamed_1928)) - (portRef I2 (instanceRef slot_address_0__26__922_renamed_1929)) - (portRef I2 (instanceRef slot_address_0__26__722_renamed_1930)) - (portRef I2 (instanceRef slot_address_0__26__920_renamed_1931)) - (portRef I2 (instanceRef slot_address_0__26__720_renamed_1932)) - (portRef I2 (instanceRef slot_address_0__26__919_renamed_1933)) - (portRef I2 (instanceRef slot_address_0__26__719_renamed_1934)) - (portRef I2 (instanceRef slot_address_0__26__918_renamed_1935)) - (portRef I2 (instanceRef slot_address_0__26__718_renamed_1936)) - (portRef I2 (instanceRef slot_address_0__26__917_renamed_1937)) - (portRef I2 (instanceRef slot_address_0__26__717_renamed_1938)) - (portRef I2 (instanceRef slot_address_0__26__916_renamed_1939)) - (portRef I2 (instanceRef slot_address_0__26__716_renamed_1940)) - (portRef I2 (instanceRef slot_address_0__26__915_renamed_1941)) - (portRef I2 (instanceRef slot_address_0__26__715_renamed_1942)) - (portRef I2 (instanceRef slot_address_0__26__914_renamed_1943)) - (portRef I2 (instanceRef slot_address_0__26__714_renamed_1944)) - (portRef I2 (instanceRef slot_address_0__26__913_renamed_1945)) - (portRef I2 (instanceRef slot_address_0__26__713_renamed_1946)) - (portRef I2 (instanceRef slot_address_0__26__912_renamed_1947)) - (portRef I2 (instanceRef slot_address_0__26__712_renamed_1948)) - (portRef I2 (instanceRef slot_address_0__26__911_renamed_1949)) - (portRef I2 (instanceRef slot_address_0__26__711_renamed_1950)) - (portRef I2 (instanceRef slot_address_0__26__99_renamed_1951)) - (portRef I2 (instanceRef slot_address_0__26__79_renamed_1952)) - (portRef I2 (instanceRef slot_address_0__26__98_renamed_1953)) - (portRef I2 (instanceRef slot_address_0__26__78_renamed_1954)) - (portRef I2 (instanceRef slot_address_0__26__97_renamed_1955)) - (portRef I2 (instanceRef slot_address_0__26__77_renamed_1956)) - (portRef I2 (instanceRef slot_address_0__26__96_renamed_1957)) - (portRef I2 (instanceRef slot_address_0__26__76_renamed_1958)) - (portRef I2 (instanceRef slot_address_0__26__95_renamed_1959)) - (portRef I2 (instanceRef slot_address_0__26__94_renamed_1960)) - (portRef I2 (instanceRef slot_address_0__26__93_renamed_1961)) - (portRef I2 (instanceRef slot_address_0__26__92_renamed_1962)) - (portRef I2 (instanceRef slot_address_0__26__91_renamed_1963)) - (portRef I2 (instanceRef slot_address_0__26__9_renamed_1964)) - (portRef I2 (instanceRef slot_address_0__26__75_renamed_1986)) - (portRef I2 (instanceRef slot_address_0__26__74_renamed_1987)) - (portRef I2 (instanceRef slot_address_0__26__73_renamed_1988)) - (portRef I2 (instanceRef slot_address_0__26__72_renamed_1989)) - (portRef I2 (instanceRef slot_address_0__26__71_renamed_1990)) - (portRef I2 (instanceRef slot_address_0__26__7_renamed_1991)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - (portRef I2 (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_31_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_30_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_29_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_28_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_27_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_26_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_25_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_24_1)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_SW1)) - (portRef I0 (instanceRef sigmadelta_inst_le_q_not0001_SW1)) - (portRef I3 (instanceRef slot0_spi_txblock_q_not00011)) - (portRef I3 (instanceRef slot1_spi_txblock_q_not00011)) - (portRef I1 (instanceRef slot0_spi_en_or0000_SW1)) - (portRef I1 (instanceRef slot1_spi_en_or0000_SW1)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_123_G)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_123_G)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_130_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_8_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_8_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_15_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_15_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_14_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_14_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_13_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_13_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_12_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_12_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_11_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_11_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_23__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_23__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_22__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_22__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_21__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_21__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_20__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_20__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_19__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_19__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_18__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_18__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_17__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_17__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_16__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_16__G)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I2 (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - (portRef I2 (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - (portRef I1 (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I0 (instanceRef gpio_inst_gpio_q_0_not00015)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_17_and000041)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_16_and000041)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and000041)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_23__ "zpuino/io/addr_save_q<23>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_23)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_7_renamed_407)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_81_renamed_405)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_9_renamed_404)) - (portRef I0 (instanceRef slot_address_0__26__81_renamed_400)) - (portRef I0 (instanceRef slot_address_0__26__83_renamed_397)) - (portRef I0 (instanceRef slot_address_0__26__85_renamed_396)) - (portRef I0 (instanceRef slot_address_0__26__87_renamed_395)) - (portRef I0 (instanceRef slot_address_0__26__89_renamed_394)) - (portRef I0 (instanceRef slot_address_0__26__811_renamed_393)) - (portRef I0 (instanceRef slot_address_0__26__813_renamed_392)) - (portRef I0 (instanceRef slot_address_0__26__815_renamed_391)) - (portRef I0 (instanceRef slot_address_0__26__817_renamed_390)) - (portRef I0 (instanceRef slot_address_0__26__819_renamed_389)) - (portRef I0 (instanceRef slot_address_0__26__710_renamed_388)) - (portRef I0 (instanceRef slot_address_0__26__821_renamed_387)) - (portRef I0 (instanceRef slot_address_0__26__910_renamed_386)) - (portRef I0 (instanceRef slot_address_0__26__823_renamed_385)) - (portRef I0 (instanceRef slot_address_0__26__825_renamed_384)) - (portRef I0 (instanceRef slot_address_0__26__827_renamed_383)) - (portRef I0 (instanceRef slot_address_0__26__829_renamed_382)) - (portRef I0 (instanceRef slot_address_0__26__831_renamed_381)) - (portRef I0 (instanceRef slot_address_0__26__833_renamed_380)) - (portRef I0 (instanceRef slot_address_0__26__835_renamed_379)) - (portRef I0 (instanceRef slot_address_0__26__837_renamed_378)) - (portRef I0 (instanceRef slot_address_0__26__839_renamed_377)) - (portRef I0 (instanceRef slot_address_0__26__841_renamed_376)) - (portRef I0 (instanceRef slot_address_0__26__721_renamed_375)) - (portRef I0 (instanceRef slot_address_0__26__843_renamed_374)) - (portRef I0 (instanceRef slot_address_0__26__921_renamed_373)) - (portRef I0 (instanceRef slot_address_0__26__845_renamed_372)) - (portRef I0 (instanceRef slot_address_0__26__847_renamed_371)) - (portRef I0 (instanceRef slot_address_0__26__724_renamed_370)) - (portRef I0 (instanceRef slot_address_0__26__849_renamed_369)) - (portRef I0 (instanceRef slot_address_0__26__725_renamed_368)) - (portRef I0 (instanceRef slot_address_0__26__851_renamed_367)) - (portRef I0 (instanceRef slot_address_0__26__726_renamed_366)) - (portRef I0 (instanceRef slot_address_0__26__853_renamed_365)) - (portRef I0 (instanceRef slot_address_0__26__727_renamed_364)) - (portRef I0 (instanceRef slot_address_0__26__855_renamed_363)) - (portRef I0 (instanceRef slot_address_0__26__728_renamed_362)) - (portRef I0 (instanceRef slot_address_0__26__857_renamed_361)) - (portRef I0 (instanceRef slot_address_0__26__729_renamed_360)) - (portRef I0 (instanceRef slot_address_0__26__859_renamed_359)) - (portRef I0 (instanceRef slot_address_0__26__730_renamed_358)) - (portRef I0 (instanceRef slot_address_0__26__861_renamed_357)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_31__SW0)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_31__SW1)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_37)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_13_mux000011)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_29_rt_renamed_1846)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_28_rt_renamed_1847)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_27_rt_renamed_1848)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_26_rt_renamed_1849)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_25_rt_renamed_1850)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_24_rt_renamed_1851)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_23_rt_renamed_1852)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_22_rt_renamed_1853)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_21_rt_renamed_1854)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_20_rt_renamed_1855)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_19_rt_renamed_1856)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_18_rt_renamed_1857)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_17_rt_renamed_1858)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_16_rt_renamed_1859)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_15_rt_renamed_1860)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_14_rt_renamed_1861)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_13_rt_renamed_1862)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_12_rt_renamed_1863)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_11_rt_renamed_1864)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_10_rt_renamed_1865)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_9_rt_renamed_1866)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_8_rt_renamed_1867)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_7_rt_renamed_1868)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_6_rt_renamed_1869)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_5_rt_renamed_1870)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_4_rt_renamed_1871)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_3_rt_renamed_1872)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_2_rt_renamed_1873)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_1_rt_renamed_1874)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_0_rt_renamed_1875)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_rt_renamed_1876)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_6_f5_rt_renamed_1877)) - (portRef I0 (instanceRef slot_address_0__26__928_renamed_1916)) - (portRef I0 (instanceRef slot_address_0__26__927_renamed_1917)) - (portRef I0 (instanceRef slot_address_0__26__926_renamed_1918)) - (portRef I0 (instanceRef slot_address_0__26__925_renamed_1919)) - (portRef I0 (instanceRef slot_address_0__26__924_renamed_1920)) - (portRef I2 (instanceRef slot_address_0__26__930_renamed_1925)) - (portRef I2 (instanceRef slot_address_0__26__929_renamed_1926)) - (portRef I0 (instanceRef slot_address_0__26__923_renamed_1927)) - (portRef I0 (instanceRef slot_address_0__26__723_renamed_1928)) - (portRef I0 (instanceRef slot_address_0__26__922_renamed_1929)) - (portRef I0 (instanceRef slot_address_0__26__722_renamed_1930)) - (portRef I0 (instanceRef slot_address_0__26__920_renamed_1931)) - (portRef I0 (instanceRef slot_address_0__26__720_renamed_1932)) - (portRef I0 (instanceRef slot_address_0__26__919_renamed_1933)) - (portRef I0 (instanceRef slot_address_0__26__719_renamed_1934)) - (portRef I0 (instanceRef slot_address_0__26__918_renamed_1935)) - (portRef I0 (instanceRef slot_address_0__26__718_renamed_1936)) - (portRef I0 (instanceRef slot_address_0__26__917_renamed_1937)) - (portRef I0 (instanceRef slot_address_0__26__717_renamed_1938)) - (portRef I0 (instanceRef slot_address_0__26__916_renamed_1939)) - (portRef I0 (instanceRef slot_address_0__26__716_renamed_1940)) - (portRef I0 (instanceRef slot_address_0__26__915_renamed_1941)) - (portRef I0 (instanceRef slot_address_0__26__715_renamed_1942)) - (portRef I0 (instanceRef slot_address_0__26__914_renamed_1943)) - (portRef I0 (instanceRef slot_address_0__26__714_renamed_1944)) - (portRef I0 (instanceRef slot_address_0__26__913_renamed_1945)) - (portRef I0 (instanceRef slot_address_0__26__713_renamed_1946)) - (portRef I0 (instanceRef slot_address_0__26__912_renamed_1947)) - (portRef I0 (instanceRef slot_address_0__26__712_renamed_1948)) - (portRef I0 (instanceRef slot_address_0__26__911_renamed_1949)) - (portRef I0 (instanceRef slot_address_0__26__711_renamed_1950)) - (portRef I0 (instanceRef slot_address_0__26__99_renamed_1951)) - (portRef I0 (instanceRef slot_address_0__26__79_renamed_1952)) - (portRef I0 (instanceRef slot_address_0__26__98_renamed_1953)) - (portRef I0 (instanceRef slot_address_0__26__78_renamed_1954)) - (portRef I0 (instanceRef slot_address_0__26__97_renamed_1955)) - (portRef I0 (instanceRef slot_address_0__26__77_renamed_1956)) - (portRef I0 (instanceRef slot_address_0__26__96_renamed_1957)) - (portRef I0 (instanceRef slot_address_0__26__76_renamed_1958)) - (portRef I0 (instanceRef slot_address_0__26__95_renamed_1959)) - (portRef I0 (instanceRef slot_address_0__26__94_renamed_1960)) - (portRef I0 (instanceRef slot_address_0__26__93_renamed_1961)) - (portRef I0 (instanceRef slot_address_0__26__92_renamed_1962)) - (portRef I0 (instanceRef slot_address_0__26__91_renamed_1963)) - (portRef I0 (instanceRef slot_address_0__26__9_renamed_1964)) - (portRef I0 (instanceRef slot_address_0__26__75_renamed_1986)) - (portRef I0 (instanceRef slot_address_0__26__74_renamed_1987)) - (portRef I0 (instanceRef slot_address_0__26__73_renamed_1988)) - (portRef I0 (instanceRef slot_address_0__26__72_renamed_1989)) - (portRef I0 (instanceRef slot_address_0__26__71_renamed_1990)) - (portRef I0 (instanceRef slot_address_0__26__7_renamed_1991)) - (portRef I0 (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef I2 (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - (portRef S (instanceRef zpuino_io_io_device_ack118_f5)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - ) - ) - (net (rename zpuino_io_addr_save_q_24__ "zpuino/io/addr_save_q<24>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_24)) - (portRef S (instanceRef zpuino_io_Mmux__varindex0000_6_f5_renamed_406)) - (portRef S (instanceRef zpuino_io_Mmux__varindex0000_7_f5_renamed_403)) - (portRef S (instanceRef slot_address_0__26__6_f5_renamed_401)) - (portRef S (instanceRef slot_address_0__26__7_f5_renamed_399)) - (portRef S (instanceRef slot_address_0__26__6_f5_0)) - (portRef S (instanceRef slot_address_0__26__7_f5_0)) - (portRef S (instanceRef slot_address_0__26__6_f5_1)) - (portRef S (instanceRef slot_address_0__26__7_f5_1)) - (portRef S (instanceRef slot_address_0__26__6_f5_2)) - (portRef S (instanceRef slot_address_0__26__7_f5_2)) - (portRef S (instanceRef slot_address_0__26__6_f5_3)) - (portRef S (instanceRef slot_address_0__26__7_f5_3)) - (portRef S (instanceRef slot_address_0__26__6_f5_4)) - (portRef S (instanceRef slot_address_0__26__7_f5_4)) - (portRef S (instanceRef slot_address_0__26__6_f5_5)) - (portRef S (instanceRef slot_address_0__26__7_f5_5)) - (portRef S (instanceRef slot_address_0__26__6_f5_6)) - (portRef S (instanceRef slot_address_0__26__7_f5_6)) - (portRef S (instanceRef slot_address_0__26__6_f5_7)) - (portRef S (instanceRef slot_address_0__26__7_f5_7)) - (portRef S (instanceRef slot_address_0__26__6_f5_8)) - (portRef S (instanceRef slot_address_0__26__7_f5_8)) - (portRef S (instanceRef slot_address_0__26__6_f5_9)) - (portRef S (instanceRef slot_address_0__26__7_f5_9)) - (portRef S (instanceRef slot_address_0__26__6_f5_10)) - (portRef S (instanceRef slot_address_0__26__7_f5_10)) - (portRef S (instanceRef slot_address_0__26__6_f5_11)) - (portRef S (instanceRef slot_address_0__26__7_f5_11)) - (portRef S (instanceRef slot_address_0__26__6_f5_12)) - (portRef S (instanceRef slot_address_0__26__7_f5_12)) - (portRef S (instanceRef slot_address_0__26__6_f5_13)) - (portRef S (instanceRef slot_address_0__26__7_f5_13)) - (portRef S (instanceRef slot_address_0__26__6_f5_14)) - (portRef S (instanceRef slot_address_0__26__7_f5_14)) - (portRef S (instanceRef slot_address_0__26__6_f5_15)) - (portRef S (instanceRef slot_address_0__26__7_f5_15)) - (portRef S (instanceRef slot_address_0__26__6_f5_16)) - (portRef S (instanceRef slot_address_0__26__7_f5_16)) - (portRef S (instanceRef slot_address_0__26__6_f5_17)) - (portRef S (instanceRef slot_address_0__26__7_f5_17)) - (portRef S (instanceRef slot_address_0__26__6_f5_18)) - (portRef S (instanceRef slot_address_0__26__7_f5_18)) - (portRef S (instanceRef slot_address_0__26__6_f5_19)) - (portRef S (instanceRef slot_address_0__26__7_f5_19)) - (portRef S (instanceRef slot_address_0__26__6_f5_20)) - (portRef S (instanceRef slot_address_0__26__7_f5_20)) - (portRef S (instanceRef slot_address_0__26__6_f5_21)) - (portRef S (instanceRef slot_address_0__26__7_f5_21)) - (portRef S (instanceRef slot_address_0__26__6_f5_22)) - (portRef S (instanceRef slot_address_0__26__7_f5_22)) - (portRef S (instanceRef slot_address_0__26__6_f5_23)) - (portRef S (instanceRef slot_address_0__26__7_f5_23)) - (portRef S (instanceRef slot_address_0__26__6_f5_24)) - (portRef S (instanceRef slot_address_0__26__7_f5_24)) - (portRef S (instanceRef slot_address_0__26__6_f5_25)) - (portRef S (instanceRef slot_address_0__26__7_f5_25)) - (portRef S (instanceRef slot_address_0__26__6_f5_26)) - (portRef S (instanceRef slot_address_0__26__7_f5_26)) - (portRef S (instanceRef slot_address_0__26__6_f5_27)) - (portRef S (instanceRef slot_address_0__26__7_f5_27)) - (portRef S (instanceRef slot_address_0__26__6_f5_28)) - (portRef S (instanceRef slot_address_0__26__7_f5_28)) - (portRef S (instanceRef slot_address_0__26__6_f5_29)) - (portRef S (instanceRef slot_address_0__26__7_f5_29)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_31__SW1)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_37)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_13_mux000011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - (portRef I3 (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef I1 (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - (portRef I0 (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - (portRef I3 (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - ) - ) - (net (rename zpuino_io_addr_save_q_25__ "zpuino/io/addr_save_q<25>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_25)) - (portRef S (instanceRef zpuino_io_Mmux__varindex0000_5_f6_renamed_402)) - (portRef S (instanceRef slot_address_0__26__5_f6_renamed_398)) - (portRef S (instanceRef slot_address_0__26__5_f6_0)) - (portRef S (instanceRef slot_address_0__26__5_f6_1)) - (portRef S (instanceRef slot_address_0__26__5_f6_2)) - (portRef S (instanceRef slot_address_0__26__5_f6_3)) - (portRef S (instanceRef slot_address_0__26__5_f6_4)) - (portRef S (instanceRef slot_address_0__26__5_f6_5)) - (portRef S (instanceRef slot_address_0__26__5_f6_6)) - (portRef S (instanceRef slot_address_0__26__5_f6_7)) - (portRef S (instanceRef slot_address_0__26__5_f6_8)) - (portRef S (instanceRef slot_address_0__26__5_f6_9)) - (portRef S (instanceRef slot_address_0__26__5_f6_10)) - (portRef S (instanceRef slot_address_0__26__5_f6_11)) - (portRef S (instanceRef slot_address_0__26__5_f6_12)) - (portRef S (instanceRef slot_address_0__26__5_f6_13)) - (portRef S (instanceRef slot_address_0__26__5_f6_14)) - (portRef S (instanceRef slot_address_0__26__5_f6_15)) - (portRef S (instanceRef slot_address_0__26__5_f6_16)) - (portRef S (instanceRef slot_address_0__26__5_f6_17)) - (portRef S (instanceRef slot_address_0__26__5_f6_18)) - (portRef S (instanceRef slot_address_0__26__5_f6_19)) - (portRef S (instanceRef slot_address_0__26__5_f6_20)) - (portRef S (instanceRef slot_address_0__26__5_f6_21)) - (portRef S (instanceRef slot_address_0__26__5_f6_22)) - (portRef S (instanceRef slot_address_0__26__5_f6_23)) - (portRef S (instanceRef slot_address_0__26__5_f6_24)) - (portRef S (instanceRef slot_address_0__26__5_f6_25)) - (portRef S (instanceRef slot_address_0__26__5_f6_26)) - (portRef S (instanceRef slot_address_0__26__5_f6_27)) - (portRef S (instanceRef slot_address_0__26__5_f6_28)) - (portRef S (instanceRef slot_address_0__26__5_f6_29)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_6_mux00001)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_31__SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_31__SW1)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_5)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_17_37)) - (portRef I0 (instanceRef zpuino_io_io_device_ack41)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_5_mux00001)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_2_mux00001)) - (portRef I0 (instanceRef uart_inst_fifo_rd_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I2 (instanceRef zpuino_io_io_device_ack118_SW0)) - (portRef I3 (instanceRef slot9_ram_we1)) - (portRef I0 (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - (portRef I3 (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef I3 (instanceRef slot9_read_ended_and000011)) - (portRef I3 (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef S (instanceRef zpuino_io_io_read_selected_7_34_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_6_34_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_5_34_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_4_34_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_3_34_f5)) - (portRef I2 (instanceRef timers_inst_timer1_cyc1)) - (portRef I2 (instanceRef timers_inst_timer0_cyc1)) - (portRef I3 (instanceRef slot11_fifo_rd_and000011)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - (portRef I1 (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_26__ "zpuino/io/addr_save_q<26>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_26)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_6_mux00001)) - (portRef I3 (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_31__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_30__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_29__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_28__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_27__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_26__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_25__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_24__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_23__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_22__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_21__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_20__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_19__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_18__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I1 (instanceRef zpuino_io_io_device_ack41)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_5_mux00001)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_2_mux00001)) - (portRef I3 (instanceRef uart_inst_fifo_rd_and000011)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I0 (instanceRef zpuino_io_io_device_ack118_SW0)) - (portRef I1 (instanceRef slot9_ram_we1)) - (portRef I1 (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - (portRef I3 (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I0 (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_123_F)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_123_F)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_130_F)) - (portRef I1 (instanceRef slot9_read_ended_and000011)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef I0 (instanceRef zpuino_io_io_device_ack1182_renamed_2303)) - (portRef I0 (instanceRef timers_inst_timer1_cyc1)) - (portRef I0 (instanceRef timers_inst_timer0_cyc1)) - (portRef I2 (instanceRef slot11_fifo_rd_and000011)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - (portRef I2 (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_3__ "zpuino/io/addr_save_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_3)) - (portRef D (instanceRef slot1_spi_transfersize_q_0)) - (portRef D (instanceRef slot0_spi_transfersize_q_0)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_0)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_1)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_2)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_3)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_4)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_5)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_6)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_67_renamed_456)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_7)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_517_renamed_459)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_8)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_519_renamed_463)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_9)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_521_renamed_467)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_10)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_11)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_525_renamed_475)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_12)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_527_renamed_479)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_13)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_529_renamed_483)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_14)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_531_renamed_487)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_15)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_533_renamed_490)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_69_renamed_491)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_16)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_535_renamed_494)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_610_renamed_495)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_17)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_537_renamed_498)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_611_renamed_499)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_18)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_539_renamed_502)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_612_renamed_503)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_19)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_541_renamed_506)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_613_renamed_507)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_20)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_543_renamed_510)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_614_renamed_511)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_21)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_615_renamed_515)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_22)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_547_renamed_518)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_616_renamed_519)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_23)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_549_renamed_522)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_617_renamed_523)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_24)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_618_renamed_526)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_25)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_619_renamed_529)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_26)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_620_renamed_532)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_27)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_621_renamed_535)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_28)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_622_renamed_538)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_29)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_30)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5)) - (portRef I2 (instanceRef crc16_inst_data_q_and00011)) - (portRef I0 (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I1 (instanceRef gpio_inst_ppspin_q_96_not000111)) - (portRef I1 (instanceRef gpio_inst_ppspin_q_64_not000111)) - (portRef I3 (instanceRef gpio_inst_ppspin_q_32_not000111)) - (portRef I3 (instanceRef gpio_inst_ppspin_q_0_not000111)) - (portRef I3 (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef I3 (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef I3 (instanceRef gpio_inst_gpio_q_32_not000111)) - (portRef I3 (instanceRef gpio_inst_gpio_q_0_not000121)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_wb_inta_o_not00011)) - (portRef I0 (instanceRef zpuino_io_intr_inst_mask_q_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not00011)) - (portRef I0 (instanceRef gpio_inst_output_mapper_q_1_and00001)) - (portRef I1 (instanceRef gpio_inst_output_mapper_q_0_and00001)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_ien_and00001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_47_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_46_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_45_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_44_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_43_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_42_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_41_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_40_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_33_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and000011)) - (portRef I0 (instanceRef gpio_inst_wb_adr_i_3_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_9_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_8_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_7_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_6_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_5_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_4_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_3_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_31_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_30_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_2_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_29_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_28_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_27_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_26_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_25_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_24_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_1_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_15_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_14_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_13_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_12_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_11_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_10_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and000011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mmux_wb_dat_o_0_211)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_9_0_renamed_1388)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_10_0_renamed_1390)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_8_16_renamed_1392)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_15_16_renamed_1393)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_14_16_renamed_1394)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_13_16_renamed_1395)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_12_16_renamed_1396)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_11_16_renamed_1397)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_7_0_renamed_1417)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_6_0_renamed_1418)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_5_0_renamed_1419)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_4_0_renamed_1420)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_3_0_renamed_1421)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_2_0_renamed_1422)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_1_0_renamed_1424)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_0_0_renamed_1426)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_71)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_81)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_91)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_111)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_121)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_131)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_31_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_30_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_29_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_28_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_27_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_26_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_25_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_24_1)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_SW1)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_123_G)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_123_G)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_130_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_8_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_8_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_15_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_15_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_14_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_14_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_13_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_13_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_12_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_12_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_11_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_11_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_23__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_23__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_22__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_22__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_21__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_21__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_20__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_20__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_19__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_19__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_18__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_18__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_17__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_17__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_16__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_16__G)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef S (instanceRef zpuino_io_io_read_selected_17_39_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_16_39_f5)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_4__ "zpuino/io/addr_save_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_4)) - (portRef D (instanceRef slot1_spi_transfersize_q_1)) - (portRef D (instanceRef slot0_spi_transfersize_q_1)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_0)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_1)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_2)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_3)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_4)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_5)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_6)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_10)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_14)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_15)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_16)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_17)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_18)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_19)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_20)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_21)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_22)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_23)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_24)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_25)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_26)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_27)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_28)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_29)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_30)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_1__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_0__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_2__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_3__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_4__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_5__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_6__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_7__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_8__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_9__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_10__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_11__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_12__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_13__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_14__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_15__2_f5)) - (portRef I0 (instanceRef crc16_inst_data_q_and00011)) - (portRef I3 (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I0 (instanceRef gpio_inst_ppspin_q_96_not000111)) - (portRef I0 (instanceRef gpio_inst_ppspin_q_64_not000111)) - (portRef I0 (instanceRef gpio_inst_ppspin_q_32_not000111)) - (portRef I0 (instanceRef gpio_inst_ppspin_q_0_not000111)) - (portRef I2 (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef I2 (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef I1 (instanceRef gpio_inst_gpio_q_32_not000111)) - (portRef I1 (instanceRef gpio_inst_gpio_q_0_not000121)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_47_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_46_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_45_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_44_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_43_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_42_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_41_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_40_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_33_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and000011)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_9_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_8_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_7_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_6_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_5_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_4_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_3_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_31_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_30_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_2_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_29_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_28_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_27_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_26_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_25_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_24_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_1_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_15_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_14_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_13_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_12_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_11_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_10_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and000011)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_5__ "zpuino/io/addr_save_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_5)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_0)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_1)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_2)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_3)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_4)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_5)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_6)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_7)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_8)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_9)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_10)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_11)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_12)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_13)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_14)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_15)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_16)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_17)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_18)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_19)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_20)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_21)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_22)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_23)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_24)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_25)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_26)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_27)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_28)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_29)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_30)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I2 (instanceRef gpio_inst_ppspin_q_96_not000111)) - (portRef I2 (instanceRef gpio_inst_ppspin_q_64_not000111)) - (portRef I2 (instanceRef gpio_inst_ppspin_q_32_not000111)) - (portRef I2 (instanceRef gpio_inst_ppspin_q_0_not000111)) - (portRef I0 (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef I0 (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef I0 (instanceRef gpio_inst_gpio_q_32_not000111)) - (portRef I0 (instanceRef gpio_inst_gpio_q_0_not000121)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_47_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_46_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_45_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_44_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_43_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_42_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_41_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_40_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_33_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and000011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_9_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_8_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_7_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_6_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_5_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_4_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_3_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_31_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_30_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_2_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_29_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_28_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_27_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_26_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_25_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_24_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_1_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_15_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_14_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_13_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_12_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_11_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_10_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and000011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I2 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - ) - ) - (net (rename zpuino_io_addr_save_q_6__ "zpuino/io/addr_save_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_6)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I1 (instanceRef gpio_inst_output_mapper_q_1_and00001)) - (portRef I2 (instanceRef gpio_inst_output_mapper_q_0_and00001)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_33_and000041)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and000041)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_11_and000041)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_17_and000041)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_16_and000041)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and000041)) - ) - ) - (net (rename zpuino_io_addr_save_q_7__ "zpuino/io/addr_save_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_7)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef S (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - ) - ) - (net (rename zpuino_io_addr_save_q_8__ "zpuino/io/addr_save_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_8)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_9_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_10_40)) - (portRef I0 (instanceRef timers_inst_timer1_we1)) - (portRef I0 (instanceRef timers_inst_timer1_stb1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_7_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_6_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_5_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_4_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_3_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_2_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_1_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_0_40)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_31_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_30_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_29_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_28_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_27_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_26_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_25_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_24_1)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - (portRef S (instanceRef timers_inst_wb_dat_o_8_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_15_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_14_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_13_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_12_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_11_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_23__)) - (portRef S (instanceRef timers_inst_wb_dat_o_22__)) - (portRef S (instanceRef timers_inst_wb_dat_o_21__)) - (portRef S (instanceRef timers_inst_wb_dat_o_20__)) - (portRef S (instanceRef timers_inst_wb_dat_o_19__)) - (portRef S (instanceRef timers_inst_wb_dat_o_18__)) - (portRef S (instanceRef timers_inst_wb_dat_o_17__)) - (portRef S (instanceRef timers_inst_wb_dat_o_16__)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef timers_inst_timer1_cyc1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef I1 (instanceRef timers_inst_timer0_cyc1)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - ) - ) - (net (rename zpuino_io_addr_save_q_9__ "zpuino/io/addr_save_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_9)) - (portRef I0 (instanceRef gpio_inst_gpio_q_32_not00014_SW0)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef S (instanceRef gpio_inst_output_mapper_q_1_and00001_SW0_f5)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and000061)) - ) - ) - (net (rename zpuino_io_addr_save_q_not0001 "zpuino/io/addr_save_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_addr_save_q_2)) - (portRef CE (instanceRef zpuino_io_addr_save_q_3)) - (portRef CE (instanceRef zpuino_io_addr_save_q_4)) - (portRef CE (instanceRef zpuino_io_addr_save_q_5)) - (portRef CE (instanceRef zpuino_io_addr_save_q_6)) - (portRef CE (instanceRef zpuino_io_addr_save_q_7)) - (portRef CE (instanceRef zpuino_io_addr_save_q_8)) - (portRef CE (instanceRef zpuino_io_addr_save_q_9)) - (portRef CE (instanceRef zpuino_io_addr_save_q_10)) - (portRef CE (instanceRef zpuino_io_addr_save_q_11)) - (portRef CE (instanceRef zpuino_io_addr_save_q_12)) - (portRef CE (instanceRef zpuino_io_addr_save_q_13)) - (portRef CE (instanceRef zpuino_io_addr_save_q_14)) - (portRef CE (instanceRef zpuino_io_addr_save_q_15)) - (portRef CE (instanceRef zpuino_io_addr_save_q_16)) - (portRef CE (instanceRef zpuino_io_addr_save_q_23)) - (portRef CE (instanceRef zpuino_io_addr_save_q_24)) - (portRef CE (instanceRef zpuino_io_addr_save_q_25)) - (portRef CE (instanceRef zpuino_io_addr_save_q_26)) - (portRef O (instanceRef zpuino_io_addr_save_q_not00011)) - ) - ) - (net (rename zpuino_io_addr_save_q_or0000 "zpuino/io/addr_save_q_or0000") - (joined - (portRef R (instanceRef zpuino_io_wb_in_transaction_renamed_4)) - (portRef O (instanceRef zpuino_io_addr_save_q_or00001)) - ) - ) - (net (rename zpuino_io_intr_inst_N0 "zpuino/io/intr_inst/N0") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_337)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_3_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N12 "zpuino/io/intr_inst/N12") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_1111)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - ) - ) - (net (rename zpuino_io_intr_inst_N16 "zpuino/io/intr_inst/N16") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_wb_inta_o_not00011)) - (portRef I2 (instanceRef zpuino_io_intr_inst_mask_q_not00011)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not00011)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - ) - ) - (net (rename zpuino_io_intr_inst_N2 "zpuino/io/intr_inst/N2") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_21)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N4 "zpuino/io/intr_inst/N4") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_8_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_7_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_6_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_5_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_2_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_1_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_17_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_15_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_14_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_13_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_12_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not00012)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_10_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_0_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename zpuino_io_intr_inst_N5 "zpuino/io/intr_inst/N5") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_337)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N6 "zpuino/io/intr_inst/N6") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_9__)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_6_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N8 "zpuino/io/intr_inst/N8") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_16__)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17__)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_13_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N9 "zpuino/io/intr_inst/N9") - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux0001111)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux0001 "zpuino/io/intr_inst/do_interrupt_mux0001") - (joined - (portRef S (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef I2 (instanceRef zpuino_io_intr_inst_iready_q_not00011)) - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - (portRef I2 (instanceRef zpuino_io_intr_inst_ien_q_or00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_served_q_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux000124 "zpuino/io/intr_inst/do_interrupt_mux000124") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - (portRef I2 (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux000132 "zpuino/io/intr_inst/do_interrupt_mux000132") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux00014 "zpuino/io/intr_inst/do_interrupt_mux00014") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux000144 "zpuino/io/intr_inst/do_interrupt_mux000144") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux00019 "zpuino/io/intr_inst/do_interrupt_mux00019") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_ien_q "zpuino/io/intr_inst/ien_q") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef I0 (instanceRef zpuino_io_intr_inst_iready_q_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_mux00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - (portRef I0 (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename zpuino_io_intr_inst_ien_q_or0000 "zpuino/io/intr_inst/ien_q_or0000") - (joined - (portRef R (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef O (instanceRef zpuino_io_intr_inst_ien_q_or00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_0_ "zpuino/io/intr_inst/intr_detected_q<0>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_0)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_1111)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_0_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_0_not0001 "zpuino/io/intr_inst/intr_detected_q_0_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_0)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_0_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_1_ "zpuino/io/intr_inst/intr_detected_q<1>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux0001111)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_10_ "zpuino/io/intr_inst/intr_detected_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_10)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_10_and00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_10_not0001 "zpuino/io/intr_inst/intr_detected_q_10_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_10)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_10_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_11_ "zpuino/io/intr_inst/intr_detected_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_21)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_11_not0001 "zpuino/io/intr_inst/intr_detected_q_11_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_11)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not00012)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_12_ "zpuino/io/intr_inst/intr_detected_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_12)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_12_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_12_not0001 "zpuino/io/intr_inst/intr_detected_q_12_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_12)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_12_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_13_ "zpuino/io/intr_inst/intr_detected_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_13)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_13_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_13_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_13_not0001 "zpuino/io/intr_inst/intr_detected_q_13_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_13)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_13_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_14_ "zpuino/io/intr_inst/intr_detected_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_14)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_14_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_14_not0001 "zpuino/io/intr_inst/intr_detected_q_14_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_14)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_14_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_15_ "zpuino/io/intr_inst/intr_detected_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_15)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_15_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_15_not0001 "zpuino/io/intr_inst/intr_detected_q_15_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_15)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_15_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_16_ "zpuino/io/intr_inst/intr_detected_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_16)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_16_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_16_mux0000 "zpuino/io/intr_inst/intr_detected_q_16_mux0000") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_16)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_17)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_0)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_1)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_2)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_5)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_3)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_4)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_6)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_7)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_8)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_9)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_10)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_11)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_12)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_13)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_14)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_15)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_16_mux00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_16_not0001 "zpuino/io/intr_inst/intr_detected_q_16_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_16)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_16_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_17_ "zpuino/io/intr_inst/intr_detected_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_17)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_17_not0001 "zpuino/io/intr_inst/intr_detected_q_17_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_17_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_1_not0001 "zpuino/io/intr_inst/intr_detected_q_1_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_1)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_1_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_2_ "zpuino/io/intr_inst/intr_detected_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_2)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_2_not0001 "zpuino/io/intr_inst/intr_detected_q_2_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_2)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_2_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_3_ "zpuino/io/intr_inst/intr_detected_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_3)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_3_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_3_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_3_not0001 "zpuino/io/intr_inst/intr_detected_q_3_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_3)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_4_ "zpuino/io/intr_inst/intr_detected_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_4)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_4_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_4_not0001 "zpuino/io/intr_inst/intr_detected_q_4_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_4)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_5_ "zpuino/io/intr_inst/intr_detected_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_5)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_5_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_5_not0001 "zpuino/io/intr_inst/intr_detected_q_5_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_5)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_5_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_6_ "zpuino/io/intr_inst/intr_detected_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_6)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_6_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_6_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_6_not0001 "zpuino/io/intr_inst/intr_detected_q_6_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_6)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_6_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_7_ "zpuino/io/intr_inst/intr_detected_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_7)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_7_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_7_not0001 "zpuino/io/intr_inst/intr_detected_q_7_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_7)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_7_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_8_ "zpuino/io/intr_inst/intr_detected_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_8)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_8_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_8_not0001 "zpuino/io/intr_inst/intr_detected_q_8_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_8)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_8_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_9_ "zpuino/io/intr_inst/intr_detected_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_9)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_9_not0001 "zpuino/io/intr_inst/intr_detected_q_9_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_9)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_9_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_in_q_3_ "zpuino/io/intr_inst/intr_in_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_in_q_3)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_in_q_4_ "zpuino/io/intr_inst/intr_in_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_in_q_4)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_level_q_16_ "zpuino/io/intr_inst/intr_level_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_16_391_renamed_2287)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_level_q_16_not0001 "zpuino/io/intr_inst/intr_level_q_16_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_level_q_16_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_level_q_17_ "zpuino/io/intr_inst/intr_level_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_391_renamed_2285)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_0_ "zpuino/io/intr_inst/intr_line<0>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_0)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_0_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_10_ "zpuino/io/intr_inst/intr_line<10>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_10)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_11_ "zpuino/io/intr_inst/intr_line<11>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_11)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_12_ "zpuino/io/intr_inst/intr_line<12>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_12)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_13_ "zpuino/io/intr_inst/intr_line<13>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_13)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_13_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_14_ "zpuino/io/intr_inst/intr_line<14>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_14)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_15_ "zpuino/io/intr_inst/intr_line<15>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_15)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_16_ "zpuino/io/intr_inst/intr_line<16>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_16)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_16__)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_17_ "zpuino/io/intr_inst/intr_line<17>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17__)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_17_315 "zpuino/io/intr_inst/intr_line<17>315") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_337)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_17_335 "zpuino/io/intr_inst/intr_line<17>335") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_337)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_1_ "zpuino/io/intr_inst/intr_line<1>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_1)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_2_ "zpuino/io/intr_inst/intr_line<2>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_2)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_3_ "zpuino/io/intr_inst/intr_line<3>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_3)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_3_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_4_ "zpuino/io/intr_inst/intr_line<4>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_4)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_5_ "zpuino/io/intr_inst/intr_line<5>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_5)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_6_ "zpuino/io/intr_inst/intr_line<6>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_6)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_6_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_7_ "zpuino/io/intr_inst/intr_line<7>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_7)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_8_ "zpuino/io/intr_inst/intr_line<8>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_8)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_9_ "zpuino/io/intr_inst/intr_line<9>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_9)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_9__)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_0_ "zpuino/io/intr_inst/intr_served_q<0>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_0)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_0_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_130_G)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_1_ "zpuino/io/intr_inst/intr_served_q<1>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_1_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_123_G)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_10_ "zpuino/io/intr_inst/intr_served_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_10)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_10_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_11_ "zpuino/io/intr_inst/intr_served_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not00012)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_12_ "zpuino/io/intr_inst/intr_served_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_12)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_12_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_13_ "zpuino/io/intr_inst/intr_served_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_13)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_13_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_14_ "zpuino/io/intr_inst/intr_served_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_14)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_14_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_15_ "zpuino/io/intr_inst/intr_served_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_15)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_15_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_16_ "zpuino/io/intr_inst/intr_served_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_16)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_17_ "zpuino/io/intr_inst/intr_served_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_17)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_17_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_2_ "zpuino/io/intr_inst/intr_served_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_2)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_2_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_123_G)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_3_ "zpuino/io/intr_inst/intr_served_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_3)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_4_ "zpuino/io/intr_inst/intr_served_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_4)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_5_ "zpuino/io/intr_inst/intr_served_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_5)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_5_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_6_ "zpuino/io/intr_inst/intr_served_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_6)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_6_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_7_ "zpuino/io/intr_inst/intr_served_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_7)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_7_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_8_ "zpuino/io/intr_inst/intr_served_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_8)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_8_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_9_ "zpuino/io/intr_inst/intr_served_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_9)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_9_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_not0001 "zpuino/io/intr_inst/intr_served_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_0)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_1)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_2)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_3)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_4)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_5)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_6)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_7)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_8)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_9)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_10)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_11)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_12)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_13)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_14)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_15)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_16)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_served_q_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_iready_q "zpuino/io/intr_inst/iready_q") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_mux00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - (portRef I3 (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename zpuino_io_intr_inst_iready_q_mux0000 "zpuino/io/intr_inst/iready_q_mux0000") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef O (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - ) - ) - (net (rename zpuino_io_intr_inst_iready_q_not0001 "zpuino/io/intr_inst/iready_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef O (instanceRef zpuino_io_intr_inst_iready_q_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_0_ "zpuino/io/intr_inst/mask_q<0>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_1111)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_0_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_0_130_G)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_1_ "zpuino/io/intr_inst/mask_q<1>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux0001111)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_1_123_G)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_10_ "zpuino/io/intr_inst/mask_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_10_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_11_ "zpuino/io/intr_inst/mask_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_21)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_12_ "zpuino/io/intr_inst/mask_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_12_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_13_ "zpuino/io/intr_inst/mask_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_13_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_13_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_14_ "zpuino/io/intr_inst/mask_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_14_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_15_ "zpuino/io/intr_inst/mask_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_15_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_16_ "zpuino/io/intr_inst/mask_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_16_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_17_ "zpuino/io/intr_inst/mask_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_2_ "zpuino/io/intr_inst/mask_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_2_123_G)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_3_ "zpuino/io/intr_inst/mask_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_3_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_3_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_4_ "zpuino/io/intr_inst/mask_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_4_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_5_ "zpuino/io/intr_inst/mask_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_5_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_6_ "zpuino/io/intr_inst/mask_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_6_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_6_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_7_ "zpuino/io/intr_inst/mask_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_7_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_8_ "zpuino/io/intr_inst/mask_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_8_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_9_ "zpuino/io/intr_inst/mask_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_not0001 "zpuino/io/intr_inst/mask_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_mask_q_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_10__ "zpuino/io/intr_inst/masked_ivecs<10>") - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_10_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_12__ "zpuino/io/intr_inst/masked_ivecs<12>") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_12_and00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_13__ "zpuino/io/intr_inst/masked_ivecs<13>") - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_13_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_14__ "zpuino/io/intr_inst/masked_ivecs<14>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_14_and00001)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_15__ "zpuino/io/intr_inst/masked_ivecs<15>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_16__)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17__)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_15_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_16__ "zpuino/io/intr_inst/masked_ivecs<16>") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_16__)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17__)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_16_and00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_3__ "zpuino/io/intr_inst/masked_ivecs<3>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_3_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_4__ "zpuino/io/intr_inst/masked_ivecs<4>") - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_4_and00001)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_5__ "zpuino/io/intr_inst/masked_ivecs<5>") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_5_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_6__ "zpuino/io/intr_inst/masked_ivecs<6>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_6_and00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_7__ "zpuino/io/intr_inst/masked_ivecs<7>") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_9__)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_7_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_8__ "zpuino/io/intr_inst/masked_ivecs<8>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_9__)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_8_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - ) - ) - (net (rename zpuino_io_intr_inst_wb_inta_o "zpuino/io/intr_inst/wb_inta_o") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef I0 (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - (portRef I2 (instanceRef zpuino_core_sampledTosSource_and00001)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - ) - ) - (net (rename zpuino_io_intr_inst_wb_inta_o_not0001 "zpuino/io/intr_inst/wb_inta_o_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef CE (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef O (instanceRef zpuino_io_intr_inst_wb_inta_o_not00011)) - ) - ) - (net (rename zpuino_io_io_cyc "zpuino/io/io_cyc") - (joined - (portRef Q (instanceRef zpuino_io_io_cyc_renamed_309)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_13_mux000011)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - (portRef I0 (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I1 (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I0 (instanceRef zpuino_io_io_device_ack211_SW1)) - (portRef S (instanceRef zpuino_io_slot_cyc_i_0_mux0000_f5)) - (portRef I0 (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - (portRef I2 (instanceRef zpuino_io_io_device_ack1182_renamed_2303)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - ) - ) - (net (rename zpuino_io_io_cyc_or0000_inv "zpuino/io/io_cyc_or0000_inv") - (joined - (portRef CE (instanceRef zpuino_io_io_cyc_renamed_309)) - (portRef O (instanceRef zpuino_io_io_cyc_or0000_inv1)) - ) - ) - (net (rename zpuino_io_io_device_ack "zpuino/io/io_device_ack") - (joined - (portRef D (instanceRef zpuino_io_wb_ack_o_renamed_10)) - (portRef O (instanceRef zpuino_io_io_device_ack211)) - (portRef I3 (instanceRef zpuino_io_io_stb_not00011)) - ) - ) - (net (rename zpuino_io_io_device_ack100 "zpuino/io/io_device_ack100") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - (portRef I0 (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - ) - ) - (net (rename zpuino_io_io_device_ack118 "zpuino/io/io_device_ack118") - (joined - (portRef I2 (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - (portRef O (instanceRef zpuino_io_io_device_ack118_f5)) - ) - ) - (net (rename zpuino_io_io_device_ack1181 "zpuino/io/io_device_ack1181") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - (portRef I1 (instanceRef zpuino_io_io_device_ack118_f5)) - ) - ) - (net (rename zpuino_io_io_device_ack1182 "zpuino/io/io_device_ack1182") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack1182_renamed_2303)) - (portRef I0 (instanceRef zpuino_io_io_device_ack118_f5)) - ) - ) - (net (rename zpuino_io_io_device_ack150 "zpuino/io/io_device_ack150") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef I1 (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - ) - ) - (net (rename zpuino_io_io_device_ack153 "zpuino/io/io_device_ack153") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - (portRef I3 (instanceRef zpuino_io_io_device_ack211)) - ) - ) - (net (rename zpuino_io_io_device_ack186 "zpuino/io/io_device_ack186") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I1 (instanceRef zpuino_io_io_device_ack211)) - ) - ) - (net (rename zpuino_io_io_device_ack30 "zpuino/io/io_device_ack30") - (joined - (portRef I1 (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef O (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - ) - ) - (net (rename zpuino_io_io_device_ack44 "zpuino/io/io_device_ack44") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef I2 (instanceRef zpuino_io_io_device_ack211_SW1)) - ) - ) - (net (rename zpuino_io_io_device_ack93 "zpuino/io/io_device_ack93") - (joined - (portRef I3 (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - (portRef LO (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_ "zpuino/io/io_read_selected<0>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_0)) - (portRef O (instanceRef zpuino_io_io_read_selected_0_130)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_24 "zpuino/io/io_read_selected<0>24") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_31__SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_31__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_30__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_29__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_28__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_27__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_26__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_25__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_24__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_23__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_22__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_21__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_20__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_19__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_18__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_41 "zpuino/io/io_read_selected<0>41") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_65 "zpuino/io/io_read_selected<0>65") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_83 "zpuino/io/io_read_selected<0>83") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_130_F)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_ "zpuino/io/io_read_selected<10>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_10)) - (portRef O (instanceRef zpuino_io_io_read_selected_10_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_25 "zpuino/io/io_read_selected<10>25") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_26 "zpuino/io/io_read_selected<10>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_10_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_5 "zpuino/io/io_read_selected<10>5") - (joined - (portRef I0 (instanceRef zpuino_io_io_read_selected_10_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_11_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_12_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_13_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_14_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_15_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_8_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_9_5)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_86)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_16_86)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_61 "zpuino/io/io_read_selected<10>61") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_10_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_11_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_12_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_13_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_14_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_15_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_8_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_17_86)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_16_86)) - ) - ) - (net (rename zpuino_io_io_read_selected_11_ "zpuino/io/io_read_selected<11>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_11)) - (portRef O (instanceRef zpuino_io_io_read_selected_11_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_11_26 "zpuino/io/io_read_selected<11>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_11_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - ) - ) - (net (rename zpuino_io_io_read_selected_12_ "zpuino/io/io_read_selected<12>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_12)) - (portRef O (instanceRef zpuino_io_io_read_selected_12_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_12_26 "zpuino/io/io_read_selected<12>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_12_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - ) - ) - (net (rename zpuino_io_io_read_selected_13_ "zpuino/io/io_read_selected<13>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_13)) - (portRef O (instanceRef zpuino_io_io_read_selected_13_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_13_26 "zpuino/io/io_read_selected<13>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_13_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - ) - ) - (net (rename zpuino_io_io_read_selected_14_ "zpuino/io/io_read_selected<14>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_14)) - (portRef O (instanceRef zpuino_io_io_read_selected_14_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_14_26 "zpuino/io/io_read_selected<14>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_14_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - ) - ) - (net (rename zpuino_io_io_read_selected_15_ "zpuino/io/io_read_selected<15>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_15)) - (portRef O (instanceRef zpuino_io_io_read_selected_15_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_15_26 "zpuino/io/io_read_selected<15>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_15_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_ "zpuino/io/io_read_selected<16>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_16)) - (portRef O (instanceRef zpuino_io_io_read_selected_16_86)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_37 "zpuino/io/io_read_selected<16>37") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_17_37)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_391_renamed_2285)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_16_391_renamed_2287)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_39 "zpuino/io/io_read_selected<16>39") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_16_86)) - (portRef O (instanceRef zpuino_io_io_read_selected_16_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_391 "zpuino/io/io_read_selected<16>391") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_16_391_renamed_2287)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_16_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_392 "zpuino/io/io_read_selected<16>392") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_16_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_17_ "zpuino/io/io_read_selected<17>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_17)) - (portRef O (instanceRef zpuino_io_io_read_selected_17_86)) - ) - ) - (net (rename zpuino_io_io_read_selected_17_39 "zpuino/io/io_read_selected<17>39") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_17_86)) - (portRef O (instanceRef zpuino_io_io_read_selected_17_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_17_391 "zpuino/io/io_read_selected<17>391") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_17_391_renamed_2285)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_17_392 "zpuino/io/io_read_selected<17>392") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_18_ "zpuino/io/io_read_selected<18>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_18)) - (portRef O (instanceRef zpuino_io_io_read_selected_18__)) - ) - ) - (net (rename zpuino_io_io_read_selected_19_ "zpuino/io/io_read_selected<19>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_19)) - (portRef O (instanceRef zpuino_io_io_read_selected_19__)) - ) - ) - (net (rename zpuino_io_io_read_selected_1_ "zpuino/io/io_read_selected<1>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_1)) - (portRef O (instanceRef zpuino_io_io_read_selected_1_123)) - ) - ) - (net (rename zpuino_io_io_read_selected_1_37 "zpuino/io/io_read_selected<1>37") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - ) - ) - (net (rename zpuino_io_io_read_selected_1_60 "zpuino/io/io_read_selected<1>60") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - ) - ) - (net (rename zpuino_io_io_read_selected_1_77 "zpuino/io/io_read_selected<1>77") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_123_F)) - ) - ) - (net (rename zpuino_io_io_read_selected_20_ "zpuino/io/io_read_selected<20>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_20)) - (portRef O (instanceRef zpuino_io_io_read_selected_20__)) - ) - ) - (net (rename zpuino_io_io_read_selected_21_ "zpuino/io/io_read_selected<21>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_21)) - (portRef O (instanceRef zpuino_io_io_read_selected_21__)) - ) - ) - (net (rename zpuino_io_io_read_selected_22_ "zpuino/io/io_read_selected<22>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_22)) - (portRef O (instanceRef zpuino_io_io_read_selected_22__)) - ) - ) - (net (rename zpuino_io_io_read_selected_23_ "zpuino/io/io_read_selected<23>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_23)) - (portRef O (instanceRef zpuino_io_io_read_selected_23__)) - ) - ) - (net (rename zpuino_io_io_read_selected_24_ "zpuino/io/io_read_selected<24>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_24)) - (portRef O (instanceRef zpuino_io_io_read_selected_24__)) - ) - ) - (net (rename zpuino_io_io_read_selected_25_ "zpuino/io/io_read_selected<25>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_25)) - (portRef O (instanceRef zpuino_io_io_read_selected_25__)) - ) - ) - (net (rename zpuino_io_io_read_selected_26_ "zpuino/io/io_read_selected<26>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_26)) - (portRef O (instanceRef zpuino_io_io_read_selected_26__)) - ) - ) - (net (rename zpuino_io_io_read_selected_27_ "zpuino/io/io_read_selected<27>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_27)) - (portRef O (instanceRef zpuino_io_io_read_selected_27__)) - ) - ) - (net (rename zpuino_io_io_read_selected_28_ "zpuino/io/io_read_selected<28>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_28)) - (portRef O (instanceRef zpuino_io_io_read_selected_28__)) - ) - ) - (net (rename zpuino_io_io_read_selected_29_ "zpuino/io/io_read_selected<29>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_29)) - (portRef O (instanceRef zpuino_io_io_read_selected_29__)) - ) - ) - (net (rename zpuino_io_io_read_selected_2_ "zpuino/io/io_read_selected<2>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_2)) - (portRef O (instanceRef zpuino_io_io_read_selected_2_123)) - ) - ) - (net (rename zpuino_io_io_read_selected_2_37 "zpuino/io/io_read_selected<2>37") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - ) - ) - (net (rename zpuino_io_io_read_selected_2_60 "zpuino/io/io_read_selected<2>60") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - ) - ) - (net (rename zpuino_io_io_read_selected_2_77 "zpuino/io/io_read_selected<2>77") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_123_F)) - ) - ) - (net (rename zpuino_io_io_read_selected_30_ "zpuino/io/io_read_selected<30>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_30)) - (portRef O (instanceRef zpuino_io_io_read_selected_30__)) - ) - ) - (net (rename zpuino_io_io_read_selected_31_ "zpuino/io/io_read_selected<31>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_31)) - (portRef O (instanceRef zpuino_io_io_read_selected_31__)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_ "zpuino/io/io_read_selected<3>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_3)) - (portRef O (instanceRef zpuino_io_io_read_selected_3_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_102 "zpuino/io/io_read_selected<3>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_14 "zpuino/io/io_read_selected<3>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_34 "zpuino/io/io_read_selected<3>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_341 "zpuino/io/io_read_selected<3>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_50 "zpuino/io/io_read_selected<3>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_ "zpuino/io/io_read_selected<4>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_4)) - (portRef O (instanceRef zpuino_io_io_read_selected_4_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_102 "zpuino/io/io_read_selected<4>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_14 "zpuino/io/io_read_selected<4>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_34 "zpuino/io/io_read_selected<4>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_341 "zpuino/io/io_read_selected<4>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_50 "zpuino/io/io_read_selected<4>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_ "zpuino/io/io_read_selected<5>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_5)) - (portRef O (instanceRef zpuino_io_io_read_selected_5_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_102 "zpuino/io/io_read_selected<5>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_14 "zpuino/io/io_read_selected<5>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_34 "zpuino/io/io_read_selected<5>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_341 "zpuino/io/io_read_selected<5>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_50 "zpuino/io/io_read_selected<5>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_ "zpuino/io/io_read_selected<6>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_6)) - (portRef O (instanceRef zpuino_io_io_read_selected_6_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_102 "zpuino/io/io_read_selected<6>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_14 "zpuino/io/io_read_selected<6>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_34 "zpuino/io/io_read_selected<6>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_341 "zpuino/io/io_read_selected<6>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_50 "zpuino/io/io_read_selected<6>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_ "zpuino/io/io_read_selected<7>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_7)) - (portRef O (instanceRef zpuino_io_io_read_selected_7_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_102 "zpuino/io/io_read_selected<7>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_14 "zpuino/io/io_read_selected<7>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_34 "zpuino/io/io_read_selected<7>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_341 "zpuino/io/io_read_selected<7>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_50 "zpuino/io/io_read_selected<7>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - ) - ) - (net (rename zpuino_io_io_read_selected_8_ "zpuino/io/io_read_selected<8>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_8)) - (portRef O (instanceRef zpuino_io_io_read_selected_8_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_8_26 "zpuino/io/io_read_selected<8>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_8_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - ) - ) - (net (rename zpuino_io_io_read_selected_9_ "zpuino/io/io_read_selected<9>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_9)) - (portRef O (instanceRef zpuino_io_io_read_selected_9_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_9_26 "zpuino/io/io_read_selected<9>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_9_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - ) - ) - (net (rename zpuino_io_io_stb "zpuino/io/io_stb") - (joined - (portRef Q (instanceRef zpuino_io_io_stb_renamed_3)) - (portRef I0 (instanceRef slot0_spi_transfersize_q_not0001211)) - (portRef I1 (instanceRef slot0_trans_or00001)) - (portRef I1 (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - (portRef I2 (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I1 (instanceRef slot1_trans_or00001)) - (portRef I1 (instanceRef gpio_inst_gpio_q_32_not00014_SW0)) - (portRef I3 (instanceRef crc16_inst_data_q_and000011)) - (portRef I1 (instanceRef timers_inst_timer1_stb1)) - (portRef I1 (instanceRef crc16_inst_poly_q_not000111)) - (portRef I2 (instanceRef uart_inst_fifo_rd_and000011)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I2 (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef I1 (instanceRef sigmadelta_inst_le_q_not0001_SW1)) - (portRef I1 (instanceRef slot0_spi_txblock_q_not00011)) - (portRef I1 (instanceRef slot1_spi_txblock_q_not00011)) - (portRef I2 (instanceRef slot0_spi_en_or0000_SW1)) - (portRef I2 (instanceRef slot1_spi_en_or0000_SW1)) - (portRef I0 (instanceRef zpuino_io_io_device_ack211)) - (portRef I2 (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I2 (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef I3 (instanceRef crc16_inst_ready_q_not0001_G)) - (portRef I0 (instanceRef slot9_read_ended_and000011)) - (portRef I2 (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - (portRef I1 (instanceRef zpuino_io_io_device_ack1182_renamed_2303)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef I1 (instanceRef slot11_fifo_rd_and000011)) - (portRef I0 (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - (portRef I3 (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net (rename zpuino_io_io_stb_mux0000 "zpuino/io/io_stb_mux0000") - (joined - (portRef D (instanceRef zpuino_io_io_stb_renamed_3)) - (portRef O (instanceRef zpuino_io_io_stb_mux00001_INV_0)) - ) - ) - (net (rename zpuino_io_io_stb_not0001 "zpuino/io/io_stb_not0001") - (joined - (portRef CE (instanceRef zpuino_io_io_stb_renamed_3)) - (portRef O (instanceRef zpuino_io_io_stb_not00011)) - ) - ) - (net (rename zpuino_io_io_we "zpuino/io/io_we") - (joined - (portRef Q (instanceRef zpuino_io_io_we_renamed_310)) - (portRef I0 (instanceRef slot1_spi_en_mux00071)) - (portRef I0 (instanceRef slot0_spi_en_mux00071)) - (portRef I1 (instanceRef slot0_spi_transfersize_q_not0001211)) - (portRef I1 (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - (portRef I3 (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - (portRef I0 (instanceRef uart_inst_divider_rx_q_not00011)) - (portRef I1 (instanceRef slot11_tx_core_tbuff_r_not00011)) - (portRef I3 (instanceRef slot11_fifo_instance_rdaddr_and00001)) - (portRef I0 (instanceRef slot11_divider_rx_q_not00011)) - (portRef I2 (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - (portRef I1 (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I2 (instanceRef crc16_inst_data_q_and000011)) - (portRef I0 (instanceRef crc16_inst_crcA_q_not00011)) - (portRef I1 (instanceRef timers_inst_timer1_we1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I3 (instanceRef zpuino_io_io_device_ack118_SW0)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef I2 (instanceRef sigmadelta_inst_le_q_not0001_SW1)) - (portRef I2 (instanceRef slot0_spi_txblock_q_not00011)) - (portRef I2 (instanceRef slot1_spi_txblock_q_not00011)) - (portRef I2 (instanceRef crc16_inst_ready_q_not0001_G)) - (portRef S (instanceRef slot9_read_ended_and00001_f5)) - (portRef S (instanceRef uart_inst_tx_core_loaded_r_not0001_f5)) - (portRef S (instanceRef slot11_tx_core_loaded_r_not0001_f5)) - (portRef I2 (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I2 (instanceRef gpio_inst_gpio_q_0_not00015)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - ) - ) - (net (rename zpuino_io_io_we_or0000_inv "zpuino/io/io_we_or0000_inv") - (joined - (portRef CE (instanceRef zpuino_io_io_we_renamed_310)) - (portRef O (instanceRef zpuino_io_io_we_or0000_inv1)) - ) - ) - (net (rename zpuino_io_slot_cyc_i_0_mux0000 "zpuino/io/slot_cyc_i_0_mux0000") - (joined - (portRef O (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_0_mux0000_f5)) - ) - ) - (net (rename zpuino_io_slot_cyc_i_4_cmp_eq0000 "zpuino/io/slot_cyc_i_4_cmp_eq0000") - (joined - (portRef O (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_114)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_114)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_114)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_114)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_114)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - (portRef I3 (instanceRef zpuino_io_io_device_ack211_SW1)) - (portRef S (instanceRef zpuino_io_io_read_selected_2_123)) - (portRef S (instanceRef zpuino_io_io_read_selected_1_123)) - (portRef S (instanceRef zpuino_io_io_read_selected_0_130)) - ) - ) - (net (rename zpuino_io_wb_ack_o "zpuino/io/wb_ack_o") - (joined - (portRef Q (instanceRef zpuino_io_wb_ack_o_renamed_10)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000251)) - (portRef I2 (instanceRef zpuino_iomemmux_m_wb_ack_o1)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00025138_G)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002810)) - ) - ) - (net (rename zpuino_io_wb_dat_o_0_ "zpuino/io/wb_dat_o<0>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - ) - ) - (net (rename zpuino_io_wb_dat_o_1_ "zpuino/io/wb_dat_o<1>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_1)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - ) - ) - (net (rename zpuino_io_wb_dat_o_10_ "zpuino/io/wb_dat_o<10>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_10)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - ) - ) - (net (rename zpuino_io_wb_dat_o_11_ "zpuino/io/wb_dat_o<11>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_11)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - ) - ) - (net (rename zpuino_io_wb_dat_o_12_ "zpuino/io/wb_dat_o<12>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_12)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - ) - ) - (net (rename zpuino_io_wb_dat_o_13_ "zpuino/io/wb_dat_o<13>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_13)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - ) - ) - (net (rename zpuino_io_wb_dat_o_14_ "zpuino/io/wb_dat_o<14>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_14)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - ) - ) - (net (rename zpuino_io_wb_dat_o_15_ "zpuino/io/wb_dat_o<15>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_15)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - ) - ) - (net (rename zpuino_io_wb_dat_o_16_ "zpuino/io/wb_dat_o<16>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_16)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - ) - ) - (net (rename zpuino_io_wb_dat_o_17_ "zpuino/io/wb_dat_o<17>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_17)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - ) - ) - (net (rename zpuino_io_wb_dat_o_18_ "zpuino/io/wb_dat_o<18>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_18)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - ) - ) - (net (rename zpuino_io_wb_dat_o_19_ "zpuino/io/wb_dat_o<19>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_19)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - ) - ) - (net (rename zpuino_io_wb_dat_o_2_ "zpuino/io/wb_dat_o<2>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_2)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - ) - ) - (net (rename zpuino_io_wb_dat_o_20_ "zpuino/io/wb_dat_o<20>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_20)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - ) - ) - (net (rename zpuino_io_wb_dat_o_21_ "zpuino/io/wb_dat_o<21>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_21)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - ) - ) - (net (rename zpuino_io_wb_dat_o_22_ "zpuino/io/wb_dat_o<22>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_22)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - ) - ) - (net (rename zpuino_io_wb_dat_o_23_ "zpuino/io/wb_dat_o<23>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_23)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - ) - ) - (net (rename zpuino_io_wb_dat_o_24_ "zpuino/io/wb_dat_o<24>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_24)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - ) - ) - (net (rename zpuino_io_wb_dat_o_25_ "zpuino/io/wb_dat_o<25>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_25)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - ) - ) - (net (rename zpuino_io_wb_dat_o_26_ "zpuino/io/wb_dat_o<26>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_26)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - ) - ) - (net (rename zpuino_io_wb_dat_o_27_ "zpuino/io/wb_dat_o<27>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_27)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - ) - ) - (net (rename zpuino_io_wb_dat_o_28_ "zpuino/io/wb_dat_o<28>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_28)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - ) - ) - (net (rename zpuino_io_wb_dat_o_29_ "zpuino/io/wb_dat_o<29>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_29)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - ) - ) - (net (rename zpuino_io_wb_dat_o_3_ "zpuino/io/wb_dat_o<3>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_3)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - ) - ) - (net (rename zpuino_io_wb_dat_o_30_ "zpuino/io/wb_dat_o<30>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_30)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - ) - ) - (net (rename zpuino_io_wb_dat_o_31_ "zpuino/io/wb_dat_o<31>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_31)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - ) - ) - (net (rename zpuino_io_wb_dat_o_4_ "zpuino/io/wb_dat_o<4>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_4)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - ) - ) - (net (rename zpuino_io_wb_dat_o_5_ "zpuino/io/wb_dat_o<5>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_5)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - ) - ) - (net (rename zpuino_io_wb_dat_o_6_ "zpuino/io/wb_dat_o<6>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_6)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - ) - ) - (net (rename zpuino_io_wb_dat_o_7_ "zpuino/io/wb_dat_o<7>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_7)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - ) - ) - (net (rename zpuino_io_wb_dat_o_8_ "zpuino/io/wb_dat_o<8>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_8)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - ) - ) - (net (rename zpuino_io_wb_dat_o_9_ "zpuino/io/wb_dat_o<9>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_9)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - ) - ) - (net (rename zpuino_io_wb_in_transaction "zpuino/io/wb_in_transaction") - (joined - (portRef Q (instanceRef zpuino_io_wb_in_transaction_renamed_4)) - (portRef I2 (instanceRef zpuino_io_io_we_or0000_inv1)) - (portRef I0 (instanceRef zpuino_io_io_cyc_or0000_inv1)) - (portRef I (instanceRef zpuino_io_io_stb_mux00001_INV_0)) - (portRef I0 (instanceRef zpuino_io_io_stb_not00011)) - ) - ) - (net (rename zpuino_io_write_save_q_0_ "zpuino/io/write_save_q<0>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_0)) - (portRef D (instanceRef gpio_inst_ppspin_q_96)) - (portRef D (instanceRef gpio_inst_gpio_q_0)) - (portRef D (instanceRef gpio_inst_gpio_q_32)) - (portRef D (instanceRef gpio_inst_ppspin_q_0)) - (portRef D (instanceRef gpio_inst_ppspin_q_32)) - (portRef D (instanceRef gpio_inst_ppspin_q_64)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_0_renamed_762)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_0_renamed_756)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_0_renamed_750)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_0_renamed_744)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_0_renamed_738)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_0_renamed_732)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_0_renamed_726)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_0_renamed_720)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_0_renamed_714)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_0_renamed_708)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_0_renamed_702)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_0_renamed_696)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_0_renamed_690)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_0_renamed_684)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_0_renamed_678)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_0_renamed_672)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_0_renamed_666)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_0_renamed_660)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_0_renamed_654)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_0_renamed_648)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_0_renamed_642)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_0_renamed_636)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_0_renamed_630)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_0_renamed_624)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_0_renamed_618)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_0_renamed_612)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_0_renamed_606)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_0_renamed_600)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_0_renamed_594)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_0_renamed_588)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_0_renamed_582)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_0_renamed_576)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_0_renamed_570)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_0_renamed_563)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_0_renamed_557)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_0_renamed_551)) - (portRef D (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef D (instanceRef crc16_inst_poly_q_0)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef D (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef D (instanceRef uart_inst_divider_rx_q_0)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_0)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_0_renamed_895)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917)) - (portRef D (instanceRef slot11_divider_rx_q_0)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_0)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_8_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_0_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_0_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_0_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_0_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_0)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member DIA 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_0__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_1_ "zpuino/io/write_save_q<1>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_1)) - (portRef D (instanceRef slot1_spi_clk_pres_0)) - (portRef D (instanceRef slot0_spi_clk_pres_0)) - (portRef D (instanceRef gpio_inst_ppspin_q_97)) - (portRef D (instanceRef gpio_inst_gpio_q_1)) - (portRef D (instanceRef gpio_inst_gpio_q_33)) - (portRef D (instanceRef gpio_inst_ppspin_q_1)) - (portRef D (instanceRef gpio_inst_ppspin_q_33)) - (portRef D (instanceRef gpio_inst_ppspin_q_65)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_1_renamed_761)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_1_renamed_755)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_1_renamed_749)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_1_renamed_743)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_1_renamed_737)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_1_renamed_731)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_1_renamed_725)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_1_renamed_719)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_1_renamed_713)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_1_renamed_707)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_1_renamed_701)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_1_renamed_695)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_1_renamed_689)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_1_renamed_683)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_1_renamed_677)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_1_renamed_671)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_1_renamed_665)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_1_renamed_659)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_1_renamed_653)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_1_renamed_647)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_1_renamed_641)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_1_renamed_635)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_1_renamed_629)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_1_renamed_623)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_1_renamed_617)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_1_renamed_611)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_1_renamed_605)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_1_renamed_599)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_1_renamed_593)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_1_renamed_587)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_1_renamed_581)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_1_renamed_575)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_1_renamed_569)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_1_renamed_562)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_1_renamed_556)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_1_renamed_550)) - (portRef D (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef D (instanceRef crc16_inst_poly_q_1)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef D (instanceRef uart_inst_divider_rx_q_1)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_1)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_1_renamed_896)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918)) - (portRef D (instanceRef slot11_divider_rx_q_1)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_1)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_9_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_1_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_1_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member DIA 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_1__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_10_ "zpuino/io/write_save_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_10)) - (portRef D (instanceRef gpio_inst_gpio_q_10)) - (portRef D (instanceRef gpio_inst_gpio_q_42)) - (portRef D (instanceRef gpio_inst_ppspin_q_106)) - (portRef D (instanceRef gpio_inst_ppspin_q_10)) - (portRef D (instanceRef gpio_inst_ppspin_q_42)) - (portRef D (instanceRef gpio_inst_ppspin_q_74)) - (portRef D (instanceRef crc16_inst_poly_q_10)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef D (instanceRef uart_inst_divider_rx_q_10)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_10_renamed_905)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927)) - (portRef D (instanceRef slot11_divider_rx_q_10)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_2_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_10_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_10__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_11_ "zpuino/io/write_save_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_11)) - (portRef D (instanceRef gpio_inst_gpio_q_11)) - (portRef D (instanceRef gpio_inst_gpio_q_43)) - (portRef D (instanceRef gpio_inst_ppspin_q_107)) - (portRef D (instanceRef gpio_inst_ppspin_q_11)) - (portRef D (instanceRef gpio_inst_ppspin_q_43)) - (portRef D (instanceRef gpio_inst_ppspin_q_75)) - (portRef D (instanceRef crc16_inst_poly_q_11)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef D (instanceRef uart_inst_divider_rx_q_11)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_11_renamed_906)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928)) - (portRef D (instanceRef slot11_divider_rx_q_11)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_3_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_11_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_11__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_12_ "zpuino/io/write_save_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_12)) - (portRef D (instanceRef gpio_inst_gpio_q_12)) - (portRef D (instanceRef gpio_inst_gpio_q_44)) - (portRef D (instanceRef gpio_inst_ppspin_q_108)) - (portRef D (instanceRef gpio_inst_ppspin_q_12)) - (portRef D (instanceRef gpio_inst_ppspin_q_44)) - (portRef D (instanceRef gpio_inst_ppspin_q_76)) - (portRef D (instanceRef crc16_inst_poly_q_12)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef D (instanceRef uart_inst_divider_rx_q_12)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_12_renamed_907)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929)) - (portRef D (instanceRef slot11_divider_rx_q_12)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_4_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_12_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_12__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_13_ "zpuino/io/write_save_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_13)) - (portRef D (instanceRef gpio_inst_gpio_q_13)) - (portRef D (instanceRef gpio_inst_gpio_q_45)) - (portRef D (instanceRef gpio_inst_ppspin_q_109)) - (portRef D (instanceRef gpio_inst_ppspin_q_13)) - (portRef D (instanceRef gpio_inst_ppspin_q_45)) - (portRef D (instanceRef gpio_inst_ppspin_q_77)) - (portRef D (instanceRef crc16_inst_poly_q_13)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef D (instanceRef uart_inst_divider_rx_q_13)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_13_renamed_908)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930)) - (portRef D (instanceRef slot11_divider_rx_q_13)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_5_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_13_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_13__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_14_ "zpuino/io/write_save_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_14)) - (portRef D (instanceRef gpio_inst_gpio_q_14)) - (portRef D (instanceRef gpio_inst_gpio_q_46)) - (portRef D (instanceRef gpio_inst_ppspin_q_110)) - (portRef D (instanceRef gpio_inst_ppspin_q_14)) - (portRef D (instanceRef gpio_inst_ppspin_q_46)) - (portRef D (instanceRef gpio_inst_ppspin_q_78)) - (portRef D (instanceRef crc16_inst_poly_q_14)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef D (instanceRef uart_inst_divider_rx_q_14)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_14_renamed_909)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931)) - (portRef D (instanceRef slot11_divider_rx_q_14)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_6_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_14_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_14__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_15_ "zpuino/io/write_save_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_15)) - (portRef D (instanceRef gpio_inst_gpio_q_15)) - (portRef D (instanceRef gpio_inst_gpio_q_47)) - (portRef D (instanceRef gpio_inst_ppspin_q_111)) - (portRef D (instanceRef gpio_inst_ppspin_q_15)) - (portRef D (instanceRef gpio_inst_ppspin_q_47)) - (portRef D (instanceRef gpio_inst_ppspin_q_79)) - (portRef D (instanceRef crc16_inst_poly_q_15)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef D (instanceRef uart_inst_divider_rx_q_15)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_15_renamed_910)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932)) - (portRef D (instanceRef slot11_divider_rx_q_15)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_7_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_15_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_15_1_f5)) - ) - ) - (net (rename zpuino_io_write_save_q_16_ "zpuino/io/write_save_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_16)) - (portRef D (instanceRef gpio_inst_gpio_q_48)) - (portRef D (instanceRef gpio_inst_ppspin_q_112)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef D (instanceRef gpio_inst_ppspin_q_16)) - (portRef D (instanceRef gpio_inst_ppspin_q_48)) - (portRef D (instanceRef gpio_inst_ppspin_q_80)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_8_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_0_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - ) - ) - (net (rename zpuino_io_write_save_q_17_ "zpuino/io/write_save_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_17)) - (portRef D (instanceRef gpio_inst_ppspin_q_113)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef D (instanceRef gpio_inst_ppspin_q_17)) - (portRef D (instanceRef gpio_inst_ppspin_q_49)) - (portRef D (instanceRef gpio_inst_ppspin_q_81)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_9_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_1_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - ) - ) - (net (rename zpuino_io_write_save_q_18_ "zpuino/io/write_save_q<18>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_18)) - (portRef D (instanceRef gpio_inst_ppspin_q_114)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef D (instanceRef gpio_inst_ppspin_q_18)) - (portRef D (instanceRef gpio_inst_ppspin_q_50)) - (portRef D (instanceRef gpio_inst_ppspin_q_82)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_2_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_10_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - ) - ) - (net (rename zpuino_io_write_save_q_19_ "zpuino/io/write_save_q<19>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_19)) - (portRef D (instanceRef gpio_inst_ppspin_q_115)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef D (instanceRef gpio_inst_ppspin_q_19)) - (portRef D (instanceRef gpio_inst_ppspin_q_51)) - (portRef D (instanceRef gpio_inst_ppspin_q_83)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_3_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_11_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - ) - ) - (net (rename zpuino_io_write_save_q_2_ "zpuino/io/write_save_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_2)) - (portRef D (instanceRef slot1_spi_clk_pres_1)) - (portRef D (instanceRef slot0_spi_clk_pres_1)) - (portRef D (instanceRef gpio_inst_ppspin_q_98)) - (portRef D (instanceRef gpio_inst_gpio_q_2)) - (portRef D (instanceRef gpio_inst_ppspin_q_2)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef D (instanceRef gpio_inst_ppspin_q_34)) - (portRef D (instanceRef gpio_inst_ppspin_q_66)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_2_renamed_760)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_2_renamed_754)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_2_renamed_748)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_2_renamed_742)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_2_renamed_736)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_2_renamed_730)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_2_renamed_724)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_2_renamed_718)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_2_renamed_712)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_2_renamed_706)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_2_renamed_700)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_2_renamed_694)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_2_renamed_688)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_2_renamed_682)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_2_renamed_676)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_2_renamed_670)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_2_renamed_664)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_2_renamed_658)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_2_renamed_652)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_2_renamed_646)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_2_renamed_640)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_2_renamed_634)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_2_renamed_628)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_2_renamed_622)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_2_renamed_616)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_2_renamed_610)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_2_renamed_604)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_2_renamed_598)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_2_renamed_592)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_2_renamed_586)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_2_renamed_580)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_2_renamed_574)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_2_renamed_568)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_2_renamed_561)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_2_renamed_555)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_2_renamed_549)) - (portRef D (instanceRef sigmadelta_inst_le_q_renamed_763)) - (portRef D (instanceRef crc16_inst_poly_q_2)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef D (instanceRef uart_inst_divider_rx_q_2)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_2)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_2_renamed_897)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919)) - (portRef D (instanceRef slot11_divider_rx_q_2)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_2)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_2_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_10_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_2_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member DIA 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_2__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_20_ "zpuino/io/write_save_q<20>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_20)) - (portRef D (instanceRef gpio_inst_ppspin_q_116)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef D (instanceRef gpio_inst_ppspin_q_20)) - (portRef D (instanceRef gpio_inst_ppspin_q_52)) - (portRef D (instanceRef gpio_inst_ppspin_q_84)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_4_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_12_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - ) - ) - (net (rename zpuino_io_write_save_q_21_ "zpuino/io/write_save_q<21>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_21)) - (portRef D (instanceRef gpio_inst_ppspin_q_117)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef D (instanceRef gpio_inst_ppspin_q_21)) - (portRef D (instanceRef gpio_inst_ppspin_q_53)) - (portRef D (instanceRef gpio_inst_ppspin_q_85)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_5_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_13_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - ) - ) - (net (rename zpuino_io_write_save_q_22_ "zpuino/io/write_save_q<22>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_22)) - (portRef D (instanceRef gpio_inst_ppspin_q_118)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef D (instanceRef gpio_inst_ppspin_q_22)) - (portRef D (instanceRef gpio_inst_ppspin_q_54)) - (portRef D (instanceRef gpio_inst_ppspin_q_86)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_6_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_14_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - ) - ) - (net (rename zpuino_io_write_save_q_23_ "zpuino/io/write_save_q<23>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_23)) - (portRef D (instanceRef gpio_inst_ppspin_q_119)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef D (instanceRef gpio_inst_ppspin_q_23)) - (portRef D (instanceRef gpio_inst_ppspin_q_55)) - (portRef D (instanceRef gpio_inst_ppspin_q_87)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_7_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_15_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - ) - ) - (net (rename zpuino_io_write_save_q_24_ "zpuino/io/write_save_q<24>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_24)) - (portRef D (instanceRef gpio_inst_gpio_q_24)) - (portRef D (instanceRef gpio_inst_ppspin_q_120)) - (portRef D (instanceRef gpio_inst_ppspin_q_24)) - (portRef D (instanceRef gpio_inst_ppspin_q_56)) - (portRef D (instanceRef gpio_inst_ppspin_q_88)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_8_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_0_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_24)) - ) - ) - (net (rename zpuino_io_write_save_q_25_ "zpuino/io/write_save_q<25>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_25)) - (portRef D (instanceRef gpio_inst_gpio_q_25)) - (portRef D (instanceRef gpio_inst_ppspin_q_121)) - (portRef D (instanceRef gpio_inst_ppspin_q_25)) - (portRef D (instanceRef gpio_inst_ppspin_q_57)) - (portRef D (instanceRef gpio_inst_ppspin_q_89)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_9_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_1_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_25)) - ) - ) - (net (rename zpuino_io_write_save_q_26_ "zpuino/io/write_save_q<26>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_26)) - (portRef D (instanceRef gpio_inst_gpio_q_26)) - (portRef D (instanceRef gpio_inst_ppspin_q_122)) - (portRef D (instanceRef gpio_inst_ppspin_q_26)) - (portRef D (instanceRef gpio_inst_ppspin_q_58)) - (portRef D (instanceRef gpio_inst_ppspin_q_90)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_2_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_10_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_26)) - ) - ) - (net (rename zpuino_io_write_save_q_27_ "zpuino/io/write_save_q<27>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_27)) - (portRef D (instanceRef gpio_inst_gpio_q_27)) - (portRef D (instanceRef gpio_inst_ppspin_q_123)) - (portRef D (instanceRef gpio_inst_ppspin_q_27)) - (portRef D (instanceRef gpio_inst_ppspin_q_59)) - (portRef D (instanceRef gpio_inst_ppspin_q_91)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_3_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_11_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_27)) - ) - ) - (net (rename zpuino_io_write_save_q_28_ "zpuino/io/write_save_q<28>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_28)) - (portRef D (instanceRef gpio_inst_gpio_q_28)) - (portRef D (instanceRef gpio_inst_ppspin_q_124)) - (portRef D (instanceRef gpio_inst_ppspin_q_28)) - (portRef D (instanceRef gpio_inst_ppspin_q_60)) - (portRef D (instanceRef gpio_inst_ppspin_q_92)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_4_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_12_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_28)) - ) - ) - (net (rename zpuino_io_write_save_q_29_ "zpuino/io/write_save_q<29>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_29)) - (portRef D (instanceRef gpio_inst_gpio_q_29)) - (portRef D (instanceRef gpio_inst_ppspin_q_125)) - (portRef D (instanceRef gpio_inst_ppspin_q_29)) - (portRef D (instanceRef gpio_inst_ppspin_q_61)) - (portRef D (instanceRef gpio_inst_ppspin_q_93)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_5_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_13_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_29)) - ) - ) - (net (rename zpuino_io_write_save_q_3_ "zpuino/io/write_save_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_3)) - (portRef D (instanceRef slot1_spi_clk_pres_2)) - (portRef D (instanceRef slot0_spi_clk_pres_2)) - (portRef D (instanceRef gpio_inst_ppspin_q_99)) - (portRef D (instanceRef gpio_inst_gpio_q_3)) - (portRef D (instanceRef gpio_inst_ppspin_q_3)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef D (instanceRef gpio_inst_ppspin_q_35)) - (portRef D (instanceRef gpio_inst_ppspin_q_67)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_3_renamed_759)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_3_renamed_753)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_3_renamed_747)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_3_renamed_741)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_3_renamed_735)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_3_renamed_729)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_3_renamed_723)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_3_renamed_717)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_3_renamed_711)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_3_renamed_705)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_3_renamed_699)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_3_renamed_693)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_3_renamed_687)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_3_renamed_681)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_3_renamed_675)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_3_renamed_669)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_3_renamed_663)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_3_renamed_657)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_3_renamed_651)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_3_renamed_645)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_3_renamed_639)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_3_renamed_633)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_3_renamed_627)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_3_renamed_621)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_3_renamed_615)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_3_renamed_609)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_3_renamed_603)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_3_renamed_597)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_3_renamed_591)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_3_renamed_585)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_3_renamed_579)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_3_renamed_573)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_3_renamed_567)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_3_renamed_560)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_3_renamed_554)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_3_renamed_548)) - (portRef D (instanceRef crc16_inst_poly_q_3)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef D (instanceRef uart_inst_divider_rx_q_3)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_3)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_3_renamed_898)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920)) - (portRef D (instanceRef slot11_divider_rx_q_3)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_3)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_3_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_11_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_3_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_3__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_30_ "zpuino/io/write_save_q<30>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_30)) - (portRef D (instanceRef gpio_inst_gpio_q_30)) - (portRef D (instanceRef gpio_inst_ppspin_q_126)) - (portRef D (instanceRef gpio_inst_ppspin_q_30)) - (portRef D (instanceRef gpio_inst_ppspin_q_62)) - (portRef D (instanceRef gpio_inst_ppspin_q_94)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_6_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_14_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_30)) - ) - ) - (net (rename zpuino_io_write_save_q_31_ "zpuino/io/write_save_q<31>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_31)) - (portRef D (instanceRef gpio_inst_gpio_q_31)) - (portRef D (instanceRef gpio_inst_ppspin_q_127)) - (portRef D (instanceRef gpio_inst_ppspin_q_31)) - (portRef D (instanceRef gpio_inst_ppspin_q_63)) - (portRef D (instanceRef gpio_inst_ppspin_q_95)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_7_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_15_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_31)) - ) - ) - (net (rename zpuino_io_write_save_q_4_ "zpuino/io/write_save_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_4)) - (portRef D (instanceRef slot1_cpol_renamed_319)) - (portRef D (instanceRef slot0_cpol_renamed_326)) - (portRef D (instanceRef gpio_inst_gpio_q_4)) - (portRef D (instanceRef gpio_inst_ppspin_q_100)) - (portRef D (instanceRef gpio_inst_ppspin_q_4)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef D (instanceRef gpio_inst_ppspin_q_36)) - (portRef D (instanceRef gpio_inst_ppspin_q_68)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_4_renamed_758)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_4_renamed_752)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_4_renamed_746)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_4_renamed_740)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_4_renamed_734)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_4_renamed_728)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_4_renamed_722)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_4_renamed_716)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_4_renamed_710)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_4_renamed_704)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_4_renamed_698)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_4_renamed_692)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_4_renamed_686)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_4_renamed_680)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_4_renamed_674)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_4_renamed_668)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_4_renamed_662)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_4_renamed_656)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_4_renamed_650)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_4_renamed_644)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_4_renamed_638)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_4_renamed_632)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_4_renamed_626)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_4_renamed_620)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_4_renamed_614)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_4_renamed_608)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_4_renamed_602)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_4_renamed_596)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_4_renamed_590)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_4_renamed_584)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_4_renamed_578)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_4_renamed_572)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_4_renamed_566)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_4_renamed_559)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_4_renamed_553)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_4_renamed_547)) - (portRef D (instanceRef crc16_inst_poly_q_4)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef D (instanceRef uart_inst_divider_rx_q_4)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_4)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_4_renamed_899)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921)) - (portRef D (instanceRef slot11_divider_rx_q_4)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_4)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_4_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_12_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_4_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member DIA 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_4__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_5_ "zpuino/io/write_save_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_5)) - (portRef D (instanceRef slot1_spi_samprise_renamed_313)) - (portRef D (instanceRef slot0_spi_samprise_renamed_320)) - (portRef D (instanceRef gpio_inst_gpio_q_5)) - (portRef D (instanceRef gpio_inst_ppspin_q_101)) - (portRef D (instanceRef gpio_inst_ppspin_q_5)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef D (instanceRef gpio_inst_ppspin_q_37)) - (portRef D (instanceRef gpio_inst_ppspin_q_69)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_5_renamed_757)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_5_renamed_751)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_5_renamed_745)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_5_renamed_739)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_5_renamed_733)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_5_renamed_727)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_5_renamed_721)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_5_renamed_715)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_5_renamed_709)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_5_renamed_703)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_5_renamed_697)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_5_renamed_691)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_5_renamed_685)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_5_renamed_679)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_5_renamed_673)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_5_renamed_667)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_5_renamed_661)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_5_renamed_655)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_5_renamed_649)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_5_renamed_643)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_5_renamed_637)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_5_renamed_631)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_5_renamed_625)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_5_renamed_619)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_5_renamed_613)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_5_renamed_607)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_5_renamed_601)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_5_renamed_595)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_5_renamed_589)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_5_renamed_583)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_5_renamed_577)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_5_renamed_571)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_5_renamed_565)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_5_renamed_558)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_5_renamed_552)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_5_renamed_546)) - (portRef D (instanceRef crc16_inst_poly_q_5)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef D (instanceRef uart_inst_divider_rx_q_5)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_5)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_5_renamed_900)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922)) - (portRef D (instanceRef slot11_divider_rx_q_5)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_5)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_5_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_13_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_5_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member DIA 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_5__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_6_ "zpuino/io/write_save_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_6)) - (portRef D (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef D (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef D (instanceRef gpio_inst_gpio_q_6)) - (portRef D (instanceRef gpio_inst_ppspin_q_102)) - (portRef D (instanceRef gpio_inst_ppspin_q_6)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef D (instanceRef gpio_inst_ppspin_q_38)) - (portRef D (instanceRef gpio_inst_ppspin_q_70)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_6_renamed_564)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_6_renamed_545)) - (portRef D (instanceRef crc16_inst_poly_q_6)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef D (instanceRef uart_inst_divider_rx_q_6)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_6)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_6_renamed_901)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923)) - (portRef D (instanceRef slot11_divider_rx_q_6)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_6)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_6_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_14_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_6_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member DIA 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_6__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_7_ "zpuino/io/write_save_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_7)) - (portRef D (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef D (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef D (instanceRef gpio_inst_gpio_q_7)) - (portRef D (instanceRef gpio_inst_ppspin_q_103)) - (portRef D (instanceRef gpio_inst_ppspin_q_7)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef D (instanceRef gpio_inst_ppspin_q_39)) - (portRef D (instanceRef gpio_inst_ppspin_q_71)) - (portRef D (instanceRef crc16_inst_poly_q_7)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef D (instanceRef uart_inst_divider_rx_q_7)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_7)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_7_renamed_902)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924)) - (portRef D (instanceRef slot11_divider_rx_q_7)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_7)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_7_1)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_7_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_15_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_mux00001)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_7__f5)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net (rename zpuino_io_write_save_q_8_ "zpuino/io/write_save_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_8)) - (portRef D (instanceRef gpio_inst_gpio_q_8)) - (portRef D (instanceRef gpio_inst_gpio_q_40)) - (portRef D (instanceRef gpio_inst_ppspin_q_104)) - (portRef D (instanceRef gpio_inst_ppspin_q_8)) - (portRef D (instanceRef gpio_inst_ppspin_q_40)) - (portRef D (instanceRef gpio_inst_ppspin_q_72)) - (portRef D (instanceRef crc16_inst_poly_q_8)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef D (instanceRef uart_inst_divider_rx_q_8)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_8_renamed_903)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925)) - (portRef D (instanceRef slot11_divider_rx_q_8)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_8_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_0_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_8__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_9_ "zpuino/io/write_save_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_9)) - (portRef D (instanceRef gpio_inst_gpio_q_9)) - (portRef D (instanceRef gpio_inst_gpio_q_41)) - (portRef D (instanceRef gpio_inst_ppspin_q_105)) - (portRef D (instanceRef gpio_inst_ppspin_q_9)) - (portRef D (instanceRef gpio_inst_ppspin_q_41)) - (portRef D (instanceRef gpio_inst_ppspin_q_73)) - (portRef D (instanceRef crc16_inst_poly_q_9)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef D (instanceRef uart_inst_divider_rx_q_9)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_9_renamed_904)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926)) - (portRef D (instanceRef slot11_divider_rx_q_9)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_9_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_1_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_41)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_9__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_not0001 "zpuino/io/write_save_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_write_save_q_0)) - (portRef CE (instanceRef zpuino_io_write_save_q_1)) - (portRef CE (instanceRef zpuino_io_write_save_q_2)) - (portRef CE (instanceRef zpuino_io_write_save_q_3)) - (portRef CE (instanceRef zpuino_io_write_save_q_4)) - (portRef CE (instanceRef zpuino_io_write_save_q_5)) - (portRef CE (instanceRef zpuino_io_write_save_q_6)) - (portRef CE (instanceRef zpuino_io_write_save_q_7)) - (portRef CE (instanceRef zpuino_io_write_save_q_8)) - (portRef CE (instanceRef zpuino_io_write_save_q_9)) - (portRef CE (instanceRef zpuino_io_write_save_q_10)) - (portRef CE (instanceRef zpuino_io_write_save_q_11)) - (portRef CE (instanceRef zpuino_io_write_save_q_12)) - (portRef CE (instanceRef zpuino_io_write_save_q_13)) - (portRef CE (instanceRef zpuino_io_write_save_q_14)) - (portRef CE (instanceRef zpuino_io_write_save_q_15)) - (portRef CE (instanceRef zpuino_io_write_save_q_16)) - (portRef CE (instanceRef zpuino_io_write_save_q_17)) - (portRef CE (instanceRef zpuino_io_write_save_q_18)) - (portRef CE (instanceRef zpuino_io_write_save_q_19)) - (portRef CE (instanceRef zpuino_io_write_save_q_20)) - (portRef CE (instanceRef zpuino_io_write_save_q_21)) - (portRef CE (instanceRef zpuino_io_write_save_q_22)) - (portRef CE (instanceRef zpuino_io_write_save_q_23)) - (portRef CE (instanceRef zpuino_io_write_save_q_24)) - (portRef CE (instanceRef zpuino_io_write_save_q_25)) - (portRef CE (instanceRef zpuino_io_write_save_q_26)) - (portRef CE (instanceRef zpuino_io_write_save_q_27)) - (portRef CE (instanceRef zpuino_io_write_save_q_28)) - (portRef CE (instanceRef zpuino_io_write_save_q_29)) - (portRef CE (instanceRef zpuino_io_write_save_q_30)) - (portRef CE (instanceRef zpuino_io_write_save_q_31)) - (portRef O (instanceRef zpuino_io_write_save_q_not00011)) - ) - ) - (net (rename zpuino_io_cyc "zpuino/io_cyc") - (joined - (portRef D (instanceRef zpuino_io_io_cyc_renamed_309)) - (portRef O (instanceRef zpuino_iomemmux_s1_wb_cyc_o1)) - (portRef I3 (instanceRef zpuino_io_io_cyc_or0000_inv1)) - ) - ) - (net (rename zpuino_memory_memAWriteEnable "zpuino/memory/memAWriteEnable") - (joined - (portRef O (instanceRef zpuino_memory_memAWriteEnable1)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_memory_ramregs_do_wait "zpuino/memory/ramregs.do_wait") - (joined - (portRef Q (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef I0 (instanceRef zpuino_memory_memAWriteEnable1)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000271)) - (portRef I1 (instanceRef zpuino_iomemmux_m_wb_ack_o1)) - (portRef I2 (instanceRef zpuino_memory_ramregs_do_wait_or00001)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025138_G)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002810)) - ) - ) - (net (rename zpuino_memory_ramregs_do_wait_or0000 "zpuino/memory/ramregs_do_wait_or0000") - (joined - (portRef R (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef O (instanceRef zpuino_memory_ramregs_do_wait_or00001)) - ) - ) - (net (rename zpuino_memory_rom_do_wait "zpuino/memory/rom_do_wait") - (joined - (portRef Q (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef I0 (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - (portRef I2 (instanceRef zpuino_memory_rom_do_wait_or00001)) - (portRef I0 (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - ) - ) - (net (rename zpuino_memory_rom_do_wait_mux0001 "zpuino/memory/rom_do_wait_mux0001") - (joined - (portRef D (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef O (instanceRef zpuino_rom_wb_cti_i_0_1)) - ) - ) - (net (rename zpuino_memory_rom_do_wait_or0000 "zpuino/memory/rom_do_wait_or0000") - (joined - (portRef R (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef O (instanceRef zpuino_memory_rom_do_wait_or00001)) - ) - ) - (net (rename zpuino_memory_rom_wb_ack_o "zpuino/memory/rom_wb_ack_o") - (joined - (portRef Q (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef I2 (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I0 (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I2 (instanceRef zpuino_core_decr_valid_mux0000_SW1)) - ) - ) - (net (rename zpuino_memory_rom_wb_ack_o_mux0001 "zpuino/memory/rom_wb_ack_o_mux0001") - (joined - (portRef D (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef O (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - ) - ) - (net (rename zpuino_memory_rom_wb_ack_o_not0001 "zpuino/memory/rom_wb_ack_o_not0001") - (joined - (portRef CE (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef O (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - ) - ) - (net (rename zpuino_poppc_inst "zpuino/poppc_inst") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_iready_q_not00011)) - (portRef O (instanceRef zpuino_core_poppc_inst1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_0_ "zpuino/ram_wb_dat_o<0>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_10_ "zpuino/ram_wb_dat_o<10>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_11_ "zpuino/ram_wb_dat_o<11>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_12_ "zpuino/ram_wb_dat_o<12>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_13_ "zpuino/ram_wb_dat_o<13>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_14_ "zpuino/ram_wb_dat_o<14>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_15_ "zpuino/ram_wb_dat_o<15>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_16_ "zpuino/ram_wb_dat_o<16>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_17_ "zpuino/ram_wb_dat_o<17>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_18_ "zpuino/ram_wb_dat_o<18>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_19_ "zpuino/ram_wb_dat_o<19>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_1_ "zpuino/ram_wb_dat_o<1>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_20_ "zpuino/ram_wb_dat_o<20>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_21_ "zpuino/ram_wb_dat_o<21>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_22_ "zpuino/ram_wb_dat_o<22>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_23_ "zpuino/ram_wb_dat_o<23>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_24_ "zpuino/ram_wb_dat_o<24>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_25_ "zpuino/ram_wb_dat_o<25>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_26_ "zpuino/ram_wb_dat_o<26>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_27_ "zpuino/ram_wb_dat_o<27>") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_28_ "zpuino/ram_wb_dat_o<28>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_29_ "zpuino/ram_wb_dat_o<29>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_2_ "zpuino/ram_wb_dat_o<2>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_30_ "zpuino/ram_wb_dat_o<30>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_31_ "zpuino/ram_wb_dat_o<31>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_3_ "zpuino/ram_wb_dat_o<3>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_4_ "zpuino/ram_wb_dat_o<4>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_5_ "zpuino/ram_wb_dat_o<5>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_6_ "zpuino/ram_wb_dat_o<6>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_7_ "zpuino/ram_wb_dat_o<7>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_8_ "zpuino/ram_wb_dat_o<8>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_9_ "zpuino/ram_wb_dat_o<9>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_0_ "zpuino/rom_wb_dat_o<0>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_0_29_G)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_10_ "zpuino/rom_wb_dat_o<10>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_2_29_F)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_11_ "zpuino/rom_wb_dat_o<11>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_3_29_F)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_12_ "zpuino/rom_wb_dat_o<12>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_4_29_F)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_13_ "zpuino/rom_wb_dat_o<13>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_5_29_F)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_14_ "zpuino/rom_wb_dat_o<14>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_6_29_F)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_15_ "zpuino/rom_wb_dat_o<15>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_7_29_F)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_16_ "zpuino/rom_wb_dat_o<16>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_0_29_G)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_17_ "zpuino/rom_wb_dat_o<17>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_1_29_G)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_18_ "zpuino/rom_wb_dat_o<18>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_2_29_G)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_19_ "zpuino/rom_wb_dat_o<19>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_3_29_G)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_1_ "zpuino/rom_wb_dat_o<1>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_1_29_G)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_20_ "zpuino/rom_wb_dat_o<20>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_4_29_G)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_21_ "zpuino/rom_wb_dat_o<21>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_5_29_G)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_22_ "zpuino/rom_wb_dat_o<22>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_6_29_G)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_23_ "zpuino/rom_wb_dat_o<23>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_7_29_G)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_24_ "zpuino/rom_wb_dat_o<24>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_0_29_F)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_25_ "zpuino/rom_wb_dat_o<25>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_1_29_F)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_26_ "zpuino/rom_wb_dat_o<26>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_2_29_F)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_27_ "zpuino/rom_wb_dat_o<27>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_3_29_F)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_28_ "zpuino/rom_wb_dat_o<28>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_4_29_F)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_29_ "zpuino/rom_wb_dat_o<29>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_5_29_F)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_2_ "zpuino/rom_wb_dat_o<2>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_2_29_G)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_30_ "zpuino/rom_wb_dat_o<30>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_6_29_F)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_31_ "zpuino/rom_wb_dat_o<31>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_7_29_F)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_3_ "zpuino/rom_wb_dat_o<3>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_3_29_G)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_4_ "zpuino/rom_wb_dat_o<4>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_4_29_G)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_5_ "zpuino/rom_wb_dat_o<5>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_5_29_G)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_6_ "zpuino/rom_wb_dat_o<6>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_6_29_G)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_7_ "zpuino/rom_wb_dat_o<7>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_7_29_G)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_8_ "zpuino/rom_wb_dat_o<8>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_0_29_F)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_9_ "zpuino/rom_wb_dat_o<9>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_1_29_F)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - ) - ) - (net (rename zpuino_stack_a_addr_10_ "zpuino/stack_a_addr<10>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_14)) - (portRef (member ADDRA 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_2_ "zpuino/stack_a_addr<2>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_2_14)) - (portRef (member ADDRA 8) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_3_ "zpuino/stack_a_addr<3>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_3_14)) - (portRef (member ADDRA 7) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_4_ "zpuino/stack_a_addr<4>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_4_10)) - (portRef (member ADDRA 6) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_5_ "zpuino/stack_a_addr<5>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_5_10)) - (portRef (member ADDRA 5) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_6_ "zpuino/stack_a_addr<6>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_6_10)) - (portRef (member ADDRA 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_7_ "zpuino/stack_a_addr<7>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_7_10)) - (portRef (member ADDRA 3) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_8_ "zpuino/stack_a_addr<8>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_8_10)) - (portRef (member ADDRA 2) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_9_ "zpuino/stack_a_addr<9>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_9_10)) - (portRef (member ADDRA 1) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_enable "zpuino/stack_a_enable") - (joined - (portRef O (instanceRef zpuino_core_stack_a_enable)) - (portRef ENA (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_0_ "zpuino/stack_a_read<0>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000236_renamed_2162)) - (portRef (member DOA 31) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_0_1)) - ) - ) - (net (rename zpuino_stack_a_read_10_ "zpuino/stack_a_read<10>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000226_SW0)) - (portRef (member DOA 21) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_10_1)) - ) - ) - (net (rename zpuino_stack_a_read_11_ "zpuino/stack_a_read<11>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000226_SW0)) - (portRef (member DOA 20) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_11_1)) - ) - ) - (net (rename zpuino_stack_a_read_12_ "zpuino/stack_a_read<12>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000226_SW0)) - (portRef (member DOA 19) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_12_1)) - ) - ) - (net (rename zpuino_stack_a_read_13_ "zpuino/stack_a_read<13>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000226_SW0)) - (portRef (member DOA 18) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_13_1)) - ) - ) - (net (rename zpuino_stack_a_read_14_ "zpuino/stack_a_read<14>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000226_SW0)) - (portRef (member DOA 17) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_14_1)) - ) - ) - (net (rename zpuino_stack_a_read_15_ "zpuino/stack_a_read<15>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000226_SW0)) - (portRef (member DOA 16) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_15_1)) - ) - ) - (net (rename zpuino_stack_a_read_16_ "zpuino/stack_a_read<16>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000226_SW0)) - (portRef (member DOA 15) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_16_1)) - ) - ) - (net (rename zpuino_stack_a_read_17_ "zpuino/stack_a_read<17>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000226_SW0)) - (portRef (member DOA 14) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_17_1)) - ) - ) - (net (rename zpuino_stack_a_read_18_ "zpuino/stack_a_read<18>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000226_SW0)) - (portRef (member DOA 13) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_18_1)) - ) - ) - (net (rename zpuino_stack_a_read_19_ "zpuino/stack_a_read<19>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000226_SW0)) - (portRef (member DOA 12) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_19_1)) - ) - ) - (net (rename zpuino_stack_a_read_1_ "zpuino/stack_a_read<1>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_1_1)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000236_renamed_2152)) - (portRef (member DOA 30) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_20_ "zpuino/stack_a_read<20>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000226_SW0)) - (portRef (member DOA 11) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_20_1)) - ) - ) - (net (rename zpuino_stack_a_read_21_ "zpuino/stack_a_read<21>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000226_SW0)) - (portRef (member DOA 10) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_21_1)) - ) - ) - (net (rename zpuino_stack_a_read_22_ "zpuino/stack_a_read<22>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000226_SW0)) - (portRef (member DOA 9) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_22_1)) - ) - ) - (net (rename zpuino_stack_a_read_23_ "zpuino/stack_a_read<23>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000226_SW0)) - (portRef (member DOA 8) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_23_1)) - ) - ) - (net (rename zpuino_stack_a_read_24_ "zpuino/stack_a_read<24>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000226_SW0)) - (portRef (member DOA 7) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_24_1)) - ) - ) - (net (rename zpuino_stack_a_read_25_ "zpuino/stack_a_read<25>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000226_SW0)) - (portRef (member DOA 6) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_25_1)) - ) - ) - (net (rename zpuino_stack_a_read_26_ "zpuino/stack_a_read<26>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000226_SW0)) - (portRef (member DOA 5) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_26_1)) - ) - ) - (net (rename zpuino_stack_a_read_27_ "zpuino/stack_a_read<27>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_27_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000226_SW0)) - (portRef (member DOA 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_28_ "zpuino/stack_a_read<28>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000226_SW0)) - (portRef (member DOA 3) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_28_1)) - ) - ) - (net (rename zpuino_stack_a_read_29_ "zpuino/stack_a_read<29>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000226_SW0)) - (portRef (member DOA 2) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_29_1)) - ) - ) - (net (rename zpuino_stack_a_read_2_ "zpuino/stack_a_read<2>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_2_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000236_renamed_2154)) - (portRef (member DOA 29) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_30_ "zpuino/stack_a_read<30>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000226_SW0)) - (portRef (member DOA 1) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_30_1)) - ) - ) - (net (rename zpuino_stack_a_read_31_ "zpuino/stack_a_read<31>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_31_1)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000226_SW0)) - (portRef (member DOA 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_3_ "zpuino/stack_a_read<3>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_3_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000236_renamed_2156)) - (portRef (member DOA 28) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_4_ "zpuino/stack_a_read<4>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_4_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000236_renamed_2158)) - (portRef (member DOA 27) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_5_ "zpuino/stack_a_read<5>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_5_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000236_renamed_2160)) - (portRef (member DOA 26) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_6_ "zpuino/stack_a_read<6>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - (portRef (member DOA 25) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_6_1)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net (rename zpuino_stack_a_read_7_ "zpuino/stack_a_read<7>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef (member DOA 24) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_7_1)) - ) - ) - (net (rename zpuino_stack_a_read_8_ "zpuino/stack_a_read<8>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000226_SW0)) - (portRef (member DOA 23) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_8_1)) - ) - ) - (net (rename zpuino_stack_a_read_9_ "zpuino/stack_a_read<9>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000226_SW0)) - (portRef (member DOA 22) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_9_1)) - ) - ) - (net (rename zpuino_stack_a_write_0_ "zpuino/stack_a_write<0>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0__)) - (portRef (member DIA 31) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_10_ "zpuino/stack_a_write<10>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_10__)) - (portRef (member DIA 21) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_11_ "zpuino/stack_a_write<11>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_11__)) - (portRef (member DIA 20) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_12_ "zpuino/stack_a_write<12>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_12__)) - (portRef (member DIA 19) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_13_ "zpuino/stack_a_write<13>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_13__)) - (portRef (member DIA 18) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_14_ "zpuino/stack_a_write<14>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_14__)) - (portRef (member DIA 17) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_15_ "zpuino/stack_a_write<15>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_15__)) - (portRef (member DIA 16) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_16_ "zpuino/stack_a_write<16>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_16__)) - (portRef (member DIA 15) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_17_ "zpuino/stack_a_write<17>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_17__)) - (portRef (member DIA 14) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_18_ "zpuino/stack_a_write<18>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_18__)) - (portRef (member DIA 13) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_19_ "zpuino/stack_a_write<19>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_19__)) - (portRef (member DIA 12) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_1_ "zpuino/stack_a_write<1>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_1__)) - (portRef (member DIA 30) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_20_ "zpuino/stack_a_write<20>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_20__)) - (portRef (member DIA 11) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_21_ "zpuino/stack_a_write<21>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_21__)) - (portRef (member DIA 10) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_22_ "zpuino/stack_a_write<22>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_22__)) - (portRef (member DIA 9) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_23_ "zpuino/stack_a_write<23>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_23__)) - (portRef (member DIA 8) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_24_ "zpuino/stack_a_write<24>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_24__)) - (portRef (member DIA 7) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_25_ "zpuino/stack_a_write<25>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_25__)) - (portRef (member DIA 6) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_26_ "zpuino/stack_a_write<26>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_26__)) - (portRef (member DIA 5) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_27_ "zpuino/stack_a_write<27>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_27__)) - (portRef (member DIA 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_28_ "zpuino/stack_a_write<28>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_28__)) - (portRef (member DIA 3) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_29_ "zpuino/stack_a_write<29>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_29__)) - (portRef (member DIA 2) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_2_ "zpuino/stack_a_write<2>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_2__)) - (portRef (member DIA 29) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_30_ "zpuino/stack_a_write<30>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_30__)) - (portRef (member DIA 1) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_31_ "zpuino/stack_a_write<31>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_31_29)) - (portRef (member DIA 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_3_ "zpuino/stack_a_write<3>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_3__)) - (portRef (member DIA 28) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_4_ "zpuino/stack_a_write<4>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_4__)) - (portRef (member DIA 27) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_5_ "zpuino/stack_a_write<5>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_5__)) - (portRef (member DIA 26) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_6_ "zpuino/stack_a_write<6>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_6__)) - (portRef (member DIA 25) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_7_ "zpuino/stack_a_write<7>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_7__)) - (portRef (member DIA 24) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_8_ "zpuino/stack_a_write<8>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_8__)) - (portRef (member DIA 23) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_9_ "zpuino/stack_a_write<9>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_9__)) - (portRef (member DIA 22) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_writeenable "zpuino/stack_a_writeenable") - (joined - (portRef O (instanceRef zpuino_core_stack_a_writeenable)) - (portRef WEA (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_10_ "zpuino/stack_b_addr<10>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_10_1)) - (portRef (member ADDRB 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_2_ "zpuino/stack_b_addr<2>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_2_1)) - (portRef (member ADDRB 8) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_3_ "zpuino/stack_b_addr<3>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_3_1)) - (portRef (member ADDRB 7) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_4_ "zpuino/stack_b_addr<4>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_4_1)) - (portRef (member ADDRB 6) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_5_ "zpuino/stack_b_addr<5>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_5_1)) - (portRef (member ADDRB 5) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_6_ "zpuino/stack_b_addr<6>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_6_1)) - (portRef (member ADDRB 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_7_ "zpuino/stack_b_addr<7>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_7_1)) - (portRef (member ADDRB 3) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_8_ "zpuino/stack_b_addr<8>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_8_1)) - (portRef (member ADDRB 2) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_9_ "zpuino/stack_b_addr<9>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_9_1)) - (portRef (member ADDRB 1) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_enable "zpuino/stack_b_enable") - (joined - (portRef O (instanceRef zpuino_core_stack_b_enable40)) - (portRef ENB (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_read_0_ "zpuino/stack_b_read<0>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_0__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - (portRef (member DOB 31) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_0_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net (rename zpuino_stack_b_read_10_ "zpuino/stack_b_read<10>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_10__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - (portRef (member DOB 21) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_10_1)) - ) - ) - (net (rename zpuino_stack_b_read_11_ "zpuino/stack_b_read<11>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_11__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef (member DOB 20) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_11_1)) - ) - ) - (net (rename zpuino_stack_b_read_12_ "zpuino/stack_b_read<12>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_12__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - (portRef (member DOB 19) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - (portRef I1 (instanceRef zpuino_core_nos_12_1)) - ) - ) - (net (rename zpuino_stack_b_read_13_ "zpuino/stack_b_read<13>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_13__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef (member DOB 18) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_13_1)) - ) - ) - (net (rename zpuino_stack_b_read_14_ "zpuino/stack_b_read<14>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_14__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - (portRef (member DOB 17) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_14_1)) - ) - ) - (net (rename zpuino_stack_b_read_15_ "zpuino/stack_b_read<15>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_15__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef (member DOB 16) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_15_1)) - ) - ) - (net (rename zpuino_stack_b_read_16_ "zpuino/stack_b_read<16>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_16__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - (portRef (member DOB 15) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_16_1)) - ) - ) - (net (rename zpuino_stack_b_read_17_ "zpuino/stack_b_read<17>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_17__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - (portRef (member DOB 14) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_17_1)) - ) - ) - (net (rename zpuino_stack_b_read_18_ "zpuino/stack_b_read<18>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_18__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - (portRef (member DOB 13) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_18_1)) - ) - ) - (net (rename zpuino_stack_b_read_19_ "zpuino/stack_b_read<19>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_19__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef (member DOB 12) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_19_1)) - ) - ) - (net (rename zpuino_stack_b_read_1_ "zpuino/stack_b_read<1>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef I1 (instanceRef zpuino_core_nos_1_1)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef (member DOB 30) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_read_20_ "zpuino/stack_b_read<20>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_20__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef (member DOB 11) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_20_1)) - ) - ) - (net (rename zpuino_stack_b_read_21_ "zpuino/stack_b_read<21>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_21__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef (member DOB 10) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_21_1)) - ) - ) - (net (rename zpuino_stack_b_read_22_ "zpuino/stack_b_read<22>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_22__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef (member DOB 9) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_22_1)) - ) - ) - (net (rename zpuino_stack_b_read_23_ "zpuino/stack_b_read<23>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_23__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef (member DOB 8) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_23_1)) - ) - ) - (net (rename zpuino_stack_b_read_24_ "zpuino/stack_b_read<24>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_24__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef (member DOB 7) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_24_1)) - ) - ) - (net (rename zpuino_stack_b_read_25_ "zpuino/stack_b_read<25>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_25__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef (member DOB 6) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_25_1)) - ) - ) - (net (rename zpuino_stack_b_read_26_ "zpuino/stack_b_read<26>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_26__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef (member DOB 5) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_26_1)) - ) - ) - (net (rename zpuino_stack_b_read_27_ "zpuino/stack_b_read<27>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_27__)) - (portRef I1 (instanceRef zpuino_core_nos_27_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef (member DOB 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_read_28_ "zpuino/stack_b_read<28>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_28__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - (portRef (member DOB 3) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_28_1)) - ) - ) - (net (rename zpuino_stack_b_read_29_ "zpuino/stack_b_read<29>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_29__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef (member DOB 2) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_29_1)) - ) - ) - (net (rename zpuino_stack_b_read_2_ "zpuino/stack_b_read<2>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_2__)) - (portRef I1 (instanceRef zpuino_core_nos_2_1)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef (member DOB 29) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - ) - ) - (net (rename zpuino_stack_b_read_30_ "zpuino/stack_b_read<30>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_30__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef (member DOB 1) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_30_1)) - ) - ) - (net (rename zpuino_stack_b_read_31_ "zpuino/stack_b_read<31>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_31__)) - (portRef I1 (instanceRef zpuino_core_nos_31_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - (portRef (member DOB 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_read_3_ "zpuino/stack_b_read<3>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_3__)) - (portRef I1 (instanceRef zpuino_core_nos_3_1)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef (member DOB 28) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - ) - ) - (net (rename zpuino_stack_b_read_4_ "zpuino/stack_b_read<4>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_4__)) - (portRef I1 (instanceRef zpuino_core_nos_4_1)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef (member DOB 27) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - ) - ) - (net (rename zpuino_stack_b_read_5_ "zpuino/stack_b_read<5>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_5__)) - (portRef I1 (instanceRef zpuino_core_nos_5_1)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef (member DOB 26) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - ) - ) - (net (rename zpuino_stack_b_read_6_ "zpuino/stack_b_read<6>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_6__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - (portRef (member DOB 25) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_6_1)) - ) - ) - (net (rename zpuino_stack_b_read_7_ "zpuino/stack_b_read<7>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_7__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - (portRef (member DOB 24) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_7_1)) - ) - ) - (net (rename zpuino_stack_b_read_8_ "zpuino/stack_b_read<8>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_8__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - (portRef (member DOB 23) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_8_1)) - ) - ) - (net (rename zpuino_stack_b_read_9_ "zpuino/stack_b_read<9>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_9__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - (portRef (member DOB 22) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_9_1)) - ) - ) - (net (rename zpuino_wb_ack "zpuino/wb_ack") - (joined - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - (portRef I3 (instanceRef zpuino_core_stack_a_enable21)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef O (instanceRef zpuino_iomemmux_m_wb_ack_o1)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - (portRef S (instanceRef zpuino_core_exr_wb_cyc_mux000128_f5)) - ) - ) - ) - ) - ) - ) - - (design papilio_one_top - (cellRef papilio_one_top - (libraryRef papilio_one_lib) - ) - (property PART (string "xc3s500e-4-vq100") (owner "Xilinx")) - ) -) - diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.map b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.map deleted file mode 100644 index 17bc71fe..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.map +++ /dev/null @@ -1,178 +0,0 @@ -Release 14.2 Map P.28xd (nt64) -Xilinx Map Application Log File for Design 'papilio_one_top' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s500e-vq100-4 -cm speed -detail -ir off --ignore_keep_hierarchy -pr b -register_duplication on -timing -ol high --logic_opt on -o papilio_one.ncd papilio_one.ngd papilio_one.pcf -Target Device : xc3s500e -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3e -- $Revision: 1.55 $ -Mapped Date : Fri Jan 25 17:58:25 2013 - -Mapping design into LUTs... -Running directed packing... -WARNING:Pack:501 - The I/O component SPI_SCK has conflicting DRIVE property - values. The symbol SPI_SCK has property value 8. The symbol ospiclk/obufi - has property value . The system will use the property value attached to - symbol SPI_SCK. -WARNING:Pack:501 - The I/O component TXD has conflicting DRIVE property values. - The symbol TXD has property value 8. The symbol obuftx/obufi has property - value . The system will use the property value attached to symbol TXD. -WARNING:Pack:501 - The I/O component WING_B<0> has conflicting DRIVE property - values. The symbol WING_B<0> has property value 8. The symbol pin16/obufi - has property value . The system will use the property value attached to - symbol WING_B<0>. -WARNING:Pack:501 - The I/O component WING_B<1> has conflicting DRIVE property - values. The symbol WING_B<1> has property value 8. The symbol pin17/obufi - has property value . The system will use the property value attached to - symbol WING_B<1>. -WARNING:Pack:501 - The I/O component WING_B<2> has conflicting DRIVE property - values. The symbol WING_B<2> has property value 8. The symbol pin18/obufi - has property value . The system will use the property value attached to - symbol WING_B<2>. -WARNING:Pack:501 - The I/O component WING_B<3> has conflicting DRIVE property - values. The symbol WING_B<3> has property value 8. The symbol pin19/obufi - has property value . The system will use the property value attached to - symbol WING_B<3>. -WARNING:Pack:501 - The I/O component WING_B<4> has conflicting DRIVE property - values. The symbol WING_B<4> has property value 8. The symbol pin20/obufi - has property value . The system will use the property value attached to - symbol WING_B<4>. -WARNING:Pack:501 - The I/O component WING_B<5> has conflicting DRIVE property - values. The symbol WING_B<5> has property value 8. The symbol pin21/obufi - has property value . The system will use the property value attached to - symbol WING_B<5>. -WARNING:Pack:501 - The I/O component WING_C<2> has conflicting DRIVE property - values. The symbol WING_C<2> has property value 8. The symbol pin34/obufi - has property value . The system will use the property value attached to - symbol WING_C<2>. -WARNING:Pack:501 - The I/O component WING_B<6> has conflicting DRIVE property - values. The symbol WING_B<6> has property value 8. The symbol pin22/obufi - has property value . The system will use the property value attached to - symbol WING_B<6>. -WARNING:Pack:501 - The I/O component WING_C<3> has conflicting DRIVE property - values. The symbol WING_C<3> has property value 8. The symbol pin35/obufi - has property value . The system will use the property value attached to - symbol WING_C<3>. -WARNING:Pack:501 - The I/O component WING_B<7> has conflicting DRIVE property - values. The symbol WING_B<7> has property value 8. The symbol pin23/obufi - has property value . The system will use the property value attached to - symbol WING_B<7>. -WARNING:Pack:501 - The I/O component WING_C<4> has conflicting DRIVE property - values. The symbol WING_C<4> has property value 8. The symbol pin36/obufi - has property value . The system will use the property value attached to - symbol WING_C<4>. -WARNING:Pack:501 - The I/O component WING_C<5> has conflicting DRIVE property - values. The symbol WING_C<5> has property value 8. The symbol pin37/obufi - has property value . The system will use the property value attached to - symbol WING_C<5>. -WARNING:Pack:501 - The I/O component WING_C<6> has conflicting DRIVE property - values. The symbol WING_C<6> has property value 8. The symbol pin38/obufi - has property value . The system will use the property value attached to - symbol WING_C<6>. -WARNING:Pack:501 - The I/O component WING_C<7> has conflicting DRIVE property - values. The symbol WING_C<7> has property value 8. The symbol pin39/obufi - has property value . The system will use the property value attached to - symbol WING_C<7>. -WARNING:Pack:501 - The I/O component SPI_CS has conflicting DRIVE property - values. The symbol SPI_CS has property value 8. The symbol ospics/obufi has - property value . The system will use the property value attached to symbol - SPI_CS. -Running delay-based LUT packing... -Updating timing models... -Running timing-driven placement... -Total REAL time at the beginning of Placer: 13 secs -Total CPU time at the beginning of Placer: 11 secs - -Phase 1.1 Initial Placement Analysis -Phase 1.1 Initial Placement Analysis (Checksum:f9080921) REAL time: 15 secs - -Phase 2.7 Design Feasibility Check -Phase 2.7 Design Feasibility Check (Checksum:f9080921) REAL time: 15 secs - -Phase 3.31 Local Placement Optimization -Phase 3.31 Local Placement Optimization (Checksum:f9080921) REAL time: 15 secs - -Phase 4.2 Initial Clock and IO Placement - -Phase 4.2 Initial Clock and IO Placement (Checksum:8569df2e) REAL time: 16 secs - -Phase 5.30 Global Clock Region Assignment -Phase 5.30 Global Clock Region Assignment (Checksum:8569df2e) REAL time: 16 secs - -Phase 6.36 Local Placement Optimization -Phase 6.36 Local Placement Optimization (Checksum:8569df2e) REAL time: 16 secs - -Phase 7.8 Global Placement -........................... -........................................................................................................................................................ -.. -............................................................................................................................................................................................ -................ -................ -........................................................................ -.............. -Phase 7.8 Global Placement (Checksum:264d93dc) REAL time: 1 mins 14 secs - -Phase 8.5 Local Placement Optimization -Phase 8.5 Local Placement Optimization (Checksum:264d93dc) REAL time: 1 mins 14 secs - -Phase 9.18 Placement Optimization -Phase 9.18 Placement Optimization (Checksum:369e40a) REAL time: 1 mins 54 secs - -Phase 10.5 Local Placement Optimization -Phase 10.5 Local Placement Optimization (Checksum:369e40a) REAL time: 1 mins 55 secs - -Total REAL time to Placer completion: 1 mins 55 secs -Total CPU time to Placer completion: 1 mins 47 secs -Running physical synthesis... - -Physical synthesis completed. -Running post-placement packing... -WARNING:PhysDesignRules:367 - The signal _IBUF> is incomplete. The - signal does not drive any load pins in the design. -WARNING:PhysDesignRules:367 - The signal _IBUF> is incomplete. The - signal does not drive any load pins in the design. - -Design Summary --------------- - -Design Summary: -Number of errors: 0 -Number of warnings: 19 -Logic Utilization: - Number of Slice Flip Flops: 2,129 out of 9,312 22% - Number of 4 input LUTs: 3,632 out of 9,312 39% -Logic Distribution: - Number of occupied Slices: 2,655 out of 4,656 57% - Number of Slices containing only related logic: 2,655 out of 2,655 100% - Number of Slices containing unrelated logic: 0 out of 2,655 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 3,910 out of 9,312 41% - Number used as logic: 3,551 - Number used as a route-thru: 278 - Number used for Dual Port RAMs: 16 - (Two LUTs used per Dual Port RAM) - Number used as Shift registers: 65 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 55 out of 66 83% - IOB Flip Flops: 44 - Number of RAMB16s: 20 out of 20 100% - Number of BUFGMUXs: 3 out of 24 12% - Number of DCMs: 2 out of 4 50% - Number of MULT18X18SIOs: 3 out of 20 15% - -Average Fanout of Non-Clock Nets: 3.39 - -Peak Memory Usage: 369 MB -Total REAL time to MAP completion: 2 mins 5 secs -Total CPU time to MAP completion: 1 mins 56 secs - -Mapping completed. -See MAP report file "papilio_one.mrp" for details. diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.mrp b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.mrp deleted file mode 100644 index b3298d74..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.mrp +++ /dev/null @@ -1,2549 +0,0 @@ -Release 14.2 Map P.28xd (nt64) -Xilinx Mapping Report File for Design 'papilio_one_top' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s500e-vq100-4 -cm speed -detail -ir off --ignore_keep_hierarchy -pr b -register_duplication on -timing -ol high --logic_opt on -o papilio_one.ncd papilio_one.ngd papilio_one.pcf -Target Device : xc3s500e -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3e -- $Revision: 1.55 $ -Mapped Date : Fri Jan 25 17:58:25 2013 - -Design Summary --------------- -Number of errors: 0 -Number of warnings: 19 -Logic Utilization: - Number of Slice Flip Flops: 2,129 out of 9,312 22% - Number of 4 input LUTs: 3,632 out of 9,312 39% -Logic Distribution: - Number of occupied Slices: 2,655 out of 4,656 57% - Number of Slices containing only related logic: 2,655 out of 2,655 100% - Number of Slices containing unrelated logic: 0 out of 2,655 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 3,910 out of 9,312 41% - Number used as logic: 3,551 - Number used as a route-thru: 278 - Number used for Dual Port RAMs: 16 - (Two LUTs used per Dual Port RAM) - Number used as Shift registers: 65 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 55 out of 66 83% - IOB Flip Flops: 44 - Number of RAMB16s: 20 out of 20 100% - Number of BUFGMUXs: 3 out of 24 12% - Number of DCMs: 2 out of 4 50% - Number of MULT18X18SIOs: 3 out of 20 15% - -Average Fanout of Non-Clock Nets: 3.39 - -Peak Memory Usage: 369 MB -Total REAL time to MAP completion: 2 mins 5 secs -Total CPU time to MAP completion: 1 mins 56 secs - -Table of Contents ------------------ -Section 1 - Errors -Section 2 - Warnings -Section 3 - Informational -Section 4 - Removed Logic Summary -Section 5 - Removed Logic -Section 6 - IOB Properties -Section 7 - RPMs -Section 8 - Guide Report -Section 9 - Area Group and Partition Summary -Section 10 - Timing Report -Section 11 - Configuration String Information -Section 12 - Control Set Information -Section 13 - Utilization by Hierarchy - -Section 1 - Errors ------------------- - -Section 2 - Warnings --------------------- -WARNING:Pack:501 - The I/O component SPI_SCK has conflicting DRIVE property - values. The symbol SPI_SCK has property value 8. The symbol ospiclk/obufi - has property value . The system will use the property value attached to - symbol SPI_SCK. -WARNING:Pack:501 - The I/O component TXD has conflicting DRIVE property values. - The symbol TXD has property value 8. The symbol obuftx/obufi has property - value . The system will use the property value attached to symbol TXD. -WARNING:Pack:501 - The I/O component WING_B<0> has conflicting DRIVE property - values. The symbol WING_B<0> has property value 8. The symbol pin16/obufi - has property value . The system will use the property value attached to - symbol WING_B<0>. -WARNING:Pack:501 - The I/O component WING_B<1> has conflicting DRIVE property - values. The symbol WING_B<1> has property value 8. The symbol pin17/obufi - has property value . The system will use the property value attached to - symbol WING_B<1>. -WARNING:Pack:501 - The I/O component WING_B<2> has conflicting DRIVE property - values. The symbol WING_B<2> has property value 8. The symbol pin18/obufi - has property value . The system will use the property value attached to - symbol WING_B<2>. -WARNING:Pack:501 - The I/O component WING_B<3> has conflicting DRIVE property - values. The symbol WING_B<3> has property value 8. The symbol pin19/obufi - has property value . The system will use the property value attached to - symbol WING_B<3>. -WARNING:Pack:501 - The I/O component WING_B<4> has conflicting DRIVE property - values. The symbol WING_B<4> has property value 8. The symbol pin20/obufi - has property value . The system will use the property value attached to - symbol WING_B<4>. -WARNING:Pack:501 - The I/O component WING_B<5> has conflicting DRIVE property - values. The symbol WING_B<5> has property value 8. The symbol pin21/obufi - has property value . The system will use the property value attached to - symbol WING_B<5>. -WARNING:Pack:501 - The I/O component WING_C<2> has conflicting DRIVE property - values. The symbol WING_C<2> has property value 8. The symbol pin34/obufi - has property value . The system will use the property value attached to - symbol WING_C<2>. -WARNING:Pack:501 - The I/O component WING_B<6> has conflicting DRIVE property - values. The symbol WING_B<6> has property value 8. The symbol pin22/obufi - has property value . The system will use the property value attached to - symbol WING_B<6>. -WARNING:Pack:501 - The I/O component WING_C<3> has conflicting DRIVE property - values. The symbol WING_C<3> has property value 8. The symbol pin35/obufi - has property value . The system will use the property value attached to - symbol WING_C<3>. -WARNING:Pack:501 - The I/O component WING_B<7> has conflicting DRIVE property - values. The symbol WING_B<7> has property value 8. The symbol pin23/obufi - has property value . The system will use the property value attached to - symbol WING_B<7>. -WARNING:Pack:501 - The I/O component WING_C<4> has conflicting DRIVE property - values. The symbol WING_C<4> has property value 8. The symbol pin36/obufi - has property value . The system will use the property value attached to - symbol WING_C<4>. -WARNING:Pack:501 - The I/O component WING_C<5> has conflicting DRIVE property - values. The symbol WING_C<5> has property value 8. The symbol pin37/obufi - has property value . The system will use the property value attached to - symbol WING_C<5>. -WARNING:Pack:501 - The I/O component WING_C<6> has conflicting DRIVE property - values. The symbol WING_C<6> has property value 8. The symbol pin38/obufi - has property value . The system will use the property value attached to - symbol WING_C<6>. -WARNING:Pack:501 - The I/O component WING_C<7> has conflicting DRIVE property - values. The symbol WING_C<7> has property value 8. The symbol pin39/obufi - has property value . The system will use the property value attached to - symbol WING_C<7>. -WARNING:Pack:501 - The I/O component SPI_CS has conflicting DRIVE property - values. The symbol SPI_CS has property value 8. The symbol ospics/obufi has - property value . The system will use the property value attached to symbol - SPI_CS. -WARNING:PhysDesignRules:367 - The signal _IBUF> is incomplete. The - signal does not drive any load pins in the design. -WARNING:PhysDesignRules:367 - The signal _IBUF> is incomplete. The - signal does not drive any load pins in the design. - -Section 3 - Informational -------------------------- -INFO:LIT:243 - Logical network WING_B<8>_IBUF has no load. -INFO:LIT:243 - Logical network WING_B<9>_IBUF has no load. -INFO:LIT:243 - Logical network uart_inst/fifo_instance/Mram_memory1/SPO has no - load. -INFO:LIT:243 - Logical network uart_inst/fifo_instance/Mram_memory2/SPO has no - load. -INFO:LIT:243 - Logical network uart_inst/fifo_instance/Mram_memory3/SPO has no - load. -INFO:LIT:243 - Logical network uart_inst/fifo_instance/Mram_memory4/SPO has no - load. -INFO:LIT:243 - Logical network uart_inst/fifo_instance/Mram_memory5/SPO has no - load. -INFO:LIT:243 - Logical network uart_inst/fifo_instance/Mram_memory8/SPO has no - load. -INFO:LIT:243 - Logical network uart_inst/fifo_instance/Mram_memory6/SPO has no - load. -INFO:LIT:243 - Logical network uart_inst/fifo_instance/Mram_memory7/SPO has no - load. -INFO:MapLib:562 - No environment variables are currently set. -INFO:MapLib:159 - Net Timing constraints on signal CLK are pushed forward - through input buffer. -INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range: - -40.000 to 100.000 Celsius) -INFO:Pack:1720 - Initializing voltage to 1.140 Volts. (default - Range: 1.140 to - 1.320 Volts) -INFO:Pack:1650 - Map created a placed design. -INFO:PhysDesignRules:772 - To achieve optimal frequency synthesis performance - with the CLKFX and CLKFX180 outputs of the DCM comp clkgen_inst/VGADCM_inst, - consult the device Interactive Data Sheet. -INFO:PhysDesignRules:772 - To achieve optimal frequency synthesis performance - with the CLKFX and CLKFX180 outputs of the DCM comp clkgen_inst/DCM_inst, - consult the device Interactive Data Sheet. - -Section 4 - Removed Logic Summary ---------------------------------- - 36 block(s) optimized away - 549 Block(s) redundant - -Section 5 - Removed Logic -------------------------- - -Optimized Block(s): -TYPE BLOCK -GND XST_GND -VCC XST_VCC -MUXCY slot9/Madd_vga_ram_address_cy<0> - -Redundant Block(s): -TYPE BLOCK -LOCALBUF zpuino/core/wroteback_q_mux000062/LUT4_D_BUF -LOCALBUF timers_inst/timer1_inst/tmrr_intr_not000132/LUT4_D_BUF -LOCALBUF zpuino/io/slot_cyc_i_11_mux000011/LUT3_D_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<31>21/LUT3_D_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<32>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<30>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/w1_tos_6_mux000264/LUT2_D_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<1>18/LUT2_D_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<10>11/LUT3_D_BUF -LOCALBUF zpuino/core/exu_busy4/LUT4_L_BUF -LOCALBUF zpuino/core/exu_busy33/LUT2_D_BUF -LOCALBUF timers_inst/timer1_cyc1/LUT4_D_BUF -LOCALBUF zpuino/io/slot_cyc_i_0_mux0000_SW0/LUT2_D_BUF -LOCALBUF zpuino/core/stack_a_enable21/LUT4_D_BUF -LOCALBUF zpuino/core/stack_a_addr<10>11/LUT4_D_BUF -LOCALBUF zpuino/core/stack_a_addr<6>9/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<5>9/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<4>9/LUT4_L_BUF -LOCALBUF timers_inst/timer0_inst/tmrr_cmp_not000111/LUT4_D_BUF -LOCALBUF zpuino/core/stack_a_write<9>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<8>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<7>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<6>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<5>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<4>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<3>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<30>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<2>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<29>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<28>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<27>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<26>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<25>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<24>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<23>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<22>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<21>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<20>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<1>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<19>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<18>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<17>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<16>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<15>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<14>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<13>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<12>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<11>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<10>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<0>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<7>4/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<9>4/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<8>4/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<10>41/LUT4_L_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_15_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_14_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_13_and00001/LUT2_D_BUF -LOCALBUF zpuino/core/poppc_inst1/LUT3_D_BUF -LOCALBUF slot11/reg_1_not000111/LUT3_D_BUF -LOCALBUF slot11/reg_0_not000111/LUT3_D_BUF -LOCALBUF gpio_inst/input_mapper_q_32_and000041/LUT3_D_BUF -LOCALBUF gpio_inst/input_mapper_q_11_and000041/LUT3_D_BUF -LOCALBUF gpio_inst/input_mapper_q_0_and000061/LUT3_D_BUF -LOCALBUF gpio_inst/input_mapper_q_0_and000041/LUT3_D_BUF -LOCALBUF zpuino/io/intr_inst/intr_detected_q_11_not000111/LUT4_D_BUF -LOCALBUF slot11/noise_gen_cnt_and000050/LUT2_D_BUF -LOCALBUF zpuino/core/decode_jump_SW0/LUT4_L_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_7_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_6_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_3_and00001/LUT2_D_BUF -LOCALBUF zpuino/core/w1_tos_0_mux00024115/LUT2_L_BUF -LOCALBUF zpuino/io/io_device_ack121/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<12>11/LUT3_D_BUF -LOCALBUF zpuino/core/decr_pcint_mux0000<0>31/LUT4_D_BUF -LOCALBUF zpuino/core/decr_pcint_mux0000<0>21/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_0_mux0002311/LUT2_D_BUF -LOCALBUF zpuino/io/slot_cyc_i_11_mux00002/LUT3_D_BUF -LOCALBUF zpuino/io/io_device_ack31/LUT3_D_BUF -LOCALBUF crc16_inst/poly_q_not000111/LUT3_D_BUF -LOCALBUF timers_inst/wb_dat_o<3>15/LUT4_L_BUF -LOCALBUF timers_inst/wb_dat_o<2>15/LUT4_L_BUF -LOCALBUF timers_inst/wb_dat_o<1>15/LUT4_L_BUF -LOCALBUF timers_inst/wb_dat_o<0>15/LUT4_L_BUF -LOCALBUF slot11/wb_adr_i<5>41/LUT4_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_1_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/intr_line<17>21/LUT4_D_BUF -LOCALBUF zpuino/io/intr_inst/intr_line<17>111/LUT4_D_BUF -LOCALBUF zpuino/io/intr_inst/intr_line<17>11/LUT4_D_BUF -LOCALBUF slot11/env_gen_comp_cmp_eq000012/LUT4_L_BUF -LOCALBUF timers_inst/timer1_inst/tmrr_intr_not0001_SW0/LUT4_L_BUF -LOCALBUF slot11/wb_dat_o_5_mux0000210/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<11>11/LUT2_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<0>11/LUT3_D_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<5>42/LUT4_D_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<5>28/LUT4_D_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<1>11/LUT4_D_BUF -LOCALBUF timers_inst/timer0_inst/tmrr_cnt_and00011/LUT4_D_BUF -LOCALBUF uart_inst/fifo_rd_and000011/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_1_mux000214/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_1_mux000268/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_1_mux000292/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_1_mux0002141/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_2_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_2_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_2_mux0002148/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_3_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_3_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_3_mux0002148/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<4>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<3>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<2>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<1>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<13>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<12>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<11>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<10>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<0>4/LUT4_L_BUF -LOCALBUF slot11/chan_amp_mux0002<1>21/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_4_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_4_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_4_mux0002148/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_5_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_5_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_5_mux0002148/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_6_mux00027/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_7_mux00027/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_7_mux000237/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_8_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_9_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_10_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<8>11/LUT3_D_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<4>11/LUT3_D_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<22>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<19>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<16>5/LUT3_L_BUF -LOCALBUF slot11/chan_amp_mux0002<1>32/LUT4_D_BUF -LOCALBUF slot11/tone_gen_comp_3_cmp_eq000012/LUT4_L_BUF -LOCALBUF slot11/tone_gen_comp_2_cmp_eq000012/LUT4_L_BUF -LOCALBUF slot11/tone_gen_comp_1_cmp_eq000012/LUT4_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<10>21/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_11_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_11_mux000272/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_11_mux0002126/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_12_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_12_mux0002126/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_13_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_13_mux000272/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_13_mux0002126/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_14_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_14_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_15_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_15_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_16_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_16_mux0002103/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_17_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_17_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_18_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_18_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/sampledTosSource_cmp_eq000311/LUT3_D_BUF -LOCALBUF zpuino/core/sampledDecodedOpcode_cmp_eq00001/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_19_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_19_mux0002103/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_20_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_20_mux0002131/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_21_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_21_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_22_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_22_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_23_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_23_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_24_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_24_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_25_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<4>111/LUT2_D_BUF -LOCALBUF zpuino/core/sampledDecodedOpcode_and00001/LUT4_D_BUF -LOCALBUF zpuino/core/decr_stackOperation_mux0000<2>66/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_26_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_26_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_27_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_27_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_28_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_28_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_29_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_29_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_30_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_30_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_31_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_31_mux0002112/LUT4_L_BUF -LOCALBUF zpuino/core/nos<10>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<9>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<8>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<7>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<6>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<0>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_0_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_0_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_0_mux0002173/LUT4_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<10>31/LUT4_D_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<10>_SW1/LUT3_L_BUF -LOCALBUF zpuino/core/w1_tos_0_mux000241102/LUT4_D_BUF -LOCALBUF zpuino/io/io_read_selected<3>70_SW0/LUT4_L_BUF -LOCALBUF zpuino/io/io_read_selected<2>70_SW0/LUT4_L_BUF -LOCALBUF zpuino/io/io_read_selected<0>86_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_6_mux000244/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_7_mux000244/LUT4_L_BUF -LOCALBUF zpuino/io/intr_inst/do_interrupt_mux000180_SW0/LUT3_D_BUF -LOCALBUF zpuino/core/decr_stackOperation_mux0000<1>46/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_2_mux0002124_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_3_mux0002124_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_4_mux0002124_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_5_mux0002124_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<4>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<13>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<9>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<15>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<14>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<1>0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<17>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<27>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<9>7/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<8>7/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<7>7/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<6>7/LUT4_L_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<18>11/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<17>11/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<13>21/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<12>21/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<11>21/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<10>21/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_6_mux0002121/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_6_mux0002111/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_6_mux000211/LUT4_D_BUF -LOCALBUF gpio_inst/input_mapper_q_0_and00007_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_8_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_9_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_10_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_12_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_13_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_14_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_15_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_16_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_17_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_18_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_19_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_20_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_21_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_22_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_23_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_24_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_25_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_26_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_28_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_29_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_30_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_31_mux00020/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_1_mux000284/LUT4_L_BUF -LOCALBUF timers_inst/timer0_inst/tmrr_intr_not000111/LUT4_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<4>_SW1/LUT3_L_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<2>_SW1/LUT3_L_BUF -LOCALBUF zpuino/core/w1_tos_9_mux0002143/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_8_mux0002143/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_7_mux0002164/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_6_mux0002164/LUT4_L_BUF -LOCALBUF zpuino/io/intr_inst/intr_detected_q_4_not0001_SW0/LUT4_L_BUF -LOCALBUF zpuino/io/intr_inst/intr_detected_q_3_not0001_SW0/LUT4_L_BUF -LOCALBUF slot11/noise_gen_cnt_and0000141/LUT3_L_BUF -LOCALBUF zpuino/core/w1_tos_10_mux0002143/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_8_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_9_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_10_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_11_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_12_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_13_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_14_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_15_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_16_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_17_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_18_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_19_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_20_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_21_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_22_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_23_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_24_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_25_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_26_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_28_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_29_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_30_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_31_mux000210/LUT4_L_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<19>11/LUT3_D_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<6>111/LUT4_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<16>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_6_mux000244_SW0_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_25_mux0002140_SW0/LUT4_L_BUF -LUT1 slot9/Madd_vga_ram_address_cy<0>_rt -XORCY slot9/Madd_vga_ram_address_xor<0> -LUT1 slot9/Madd_vga_ram_address_cy<1>_rt -LUT1 slot9/Mcount_hdisp_cy<1>_rt -LUT1 slot9/Madd_vga_ram_address_cy<2>_rt -LUT1 slot9/Mcount_hdisp_cy<2>_rt -LUT1 slot9/Madd_vga_ram_address_cy<3>_rt -LUT1 slot9/Mcount_hdisp_cy<3>_rt -LUT1 slot9/Madd_vga_ram_address_cy<4>_rt -LUT1 slot9/Mcount_hdisp_cy<4>_rt -LUT1 slot9/Madd_vga_ram_address_cy<12>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<12>_rt -LUT1 slot9/Madd_vga_ram_address_cy<13>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<13>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<1>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<2>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<3>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<4>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<5>_rt -LUT1 zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<6>_rt -LUT1 zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<7>_rt -LUT1 zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<1>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<2>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<3>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<4>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<5>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<6>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<7>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<8>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<9>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<10>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<11>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<12>_rt -LUT1 zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>_rt -LUT1 zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>_rt -LUT1 zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>_rt -LUT1 zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>_rt -LUT1 slot9/Mcount_vcount_q_cy<1>_rt -LUT1 slot9/Mcount_vcount_q_cy<2>_rt -LUT1 slot9/Mcount_vcount_q_cy<3>_rt -LUT1 slot9/Mcount_vcount_q_cy<4>_rt -LUT1 slot9/Mcount_vcount_q_cy<5>_rt -LUT1 slot9/Mcount_vcount_q_cy<6>_rt -LUT1 slot9/Mcount_vcount_q_cy<7>_rt -LUT1 slot9/Mcount_vcount_q_cy<8>_rt -LUT1 slot9/Mcount_hcount_q_cy<1>_rt -LUT1 slot9/Mcount_hcount_q_cy<2>_rt -LUT1 slot9/Mcount_hcount_q_cy<3>_rt -LUT1 slot9/Mcount_hcount_q_cy<4>_rt -LUT1 slot9/Mcount_hcount_q_cy<5>_rt -LUT1 slot9/Mcount_hcount_q_cy<6>_rt -LUT1 slot9/Mcount_hcount_q_cy<7>_rt -LUT1 slot9/Mcount_hcount_q_cy<8>_rt -LUT1 slot9/Mcount_hcount_q_cy<9>_rt -LUT1 slot9/Mcount_hdisp_cy<5>_rt -LUT1 slot9/Mcount_hdisp_cy<6>_rt -LUT1 slot9/Mcount_hdisp_cy<7>_rt -LUT1 slot9/Mcount_hdisp_cy<8>_rt -LUT1 slot9/Mcount_hdisp_cy<9>_rt -LUT1 slot9/Mcount_hdisp_cy<10>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<6>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<8>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<9>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<10>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<11>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<10>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<9>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<8>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<7>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<6>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<5>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<4>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<3>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<2>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_cy<1>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<14>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<13>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<12>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<11>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<10>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<9>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<8>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<7>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<6>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<5>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<4>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<3>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<2>_rt -LUT1 slot11/Mcount_env_gen_cnt_cy<1>_rt -LUT1 slot11/Maccum_audio_mix_cy<8>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<10>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<9>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<8>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<7>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<6>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<5>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<4>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<3>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<2>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_cy<1>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<10>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<9>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<8>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<7>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<6>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<5>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<4>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<3>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<2>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_cy<1>_rt -LUT1 slot11/Mcount_predivcnt_cy<0>_rt -LUT1 slot11/Msub_tone_gen_comp_1_addsub0000_cy<0>_rt -LUT1 slot11/Msub_tone_gen_comp_2_addsub0000_cy<0>_rt -LUT1 slot11/Msub_env_gen_comp_addsub0000_cy<0>_rt -LUT1 slot11/Msub_tone_gen_comp_3_addsub0000_cy<0>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<1>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<2>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<3>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<4>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<5>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<6>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<7>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<8>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<1>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<2>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<3>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<4>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<5>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<6>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<7>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<8>_rt -LUT1 uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt -LUT1 uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>_rt -LUT1 uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<1>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<2>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<3>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<4>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<5>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<6>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<7>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<8>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<9>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<10>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<11>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<12>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<13>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<14>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<15>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<16>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<17>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<18>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<19>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<20>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<21>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<22>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<23>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<24>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<25>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<26>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<27>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<28>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<29>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<30>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>_rt -LUT1 slot9/Madd_vga_ram_address_xor<14>_rt -LUT1 slot9/Maccum_vga_v_offset_xor<14>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_xor<8>_rt -LUT1 zpuino/core/Madd_stack_b_addr_addsub0000_xor<8>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_xor<13>_rt -LUT1 zpuino/core/Madd_stack_a_addr_addsub0000_xor<8>_rt -LUT1 slot9/Mcount_vcount_q_xor<9>_rt -LUT1 slot9/Mcount_hcount_q_xor<10>_rt -LUT1 slot9/Mcount_hdisp_xor<11>_rt -LUT1 slot11/Mcount_tone_gen_cnt_3_xor<11>_rt -LUT1 slot11/Mcount_env_gen_cnt_xor<15>_rt -LUT1 slot11/Maccum_audio_mix_xor<9>_rt -LUT1 slot11/Mcount_tone_gen_cnt_2_xor<11>_rt -LUT1 slot11/Mcount_tone_gen_cnt_1_xor<11>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_xor<9>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_xor<9>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_xor<31>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<9>_rt -LUT1 slot_address<0><26>_6_f5_29_rt -LUT1 slot_address<0><26>_6_f5_28_rt -LUT1 slot_address<0><26>_6_f5_27_rt -LUT1 slot_address<0><26>_6_f5_26_rt -LUT1 slot_address<0><26>_6_f5_25_rt -LUT1 slot_address<0><26>_6_f5_24_rt -LUT1 slot_address<0><26>_6_f5_23_rt -LUT1 slot_address<0><26>_6_f5_22_rt -LUT1 slot_address<0><26>_6_f5_21_rt -LUT1 slot_address<0><26>_6_f5_20_rt -LUT1 slot_address<0><26>_6_f5_19_rt -LUT1 slot_address<0><26>_6_f5_18_rt -LUT1 slot_address<0><26>_6_f5_17_rt -LUT1 slot_address<0><26>_6_f5_16_rt -LUT1 slot_address<0><26>_6_f5_15_rt -LUT1 slot_address<0><26>_6_f5_14_rt -LUT1 slot_address<0><26>_6_f5_13_rt -LUT1 slot_address<0><26>_6_f5_12_rt -LUT1 slot_address<0><26>_6_f5_11_rt -LUT1 slot_address<0><26>_6_f5_10_rt -LUT1 slot_address<0><26>_6_f5_9_rt -LUT1 slot_address<0><26>_6_f5_8_rt -LUT1 slot_address<0><26>_6_f5_7_rt -LUT1 slot_address<0><26>_6_f5_6_rt -LUT1 slot_address<0><26>_6_f5_5_rt -LUT1 slot_address<0><26>_6_f5_4_rt -LUT1 slot_address<0><26>_6_f5_3_rt -LUT1 slot_address<0><26>_6_f5_2_rt -LUT1 slot_address<0><26>_6_f5_1_rt -LUT1 slot_address<0><26>_6_f5_0_rt -LUT1 slot_address<0><26>_6_f5_rt -LUT1 zpuino/io/Mmux__varindex0000_6_f5_rt -INV slot_address<0><16>_inv_INV_0 -INV clkgen_inst/dcmlocked_inv1_INV_0 -INV slot9/Mcount_voff_xor<0>11_INV_0 -INV slot9/Mcount_hoff_xor<0>11_INV_0 -INV slot9/rstq1_inv1_INV_0 -INV slot9/v_display_inv1_INV_0 -INV zpuino/io/io_stb_mux00001_INV_0 -INV uart_inst/tx_core/idle_mux00001_INV_0 -INV uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<0>11_INV_0 -INV uart_inst/fifo_instance/Mcount_wraddr_xor<0>11_INV_0 -INV uart_inst/fifo_instance/Mcount_rdaddr_xor<0>11_INV_0 -INV slot11/tone_gen_op_3_not00031_INV_0 -INV slot11/tone_gen_op_2_not00031_INV_0 -INV slot11/tone_gen_op_1_not00031_INV_0 -INV slot11/noise_div_not00031_INV_0 -INV slot11/Mcount_noise_gen_cnt_xor<0>11_INV_0 -INV slot11/Mcount_cnt_div_xor<0>11_INV_0 -INV slot11/Maccum_env_vol_xor<0>11_INV_0 -INV slot1/zspiclk/prescale_reset_mux00011_INV_0 -INV slot1/zspiclk/clk_i_not00011_INV_0 -INV slot1/zspi/ready_q_mux00001_INV_0 -INV slot0/zspiclk/prescale_reset_mux00011_INV_0 -INV slot0/zspiclk/clk_i_not00011_INV_0 -INV slot0/zspi/ready_q_mux00001_INV_0 -INV crc16_inst/ready_q_mux00001_INV_0 -INV rstgen/rx_inv1_INV_0 -INV slot9/ram/v_ram_0_en1_INV_0 -INV timers_inst/timer0_inst/tmrr_dir_inv2_INV_0 -INV timers_inst/timer1_inst/tmrr_dir_inv2_INV_0 - -Section 6 - IOB Properties --------------------------- - -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -| IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IOB | -| | | | | Term | Strength | Rate | | | Delay | -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -| CLK | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | -| RXD | IBUF | INPUT | LVTTL | | | | | | 0 / 0 | -| SPI_CS | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | 0 / 0 | -| SPI_MISO | IBUF | INPUT | LVCMOS33 | | | | IFF1 | | 0 / 3 | -| SPI_MOSI | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | OFF1 | | 0 / 0 | -| SPI_SCK | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| TXD | IOB | OUTPUT | LVTTL | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<0> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<1> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<2> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<3> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<4> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<5> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<6> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<7> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<8> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<9> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<10> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<11> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<12> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<13> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<14> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<15> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<0> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | -| WING_B<1> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | -| WING_B<2> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_B<3> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<4> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | -| WING_B<5> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<6> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<7> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<8> | IBUF | INPUT | LVCMOS33 | | | | | | 0 / 0 | -| WING_B<9> | IBUF | INPUT | LVCMOS33 | | | | | | 0 / 0 | -| WING_B<10> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<11> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<12> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<13> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<14> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<15> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<0> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<1> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<2> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | -| WING_C<3> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | -| WING_C<4> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | -| WING_C<5> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<6> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<7> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_C<8> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<9> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<10> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<11> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<12> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<13> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<14> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<15> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ - -Section 7 - RPMs ----------------- - -Section 8 - Guide Report ------------------------- -Guide not run on this design. - -Section 9 - Area Group and Partition Summary --------------------------------------------- - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Area Group Information ----------------------- - - No area groups were found in this design. - ----------------------- - -Section 10 - Timing Report --------------------------- -A logic-level (pre-route) timing report can be generated by using Xilinx static -timing analysis tools, Timing Analyzer (GUI) or TRCE (command line), with the -mapped NCD and PCF files. Please note that this timing report will be generated -using estimated delay information. For accurate numbers, please generate a -timing report with the post Place and Route NCD file. - -For more information about the Timing Analyzer, consult the Xilinx Timing -Analyzer Reference Manual; for more information about TRCE, consult the Xilinx -Command Line Tools User Guide "TRACE" chapter. - -Section 11 - Configuration String Details ------------------------------------------ -DCM "clkgen_inst/DCM_inst": -CLKDV_DIVIDE:2 -CLKOUT_PHASE_SHIFT:NONE -DESKEW_ADJUST:6 -DFS_FREQUENCY_MODE:LOW -DLL_FREQUENCY_MODE:LOW -DUTY_CYCLE_CORRECTION:TRUE -FACTORY_JF1:0XC0 -FACTORY_JF2:0X80 -CLKFX_DIVIDE = 8 -CLKFX_MULTIPLY = 23 -PHASE_SHIFT = 0 -X_CLKIN_PERIOD = 31.2500000000000000 - - -DCM "clkgen_inst/VGADCM_inst": -CLKDV_DIVIDE:2 -CLKOUT_PHASE_SHIFT:NONE -DESKEW_ADJUST:6 -DFS_FREQUENCY_MODE:LOW -DLL_FREQUENCY_MODE:LOW -DUTY_CYCLE_CORRECTION:TRUE -FACTORY_JF1:0XC0 -FACTORY_JF2:0X80 -CLKFX_DIVIDE = 16 -CLKFX_MULTIPLY = 25 -PHASE_SHIFT = 0 -X_CLKIN_PERIOD = 31.2500000000000000 - - -BUFGMUX "clkgen_inst/clkfx_inst": -DISABLE_ATTR:LOW - - - -BUFGMUX "clkgen_inst/clkin2_inst": -DISABLE_ATTR:LOW - - - -BUFGMUX "clkgen_inst/vgaclkfx_inst": -DISABLE_ATTR:LOW - - - -RAMB16 "slot10/Mram_charram": -INITP_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_00 = 0010387CFEFEFE6C7EFFE7C3FFDBFF7E7E8199BD81A5817E0000000000000000 -INIT_01 = 0000183C3C18000038107CFEFE7C38103810D6FEFE387C380010387CFE7C3810 -INIT_02 = 78CCCCCC7D0F070FFFC399BDBD99C3FF003C664242663C00FFFFE7C3C3E7FFFF -INIT_03 = 18DB3CE7E73CDB18C0E66763637F637FE0F07030303F333F187E183C6666663C -INIT_04 = 0066006666666666183C7E18187E3C1800020E3EFE3E0E020080E0F8FEF8E080 -INIT_05 = FF183C7E187E3C18007E7E7E000000007C863C66663C613E001B1B1B7BDBDB7F -INIT_06 = 00003060FE6030000000180CFE0C180000183C7E1818181800181818187E3C18 -INIT_07 = 0000183C7EFFFF000000FFFF7E3C180000002466FF6624000000FEC0C0C00000 -INIT_08 = 006C6CFE6CFE6C6C000000000024666600180018183C3C180000000000000000 -INIT_09 = 00000000003018180076CCDC76386C3800C6663018CCC60000187C063C603E18 -INIT_0a = 000018187E1818000000663CFF3C66000030180C0C0C1830000C18303030180C -INIT_0b = 0080C06030180C060018180000000000000000007E0000003018180000000000 -INIT_0c = 007CC6063C06C67C00FE66301C06C67C007E18181818381800386CC6D6C66C38 -INIT_0d = 00303030180CC6FE007CC6C6FCC06038007CC606FCC0C0FE001E0CFECC6C3C1C -INIT_0e = 3018180000181800001818000018180000780C067EC6C67C007CC6C67CC6C67C -INIT_0f = 00180018180CC67C006030180C18306000007E00007E000000060C1830180C06 -INIT_10 = 003C66C0C0C0663C00FC66667C6666FC00C6C6C6FEC66C380078C0DEDEDEC67C -INIT_11 = 003A66CEC0C0663C00F06068786862FE00FE6268786862FE00F86C6666666CF8 -INIT_12 = 00E6666C786C66E60078CCCC0C0C0C1E003C18181818183C00C6C6C6FEC6C6C6 -INIT_13 = 007CC6C6C6C6C67C00C6C6CEDEF6E6C600C6C6D6FEFEEEC600FE6662606060F0 -INIT_14 = 003C660C1830663C00E6666C7C6666FC0E7CCEC6C6C6C67C00F060607C6666FC -INIT_15 = 006CFED6D6C6C6C600386CC6C6C6C6C6007CC6C6C6C6C6C6003C1818185A7E7E -INIT_16 = 003C30303030303C00FE6632188CC6FE003C18183C66666600C6C66C386CC6C6 -INIT_17 = FF0000000000000000000000C66C3810003C0C0C0C0C0C3C0002060C183060C0 -INIT_18 = 007CC6C0C67C000000DC6666667C60E00076CC7C0C78000000000000000C1830 -INIT_19 = F80C7CCCCC76000000F06060F860663C007CC0FEC67C00000076CCCCCC7C0C1C -INIT_1a = 00E66C786C6660E03C66660606060006003C18181838001800E66666766C60E0 -INIT_1b = 007CC6C6C67C00000066666666DC000000D6D6D6FEEC0000003C181818181838 -INIT_1c = 00FC067CC07E000000F0606076DC00001E0C7CCCCC760000F0607C6666DC0000 -INIT_1d = 006CFED6D6C6000000386CC6C6C600000076CCCCCCCC0000001C363030FC3030 -INIT_1e = 000E18187018180E007E32184C7E0000FC067EC6C6C6000000C66C386CC60000 -INIT_1f = 00FEC6C66C381000000000000000DC76007018180E1818700018181818181818 -INIT_20 = 0076CC7C0C78827C007CC0FEC67C180C0076CCCCCCCC00CC780C7CC6C0C0C67C -INIT_21 = 380C7EC0C07E00000076CC7C0C7830300076CC7C0C7818300076CC7C0C7800C6 -INIT_22 = 003C181818380066007CC0FEC67C1830007CC0FEC67C00C6007CC0FEC67C827C -INIT_23 = 00C6C6FEC67C6C3800C6C6FEC66C38C6003C181838001830003C18181838827C -INIT_24 = 007CC6C6C67C827C00CECCCCFECC6C3E00FE90FE127E000000FEC0F8C0FE3018 -INIT_25 = 0076CCCCCCCC30600076CCCCCC008478007CC6C6C67C1830007CC6C6C67C00C6 -INIT_26 = 807CE6D6CE7C0200007CC6C6C6C600C600386CC6C66C38C6FC067EC6C6C600C6 -INIT_27 = 0070D8183C181B0E0000C66C386CC60000B86CE6D6CE6C3A00FC6660F0646C38 -INIT_28 = 0076CCCCCCCC3018007CC6C6C67C180C003C18183800180C0076CC7C0C783018 -INIT_29 = 00007C00386C6C3800007E003E6C6C3C00CEDEF6E600DC7600666666DC00DC76 -INIT_2a = 0FCC66337E6CE66300000606FE0000007E81A5B9A5B9817E003E633018180018 -INIT_2b = 0000CC663366CC0000003366CC66330000183C3C1818001806DF6A367A6CE663 -INIT_2c = 1818181818181818DD77DD77DD77DD77AA55AA55AA55AA558822882288228822 -INIT_2d = 00C6FEC66C380C1800C6FEC66C38827C00C6FEC66C386030181818F818181818 -INIT_2e = 363636F606FE00003636363636363636363636F606F636367E819DA1A19D817E -INIT_2f = 181818F80000000018187E187E3C666618187EC0C07E1818000000FE06F63636 -INIT_30 = 1818181F18181818181818FF00000000000000FF181818180000001F18181818 -INIT_31 = 00C6FEC66C38DC76007EC67E067CDC76181818FF18181818000000FF00000000 -INIT_32 = 363636F700FF0000000000FF00F7363636363637303F00000000003F30373636 -INIT_33 = 00C67CC6C67CC600363636F700F73636000000FF00FF00003636363730373636 -INIT_34 = 00FEC0FCC0FE00C600FEC0FCC0FE827C00F86C66F6666CF80078CCCC7C0C7E30 -INIT_35 = 003C1818183C423C003C1818183C180C003C18181838000000FEC0FCC0FE1830 -INIT_36 = FFFFFFFFFFFFFFFF1818181F00000000000000F818181818003C1818183C0066 -INIT_37 = 00000000FFFFFFFF003C1818183C18301818180000181818FFFFFFFF00000000 -INIT_38 = 00386CC66C38060C00386CC66C38827C00CCC6CCD8CCCC7800386CC66C386030 -INIT_39 = F0607C66667C60E0C07C66666666000000386CC66C38DC76007CC6C6C67CDC76 -INIT_3a = 007CC6C6C6C63060007CC6C6C600827C007CC6C6C6C6301800F0607C667C60F0 -INIT_3b = 000000000030180C00000000000000FF003C183C6666180CFC067EC6C6C63018 -INIT_3c = 865F2AF63AE432E1FF00FF0000000000007E0018187E1818000000007E000000 -INIT_3d = 380C180000000000000018007E0018007C863C66663C613E001B1B1B7BDBDB7F -INIT_3e = 0000003C181838180000000018000000000000000000C60000000000386C6C38 -INIT_3f = 000000000000000000003C3C3C3C00000000007C30180C78000000780C380C78 -PORTA_ATTR:2048X9 -WRITEMODEA:READ_FIRST -INIT_A = 000 -SRVAL_A = 000 - - -RAMB16 "slot9/ram/ram0/Mram_RAM1": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM2": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM3": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM4": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM5": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM6": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM7": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM8": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram1/Mram_RAM1": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram1/Mram_RAM2": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM01": -INIT_00 = 00000033001A006900000033000666350BF63138000235980000000800000008 -INIT_01 = 0000660500003605046B596100015AAF000006B60000004B0000000800000000 -INIT_02 = 016465A10000000800000008000B86E80002A598000004660000001100000005 -INIT_03 = 0000001F00000000000000220000000000000002000000CB0000000401521FA1 -INIT_04 = FBF4890B0BDD8B08F480083BFCD0880080880090812A19121C036F1000000005 -INIT_05 = 107188F620DDAD6D28DD00334D241DD61F00448414A1E4154C311040481080D4 -INIT_06 = 8C46440CC6AE30DD6F6241C22837AA3F0C1C3D4FBD2F80DDC0088DAF000FD82C -INIT_07 = 3C53505136079D12680D404DC000320088DD5CFAA9A8C4000681D8499A880FC0 -INIT_08 = 2133DD5ADAC66418F16C612738C49081DC1650DD564A024AC8ADA84433870937 -INIT_09 = 18AF10A22310A60D2BBE4892C42CAD8DD5AC18388E60081080DFF42383B0269A -INIT_0a = 40364058B04040BFCD4CDA1DA7C40BD20DDCD3B203118CCC10DD3DCDD3CBFDDB -INIT_0b = 35E235523C52C73C3760ED9BB7FE836125000068D8E88DAA7C2C1C20C20BD0F0 -INIT_0c = 512E8544D70D3808421555DC05C14C054128055D8FD031DDA1C00CDE922E8A46 -INIT_0d = 40322183759C4625807923143143143144689264182715759D4A15810A1D0384 -INIT_0e = 08F70285447126B1786655614895D4128863060DF22317D231524C051B74D411 -INIT_0f = 0000000000000000F0F000000000000D0A00A10005E851AFB310FFF0F4892280 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM02": -INIT_00 = 0000005700501100000000570000007000F08870000580000000000900000009 -INIT_01 = 0000007000005070000200050005002F00000A00000000020000000800000000 -INIT_02 = 0507000800000008000000080000009000052000000000000000005800000000 -INIT_03 = 0000005F00000000000000570000000000000005000000000000000005077F08 -INIT_04 = 30903080803090F099377330F029889599889599857070875F180F5111111119 -INIT_05 = 78253090783382025000A888027852F25F787035818825870075878803570800 -INIT_06 = 808588198C8988330F57870753757F8F70907289005F0833087C0309888A0880 -INIT_07 = 700588073C85F37500828802E8A88779A03280F0838397AA8775875738388F07 -INIT_08 = 513720B82A0C217085708C7757081818A8585C00B2187958E882A37357528231 -INIT_09 = 5A895B8775780C82880F8305E57D82A00B8E8358AF888357082F85538329873A -INIT_0a = 88579870F8888A09A000D858070988258338270785798898580092A009009338 -INIT_0b = 7577307F5017D2508258293575F285D8508A8823F3F37205787858580F802C98 -INIT_0c = 777295750D3378887387750E85D800385E5387508F8885000887A83FA5593870 -INIT_0d = 3795A538507F5210072C55785785785787830557895A57257352873782837835 -INIT_0e = 7BF88537589580F788005E581305958530577073FF5572D55707807A52073787 -INIT_0f = 0000000000000000F0F0000000C000000000000863C0620C5B80FFF030305137 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM11": -INIT_00 = 000000760415AA1100000074004139314BF959F60006A2660000000B0000000B -INIT_01 = 000419910000699101CB26430003F96A0000085F000000120000000B00000000 -INIT_02 = 01521F600000000B0000000B004B53B600060366000001920000003900000001 -INIT_03 = 00000435000000000000005500000000000000050000008B0000000501F94660 -INIT_04 = 1BBD5EB88B0DFB00DF22868B4C684C60584C605841325524120531300000004F -INIT_05 = C1180C0134E31CFCAADDACBDD04D200146CCDDB40112040DDA81080DD31A10DD -INIT_06 = B50C008F1C9B70881368428C473E84CFC221DA0C0DCF08F324400F0CCCC7D8DA -INIT_07 = 461581937C0944D1F2C60DD5A1B42683F8FDA2001F19459A84D6130FF19B6370 -INIT_08 = C71C6DB10C5C4228D4366083338C322C1D4D40DDB4127701A410CD438C352083 -INIT_09 = 8F1DAF1CD2C09C0C1C04DCE2A52E10FDDB1AD368F4C4031A2001171674813D8C -INIT_0a = 0BC00463380B7B0BFDD8CD4104506DA00C5DACCDB55023FDA0DDF1FDDFA0B04D -INIT_0b = 117005663928028B87880F27F86E88B457A889FE020C360E614D1D20A1081ACB -INIT_0c = 0800E203D748486F244867D83046D88E803D855D00D4D0DD0CC1880AE150A281 -INIT_0d = 53E2E6A53C6903C4422625805805805804CAE2530E2E62250C6141F8212618A3 -INIT_0e = 5F4C94C18406447421FF304015E3E2044341239B36252262524C031740546892 -INIT_0f = 0000000000000000F0F0000000F0000A0DA0DEFF4A798AA7999F0FF00D1E2C12 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM12": -INIT_00 = 0000000200500087000000020008707800F000F0000028000000000000000000 -INIT_01 = 000080080000000805F080050005F00200000809000000570000000000000000 -INIT_02 = 05077F0700000000000000000000080000001800000005070000005000000008 -INIT_03 = 0000005000000000000000000000000000000000000000800000000005F05007 -INIT_04 = 800082030083907729950A9008F0005570005570055700705710885111111103 -INIT_05 = 0770FA785CF85EFE203380000A023A88500D00E578578570003570800F527830 -INIT_06 = 07807C0958505CF8871075108A51700F07570DA0930F7CF878887F90C0CE3020 -INIT_07 = 5785372318870825F70C800B888050089AFD87795F5885383727558FF5805878 -INIT_08 = 0810C385A878785827371837537088808272883387851285875A8E5700775818 -INIT_09 = 08522950250CF88E80802E2180595A9338582F00800C8F5278A85551018730B8 -INIT_0a = 800785075C80508093088275D57872A7CF82A0DAF00175928833899338080882 -INIT_0b = 750777121778871737538FA7F70F30830750379B8D8B5DD57582725808889800 -INIT_0c = 1388258535785373575375337357080208793753A8002833D0050085F571B738 -INIT_0d = 57212085387378F85772100700700700700E2103721207757F5873E3787857E5 -INIT_0e = 090035D7017081F053FF375789232575C757587F521077210780757259778305 -INIT_0f = 0000000000000000F0F0000000000005000000C200000000000F0FF080F25F95 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM21": -INIT_00 = 000004E201612263000004E2001A96597B3B26FD000FB53D0000004B0000004B -INIT_01 = 0001955900041559012B53D1000764F200004B120000003A0000004B00000000 -INIT_02 = 01F946990000004B0000004B009B03BC000F053C000003390000005200000049 -INIT_03 = 00000D1100000000000000040000000000000001000004FB0000000F47530199 -INIT_04 = 61BD60BE4B43B12802E240FDCCEC1C8D5C1C8D5C18A26F0CC7013300000000F4 -INIT_05 = A8881F10404DEA1A80F21AFDDAD00A811F80DD163D68163DD031A10DD06224FD -INIT_06 = CDB3118D6D2A404892F482836E8FDD83201BD8B0032B40427001343408024A30 -INIT_07 = 43024308160D4CA31C3C0DDB12DD2F011F9C668D8D2E08F195AD680FD47068CC -INIT_08 = 6C65C9D7B148686DA21333C499385136DAC6069984D235D41D4B164166836248 -INIT_09 = 8D8C8DA0A2C0120AD8DD56FF11FD1BBE4D210118DD40416134AD877423131DF1 -INIT_0a = 0828032F4000C6C0BDD4DAD43000C6C404D5C4E12440D2D340D21CB0210C14DC -INIT_0b = 23B354F3138088EFB28769F1F81068720D489821808E8B3DD1DADA000CC8C1A1 -INIT_0c = 34800638C14C054B15C47AA26470D3808134B69BD48D82F20A080891D850E339 -INIT_0d = 53FF037352D381C0583F0442442442442D86FF142FF038F569547266324C4126 -INIT_0e = CDD865E414108F0D21112263265206350188477903C483F04C03152211B4CFE2 -INIT_0f = 0000000000000000F0F000000000000A0AD001B090000000000FF0F0BD6F8DE2 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM22": -INIT_00 = 00000027050377070000002700500000A08280FF000F208F0000000000000000 -INIT_01 = 0005000000008000057208070000079700000087000000500000000000000000 -INIT_02 = 05F05000000000000000000000A0170F000F108F000005700000000700000000 -INIT_03 = 0000007700000000000000180000000000000008000000900000000F00077800 -INIT_04 = F5030808C008085071259790008858027858027853050F83001877111111113D -INIT_05 = 03EA79578802F8888DF850F3380AA8371F8833703203703339F5278337085CF3 -INIT_06 = DAF579827250880305F73187525F008878183908A87F880507857088888880D9 -INIT_07 = 1377958185C000A58078833857005F7519385002F2C2A0F585A270AF2F280000 -INIT_08 = 7087882885837302A51377A5513707372A0C85F8372577275288521777375883 -INIT_09 = 820E822BA79885C82A00B0FF5882880F8275A788008887085C828508787313F5 -INIT_0a = 8870857FA88B0F080A372A2788880C888028819A588125295CF858088590802E -INIT_0b = 552305F7835837FF887975F8F388C857E358838808020883272A2A7C90D88508 -INIT_0c = 7A378257F8003859372A57FD575337888552A57F00F0B5F8889098382001F5C0 -INIT_0d = 07FF8825000837070388F857857857857080FF857FF883857357072E57007572 -INIT_0e = F00897257858FF7057887503107780378703507378F8388F8075707757200E21 -INIT_0f = 0000000000000000F0F00000000000000300507000000000000FF0F0E30F0225 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM31": -INIT_00 = 00000132031AA01100000132003739223B3B5331004F31210000006B0000005B -INIT_01 = 0003A1220001A1220C7313210046193200005B92000000A20000003B00000000 -INIT_02 = 47530152000000FB0000007B008604B100410121000007320000045200000021 -INIT_03 = 0000025100000000000004020000000000000041000001B10000000516465952 -INIT_04 = B4B21BBEFD44B824C408F1BD8088CC8188CC85883D0026246B59640000000021 -INIT_05 = 067F28180DD00112034DE0F041DA21233100E54F00F74F0D3206124F31104042 -INIT_06 = E165500CD0C00DDDE6C63F850F7DDD680001FCC042600DD20801DCB0B0B4C083 -INIT_07 = F427E322D40DD8C403530E2D7DDDCEAC1DFD6F00E001A4D3E8CB28C30E8FF687 -INIT_08 = 36674DC1D4D84618CC718870C59393706C5C094D04C383CDAC1D541377745133 -INIT_09 = DC0A8C0FC80BD4010FDDB11D303C1C04DCD3B38DDD0732104013231B07B21CD4 -INIT_0a = 03608C0F0C400E8C0F6D5CB230C05C50DDDA18C4C008C46F404D6C04D53CDD5A -INIT_0b = 1884F1832050838FF9CF909C30988D72D52BEF6E8E808BAFAC6C6C40B402D00C -INIT_0c = 0498231695D88E8143F4089519237485F404407486FD504CBCD08D49100263EE -INIT_0d = 18180425F1DFD2314581C05C05C05C051D41140581807C5BD55A434E3AD88322 -INIT_0e = BDDBF38668110D9DC15384F111080F13E43332D081C0081C0315248F708D86FF -INIT_0f = 0000000000000000F0F00000000000AD03C0345010000000000FFF04431000F8 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM32": -INIT_00 = 0000057705800377000005770050707780720887000F8877000000A0000000C0 -INIT_01 = 000508770005087700088707000080770000000700000007000000C000000000 -INIT_02 = 00077807000000D0000000F000801A0700081877000000770000000700000057 -INIT_03 = 0000000700000000000000100000000000000007000005080000000050700007 -INIT_04 = 0C08800290030359088095038900008E00008A005E11707002000011111111F8 -INIT_05 = DE895350800A85757802FDF8853875958887F85F7AF95F7F887085CF85878808 -INIT_06 = 9A50017E2CED800D2501FF078F5733008C95F09A050780058085000808000998 -INIT_07 = F5712588288308877785CF8202330F0080F25B7C9A88F82C20885808C90DF771 -INIT_08 = 371702E8272D5F8A8081352808132317C8788702F5E337E22E8277851795073F -INIT_09 = 2EB80E9980802885A9338872375E80802E288502338075878859557270218F27 -INIT_0a = D507C07F80C888A08F8288855A0C785800D8580807C0E7C888028080288A00B8 -INIT_0b = 5087F1375758957FF57F38508B007217FD302B7282888558A0C8C888088828D0 -INIT_0c = 12A3778735080205759287328337853737872870FCF39800002800A377B723F2 -INIT_0d = 83737775973FE557893707007007007053787370373708570E52777252083757 -INIT_0e = 0330F70513587F03077735F788737F77257957093707937075707838078080FF -INIT_0f = 0000000000000000F0F000000000000005404A0000000000000FFF00088777F0 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/stack/stack": -INITP_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:512X36 -WRITEMODEA:WRITE_FIRST -INIT_A = 000000000 -SRVAL_A = 000000000 -PORTB_ATTR:512X36 -WRITEMODEB:WRITE_FIRST -INIT_B = 000000000 -SRVAL_B = 000000000 - - - -Section 12 - Control Set Information ------------------------------------- -No control set information for this architecture. - -Section 13 - Utilization by Hierarchy -------------------------------------- -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| Module | Partition | Slices | Slice Reg | LUTs | LUTRAM | BRAM | MULT18X18 | BUFG | DCM | Full Hierarchical Name | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| papilio_one_top/ | | 71/3057 | 0/2129 | 136/3830 | 0/81 | 0/20 | 0/0 | 0/3 | 0/2 | papilio_one_top | -| +clkgen_inst | | 2/2 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 3/3 | 2/2 | papilio_one_top/clkgen_inst | -| +crc16_inst | | 92/92 | 76/76 | 85/85 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/crc16_inst | -| +gpio_inst | | 574/574 | 410/410 | 529/529 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/gpio_inst | -| +ibufrx | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ibufrx | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ibufrx/sync | -| +obuftx | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/obuftx | -| +ospiclk | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ospiclk | -| +ospics | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ospics | -| +ospimosi | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ospimosi | -| +pin00 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin00 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin00/sync | -| +pin01 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin01 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin01/sync | -| +pin02 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin02 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin02/sync | -| +pin03 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin03 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin03/sync | -| +pin04 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin04 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin04/sync | -| +pin05 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin05 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin05/sync | -| +pin06 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin06 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin06/sync | -| +pin07 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin07 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin07/sync | -| +pin08 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin08 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin08/sync | -| +pin09 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin09 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin09/sync | -| +pin10 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin10 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin10/sync | -| +pin11 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin11 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin11/sync | -| +pin12 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin12 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin12/sync | -| +pin13 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin13 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin13/sync | -| +pin14 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin14 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin14/sync | -| +pin15 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin15 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin15/sync | -| +pin16 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin16 | -| +pin17 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin17 | -| +pin18 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin18 | -| +pin19 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin19 | -| +pin20 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin20 | -| +pin21 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin21 | -| +pin22 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin22 | -| +pin23 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin23 | -| +pin26 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin26 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin26/sync | -| +pin27 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin27 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin27/sync | -| +pin28 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin28 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin28/sync | -| +pin29 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin29 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin29/sync | -| +pin30 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin30 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin30/sync | -| +pin31 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin31 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin31/sync | -| +pin32 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin32 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin32/sync | -| +pin33 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin33 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin33/sync | -| +pin34 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin34 | -| +pin35 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin35 | -| +pin36 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin36 | -| +pin37 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin37 | -| +pin38 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin38 | -| +pin39 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin39 | -| +pin40 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin40 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin40/sync | -| +pin41 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin41 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin41/sync | -| +pin42 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin42 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin42/sync | -| +pin43 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin43 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin43/sync | -| +pin44 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin44 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin44/sync | -| +pin45 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin45 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin45/sync | -| +pin46 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin46 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin46/sync | -| +pin47 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin47 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin47/sync | -| +rstgen | | 24/24 | 33/33 | 43/43 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/rstgen | -| +sigmadelta_inst | | 78/78 | 105/105 | 70/70 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/sigmadelta_inst | -| +slot0 | | 24/118 | 12/108 | 17/97 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot0 | -| ++zspi | | 68/68 | 71/71 | 56/56 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot0/zspi | -| ++zspiclk | | 12/26 | 8/25 | 7/24 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot0/zspiclk | -| +++pr | | 14/14 | 17/17 | 17/17 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot0/zspiclk/pr | -| +slot1 | | 28/131 | 12/111 | 18/98 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot1 | -| ++zspi | | 73/73 | 73/73 | 56/56 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot1/zspi | -| ++zspiclk | | 15/30 | 9/26 | 7/24 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot1/zspiclk | -| +++pr | | 15/15 | 17/17 | 17/17 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot1/zspiclk/pr | -| +slot10 | | 3/3 | 1/1 | 3/3 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot10 | -| +slot11 | | 332/332 | 244/244 | 445/445 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot11 | -| +slot9 | | 54/64 | 56/58 | 92/100 | 0/0 | 0/10 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot9 | -| ++ram | | 10/10 | 2/2 | 8/8 | 0/0 | 0/10 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot9/ram | -| +++ram0 | | 0/0 | 0/0 | 0/0 | 0/0 | 8/8 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot9/ram/ram0 | -| +++ram1 | | 0/0 | 0/0 | 0/0 | 0/0 | 2/2 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot9/ram/ram1 | -| +timers_inst | | 47/317 | 0/267 | 75/402 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/timers_inst | -| ++timer0_inst | | 139/151 | 142/159 | 181/198 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/timers_inst/timer0_inst | -| +++pr.tmr0prescale_inst | | 12/12 | 17/17 | 17/17 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/timers_inst/timer0_inst/pr.tmr0prescale_inst | -| ++timer1_inst | | 119/119 | 108/108 | 129/129 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/timers_inst/timer1_inst | -| +uart_inst | | 18/182 | 18/137 | 6/197 | 0/16 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst | -| ++fifo_instance | | 21/21 | 17/17 | 32/32 | 16/16 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/fifo_instance | -| ++rx_inst | | 28/60 | 23/45 | 17/62 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/rx_inst | -| +++baudgen | | 25/25 | 17/17 | 39/39 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/rx_inst/baudgen | -| +++rxmvfilter | | 7/7 | 5/5 | 6/6 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/rx_inst/rxmvfilter | -| ++rx_timer | | 26/26 | 17/17 | 38/38 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/rx_timer | -| ++tx_core | | 32/32 | 23/23 | 20/20 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/tx_core | -| ++tx_timer | | 25/25 | 17/17 | 39/39 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/tx_timer | -| +ym_sd | | 11/11 | 19/19 | 9/9 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ym_sd | -| +zpuino | | 8/1025 | 0/525 | 10/1583 | 0/32 | 0/9 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino | -| ++core | | 731/820 | 308/374 | 1265/1385 | 0/32 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/core | -| +++shl | | 89/89 | 66/66 | 120/120 | 32/32 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/core/shl | -| ++io | | 114/189 | 88/149 | 107/182 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/io | -| +++intr_inst | | 75/75 | 61/61 | 75/75 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/io/intr_inst | -| ++iomemmux | | 3/3 | 0/0 | 3/3 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/iomemmux | -| ++memory | | 5/5 | 2/2 | 3/3 | 0/0 | 0/8 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/memory | -| +++ramrom | | 0/0 | 0/0 | 0/0 | 0/0 | 0/8 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/memory/ramrom | -| ++++ram | | 0/0 | 0/0 | 0/0 | 0/0 | 8/8 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/memory/ramrom/ram | -| ++stack | | 0/0 | 0/0 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/stack | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -* Slices can be packed with basic elements from multiple hierarchies. - Therefore, a slice will be counted in every hierarchical module - that each of its packed basic elements belong to. -** For each column, there are two numbers reported /. - is the number of elements that belong to that specific hierarchical module. - is the total number of elements from that hierarchical module and any lower level - hierarchical modules below. -*** The LUTRAM column counts all LUTs used as memory including RAM, ROM, and shift registers. diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ndf b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ndf deleted file mode 100644 index 7493902b..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ndf +++ /dev/null @@ -1,99350 +0,0 @@ -(edif papilio_one_top - (edifVersion 2 0 0) - (edifLevel 0) - (keywordMap (keywordLevel 0)) - (status - (written - (timestamp 2012 6 6 18 56 45) - (program "Xilinx ngc2edif" (version "P.15xf")) - (author "Xilinx. Inc ") - (comment "This EDIF netlist is to be used within supported synthesis tools") - (comment "for determining resource/timing estimates of the design component") - (comment "represented by this netlist.") - (comment "Command line: papilio_one.ngc "))) - (external UNISIMS - (edifLevel 0) - (technology (numberDefinition)) - (cell GND - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port G - (direction OUTPUT) - ) - ) - ) - ) - (cell VCC - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port P - (direction OUTPUT) - ) - ) - ) - ) - (cell FDR - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port R - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDRE - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port R - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDE - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FD - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDP - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port PRE - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDSE - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell FDRS - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port R - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT3 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell MUXCY - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CI - (direction INPUT) - ) - (port DI - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell XORCY - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CI - (direction INPUT) - ) - (port LI - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell MULT18X18SIO - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CEA - (direction INPUT) - ) - (port CEB - (direction INPUT) - ) - (port CEP - (direction INPUT) - ) - (port CLK - (direction INPUT) - ) - (port RSTA - (direction INPUT) - ) - (port RSTB - (direction INPUT) - ) - (port RSTP - (direction INPUT) - ) - (port (array (rename A "A<17:0>") 18) - (direction INPUT)) - (port (array (rename B "B<17:0>") 18) - (direction INPUT)) - (port (array (rename BCIN "BCIN<17:0>") 18) - (direction INPUT)) - (port (array (rename P "P<35:0>") 36) - (direction OUTPUT)) - (port (array (rename BCOUT "BCOUT<17:0>") 18) - (direction OUTPUT)) - ) - ) - ) - (cell FDRSE - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port R - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT2 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT4 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port I3 - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell MUXF6 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell MUXF5 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell OBUF - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell FDS - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port C - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port S - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell DCM_SP - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKIN - (direction INPUT) - ) - (port CLKFB - (direction INPUT) - ) - (port RST - (direction INPUT) - ) - (port DSSEN - (direction INPUT) - ) - (port PSINCDEC - (direction INPUT) - ) - (port PSEN - (direction INPUT) - ) - (port PSCLK - (direction INPUT) - ) - (port CLK0 - (direction OUTPUT) - ) - (port CLK90 - (direction OUTPUT) - ) - (port CLK180 - (direction OUTPUT) - ) - (port CLK270 - (direction OUTPUT) - ) - (port CLK2X - (direction OUTPUT) - ) - (port CLK2X180 - (direction OUTPUT) - ) - (port CLKDV - (direction OUTPUT) - ) - (port CLKFX - (direction OUTPUT) - ) - (port CLKFX180 - (direction OUTPUT) - ) - (port LOCKED - (direction OUTPUT) - ) - (port PSDONE - (direction OUTPUT) - ) - (port (rename STATUS_7_ "STATUS<7>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 0) (owner "Xilinx")) - ) - (port (rename STATUS_6_ "STATUS<6>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 1) (owner "Xilinx")) - ) - (port (rename STATUS_5_ "STATUS<5>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 2) (owner "Xilinx")) - ) - (port (rename STATUS_4_ "STATUS<4>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 3) (owner "Xilinx")) - ) - (port (rename STATUS_3_ "STATUS<3>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 4) (owner "Xilinx")) - ) - (port (rename STATUS_2_ "STATUS<2>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 5) (owner "Xilinx")) - ) - (port (rename STATUS_1_ "STATUS<1>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 6) (owner "Xilinx")) - ) - (port (rename STATUS_0_ "STATUS<0>") - (direction OUTPUT) - (property PIN_BUSNAME (string "STATUS<7:0>") (owner "Xilinx")) - (property PIN_BUSIDX (integer 7) (owner "Xilinx")) - ) - ) - ) - ) - (cell BUFG - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell IBUFG - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell RAM16X1D - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port A0 - (direction INPUT) - ) - (port A1 - (direction INPUT) - ) - (port A2 - (direction INPUT) - ) - (port A3 - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port DPRA0 - (direction INPUT) - ) - (port DPRA1 - (direction INPUT) - ) - (port DPRA2 - (direction INPUT) - ) - (port DPRA3 - (direction INPUT) - ) - (port WCLK - (direction INPUT) - ) - (port WE - (direction INPUT) - ) - (port SPO - (direction OUTPUT) - ) - (port DPO - (direction OUTPUT) - ) - ) - ) - ) - (cell IBUF - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT1 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell INV - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell IOBUF - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I - (direction INPUT) - ) - (port T - (direction INPUT) - ) - (port O - (direction OUTPUT) - ) - (port IO - (direction OUTPUT) - ) - ) - ) - ) - (cell RAMB16_S4_S4 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKA - (direction INPUT) - ) - (port CLKB - (direction INPUT) - ) - (port ENA - (direction INPUT) - ) - (port ENB - (direction INPUT) - ) - (port SSRA - (direction INPUT) - ) - (port SSRB - (direction INPUT) - ) - (port WEA - (direction INPUT) - ) - (port WEB - (direction INPUT) - ) - (port (array (rename ADDRA "ADDRA<11:0>") 12) - (direction INPUT)) - (port (array (rename ADDRB "ADDRB<11:0>") 12) - (direction INPUT)) - (port (array (rename DIA "DIA<3:0>") 4) - (direction INPUT)) - (port (array (rename DIB "DIB<3:0>") 4) - (direction INPUT)) - (port (array (rename DOA "DOA<3:0>") 4) - (direction OUTPUT)) - (port (array (rename DOB "DOB<3:0>") 4) - (direction OUTPUT)) - ) - ) - ) - (cell RAMB16_S1_S1 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKA - (direction INPUT) - ) - (port CLKB - (direction INPUT) - ) - (port ENA - (direction INPUT) - ) - (port ENB - (direction INPUT) - ) - (port SSRA - (direction INPUT) - ) - (port SSRB - (direction INPUT) - ) - (port WEA - (direction INPUT) - ) - (port WEB - (direction INPUT) - ) - (port (array (rename ADDRA "ADDRA<13:0>") 14) - (direction INPUT)) - (port (array (rename ADDRB "ADDRB<13:0>") 14) - (direction INPUT)) - (port (array (rename DIA "DIA<0:0>") 1) - (direction INPUT)) - (port (array (rename DIB "DIB<0:0>") 1) - (direction INPUT)) - (port (array (rename DOA "DOA<0:0>") 1) - (direction OUTPUT)) - (port (array (rename DOB "DOB<0:0>") 1) - (direction OUTPUT)) - ) - ) - ) - (cell RAMB16_S36_S36 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKA - (direction INPUT) - ) - (port CLKB - (direction INPUT) - ) - (port ENA - (direction INPUT) - ) - (port ENB - (direction INPUT) - ) - (port SSRA - (direction INPUT) - ) - (port SSRB - (direction INPUT) - ) - (port WEA - (direction INPUT) - ) - (port WEB - (direction INPUT) - ) - (port (array (rename ADDRA "ADDRA<8:0>") 9) - (direction INPUT)) - (port (array (rename ADDRB "ADDRB<8:0>") 9) - (direction INPUT)) - (port (array (rename DIA "DIA<31:0>") 32) - (direction INPUT)) - (port (array (rename DIB "DIB<31:0>") 32) - (direction INPUT)) - (port (array (rename DIPA "DIPA<3:0>") 4) - (direction INPUT)) - (port (array (rename DIPB "DIPB<3:0>") 4) - (direction INPUT)) - (port (array (rename DOA "DOA<31:0>") 32) - (direction OUTPUT)) - (port (array (rename DOPA "DOPA<3:0>") 4) - (direction OUTPUT)) - (port (array (rename DOB "DOB<31:0>") 32) - (direction OUTPUT)) - (port (array (rename DOPB "DOPB<3:0>") 4) - (direction OUTPUT)) - ) - ) - ) - (cell RAMB16_S9_S9 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port CLKA - (direction INPUT) - ) - (port CLKB - (direction INPUT) - ) - (port ENA - (direction INPUT) - ) - (port ENB - (direction INPUT) - ) - (port SSRA - (direction INPUT) - ) - (port SSRB - (direction INPUT) - ) - (port WEA - (direction INPUT) - ) - (port WEB - (direction INPUT) - ) - (port (array (rename ADDRA "ADDRA<10:0>") 11) - (direction INPUT)) - (port (array (rename ADDRB "ADDRB<10:0>") 11) - (direction INPUT)) - (port (array (rename DIA "DIA<7:0>") 8) - (direction INPUT)) - (port (array (rename DIB "DIB<7:0>") 8) - (direction INPUT)) - (port (array (rename DIPA "DIPA<0:0>") 1) - (direction INPUT)) - (port (array (rename DIPB "DIPB<0:0>") 1) - (direction INPUT)) - (port (array (rename DOA "DOA<7:0>") 8) - (direction OUTPUT)) - (port (array (rename DOPA "DOPA<0:0>") 1) - (direction OUTPUT)) - (port (array (rename DOB "DOB<7:0>") 8) - (direction OUTPUT)) - (port (array (rename DOPB "DOPB<0:0>") 1) - (direction OUTPUT)) - ) - ) - ) - (cell LUT4_L - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port I3 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT3_D - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT4_D - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port I3 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT3_L - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port I2 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT2_D - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - (port O - (direction OUTPUT) - ) - ) - ) - ) - (cell LUT2_L - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port I0 - (direction INPUT) - ) - (port I1 - (direction INPUT) - ) - (port LO - (direction OUTPUT) - ) - ) - ) - ) - (cell SRL16E - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port A0 - (direction INPUT) - ) - (port A1 - (direction INPUT) - ) - (port A2 - (direction INPUT) - ) - (port A3 - (direction INPUT) - ) - (port CE - (direction INPUT) - ) - (port CLK - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - (cell SRL16 - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port A0 - (direction INPUT) - ) - (port A1 - (direction INPUT) - ) - (port A2 - (direction INPUT) - ) - (port A3 - (direction INPUT) - ) - (port CLK - (direction INPUT) - ) - (port D - (direction INPUT) - ) - (port Q - (direction OUTPUT) - ) - ) - ) - ) - ) - - (library papilio_one_lib - (edifLevel 0) - (technology (numberDefinition)) - (cell papilio_one_top - (cellType GENERIC) - (view view_1 - (viewType NETLIST) - (interface - (port SPI_CS - (direction INOUT) - ) - (port SPI_MISO - (direction INPUT) - ) - (port CLK - (direction INPUT) - ) - (port RXD - (direction INPUT) - ) - (port TXD - (direction OUTPUT) - ) - (port SPI_SCK - (direction OUTPUT) - ) - (port SPI_MOSI - (direction OUTPUT) - ) - (port (array (rename WING_B "WING_B<15:0>") 16) - (direction INOUT)) - (port (array (rename WING_C "WING_C<15:0>") 16) - (direction INOUT)) - (port (array (rename WING_A "WING_A<15:0>") 16) - (direction INOUT)) - (designator "xc3s500e-4-vq100") - (property TYPE (string "papilio_one_top") (owner "Xilinx")) - (property BUS_INFO (string "16:INOUT:WING_B<15:0>") (owner "Xilinx")) - (property BUS_INFO (string "16:INOUT:WING_C<15:0>") (owner "Xilinx")) - (property BUS_INFO (string "16:INOUT:WING_A<15:0>") (owner "Xilinx")) - (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx")) - (property NLW_MACRO_TAG (integer 0) (owner "Xilinx")) - (property NLW_MULTI_DIMENSIONAL (boolean (true)) (owner "Xilinx")) - (property NLW_MACRO_ALIAS (string "papilio_one_top_papilio_one_top") (owner "Xilinx")) - ) - (contents - (instance XST_GND - (viewRef view_1 (cellRef GND (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance XST_VCC - (viewRef view_1 (cellRef VCC (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_v_display_renamed_0 "slot9/v_display") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramregs_do_wait_renamed_1 "zpuino/memory/ramregs.do_wait") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_do_wait_renamed_2 "zpuino/memory/rom_do_wait") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_stb_renamed_3 "zpuino/io/io_stb") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_0 "zpuino/io/wb_dat_o_0") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_1 "zpuino/io/wb_dat_o_1") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_2 "zpuino/io/wb_dat_o_2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_3 "zpuino/io/wb_dat_o_3") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_4 "zpuino/io/wb_dat_o_4") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_5 "zpuino/io/wb_dat_o_5") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_6 "zpuino/io/wb_dat_o_6") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_7 "zpuino/io/wb_dat_o_7") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_8 "zpuino/io/wb_dat_o_8") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_9 "zpuino/io/wb_dat_o_9") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_10 "zpuino/io/wb_dat_o_10") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_11 "zpuino/io/wb_dat_o_11") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_12 "zpuino/io/wb_dat_o_12") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_13 "zpuino/io/wb_dat_o_13") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_14 "zpuino/io/wb_dat_o_14") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_15 "zpuino/io/wb_dat_o_15") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_16 "zpuino/io/wb_dat_o_16") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_17 "zpuino/io/wb_dat_o_17") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_18 "zpuino/io/wb_dat_o_18") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_19 "zpuino/io/wb_dat_o_19") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_20 "zpuino/io/wb_dat_o_20") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_21 "zpuino/io/wb_dat_o_21") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_22 "zpuino/io/wb_dat_o_22") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_23 "zpuino/io/wb_dat_o_23") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_24 "zpuino/io/wb_dat_o_24") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_25 "zpuino/io/wb_dat_o_25") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_26 "zpuino/io/wb_dat_o_26") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_27 "zpuino/io/wb_dat_o_27") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_28 "zpuino/io/wb_dat_o_28") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_29 "zpuino/io/wb_dat_o_29") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_30 "zpuino/io/wb_dat_o_30") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_dat_o_31 "zpuino/io/wb_dat_o_31") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_in_transaction_renamed_4 "zpuino/io/wb_in_transaction") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_recompute_sp_renamed_5 "zpuino/core/prefr.recompute_sp") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_r_0 "slot9/vga_r_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_r_1 "slot9/vga_r_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_r_2 "slot9/vga_r_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_g_0 "slot9/vga_g_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_g_1 "slot9/vga_g_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_g_2 "slot9/vga_g_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_b_0 "slot9/vga_b_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_b_1 "slot9/vga_b_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_addrh_q_renamed_6 "slot9/ram/v_addrh_q") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_rstq2_renamed_7 "slot9/rstq2") - (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_read_ended_renamed_8 "slot9/read_ended") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_wb_ack_o_renamed_9 "zpuino/memory/rom_wb_ack_o") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_wb_ack_o_renamed_10 "zpuino/io/wb_ack_o") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_1_renamed_11 "zpuino/core/decr.decodedOpcode_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_3_renamed_12 "zpuino/core/decr.decodedOpcode_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_4_renamed_13 "zpuino/core/decr.decodedOpcode_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_5_renamed_14 "zpuino/core/decr.decodedOpcode_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_6_renamed_15 "zpuino/core/decr.decodedOpcode_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_8_renamed_16 "zpuino/core/decr.decodedOpcode_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_9_renamed_17 "zpuino/core/decr.decodedOpcode_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_12_renamed_18 "zpuino/core/decr.decodedOpcode_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_14_renamed_19 "zpuino/core/decr.decodedOpcode_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_15_renamed_20 "zpuino/core/decr.decodedOpcode_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_19_renamed_21 "zpuino/core/decr.decodedOpcode_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_22_renamed_22 "zpuino/core/decr.decodedOpcode_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_23_renamed_23 "zpuino/core/decr.decodedOpcode_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_24_renamed_24 "zpuino/core/decr.decodedOpcode_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_25_renamed_25 "zpuino/core/decr.decodedOpcode_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_27_renamed_26 "zpuino/core/decr.decodedOpcode_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_30_renamed_27 "zpuino/core/decr.decodedOpcode_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_31_renamed_28 "zpuino/core/decr.decodedOpcode_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_32_renamed_29 "zpuino/core/decr.decodedOpcode_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_33_renamed_30 "zpuino/core/decr.decodedOpcode_33") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_0_renamed_31 "zpuino/core/decr.pcint_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_1_renamed_32 "zpuino/core/decr.pcint_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_2_renamed_33 "zpuino/core/decr.pcint_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_3_renamed_34 "zpuino/core/decr.pcint_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_4_renamed_35 "zpuino/core/decr.pcint_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_5_renamed_36 "zpuino/core/decr.pcint_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_6_renamed_37 "zpuino/core/decr.pcint_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_7_renamed_38 "zpuino/core/decr.pcint_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_8_renamed_39 "zpuino/core/decr.pcint_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_9_renamed_40 "zpuino/core/decr.pcint_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_10_renamed_41 "zpuino/core/decr.pcint_10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_11_renamed_42 "zpuino/core/decr.pcint_11") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_12_renamed_43 "zpuino/core/decr.pcint_12") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_13_renamed_44 "zpuino/core/decr.pcint_13") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_renamed_45 "zpuino/core/decr.break") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_0_renamed_46 "zpuino/core/exr.tos_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_1_renamed_47 "zpuino/core/exr.tos_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_2_renamed_48 "zpuino/core/exr.tos_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_3_renamed_49 "zpuino/core/exr.tos_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_4_renamed_50 "zpuino/core/exr.tos_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_5_renamed_51 "zpuino/core/exr.tos_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_6_renamed_52 "zpuino/core/exr.tos_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_7_renamed_53 "zpuino/core/exr.tos_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_8_renamed_54 "zpuino/core/exr.tos_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_9_renamed_55 "zpuino/core/exr.tos_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_10_renamed_56 "zpuino/core/exr.tos_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_11_renamed_57 "zpuino/core/exr.tos_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_12_renamed_58 "zpuino/core/exr.tos_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_13_renamed_59 "zpuino/core/exr.tos_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_14_renamed_60 "zpuino/core/exr.tos_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_15_renamed_61 "zpuino/core/exr.tos_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_16_renamed_62 "zpuino/core/exr.tos_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_17_renamed_63 "zpuino/core/exr.tos_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_18_renamed_64 "zpuino/core/exr.tos_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_19_renamed_65 "zpuino/core/exr.tos_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_20_renamed_66 "zpuino/core/exr.tos_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_21_renamed_67 "zpuino/core/exr.tos_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_22_renamed_68 "zpuino/core/exr.tos_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_23_renamed_69 "zpuino/core/exr.tos_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_24_renamed_70 "zpuino/core/exr.tos_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_25_renamed_71 "zpuino/core/exr.tos_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_26_renamed_72 "zpuino/core/exr.tos_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_27_renamed_73 "zpuino/core/exr.tos_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_28_renamed_74 "zpuino/core/exr.tos_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_29_renamed_75 "zpuino/core/exr.tos_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_30_renamed_76 "zpuino/core/exr.tos_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_31_renamed_77 "zpuino/core/exr.tos_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_we_renamed_78 "zpuino/core/exr.wb_we") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_valid_renamed_79 "zpuino/core/decr.valid") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_im_renamed_80 "zpuino/core/decr.im") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_0_renamed_81 "zpuino/core/decr.fetchpc_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_1_renamed_82 "zpuino/core/decr.fetchpc_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_2_renamed_83 "zpuino/core/decr.fetchpc_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_3_renamed_84 "zpuino/core/decr.fetchpc_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_4_renamed_85 "zpuino/core/decr.fetchpc_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_5_renamed_86 "zpuino/core/decr.fetchpc_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_6_renamed_87 "zpuino/core/decr.fetchpc_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_7_renamed_88 "zpuino/core/decr.fetchpc_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_8_renamed_89 "zpuino/core/decr.fetchpc_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_9_renamed_90 "zpuino/core/decr.fetchpc_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_10_renamed_91 "zpuino/core/decr.fetchpc_10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_11_renamed_92 "zpuino/core/decr.fetchpc_11") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_12_renamed_93 "zpuino/core/decr.fetchpc_12") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_13_renamed_94 "zpuino/core/decr.fetchpc_13") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_0_renamed_95 "zpuino/core/exr.nos_save_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_1_renamed_96 "zpuino/core/exr.nos_save_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_2_renamed_97 "zpuino/core/exr.nos_save_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_3_renamed_98 "zpuino/core/exr.nos_save_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_4_renamed_99 "zpuino/core/exr.nos_save_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_5_renamed_100 "zpuino/core/exr.nos_save_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_6_renamed_101 "zpuino/core/exr.nos_save_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_7_renamed_102 "zpuino/core/exr.nos_save_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_8_renamed_103 "zpuino/core/exr.nos_save_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_9_renamed_104 "zpuino/core/exr.nos_save_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_10_renamed_105 "zpuino/core/exr.nos_save_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_11_renamed_106 "zpuino/core/exr.nos_save_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_12_renamed_107 "zpuino/core/exr.nos_save_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_13_renamed_108 "zpuino/core/exr.nos_save_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_14_renamed_109 "zpuino/core/exr.nos_save_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_15_renamed_110 "zpuino/core/exr.nos_save_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_16_renamed_111 "zpuino/core/exr.nos_save_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_17_renamed_112 "zpuino/core/exr.nos_save_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_18_renamed_113 "zpuino/core/exr.nos_save_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_19_renamed_114 "zpuino/core/exr.nos_save_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_20_renamed_115 "zpuino/core/exr.nos_save_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_21_renamed_116 "zpuino/core/exr.nos_save_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_22_renamed_117 "zpuino/core/exr.nos_save_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_23_renamed_118 "zpuino/core/exr.nos_save_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_24_renamed_119 "zpuino/core/exr.nos_save_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_25_renamed_120 "zpuino/core/exr.nos_save_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_26_renamed_121 "zpuino/core/exr.nos_save_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_27_renamed_122 "zpuino/core/exr.nos_save_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_28_renamed_123 "zpuino/core/exr.nos_save_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_29_renamed_124 "zpuino/core/exr.nos_save_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_30_renamed_125 "zpuino/core/exr.nos_save_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_nos_save_31_renamed_126 "zpuino/core/exr.nos_save_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_renamed_127 "zpuino/core/wroteback_q") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_0_renamed_128 "zpuino/core/decr.spOffset_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_1_renamed_129 "zpuino/core/decr.spOffset_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_2_renamed_130 "zpuino/core/decr.spOffset_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_3_renamed_131 "zpuino/core/decr.spOffset_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_4_renamed_132 "zpuino/core/decr.spOffset_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_0_renamed_133 "zpuino/core/decr.opcode_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_1_renamed_134 "zpuino/core/decr.opcode_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_2_renamed_135 "zpuino/core/decr.opcode_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_3_renamed_136 "zpuino/core/decr.opcode_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_4_renamed_137 "zpuino/core/decr.opcode_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_5_renamed_138 "zpuino/core/decr.opcode_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_6_renamed_139 "zpuino/core/decr.opcode_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_renamed_140 "zpuino/core/decr.opWillFreeze") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_2_renamed_141 "zpuino/core/prefr.spnext_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_3_renamed_142 "zpuino/core/prefr.spnext_3") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_4_renamed_143 "zpuino/core/prefr.spnext_4") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_5_renamed_144 "zpuino/core/prefr.spnext_5") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_6_renamed_145 "zpuino/core/prefr.spnext_6") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_7_renamed_146 "zpuino/core/prefr.spnext_7") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_8_renamed_147 "zpuino/core/prefr.spnext_8") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_9_renamed_148 "zpuino/core/prefr.spnext_9") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_10_renamed_149 "zpuino/core/prefr.spnext_10") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_1_renamed_150 "zpuino/core/decr.stackOperation_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_2_renamed_151 "zpuino/core/decr.stackOperation_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_3_renamed_152 "zpuino/core/decr.stackOperation_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_0_renamed_153 "zpuino/core/decr.tosSource_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_1_renamed_154 "zpuino/core/decr.tosSource_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_2_renamed_155 "zpuino/core/decr.tosSource_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_3_renamed_156 "zpuino/core/decr.tosSource_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_4_renamed_157 "zpuino/core/decr.tosSource_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_5_renamed_158 "zpuino/core/decr.tosSource_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_6_renamed_159 "zpuino/core/decr.tosSource_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_7_renamed_160 "zpuino/core/decr.tosSource_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_8_renamed_161 "zpuino/core/decr.tosSource_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_9_renamed_162 "zpuino/core/decr.tosSource_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_10_renamed_163 "zpuino/core/decr.tosSource_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_11_renamed_164 "zpuino/core/decr.tosSource_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_12_renamed_165 "zpuino/core/decr.tosSource_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_13_renamed_166 "zpuino/core/decr.tosSource_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_14_renamed_167 "zpuino/core/decr.tosSource_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_15_renamed_168 "zpuino/core/decr.tosSource_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_16_renamed_169 "zpuino/core/decr.tosSource_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_17_renamed_170 "zpuino/core/decr.tosSource_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_renamed_171 "zpuino/core/exr.wb_cyc") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_inInterrupt_renamed_172 "zpuino/core/exr.inInterrupt") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_1 "zpuino/core/shl/d_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_2 "zpuino/core/shl/d_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_rstq1_renamed_173 "slot9/rstq1") - (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_2_renamed_174 "zpuino/core/prefr.sp_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_3_renamed_175 "zpuino/core/prefr.sp_3") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_4_renamed_176 "zpuino/core/prefr.sp_4") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_5_renamed_177 "zpuino/core/prefr.sp_5") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_6_renamed_178 "zpuino/core/prefr.sp_6") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_7_renamed_179 "zpuino/core/prefr.sp_7") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_8_renamed_180 "zpuino/core/prefr.sp_8") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_9_renamed_181 "zpuino/core/prefr.sp_9") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_10_renamed_182 "zpuino/core/prefr.sp_10") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_0_renamed_183 "zpuino/core/decr.pc_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_1_renamed_184 "zpuino/core/decr.pc_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_2_renamed_185 "zpuino/core/decr.pc_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_3_renamed_186 "zpuino/core/decr.pc_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_4_renamed_187 "zpuino/core/decr.pc_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_5_renamed_188 "zpuino/core/decr.pc_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_6_renamed_189 "zpuino/core/decr.pc_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_7_renamed_190 "zpuino/core/decr.pc_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_8_renamed_191 "zpuino/core/decr.pc_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_9_renamed_192 "zpuino/core/decr.pc_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_10_renamed_193 "zpuino/core/decr.pc_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_11_renamed_194 "zpuino/core/decr.pc_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_12_renamed_195 "zpuino/core/decr.pc_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_13_renamed_196 "zpuino/core/decr.pc_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_0_renamed_197 "zpuino/core/prefr.opcode_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_1_renamed_198 "zpuino/core/prefr.opcode_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_2_renamed_199 "zpuino/core/prefr.opcode_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_3_renamed_200 "zpuino/core/prefr.opcode_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_4_renamed_201 "zpuino/core/prefr.opcode_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_5_renamed_202 "zpuino/core/prefr.opcode_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opcode_6_renamed_203 "zpuino/core/prefr.opcode_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_0_renamed_204 "zpuino/core/prefr.tosSource_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_1_renamed_205 "zpuino/core/prefr.tosSource_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_2_renamed_206 "zpuino/core/prefr.tosSource_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_3_renamed_207 "zpuino/core/prefr.tosSource_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_4_renamed_208 "zpuino/core/prefr.tosSource_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_5_renamed_209 "zpuino/core/prefr.tosSource_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_6_renamed_210 "zpuino/core/prefr.tosSource_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_7_renamed_211 "zpuino/core/prefr.tosSource_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_8_renamed_212 "zpuino/core/prefr.tosSource_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_9_renamed_213 "zpuino/core/prefr.tosSource_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_10_renamed_214 "zpuino/core/prefr.tosSource_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_11_renamed_215 "zpuino/core/prefr.tosSource_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_12_renamed_216 "zpuino/core/prefr.tosSource_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_13_renamed_217 "zpuino/core/prefr.tosSource_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_14_renamed_218 "zpuino/core/prefr.tosSource_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_15_renamed_219 "zpuino/core/prefr.tosSource_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_16_renamed_220 "zpuino/core/prefr.tosSource_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_tosSource_17_renamed_221 "zpuino/core/prefr.tosSource_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_break_renamed_222 "zpuino/core/prefr.break") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_0_renamed_223 "zpuino/core/exr.tos_save_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_1_renamed_224 "zpuino/core/exr.tos_save_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_2_renamed_225 "zpuino/core/exr.tos_save_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_3_renamed_226 "zpuino/core/exr.tos_save_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_4_renamed_227 "zpuino/core/exr.tos_save_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_5_renamed_228 "zpuino/core/exr.tos_save_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_6_renamed_229 "zpuino/core/exr.tos_save_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_7_renamed_230 "zpuino/core/exr.tos_save_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_8_renamed_231 "zpuino/core/exr.tos_save_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_9_renamed_232 "zpuino/core/exr.tos_save_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_10_renamed_233 "zpuino/core/exr.tos_save_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_11_renamed_234 "zpuino/core/exr.tos_save_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_12_renamed_235 "zpuino/core/exr.tos_save_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_13_renamed_236 "zpuino/core/exr.tos_save_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_14_renamed_237 "zpuino/core/exr.tos_save_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_15_renamed_238 "zpuino/core/exr.tos_save_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_16_renamed_239 "zpuino/core/exr.tos_save_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_17_renamed_240 "zpuino/core/exr.tos_save_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_18_renamed_241 "zpuino/core/exr.tos_save_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_19_renamed_242 "zpuino/core/exr.tos_save_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_20_renamed_243 "zpuino/core/exr.tos_save_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_21_renamed_244 "zpuino/core/exr.tos_save_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_22_renamed_245 "zpuino/core/exr.tos_save_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_23_renamed_246 "zpuino/core/exr.tos_save_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_24_renamed_247 "zpuino/core/exr.tos_save_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_25_renamed_248 "zpuino/core/exr.tos_save_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_26_renamed_249 "zpuino/core/exr.tos_save_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_27_renamed_250 "zpuino/core/exr.tos_save_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_28_renamed_251 "zpuino/core/exr.tos_save_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_29_renamed_252 "zpuino/core/exr.tos_save_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_30_renamed_253 "zpuino/core/exr.tos_save_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_31_renamed_254 "zpuino/core/exr.tos_save_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_0_renamed_255 "zpuino/core/prefr.fetchpc_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_1_renamed_256 "zpuino/core/prefr.fetchpc_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_2_renamed_257 "zpuino/core/prefr.fetchpc_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_3_renamed_258 "zpuino/core/prefr.fetchpc_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_4_renamed_259 "zpuino/core/prefr.fetchpc_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_5_renamed_260 "zpuino/core/prefr.fetchpc_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_6_renamed_261 "zpuino/core/prefr.fetchpc_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_7_renamed_262 "zpuino/core/prefr.fetchpc_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_8_renamed_263 "zpuino/core/prefr.fetchpc_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_9_renamed_264 "zpuino/core/prefr.fetchpc_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_10_renamed_265 "zpuino/core/prefr.fetchpc_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_11_renamed_266 "zpuino/core/prefr.fetchpc_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_12_renamed_267 "zpuino/core/prefr.fetchpc_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_fetchpc_13_renamed_268 "zpuino/core/prefr.fetchpc_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_valid_renamed_269 "zpuino/core/prefr.valid") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_1_renamed_270 "zpuino/core/prefr.decodedOpcode_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_3_renamed_271 "zpuino/core/prefr.decodedOpcode_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_4_renamed_272 "zpuino/core/prefr.decodedOpcode_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_5_renamed_273 "zpuino/core/prefr.decodedOpcode_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_6_renamed_274 "zpuino/core/prefr.decodedOpcode_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_8_renamed_275 "zpuino/core/prefr.decodedOpcode_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_9_renamed_276 "zpuino/core/prefr.decodedOpcode_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_12_renamed_277 "zpuino/core/prefr.decodedOpcode_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_14_renamed_278 "zpuino/core/prefr.decodedOpcode_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_15_renamed_279 "zpuino/core/prefr.decodedOpcode_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_19_renamed_280 "zpuino/core/prefr.decodedOpcode_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_22_renamed_281 "zpuino/core/prefr.decodedOpcode_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_23_renamed_282 "zpuino/core/prefr.decodedOpcode_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_24_renamed_283 "zpuino/core/prefr.decodedOpcode_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_25_renamed_284 "zpuino/core/prefr.decodedOpcode_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_27_renamed_285 "zpuino/core/prefr.decodedOpcode_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_30_renamed_286 "zpuino/core/prefr.decodedOpcode_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_31_renamed_287 "zpuino/core/prefr.decodedOpcode_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_32_renamed_288 "zpuino/core/prefr.decodedOpcode_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_decodedOpcode_33_renamed_289 "zpuino/core/prefr.decodedOpcode_33") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_opWillFreeze_renamed_290 "zpuino/core/prefr.opWillFreeze") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_done_renamed_291 "zpuino/core/shl/done") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_renamed_292 "slot9/vga_hsync") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_renamed_293 "slot9/vga_vsync") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_h_sync_tick_renamed_294 "slot9/h_sync_tick") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_0_renamed_295 "zpuino/core/prefr.pc_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_1_renamed_296 "zpuino/core/prefr.pc_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_2_renamed_297 "zpuino/core/prefr.pc_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_3_renamed_298 "zpuino/core/prefr.pc_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_4_renamed_299 "zpuino/core/prefr.pc_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_5_renamed_300 "zpuino/core/prefr.pc_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_6_renamed_301 "zpuino/core/prefr.pc_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_7_renamed_302 "zpuino/core/prefr.pc_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_8_renamed_303 "zpuino/core/prefr.pc_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_9_renamed_304 "zpuino/core/prefr.pc_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_10_renamed_305 "zpuino/core/prefr.pc_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_11_renamed_306 "zpuino/core/prefr.pc_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_12_renamed_307 "zpuino/core/prefr.pc_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_13_renamed_308 "zpuino/core/prefr.pc_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_0 "zpuino/io/write_save_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_1 "zpuino/io/write_save_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_2 "zpuino/io/write_save_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_3 "zpuino/io/write_save_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_4 "zpuino/io/write_save_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_5 "zpuino/io/write_save_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_6 "zpuino/io/write_save_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_7 "zpuino/io/write_save_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_8 "zpuino/io/write_save_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_9 "zpuino/io/write_save_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_10 "zpuino/io/write_save_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_11 "zpuino/io/write_save_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_12 "zpuino/io/write_save_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_13 "zpuino/io/write_save_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_14 "zpuino/io/write_save_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_15 "zpuino/io/write_save_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_16 "zpuino/io/write_save_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_17 "zpuino/io/write_save_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_18 "zpuino/io/write_save_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_19 "zpuino/io/write_save_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_20 "zpuino/io/write_save_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_21 "zpuino/io/write_save_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_22 "zpuino/io/write_save_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_23 "zpuino/io/write_save_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_24 "zpuino/io/write_save_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_25 "zpuino/io/write_save_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_26 "zpuino/io/write_save_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_27 "zpuino/io/write_save_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_28 "zpuino/io/write_save_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_29 "zpuino/io/write_save_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_30 "zpuino/io/write_save_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_31 "zpuino/io/write_save_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_2 "zpuino/io/addr_save_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_3 "zpuino/io/addr_save_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_4 "zpuino/io/addr_save_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_5 "zpuino/io/addr_save_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_6 "zpuino/io/addr_save_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_7 "zpuino/io/addr_save_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_8 "zpuino/io/addr_save_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_9 "zpuino/io/addr_save_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_10 "zpuino/io/addr_save_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_11 "zpuino/io/addr_save_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_12 "zpuino/io/addr_save_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_13 "zpuino/io/addr_save_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_14 "zpuino/io/addr_save_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_15 "zpuino/io/addr_save_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_16 "zpuino/io/addr_save_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_23 "zpuino/io/addr_save_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_24 "zpuino/io/addr_save_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_25 "zpuino/io/addr_save_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_26 "zpuino/io/addr_save_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_cyc_renamed_309 "zpuino/io/io_cyc") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_we_renamed_310 "zpuino/io/io_we") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_ram_mi_addrh_q_renamed_311 "slot9/ram/mi_addrh_q") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_0 "zpuino/core/shl/d_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_renamed_312 "rstgen/rstcount_zero_q") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_clk_pres_2 "slot1/spi_clk_pres_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_clk_pres_1 "slot1/spi_clk_pres_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_clk_pres_0 "slot1/spi_clk_pres_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_samprise_renamed_313 "slot1/spi_samprise") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_enable_q_renamed_314 "slot1/spi_enable_q") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_txblock_q_renamed_315 "slot1/spi_txblock_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_wb_ack_o_renamed_316 "slot1/wb_ack_o") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_en_renamed_317 "slot1/spi_en") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_transfersize_q_1 "slot1/spi_transfersize_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_spi_transfersize_q_0 "slot1/spi_transfersize_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_trans_renamed_318 "slot1/trans") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_cpol_renamed_319 "slot1/cpol") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_clk_pres_2 "slot0/spi_clk_pres_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_clk_pres_1 "slot0/spi_clk_pres_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_clk_pres_0 "slot0/spi_clk_pres_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_samprise_renamed_320 "slot0/spi_samprise") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_enable_q_renamed_321 "slot0/spi_enable_q") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_txblock_q_renamed_322 "slot0/spi_txblock_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_wb_ack_o_renamed_323 "slot0/wb_ack_o") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_en_renamed_324 "slot0/spi_en") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_1 "slot0/spi_transfersize_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_0 "slot0/spi_transfersize_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_trans_renamed_325 "slot0/trans") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_cpol_renamed_326 "slot0/cpol") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_0__ "rstgen/Mcount_rstcount_lut<0>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_0__ "rstgen/Mcount_rstcount_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_0__ "rstgen/Mcount_rstcount_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_1__ "rstgen/Mcount_rstcount_lut<1>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_1__ "rstgen/Mcount_rstcount_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_1__ "rstgen/Mcount_rstcount_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_2__ "rstgen/Mcount_rstcount_lut<2>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_2__ "rstgen/Mcount_rstcount_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_2__ "rstgen/Mcount_rstcount_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_3__ "rstgen/Mcount_rstcount_lut<3>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_3__ "rstgen/Mcount_rstcount_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_3__ "rstgen/Mcount_rstcount_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_4__ "rstgen/Mcount_rstcount_lut<4>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_4__ "rstgen/Mcount_rstcount_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_4__ "rstgen/Mcount_rstcount_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_5__ "rstgen/Mcount_rstcount_lut<5>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_5__ "rstgen/Mcount_rstcount_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_5__ "rstgen/Mcount_rstcount_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_6__ "rstgen/Mcount_rstcount_lut<6>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_6__ "rstgen/Mcount_rstcount_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_6__ "rstgen/Mcount_rstcount_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_7__ "rstgen/Mcount_rstcount_lut<7>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_7__ "rstgen/Mcount_rstcount_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_7__ "rstgen/Mcount_rstcount_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_8__ "rstgen/Mcount_rstcount_lut<8>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_8__ "rstgen/Mcount_rstcount_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_8__ "rstgen/Mcount_rstcount_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_9__ "rstgen/Mcount_rstcount_lut<9>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_9__ "rstgen/Mcount_rstcount_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_9__ "rstgen/Mcount_rstcount_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_10__ "rstgen/Mcount_rstcount_lut<10>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_10__ "rstgen/Mcount_rstcount_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_10__ "rstgen/Mcount_rstcount_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_11__ "rstgen/Mcount_rstcount_lut<11>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_11__ "rstgen/Mcount_rstcount_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_11__ "rstgen/Mcount_rstcount_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_12__ "rstgen/Mcount_rstcount_lut<12>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_12__ "rstgen/Mcount_rstcount_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_12__ "rstgen/Mcount_rstcount_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_13__ "rstgen/Mcount_rstcount_lut<13>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_13__ "rstgen/Mcount_rstcount_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_13__ "rstgen/Mcount_rstcount_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_14__ "rstgen/Mcount_rstcount_lut<14>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_14__ "rstgen/Mcount_rstcount_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_14__ "rstgen/Mcount_rstcount_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_15__ "rstgen/Mcount_rstcount_lut<15>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_15__ "rstgen/Mcount_rstcount_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_15__ "rstgen/Mcount_rstcount_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_16__ "rstgen/Mcount_rstcount_lut<16>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_16__ "rstgen/Mcount_rstcount_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_16__ "rstgen/Mcount_rstcount_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_17__ "rstgen/Mcount_rstcount_lut<17>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_17__ "rstgen/Mcount_rstcount_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_17__ "rstgen/Mcount_rstcount_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_18__ "rstgen/Mcount_rstcount_lut<18>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_18__ "rstgen/Mcount_rstcount_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_18__ "rstgen/Mcount_rstcount_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_19__ "rstgen/Mcount_rstcount_lut<19>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_19__ "rstgen/Mcount_rstcount_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_19__ "rstgen/Mcount_rstcount_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_20__ "rstgen/Mcount_rstcount_lut<20>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_20__ "rstgen/Mcount_rstcount_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_20__ "rstgen/Mcount_rstcount_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_21__ "rstgen/Mcount_rstcount_lut<21>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_21__ "rstgen/Mcount_rstcount_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_21__ "rstgen/Mcount_rstcount_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_22__ "rstgen/Mcount_rstcount_lut<22>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_22__ "rstgen/Mcount_rstcount_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_22__ "rstgen/Mcount_rstcount_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_23__ "rstgen/Mcount_rstcount_lut<23>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_23__ "rstgen/Mcount_rstcount_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_23__ "rstgen/Mcount_rstcount_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_24__ "rstgen/Mcount_rstcount_lut<24>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_24__ "rstgen/Mcount_rstcount_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_24__ "rstgen/Mcount_rstcount_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_25__ "rstgen/Mcount_rstcount_lut<25>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_25__ "rstgen/Mcount_rstcount_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_25__ "rstgen/Mcount_rstcount_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_26__ "rstgen/Mcount_rstcount_lut<26>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_26__ "rstgen/Mcount_rstcount_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_26__ "rstgen/Mcount_rstcount_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_27__ "rstgen/Mcount_rstcount_lut<27>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_27__ "rstgen/Mcount_rstcount_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_27__ "rstgen/Mcount_rstcount_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_28__ "rstgen/Mcount_rstcount_lut<28>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_28__ "rstgen/Mcount_rstcount_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_28__ "rstgen/Mcount_rstcount_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_29__ "rstgen/Mcount_rstcount_lut<29>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_29__ "rstgen/Mcount_rstcount_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_29__ "rstgen/Mcount_rstcount_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_30__ "rstgen/Mcount_rstcount_lut<30>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_cy_30__ "rstgen/Mcount_rstcount_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_30__ "rstgen/Mcount_rstcount_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_lut_31__ "rstgen/Mcount_rstcount_lut<31>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename rstgen_Mcount_rstcount_xor_31__ "rstgen/Mcount_rstcount_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0") - (viewRef view_1 (cellRef MULT18X18SIO (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:A<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "36:OUTPUT:P<35:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx")) - (property B_INPUT (string "DIRECT") (owner "Xilinx")) - (property AREG (integer 1) (owner "Xilinx")) - (property BREG (integer 0) (owner "Xilinx")) - (property PREG (integer 1) (owner "Xilinx")) - (property PREG_CLKINVERSION (integer 0) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01") - (viewRef view_1 (cellRef MULT18X18SIO (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:A<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "36:OUTPUT:P<35:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx")) - (property B_INPUT (string "CASCADE") (owner "Xilinx")) - (property BREG (integer 0) (owner "Xilinx")) - (property AREG (integer 1) (owner "Xilinx")) - (property PREG (integer 1) (owner "Xilinx")) - (property PREG_CLKINVERSION (integer 0) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1") - (viewRef view_1 (cellRef MULT18X18SIO (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:A<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx")) - (property BUS_INFO (string "36:OUTPUT:P<35:0>") (owner "Xilinx")) - (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx")) - (property B_INPUT (string "DIRECT") (owner "Xilinx")) - (property AREG (integer 1) (owner "Xilinx")) - (property BREG (integer 0) (owner "Xilinx")) - (property PREG (integer 1) (owner "Xilinx")) - (property PREG_CLKINVERSION (integer 0) (owner "Xilinx")) - ) - (instance (rename slot9_voff_0 "slot9/voff_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_voff_1 "slot9/voff_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_voff_2 "slot9/voff_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_0 "slot9/vcount_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_1 "slot9/vcount_q_1") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_2 "slot9/vcount_q_2") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_5 "slot9/vcount_q_5") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_3 "slot9/vcount_q_3") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_4 "slot9/vcount_q_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_6 "slot9/vcount_q_6") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_7 "slot9/vcount_q_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_8 "slot9/vcount_q_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hoff_0 "slot9/hoff_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hoff_1 "slot9/hoff_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hoff_2 "slot9/hoff_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_0 "slot9/hcount_q_0") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_1 "slot9/hcount_q_1") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_2 "slot9/hcount_q_2") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_3 "slot9/hcount_q_3") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_4 "slot9/hcount_q_4") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_7 "slot9/hcount_q_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_5 "slot9/hcount_q_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_6 "slot9/hcount_q_6") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_8 "slot9/hcount_q_8") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_9 "slot9/hcount_q_9") - (viewRef view_1 (cellRef FDRS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_2 "slot9/hdisp_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_3 "slot9/hdisp_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_4 "slot9/hdisp_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_5 "slot9/hdisp_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_6 "slot9/hdisp_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_7 "slot9/hdisp_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_8 "slot9/hdisp_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_9 "slot9/hdisp_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_10 "slot9/hdisp_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_11 "slot9/hdisp_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_12 "slot9/hdisp_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_13 "slot9/hdisp_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_5 "slot9/vga_v_offset_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_6 "slot9/vga_v_offset_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_7 "slot9/vga_v_offset_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_8 "slot9/vga_v_offset_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_9 "slot9/vga_v_offset_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_10 "slot9/vga_v_offset_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_11 "slot9/vga_v_offset_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_12 "slot9/vga_v_offset_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_13 "slot9/vga_v_offset_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_14 "slot9/vga_v_offset_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_0 "rstgen/rstcount_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_1 "rstgen/rstcount_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_2 "rstgen/rstcount_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_5 "rstgen/rstcount_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_3 "rstgen/rstcount_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_4 "rstgen/rstcount_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_6 "rstgen/rstcount_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_7 "rstgen/rstcount_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_8 "rstgen/rstcount_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_9 "rstgen/rstcount_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_10 "rstgen/rstcount_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_11 "rstgen/rstcount_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_12 "rstgen/rstcount_12") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_13 "rstgen/rstcount_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_14 "rstgen/rstcount_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_15 "rstgen/rstcount_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_16 "rstgen/rstcount_16") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_17 "rstgen/rstcount_17") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_20 "rstgen/rstcount_20") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_18 "rstgen/rstcount_18") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_19 "rstgen/rstcount_19") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_21 "rstgen/rstcount_21") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_22 "rstgen/rstcount_22") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_23 "rstgen/rstcount_23") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_24 "rstgen/rstcount_24") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_25 "rstgen/rstcount_25") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_26 "rstgen/rstcount_26") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_27 "rstgen/rstcount_27") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_28 "rstgen/rstcount_28") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_29 "rstgen/rstcount_29") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_30 "rstgen/rstcount_30") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_31 "rstgen/rstcount_31") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_46") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_45") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_44") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_43") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_42") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_41") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_40") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_39") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_38") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_37") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_36") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_35") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_34") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_33") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_9 "slot9/vcount_q_9") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_hcount_q_10 "slot9/hcount_q_10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_0__ "slot9/Madd_vga_ram_address_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_0__ "slot9/Madd_vga_ram_address_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_1__ "slot9/Madd_vga_ram_address_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_1__ "slot9/Madd_vga_ram_address_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_2__ "slot9/Madd_vga_ram_address_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_2__ "slot9/Madd_vga_ram_address_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_3__ "slot9/Madd_vga_ram_address_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_3__ "slot9/Madd_vga_ram_address_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_4__ "slot9/Madd_vga_ram_address_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_4__ "slot9/Madd_vga_ram_address_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_5__ "slot9/Madd_vga_ram_address_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_5__ "slot9/Madd_vga_ram_address_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_5__ "slot9/Madd_vga_ram_address_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_6__ "slot9/Madd_vga_ram_address_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_6__ "slot9/Madd_vga_ram_address_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_6__ "slot9/Madd_vga_ram_address_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_7__ "slot9/Madd_vga_ram_address_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_7__ "slot9/Madd_vga_ram_address_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_7__ "slot9/Madd_vga_ram_address_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_8__ "slot9/Madd_vga_ram_address_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_8__ "slot9/Madd_vga_ram_address_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_8__ "slot9/Madd_vga_ram_address_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_9__ "slot9/Madd_vga_ram_address_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_9__ "slot9/Madd_vga_ram_address_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_9__ "slot9/Madd_vga_ram_address_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_10__ "slot9/Madd_vga_ram_address_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_10__ "slot9/Madd_vga_ram_address_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_10__ "slot9/Madd_vga_ram_address_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_lut_11__ "slot9/Madd_vga_ram_address_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_11__ "slot9/Madd_vga_ram_address_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_11__ "slot9/Madd_vga_ram_address_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_12__ "slot9/Madd_vga_ram_address_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_12__ "slot9/Madd_vga_ram_address_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_13__ "slot9/Madd_vga_ram_address_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_13__ "slot9/Madd_vga_ram_address_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_14__ "slot9/Madd_vga_ram_address_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_0__ "zpuino/core/Madd_w1.tos_add0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_0__ "zpuino/core/Madd_w1.tos_add0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_1__ "zpuino/core/Madd_w1.tos_add0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_1__ "zpuino/core/Madd_w1.tos_add0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_2__ "zpuino/core/Madd_w1.tos_add0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_2__ "zpuino/core/Madd_w1.tos_add0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_3__ "zpuino/core/Madd_w1.tos_add0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_3__ "zpuino/core/Madd_w1.tos_add0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_4__ "zpuino/core/Madd_w1.tos_add0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_4__ "zpuino/core/Madd_w1.tos_add0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_5__ "zpuino/core/Madd_w1.tos_add0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_5__ "zpuino/core/Madd_w1.tos_add0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_6__ "zpuino/core/Madd_w1.tos_add0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_6__ "zpuino/core/Madd_w1.tos_add0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_7__ "zpuino/core/Madd_w1.tos_add0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_7__ "zpuino/core/Madd_w1.tos_add0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_8__ "zpuino/core/Madd_w1.tos_add0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_8__ "zpuino/core/Madd_w1.tos_add0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_9__ "zpuino/core/Madd_w1.tos_add0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_9__ "zpuino/core/Madd_w1.tos_add0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_10__ "zpuino/core/Madd_w1.tos_add0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_10__ "zpuino/core/Madd_w1.tos_add0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_11__ "zpuino/core/Madd_w1.tos_add0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_11__ "zpuino/core/Madd_w1.tos_add0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_12__ "zpuino/core/Madd_w1.tos_add0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_12__ "zpuino/core/Madd_w1.tos_add0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_13__ "zpuino/core/Madd_w1.tos_add0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_13__ "zpuino/core/Madd_w1.tos_add0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_14__ "zpuino/core/Madd_w1.tos_add0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_14__ "zpuino/core/Madd_w1.tos_add0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_15__ "zpuino/core/Madd_w1.tos_add0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_15__ "zpuino/core/Madd_w1.tos_add0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_16__ "zpuino/core/Madd_w1.tos_add0000_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_16__ "zpuino/core/Madd_w1.tos_add0000_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_17__ "zpuino/core/Madd_w1.tos_add0000_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_17__ "zpuino/core/Madd_w1.tos_add0000_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_18__ "zpuino/core/Madd_w1.tos_add0000_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_18__ "zpuino/core/Madd_w1.tos_add0000_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_19__ "zpuino/core/Madd_w1.tos_add0000_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_19__ "zpuino/core/Madd_w1.tos_add0000_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_20__ "zpuino/core/Madd_w1.tos_add0000_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_20__ "zpuino/core/Madd_w1.tos_add0000_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_21__ "zpuino/core/Madd_w1.tos_add0000_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_21__ "zpuino/core/Madd_w1.tos_add0000_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_22__ "zpuino/core/Madd_w1.tos_add0000_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_22__ "zpuino/core/Madd_w1.tos_add0000_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_23__ "zpuino/core/Madd_w1.tos_add0000_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_23__ "zpuino/core/Madd_w1.tos_add0000_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_24__ "zpuino/core/Madd_w1.tos_add0000_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_24__ "zpuino/core/Madd_w1.tos_add0000_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_25__ "zpuino/core/Madd_w1.tos_add0000_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_25__ "zpuino/core/Madd_w1.tos_add0000_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_26__ "zpuino/core/Madd_w1.tos_add0000_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_26__ "zpuino/core/Madd_w1.tos_add0000_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_27__ "zpuino/core/Madd_w1.tos_add0000_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_27__ "zpuino/core/Madd_w1.tos_add0000_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_28__ "zpuino/core/Madd_w1.tos_add0000_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_28__ "zpuino/core/Madd_w1.tos_add0000_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_29__ "zpuino/core/Madd_w1.tos_add0000_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_29__ "zpuino/core/Madd_w1.tos_add0000_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_cy_30__ "zpuino/core/Madd_w1.tos_add0000_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_30__ "zpuino/core/Madd_w1.tos_add0000_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_xor_31__ "zpuino/core/Madd_w1.tos_add0000_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_0__ "zpuino/core/Madd_jump_address_add0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_0__ "zpuino/core/Madd_jump_address_add0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_0__ "zpuino/core/Madd_jump_address_add0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_1__ "zpuino/core/Madd_jump_address_add0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_1__ "zpuino/core/Madd_jump_address_add0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_1__ "zpuino/core/Madd_jump_address_add0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_2__ "zpuino/core/Madd_jump_address_add0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_2__ "zpuino/core/Madd_jump_address_add0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_2__ "zpuino/core/Madd_jump_address_add0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_3__ "zpuino/core/Madd_jump_address_add0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_3__ "zpuino/core/Madd_jump_address_add0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_3__ "zpuino/core/Madd_jump_address_add0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_4__ "zpuino/core/Madd_jump_address_add0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_4__ "zpuino/core/Madd_jump_address_add0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_4__ "zpuino/core/Madd_jump_address_add0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_5__ "zpuino/core/Madd_jump_address_add0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_5__ "zpuino/core/Madd_jump_address_add0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_5__ "zpuino/core/Madd_jump_address_add0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_6__ "zpuino/core/Madd_jump_address_add0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_6__ "zpuino/core/Madd_jump_address_add0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_6__ "zpuino/core/Madd_jump_address_add0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_7__ "zpuino/core/Madd_jump_address_add0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_7__ "zpuino/core/Madd_jump_address_add0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_7__ "zpuino/core/Madd_jump_address_add0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_8__ "zpuino/core/Madd_jump_address_add0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_8__ "zpuino/core/Madd_jump_address_add0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_8__ "zpuino/core/Madd_jump_address_add0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_9__ "zpuino/core/Madd_jump_address_add0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_9__ "zpuino/core/Madd_jump_address_add0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_9__ "zpuino/core/Madd_jump_address_add0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_10__ "zpuino/core/Madd_jump_address_add0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_10__ "zpuino/core/Madd_jump_address_add0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_10__ "zpuino/core/Madd_jump_address_add0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_11__ "zpuino/core/Madd_jump_address_add0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_11__ "zpuino/core/Madd_jump_address_add0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_11__ "zpuino/core/Madd_jump_address_add0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_12__ "zpuino/core/Madd_jump_address_add0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_cy_12__ "zpuino/core/Madd_jump_address_add0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_12__ "zpuino/core/Madd_jump_address_add0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_lut_13__ "zpuino/core/Madd_jump_address_add0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_jump_address_add0000_xor_13__ "zpuino/core/Madd_jump_address_add0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_0__ "zpuino/core/Madd_stack_b_addr_add0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_0__ "zpuino/core/Madd_stack_b_addr_add0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_1__ "zpuino/core/Madd_stack_b_addr_add0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_1__ "zpuino/core/Madd_stack_b_addr_add0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_2__ "zpuino/core/Madd_stack_b_addr_add0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_2__ "zpuino/core/Madd_stack_b_addr_add0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_3__ "zpuino/core/Madd_stack_b_addr_add0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_3__ "zpuino/core/Madd_stack_b_addr_add0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_4__ "zpuino/core/Madd_stack_b_addr_add0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_4__ "zpuino/core/Madd_stack_b_addr_add0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_5__ "zpuino/core/Madd_stack_b_addr_add0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_5__ "zpuino/core/Madd_stack_b_addr_add0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_6__ "zpuino/core/Madd_stack_b_addr_add0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_6__ "zpuino/core/Madd_stack_b_addr_add0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_7__ "zpuino/core/Madd_stack_b_addr_add0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_7__ "zpuino/core/Madd_stack_b_addr_add0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_8__ "zpuino/core/Madd_stack_b_addr_add0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_0__ "zpuino/core/Madd_pcnext_add0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_0__ "zpuino/core/Madd_pcnext_add0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_1__ "zpuino/core/Madd_pcnext_add0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_1__ "zpuino/core/Madd_pcnext_add0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_2__ "zpuino/core/Madd_pcnext_add0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_2__ "zpuino/core/Madd_pcnext_add0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_3__ "zpuino/core/Madd_pcnext_add0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_3__ "zpuino/core/Madd_pcnext_add0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_4__ "zpuino/core/Madd_pcnext_add0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_4__ "zpuino/core/Madd_pcnext_add0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_5__ "zpuino/core/Madd_pcnext_add0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_5__ "zpuino/core/Madd_pcnext_add0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_6__ "zpuino/core/Madd_pcnext_add0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_6__ "zpuino/core/Madd_pcnext_add0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_7__ "zpuino/core/Madd_pcnext_add0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_7__ "zpuino/core/Madd_pcnext_add0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_8__ "zpuino/core/Madd_pcnext_add0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_8__ "zpuino/core/Madd_pcnext_add0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_9__ "zpuino/core/Madd_pcnext_add0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_9__ "zpuino/core/Madd_pcnext_add0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_10__ "zpuino/core/Madd_pcnext_add0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_10__ "zpuino/core/Madd_pcnext_add0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_11__ "zpuino/core/Madd_pcnext_add0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_11__ "zpuino/core/Madd_pcnext_add0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_12__ "zpuino/core/Madd_pcnext_add0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_12__ "zpuino/core/Madd_pcnext_add0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_13__ "zpuino/core/Madd_pcnext_add0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_0__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_0__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_1__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_1__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_2__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_2__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_3__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_3__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_4__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_5__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_6__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_7__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__ "zpuino/core/Madd_stack_a_addr_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_0__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_0__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_1__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_1__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_2__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_2__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_3__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_3__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_4__ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_4__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_5__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_6__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_7__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__ "zpuino/core/Madd_stack_b_addr_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_0__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_1__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_2__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_3__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_4__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_5__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_6__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_7__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_xor_8__ "zpuino/core/Msub_prefr.spnext_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<12>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<31>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<31>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_0__ "slot9/Mcount_vcount_q_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_0__ "slot9/Mcount_vcount_q_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_1__ "slot9/Mcount_vcount_q_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_1__ "slot9/Mcount_vcount_q_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_2__ "slot9/Mcount_vcount_q_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_2__ "slot9/Mcount_vcount_q_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_3__ "slot9/Mcount_vcount_q_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_3__ "slot9/Mcount_vcount_q_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_4__ "slot9/Mcount_vcount_q_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_4__ "slot9/Mcount_vcount_q_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_5__ "slot9/Mcount_vcount_q_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_5__ "slot9/Mcount_vcount_q_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_6__ "slot9/Mcount_vcount_q_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_6__ "slot9/Mcount_vcount_q_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_7__ "slot9/Mcount_vcount_q_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_7__ "slot9/Mcount_vcount_q_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_8__ "slot9/Mcount_vcount_q_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_8__ "slot9/Mcount_vcount_q_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_9__ "slot9/Mcount_vcount_q_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_0__ "slot9/Mcount_hcount_q_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_0__ "slot9/Mcount_hcount_q_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_1__ "slot9/Mcount_hcount_q_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_1__ "slot9/Mcount_hcount_q_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_2__ "slot9/Mcount_hcount_q_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_2__ "slot9/Mcount_hcount_q_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_3__ "slot9/Mcount_hcount_q_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_3__ "slot9/Mcount_hcount_q_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_4__ "slot9/Mcount_hcount_q_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_4__ "slot9/Mcount_hcount_q_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_5__ "slot9/Mcount_hcount_q_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_5__ "slot9/Mcount_hcount_q_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_6__ "slot9/Mcount_hcount_q_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_6__ "slot9/Mcount_hcount_q_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_7__ "slot9/Mcount_hcount_q_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_7__ "slot9/Mcount_hcount_q_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_8__ "slot9/Mcount_hcount_q_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_8__ "slot9/Mcount_hcount_q_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_9__ "slot9/Mcount_hcount_q_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_9__ "slot9/Mcount_hcount_q_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_10__ "slot9/Mcount_hcount_q_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_0__ "slot9/Mcount_hdisp_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_0__ "slot9/Mcount_hdisp_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_1__ "slot9/Mcount_hdisp_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_1__ "slot9/Mcount_hdisp_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_2__ "slot9/Mcount_hdisp_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_2__ "slot9/Mcount_hdisp_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_3__ "slot9/Mcount_hdisp_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_3__ "slot9/Mcount_hdisp_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_4__ "slot9/Mcount_hdisp_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_4__ "slot9/Mcount_hdisp_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_5__ "slot9/Mcount_hdisp_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_5__ "slot9/Mcount_hdisp_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_6__ "slot9/Mcount_hdisp_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_6__ "slot9/Mcount_hdisp_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_7__ "slot9/Mcount_hdisp_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_7__ "slot9/Mcount_hdisp_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_8__ "slot9/Mcount_hdisp_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_8__ "slot9/Mcount_hdisp_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_9__ "slot9/Mcount_hdisp_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_9__ "slot9/Mcount_hdisp_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_10__ "slot9/Mcount_hdisp_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_10__ "slot9/Mcount_hdisp_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_11__ "slot9/Mcount_hdisp_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_5__ "slot9/Maccum_vga_v_offset_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_5__ "slot9/Maccum_vga_v_offset_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_6__ "slot9/Maccum_vga_v_offset_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_6__ "slot9/Maccum_vga_v_offset_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_7__ "slot9/Maccum_vga_v_offset_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_7__ "slot9/Maccum_vga_v_offset_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_8__ "slot9/Maccum_vga_v_offset_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_8__ "slot9/Maccum_vga_v_offset_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_9__ "slot9/Maccum_vga_v_offset_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_9__ "slot9/Maccum_vga_v_offset_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_10__ "slot9/Maccum_vga_v_offset_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_10__ "slot9/Maccum_vga_v_offset_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_11__ "slot9/Maccum_vga_v_offset_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_11__ "slot9/Maccum_vga_v_offset_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_12__ "slot9/Maccum_vga_v_offset_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_12__ "slot9/Maccum_vga_v_offset_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_13__ "slot9/Maccum_vga_v_offset_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_13__ "slot9/Maccum_vga_v_offset_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_14__ "slot9/Maccum_vga_v_offset_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_17__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_18__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_19__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_20__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_21__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_22__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_23__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<24>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_24__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<25>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_25__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<26>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_26__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<27>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_27__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<28>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_28__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<29>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_29__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<30>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_30__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<31>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_31__ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_17__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_18__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_19__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_20__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_21__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_22__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_23__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<24>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_24__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<25>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_25__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<26>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_26__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<27>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_27__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<28>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_28__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<29>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_29__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<30>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_30__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<31>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_31__ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_0__ "zpuino/core/Madd_w1.tos_add0001_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_0__ "zpuino/core/Madd_w1.tos_add0001_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_0__ "zpuino/core/Madd_w1.tos_add0001_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_1__ "zpuino/core/Madd_w1.tos_add0001_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_1__ "zpuino/core/Madd_w1.tos_add0001_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_1__ "zpuino/core/Madd_w1.tos_add0001_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_2__ "zpuino/core/Madd_w1.tos_add0001_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_2__ "zpuino/core/Madd_w1.tos_add0001_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_2__ "zpuino/core/Madd_w1.tos_add0001_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_3__ "zpuino/core/Madd_w1.tos_add0001_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_3__ "zpuino/core/Madd_w1.tos_add0001_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_3__ "zpuino/core/Madd_w1.tos_add0001_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_4__ "zpuino/core/Madd_w1.tos_add0001_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_4__ "zpuino/core/Madd_w1.tos_add0001_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_4__ "zpuino/core/Madd_w1.tos_add0001_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_5__ "zpuino/core/Madd_w1.tos_add0001_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_5__ "zpuino/core/Madd_w1.tos_add0001_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_5__ "zpuino/core/Madd_w1.tos_add0001_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_6__ "zpuino/core/Madd_w1.tos_add0001_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_6__ "zpuino/core/Madd_w1.tos_add0001_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_6__ "zpuino/core/Madd_w1.tos_add0001_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_7__ "zpuino/core/Madd_w1.tos_add0001_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_7__ "zpuino/core/Madd_w1.tos_add0001_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_7__ "zpuino/core/Madd_w1.tos_add0001_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_8__ "zpuino/core/Madd_w1.tos_add0001_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_8__ "zpuino/core/Madd_w1.tos_add0001_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_8__ "zpuino/core/Madd_w1.tos_add0001_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_9__ "zpuino/core/Madd_w1.tos_add0001_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_9__ "zpuino/core/Madd_w1.tos_add0001_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_9__ "zpuino/core/Madd_w1.tos_add0001_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_10__ "zpuino/core/Madd_w1.tos_add0001_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_10__ "zpuino/core/Madd_w1.tos_add0001_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_10__ "zpuino/core/Madd_w1.tos_add0001_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_11__ "zpuino/core/Madd_w1.tos_add0001_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_11__ "zpuino/core/Madd_w1.tos_add0001_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_11__ "zpuino/core/Madd_w1.tos_add0001_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_12__ "zpuino/core/Madd_w1.tos_add0001_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_12__ "zpuino/core/Madd_w1.tos_add0001_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_12__ "zpuino/core/Madd_w1.tos_add0001_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_13__ "zpuino/core/Madd_w1.tos_add0001_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_13__ "zpuino/core/Madd_w1.tos_add0001_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_13__ "zpuino/core/Madd_w1.tos_add0001_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_14__ "zpuino/core/Madd_w1.tos_add0001_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_14__ "zpuino/core/Madd_w1.tos_add0001_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_14__ "zpuino/core/Madd_w1.tos_add0001_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_15__ "zpuino/core/Madd_w1.tos_add0001_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_15__ "zpuino/core/Madd_w1.tos_add0001_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_15__ "zpuino/core/Madd_w1.tos_add0001_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_16__ "zpuino/core/Madd_w1.tos_add0001_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_16__ "zpuino/core/Madd_w1.tos_add0001_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_16__ "zpuino/core/Madd_w1.tos_add0001_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_17__ "zpuino/core/Madd_w1.tos_add0001_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_17__ "zpuino/core/Madd_w1.tos_add0001_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_17__ "zpuino/core/Madd_w1.tos_add0001_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_18__ "zpuino/core/Madd_w1.tos_add0001_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_18__ "zpuino/core/Madd_w1.tos_add0001_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_18__ "zpuino/core/Madd_w1.tos_add0001_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_19__ "zpuino/core/Madd_w1.tos_add0001_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_19__ "zpuino/core/Madd_w1.tos_add0001_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_19__ "zpuino/core/Madd_w1.tos_add0001_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_20__ "zpuino/core/Madd_w1.tos_add0001_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_20__ "zpuino/core/Madd_w1.tos_add0001_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_20__ "zpuino/core/Madd_w1.tos_add0001_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_21__ "zpuino/core/Madd_w1.tos_add0001_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_21__ "zpuino/core/Madd_w1.tos_add0001_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_21__ "zpuino/core/Madd_w1.tos_add0001_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_22__ "zpuino/core/Madd_w1.tos_add0001_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_22__ "zpuino/core/Madd_w1.tos_add0001_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_22__ "zpuino/core/Madd_w1.tos_add0001_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_23__ "zpuino/core/Madd_w1.tos_add0001_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_23__ "zpuino/core/Madd_w1.tos_add0001_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_23__ "zpuino/core/Madd_w1.tos_add0001_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_24__ "zpuino/core/Madd_w1.tos_add0001_lut<24>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_24__ "zpuino/core/Madd_w1.tos_add0001_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_24__ "zpuino/core/Madd_w1.tos_add0001_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_25__ "zpuino/core/Madd_w1.tos_add0001_lut<25>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_25__ "zpuino/core/Madd_w1.tos_add0001_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_25__ "zpuino/core/Madd_w1.tos_add0001_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_26__ "zpuino/core/Madd_w1.tos_add0001_lut<26>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_26__ "zpuino/core/Madd_w1.tos_add0001_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_26__ "zpuino/core/Madd_w1.tos_add0001_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_27__ "zpuino/core/Madd_w1.tos_add0001_lut<27>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_27__ "zpuino/core/Madd_w1.tos_add0001_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_27__ "zpuino/core/Madd_w1.tos_add0001_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_28__ "zpuino/core/Madd_w1.tos_add0001_lut<28>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_28__ "zpuino/core/Madd_w1.tos_add0001_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_28__ "zpuino/core/Madd_w1.tos_add0001_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_29__ "zpuino/core/Madd_w1.tos_add0001_lut<29>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_29__ "zpuino/core/Madd_w1.tos_add0001_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_29__ "zpuino/core/Madd_w1.tos_add0001_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_30__ "zpuino/core/Madd_w1.tos_add0001_lut<30>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_cy_30__ "zpuino/core/Madd_w1.tos_add0001_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_30__ "zpuino/core/Madd_w1.tos_add0001_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_lut_31__ "zpuino/core/Madd_w1.tos_add0001_lut<31>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0001_xor_31__ "zpuino/core/Madd_w1.tos_add0001_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_29 "slot_address<0><26>_5_f6_29") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_29 "slot_address<0><26>_7_f5_29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__861_renamed_357 "slot_address<0><26>_861") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_29 "slot_address<0><26>_6_f5_29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__730_renamed_358 "slot_address<0><26>_730") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_28 "slot_address<0><26>_5_f6_28") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_28 "slot_address<0><26>_7_f5_28") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__859_renamed_359 "slot_address<0><26>_859") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_28 "slot_address<0><26>_6_f5_28") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__729_renamed_360 "slot_address<0><26>_729") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_27 "slot_address<0><26>_5_f6_27") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_27 "slot_address<0><26>_7_f5_27") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__857_renamed_361 "slot_address<0><26>_857") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_27 "slot_address<0><26>_6_f5_27") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__728_renamed_362 "slot_address<0><26>_728") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_26 "slot_address<0><26>_5_f6_26") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_26 "slot_address<0><26>_7_f5_26") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__855_renamed_363 "slot_address<0><26>_855") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_26 "slot_address<0><26>_6_f5_26") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__727_renamed_364 "slot_address<0><26>_727") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_25 "slot_address<0><26>_5_f6_25") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_25 "slot_address<0><26>_7_f5_25") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__853_renamed_365 "slot_address<0><26>_853") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_25 "slot_address<0><26>_6_f5_25") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__726_renamed_366 "slot_address<0><26>_726") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_24 "slot_address<0><26>_5_f6_24") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_24 "slot_address<0><26>_7_f5_24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__851_renamed_367 "slot_address<0><26>_851") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_24 "slot_address<0><26>_6_f5_24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__725_renamed_368 "slot_address<0><26>_725") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_23 "slot_address<0><26>_5_f6_23") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_23 "slot_address<0><26>_7_f5_23") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__849_renamed_369 "slot_address<0><26>_849") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_23 "slot_address<0><26>_6_f5_23") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__724_renamed_370 "slot_address<0><26>_724") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_22 "slot_address<0><26>_5_f6_22") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_22 "slot_address<0><26>_7_f5_22") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__847_renamed_371 "slot_address<0><26>_847") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_22 "slot_address<0><26>_6_f5_22") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_21 "slot_address<0><26>_5_f6_21") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_21 "slot_address<0><26>_7_f5_21") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__845_renamed_372 "slot_address<0><26>_845") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_21 "slot_address<0><26>_6_f5_21") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_20 "slot_address<0><26>_5_f6_20") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_20 "slot_address<0><26>_7_f5_20") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__921_renamed_373 "slot_address<0><26>_921") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__843_renamed_374 "slot_address<0><26>_843") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_20 "slot_address<0><26>_6_f5_20") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__721_renamed_375 "slot_address<0><26>_721") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_19 "slot_address<0><26>_5_f6_19") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_19 "slot_address<0><26>_7_f5_19") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__841_renamed_376 "slot_address<0><26>_841") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_19 "slot_address<0><26>_6_f5_19") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_18 "slot_address<0><26>_5_f6_18") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_18 "slot_address<0><26>_7_f5_18") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__839_renamed_377 "slot_address<0><26>_839") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_18 "slot_address<0><26>_6_f5_18") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_17 "slot_address<0><26>_5_f6_17") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_17 "slot_address<0><26>_7_f5_17") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__837_renamed_378 "slot_address<0><26>_837") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_17 "slot_address<0><26>_6_f5_17") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_16 "slot_address<0><26>_5_f6_16") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_16 "slot_address<0><26>_7_f5_16") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__835_renamed_379 "slot_address<0><26>_835") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_16 "slot_address<0><26>_6_f5_16") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_15 "slot_address<0><26>_5_f6_15") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_15 "slot_address<0><26>_7_f5_15") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__833_renamed_380 "slot_address<0><26>_833") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_15 "slot_address<0><26>_6_f5_15") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_14 "slot_address<0><26>_5_f6_14") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_14 "slot_address<0><26>_7_f5_14") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__831_renamed_381 "slot_address<0><26>_831") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_14 "slot_address<0><26>_6_f5_14") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_13 "slot_address<0><26>_5_f6_13") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_13 "slot_address<0><26>_7_f5_13") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__829_renamed_382 "slot_address<0><26>_829") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_13 "slot_address<0><26>_6_f5_13") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_12 "slot_address<0><26>_5_f6_12") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_12 "slot_address<0><26>_7_f5_12") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__827_renamed_383 "slot_address<0><26>_827") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_12 "slot_address<0><26>_6_f5_12") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_11 "slot_address<0><26>_5_f6_11") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_11 "slot_address<0><26>_7_f5_11") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__825_renamed_384 "slot_address<0><26>_825") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_11 "slot_address<0><26>_6_f5_11") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_10 "slot_address<0><26>_5_f6_10") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_10 "slot_address<0><26>_7_f5_10") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__823_renamed_385 "slot_address<0><26>_823") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_10 "slot_address<0><26>_6_f5_10") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_9 "slot_address<0><26>_5_f6_9") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_9 "slot_address<0><26>_7_f5_9") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__910_renamed_386 "slot_address<0><26>_910") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__821_renamed_387 "slot_address<0><26>_821") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_9 "slot_address<0><26>_6_f5_9") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__710_renamed_388 "slot_address<0><26>_710") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_8 "slot_address<0><26>_5_f6_8") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_8 "slot_address<0><26>_7_f5_8") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__819_renamed_389 "slot_address<0><26>_819") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_8 "slot_address<0><26>_6_f5_8") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_7 "slot_address<0><26>_5_f6_7") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_7 "slot_address<0><26>_7_f5_7") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__817_renamed_390 "slot_address<0><26>_817") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_7 "slot_address<0><26>_6_f5_7") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_6 "slot_address<0><26>_5_f6_6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_6 "slot_address<0><26>_7_f5_6") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__815_renamed_391 "slot_address<0><26>_815") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_6 "slot_address<0><26>_6_f5_6") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_5 "slot_address<0><26>_5_f6_5") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_5 "slot_address<0><26>_7_f5_5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__813_renamed_392 "slot_address<0><26>_813") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_5 "slot_address<0><26>_6_f5_5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_4 "slot_address<0><26>_5_f6_4") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_4 "slot_address<0><26>_7_f5_4") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__811_renamed_393 "slot_address<0><26>_811") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_4 "slot_address<0><26>_6_f5_4") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_3 "slot_address<0><26>_5_f6_3") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_3 "slot_address<0><26>_7_f5_3") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__89_renamed_394 "slot_address<0><26>_89") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_3 "slot_address<0><26>_6_f5_3") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_2 "slot_address<0><26>_5_f6_2") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_2 "slot_address<0><26>_7_f5_2") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__87_renamed_395 "slot_address<0><26>_87") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_2 "slot_address<0><26>_6_f5_2") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_1 "slot_address<0><26>_5_f6_1") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_1 "slot_address<0><26>_7_f5_1") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__85_renamed_396 "slot_address<0><26>_85") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_1 "slot_address<0><26>_6_f5_1") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_0 "slot_address<0><26>_5_f6_0") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_0 "slot_address<0><26>_7_f5_0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__83_renamed_397 "slot_address<0><26>_83") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_0 "slot_address<0><26>_6_f5_0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__5_f6_renamed_398 "slot_address<0><26>_5_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_f5_renamed_399 "slot_address<0><26>_7_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__81_renamed_400 "slot_address<0><26>_81") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_renamed_401 "slot_address<0><26>_6_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_5_f6_renamed_402 "zpuino/io/Mmux__varindex0000_5_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_7_f5_renamed_403 "zpuino/io/Mmux__varindex0000_7_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_9_renamed_404 "zpuino/io/Mmux__varindex0000_9") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_81_renamed_405 "zpuino/io/Mmux__varindex0000_81") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_6_f5_renamed_406 "zpuino/io/Mmux__varindex0000_6_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_7_renamed_407 "zpuino/io/Mmux__varindex0000_7") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename ospimosi_obufi "ospimosi/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename ospics_obufi "ospics/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename ospiclk_obufi "ospiclk/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename obuftx_obufi "obuftx/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin39_obufi "pin39/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin38_obufi "pin38/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin37_obufi "pin37/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin36_obufi "pin36/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin35_obufi "pin35/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin34_obufi "pin34/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin23_obufi "pin23/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin22_obufi "pin22/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin21_obufi "pin21/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin20_obufi "pin20/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin19_obufi "pin19/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin18_obufi "pin18/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin17_obufi "pin17/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename pin16_obufi "pin16/obufi") - (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property DRIVE (integer 12) (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - (property SLEW (string "SLOW") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_state_FSM_FFd2_renamed_408 "zpuino/core/decr.state_FSM_FFd2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd1_renamed_409 "zpuino/core/exr.state_FSM_FFd1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd2_renamed_410 "zpuino/core/exr.state_FSM_FFd2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd3_renamed_411 "zpuino/core/exr.state_FSM_FFd3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd4_renamed_412 "zpuino/core/exr.state_FSM_FFd4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd5_renamed_413 "zpuino/core/exr.state_FSM_FFd5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd6_renamed_414 "zpuino/core/exr.state_FSM_FFd6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd7_renamed_415 "zpuino/core/exr.state_FSM_FFd7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd9_renamed_416 "zpuino/core/exr.state_FSM_FFd9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd10_renamed_417 "zpuino/core/exr.state_FSM_FFd10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd11_renamed_418 "zpuino/core/exr.state_FSM_FFd11") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd12_renamed_419 "zpuino/core/exr.state_FSM_FFd12") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd13_renamed_420 "zpuino/core/exr.state_FSM_FFd13") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_renamed_421 "zpuino/core/exr.state_FSM_FFd14") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sid_sd_sigma_latch_19 "sid_sd/sigma_latch_19") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sid_sd_sigma_latch_18 "sid_sd/sigma_latch_18") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sid_sd_data_out_renamed_422 "sid_sd/data_out") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_renamed_423 "gpio_inst/Mmux_wb_dat_o_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_5_renamed_424 "gpio_inst/Mmux_wb_dat_o_5") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425 "gpio_inst/Mmux_wb_dat_o_3_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_51_renamed_426 "gpio_inst/Mmux_wb_dat_o_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_6_renamed_427 "gpio_inst/Mmux_wb_dat_o_6") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428 "gpio_inst/Mmux_wb_dat_o_4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6 "gpio_inst/Mmux_wb_dat_o_2_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_41_renamed_429 "gpio_inst/Mmux_wb_dat_o_41") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_52_renamed_430 "gpio_inst/Mmux_wb_dat_o_52") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_0 "gpio_inst/Mmux_wb_dat_o_3_f5_0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_53_renamed_431 "gpio_inst/Mmux_wb_dat_o_53") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_61_renamed_432 "gpio_inst/Mmux_wb_dat_o_61") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_0 "gpio_inst/Mmux_wb_dat_o_4_f5_0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_0 "gpio_inst/Mmux_wb_dat_o_2_f6_0") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_42_renamed_433 "gpio_inst/Mmux_wb_dat_o_42") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_54_renamed_434 "gpio_inst/Mmux_wb_dat_o_54") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_1 "gpio_inst/Mmux_wb_dat_o_3_f5_1") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_55_renamed_435 "gpio_inst/Mmux_wb_dat_o_55") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_62_renamed_436 "gpio_inst/Mmux_wb_dat_o_62") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_1 "gpio_inst/Mmux_wb_dat_o_4_f5_1") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_1 "gpio_inst/Mmux_wb_dat_o_2_f6_1") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_43_renamed_437 "gpio_inst/Mmux_wb_dat_o_43") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_56_renamed_438 "gpio_inst/Mmux_wb_dat_o_56") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_2 "gpio_inst/Mmux_wb_dat_o_3_f5_2") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_57_renamed_439 "gpio_inst/Mmux_wb_dat_o_57") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_63_renamed_440 "gpio_inst/Mmux_wb_dat_o_63") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_2 "gpio_inst/Mmux_wb_dat_o_4_f5_2") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_2 "gpio_inst/Mmux_wb_dat_o_2_f6_2") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_44_renamed_441 "gpio_inst/Mmux_wb_dat_o_44") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_58_renamed_442 "gpio_inst/Mmux_wb_dat_o_58") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_3 "gpio_inst/Mmux_wb_dat_o_3_f5_3") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_59_renamed_443 "gpio_inst/Mmux_wb_dat_o_59") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_64_renamed_444 "gpio_inst/Mmux_wb_dat_o_64") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_3 "gpio_inst/Mmux_wb_dat_o_4_f5_3") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_3 "gpio_inst/Mmux_wb_dat_o_2_f6_3") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_45_renamed_445 "gpio_inst/Mmux_wb_dat_o_45") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_510_renamed_446 "gpio_inst/Mmux_wb_dat_o_510") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_4 "gpio_inst/Mmux_wb_dat_o_3_f5_4") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_511_renamed_447 "gpio_inst/Mmux_wb_dat_o_511") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_65_renamed_448 "gpio_inst/Mmux_wb_dat_o_65") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_4 "gpio_inst/Mmux_wb_dat_o_4_f5_4") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_4 "gpio_inst/Mmux_wb_dat_o_2_f6_4") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_46_renamed_449 "gpio_inst/Mmux_wb_dat_o_46") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_512_renamed_450 "gpio_inst/Mmux_wb_dat_o_512") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_5 "gpio_inst/Mmux_wb_dat_o_3_f5_5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_513_renamed_451 "gpio_inst/Mmux_wb_dat_o_513") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_66_renamed_452 "gpio_inst/Mmux_wb_dat_o_66") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_5 "gpio_inst/Mmux_wb_dat_o_4_f5_5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_5 "gpio_inst/Mmux_wb_dat_o_2_f6_5") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_47_renamed_453 "gpio_inst/Mmux_wb_dat_o_47") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_514_renamed_454 "gpio_inst/Mmux_wb_dat_o_514") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_6 "gpio_inst/Mmux_wb_dat_o_3_f5_6") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_515_renamed_455 "gpio_inst/Mmux_wb_dat_o_515") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_67_renamed_456 "gpio_inst/Mmux_wb_dat_o_67") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_6 "gpio_inst/Mmux_wb_dat_o_4_f5_6") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_6 "gpio_inst/Mmux_wb_dat_o_2_f6_6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_48_renamed_457 "gpio_inst/Mmux_wb_dat_o_48") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_516_renamed_458 "gpio_inst/Mmux_wb_dat_o_516") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_7 "gpio_inst/Mmux_wb_dat_o_3_f5_7") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_517_renamed_459 "gpio_inst/Mmux_wb_dat_o_517") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460 "gpio_inst/Mmux_wb_dat_o_4_f5_7") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_7 "gpio_inst/Mmux_wb_dat_o_2_f6_7") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_410_renamed_461 "gpio_inst/Mmux_wb_dat_o_410") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_518_renamed_462 "gpio_inst/Mmux_wb_dat_o_518") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_8 "gpio_inst/Mmux_wb_dat_o_3_f5_8") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_519_renamed_463 "gpio_inst/Mmux_wb_dat_o_519") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464 "gpio_inst/Mmux_wb_dat_o_4_f5_8") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_8 "gpio_inst/Mmux_wb_dat_o_2_f6_8") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_412_renamed_465 "gpio_inst/Mmux_wb_dat_o_412") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_520_renamed_466 "gpio_inst/Mmux_wb_dat_o_520") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_9 "gpio_inst/Mmux_wb_dat_o_3_f5_9") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_521_renamed_467 "gpio_inst/Mmux_wb_dat_o_521") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468 "gpio_inst/Mmux_wb_dat_o_4_f5_9") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_9 "gpio_inst/Mmux_wb_dat_o_2_f6_9") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_414_renamed_469 "gpio_inst/Mmux_wb_dat_o_414") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_522_renamed_470 "gpio_inst/Mmux_wb_dat_o_522") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_10 "gpio_inst/Mmux_wb_dat_o_3_f5_10") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_523_renamed_471 "gpio_inst/Mmux_wb_dat_o_523") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_68_renamed_472 "gpio_inst/Mmux_wb_dat_o_68") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_10 "gpio_inst/Mmux_wb_dat_o_4_f5_10") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_10 "gpio_inst/Mmux_wb_dat_o_2_f6_10") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_415_renamed_473 "gpio_inst/Mmux_wb_dat_o_415") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_524_renamed_474 "gpio_inst/Mmux_wb_dat_o_524") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_11 "gpio_inst/Mmux_wb_dat_o_3_f5_11") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_525_renamed_475 "gpio_inst/Mmux_wb_dat_o_525") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476 "gpio_inst/Mmux_wb_dat_o_4_f5_11") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_11 "gpio_inst/Mmux_wb_dat_o_2_f6_11") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_417_renamed_477 "gpio_inst/Mmux_wb_dat_o_417") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_526_renamed_478 "gpio_inst/Mmux_wb_dat_o_526") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_12 "gpio_inst/Mmux_wb_dat_o_3_f5_12") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_527_renamed_479 "gpio_inst/Mmux_wb_dat_o_527") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480 "gpio_inst/Mmux_wb_dat_o_4_f5_12") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_12 "gpio_inst/Mmux_wb_dat_o_2_f6_12") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_419_renamed_481 "gpio_inst/Mmux_wb_dat_o_419") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_528_renamed_482 "gpio_inst/Mmux_wb_dat_o_528") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_13 "gpio_inst/Mmux_wb_dat_o_3_f5_13") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_529_renamed_483 "gpio_inst/Mmux_wb_dat_o_529") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484 "gpio_inst/Mmux_wb_dat_o_4_f5_13") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_13 "gpio_inst/Mmux_wb_dat_o_2_f6_13") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_421_renamed_485 "gpio_inst/Mmux_wb_dat_o_421") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_530_renamed_486 "gpio_inst/Mmux_wb_dat_o_530") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_14 "gpio_inst/Mmux_wb_dat_o_3_f5_14") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_531_renamed_487 "gpio_inst/Mmux_wb_dat_o_531") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_14 "gpio_inst/Mmux_wb_dat_o_4_f5_14") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_14 "gpio_inst/Mmux_wb_dat_o_2_f6_14") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_423_renamed_488 "gpio_inst/Mmux_wb_dat_o_423") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_532_renamed_489 "gpio_inst/Mmux_wb_dat_o_532") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_15 "gpio_inst/Mmux_wb_dat_o_3_f5_15") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_533_renamed_490 "gpio_inst/Mmux_wb_dat_o_533") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_69_renamed_491 "gpio_inst/Mmux_wb_dat_o_69") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_15 "gpio_inst/Mmux_wb_dat_o_4_f5_15") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_15 "gpio_inst/Mmux_wb_dat_o_2_f6_15") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_424_renamed_492 "gpio_inst/Mmux_wb_dat_o_424") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_534_renamed_493 "gpio_inst/Mmux_wb_dat_o_534") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_16 "gpio_inst/Mmux_wb_dat_o_3_f5_16") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_535_renamed_494 "gpio_inst/Mmux_wb_dat_o_535") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_610_renamed_495 "gpio_inst/Mmux_wb_dat_o_610") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_16 "gpio_inst/Mmux_wb_dat_o_4_f5_16") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_16 "gpio_inst/Mmux_wb_dat_o_2_f6_16") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_425_renamed_496 "gpio_inst/Mmux_wb_dat_o_425") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_536_renamed_497 "gpio_inst/Mmux_wb_dat_o_536") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_17 "gpio_inst/Mmux_wb_dat_o_3_f5_17") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_537_renamed_498 "gpio_inst/Mmux_wb_dat_o_537") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_611_renamed_499 "gpio_inst/Mmux_wb_dat_o_611") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_17 "gpio_inst/Mmux_wb_dat_o_4_f5_17") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_17 "gpio_inst/Mmux_wb_dat_o_2_f6_17") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_426_renamed_500 "gpio_inst/Mmux_wb_dat_o_426") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_538_renamed_501 "gpio_inst/Mmux_wb_dat_o_538") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_18 "gpio_inst/Mmux_wb_dat_o_3_f5_18") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_539_renamed_502 "gpio_inst/Mmux_wb_dat_o_539") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_612_renamed_503 "gpio_inst/Mmux_wb_dat_o_612") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_18 "gpio_inst/Mmux_wb_dat_o_4_f5_18") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_18 "gpio_inst/Mmux_wb_dat_o_2_f6_18") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_427_renamed_504 "gpio_inst/Mmux_wb_dat_o_427") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_540_renamed_505 "gpio_inst/Mmux_wb_dat_o_540") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_19 "gpio_inst/Mmux_wb_dat_o_3_f5_19") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_541_renamed_506 "gpio_inst/Mmux_wb_dat_o_541") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_613_renamed_507 "gpio_inst/Mmux_wb_dat_o_613") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_19 "gpio_inst/Mmux_wb_dat_o_4_f5_19") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_19 "gpio_inst/Mmux_wb_dat_o_2_f6_19") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_428_renamed_508 "gpio_inst/Mmux_wb_dat_o_428") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_542_renamed_509 "gpio_inst/Mmux_wb_dat_o_542") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_20 "gpio_inst/Mmux_wb_dat_o_3_f5_20") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_543_renamed_510 "gpio_inst/Mmux_wb_dat_o_543") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_614_renamed_511 "gpio_inst/Mmux_wb_dat_o_614") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_20 "gpio_inst/Mmux_wb_dat_o_4_f5_20") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_20 "gpio_inst/Mmux_wb_dat_o_2_f6_20") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_429_renamed_512 "gpio_inst/Mmux_wb_dat_o_429") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_544_renamed_513 "gpio_inst/Mmux_wb_dat_o_544") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_21 "gpio_inst/Mmux_wb_dat_o_3_f5_21") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_545_renamed_514 "gpio_inst/Mmux_wb_dat_o_545") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_615_renamed_515 "gpio_inst/Mmux_wb_dat_o_615") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_21 "gpio_inst/Mmux_wb_dat_o_4_f5_21") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_21 "gpio_inst/Mmux_wb_dat_o_2_f6_21") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_430_renamed_516 "gpio_inst/Mmux_wb_dat_o_430") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_546_renamed_517 "gpio_inst/Mmux_wb_dat_o_546") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_22 "gpio_inst/Mmux_wb_dat_o_3_f5_22") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_547_renamed_518 "gpio_inst/Mmux_wb_dat_o_547") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_616_renamed_519 "gpio_inst/Mmux_wb_dat_o_616") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_22 "gpio_inst/Mmux_wb_dat_o_4_f5_22") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_22 "gpio_inst/Mmux_wb_dat_o_2_f6_22") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_431_renamed_520 "gpio_inst/Mmux_wb_dat_o_431") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_548_renamed_521 "gpio_inst/Mmux_wb_dat_o_548") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_23 "gpio_inst/Mmux_wb_dat_o_3_f5_23") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_549_renamed_522 "gpio_inst/Mmux_wb_dat_o_549") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_617_renamed_523 "gpio_inst/Mmux_wb_dat_o_617") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_23 "gpio_inst/Mmux_wb_dat_o_4_f5_23") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_23 "gpio_inst/Mmux_wb_dat_o_2_f6_23") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_432 "gpio_inst/Mmux_wb_dat_o_432") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_550_renamed_524 "gpio_inst/Mmux_wb_dat_o_550") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_24 "gpio_inst/Mmux_wb_dat_o_3_f5_24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_551_renamed_525 "gpio_inst/Mmux_wb_dat_o_551") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_618_renamed_526 "gpio_inst/Mmux_wb_dat_o_618") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_24 "gpio_inst/Mmux_wb_dat_o_4_f5_24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_24 "gpio_inst/Mmux_wb_dat_o_2_f6_24") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_433 "gpio_inst/Mmux_wb_dat_o_433") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_552_renamed_527 "gpio_inst/Mmux_wb_dat_o_552") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_25 "gpio_inst/Mmux_wb_dat_o_3_f5_25") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_553_renamed_528 "gpio_inst/Mmux_wb_dat_o_553") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_619_renamed_529 "gpio_inst/Mmux_wb_dat_o_619") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_25 "gpio_inst/Mmux_wb_dat_o_4_f5_25") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_25 "gpio_inst/Mmux_wb_dat_o_2_f6_25") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_434 "gpio_inst/Mmux_wb_dat_o_434") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_554_renamed_530 "gpio_inst/Mmux_wb_dat_o_554") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_26 "gpio_inst/Mmux_wb_dat_o_3_f5_26") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_555_renamed_531 "gpio_inst/Mmux_wb_dat_o_555") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_620_renamed_532 "gpio_inst/Mmux_wb_dat_o_620") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_26 "gpio_inst/Mmux_wb_dat_o_4_f5_26") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_26 "gpio_inst/Mmux_wb_dat_o_2_f6_26") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_435 "gpio_inst/Mmux_wb_dat_o_435") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_556_renamed_533 "gpio_inst/Mmux_wb_dat_o_556") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_27 "gpio_inst/Mmux_wb_dat_o_3_f5_27") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_557_renamed_534 "gpio_inst/Mmux_wb_dat_o_557") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_621_renamed_535 "gpio_inst/Mmux_wb_dat_o_621") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_27 "gpio_inst/Mmux_wb_dat_o_4_f5_27") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_27 "gpio_inst/Mmux_wb_dat_o_2_f6_27") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_436 "gpio_inst/Mmux_wb_dat_o_436") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_558_renamed_536 "gpio_inst/Mmux_wb_dat_o_558") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_28 "gpio_inst/Mmux_wb_dat_o_3_f5_28") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_559_renamed_537 "gpio_inst/Mmux_wb_dat_o_559") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_622_renamed_538 "gpio_inst/Mmux_wb_dat_o_622") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_28 "gpio_inst/Mmux_wb_dat_o_4_f5_28") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_28 "gpio_inst/Mmux_wb_dat_o_2_f6_28") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_437 "gpio_inst/Mmux_wb_dat_o_437") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_560_renamed_539 "gpio_inst/Mmux_wb_dat_o_560") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_29 "gpio_inst/Mmux_wb_dat_o_3_f5_29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_561_renamed_540 "gpio_inst/Mmux_wb_dat_o_561") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_623_renamed_541 "gpio_inst/Mmux_wb_dat_o_623") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_29 "gpio_inst/Mmux_wb_dat_o_4_f5_29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_29 "gpio_inst/Mmux_wb_dat_o_2_f6_29") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_438 "gpio_inst/Mmux_wb_dat_o_438") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_562_renamed_542 "gpio_inst/Mmux_wb_dat_o_562") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_3_f5_30 "gpio_inst/Mmux_wb_dat_o_3_f5_30") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_563_renamed_543 "gpio_inst/Mmux_wb_dat_o_563") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_624_renamed_544 "gpio_inst/Mmux_wb_dat_o_624") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_30 "gpio_inst/Mmux_wb_dat_o_4_f5_30") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_2_f6_30 "gpio_inst/Mmux_wb_dat_o_2_f6_30") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_48 "gpio_inst/gpio_o_48") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_6_renamed_545 "gpio_inst/output_mapper_q_1_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_5_renamed_546 "gpio_inst/output_mapper_q_1_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_4_renamed_547 "gpio_inst/output_mapper_q_1_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_3_renamed_548 "gpio_inst/output_mapper_q_1_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_2_renamed_549 "gpio_inst/output_mapper_q_1_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_1_renamed_550 "gpio_inst/output_mapper_q_1_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_0_renamed_551 "gpio_inst/output_mapper_q_1_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_5_renamed_552 "gpio_inst/input_mapper_q_47_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_4_renamed_553 "gpio_inst/input_mapper_q_47_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_3_renamed_554 "gpio_inst/input_mapper_q_47_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_2_renamed_555 "gpio_inst/input_mapper_q_47_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_1_renamed_556 "gpio_inst/input_mapper_q_47_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_0_renamed_557 "gpio_inst/input_mapper_q_47_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_5_renamed_558 "gpio_inst/input_mapper_q_46_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_4_renamed_559 "gpio_inst/input_mapper_q_46_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_3_renamed_560 "gpio_inst/input_mapper_q_46_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_2_renamed_561 "gpio_inst/input_mapper_q_46_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_1_renamed_562 "gpio_inst/input_mapper_q_46_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_0_renamed_563 "gpio_inst/input_mapper_q_46_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_6_renamed_564 "gpio_inst/output_mapper_q_0_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_5_renamed_565 "gpio_inst/output_mapper_q_0_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_4_renamed_566 "gpio_inst/output_mapper_q_0_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_3_renamed_567 "gpio_inst/output_mapper_q_0_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_2_renamed_568 "gpio_inst/output_mapper_q_0_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_1_renamed_569 "gpio_inst/output_mapper_q_0_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_0_renamed_570 "gpio_inst/output_mapper_q_0_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_5_renamed_571 "gpio_inst/input_mapper_q_44_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_4_renamed_572 "gpio_inst/input_mapper_q_44_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_3_renamed_573 "gpio_inst/input_mapper_q_44_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_2_renamed_574 "gpio_inst/input_mapper_q_44_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_1_renamed_575 "gpio_inst/input_mapper_q_44_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_0_renamed_576 "gpio_inst/input_mapper_q_44_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_5_renamed_577 "gpio_inst/input_mapper_q_43_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_4_renamed_578 "gpio_inst/input_mapper_q_43_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_3_renamed_579 "gpio_inst/input_mapper_q_43_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_2_renamed_580 "gpio_inst/input_mapper_q_43_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_1_renamed_581 "gpio_inst/input_mapper_q_43_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_0_renamed_582 "gpio_inst/input_mapper_q_43_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_5_renamed_583 "gpio_inst/input_mapper_q_45_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_4_renamed_584 "gpio_inst/input_mapper_q_45_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_3_renamed_585 "gpio_inst/input_mapper_q_45_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_2_renamed_586 "gpio_inst/input_mapper_q_45_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_1_renamed_587 "gpio_inst/input_mapper_q_45_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_0_renamed_588 "gpio_inst/input_mapper_q_45_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_5_renamed_589 "gpio_inst/input_mapper_q_41_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_4_renamed_590 "gpio_inst/input_mapper_q_41_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_3_renamed_591 "gpio_inst/input_mapper_q_41_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_2_renamed_592 "gpio_inst/input_mapper_q_41_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_1_renamed_593 "gpio_inst/input_mapper_q_41_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_0_renamed_594 "gpio_inst/input_mapper_q_41_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_5_renamed_595 "gpio_inst/input_mapper_q_40_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_4_renamed_596 "gpio_inst/input_mapper_q_40_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_3_renamed_597 "gpio_inst/input_mapper_q_40_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_2_renamed_598 "gpio_inst/input_mapper_q_40_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_1_renamed_599 "gpio_inst/input_mapper_q_40_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_0_renamed_600 "gpio_inst/input_mapper_q_40_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_5_renamed_601 "gpio_inst/input_mapper_q_42_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_4_renamed_602 "gpio_inst/input_mapper_q_42_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_3_renamed_603 "gpio_inst/input_mapper_q_42_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_2_renamed_604 "gpio_inst/input_mapper_q_42_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_1_renamed_605 "gpio_inst/input_mapper_q_42_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_0_renamed_606 "gpio_inst/input_mapper_q_42_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_5_renamed_607 "gpio_inst/input_mapper_q_32_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_4_renamed_608 "gpio_inst/input_mapper_q_32_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_3_renamed_609 "gpio_inst/input_mapper_q_32_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_2_renamed_610 "gpio_inst/input_mapper_q_32_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_1_renamed_611 "gpio_inst/input_mapper_q_32_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_0_renamed_612 "gpio_inst/input_mapper_q_32_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_5_renamed_613 "gpio_inst/input_mapper_q_31_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_4_renamed_614 "gpio_inst/input_mapper_q_31_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_3_renamed_615 "gpio_inst/input_mapper_q_31_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_2_renamed_616 "gpio_inst/input_mapper_q_31_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_1_renamed_617 "gpio_inst/input_mapper_q_31_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_0_renamed_618 "gpio_inst/input_mapper_q_31_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_5_renamed_619 "gpio_inst/input_mapper_q_33_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_4_renamed_620 "gpio_inst/input_mapper_q_33_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_3_renamed_621 "gpio_inst/input_mapper_q_33_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_2_renamed_622 "gpio_inst/input_mapper_q_33_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_1_renamed_623 "gpio_inst/input_mapper_q_33_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_0_renamed_624 "gpio_inst/input_mapper_q_33_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_5_renamed_625 "gpio_inst/input_mapper_q_29_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_4_renamed_626 "gpio_inst/input_mapper_q_29_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_3_renamed_627 "gpio_inst/input_mapper_q_29_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_2_renamed_628 "gpio_inst/input_mapper_q_29_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_1_renamed_629 "gpio_inst/input_mapper_q_29_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_0_renamed_630 "gpio_inst/input_mapper_q_29_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_5_renamed_631 "gpio_inst/input_mapper_q_28_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_4_renamed_632 "gpio_inst/input_mapper_q_28_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_3_renamed_633 "gpio_inst/input_mapper_q_28_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_2_renamed_634 "gpio_inst/input_mapper_q_28_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_1_renamed_635 "gpio_inst/input_mapper_q_28_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_0_renamed_636 "gpio_inst/input_mapper_q_28_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_5_renamed_637 "gpio_inst/input_mapper_q_30_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_4_renamed_638 "gpio_inst/input_mapper_q_30_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_3_renamed_639 "gpio_inst/input_mapper_q_30_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_2_renamed_640 "gpio_inst/input_mapper_q_30_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_1_renamed_641 "gpio_inst/input_mapper_q_30_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_0_renamed_642 "gpio_inst/input_mapper_q_30_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_5_renamed_643 "gpio_inst/input_mapper_q_26_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_4_renamed_644 "gpio_inst/input_mapper_q_26_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_3_renamed_645 "gpio_inst/input_mapper_q_26_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_2_renamed_646 "gpio_inst/input_mapper_q_26_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_1_renamed_647 "gpio_inst/input_mapper_q_26_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_0_renamed_648 "gpio_inst/input_mapper_q_26_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_5_renamed_649 "gpio_inst/input_mapper_q_25_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_4_renamed_650 "gpio_inst/input_mapper_q_25_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_3_renamed_651 "gpio_inst/input_mapper_q_25_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_2_renamed_652 "gpio_inst/input_mapper_q_25_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_1_renamed_653 "gpio_inst/input_mapper_q_25_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_0_renamed_654 "gpio_inst/input_mapper_q_25_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_5_renamed_655 "gpio_inst/input_mapper_q_27_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_4_renamed_656 "gpio_inst/input_mapper_q_27_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_3_renamed_657 "gpio_inst/input_mapper_q_27_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_2_renamed_658 "gpio_inst/input_mapper_q_27_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_1_renamed_659 "gpio_inst/input_mapper_q_27_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_0_renamed_660 "gpio_inst/input_mapper_q_27_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_5_renamed_661 "gpio_inst/input_mapper_q_24_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_4_renamed_662 "gpio_inst/input_mapper_q_24_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_3_renamed_663 "gpio_inst/input_mapper_q_24_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_2_renamed_664 "gpio_inst/input_mapper_q_24_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_1_renamed_665 "gpio_inst/input_mapper_q_24_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_0_renamed_666 "gpio_inst/input_mapper_q_24_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_5_renamed_667 "gpio_inst/input_mapper_q_14_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_4_renamed_668 "gpio_inst/input_mapper_q_14_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_3_renamed_669 "gpio_inst/input_mapper_q_14_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_2_renamed_670 "gpio_inst/input_mapper_q_14_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_1_renamed_671 "gpio_inst/input_mapper_q_14_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_0_renamed_672 "gpio_inst/input_mapper_q_14_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_5_renamed_673 "gpio_inst/input_mapper_q_13_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_4_renamed_674 "gpio_inst/input_mapper_q_13_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_3_renamed_675 "gpio_inst/input_mapper_q_13_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_2_renamed_676 "gpio_inst/input_mapper_q_13_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_1_renamed_677 "gpio_inst/input_mapper_q_13_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_0_renamed_678 "gpio_inst/input_mapper_q_13_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_5_renamed_679 "gpio_inst/input_mapper_q_15_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_4_renamed_680 "gpio_inst/input_mapper_q_15_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_3_renamed_681 "gpio_inst/input_mapper_q_15_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_2_renamed_682 "gpio_inst/input_mapper_q_15_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_1_renamed_683 "gpio_inst/input_mapper_q_15_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_0_renamed_684 "gpio_inst/input_mapper_q_15_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_5_renamed_685 "gpio_inst/input_mapper_q_11_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_4_renamed_686 "gpio_inst/input_mapper_q_11_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_3_renamed_687 "gpio_inst/input_mapper_q_11_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_2_renamed_688 "gpio_inst/input_mapper_q_11_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_1_renamed_689 "gpio_inst/input_mapper_q_11_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_0_renamed_690 "gpio_inst/input_mapper_q_11_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_5_renamed_691 "gpio_inst/input_mapper_q_10_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_4_renamed_692 "gpio_inst/input_mapper_q_10_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_3_renamed_693 "gpio_inst/input_mapper_q_10_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_2_renamed_694 "gpio_inst/input_mapper_q_10_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_1_renamed_695 "gpio_inst/input_mapper_q_10_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_0_renamed_696 "gpio_inst/input_mapper_q_10_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_5_renamed_697 "gpio_inst/input_mapper_q_12_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_4_renamed_698 "gpio_inst/input_mapper_q_12_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_3_renamed_699 "gpio_inst/input_mapper_q_12_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_2_renamed_700 "gpio_inst/input_mapper_q_12_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_1_renamed_701 "gpio_inst/input_mapper_q_12_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_0_renamed_702 "gpio_inst/input_mapper_q_12_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_5_renamed_703 "gpio_inst/input_mapper_q_8_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_4_renamed_704 "gpio_inst/input_mapper_q_8_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_3_renamed_705 "gpio_inst/input_mapper_q_8_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_2_renamed_706 "gpio_inst/input_mapper_q_8_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_1_renamed_707 "gpio_inst/input_mapper_q_8_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_0_renamed_708 "gpio_inst/input_mapper_q_8_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_5_renamed_709 "gpio_inst/input_mapper_q_7_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_4_renamed_710 "gpio_inst/input_mapper_q_7_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_3_renamed_711 "gpio_inst/input_mapper_q_7_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_2_renamed_712 "gpio_inst/input_mapper_q_7_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_1_renamed_713 "gpio_inst/input_mapper_q_7_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_0_renamed_714 "gpio_inst/input_mapper_q_7_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_5_renamed_715 "gpio_inst/input_mapper_q_9_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_4_renamed_716 "gpio_inst/input_mapper_q_9_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_3_renamed_717 "gpio_inst/input_mapper_q_9_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_2_renamed_718 "gpio_inst/input_mapper_q_9_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_1_renamed_719 "gpio_inst/input_mapper_q_9_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_0_renamed_720 "gpio_inst/input_mapper_q_9_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_5_renamed_721 "gpio_inst/input_mapper_q_6_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_4_renamed_722 "gpio_inst/input_mapper_q_6_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_3_renamed_723 "gpio_inst/input_mapper_q_6_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_2_renamed_724 "gpio_inst/input_mapper_q_6_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_1_renamed_725 "gpio_inst/input_mapper_q_6_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_0_renamed_726 "gpio_inst/input_mapper_q_6_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_5_renamed_727 "gpio_inst/input_mapper_q_5_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_4_renamed_728 "gpio_inst/input_mapper_q_5_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_3_renamed_729 "gpio_inst/input_mapper_q_5_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_2_renamed_730 "gpio_inst/input_mapper_q_5_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_1_renamed_731 "gpio_inst/input_mapper_q_5_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_0_renamed_732 "gpio_inst/input_mapper_q_5_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_5_renamed_733 "gpio_inst/input_mapper_q_3_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_4_renamed_734 "gpio_inst/input_mapper_q_3_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_3_renamed_735 "gpio_inst/input_mapper_q_3_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_2_renamed_736 "gpio_inst/input_mapper_q_3_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_1_renamed_737 "gpio_inst/input_mapper_q_3_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_0_renamed_738 "gpio_inst/input_mapper_q_3_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_5_renamed_739 "gpio_inst/input_mapper_q_2_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_4_renamed_740 "gpio_inst/input_mapper_q_2_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_3_renamed_741 "gpio_inst/input_mapper_q_2_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_2_renamed_742 "gpio_inst/input_mapper_q_2_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_1_renamed_743 "gpio_inst/input_mapper_q_2_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_0_renamed_744 "gpio_inst/input_mapper_q_2_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_5_renamed_745 "gpio_inst/input_mapper_q_4_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_4_renamed_746 "gpio_inst/input_mapper_q_4_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_3_renamed_747 "gpio_inst/input_mapper_q_4_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_2_renamed_748 "gpio_inst/input_mapper_q_4_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_1_renamed_749 "gpio_inst/input_mapper_q_4_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_0_renamed_750 "gpio_inst/input_mapper_q_4_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_5_renamed_751 "gpio_inst/input_mapper_q_0_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_4_renamed_752 "gpio_inst/input_mapper_q_0_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_3_renamed_753 "gpio_inst/input_mapper_q_0_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_2_renamed_754 "gpio_inst/input_mapper_q_0_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_1_renamed_755 "gpio_inst/input_mapper_q_0_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_0_renamed_756 "gpio_inst/input_mapper_q_0_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_95 "gpio_inst/ppspin_q_95") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_5_renamed_757 "gpio_inst/input_mapper_q_1_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_4_renamed_758 "gpio_inst/input_mapper_q_1_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_3_renamed_759 "gpio_inst/input_mapper_q_1_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_2_renamed_760 "gpio_inst/input_mapper_q_1_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_1_renamed_761 "gpio_inst/input_mapper_q_1_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_0_renamed_762 "gpio_inst/input_mapper_q_1_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_89 "gpio_inst/ppspin_q_89") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_93 "gpio_inst/ppspin_q_93") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_94 "gpio_inst/ppspin_q_94") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_92 "gpio_inst/ppspin_q_92") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_87 "gpio_inst/ppspin_q_87") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_88 "gpio_inst/ppspin_q_88") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_86 "gpio_inst/ppspin_q_86") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_90 "gpio_inst/ppspin_q_90") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_91 "gpio_inst/ppspin_q_91") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_84 "gpio_inst/ppspin_q_84") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_79 "gpio_inst/ppspin_q_79") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_85 "gpio_inst/ppspin_q_85") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_78 "gpio_inst/ppspin_q_78") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_82 "gpio_inst/ppspin_q_82") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_83 "gpio_inst/ppspin_q_83") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_81 "gpio_inst/ppspin_q_81") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_76 "gpio_inst/ppspin_q_76") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_77 "gpio_inst/ppspin_q_77") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_75 "gpio_inst/ppspin_q_75") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_74 "gpio_inst/ppspin_q_74") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_80 "gpio_inst/ppspin_q_80") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_73 "gpio_inst/ppspin_q_73") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_68 "gpio_inst/ppspin_q_68") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_69 "gpio_inst/ppspin_q_69") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_67 "gpio_inst/ppspin_q_67") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_71 "gpio_inst/ppspin_q_71") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_72 "gpio_inst/ppspin_q_72") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_70 "gpio_inst/ppspin_q_70") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_65 "gpio_inst/ppspin_q_65") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_66 "gpio_inst/ppspin_q_66") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_59 "gpio_inst/ppspin_q_59") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_63 "gpio_inst/ppspin_q_63") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_64 "gpio_inst/ppspin_q_64") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_62 "gpio_inst/ppspin_q_62") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_57 "gpio_inst/ppspin_q_57") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_58 "gpio_inst/ppspin_q_58") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_56 "gpio_inst/ppspin_q_56") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_61 "gpio_inst/ppspin_q_61") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_60 "gpio_inst/ppspin_q_60") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_55 "gpio_inst/ppspin_q_55") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_54 "gpio_inst/ppspin_q_54") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_49 "gpio_inst/ppspin_q_49") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_53 "gpio_inst/ppspin_q_53") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_48 "gpio_inst/ppspin_q_48") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_52 "gpio_inst/ppspin_q_52") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_47 "gpio_inst/ppspin_q_47") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_51 "gpio_inst/ppspin_q_51") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_46 "gpio_inst/ppspin_q_46") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_50 "gpio_inst/ppspin_q_50") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_45 "gpio_inst/ppspin_q_45") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_44 "gpio_inst/ppspin_q_44") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_39 "gpio_inst/ppspin_q_39") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_43 "gpio_inst/ppspin_q_43") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_38 "gpio_inst/ppspin_q_38") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_42 "gpio_inst/ppspin_q_42") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_37 "gpio_inst/ppspin_q_37") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_41 "gpio_inst/ppspin_q_41") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_36 "gpio_inst/ppspin_q_36") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_40 "gpio_inst/ppspin_q_40") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_34 "gpio_inst/ppspin_q_34") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_35 "gpio_inst/ppspin_q_35") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_33 "gpio_inst/ppspin_q_33") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_28 "gpio_inst/ppspin_q_28") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_29 "gpio_inst/ppspin_q_29") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_27 "gpio_inst/ppspin_q_27") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_26 "gpio_inst/ppspin_q_26") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_32 "gpio_inst/ppspin_q_32") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_30 "gpio_inst/ppspin_q_30") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_25 "gpio_inst/ppspin_q_25") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_31 "gpio_inst/ppspin_q_31") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_24 "gpio_inst/ppspin_q_24") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_18 "gpio_inst/ppspin_q_18") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_19 "gpio_inst/ppspin_q_19") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_17 "gpio_inst/ppspin_q_17") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_22 "gpio_inst/ppspin_q_22") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_23 "gpio_inst/ppspin_q_23") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_16 "gpio_inst/ppspin_q_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_21 "gpio_inst/ppspin_q_21") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_20 "gpio_inst/ppspin_q_20") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_14 "gpio_inst/ppspin_q_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_15 "gpio_inst/ppspin_q_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_12 "gpio_inst/ppspin_q_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_11 "gpio_inst/ppspin_q_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_13 "gpio_inst/ppspin_q_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_48 "gpio_inst/gpio_tris_q_48") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_10 "gpio_inst/ppspin_q_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_39 "gpio_inst/gpio_tris_q_39") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_38 "gpio_inst/gpio_tris_q_38") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_36 "gpio_inst/gpio_tris_q_36") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_37 "gpio_inst/gpio_tris_q_37") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_35 "gpio_inst/gpio_tris_q_35") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_34 "gpio_inst/gpio_tris_q_34") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_19 "gpio_inst/gpio_tris_q_19") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_23 "gpio_inst/gpio_tris_q_23") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_9 "gpio_inst/ppspin_q_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_18 "gpio_inst/gpio_tris_q_18") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_22 "gpio_inst/gpio_tris_q_22") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_8 "gpio_inst/ppspin_q_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_17 "gpio_inst/gpio_tris_q_17") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_21 "gpio_inst/gpio_tris_q_21") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_7 "gpio_inst/ppspin_q_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_16 "gpio_inst/gpio_tris_q_16") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_20 "gpio_inst/gpio_tris_q_20") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_6 "gpio_inst/ppspin_q_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_5 "gpio_inst/ppspin_q_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_4 "gpio_inst/ppspin_q_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_2 "gpio_inst/ppspin_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_3 "gpio_inst/ppspin_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_1 "gpio_inst/ppspin_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_0 "gpio_inst/ppspin_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_127 "gpio_inst/ppspin_q_127") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_126 "gpio_inst/ppspin_q_126") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_125 "gpio_inst/ppspin_q_125") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_119 "gpio_inst/ppspin_q_119") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_124 "gpio_inst/ppspin_q_124") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_123 "gpio_inst/ppspin_q_123") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_118 "gpio_inst/ppspin_q_118") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_117 "gpio_inst/ppspin_q_117") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_122 "gpio_inst/ppspin_q_122") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_116 "gpio_inst/ppspin_q_116") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_121 "gpio_inst/ppspin_q_121") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_115 "gpio_inst/ppspin_q_115") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_120 "gpio_inst/ppspin_q_120") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_109 "gpio_inst/ppspin_q_109") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_114 "gpio_inst/ppspin_q_114") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_108 "gpio_inst/ppspin_q_108") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_113 "gpio_inst/ppspin_q_113") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_107 "gpio_inst/ppspin_q_107") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_111 "gpio_inst/ppspin_q_111") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_112 "gpio_inst/ppspin_q_112") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_110 "gpio_inst/ppspin_q_110") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_105 "gpio_inst/ppspin_q_105") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_106 "gpio_inst/ppspin_q_106") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_103 "gpio_inst/ppspin_q_103") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_102 "gpio_inst/ppspin_q_102") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_104 "gpio_inst/ppspin_q_104") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_100 "gpio_inst/ppspin_q_100") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_48 "gpio_inst/gpio_q_48") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_101 "gpio_inst/ppspin_q_101") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_46 "gpio_inst/gpio_q_46") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_45 "gpio_inst/gpio_q_45") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_47 "gpio_inst/gpio_q_47") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_43 "gpio_inst/gpio_q_43") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_44 "gpio_inst/gpio_q_44") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_42 "gpio_inst/gpio_q_42") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_40 "gpio_inst/gpio_q_40") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_41 "gpio_inst/gpio_q_41") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_29 "gpio_inst/gpio_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_33 "gpio_inst/gpio_q_33") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_28 "gpio_inst/gpio_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_32 "gpio_inst/gpio_q_32") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_26 "gpio_inst/gpio_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_27 "gpio_inst/gpio_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_25 "gpio_inst/gpio_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_30 "gpio_inst/gpio_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_31 "gpio_inst/gpio_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_24 "gpio_inst/gpio_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_15 "gpio_inst/gpio_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_14 "gpio_inst/gpio_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_13 "gpio_inst/gpio_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_11 "gpio_inst/gpio_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_10 "gpio_inst/gpio_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_12 "gpio_inst/gpio_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_9 "gpio_inst/gpio_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_8 "gpio_inst/gpio_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_6 "gpio_inst/gpio_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_5 "gpio_inst/gpio_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_7 "gpio_inst/gpio_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_3 "gpio_inst/gpio_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_2 "gpio_inst/gpio_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_4 "gpio_inst/gpio_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_0 "gpio_inst/gpio_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_99 "gpio_inst/ppspin_q_99") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_1 "gpio_inst/gpio_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_97 "gpio_inst/ppspin_q_97") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_96 "gpio_inst/ppspin_q_96") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_98 "gpio_inst/ppspin_q_98") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_17__ "sigmadelta_inst/Maccum_sigma_latch2_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_16__ "sigmadelta_inst/Maccum_sigma_latch2_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_16__ "sigmadelta_inst/Maccum_sigma_latch2_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_16__ "sigmadelta_inst/Maccum_sigma_latch2_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_15__ "sigmadelta_inst/Maccum_sigma_latch2_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_15__ "sigmadelta_inst/Maccum_sigma_latch2_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_15__ "sigmadelta_inst/Maccum_sigma_latch2_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_14__ "sigmadelta_inst/Maccum_sigma_latch2_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_14__ "sigmadelta_inst/Maccum_sigma_latch2_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_14__ "sigmadelta_inst/Maccum_sigma_latch2_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_13__ "sigmadelta_inst/Maccum_sigma_latch2_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_13__ "sigmadelta_inst/Maccum_sigma_latch2_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_13__ "sigmadelta_inst/Maccum_sigma_latch2_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_12__ "sigmadelta_inst/Maccum_sigma_latch2_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_12__ "sigmadelta_inst/Maccum_sigma_latch2_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_12__ "sigmadelta_inst/Maccum_sigma_latch2_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_11__ "sigmadelta_inst/Maccum_sigma_latch2_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_11__ "sigmadelta_inst/Maccum_sigma_latch2_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_11__ "sigmadelta_inst/Maccum_sigma_latch2_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_10__ "sigmadelta_inst/Maccum_sigma_latch2_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_10__ "sigmadelta_inst/Maccum_sigma_latch2_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_10__ "sigmadelta_inst/Maccum_sigma_latch2_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_9__ "sigmadelta_inst/Maccum_sigma_latch2_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_9__ "sigmadelta_inst/Maccum_sigma_latch2_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_9__ "sigmadelta_inst/Maccum_sigma_latch2_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_8__ "sigmadelta_inst/Maccum_sigma_latch2_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_8__ "sigmadelta_inst/Maccum_sigma_latch2_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_8__ "sigmadelta_inst/Maccum_sigma_latch2_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_7__ "sigmadelta_inst/Maccum_sigma_latch2_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_7__ "sigmadelta_inst/Maccum_sigma_latch2_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_7__ "sigmadelta_inst/Maccum_sigma_latch2_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_6__ "sigmadelta_inst/Maccum_sigma_latch2_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_6__ "sigmadelta_inst/Maccum_sigma_latch2_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_6__ "sigmadelta_inst/Maccum_sigma_latch2_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_5__ "sigmadelta_inst/Maccum_sigma_latch2_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_5__ "sigmadelta_inst/Maccum_sigma_latch2_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_5__ "sigmadelta_inst/Maccum_sigma_latch2_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_4__ "sigmadelta_inst/Maccum_sigma_latch2_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_4__ "sigmadelta_inst/Maccum_sigma_latch2_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_4__ "sigmadelta_inst/Maccum_sigma_latch2_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_3__ "sigmadelta_inst/Maccum_sigma_latch2_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_3__ "sigmadelta_inst/Maccum_sigma_latch2_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_3__ "sigmadelta_inst/Maccum_sigma_latch2_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_2__ "sigmadelta_inst/Maccum_sigma_latch2_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_2__ "sigmadelta_inst/Maccum_sigma_latch2_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_2__ "sigmadelta_inst/Maccum_sigma_latch2_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_1__ "sigmadelta_inst/Maccum_sigma_latch2_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_1__ "sigmadelta_inst/Maccum_sigma_latch2_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_1__ "sigmadelta_inst/Maccum_sigma_latch2_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_xor_0__ "sigmadelta_inst/Maccum_sigma_latch2_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_cy_0__ "sigmadelta_inst/Maccum_sigma_latch2_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch2_lut_0__ "sigmadelta_inst/Maccum_sigma_latch2_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_17__ "sigmadelta_inst/Maccum_sigma_latch1_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_16__ "sigmadelta_inst/Maccum_sigma_latch1_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_16__ "sigmadelta_inst/Maccum_sigma_latch1_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_16__ "sigmadelta_inst/Maccum_sigma_latch1_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_15__ "sigmadelta_inst/Maccum_sigma_latch1_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_15__ "sigmadelta_inst/Maccum_sigma_latch1_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_15__ "sigmadelta_inst/Maccum_sigma_latch1_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_14__ "sigmadelta_inst/Maccum_sigma_latch1_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_14__ "sigmadelta_inst/Maccum_sigma_latch1_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_14__ "sigmadelta_inst/Maccum_sigma_latch1_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_13__ "sigmadelta_inst/Maccum_sigma_latch1_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_13__ "sigmadelta_inst/Maccum_sigma_latch1_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_13__ "sigmadelta_inst/Maccum_sigma_latch1_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_12__ "sigmadelta_inst/Maccum_sigma_latch1_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_12__ "sigmadelta_inst/Maccum_sigma_latch1_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_12__ "sigmadelta_inst/Maccum_sigma_latch1_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_11__ "sigmadelta_inst/Maccum_sigma_latch1_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_11__ "sigmadelta_inst/Maccum_sigma_latch1_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_11__ "sigmadelta_inst/Maccum_sigma_latch1_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_10__ "sigmadelta_inst/Maccum_sigma_latch1_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_10__ "sigmadelta_inst/Maccum_sigma_latch1_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_10__ "sigmadelta_inst/Maccum_sigma_latch1_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_9__ "sigmadelta_inst/Maccum_sigma_latch1_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_9__ "sigmadelta_inst/Maccum_sigma_latch1_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_9__ "sigmadelta_inst/Maccum_sigma_latch1_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_8__ "sigmadelta_inst/Maccum_sigma_latch1_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_8__ "sigmadelta_inst/Maccum_sigma_latch1_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_8__ "sigmadelta_inst/Maccum_sigma_latch1_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_7__ "sigmadelta_inst/Maccum_sigma_latch1_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_7__ "sigmadelta_inst/Maccum_sigma_latch1_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_7__ "sigmadelta_inst/Maccum_sigma_latch1_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_6__ "sigmadelta_inst/Maccum_sigma_latch1_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_6__ "sigmadelta_inst/Maccum_sigma_latch1_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_6__ "sigmadelta_inst/Maccum_sigma_latch1_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_5__ "sigmadelta_inst/Maccum_sigma_latch1_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_5__ "sigmadelta_inst/Maccum_sigma_latch1_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_5__ "sigmadelta_inst/Maccum_sigma_latch1_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_4__ "sigmadelta_inst/Maccum_sigma_latch1_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_4__ "sigmadelta_inst/Maccum_sigma_latch1_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_4__ "sigmadelta_inst/Maccum_sigma_latch1_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_3__ "sigmadelta_inst/Maccum_sigma_latch1_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_3__ "sigmadelta_inst/Maccum_sigma_latch1_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_3__ "sigmadelta_inst/Maccum_sigma_latch1_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_2__ "sigmadelta_inst/Maccum_sigma_latch1_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_2__ "sigmadelta_inst/Maccum_sigma_latch1_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_2__ "sigmadelta_inst/Maccum_sigma_latch1_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_1__ "sigmadelta_inst/Maccum_sigma_latch1_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_1__ "sigmadelta_inst/Maccum_sigma_latch1_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_1__ "sigmadelta_inst/Maccum_sigma_latch1_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_xor_0__ "sigmadelta_inst/Maccum_sigma_latch1_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_cy_0__ "sigmadelta_inst/Maccum_sigma_latch1_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_Maccum_sigma_latch1_lut_0__ "sigmadelta_inst/Maccum_sigma_latch1_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_17 "sigmadelta_inst/sigma_latch2_17") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_17 "sigmadelta_inst/sigma_latch1_17") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_16 "sigmadelta_inst/sigma_latch2_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_14 "sigmadelta_inst/sigma_latch2_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_13 "sigmadelta_inst/sigma_latch2_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_15 "sigmadelta_inst/sigma_latch2_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_12 "sigmadelta_inst/sigma_latch2_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_11 "sigmadelta_inst/sigma_latch2_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_9 "sigmadelta_inst/sigma_latch2_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_8 "sigmadelta_inst/sigma_latch2_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_10 "sigmadelta_inst/sigma_latch2_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_7 "sigmadelta_inst/sigma_latch2_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_6 "sigmadelta_inst/sigma_latch2_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_4 "sigmadelta_inst/sigma_latch2_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_3 "sigmadelta_inst/sigma_latch2_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_5 "sigmadelta_inst/sigma_latch2_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_2 "sigmadelta_inst/sigma_latch2_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_1 "sigmadelta_inst/sigma_latch2_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch2_0 "sigmadelta_inst/sigma_latch2_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_16 "sigmadelta_inst/sigma_latch1_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_15 "sigmadelta_inst/sigma_latch1_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_13 "sigmadelta_inst/sigma_latch1_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_12 "sigmadelta_inst/sigma_latch1_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_14 "sigmadelta_inst/sigma_latch1_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_11 "sigmadelta_inst/sigma_latch1_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_10 "sigmadelta_inst/sigma_latch1_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_8 "sigmadelta_inst/sigma_latch1_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_7 "sigmadelta_inst/sigma_latch1_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_9 "sigmadelta_inst/sigma_latch1_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_6 "sigmadelta_inst/sigma_latch1_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_5 "sigmadelta_inst/sigma_latch1_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_3 "sigmadelta_inst/sigma_latch1_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_2 "sigmadelta_inst/sigma_latch1_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_4 "sigmadelta_inst/sigma_latch1_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_1 "sigmadelta_inst/sigma_latch1_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sigma_latch1_0 "sigmadelta_inst/sigma_latch1_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sdout_1 "sigmadelta_inst/sdout_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sdout_0 "sigmadelta_inst/sdout_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_15 "sigmadelta_inst/sync_dat_q2_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_14 "sigmadelta_inst/sync_dat_q2_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_13 "sigmadelta_inst/sync_dat_q2_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_12 "sigmadelta_inst/sync_dat_q2_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_11 "sigmadelta_inst/sync_dat_q2_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_10 "sigmadelta_inst/sync_dat_q2_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_9 "sigmadelta_inst/sync_dat_q2_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_8 "sigmadelta_inst/sync_dat_q2_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_7 "sigmadelta_inst/sync_dat_q2_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_6 "sigmadelta_inst/sync_dat_q2_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_5 "sigmadelta_inst/sync_dat_q2_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_4 "sigmadelta_inst/sync_dat_q2_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_3 "sigmadelta_inst/sync_dat_q2_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_2 "sigmadelta_inst/sync_dat_q2_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_1 "sigmadelta_inst/sync_dat_q2_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q2_0 "sigmadelta_inst/sync_dat_q2_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_15 "sigmadelta_inst/sync_dat_q1_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_14 "sigmadelta_inst/sync_dat_q1_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_13 "sigmadelta_inst/sync_dat_q1_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_12 "sigmadelta_inst/sync_dat_q1_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_11 "sigmadelta_inst/sync_dat_q1_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_10 "sigmadelta_inst/sync_dat_q1_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_9 "sigmadelta_inst/sync_dat_q1_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_8 "sigmadelta_inst/sync_dat_q1_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_7 "sigmadelta_inst/sync_dat_q1_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_6 "sigmadelta_inst/sync_dat_q1_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_5 "sigmadelta_inst/sync_dat_q1_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_4 "sigmadelta_inst/sync_dat_q1_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_3 "sigmadelta_inst/sync_dat_q1_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_2 "sigmadelta_inst/sync_dat_q1_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_1 "sigmadelta_inst/sync_dat_q1_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sync_dat_q1_0 "sigmadelta_inst/sync_dat_q1_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sd_en_q_1 "sigmadelta_inst/sd_en_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sd_en_q_0 "sigmadelta_inst/sd_en_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_8 "sigmadelta_inst/dat_q1_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_9 "sigmadelta_inst/dat_q1_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_7 "sigmadelta_inst/dat_q1_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_15 "sigmadelta_inst/dat_q2_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_14 "sigmadelta_inst/dat_q2_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_5 "sigmadelta_inst/dat_q1_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_6 "sigmadelta_inst/dat_q1_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_4 "sigmadelta_inst/dat_q1_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_12 "sigmadelta_inst/dat_q2_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_13 "sigmadelta_inst/dat_q2_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_11 "sigmadelta_inst/dat_q2_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_2 "sigmadelta_inst/dat_q1_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_3 "sigmadelta_inst/dat_q1_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_10 "sigmadelta_inst/dat_q2_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_1 "sigmadelta_inst/dat_q1_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_0 "sigmadelta_inst/dat_q1_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_14 "sigmadelta_inst/dat_q1_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_9 "sigmadelta_inst/dat_q2_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_15 "sigmadelta_inst/dat_q1_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_8 "sigmadelta_inst/dat_q2_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_12 "sigmadelta_inst/dat_q1_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_13 "sigmadelta_inst/dat_q1_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_7 "sigmadelta_inst/dat_q2_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_11 "sigmadelta_inst/dat_q1_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_10 "sigmadelta_inst/dat_q1_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_5 "sigmadelta_inst/dat_q2_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_6 "sigmadelta_inst/dat_q2_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_3 "sigmadelta_inst/dat_q2_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_2 "sigmadelta_inst/dat_q2_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_4 "sigmadelta_inst/dat_q2_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_0 "sigmadelta_inst/dat_q2_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_le_q_renamed_763 "sigmadelta_inst/le_q") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_1 "sigmadelta_inst/dat_q2_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_15__3_renamed_764 "crc16_inst/Mmux_wb_dat_o<15>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_15__4_renamed_765 "crc16_inst/Mmux_wb_dat_o<15>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_15__2_f5 "crc16_inst/Mmux_wb_dat_o<15>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_14__3_renamed_766 "crc16_inst/Mmux_wb_dat_o<14>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_14__4_renamed_767 "crc16_inst/Mmux_wb_dat_o<14>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_14__2_f5 "crc16_inst/Mmux_wb_dat_o<14>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_13__3_renamed_768 "crc16_inst/Mmux_wb_dat_o<13>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_13__4_renamed_769 "crc16_inst/Mmux_wb_dat_o<13>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_13__2_f5 "crc16_inst/Mmux_wb_dat_o<13>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_12__3_renamed_770 "crc16_inst/Mmux_wb_dat_o<12>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_12__4_renamed_771 "crc16_inst/Mmux_wb_dat_o<12>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_12__2_f5 "crc16_inst/Mmux_wb_dat_o<12>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_11__3_renamed_772 "crc16_inst/Mmux_wb_dat_o<11>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_11__4_renamed_773 "crc16_inst/Mmux_wb_dat_o<11>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_11__2_f5 "crc16_inst/Mmux_wb_dat_o<11>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_10__3_renamed_774 "crc16_inst/Mmux_wb_dat_o<10>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_10__4_renamed_775 "crc16_inst/Mmux_wb_dat_o<10>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_10__2_f5 "crc16_inst/Mmux_wb_dat_o<10>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_9__3_renamed_776 "crc16_inst/Mmux_wb_dat_o<9>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_9__4_renamed_777 "crc16_inst/Mmux_wb_dat_o<9>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_9__2_f5 "crc16_inst/Mmux_wb_dat_o<9>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_8__3_renamed_778 "crc16_inst/Mmux_wb_dat_o<8>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_8__4_renamed_779 "crc16_inst/Mmux_wb_dat_o<8>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_8__2_f5 "crc16_inst/Mmux_wb_dat_o<8>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_7__3_renamed_780 "crc16_inst/Mmux_wb_dat_o<7>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_7__4_renamed_781 "crc16_inst/Mmux_wb_dat_o<7>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_7__2_f5 "crc16_inst/Mmux_wb_dat_o<7>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_6__3_renamed_782 "crc16_inst/Mmux_wb_dat_o<6>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_6__4_renamed_783 "crc16_inst/Mmux_wb_dat_o<6>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_6__2_f5 "crc16_inst/Mmux_wb_dat_o<6>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_5__3_renamed_784 "crc16_inst/Mmux_wb_dat_o<5>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_5__4_renamed_785 "crc16_inst/Mmux_wb_dat_o<5>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_5__2_f5 "crc16_inst/Mmux_wb_dat_o<5>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_4__3_renamed_786 "crc16_inst/Mmux_wb_dat_o<4>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_4__4_renamed_787 "crc16_inst/Mmux_wb_dat_o<4>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_4__2_f5 "crc16_inst/Mmux_wb_dat_o<4>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_3__3_renamed_788 "crc16_inst/Mmux_wb_dat_o<3>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_3__4_renamed_789 "crc16_inst/Mmux_wb_dat_o<3>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_3__2_f5 "crc16_inst/Mmux_wb_dat_o<3>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_2__3_renamed_790 "crc16_inst/Mmux_wb_dat_o<2>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_2__4_renamed_791 "crc16_inst/Mmux_wb_dat_o<2>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_2__2_f5 "crc16_inst/Mmux_wb_dat_o<2>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_0__3_renamed_792 "crc16_inst/Mmux_wb_dat_o<0>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_0__4_renamed_793 "crc16_inst/Mmux_wb_dat_o<0>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_0__2_f5 "crc16_inst/Mmux_wb_dat_o<0>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_1__3_renamed_794 "crc16_inst/Mmux_wb_dat_o<1>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_1__4_renamed_795 "crc16_inst/Mmux_wb_dat_o<1>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_Mmux_wb_dat_o_1__2_f5 "crc16_inst/Mmux_wb_dat_o<1>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_15 "crc16_inst/crcB_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_14 "crc16_inst/crcB_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_13 "crc16_inst/crcB_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_12 "crc16_inst/crcB_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_11 "crc16_inst/crcB_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_10 "crc16_inst/crcB_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_9 "crc16_inst/crcB_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_8 "crc16_inst/crcB_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_7 "crc16_inst/crcB_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_6 "crc16_inst/crcB_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_5 "crc16_inst/crcB_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_4 "crc16_inst/crcB_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_3 "crc16_inst/crcB_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_2 "crc16_inst/crcB_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_1 "crc16_inst/crcB_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcB_q_0 "crc16_inst/crcB_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_15 "crc16_inst/crcA_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_14 "crc16_inst/crcA_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_13 "crc16_inst/crcA_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_12 "crc16_inst/crcA_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_11 "crc16_inst/crcA_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_10 "crc16_inst/crcA_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_9 "crc16_inst/crcA_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_8 "crc16_inst/crcA_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_7 "crc16_inst/crcA_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_6 "crc16_inst/crcA_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_5 "crc16_inst/crcA_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_4 "crc16_inst/crcA_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_3 "crc16_inst/crcA_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_2 "crc16_inst/crcA_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_1 "crc16_inst/crcA_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_0 "crc16_inst/crcA_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_15 "crc16_inst/poly_q_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_14 "crc16_inst/poly_q_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_13 "crc16_inst/poly_q_13") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_12 "crc16_inst/poly_q_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_11 "crc16_inst/poly_q_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_10 "crc16_inst/poly_q_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_9 "crc16_inst/poly_q_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_8 "crc16_inst/poly_q_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_7 "crc16_inst/poly_q_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_6 "crc16_inst/poly_q_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_5 "crc16_inst/poly_q_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_4 "crc16_inst/poly_q_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_3 "crc16_inst/poly_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_2 "crc16_inst/poly_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_1 "crc16_inst/poly_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_0 "crc16_inst/poly_q_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_2 "crc16_inst/count_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_1 "crc16_inst/count_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_0 "crc16_inst/count_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_renamed_796 "crc16_inst/ready_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_7 "crc16_inst/data_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_6 "crc16_inst/data_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_5 "crc16_inst/data_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_4 "crc16_inst/data_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_3 "crc16_inst/data_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_2 "crc16_inst/data_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_1 "crc16_inst/data_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_0 "crc16_inst/data_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_15 "crc16_inst/crc_q_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_14 "crc16_inst/crc_q_14") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_13 "crc16_inst/crc_q_13") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_12 "crc16_inst/crc_q_12") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_11 "crc16_inst/crc_q_11") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_10 "crc16_inst/crc_q_10") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_9 "crc16_inst/crc_q_9") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_8 "crc16_inst/crc_q_8") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_7 "crc16_inst/crc_q_7") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_6 "crc16_inst/crc_q_6") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_5 "crc16_inst/crc_q_5") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_4 "crc16_inst/crc_q_4") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_3 "crc16_inst/crc_q_3") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_2 "crc16_inst/crc_q_2") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_1 "crc16_inst/crc_q_1") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_0 "crc16_inst/crc_q_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_15 "zpuino/io/intr_inst/intr_detected_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_14 "zpuino/io/intr_inst/intr_detected_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_13 "zpuino/io/intr_inst/intr_detected_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_12 "zpuino/io/intr_inst/intr_detected_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_11 "zpuino/io/intr_inst/intr_detected_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_10 "zpuino/io/intr_inst/intr_detected_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_9 "zpuino/io/intr_inst/intr_detected_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_8 "zpuino/io/intr_inst/intr_detected_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_ien_q_renamed_797 "zpuino/io/intr_inst/ien_q") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_7 "zpuino/io/intr_inst/intr_detected_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_wb_inta_o_renamed_798 "zpuino/io/intr_inst/wb_inta_o") - (viewRef view_1 (cellRef FDRSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_6 "zpuino/io/intr_inst/intr_detected_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_iready_q_renamed_799 "zpuino/io/intr_inst/iready_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_4 "zpuino/io/intr_inst/intr_detected_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_3 "zpuino/io/intr_inst/intr_detected_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_5 "zpuino/io/intr_inst/intr_detected_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_17 "zpuino/io/intr_inst/mask_q_17") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_16 "zpuino/io/intr_inst/mask_q_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_15 "zpuino/io/intr_inst/mask_q_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_14 "zpuino/io/intr_inst/mask_q_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_13 "zpuino/io/intr_inst/mask_q_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_12 "zpuino/io/intr_inst/mask_q_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_11 "zpuino/io/intr_inst/mask_q_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_10 "zpuino/io/intr_inst/mask_q_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_9 "zpuino/io/intr_inst/mask_q_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_8 "zpuino/io/intr_inst/mask_q_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_7 "zpuino/io/intr_inst/mask_q_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_6 "zpuino/io/intr_inst/mask_q_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_5 "zpuino/io/intr_inst/mask_q_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_4 "zpuino/io/intr_inst/mask_q_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_3 "zpuino/io/intr_inst/mask_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_2 "zpuino/io/intr_inst/mask_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_1 "zpuino/io/intr_inst/mask_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_0 "zpuino/io/intr_inst/mask_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_2 "zpuino/io/intr_inst/intr_detected_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_in_q_4 "zpuino/io/intr_inst/intr_in_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_in_q_3 "zpuino/io/intr_inst/intr_in_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_1 "zpuino/io/intr_inst/intr_detected_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_0 "zpuino/io/intr_inst/intr_detected_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_17 "zpuino/io/intr_inst/intr_served_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_16 "zpuino/io/intr_inst/intr_served_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_15 "zpuino/io/intr_inst/intr_served_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_14 "zpuino/io/intr_inst/intr_served_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_13 "zpuino/io/intr_inst/intr_served_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_12 "zpuino/io/intr_inst/intr_served_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_11 "zpuino/io/intr_inst/intr_served_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_10 "zpuino/io/intr_inst/intr_served_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_9 "zpuino/io/intr_inst/intr_served_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_8 "zpuino/io/intr_inst/intr_served_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_7 "zpuino/io/intr_inst/intr_served_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_6 "zpuino/io/intr_inst/intr_served_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_5 "zpuino/io/intr_inst/intr_served_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_4 "zpuino/io/intr_inst/intr_served_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_3 "zpuino/io/intr_inst/intr_served_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_2 "zpuino/io/intr_inst/intr_served_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_1 "zpuino/io/intr_inst/intr_served_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_0 "zpuino/io/intr_inst/intr_served_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_level_q_17 "zpuino/io/intr_inst/intr_level_q_17") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_level_q_16 "zpuino/io/intr_inst/intr_level_q_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_17 "zpuino/io/intr_inst/intr_detected_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_16 "zpuino/io/intr_inst/intr_detected_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mmux_mosi_mux0001_3_renamed_800 "slot0/zspi/Mmux_mosi_mux0001_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mmux_mosi_mux0001_4_renamed_801 "slot0/zspi/Mmux_mosi_mux0001_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mmux_mosi_mux0001_2_f5 "slot0/zspi/Mmux_mosi_mux0001_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_5 "slot0/zspi/count_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_4 "slot0/zspi/count_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_3 "slot0/zspi/count_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_2 "slot0/zspi/count_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_1 "slot0/zspi/count_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_0 "slot0/zspi/count_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_mosi_renamed_802 "slot0/zspi/mosi") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_31 "slot0/zspi/read_reg_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_30 "slot0/zspi/read_reg_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_29 "slot0/zspi/read_reg_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_28 "slot0/zspi/read_reg_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_27 "slot0/zspi/read_reg_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_26 "slot0/zspi/read_reg_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_25 "slot0/zspi/read_reg_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_24 "slot0/zspi/read_reg_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_23 "slot0/zspi/read_reg_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_22 "slot0/zspi/read_reg_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_21 "slot0/zspi/read_reg_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_20 "slot0/zspi/read_reg_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_19 "slot0/zspi/read_reg_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_18 "slot0/zspi/read_reg_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_17 "slot0/zspi/read_reg_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_16 "slot0/zspi/read_reg_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_15 "slot0/zspi/read_reg_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_14 "slot0/zspi/read_reg_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_13 "slot0/zspi/read_reg_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_12 "slot0/zspi/read_reg_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_11 "slot0/zspi/read_reg_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_10 "slot0/zspi/read_reg_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_9 "slot0/zspi/read_reg_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_8 "slot0/zspi/read_reg_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_7 "slot0/zspi/read_reg_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_6 "slot0/zspi/read_reg_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_5 "slot0/zspi/read_reg_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_4 "slot0/zspi/read_reg_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_3 "slot0/zspi/read_reg_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_2 "slot0/zspi/read_reg_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_1 "slot0/zspi/read_reg_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_0 "slot0/zspi/read_reg_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_31 "slot0/zspi/write_reg_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_30 "slot0/zspi/write_reg_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_29 "slot0/zspi/write_reg_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_28 "slot0/zspi/write_reg_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_27 "slot0/zspi/write_reg_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_26 "slot0/zspi/write_reg_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_25 "slot0/zspi/write_reg_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_24 "slot0/zspi/write_reg_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_23 "slot0/zspi/write_reg_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_22 "slot0/zspi/write_reg_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_21 "slot0/zspi/write_reg_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_20 "slot0/zspi/write_reg_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_19 "slot0/zspi/write_reg_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_18 "slot0/zspi/write_reg_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_17 "slot0/zspi/write_reg_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_16 "slot0/zspi/write_reg_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_15 "slot0/zspi/write_reg_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_14 "slot0/zspi/write_reg_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_13 "slot0/zspi/write_reg_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_12 "slot0/zspi/write_reg_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_11 "slot0/zspi/write_reg_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_10 "slot0/zspi/write_reg_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_9 "slot0/zspi/write_reg_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_8 "slot0/zspi/write_reg_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_7 "slot0/zspi/write_reg_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_6 "slot0/zspi/write_reg_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_5 "slot0/zspi/write_reg_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_4 "slot0/zspi/write_reg_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_3 "slot0/zspi/write_reg_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_2 "slot0/zspi/write_reg_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_1 "slot0/zspi/write_reg_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_0 "slot0/zspi/write_reg_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ready_q_renamed_803 "slot0/zspi/ready_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ignore_sample_q_renamed_804 "slot0/zspi/ignore_sample_q") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mmux_mosi_mux0001_3_renamed_805 "slot1/zspi/Mmux_mosi_mux0001_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mmux_mosi_mux0001_4_renamed_806 "slot1/zspi/Mmux_mosi_mux0001_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mmux_mosi_mux0001_2_f5 "slot1/zspi/Mmux_mosi_mux0001_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_5 "slot1/zspi/count_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_4 "slot1/zspi/count_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_3 "slot1/zspi/count_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_2 "slot1/zspi/count_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_1 "slot1/zspi/count_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_0 "slot1/zspi/count_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_mosi_renamed_807 "slot1/zspi/mosi") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_31 "slot1/zspi/read_reg_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_30 "slot1/zspi/read_reg_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_29 "slot1/zspi/read_reg_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_28 "slot1/zspi/read_reg_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_27 "slot1/zspi/read_reg_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_26 "slot1/zspi/read_reg_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_25 "slot1/zspi/read_reg_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_24 "slot1/zspi/read_reg_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_23 "slot1/zspi/read_reg_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_22 "slot1/zspi/read_reg_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_21 "slot1/zspi/read_reg_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_20 "slot1/zspi/read_reg_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_19 "slot1/zspi/read_reg_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_18 "slot1/zspi/read_reg_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_17 "slot1/zspi/read_reg_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_16 "slot1/zspi/read_reg_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_15 "slot1/zspi/read_reg_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_14 "slot1/zspi/read_reg_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_13 "slot1/zspi/read_reg_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_12 "slot1/zspi/read_reg_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_11 "slot1/zspi/read_reg_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_10 "slot1/zspi/read_reg_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_9 "slot1/zspi/read_reg_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_8 "slot1/zspi/read_reg_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_7 "slot1/zspi/read_reg_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_6 "slot1/zspi/read_reg_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_5 "slot1/zspi/read_reg_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_4 "slot1/zspi/read_reg_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_3 "slot1/zspi/read_reg_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_2 "slot1/zspi/read_reg_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_1 "slot1/zspi/read_reg_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_0 "slot1/zspi/read_reg_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_31 "slot1/zspi/write_reg_q_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_30 "slot1/zspi/write_reg_q_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_29 "slot1/zspi/write_reg_q_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_28 "slot1/zspi/write_reg_q_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_27 "slot1/zspi/write_reg_q_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_26 "slot1/zspi/write_reg_q_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_25 "slot1/zspi/write_reg_q_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_24 "slot1/zspi/write_reg_q_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_23 "slot1/zspi/write_reg_q_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_22 "slot1/zspi/write_reg_q_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_21 "slot1/zspi/write_reg_q_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_20 "slot1/zspi/write_reg_q_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_19 "slot1/zspi/write_reg_q_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_18 "slot1/zspi/write_reg_q_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_17 "slot1/zspi/write_reg_q_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_16 "slot1/zspi/write_reg_q_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_15 "slot1/zspi/write_reg_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_14 "slot1/zspi/write_reg_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_13 "slot1/zspi/write_reg_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_12 "slot1/zspi/write_reg_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_11 "slot1/zspi/write_reg_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_10 "slot1/zspi/write_reg_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_9 "slot1/zspi/write_reg_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_8 "slot1/zspi/write_reg_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_7 "slot1/zspi/write_reg_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_6 "slot1/zspi/write_reg_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_5 "slot1/zspi/write_reg_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_4 "slot1/zspi/write_reg_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_3 "slot1/zspi/write_reg_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_2 "slot1/zspi/write_reg_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_1 "slot1/zspi/write_reg_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_0 "slot1/zspi/write_reg_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ready_q_renamed_808 "slot1/zspi/ready_q") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ignore_sample_q_renamed_809 "slot1/zspi/ignore_sample_q") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_VGADCM_inst "clkgen_inst/VGADCM_inst") - (viewRef view_1 (cellRef DCM_SP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "3:INPUT:CTLSEL<2:0>") (owner "Xilinx")) - (property BUS_INFO (string "5:INPUT:STSADRS<4:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:OUTPUT:STATUS<7:0>") (owner "Xilinx")) - (property CLKDV_DIVIDE (number (e 2 0)) (owner "Xilinx")) - (property CLKFX_DIVIDE (integer 16) (owner "Xilinx")) - (property CLKFX_MULTIPLY (integer 25) (owner "Xilinx")) - (property CLKIN_DIVIDE_BY_2 (string "FALSE") (owner "Xilinx")) - (property CLKIN_PERIOD (string "31.2500000000000000") (owner "Xilinx")) - (property CLKOUT_PHASE_SHIFT (string "NONE") (owner "Xilinx")) - (property CLK_FEEDBACK (string "1X") (owner "Xilinx")) - (property DESKEW_ADJUST (string "SYSTEM_SYNCHRONOUS") (owner "Xilinx")) - (property DFS_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) - (property DLL_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) - (property DSS_MODE (string "NONE") (owner "Xilinx")) - (property DUTY_CYCLE_CORRECTION (string "TRUE") (owner "Xilinx")) - (property DRC_DCM_S3E_REMAP (string "TRUE") (owner "Xilinx")) - (property PHASE_SHIFT (integer 0) (owner "Xilinx")) - (property SIM_MODE (string "SAFE") (owner "Xilinx")) - (property STARTUP_WAIT (string "FALSE") (owner "Xilinx")) - (property VERY_HIGH_FREQUENCY (string "FALSE") (owner "Xilinx")) - (property FACTORY_JF (string "C080") (owner "Xilinx")) - ) - (instance (rename clkgen_inst_DCM_inst "clkgen_inst/DCM_inst") - (viewRef view_1 (cellRef DCM_SP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "3:INPUT:CTLSEL<2:0>") (owner "Xilinx")) - (property BUS_INFO (string "5:INPUT:STSADRS<4:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:OUTPUT:STATUS<7:0>") (owner "Xilinx")) - (property CLKDV_DIVIDE (number (e 2 0)) (owner "Xilinx")) - (property CLKFX_DIVIDE (integer 1) (owner "Xilinx")) - (property CLKFX_MULTIPLY (integer 3) (owner "Xilinx")) - (property CLKIN_DIVIDE_BY_2 (string "FALSE") (owner "Xilinx")) - (property CLKIN_PERIOD (string "31.2500000000000000") (owner "Xilinx")) - (property CLKOUT_PHASE_SHIFT (string "NONE") (owner "Xilinx")) - (property CLK_FEEDBACK (string "1X") (owner "Xilinx")) - (property DESKEW_ADJUST (string "SYSTEM_SYNCHRONOUS") (owner "Xilinx")) - (property DFS_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) - (property DLL_FREQUENCY_MODE (string "LOW") (owner "Xilinx")) - (property DSS_MODE (string "NONE") (owner "Xilinx")) - (property DUTY_CYCLE_CORRECTION (string "TRUE") (owner "Xilinx")) - (property DRC_DCM_S3E_REMAP (string "TRUE") (owner "Xilinx")) - (property PHASE_SHIFT (integer 0) (owner "Xilinx")) - (property SIM_MODE (string "SAFE") (owner "Xilinx")) - (property STARTUP_WAIT (string "FALSE") (owner "Xilinx")) - (property VERY_HIGH_FREQUENCY (string "FALSE") (owner "Xilinx")) - (property FACTORY_JF (string "C080") (owner "Xilinx")) - ) - (instance (rename clkgen_inst_vgaclkfb_inst "clkgen_inst/vgaclkfb_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_vgaclkfx_inst "clkgen_inst/vgaclkfx_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_clkfb_inst "clkgen_inst/clkfb_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_clkin2_inst "clkgen_inst/clkin2_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_clkin_inst "clkgen_inst/clkin_inst") - (viewRef view_1 (cellRef IBUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property CAPACITANCE (string "DONT_CARE") (owner "Xilinx")) - (property IBUF_DELAY_VALUE (string "0") (owner "Xilinx")) - (property IBUF_LOW_PWR (string "TRUE") (owner "Xilinx")) - (property IOSTANDARD (string "DEFAULT") (owner "Xilinx")) - ) - (instance (rename clkgen_inst_clkfx_inst "clkgen_inst/clkfx_inst") - (viewRef view_1 (cellRef BUFG (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_rst1_q_renamed_810 "clkgen_inst/rst1_q") - (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_rst2_q_renamed_811 "clkgen_inst/rst2_q") - (viewRef view_1 (cellRef FDP (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck64_q_renamed_812 "slot0/zspiclk/pr/ck64_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck1024_q_renamed_813 "slot0/zspiclk/pr/ck1024_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck8_q_renamed_814 "slot0/zspiclk/pr/ck8_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck16_q_renamed_815 "slot0/zspiclk/pr/ck16_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck2_q_renamed_816 "slot0/zspiclk/pr/ck2_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck4_q_renamed_817 "slot0/zspiclk/pr/ck4_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_ck256_q_renamed_818 "slot0/zspiclk/pr/ck256_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_0 "slot0/zspiclk/pr/counter_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_1 "slot0/zspiclk/pr/counter_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_2 "slot0/zspiclk/pr/counter_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_3 "slot0/zspiclk/pr/counter_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_4 "slot0/zspiclk/pr/counter_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_5 "slot0/zspiclk/pr/counter_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_6 "slot0/zspiclk/pr/counter_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_7 "slot0/zspiclk/pr/counter_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_8 "slot0/zspiclk/pr/counter_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_counter_9 "slot0/zspiclk/pr/counter_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_2_f6 "slot0/zspiclk/pr/Mmux_event_i_2_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819 "slot0/zspiclk/pr/Mmux_event_i_4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820 "slot0/zspiclk/pr/Mmux_event_i_3_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_0__ "slot0/zspiclk/pr/Mcount_counter_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_0__ "slot0/zspiclk/pr/Mcount_counter_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_1__ "slot0/zspiclk/pr/Mcount_counter_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_1__ "slot0/zspiclk/pr/Mcount_counter_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_2__ "slot0/zspiclk/pr/Mcount_counter_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_2__ "slot0/zspiclk/pr/Mcount_counter_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_3__ "slot0/zspiclk/pr/Mcount_counter_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_3__ "slot0/zspiclk/pr/Mcount_counter_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_4__ "slot0/zspiclk/pr/Mcount_counter_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_4__ "slot0/zspiclk/pr/Mcount_counter_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_5__ "slot0/zspiclk/pr/Mcount_counter_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_5__ "slot0/zspiclk/pr/Mcount_counter_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_6__ "slot0/zspiclk/pr/Mcount_counter_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_6__ "slot0/zspiclk/pr/Mcount_counter_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_7__ "slot0/zspiclk/pr/Mcount_counter_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_7__ "slot0/zspiclk/pr/Mcount_counter_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_8__ "slot0/zspiclk/pr/Mcount_counter_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_8__ "slot0/zspiclk/pr/Mcount_counter_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_9__ "slot0/zspiclk/pr/Mcount_counter_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clk_i_renamed_821 "slot0/zspiclk/clk_i") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_spiclk_renamed_822 "slot0/zspiclk/spiclk") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_running_q_renamed_823 "slot0/zspiclk/running_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_reset_renamed_824 "slot0/zspiclk/prescale_reset") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkfall_i_renamed_825 "slot0/zspiclk/clkfall_i") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkrise_i_renamed_826 "slot0/zspiclk/clkrise_i") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_q_2 "slot0/zspiclk/prescale_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_q_1 "slot0/zspiclk/prescale_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_q_0 "slot0/zspiclk/prescale_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck64_q_renamed_827 "slot1/zspiclk/pr/ck64_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck1024_q_renamed_828 "slot1/zspiclk/pr/ck1024_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck8_q_renamed_829 "slot1/zspiclk/pr/ck8_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck16_q_renamed_830 "slot1/zspiclk/pr/ck16_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck2_q_renamed_831 "slot1/zspiclk/pr/ck2_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck4_q_renamed_832 "slot1/zspiclk/pr/ck4_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_ck256_q_renamed_833 "slot1/zspiclk/pr/ck256_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_0 "slot1/zspiclk/pr/counter_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_1 "slot1/zspiclk/pr/counter_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_2 "slot1/zspiclk/pr/counter_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_3 "slot1/zspiclk/pr/counter_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_4 "slot1/zspiclk/pr/counter_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_5 "slot1/zspiclk/pr/counter_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_6 "slot1/zspiclk/pr/counter_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_7 "slot1/zspiclk/pr/counter_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_8 "slot1/zspiclk/pr/counter_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_counter_9 "slot1/zspiclk/pr/counter_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_2_f6 "slot1/zspiclk/pr/Mmux_event_i_2_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834 "slot1/zspiclk/pr/Mmux_event_i_4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835 "slot1/zspiclk/pr/Mmux_event_i_3_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_0__ "slot1/zspiclk/pr/Mcount_counter_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_0__ "slot1/zspiclk/pr/Mcount_counter_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_1__ "slot1/zspiclk/pr/Mcount_counter_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_1__ "slot1/zspiclk/pr/Mcount_counter_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_2__ "slot1/zspiclk/pr/Mcount_counter_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_2__ "slot1/zspiclk/pr/Mcount_counter_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_3__ "slot1/zspiclk/pr/Mcount_counter_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_3__ "slot1/zspiclk/pr/Mcount_counter_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_4__ "slot1/zspiclk/pr/Mcount_counter_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_4__ "slot1/zspiclk/pr/Mcount_counter_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_5__ "slot1/zspiclk/pr/Mcount_counter_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_5__ "slot1/zspiclk/pr/Mcount_counter_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_6__ "slot1/zspiclk/pr/Mcount_counter_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_6__ "slot1/zspiclk/pr/Mcount_counter_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_7__ "slot1/zspiclk/pr/Mcount_counter_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_7__ "slot1/zspiclk/pr/Mcount_counter_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_8__ "slot1/zspiclk/pr/Mcount_counter_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_8__ "slot1/zspiclk/pr/Mcount_counter_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_9__ "slot1/zspiclk/pr/Mcount_counter_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clk_i_renamed_836 "slot1/zspiclk/clk_i") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_spiclk_renamed_837 "slot1/zspiclk/spiclk") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_running_q_renamed_838 "slot1/zspiclk/running_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_reset_renamed_839 "slot1/zspiclk/prescale_reset") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkfall_i_renamed_840 "slot1/zspiclk/clkfall_i") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkrise_i_renamed_841 "slot1/zspiclk/clkrise_i") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_q_2 "slot1/zspiclk/prescale_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_q_1 "slot1/zspiclk/prescale_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_q_0 "slot1/zspiclk/prescale_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_empty_renamed_842 "uart_inst/fifo_instance/empty") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_0 "uart_inst/fifo_instance/rdaddr_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_1 "uart_inst/fifo_instance/rdaddr_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_2 "uart_inst/fifo_instance/rdaddr_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_3 "uart_inst/fifo_instance/rdaddr_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_4 "uart_inst/fifo_instance/rdaddr_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_5 "uart_inst/fifo_instance/rdaddr_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_6 "uart_inst/fifo_instance/rdaddr_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_7 "uart_inst/fifo_instance/rdaddr_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_8 "uart_inst/fifo_instance/rdaddr_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_9 "uart_inst/fifo_instance/rdaddr_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_10 "uart_inst/fifo_instance/rdaddr_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_0 "uart_inst/fifo_instance/wraddr_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_1 "uart_inst/fifo_instance/wraddr_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_2 "uart_inst/fifo_instance/wraddr_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_3 "uart_inst/fifo_instance/wraddr_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_4 "uart_inst/fifo_instance/wraddr_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_5 "uart_inst/fifo_instance/wraddr_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_6 "uart_inst/fifo_instance/wraddr_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_7 "uart_inst/fifo_instance/wraddr_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_8 "uart_inst/fifo_instance/wraddr_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_9 "uart_inst/fifo_instance/wraddr_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_wraddr_10 "uart_inst/fifo_instance/wraddr_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_0__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_1__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_2__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_3__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_4__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_5__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_6__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_7__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_8__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_9__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_10__ "uart_inst/fifo_instance/Msub_full_v_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_0__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_0__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_1__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_1__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_2__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_2__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_3__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_3__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_4__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_4__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_5__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_5__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_6__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_6__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_7__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_7__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_8__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_8__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_9__ "uart_inst/fifo_instance/Mcount_rdaddr_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_9__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_10__ "uart_inst/fifo_instance/Mcount_rdaddr_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_0__ "uart_inst/fifo_instance/Mcount_wraddr_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_0__ "uart_inst/fifo_instance/Mcount_wraddr_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_1__ "uart_inst/fifo_instance/Mcount_wraddr_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_1__ "uart_inst/fifo_instance/Mcount_wraddr_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_2__ "uart_inst/fifo_instance/Mcount_wraddr_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_2__ "uart_inst/fifo_instance/Mcount_wraddr_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_3__ "uart_inst/fifo_instance/Mcount_wraddr_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_3__ "uart_inst/fifo_instance/Mcount_wraddr_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_4__ "uart_inst/fifo_instance/Mcount_wraddr_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_4__ "uart_inst/fifo_instance/Mcount_wraddr_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_5__ "uart_inst/fifo_instance/Mcount_wraddr_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_5__ "uart_inst/fifo_instance/Mcount_wraddr_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_6__ "uart_inst/fifo_instance/Mcount_wraddr_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_6__ "uart_inst/fifo_instance/Mcount_wraddr_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_7__ "uart_inst/fifo_instance/Mcount_wraddr_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_7__ "uart_inst/fifo_instance/Mcount_wraddr_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_8__ "uart_inst/fifo_instance/Mcount_wraddr_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_8__ "uart_inst/fifo_instance/Mcount_wraddr_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_9__ "uart_inst/fifo_instance/Mcount_wraddr_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_9__ "uart_inst/fifo_instance/Mcount_wraddr_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_10__ "uart_inst/fifo_instance/Mcount_wraddr_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_clkout_renamed_843 "uart_inst/rx_timer/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_0 "uart_inst/rx_timer/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_1 "uart_inst/rx_timer/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_2 "uart_inst/rx_timer/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_3 "uart_inst/rx_timer/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_4 "uart_inst/rx_timer/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_5 "uart_inst/rx_timer/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_6 "uart_inst/rx_timer/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_7 "uart_inst/rx_timer/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_8 "uart_inst/rx_timer/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_9 "uart_inst/rx_timer/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_10 "uart_inst/rx_timer/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_11 "uart_inst/rx_timer/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_12 "uart_inst/rx_timer/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_13 "uart_inst/rx_timer/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_14 "uart_inst/rx_timer/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_15 "uart_inst/rx_timer/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_0__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_1__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_2__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_3__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_4__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_5__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_6__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_7__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_8__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_9__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_10__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_11__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_12__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_13__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_14__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_xor_15__ "uart_inst/rx_timer/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_clkout_renamed_844 "uart_inst/tx_timer/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_0 "uart_inst/tx_timer/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_1 "uart_inst/tx_timer/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_2 "uart_inst/tx_timer/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_3 "uart_inst/tx_timer/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_4 "uart_inst/tx_timer/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_5 "uart_inst/tx_timer/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_6 "uart_inst/tx_timer/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_7 "uart_inst/tx_timer/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_8 "uart_inst/tx_timer/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_9 "uart_inst/tx_timer/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_10 "uart_inst/tx_timer/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_11 "uart_inst/tx_timer/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_12 "uart_inst/tx_timer/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_13 "uart_inst/tx_timer/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_14 "uart_inst/tx_timer/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_15 "uart_inst/tx_timer/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_0__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_1__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_2__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_3__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_4__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_5__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_6__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_7__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_8__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_9__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_10__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_11__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_12__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_13__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_14__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_xor_15__ "uart_inst/tx_timer/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_clkout_renamed_845 "uart_inst/rx_inst/baudgen/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_0 "uart_inst/rx_inst/baudgen/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_1 "uart_inst/rx_inst/baudgen/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_2 "uart_inst/rx_inst/baudgen/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_3 "uart_inst/rx_inst/baudgen/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_4 "uart_inst/rx_inst/baudgen/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_5 "uart_inst/rx_inst/baudgen/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_6 "uart_inst/rx_inst/baudgen/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_7 "uart_inst/rx_inst/baudgen/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_8 "uart_inst/rx_inst/baudgen/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_9 "uart_inst/rx_inst/baudgen/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_10 "uart_inst/rx_inst/baudgen/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_11 "uart_inst/rx_inst/baudgen/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_12 "uart_inst/rx_inst/baudgen/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_13 "uart_inst/rx_inst/baudgen/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_14 "uart_inst/rx_inst/baudgen/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_15 "uart_inst/rx_inst/baudgen/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_renamed_846 "uart_inst/rx_inst/state_FSM_FFd2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd1_renamed_847 "uart_inst/rx_inst/state_FSM_FFd1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_3 "uart_inst/rx_inst/rxmvfilter/count_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_2 "uart_inst/rx_inst/rxmvfilter/count_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_1 "uart_inst/rx_inst/rxmvfilter/count_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_0 "uart_inst/rx_inst/rxmvfilter/count_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_0 "uart_inst/rx_inst/datacount_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_1 "uart_inst/rx_inst/datacount_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_2 "uart_inst/rx_inst/datacount_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudreset_renamed_848 "uart_inst/rx_inst/baudreset") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_dataready_renamed_849 "uart_inst/rx_inst/dataready") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_7 "uart_inst/rx_inst/rxd_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_6 "uart_inst/rx_inst/rxd_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_5 "uart_inst/rx_inst/rxd_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_4 "uart_inst/rx_inst/rxd_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_3 "uart_inst/rx_inst/rxd_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_2 "uart_inst/rx_inst/rxd_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_1 "uart_inst/rx_inst/rxd_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_0 "uart_inst/rx_inst/rxd_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_0 "uart_inst/rx_inst/datao_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_1 "uart_inst/rx_inst/datao_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_2 "uart_inst/rx_inst/datao_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_3 "uart_inst/rx_inst/datao_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_4 "uart_inst/rx_inst/datao_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_5 "uart_inst/rx_inst/datao_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_6 "uart_inst/rx_inst/datao_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_7 "uart_inst/rx_inst/datao_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_sout_renamed_850 "uart_inst/rx_inst/rxmvfilter/sout") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_0 "uart_inst/tx_core/tbuff_r_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_1 "uart_inst/tx_core/tbuff_r_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_2 "uart_inst/tx_core/tbuff_r_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_3 "uart_inst/tx_core/tbuff_r_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_4 "uart_inst/tx_core/tbuff_r_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_5 "uart_inst/tx_core/tbuff_r_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_6 "uart_inst/tx_core/tbuff_r_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_7 "uart_inst/tx_core/tbuff_r_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_idle_renamed_851 "uart_inst/tx_core/idle") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_renamed_852 "uart_inst/tx_core/txd_r") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_renamed_853 "uart_inst/tx_core/loaded_r") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_0 "uart_inst/tx_core/bitpos_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_1 "uart_inst/tx_core/bitpos_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_2 "uart_inst/tx_core/bitpos_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_3 "uart_inst/tx_core/bitpos_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_intx_o_renamed_854 "uart_inst/tx_core/intx_o") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_0 "uart_inst/tx_core/t_r_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_1 "uart_inst/tx_core/t_r_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_2 "uart_inst/tx_core/t_r_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_3 "uart_inst/tx_core/t_r_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_4 "uart_inst/tx_core/t_r_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_5 "uart_inst/tx_core/t_r_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_6 "uart_inst/tx_core/t_r_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_7 "uart_inst/tx_core/t_r_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_data_ready_dly_q_renamed_855 "uart_inst/data_ready_dly_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_15 "uart_inst/divider_rx_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_14 "uart_inst/divider_rx_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_13 "uart_inst/divider_rx_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_12 "uart_inst/divider_rx_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_11 "uart_inst/divider_rx_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_10 "uart_inst/divider_rx_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_9 "uart_inst/divider_rx_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_8 "uart_inst/divider_rx_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_7 "uart_inst/divider_rx_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_6 "uart_inst/divider_rx_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_5 "uart_inst/divider_rx_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_4 "uart_inst/divider_rx_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_3 "uart_inst/divider_rx_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_2 "uart_inst/divider_rx_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_1 "uart_inst/divider_rx_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_0 "uart_inst/divider_rx_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_dready_q_renamed_856 "uart_inst/dready_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_ien_renamed_857 "timers_inst/timer0_inst/tmrr.ien") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_renamed_858 "timers_inst/timer0_inst/tmrr.intr") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_ccm_renamed_859 "timers_inst/timer0_inst/tmrr.ccm") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_renamed_860 "timers_inst/timer0_inst/tmrr.pwmr<0>.en") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_en_renamed_861 "timers_inst/timer0_inst/tmrr.en") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_presrst_renamed_878 "timers_inst/timer0_inst/tmrr.presrst") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pwm_out_0 "timers_inst/timer0_inst/pwm_out_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0_renamed_879 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_0") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1_renamed_880 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_1") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2_renamed_881 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3_renamed_882 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_3") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4_renamed_883 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_4") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5_renamed_884 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_5") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6_renamed_885 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_6") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7_renamed_886 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_7") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8_renamed_887 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_8") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9_renamed_888 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_9") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10_renamed_889 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_10") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11_renamed_890 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_11") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12_renamed_891 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_12") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13_renamed_892 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_13") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14_renamed_893 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_14") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15_renamed_894 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_15") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_0_renamed_895 "timers_inst/timer0_inst/tmrr.cmp_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_1_renamed_896 "timers_inst/timer0_inst/tmrr.cmp_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_2_renamed_897 "timers_inst/timer0_inst/tmrr.cmp_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_3_renamed_898 "timers_inst/timer0_inst/tmrr.cmp_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_4_renamed_899 "timers_inst/timer0_inst/tmrr.cmp_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_5_renamed_900 "timers_inst/timer0_inst/tmrr.cmp_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_6_renamed_901 "timers_inst/timer0_inst/tmrr.cmp_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_7_renamed_902 "timers_inst/timer0_inst/tmrr.cmp_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_8_renamed_903 "timers_inst/timer0_inst/tmrr.cmp_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_9_renamed_904 "timers_inst/timer0_inst/tmrr.cmp_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_10_renamed_905 "timers_inst/timer0_inst/tmrr.cmp_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_11_renamed_906 "timers_inst/timer0_inst/tmrr.cmp_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_12_renamed_907 "timers_inst/timer0_inst/tmrr.cmp_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_13_renamed_908 "timers_inst/timer0_inst/tmrr.cmp_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_14_renamed_909 "timers_inst/timer0_inst/tmrr.cmp_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_15_renamed_910 "timers_inst/timer0_inst/tmrr.cmp_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_dir_renamed_911 "timers_inst/timer0_inst/tmrr.dir") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_updp_0_renamed_912 "timers_inst/timer0_inst/tmrr.updp_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_updp_1_renamed_913 "timers_inst/timer0_inst/tmrr.updp_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pres_0_renamed_914 "timers_inst/timer0_inst/tmrr.pres_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pres_1_renamed_915 "timers_inst/timer0_inst/tmrr.pres_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pres_2_renamed_916 "timers_inst/timer0_inst/tmrr.pres_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0_renamed_933 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_0") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1_renamed_934 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_1") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2_renamed_935 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3_renamed_936 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_3") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4_renamed_937 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_4") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5_renamed_938 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_5") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6_renamed_939 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_6") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7_renamed_940 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_7") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8_renamed_941 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_8") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9_renamed_942 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_9") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10_renamed_943 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_10") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11_renamed_944 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_11") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12_renamed_945 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_12") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13_renamed_946 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_13") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14_renamed_947 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_14") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15_renamed_948 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_15") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949 "timers_inst/timer0_inst/tmrr.pwmrb<0>.en") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_0_renamed_950 "timers_inst/timer0_inst/tmrr.cnt_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_1_renamed_951 "timers_inst/timer0_inst/tmrr.cnt_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_2_renamed_952 "timers_inst/timer0_inst/tmrr.cnt_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_3_renamed_953 "timers_inst/timer0_inst/tmrr.cnt_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_4_renamed_954 "timers_inst/timer0_inst/tmrr.cnt_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_5_renamed_955 "timers_inst/timer0_inst/tmrr.cnt_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_6_renamed_956 "timers_inst/timer0_inst/tmrr.cnt_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_7_renamed_957 "timers_inst/timer0_inst/tmrr.cnt_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_8_renamed_958 "timers_inst/timer0_inst/tmrr.cnt_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_9_renamed_959 "timers_inst/timer0_inst/tmrr.cnt_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_10_renamed_960 "timers_inst/timer0_inst/tmrr.cnt_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_11_renamed_961 "timers_inst/timer0_inst/tmrr.cnt_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_12_renamed_962 "timers_inst/timer0_inst/tmrr.cnt_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_13_renamed_963 "timers_inst/timer0_inst/tmrr.cnt_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_14_renamed_964 "timers_inst/timer0_inst/tmrr.cnt_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_15_renamed_965 "timers_inst/timer0_inst/tmrr.cnt_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_0 "timers_inst/timer0_inst/TSC_q_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_1 "timers_inst/timer0_inst/TSC_q_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_2 "timers_inst/timer0_inst/TSC_q_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_3 "timers_inst/timer0_inst/TSC_q_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_4 "timers_inst/timer0_inst/TSC_q_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_5 "timers_inst/timer0_inst/TSC_q_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_6 "timers_inst/timer0_inst/TSC_q_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_7 "timers_inst/timer0_inst/TSC_q_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_8 "timers_inst/timer0_inst/TSC_q_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_9 "timers_inst/timer0_inst/TSC_q_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_10 "timers_inst/timer0_inst/TSC_q_10") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_11 "timers_inst/timer0_inst/TSC_q_11") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_12 "timers_inst/timer0_inst/TSC_q_12") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_13 "timers_inst/timer0_inst/TSC_q_13") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_14 "timers_inst/timer0_inst/TSC_q_14") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_15 "timers_inst/timer0_inst/TSC_q_15") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_16 "timers_inst/timer0_inst/TSC_q_16") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_17 "timers_inst/timer0_inst/TSC_q_17") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_18 "timers_inst/timer0_inst/TSC_q_18") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_19 "timers_inst/timer0_inst/TSC_q_19") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_20 "timers_inst/timer0_inst/TSC_q_20") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_21 "timers_inst/timer0_inst/TSC_q_21") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_22 "timers_inst/timer0_inst/TSC_q_22") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_23 "timers_inst/timer0_inst/TSC_q_23") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_24 "timers_inst/timer0_inst/TSC_q_24") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_25 "timers_inst/timer0_inst/TSC_q_25") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_26 "timers_inst/timer0_inst/TSC_q_26") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_27 "timers_inst/timer0_inst/TSC_q_27") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_28 "timers_inst/timer0_inst/TSC_q_28") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_29 "timers_inst/timer0_inst/TSC_q_29") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_30 "timers_inst/timer0_inst/TSC_q_30") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_TSC_q_31 "timers_inst/timer0_inst/TSC_q_31") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_2_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_4") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_3") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_0__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_1__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_2__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_3__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_4__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_5__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_6__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_7__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_8__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_9__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_10__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_11__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_12__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_13__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_14__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_15__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_0__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_0__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_1__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_1__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_2__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_2__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_3__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_3__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_4__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_4__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_5__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_5__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_6__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_6__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_7__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_7__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_8__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_8__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_9__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_9__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_10__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_10__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_11__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_11__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_12__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_12__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_13__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_13__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_14__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_14__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_15__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_15__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_16__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_16__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_17__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_17__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_18__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_18__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_19__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_19__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_20__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_20__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_21__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_21__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_22__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_22__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_23__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_23__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_24__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<24>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_24__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<24>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_25__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<25>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_25__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<25>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_26__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<26>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_26__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<26>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_27__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<27>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_27__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<27>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_28__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<28>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_28__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<28>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_29__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<29>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_29__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<29>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_30__ "timers_inst/timer0_inst/Mcount_TSC_q_cy<30>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_30__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<30>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_31__ "timers_inst/timer0_inst/Mcount_TSC_q_xor<31>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_8__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_7__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_6__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_5__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_4__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_3__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_2__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_1__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_0__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_3_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_2_f6") - (viewRef view_1 (cellRef MUXF6 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_9") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_8") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_7") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_6") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_5") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_4") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_3") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0 "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck256_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck4_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck2_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck16_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck8_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck1024_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994 "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck64_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_ccm_renamed_995 "timers_inst/timer1_inst/tmrr.ccm") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_ien_renamed_996 "timers_inst/timer1_inst/tmrr.ien") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_renamed_997 "timers_inst/timer1_inst/tmrr.intr") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_pwm_out_0 "timers_inst/timer1_inst/pwm_out_0") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_en_renamed_998 "timers_inst/timer1_inst/tmrr.en") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999 "timers_inst/timer1_inst/tmrr.pwmr<0>.en") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_dir_renamed_1000 "timers_inst/timer1_inst/tmrr.dir") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025 "timers_inst/timer1_inst/tmrr.cmp_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026 "timers_inst/timer1_inst/tmrr.cmp_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027 "timers_inst/timer1_inst/tmrr.cmp_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028 "timers_inst/timer1_inst/tmrr.cmp_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029 "timers_inst/timer1_inst/tmrr.cmp_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030 "timers_inst/timer1_inst/tmrr.cmp_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031 "timers_inst/timer1_inst/tmrr.cmp_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032 "timers_inst/timer1_inst/tmrr.cmp_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033 "timers_inst/timer1_inst/tmrr.cmp_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034 "timers_inst/timer1_inst/tmrr.cmp_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035 "timers_inst/timer1_inst/tmrr.cmp_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036 "timers_inst/timer1_inst/tmrr.cmp_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037 "timers_inst/timer1_inst/tmrr.cmp_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038 "timers_inst/timer1_inst/tmrr.cmp_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039 "timers_inst/timer1_inst/tmrr.cmp_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040 "timers_inst/timer1_inst/tmrr.cmp_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041 "timers_inst/timer1_inst/tmrr.cmp_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042 "timers_inst/timer1_inst/tmrr.cmp_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043 "timers_inst/timer1_inst/tmrr.cmp_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044 "timers_inst/timer1_inst/tmrr.cmp_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045 "timers_inst/timer1_inst/tmrr.cmp_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046 "timers_inst/timer1_inst/tmrr.cmp_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047 "timers_inst/timer1_inst/tmrr.cmp_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048 "timers_inst/timer1_inst/tmrr.cmp_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pres_0_renamed_1049 "timers_inst/timer1_inst/tmrr.pres_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pres_1_renamed_1050 "timers_inst/timer1_inst/tmrr.pres_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pres_2_renamed_1051 "timers_inst/timer1_inst/tmrr.pres_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_updp_0_renamed_1052 "timers_inst/timer1_inst/tmrr.updp_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_updp_1_renamed_1053 "timers_inst/timer1_inst/tmrr.updp_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078 "timers_inst/timer1_inst/tmrr.cnt_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079 "timers_inst/timer1_inst/tmrr.cnt_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080 "timers_inst/timer1_inst/tmrr.cnt_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081 "timers_inst/timer1_inst/tmrr.cnt_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082 "timers_inst/timer1_inst/tmrr.cnt_4") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083 "timers_inst/timer1_inst/tmrr.cnt_5") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084 "timers_inst/timer1_inst/tmrr.cnt_6") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085 "timers_inst/timer1_inst/tmrr.cnt_7") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086 "timers_inst/timer1_inst/tmrr.cnt_8") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087 "timers_inst/timer1_inst/tmrr.cnt_9") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088 "timers_inst/timer1_inst/tmrr.cnt_10") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089 "timers_inst/timer1_inst/tmrr.cnt_11") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090 "timers_inst/timer1_inst/tmrr.cnt_12") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091 "timers_inst/timer1_inst/tmrr.cnt_13") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092 "timers_inst/timer1_inst/tmrr.cnt_14") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093 "timers_inst/timer1_inst/tmrr.cnt_15") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094 "timers_inst/timer1_inst/tmrr.cnt_16") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095 "timers_inst/timer1_inst/tmrr.cnt_17") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096 "timers_inst/timer1_inst/tmrr.cnt_18") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097 "timers_inst/timer1_inst/tmrr.cnt_19") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098 "timers_inst/timer1_inst/tmrr.cnt_20") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099 "timers_inst/timer1_inst/tmrr.cnt_21") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100 "timers_inst/timer1_inst/tmrr.cnt_22") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101 "timers_inst/timer1_inst/tmrr.cnt_23") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<23>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_0__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_1__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_2__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_3__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_4__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_5__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_6__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_7__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_8__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_9__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_10__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_11__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_12__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_13__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_14__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<15>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_15__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<16>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_16__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<16>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<17>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_17__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<17>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<18>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_18__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<18>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<19>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_19__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<19>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<20>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_20__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<20>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<21>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_21__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<21>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<22>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_22__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<22>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_23__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_xor<23>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_empty_renamed_1102 "slot11/fifo_instance/empty") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_0 "slot11/fifo_instance/read_0") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_1 "slot11/fifo_instance/read_1") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_2 "slot11/fifo_instance/read_2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_3 "slot11/fifo_instance/read_3") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_4 "slot11/fifo_instance/read_4") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_5 "slot11/fifo_instance/read_5") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_6 "slot11/fifo_instance/read_6") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_read_7 "slot11/fifo_instance/read_7") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory1 "slot11/fifo_instance/Mram_memory1") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory2 "slot11/fifo_instance/Mram_memory2") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory3 "slot11/fifo_instance/Mram_memory3") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory4 "slot11/fifo_instance/Mram_memory4") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory5 "slot11/fifo_instance/Mram_memory5") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory8 "slot11/fifo_instance/Mram_memory8") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory6 "slot11/fifo_instance/Mram_memory6") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mram_memory7 "slot11/fifo_instance/Mram_memory7") - (viewRef view_1 (cellRef RAM16X1D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_wraddr_0 "slot11/fifo_instance/wraddr_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_wraddr_1 "slot11/fifo_instance/wraddr_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_wraddr_2 "slot11/fifo_instance/wraddr_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_wraddr_3 "slot11/fifo_instance/wraddr_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_0 "slot11/fifo_instance/rdaddr_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_1 "slot11/fifo_instance/rdaddr_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_2 "slot11/fifo_instance/rdaddr_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_3 "slot11/fifo_instance/rdaddr_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_clkout_renamed_1103 "slot11/rx_timer/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_0 "slot11/rx_timer/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_1 "slot11/rx_timer/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_2 "slot11/rx_timer/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_3 "slot11/rx_timer/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_4 "slot11/rx_timer/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_5 "slot11/rx_timer/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_6 "slot11/rx_timer/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_7 "slot11/rx_timer/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_8 "slot11/rx_timer/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_9 "slot11/rx_timer/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_10 "slot11/rx_timer/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_11 "slot11/rx_timer/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_12 "slot11/rx_timer/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_13 "slot11/rx_timer/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_14 "slot11/rx_timer/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_15 "slot11/rx_timer/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_0__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_0__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_1__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_1__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_2__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_2__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_3__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_3__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_4__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_4__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_5__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_5__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_6__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_6__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_7__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_7__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_8__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_8__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_9__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_9__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_10__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_10__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_11__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_11__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_12__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_12__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_13__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_13__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_14__ "slot11/rx_timer/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_14__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_xor_15__ "slot11/rx_timer/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_clkout_renamed_1104 "slot11/tx_timer/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_0 "slot11/tx_timer/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_1 "slot11/tx_timer/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_2 "slot11/tx_timer/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_3 "slot11/tx_timer/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_4 "slot11/tx_timer/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_5 "slot11/tx_timer/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_6 "slot11/tx_timer/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_7 "slot11/tx_timer/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_8 "slot11/tx_timer/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_9 "slot11/tx_timer/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_10 "slot11/tx_timer/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_11 "slot11/tx_timer/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_12 "slot11/tx_timer/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_13 "slot11/tx_timer/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_14 "slot11/tx_timer/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_15 "slot11/tx_timer/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_0__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_0__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_1__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_1__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_2__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_2__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_3__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_3__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_4__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_4__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_5__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_5__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_6__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_6__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_7__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_7__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_8__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_8__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_9__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_9__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_10__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_10__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_11__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_11__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_12__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_12__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_13__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_13__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_14__ "slot11/tx_timer/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_14__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_xor_15__ "slot11/tx_timer/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_clkout_renamed_1105 "slot11/rx_inst/baudgen/clkout") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_0 "slot11/rx_inst/baudgen/cnt_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_1 "slot11/rx_inst/baudgen/cnt_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_2 "slot11/rx_inst/baudgen/cnt_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_3 "slot11/rx_inst/baudgen/cnt_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_4 "slot11/rx_inst/baudgen/cnt_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_5 "slot11/rx_inst/baudgen/cnt_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_6 "slot11/rx_inst/baudgen/cnt_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_7 "slot11/rx_inst/baudgen/cnt_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_8 "slot11/rx_inst/baudgen/cnt_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_9 "slot11/rx_inst/baudgen/cnt_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_10 "slot11/rx_inst/baudgen/cnt_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_11 "slot11/rx_inst/baudgen/cnt_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_12 "slot11/rx_inst/baudgen/cnt_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_13 "slot11/rx_inst/baudgen/cnt_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_14 "slot11/rx_inst/baudgen/cnt_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_15 "slot11/rx_inst/baudgen/cnt_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<0>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<1>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<2>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<3>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<4>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<5>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<6>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<7>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<8>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<8>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<9>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<9>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<10>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<10>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<11>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<11>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<12>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<12>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<13>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<13>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<14>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<14>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_xor<15>") - (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_renamed_1106 "slot11/rx_inst/state_FSM_FFd2") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd1_renamed_1107 "slot11/rx_inst/state_FSM_FFd1") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_3 "slot11/rx_inst/rxmvfilter/count_q_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_2 "slot11/rx_inst/rxmvfilter/count_q_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_1 "slot11/rx_inst/rxmvfilter/count_q_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_0 "slot11/rx_inst/rxmvfilter/count_q_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_0 "slot11/rx_inst/datacount_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_1 "slot11/rx_inst/datacount_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_2 "slot11/rx_inst/datacount_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudreset_renamed_1108 "slot11/rx_inst/baudreset") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_dataready_renamed_1109 "slot11/rx_inst/dataready") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_7 "slot11/rx_inst/rxd_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_6 "slot11/rx_inst/rxd_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_5 "slot11/rx_inst/rxd_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_4 "slot11/rx_inst/rxd_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_3 "slot11/rx_inst/rxd_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_2 "slot11/rx_inst/rxd_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_1 "slot11/rx_inst/rxd_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_0 "slot11/rx_inst/rxd_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_0 "slot11/rx_inst/datao_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_1 "slot11/rx_inst/datao_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_2 "slot11/rx_inst/datao_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_3 "slot11/rx_inst/datao_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_4 "slot11/rx_inst/datao_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_5 "slot11/rx_inst/datao_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_6 "slot11/rx_inst/datao_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_7 "slot11/rx_inst/datao_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_sout_renamed_1110 "slot11/rx_inst/rxmvfilter/sout") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_0 "slot11/tx_core/tbuff_r_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_1 "slot11/tx_core/tbuff_r_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_2 "slot11/tx_core/tbuff_r_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_3 "slot11/tx_core/tbuff_r_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_4 "slot11/tx_core/tbuff_r_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_5 "slot11/tx_core/tbuff_r_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_6 "slot11/tx_core/tbuff_r_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_7 "slot11/tx_core/tbuff_r_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_idle_renamed_1111 "slot11/tx_core/idle") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_renamed_1112 "slot11/tx_core/txd_r") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_renamed_1113 "slot11/tx_core/loaded_r") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_0 "slot11/tx_core/bitpos_0") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_1 "slot11/tx_core/bitpos_1") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_2 "slot11/tx_core/bitpos_2") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_3 "slot11/tx_core/bitpos_3") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_intx_o_renamed_1114 "slot11/tx_core/intx_o") - (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_0 "slot11/tx_core/t_r_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_1 "slot11/tx_core/t_r_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_2 "slot11/tx_core/t_r_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_3 "slot11/tx_core/t_r_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_4 "slot11/tx_core/t_r_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_5 "slot11/tx_core/t_r_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_6 "slot11/tx_core/t_r_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_7 "slot11/tx_core/t_r_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_data_ready_dly_q_renamed_1115 "slot11/data_ready_dly_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_15 "slot11/divider_rx_q_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_14 "slot11/divider_rx_q_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_13 "slot11/divider_rx_q_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_12 "slot11/divider_rx_q_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_11 "slot11/divider_rx_q_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_10 "slot11/divider_rx_q_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_9 "slot11/divider_rx_q_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_8 "slot11/divider_rx_q_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_7 "slot11/divider_rx_q_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_6 "slot11/divider_rx_q_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_5 "slot11/divider_rx_q_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_4 "slot11/divider_rx_q_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_3 "slot11/divider_rx_q_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_2 "slot11/divider_rx_q_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_1 "slot11/divider_rx_q_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_0 "slot11/divider_rx_q_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_dready_q_renamed_1116 "slot11/dready_q") - (viewRef view_1 (cellRef FDR (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_0__ "zpuino/core/jump_address_0_and0000_wg_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_0__ "zpuino/core/jump_address_0_and0000_wg_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_1__ "zpuino/core/jump_address_0_and0000_wg_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_1__ "zpuino/core/jump_address_0_and0000_wg_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_2__ "zpuino/core/jump_address_0_and0000_wg_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_2__ "zpuino/core/jump_address_0_and0000_wg_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_3__ "zpuino/core/jump_address_0_and0000_wg_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_3__ "zpuino/core/jump_address_0_and0000_wg_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_4__ "zpuino/core/jump_address_0_and0000_wg_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_4__ "zpuino/core/jump_address_0_and0000_wg_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_5__ "zpuino/core/jump_address_0_and0000_wg_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_5__ "zpuino/core/jump_address_0_and0000_wg_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_6__ "zpuino/core/jump_address_0_and0000_wg_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_6__ "zpuino/core/jump_address_0_and0000_wg_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_lut_7__ "zpuino/core/jump_address_0_and0000_wg_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_jump_address_0_and0000_wg_cy_7__ "zpuino/core/jump_address_0_and0000_wg_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_0__ "rstgen/rstcount_zero_q_and0000_wg_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_0__ "rstgen/rstcount_zero_q_and0000_wg_cy<0>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_1__ "rstgen/rstcount_zero_q_and0000_wg_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_1__ "rstgen/rstcount_zero_q_and0000_wg_cy<1>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_2__ "rstgen/rstcount_zero_q_and0000_wg_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_2__ "rstgen/rstcount_zero_q_and0000_wg_cy<2>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_3__ "rstgen/rstcount_zero_q_and0000_wg_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_3__ "rstgen/rstcount_zero_q_and0000_wg_cy<3>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_4__ "rstgen/rstcount_zero_q_and0000_wg_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_4__ "rstgen/rstcount_zero_q_and0000_wg_cy<4>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_5__ "rstgen/rstcount_zero_q_and0000_wg_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_5__ "rstgen/rstcount_zero_q_and0000_wg_cy<5>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_6__ "rstgen/rstcount_zero_q_and0000_wg_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_6__ "rstgen/rstcount_zero_q_and0000_wg_cy<6>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_lut_7__ "rstgen/rstcount_zero_q_and0000_wg_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_zero_q_and0000_wg_cy_7__ "rstgen/rstcount_zero_q_and0000_wg_cy<7>") - (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_voff_xor_1_11 "slot9/Mcount_voff_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hoff_xor_1_11 "slot9/Mcount_hoff_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_voff_xor_2_11 "slot9/Mcount_voff_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hoff_xor_2_11 "slot9/Mcount_hoff_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_not00011 "slot9/vga_v_offset_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_not00011 "slot9/hdisp_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_0_11 "slot1/zspi/Mcount_count_xor<0>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_0_11 "slot0/zspi/Mcount_count_xor<0>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_7_1 "crc16_inst/data_q_mux0000<7>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_mux0001_2_1 "crc16_inst/count_q_mux0001<2>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11 "uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11 "slot11/rx_inst/rxmvfilter/Mcount_count_q_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_wraddr_xor_1_11 "slot11/fifo_instance/Mcount_wraddr_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_rdaddr_xor_1_11 "slot11/fifo_instance/Mcount_rdaddr_xor<1>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ignore_sample_q_mux00001 "slot1/zspi/ignore_sample_q_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot1_spi_en_mux00071 "slot1/spi_en_mux00071") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ignore_sample_q_mux00001 "slot0/zspi/ignore_sample_q_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot0_spi_en_mux00071 "slot0/spi_en_mux00071") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_9_mux00001 "sigmadelta_inst/dat_q2_9_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_8_mux00001 "sigmadelta_inst/dat_q2_8_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_7_mux00001 "sigmadelta_inst/dat_q2_7_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_6_mux00001 "sigmadelta_inst/dat_q2_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_5_mux00001 "sigmadelta_inst/dat_q2_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_4_mux00001 "sigmadelta_inst/dat_q2_4_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_3_mux00001 "sigmadelta_inst/dat_q2_3_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_2_mux00001 "sigmadelta_inst/dat_q2_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_1_mux00001 "sigmadelta_inst/dat_q2_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_15_mux00001 "sigmadelta_inst/dat_q2_15_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_14_mux00001 "sigmadelta_inst/dat_q2_14_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_13_mux00001 "sigmadelta_inst/dat_q2_13_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_12_mux00001 "sigmadelta_inst/dat_q2_12_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_11_mux00001 "sigmadelta_inst/dat_q2_11_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_10_mux00001 "sigmadelta_inst/dat_q2_10_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_0_mux00001 "sigmadelta_inst/dat_q2_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_9_mux00001 "sigmadelta_inst/dat_q1_9_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_8_mux00001 "sigmadelta_inst/dat_q1_8_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_7_mux00001 "sigmadelta_inst/dat_q1_7_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_6_mux00001 "sigmadelta_inst/dat_q1_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_5_mux00001 "sigmadelta_inst/dat_q1_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_4_mux00001 "sigmadelta_inst/dat_q1_4_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_3_mux00001 "sigmadelta_inst/dat_q1_3_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_2_mux00001 "sigmadelta_inst/dat_q1_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_1_mux00001 "sigmadelta_inst/dat_q1_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_15_mux00001 "sigmadelta_inst/dat_q1_15_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_14_mux00001 "sigmadelta_inst/dat_q1_14_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_13_mux00001 "sigmadelta_inst/dat_q1_13_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_12_mux00001 "sigmadelta_inst/dat_q1_12_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_11_mux00001 "sigmadelta_inst/dat_q1_11_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_10_mux00001 "sigmadelta_inst/dat_q1_10_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q1_0_mux00001 "sigmadelta_inst/dat_q1_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_6_1 "crc16_inst/data_q_mux0000<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_5_1 "crc16_inst/data_q_mux0000<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_4_1 "crc16_inst/data_q_mux0000<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_3_1 "crc16_inst/data_q_mux0000<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_2_1 "crc16_inst/data_q_mux0000<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_1_1 "crc16_inst/data_q_mux0000<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_mux0000_0_1 "crc16_inst/data_q_mux0000<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_1_11 "slot1/zspi/Mcount_count_xor<1>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "41") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_1_11 "slot0/zspi/Mcount_count_xor<1>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "41") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_mux0001_1_1 "crc16_inst/count_q_mux0001<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "14") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_mux0002_1_1 "uart_inst/tx_core/bitpos_mux0002<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11 "uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_mux0002_1_1 "slot11/tx_core/bitpos_mux0002<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11 "slot11/rx_inst/rxmvfilter/Mcount_count_q_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_wraddr_xor_2_11 "slot11/fifo_instance/Mcount_wraddr_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_rdaddr_xor_2_11 "slot11/fifo_instance/Mcount_rdaddr_xor<2>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_mux0002_2_1 "uart_inst/tx_core/bitpos_mux0002<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6466") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_mux0002_2_1 "slot11/tx_core/bitpos_mux0002<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6466") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd1_In1 "uart_inst/rx_inst/state_FSM_FFd1-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AB20") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd1_In1 "slot11/rx_inst/state_FSM_FFd1-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AB20") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_2_11 "slot1/zspi/Mcount_count_xor<2>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "4441") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_2_11 "slot0/zspi/Mcount_count_xor<2>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "4441") (owner "Xilinx")) - ) - (instance (rename crc16_inst_count_q_mux0001_0_1 "crc16_inst/count_q_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1444") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11 "uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11 "slot11/rx_inst/rxmvfilter/Mcount_count_q_xor<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_wraddr_xor_3_11 "slot11/fifo_instance/Mcount_wraddr_xor<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_rdaddr_xor_3_11 "slot11/fifo_instance/Mcount_rdaddr_xor<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_mux0002_0_1 "uart_inst/tx_core/bitpos_mux0002<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "68CC") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_mux0002_0_1 "slot11/tx_core/bitpos_mux0002<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "68CC") (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_mux0000_SW0 "slot9/vga_vsync_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE8A") (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_mux0000_renamed_1117 "slot9/vga_vsync_mux0000") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_and0000_SW0 "slot9/vga_vsync_and0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot9_vga_vsync_and0000_renamed_1118 "slot9/vga_vsync_and0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_mux0000_SW0 "slot9/vga_hsync_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_mux0000_renamed_1119 "slot9/vga_hsync_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF8A") (owner "Xilinx")) - ) - (instance (rename slot9_h_sync_tick_cmp_eq00001_SW0 "slot9/h_sync_tick_cmp_eq00001_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename slot9_h_sync_tick_cmp_eq00001 "slot9/h_sync_tick_cmp_eq00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_voff_or0000_SW0 "slot9/voff_or0000_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot9_voff_or0000_renamed_1120 "slot9/voff_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_not00011 "uart_inst/rx_inst/rxmvfilter/count_q_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_not00021 "uart_inst/rx_inst/baudgen/cnt_not00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_not00021 "slot11/rx_inst/baudgen/cnt_not00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_do_shift_and00001 "slot1/zspi/do_shift_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_do_shift_and00001 "slot0/zspi/do_shift_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001 "uart_inst/rx_inst/rxmvfilter/sout_cmp_ge00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_sout_cmp_ge00001 "slot11/rx_inst/rxmvfilter/sout_cmp_ge00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_7_1 "slot9/ram/v_data<7>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_6_1 "slot9/ram/v_data<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_5_1 "slot9/ram/v_data<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_4_1 "slot9/ram/v_data<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_3_1 "slot9/ram/v_data<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_2_1 "slot9/ram/v_data<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_1_1 "slot9/ram/v_data<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_data_0_1 "slot9/ram/v_data<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot9_vcount_q_and00001 "slot9/vcount_q_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_val1 "slot9/Mcount_vcount_q_val1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_cmp_eq000011 "slot9/hdisp_cmp_eq000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename slot9_hoff_and0000_SW0 "slot9/hoff_and0000_SW0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename slot9_hoff_and0000_renamed_1121 "slot9/hoff_and0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2223") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_cmp_eq000010_renamed_1122 "slot9/hdisp_cmp_eq000010") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_cmp_eq000029_renamed_1123 "slot9/hdisp_cmp_eq000029") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_cmp_eq000031 "slot9/hdisp_cmp_eq000031") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_cmp_eq000010_renamed_1124 "slot9/vga_v_offset_cmp_eq000010") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_cmp_eq000024_renamed_1125 "slot9/vga_v_offset_cmp_eq000024") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot9_v_display_not00018_renamed_1126 "slot9/v_display_not00018") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot9_v_display_not000115_renamed_1127 "slot9/v_display_not000115") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename slot9_v_display_not000127 "slot9/v_display_not000127") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_iomemmux_s0_wb_cyc_o1 "zpuino/iomemmux/s0_wb_cyc_o1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_trans_or00011 "slot1/trans_or00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot0_trans_or00011 "slot0/trans_or00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_0_1 "slot1/zspi/write_reg_q_mux0000<0>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_0_1 "slot0/zspi/write_reg_q_mux0000<0>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename sid_sd_Maccum_sigma_latch_lut_18_1 "sid_sd/Maccum_sigma_latch_lut<18>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_mux000011 "uart_inst/tx_core/loaded_r_mux000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_bitpos_mux0002_3_1 "uart_inst/tx_core/bitpos_mux0002<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "32") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_mux000011 "slot11/tx_core/loaded_r_mux000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_bitpos_mux0002_3_1 "slot11/tx_core/bitpos_mux0002<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "32") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_2_1 "zpuino/io/intr_inst/intr_line<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_inInterrupt_mux00011 "zpuino/core/exr_inInterrupt_mux00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd9_In1 "zpuino/core/exr.state_FSM_FFd9-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd3_In1 "zpuino/core/exr.state_FSM_FFd3-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd2_In1 "zpuino/core/exr.state_FSM_FFd2-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd12_In1 "zpuino/core/exr.state_FSM_FFd12-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd10_In1 "zpuino/core/exr.state_FSM_FFd10-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_mux00001 "uart_inst/tx_core/loaded_r_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_2_1 "uart_inst/rx_inst/datacount_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFD5") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0_21 "uart_inst/rx_inst/datacount_mux0000<0>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_mux00001 "slot11/tx_core/loaded_r_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_2_1 "slot11/rx_inst/datacount_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFD5") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0_21 "slot11/rx_inst/datacount_mux0000<0>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_9_1 "slot1/zspi/write_reg_q_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_8_1 "slot1/zspi/write_reg_q_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_7_1 "slot1/zspi/write_reg_q_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_6_1 "slot1/zspi/write_reg_q_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_5_1 "slot1/zspi/write_reg_q_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_4_1 "slot1/zspi/write_reg_q_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_3_1 "slot1/zspi/write_reg_q_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_31_1 "slot1/zspi/write_reg_q_mux0000<31>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_30_1 "slot1/zspi/write_reg_q_mux0000<30>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_2_1 "slot1/zspi/write_reg_q_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_29_1 "slot1/zspi/write_reg_q_mux0000<29>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_28_1 "slot1/zspi/write_reg_q_mux0000<28>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_27_1 "slot1/zspi/write_reg_q_mux0000<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_26_1 "slot1/zspi/write_reg_q_mux0000<26>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_25_1 "slot1/zspi/write_reg_q_mux0000<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_24_1 "slot1/zspi/write_reg_q_mux0000<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_23_1 "slot1/zspi/write_reg_q_mux0000<23>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_22_1 "slot1/zspi/write_reg_q_mux0000<22>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_21_1 "slot1/zspi/write_reg_q_mux0000<21>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_20_1 "slot1/zspi/write_reg_q_mux0000<20>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_1_1 "slot1/zspi/write_reg_q_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_19_1 "slot1/zspi/write_reg_q_mux0000<19>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_18_1 "slot1/zspi/write_reg_q_mux0000<18>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_17_1 "slot1/zspi/write_reg_q_mux0000<17>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_16_1 "slot1/zspi/write_reg_q_mux0000<16>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_15_1 "slot1/zspi/write_reg_q_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_14_1 "slot1/zspi/write_reg_q_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_13_1 "slot1/zspi/write_reg_q_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_12_1 "slot1/zspi/write_reg_q_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_11_1 "slot1/zspi/write_reg_q_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_10_2 "slot1/zspi/write_reg_q_mux0000<10>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_9_1 "slot0/zspi/write_reg_q_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_8_1 "slot0/zspi/write_reg_q_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_7_1 "slot0/zspi/write_reg_q_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_6_1 "slot0/zspi/write_reg_q_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_5_1 "slot0/zspi/write_reg_q_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_4_1 "slot0/zspi/write_reg_q_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_3_1 "slot0/zspi/write_reg_q_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_31_1 "slot0/zspi/write_reg_q_mux0000<31>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_30_1 "slot0/zspi/write_reg_q_mux0000<30>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_2_1 "slot0/zspi/write_reg_q_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_29_1 "slot0/zspi/write_reg_q_mux0000<29>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_28_1 "slot0/zspi/write_reg_q_mux0000<28>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_27_1 "slot0/zspi/write_reg_q_mux0000<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_26_1 "slot0/zspi/write_reg_q_mux0000<26>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_25_1 "slot0/zspi/write_reg_q_mux0000<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_24_1 "slot0/zspi/write_reg_q_mux0000<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_23_1 "slot0/zspi/write_reg_q_mux0000<23>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_22_1 "slot0/zspi/write_reg_q_mux0000<22>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_21_1 "slot0/zspi/write_reg_q_mux0000<21>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_20_1 "slot0/zspi/write_reg_q_mux0000<20>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_1_1 "slot0/zspi/write_reg_q_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_19_1 "slot0/zspi/write_reg_q_mux0000<19>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_18_1 "slot0/zspi/write_reg_q_mux0000<18>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_17_1 "slot0/zspi/write_reg_q_mux0000<17>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_16_1 "slot0/zspi/write_reg_q_mux0000<16>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_15_1 "slot0/zspi/write_reg_q_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_14_1 "slot0/zspi/write_reg_q_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_13_1 "slot0/zspi/write_reg_q_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_12_1 "slot0/zspi/write_reg_q_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_11_1 "slot0/zspi/write_reg_q_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_10_2 "slot0/zspi/write_reg_q_mux0000<10>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_write_reg_q_mux0000_10_11 "slot1/zspi/write_reg_q_mux0000<10>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF47") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_write_reg_q_mux0000_10_11 "slot0/zspi/write_reg_q_mux0000<10>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF47") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_memAWriteEnable1 "zpuino/memory/memAWriteEnable1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_not000111 "uart_inst/rx_inst/datao_not000111") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_not000111 "slot11/rx_inst/datao_not000111") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ignore_sample_q_not00011 "slot1/zspi/ignore_sample_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ignore_sample_q_not00011 "slot0/zspi/ignore_sample_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ignore_sample_q_not000121 "slot1/zspi/ignore_sample_q_not000121") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ignore_sample_q_not000121 "slot0/zspi/ignore_sample_q_not000121") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_1_11 "uart_inst/rx_inst/datacount_mux0000<1>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7F") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_1_11 "slot11/rx_inst/datacount_mux0000<1>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7F") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0_11 "uart_inst/rx_inst/datacount_mux0000<0>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0_11 "slot11/rx_inst/datacount_mux0000<0>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_we_mux000014_renamed_1128 "zpuino/core/exr_wb_we_mux000014") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_we_mux000027_renamed_1129 "zpuino/core/exr_wb_we_mux000027") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_we_mux000037 "zpuino/core/exr_wb_we_mux000037") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_31 "zpuino/core/stack_a_write<0>31") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudreset_or00001 "uart_inst/rx_inst/baudreset_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_intx_o_not00011 "uart_inst/tx_core/intx_o_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_idle_not00011 "uart_inst/tx_core/idle_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_intx_o_not00011 "slot11/tx_core/intx_o_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_idle_not00011 "slot11/tx_core/idle_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename slot0_cpol_not00011 "slot0/cpol_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot1_spi_transfersize_q_not0001_SW0 "slot1/spi_transfersize_q_not0001_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AEFF") (owner "Xilinx")) - ) - (instance (rename slot1_spi_transfersize_q_not0001_renamed_1130 "slot1/spi_transfersize_q_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_not0001_SW0 "slot0/spi_transfersize_q_not0001_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AEFF") (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_not0001_renamed_1131 "slot0/spi_transfersize_q_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_5_1 "zpuino/io/intr_inst/intr_line<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd5_In1 "zpuino/core/exr.state_FSM_FFd5-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd7_In_renamed_1132 "zpuino/core/exr.state_FSM_FFd7-In") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "444F") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_mux00018_renamed_1133 "zpuino/core/exr_wb_cyc_mux00018") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAB") (owner "Xilinx")) - ) - (instance (rename slot0_spi_transfersize_q_not0001211 "slot0/spi_transfersize_q_not0001211") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_2_1 "zpuino/core/stack_b_addr<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_trans_or00001 "slot0/trans_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_iomemmux_s1_wb_cyc_o1 "zpuino/iomemmux/s1_wb_cyc_o1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq0000421 "slot11/fifo_instance/full_v_cmp_eq0000421") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_and00011 "crc16_inst/data_q_and00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_tbuff_r_not00011 "uart_inst/tx_core/tbuff_r_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_rdaddr_and00001 "uart_inst/fifo_instance/rdaddr_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_divider_rx_q_not00011 "uart_inst/divider_rx_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_tbuff_r_not00011 "slot11/tx_core/tbuff_r_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_rdaddr_and00001 "slot11/fifo_instance/rdaddr_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_divider_rx_q_not00011 "slot11/divider_rx_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot1_cpol_not00011 "slot1/cpol_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_sd_en_q_0_not00011 "sigmadelta_inst/sd_en_q_0_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_dat_q2_0_not00011 "sigmadelta_inst/dat_q2_0_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_empty_v_cmp_eq000042 "slot11/fifo_instance/empty_v_cmp_eq000042") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8200") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_not0002_SW0 "crc16_inst/crc_q_not0002_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_not0002_renamed_1134 "crc16_inst/crc_q_not0002") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "555D") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000271 "zpuino/core/w1_tos_6_mux000271") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_9__ "zpuino/io/intr_inst/intr_line<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135 "timers_inst/timer1_inst/tmrr_intr_mux00007") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136 "zpuino/core/exr.state_FSM_FFd14-In30") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137 "zpuino/core/exr.state_FSM_FFd14-In43") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138 "zpuino/core/exr.state_FSM_FFd14-In66") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139 "zpuino/core/exr.state_FSM_FFd14-In68") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_3_1 "zpuino/core/stack_b_addr<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_enable_SW1 "zpuino/core/stack_a_enable_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_enable "zpuino/core/stack_a_enable") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_enable7_renamed_1140 "zpuino/core/stack_b_enable7") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D5") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_enable20_renamed_1141 "zpuino/core/stack_b_enable20") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_enable31_renamed_1142 "zpuino/core/stack_b_enable31") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_4_1 "zpuino/core/stack_b_addr<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_5_1 "zpuino/core/stack_b_addr<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_6_mux00001 "zpuino/io/slot_cyc_i_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_clkout_or00001 "uart_inst/rx_inst/baudgen/clkout_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_clkout_or00001 "slot11/rx_inst/baudgen/clkout_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot1_trans_or00001 "slot1/trans_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_6_1 "zpuino/core/stack_b_addr<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_96_not000111 "gpio_inst/ppspin_q_96_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_64_not000111 "gpio_inst/ppspin_q_64_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_32_not000111 "gpio_inst/ppspin_q_32_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_ppspin_q_0_not000111 "gpio_inst/ppspin_q_0_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_32_not000111 "gpio_inst/gpio_tris_q_32_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_0_not000111 "gpio_inst/gpio_tris_q_0_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_32_not000111 "gpio_inst/gpio_q_32_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_0_not000121 "gpio_inst/gpio_q_0_not000121") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_32_not00014_SW0 "gpio_inst/gpio_q_32_not00014_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_7_1 "zpuino/core/stack_b_addr<7>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_9_mux00001 "slot1/wb_dat_o_9_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_8_mux00001 "slot1/wb_dat_o_8_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_8_1 "zpuino/core/stack_b_addr<8>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_enable21 "zpuino/core/stack_a_enable21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AB01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_9_1 "zpuino/core/stack_b_addr<9>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_10_1 "zpuino/core/stack_b_addr<10>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux000031") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "31") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1 "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AF27") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2373") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_9_11 "zpuino/io/intr_inst/intr_line<9>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_8_1 "zpuino/io/intr_inst/intr_line<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_12_1 "zpuino/io/intr_inst/intr_line<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2373") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_mux0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "082A") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000112_renamed_1145 "uart_inst/tx_core/txd_r_mux000112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000148_renamed_1146 "uart_inst/tx_core/txd_r_mux000148") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D580") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux0001117_renamed_1147 "uart_inst/tx_core/txd_r_mux0001117") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D580") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux0001121_renamed_1148 "uart_inst/tx_core/txd_r_mux0001121") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000112_renamed_1149 "slot11/tx_core/txd_r_mux000112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000148_renamed_1150 "slot11/tx_core/txd_r_mux000148") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D580") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux0001117_renamed_1151 "slot11/tx_core/txd_r_mux0001117") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D580") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux0001121_renamed_1152 "slot11/tx_core/txd_r_mux0001121") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153 "uart_inst/tx_timer/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154 "uart_inst/tx_timer/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155 "uart_inst/tx_timer/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156 "uart_inst/tx_timer/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_cmp_eq000076 "uart_inst/tx_timer/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157 "uart_inst/rx_timer/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158 "uart_inst/rx_timer/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159 "uart_inst/rx_timer/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160 "uart_inst/rx_timer/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_cmp_eq000076 "uart_inst/rx_timer/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000076 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000012_renamed_1165 "slot11/tx_timer/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000025_renamed_1166 "slot11/tx_timer/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000049_renamed_1167 "slot11/tx_timer/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000062_renamed_1168 "slot11/tx_timer/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_cmp_eq000076 "slot11/tx_timer/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000012_renamed_1169 "slot11/rx_timer/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000025_renamed_1170 "slot11/rx_timer/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000049_renamed_1171 "slot11/rx_timer/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000062_renamed_1172 "slot11/rx_timer/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_cmp_eq000076 "slot11/rx_timer/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173 "slot11/rx_inst/baudgen/cnt_cmp_eq000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174 "slot11/rx_inst/baudgen/cnt_cmp_eq000025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175 "slot11/rx_inst/baudgen/cnt_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176 "slot11/rx_inst/baudgen/cnt_cmp_eq000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_cmp_eq000076 "slot11/rx_inst/baudgen/cnt_cmp_eq000076") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000012_renamed_1177 "zpuino/core/wroteback_q_mux000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000025_renamed_1178 "zpuino/core/wroteback_q_mux000025") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000034_renamed_1179 "zpuino/core/wroteback_q_mux000034") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000045_renamed_1180 "zpuino/core/wroteback_q_mux000045") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000062 "zpuino/core/wroteback_q_mux000062") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EEE0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000108 "zpuino/core/wroteback_q_mux0000108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_writeenable_SW0 "zpuino/core/stack_a_writeenable_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_writeenable "zpuino/core/stack_a_writeenable") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000141_renamed_1181 "zpuino/core/wroteback_q_mux0000141") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000191_renamed_1182 "zpuino/core/wroteback_q_mux0000191") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000110 "zpuino/core/wroteback_q_mux0000110") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_31 "zpuino/core/stack_a_addr<10>31") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename rstgen_rstcount_not00011 "rstgen/rstcount_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_q_not00011 "slot1/zspiclk/prescale_q_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_q_not00011 "slot0/zspiclk/prescale_q_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_wb_inta_o_not00011 "zpuino/io/intr_inst/wb_inta_o_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_mask_q_not00011 "zpuino/io/intr_inst/mask_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_level_q_16_not00011 "zpuino/io/intr_inst/intr_level_q_16_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_and000011 "crc16_inst/data_q_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7FFF") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crcA_q_not00011 "crc16_inst/crcA_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_empty_v_cmp_eq0000411 "slot11/fifo_instance/empty_v_cmp_eq0000411") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9009") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_and00001 "gpio_inst/output_mapper_q_1_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_0_and00001 "gpio_inst/output_mapper_q_0_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183 "zpuino/core/prefr_spnext_mux0000<3>23") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2226") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_event_i_and00041 "slot1/zspiclk/pr/event_i_and00041") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_event_i_and00021 "slot1/zspiclk/pr/event_i_and00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_event_i_and00001 "slot1/zspiclk/pr/event_i_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_event_i_and00041 "slot0/zspiclk/pr/event_i_and00041") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_event_i_and00021 "slot0/zspiclk/pr/event_i_and00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_event_i_and00001 "slot0/zspiclk/pr/event_i_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000211 "zpuino/core/w1_tos_0_mux000211") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_16__ "zpuino/io/intr_inst/intr_line<16>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17__SW0 "zpuino/io/intr_inst/intr_line<17>_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17__ "zpuino/io/intr_inst/intr_line<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_7_mux000013_renamed_1184 "zpuino/core/w1_nos_save_7_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_6_mux000013_renamed_1185 "zpuino/core/w1_nos_save_6_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_5_mux000013_renamed_1186 "zpuino/core/w1_nos_save_5_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_4_mux000013_renamed_1187 "zpuino/core/w1_nos_save_4_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_3_mux000013_renamed_1188 "zpuino/core/w1_nos_save_3_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_2_mux000013_renamed_1189 "zpuino/core/w1_nos_save_2_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_1_mux000013_renamed_1190 "zpuino/core/w1_nos_save_1_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_0_mux000013_renamed_1191 "zpuino/core/w1_nos_save_0_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_9_mux000013_renamed_1192 "zpuino/core/w1_nos_save_9_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_8_mux000013_renamed_1193 "zpuino/core/w1_nos_save_8_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_31_mux000013_renamed_1194 "zpuino/core/w1_nos_save_31_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_30_mux000013_renamed_1195 "zpuino/core/w1_nos_save_30_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_29_mux000013_renamed_1196 "zpuino/core/w1_nos_save_29_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_28_mux000013_renamed_1197 "zpuino/core/w1_nos_save_28_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_27_mux000013_renamed_1198 "zpuino/core/w1_nos_save_27_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_26_mux000013_renamed_1199 "zpuino/core/w1_nos_save_26_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_25_mux000013_renamed_1200 "zpuino/core/w1_nos_save_25_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_24_mux000013_renamed_1201 "zpuino/core/w1_nos_save_24_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_23_mux000013_renamed_1202 "zpuino/core/w1_nos_save_23_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_22_mux000013_renamed_1203 "zpuino/core/w1_nos_save_22_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_21_mux000013_renamed_1204 "zpuino/core/w1_nos_save_21_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_20_mux000013_renamed_1205 "zpuino/core/w1_nos_save_20_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_19_mux000013_renamed_1206 "zpuino/core/w1_nos_save_19_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_18_mux000013_renamed_1207 "zpuino/core/w1_nos_save_18_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_17_mux000013_renamed_1208 "zpuino/core/w1_nos_save_17_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_16_mux000013_renamed_1209 "zpuino/core/w1_nos_save_16_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_15_mux000013_renamed_1210 "zpuino/core/w1_nos_save_15_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_14_mux000013_renamed_1211 "zpuino/core/w1_nos_save_14_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_13_mux000013_renamed_1212 "zpuino/core/w1_nos_save_13_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_12_mux000013_renamed_1213 "zpuino/core/w1_nos_save_12_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_11_mux000013_renamed_1214 "zpuino/core/w1_nos_save_11_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_10_mux000013_renamed_1215 "zpuino/core/w1_nos_save_10_mux000013") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000251 "zpuino/core/w1_tos_6_mux000251") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_6_4_renamed_1216 "zpuino/core/stack_a_addr<6>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_6_10 "zpuino/core/stack_a_addr<6>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_5_4_renamed_1217 "zpuino/core/stack_a_addr<5>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_5_10 "zpuino/core/stack_a_addr<5>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_4_4_renamed_1218 "zpuino/core/stack_a_addr<4>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_4_10 "zpuino/core/stack_a_addr<4>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_3_4_renamed_1219 "zpuino/core/stack_a_addr<3>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_3_14 "zpuino/core/stack_a_addr<3>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_2_4_renamed_1220 "zpuino/core/stack_a_addr<2>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_2_14 "zpuino/core/stack_a_addr<2>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_215_renamed_1221 "zpuino/core/stack_a_addr<10>215") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_236_renamed_1222 "zpuino/core/stack_a_addr<10>236") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmplow_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_ien_and00001 "timers_inst/timer1_inst/tmrr_ien_and00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_and000041 "gpio_inst/input_mapper_q_33_and000041") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and000041 "gpio_inst/input_mapper_q_32_and000041") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_4_cmp_eq00001 "zpuino/io/slot_cyc_i_4_cmp_eq00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cmp_not00011 "timers_inst/timer1_inst/tmrr_cmp_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_not000111 "timers_inst/timer0_inst/tmrr_cmp_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_47_and000011 "gpio_inst/input_mapper_q_47_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_46_and000011 "gpio_inst/input_mapper_q_46_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_45_and000011 "gpio_inst/input_mapper_q_45_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_44_and000011 "gpio_inst/input_mapper_q_44_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_43_and000011 "gpio_inst/input_mapper_q_43_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_42_and000011 "gpio_inst/input_mapper_q_42_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_41_and000011 "gpio_inst/input_mapper_q_41_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_40_and000011 "gpio_inst/input_mapper_q_40_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_33_and000011 "gpio_inst/input_mapper_q_33_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and000011 "gpio_inst/input_mapper_q_32_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmphigh_not00011_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmphigh_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and0000517_renamed_1223 "gpio_inst/input_mapper_q_32_and0000517") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_wb_adr_i_3_1 "gpio_inst/wb_adr_i<3>1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_31__SW0 "zpuino/io/io_read_selected<31>_SW0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_31__SW1 "zpuino/io/io_read_selected<31>_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_31__ "zpuino/io/io_read_selected<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_30__ "zpuino/io/io_read_selected<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_29__ "zpuino/io/io_read_selected<29>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_28__ "zpuino/io/io_read_selected<28>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_27__ "zpuino/io/io_read_selected<27>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_26__ "zpuino/io/io_read_selected<26>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_25__ "zpuino/io/io_read_selected<25>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_24__ "zpuino/io/io_read_selected<24>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_7_mux00001 "slot1/wb_dat_o_7_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_6_mux00001 "slot1/wb_dat_o_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_5_mux00001 "slot1/wb_dat_o_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_4_mux00001 "slot1/wb_dat_o_4_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_3_mux00001 "slot1/wb_dat_o_3_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_2_mux00001 "slot1/wb_dat_o_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_1_mux00001 "slot1/wb_dat_o_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot1_wb_dat_o_0_mux00001 "slot1/wb_dat_o_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_7_mux00001 "slot0/wb_dat_o_7_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_6_mux00001 "slot0/wb_dat_o_6_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_5_mux00001 "slot0/wb_dat_o_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_4_mux00001 "slot0/wb_dat_o_4_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_3_mux00001 "slot0/wb_dat_o_3_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_2_mux00001 "slot0/wb_dat_o_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_1_mux00001 "slot0/wb_dat_o_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename slot0_wb_dat_o_0_mux00001 "slot0/wb_dat_o_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_9__ "zpuino/core/stack_a_write<9>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_8__ "zpuino/core/stack_a_write<8>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_7__ "zpuino/core/stack_a_write<7>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_6__ "zpuino/core/stack_a_write<6>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_5__ "zpuino/core/stack_a_write<5>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_4__ "zpuino/core/stack_a_write<4>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_3__ "zpuino/core/stack_a_write<3>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_30__ "zpuino/core/stack_a_write<30>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_2__ "zpuino/core/stack_a_write<2>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_29__ "zpuino/core/stack_a_write<29>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_28__ "zpuino/core/stack_a_write<28>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_27__ "zpuino/core/stack_a_write<27>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_26__ "zpuino/core/stack_a_write<26>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_25__ "zpuino/core/stack_a_write<25>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_24__ "zpuino/core/stack_a_write<24>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_23__ "zpuino/core/stack_a_write<23>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_22__ "zpuino/core/stack_a_write<22>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_21__ "zpuino/core/stack_a_write<21>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_20__ "zpuino/core/stack_a_write<20>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_1__ "zpuino/core/stack_a_write<1>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_19__ "zpuino/core/stack_a_write<19>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_18__ "zpuino/core/stack_a_write<18>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_17__ "zpuino/core/stack_a_write<17>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_16__ "zpuino/core/stack_a_write<16>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_15__ "zpuino/core/stack_a_write<15>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_14__ "zpuino/core/stack_a_write<14>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_13__ "zpuino/core/stack_a_write<13>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_12__ "zpuino/core/stack_a_write<12>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_11__ "zpuino/core/stack_a_write<11>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_10__ "zpuino/core/stack_a_write<10>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0__ "zpuino/core/stack_a_write<0>") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_7_4_renamed_1224 "zpuino/core/stack_a_addr<7>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_7_10 "zpuino/core/stack_a_addr<7>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_24_renamed_1225 "zpuino/core/prefr_spnext_mux0000<4>24") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226 "zpuino/core/prefr_spnext_mux0000<4>39") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7828") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_42_renamed_1227 "zpuino/core/prefr_spnext_mux0000<4>42") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_8_4_renamed_1228 "zpuino/core/stack_a_addr<8>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_8_10 "zpuino/core/stack_a_addr<8>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledTosSource_and00001 "zpuino/core/sampledTosSource_and00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_41 "zpuino/io/intr_inst/intr_line<17>41") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_11 "zpuino/io/intr_inst/intr_line<17>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_15_1 "zpuino/io/intr_inst/intr_line<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_mux0000_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2373") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "082A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_315_renamed_1230 "zpuino/io/intr_inst/intr_line<17>315") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0103") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_335_renamed_1231 "zpuino/io/intr_inst/intr_line<17>335") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_337 "zpuino/io/intr_inst/intr_line<17>337") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232 "zpuino/core/prefr_spnext_mux0000<5>28") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233 "zpuino/core/prefr_spnext_mux0000<5>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234 "zpuino/core/prefr_spnext_mux0000<5>58") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_70_renamed_1235 "zpuino/core/prefr_spnext_mux0000<5>70") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_9_4_renamed_1236 "zpuino/core/stack_a_addr<9>4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_9_10 "zpuino/core/stack_a_addr<9>10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_or00001 "zpuino/core/stack_a_addr_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_61 "zpuino/core/stack_a_addr<10>61") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237 "zpuino/core/prefr_spnext_mux0000<7>39") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7828") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_7_42_renamed_1238 "zpuino/core/prefr_spnext_mux0000<7>42") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_8_and00001 "zpuino/io/intr_inst/masked_ivecs_8_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_6_and00001 "zpuino/io/intr_inst/masked_ivecs_6_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_4_and00001 "zpuino/io/intr_inst/masked_ivecs_4_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_3_and00001 "zpuino/io/intr_inst/masked_ivecs_3_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_16_and00001 "zpuino/io/intr_inst/masked_ivecs_16_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_15_and00001 "zpuino/io/intr_inst/masked_ivecs_15_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_14_and00001 "zpuino/io/intr_inst/masked_ivecs_14_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_12_and00001 "zpuino/io/intr_inst/masked_ivecs_12_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_10_and00001 "zpuino/io/intr_inst/masked_ivecs_10_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_21 "zpuino/io/intr_inst/intr_line<17>21") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_17_1111 "zpuino/io/intr_inst/intr_line<17>1111") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux0001111 "zpuino/io/intr_inst/do_interrupt_mux0001111") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239 "zpuino/core/prefr_spnext_mux0000<8>5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_not0001_renamed_1240 "zpuino/core/prefr_sp_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2223") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_9_not00011 "zpuino/io/intr_inst/intr_detected_q_9_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_8_not00011 "zpuino/io/intr_inst/intr_detected_q_8_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_7_not00011 "zpuino/io/intr_inst/intr_detected_q_7_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_6_not00011 "zpuino/io/intr_inst/intr_detected_q_6_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_5_not00011 "zpuino/io/intr_inst/intr_detected_q_5_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_2_not00011 "zpuino/io/intr_inst/intr_detected_q_2_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_1_not00011 "zpuino/io/intr_inst/intr_detected_q_1_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_17_not00011 "zpuino/io/intr_inst/intr_detected_q_17_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_16_not00011 "zpuino/io/intr_inst/intr_detected_q_16_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_15_not00011 "zpuino/io/intr_inst/intr_detected_q_15_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_14_not00011 "zpuino/io/intr_inst/intr_detected_q_14_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_13_not00011 "zpuino/io/intr_inst/intr_detected_q_13_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_12_not00011 "zpuino/io/intr_inst/intr_detected_q_12_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_11_not00012 "zpuino/io/intr_inst/intr_detected_q_11_not00012") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_10_not00011 "zpuino/io/intr_inst/intr_detected_q_10_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_0_not00011 "zpuino/io/intr_inst/intr_detected_q_0_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_iready_q_not00011 "zpuino/io/intr_inst/iready_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_16_mux00001 "zpuino/io/intr_inst/intr_detected_q_16_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count411 "slot1/zspi/Mcount_count411") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count411 "slot0/zspi/Mcount_count411") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241 "slot11/fifo_instance/full_v_cmp_eq000049") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242 "slot11/fifo_instance/full_v_cmp_eq0000468") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0690") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_31_2_renamed_1243 "zpuino/core/stack_a_write<31>2") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_31_13_renamed_1244 "zpuino/core/stack_a_write<31>13") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_31_29 "zpuino/core/stack_a_write<31>29") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000221 "zpuino/core/w1_tos_0_mux000221") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11 "zpuino/core/Madd_prefr.spnext_addsub0001_cy<6>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11 "zpuino/core/Madd_prefr.spnext_addsub0001_cy<4>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025125_renamed_1245 "zpuino/core/w1_tos_0_mux00025125") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246 "zpuino/core/prefr_spnext_mux0000<9>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000235_renamed_1247 "gpio_inst/gpio_o_9_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000285_renamed_1248 "gpio_inst/gpio_o_9_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000294_renamed_1249 "gpio_inst/gpio_o_9_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux0002112_renamed_1250 "gpio_inst/gpio_o_9_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000235_renamed_1251 "gpio_inst/gpio_o_8_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000285_renamed_1252 "gpio_inst/gpio_o_8_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000294_renamed_1253 "gpio_inst/gpio_o_8_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux0002112_renamed_1254 "gpio_inst/gpio_o_8_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000235_renamed_1255 "gpio_inst/gpio_o_7_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000285_renamed_1256 "gpio_inst/gpio_o_7_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000294_renamed_1257 "gpio_inst/gpio_o_7_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux0002112_renamed_1258 "gpio_inst/gpio_o_7_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000235_renamed_1259 "gpio_inst/gpio_o_6_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000285_renamed_1260 "gpio_inst/gpio_o_6_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000294_renamed_1261 "gpio_inst/gpio_o_6_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux0002112_renamed_1262 "gpio_inst/gpio_o_6_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000235_renamed_1263 "gpio_inst/gpio_o_5_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000285_renamed_1264 "gpio_inst/gpio_o_5_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000294_renamed_1265 "gpio_inst/gpio_o_5_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux0002112_renamed_1266 "gpio_inst/gpio_o_5_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000235_renamed_1267 "gpio_inst/gpio_o_4_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000285_renamed_1268 "gpio_inst/gpio_o_4_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000294_renamed_1269 "gpio_inst/gpio_o_4_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux0002112_renamed_1270 "gpio_inst/gpio_o_4_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000235_renamed_1271 "gpio_inst/gpio_o_47_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000285_renamed_1272 "gpio_inst/gpio_o_47_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000294_renamed_1273 "gpio_inst/gpio_o_47_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux0002112_renamed_1274 "gpio_inst/gpio_o_47_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000235_renamed_1275 "gpio_inst/gpio_o_46_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000285_renamed_1276 "gpio_inst/gpio_o_46_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000294_renamed_1277 "gpio_inst/gpio_o_46_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux0002112_renamed_1278 "gpio_inst/gpio_o_46_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000235_renamed_1279 "gpio_inst/gpio_o_45_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000285_renamed_1280 "gpio_inst/gpio_o_45_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000294_renamed_1281 "gpio_inst/gpio_o_45_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux0002112_renamed_1282 "gpio_inst/gpio_o_45_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000235_renamed_1283 "gpio_inst/gpio_o_44_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000285_renamed_1284 "gpio_inst/gpio_o_44_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000294_renamed_1285 "gpio_inst/gpio_o_44_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux0002112_renamed_1286 "gpio_inst/gpio_o_44_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000235_renamed_1287 "gpio_inst/gpio_o_43_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000285_renamed_1288 "gpio_inst/gpio_o_43_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000294_renamed_1289 "gpio_inst/gpio_o_43_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux0002112_renamed_1290 "gpio_inst/gpio_o_43_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000235_renamed_1291 "gpio_inst/gpio_o_42_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000285_renamed_1292 "gpio_inst/gpio_o_42_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000294_renamed_1293 "gpio_inst/gpio_o_42_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux0002112_renamed_1294 "gpio_inst/gpio_o_42_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000235_renamed_1295 "gpio_inst/gpio_o_41_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000285_renamed_1296 "gpio_inst/gpio_o_41_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000294_renamed_1297 "gpio_inst/gpio_o_41_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux0002112_renamed_1298 "gpio_inst/gpio_o_41_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000235_renamed_1299 "gpio_inst/gpio_o_40_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000285_renamed_1300 "gpio_inst/gpio_o_40_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000294_renamed_1301 "gpio_inst/gpio_o_40_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux0002112_renamed_1302 "gpio_inst/gpio_o_40_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000235_renamed_1303 "gpio_inst/gpio_o_3_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000285_renamed_1304 "gpio_inst/gpio_o_3_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000294_renamed_1305 "gpio_inst/gpio_o_3_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux0002112_renamed_1306 "gpio_inst/gpio_o_3_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000235_renamed_1307 "gpio_inst/gpio_o_33_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000285_renamed_1308 "gpio_inst/gpio_o_33_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000294_renamed_1309 "gpio_inst/gpio_o_33_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux0002112_renamed_1310 "gpio_inst/gpio_o_33_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000235_renamed_1311 "gpio_inst/gpio_o_32_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000285_renamed_1312 "gpio_inst/gpio_o_32_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000294_renamed_1313 "gpio_inst/gpio_o_32_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux0002112_renamed_1314 "gpio_inst/gpio_o_32_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000235_renamed_1315 "gpio_inst/gpio_o_31_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000285_renamed_1316 "gpio_inst/gpio_o_31_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000294_renamed_1317 "gpio_inst/gpio_o_31_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux0002112_renamed_1318 "gpio_inst/gpio_o_31_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000235_renamed_1319 "gpio_inst/gpio_o_30_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000285_renamed_1320 "gpio_inst/gpio_o_30_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000294_renamed_1321 "gpio_inst/gpio_o_30_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux0002112_renamed_1322 "gpio_inst/gpio_o_30_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000235_renamed_1323 "gpio_inst/gpio_o_2_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000285_renamed_1324 "gpio_inst/gpio_o_2_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000294_renamed_1325 "gpio_inst/gpio_o_2_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux0002112_renamed_1326 "gpio_inst/gpio_o_2_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000235_renamed_1327 "gpio_inst/gpio_o_29_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000285_renamed_1328 "gpio_inst/gpio_o_29_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000294_renamed_1329 "gpio_inst/gpio_o_29_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux0002112_renamed_1330 "gpio_inst/gpio_o_29_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000235_renamed_1331 "gpio_inst/gpio_o_28_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000285_renamed_1332 "gpio_inst/gpio_o_28_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000294_renamed_1333 "gpio_inst/gpio_o_28_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux0002112_renamed_1334 "gpio_inst/gpio_o_28_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000235_renamed_1335 "gpio_inst/gpio_o_27_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000285_renamed_1336 "gpio_inst/gpio_o_27_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000294_renamed_1337 "gpio_inst/gpio_o_27_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux0002112_renamed_1338 "gpio_inst/gpio_o_27_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000235_renamed_1339 "gpio_inst/gpio_o_26_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000285_renamed_1340 "gpio_inst/gpio_o_26_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000294_renamed_1341 "gpio_inst/gpio_o_26_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux0002112_renamed_1342 "gpio_inst/gpio_o_26_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000235_renamed_1343 "gpio_inst/gpio_o_25_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000285_renamed_1344 "gpio_inst/gpio_o_25_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000294_renamed_1345 "gpio_inst/gpio_o_25_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux0002112_renamed_1346 "gpio_inst/gpio_o_25_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000235_renamed_1347 "gpio_inst/gpio_o_24_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000285_renamed_1348 "gpio_inst/gpio_o_24_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000294_renamed_1349 "gpio_inst/gpio_o_24_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux0002112_renamed_1350 "gpio_inst/gpio_o_24_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000235_renamed_1351 "gpio_inst/gpio_o_1_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000285_renamed_1352 "gpio_inst/gpio_o_1_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000294_renamed_1353 "gpio_inst/gpio_o_1_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux0002112_renamed_1354 "gpio_inst/gpio_o_1_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000235_renamed_1355 "gpio_inst/gpio_o_15_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000285_renamed_1356 "gpio_inst/gpio_o_15_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000294_renamed_1357 "gpio_inst/gpio_o_15_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux0002112_renamed_1358 "gpio_inst/gpio_o_15_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000235_renamed_1359 "gpio_inst/gpio_o_14_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000285_renamed_1360 "gpio_inst/gpio_o_14_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000294_renamed_1361 "gpio_inst/gpio_o_14_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux0002112_renamed_1362 "gpio_inst/gpio_o_14_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000235_renamed_1363 "gpio_inst/gpio_o_13_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000285_renamed_1364 "gpio_inst/gpio_o_13_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000294_renamed_1365 "gpio_inst/gpio_o_13_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux0002112_renamed_1366 "gpio_inst/gpio_o_13_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000235_renamed_1367 "gpio_inst/gpio_o_12_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000285_renamed_1368 "gpio_inst/gpio_o_12_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000294_renamed_1369 "gpio_inst/gpio_o_12_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux0002112_renamed_1370 "gpio_inst/gpio_o_12_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000235_renamed_1371 "gpio_inst/gpio_o_11_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000285_renamed_1372 "gpio_inst/gpio_o_11_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000294_renamed_1373 "gpio_inst/gpio_o_11_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux0002112_renamed_1374 "gpio_inst/gpio_o_11_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000235_renamed_1375 "gpio_inst/gpio_o_10_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000285_renamed_1376 "gpio_inst/gpio_o_10_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000294_renamed_1377 "gpio_inst/gpio_o_10_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux0002112_renamed_1378 "gpio_inst/gpio_o_10_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000235_renamed_1379 "gpio_inst/gpio_o_0_mux000235") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000285_renamed_1380 "gpio_inst/gpio_o_0_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000294_renamed_1381 "gpio_inst/gpio_o_0_mux000294") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux0002112_renamed_1382 "gpio_inst/gpio_o_0_mux0002112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ADA8") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00041 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and00041") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00021 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and00021") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00001 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance__and00002 "uart_inst/fifo_instance/_and00002") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_5_and00001 "zpuino/io/intr_inst/masked_ivecs_5_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383 "zpuino/io/intr_inst/do_interrupt_mux00014") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384 "zpuino/io/intr_inst/do_interrupt_mux00019") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385 "zpuino/io/intr_inst/do_interrupt_mux000124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386 "zpuino/io/intr_inst/do_interrupt_mux000132") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmplow_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not00012") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_and000041 "gpio_inst/input_mapper_q_11_and000041") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_9_and000011 "gpio_inst/input_mapper_q_9_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_8_and000011 "gpio_inst/input_mapper_q_8_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_7_and000011 "gpio_inst/input_mapper_q_7_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_6_and000011 "gpio_inst/input_mapper_q_6_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_5_and000011 "gpio_inst/input_mapper_q_5_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_4_and000011 "gpio_inst/input_mapper_q_4_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_3_and000011 "gpio_inst/input_mapper_q_3_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_31_and000011 "gpio_inst/input_mapper_q_31_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_30_and000011 "gpio_inst/input_mapper_q_30_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_2_and000011 "gpio_inst/input_mapper_q_2_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_29_and000011 "gpio_inst/input_mapper_q_29_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_28_and000011 "gpio_inst/input_mapper_q_28_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_27_and000011 "gpio_inst/input_mapper_q_27_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_26_and000011 "gpio_inst/input_mapper_q_26_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_25_and000011 "gpio_inst/input_mapper_q_25_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_24_and000011 "gpio_inst/input_mapper_q_24_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_1_and000011 "gpio_inst/input_mapper_q_1_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_15_and000011 "gpio_inst/input_mapper_q_15_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_14_and000011 "gpio_inst/input_mapper_q_14_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_13_and000011 "gpio_inst/input_mapper_q_13_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_12_and000011 "gpio_inst/input_mapper_q_12_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_11_and000011 "gpio_inst/input_mapper_q_11_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_10_and000011 "gpio_inst/input_mapper_q_10_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and000011 "gpio_inst/input_mapper_q_0_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387 "timers_inst/timer0_inst/tmrr_cnt_not00016") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mmux_wb_dat_o_0_211 "timers_inst/timer1_inst/Mmux_wb_dat_o<0>211") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_23__ "zpuino/io/io_read_selected<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_22__ "zpuino/io/io_read_selected<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_21__ "zpuino/io/io_read_selected<21>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_20__ "zpuino/io/io_read_selected<20>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_19__ "zpuino/io/io_read_selected<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_18__ "zpuino/io/io_read_selected<18>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_9_0_renamed_1388 "timers_inst/wb_dat_o<9>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_9_15_renamed_1389 "timers_inst/wb_dat_o<9>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_9_40 "timers_inst/wb_dat_o<9>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_10_0_renamed_1390 "timers_inst/wb_dat_o<10>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_10_15_renamed_1391 "timers_inst/wb_dat_o<10>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_10_40 "timers_inst/wb_dat_o<10>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_8_16_renamed_1392 "timers_inst/wb_dat_o<8>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_15_16_renamed_1393 "timers_inst/wb_dat_o<15>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_14_16_renamed_1394 "timers_inst/wb_dat_o<14>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_13_16_renamed_1395 "timers_inst/wb_dat_o<13>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_12_16_renamed_1396 "timers_inst/wb_dat_o<12>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_11_16_renamed_1397 "timers_inst/wb_dat_o<11>16") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_5 "zpuino/io/io_read_selected<9>5") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_25 "zpuino/io/io_read_selected<9>25") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_61 "zpuino/io/io_read_selected<9>61") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3323") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_70 "zpuino/io/io_read_selected<9>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_8_70 "zpuino/io/io_read_selected<8>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_15_70 "zpuino/io/io_read_selected<15>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_14_70 "zpuino/io/io_read_selected<14>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_13_70 "zpuino/io/io_read_selected<13>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_12_70 "zpuino/io/io_read_selected<12>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_11_70 "zpuino/io/io_read_selected<11>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_10_70 "zpuino/io/io_read_selected<10>70") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_37 "zpuino/io/io_read_selected<17>37") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_86 "zpuino/io/io_read_selected<17>86") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_16_86 "zpuino/io/io_read_selected<16>86") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398 "zpuino/core/prefr_spnext_mux0000<10>58") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decode_jump_SW0 "zpuino/core/decode_jump_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_mux00001 "timers_inst/timer0_inst/tmrr_intr_mux00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_91") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_81") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_71") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_61") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_51") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_41") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_31") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_21") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_151") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_141") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_131") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_121") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_111") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_101") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_01") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_ien_and00001 "timers_inst/timer0_inst/tmrr_ien_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024135_renamed_1400 "zpuino/core/w1_tos_0_mux00024135") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024174_renamed_1401 "zpuino/core/w1_tos_0_mux00024174") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_we1 "timers_inst/timer1_we1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_stb1 "timers_inst/timer1_stb1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_9_1 "zpuino/core/decr_pcint_mux0000<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_8_1 "zpuino/core/decr_pcint_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_7_1 "zpuino/core/decr_pcint_mux0000<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_6_1 "zpuino/core/decr_pcint_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_5_1 "zpuino/core/decr_pcint_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_4_1 "zpuino/core/decr_pcint_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_3_1 "zpuino/core/decr_pcint_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_2_1 "zpuino/core/decr_pcint_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_1_1 "zpuino/core/decr_pcint_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_13_1 "zpuino/core/decr_pcint_mux0000<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_12_1 "zpuino/core/decr_pcint_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_11_1 "zpuino/core/decr_pcint_mux0000<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_10_1 "zpuino/core/decr_pcint_mux0000<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_0_1 "zpuino/core/decr_pcint_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_3_1 "zpuino/core/decr_tosSource_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux000012_renamed_1402 "gpio_inst/spp_read_0_mux000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux000027_renamed_1403 "gpio_inst/spp_read_0_mux000027") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000112_renamed_1404 "gpio_inst/spp_read_0_mux0000112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000154_renamed_1405 "gpio_inst/spp_read_0_mux0000154") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000169_renamed_1406 "gpio_inst/spp_read_0_mux0000169") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000254_renamed_1407 "gpio_inst/spp_read_0_mux0000254") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000290_renamed_1408 "gpio_inst/spp_read_0_mux0000290") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000305_renamed_1409 "gpio_inst/spp_read_0_mux0000305") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000390_renamed_1410 "gpio_inst/spp_read_0_mux0000390") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000434_renamed_1411 "gpio_inst/spp_read_0_mux0000434") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000451_renamed_1412 "gpio_inst/spp_read_0_mux0000451") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000481_renamed_1413 "gpio_inst/spp_read_0_mux0000481") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000496_renamed_1414 "gpio_inst/spp_read_0_mux0000496") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000581_renamed_1415 "gpio_inst/spp_read_0_mux0000581") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000626_renamed_1416 "gpio_inst/spp_read_0_mux0000626") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack41 "zpuino/io/io_device_ack41") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_5_mux00001 "zpuino/io/slot_cyc_i_5_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_2_mux00001 "zpuino/io/slot_cyc_i_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_not000111 "crc16_inst/poly_q_not000111") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_rd_and000011 "uart_inst/fifo_rd_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_7_0_renamed_1417 "timers_inst/wb_dat_o<7>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_7_40 "timers_inst/wb_dat_o<7>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_6_0_renamed_1418 "timers_inst/wb_dat_o<6>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_6_40 "timers_inst/wb_dat_o<6>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_5_0_renamed_1419 "timers_inst/wb_dat_o<5>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_5_40 "timers_inst/wb_dat_o<5>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_4_0_renamed_1420 "timers_inst/wb_dat_o<4>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_4_40 "timers_inst/wb_dat_o<4>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_3_0_renamed_1421 "timers_inst/wb_dat_o<3>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_3_40 "timers_inst/wb_dat_o<3>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_2_0_renamed_1422 "timers_inst/wb_dat_o<2>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_2_15_renamed_1423 "timers_inst/wb_dat_o<2>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_2_40 "timers_inst/wb_dat_o<2>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_1_0_renamed_1424 "timers_inst/wb_dat_o<1>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_1_15_renamed_1425 "timers_inst/wb_dat_o<1>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_1_40 "timers_inst/wb_dat_o<1>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_0_0_renamed_1426 "timers_inst/wb_dat_o<0>0") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_0_15_renamed_1427 "timers_inst/wb_dat_o<0>15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_0_40 "timers_inst/wb_dat_o<0>40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename rstgen_rstout_or00001 "rstgen/rstout_or00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_31_1 "zpuino/core/shl/idx_mux0001<31>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_30_1 "zpuino/core/shl/idx_mux0001<30>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_29_1 "zpuino/core/shl/idx_mux0001<29>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_28_1 "zpuino/core/shl/idx_mux0001<28>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_27_1 "zpuino/core/shl/idx_mux0001<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_26_1 "zpuino/core/shl/idx_mux0001<26>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_25_1 "zpuino/core/shl/idx_mux0001<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_24_1 "zpuino/core/shl/idx_mux0001<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_23_1 "zpuino/core/shl/idx_mux0001<23>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_22_1 "zpuino/core/shl/idx_mux0001<22>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_21_1 "zpuino/core/shl/idx_mux0001<21>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_20_1 "zpuino/core/shl/idx_mux0001<20>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_19_1 "zpuino/core/shl/idx_mux0001<19>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_18_1 "zpuino/core/shl/idx_mux0001<18>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_17_1 "zpuino/core/shl/idx_mux0001<17>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_13_mux000011 "zpuino/io/slot_cyc_i_13_mux000011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not000132 "timers_inst/timer1_inst/tmrr_intr_not000132") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428 "timers_inst/timer1_inst/tmrr_intr_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "080F") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack153_renamed_1429 "zpuino/io/io_device_ack153") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_wb_dat_o_2_mux00001 "uart_inst/wb_dat_o_2_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename uart_inst_wb_dat_o_1_mux00001 "uart_inst/wb_dat_o_1_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename uart_inst_wb_dat_o_0_mux00001 "uart_inst/wb_dat_o_0_mux00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "4E") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_14_renamed_1430 "zpuino/io/io_read_selected<7>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_50_renamed_1431 "zpuino/io/io_read_selected<7>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_14_renamed_1432 "zpuino/io/io_read_selected<6>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_50_renamed_1433 "zpuino/io/io_read_selected<6>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_14_renamed_1434 "zpuino/io/io_read_selected<5>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_50_renamed_1435 "zpuino/io/io_read_selected<5>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_14_renamed_1436 "zpuino/io/io_read_selected<4>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_50_renamed_1437 "zpuino/io/io_read_selected<4>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_14_renamed_1438 "zpuino/io/io_read_selected<3>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_50_renamed_1439 "zpuino/io/io_read_selected<3>50") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_37_renamed_1440 "zpuino/io/io_read_selected<2>37") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_60_renamed_1441 "zpuino/io/io_read_selected<2>60") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_37_renamed_1442 "zpuino/io/io_read_selected<1>37") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_60_renamed_1443 "zpuino/io/io_read_selected<1>60") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_41_renamed_1444 "zpuino/io/io_read_selected<0>41") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "20A8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_65_renamed_1445 "zpuino/io/io_read_selected<0>65") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_13_11 "zpuino/core/shl/idx_mux0001<13>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_11_11 "zpuino/core/shl/idx_mux0001<11>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_10_21 "zpuino/core/shl/idx_mux0001<10>21") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_0_31 "zpuino/core/shl/idx_mux0001<0>31") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_0_1 "zpuino/core/shl/idx_mux0001<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_9_1 "zpuino/core/shl/idx_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_8_1 "zpuino/core/shl/idx_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_7_1 "zpuino/core/shl/idx_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_6_1 "zpuino/core/shl/idx_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_5_1 "zpuino/core/shl/idx_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_1_1 "zpuino/core/shl/idx_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_16_1 "zpuino/core/shl/idx_mux0001<16>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_15_1 "zpuino/core/shl/idx_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_14_1 "zpuino/core/shl/idx_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_13_1 "zpuino/core/shl/idx_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_12_1 "zpuino/core/shl/idx_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_11_1 "zpuino/core/shl/idx_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_10_1 "zpuino/core/shl/idx_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_21 "zpuino/core/decr_fetchpc_mux0000<5>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "CCCD") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_1_11 "zpuino/core/decr_decodedOpcode_mux0000<1>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446 "zpuino/core/decr_fetchpc_mux0000<5>10") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447 "zpuino/core/decr_fetchpc_mux0000<5>31") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not0001211 "timers_inst/timer0_inst/tmrr_intr_not0001211") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448 "timers_inst/timer0_inst/tmrr_intr_not000114") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux00024_renamed_1449 "zpuino/core/w1_tos_1_mux00024") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000223_renamed_1450 "zpuino/core/w1_tos_1_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000242_renamed_1451 "zpuino/core/w1_tos_1_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000256_renamed_1452 "zpuino/core/w1_tos_1_mux000256") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000281_renamed_1453 "zpuino/core/w1_tos_1_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002117_renamed_1454 "zpuino/core/w1_tos_1_mux0002117") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002164_renamed_1455 "zpuino/core/w1_tos_1_mux0002164") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002173 "zpuino/core/w1_tos_1_mux0002173") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000214_renamed_1456 "zpuino/core/w1_tos_2_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000223_renamed_1457 "zpuino/core/w1_tos_2_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000242_renamed_1458 "zpuino/core/w1_tos_2_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000268_renamed_1459 "zpuino/core/w1_tos_2_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000281_renamed_1460 "zpuino/core/w1_tos_2_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000289_renamed_1461 "zpuino/core/w1_tos_2_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002102_renamed_1462 "zpuino/core/w1_tos_2_mux0002102") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002171_renamed_1463 "zpuino/core/w1_tos_2_mux0002171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002180 "zpuino/core/w1_tos_2_mux0002180") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000214_renamed_1464 "zpuino/core/w1_tos_3_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000223_renamed_1465 "zpuino/core/w1_tos_3_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000242_renamed_1466 "zpuino/core/w1_tos_3_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000268_renamed_1467 "zpuino/core/w1_tos_3_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000281_renamed_1468 "zpuino/core/w1_tos_3_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000289_renamed_1469 "zpuino/core/w1_tos_3_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002102_renamed_1470 "zpuino/core/w1_tos_3_mux0002102") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002171_renamed_1471 "zpuino/core/w1_tos_3_mux0002171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002180 "zpuino/core/w1_tos_3_mux0002180") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_4_14 "zpuino/core/decr_fetchpc_mux0000<4>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_3_14 "zpuino/core/decr_fetchpc_mux0000<3>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_2_14 "zpuino/core/decr_fetchpc_mux0000<2>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_1_14 "zpuino/core/decr_fetchpc_mux0000<1>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_13_14 "zpuino/core/decr_fetchpc_mux0000<13>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_12_14 "zpuino/core/decr_fetchpc_mux0000<12>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_11_14 "zpuino/core/decr_fetchpc_mux0000<11>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_10_14 "zpuino/core/decr_fetchpc_mux0000<10>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_0_14 "zpuino/core/decr_fetchpc_mux0000<0>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000214_renamed_1472 "zpuino/core/w1_tos_4_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000223_renamed_1473 "zpuino/core/w1_tos_4_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000242_renamed_1474 "zpuino/core/w1_tos_4_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000268_renamed_1475 "zpuino/core/w1_tos_4_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000281_renamed_1476 "zpuino/core/w1_tos_4_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000289_renamed_1477 "zpuino/core/w1_tos_4_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002102_renamed_1478 "zpuino/core/w1_tos_4_mux0002102") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002171_renamed_1479 "zpuino/core/w1_tos_4_mux0002171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002180 "zpuino/core/w1_tos_4_mux0002180") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000214_renamed_1480 "zpuino/core/w1_tos_5_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000223_renamed_1481 "zpuino/core/w1_tos_5_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000242_renamed_1482 "zpuino/core/w1_tos_5_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000268_renamed_1483 "zpuino/core/w1_tos_5_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000281_renamed_1484 "zpuino/core/w1_tos_5_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000289_renamed_1485 "zpuino/core/w1_tos_5_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002102_renamed_1486 "zpuino/core/w1_tos_5_mux0002102") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002171_renamed_1487 "zpuino/core/w1_tos_5_mux0002171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002180 "zpuino/core/w1_tos_5_mux0002180") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000210_renamed_1488 "zpuino/core/w1_tos_6_mux000210") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000237_renamed_1489 "zpuino/core/w1_tos_6_mux000237") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000260_renamed_1490 "zpuino/core/w1_tos_6_mux000260") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000272_renamed_1491 "zpuino/core/w1_tos_6_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000285_renamed_1492 "zpuino/core/w1_tos_6_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000293_renamed_1493 "zpuino/core/w1_tos_6_mux000293") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002106_renamed_1494 "zpuino/core/w1_tos_6_mux0002106") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002107_renamed_1495 "zpuino/core/w1_tos_6_mux0002107") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002115_renamed_1496 "zpuino/core/w1_tos_6_mux0002115") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux000012_renamed_1497 "gpio_inst/spp_read_1_mux000012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000112_renamed_1498 "gpio_inst/spp_read_1_mux0000112") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000169_renamed_1499 "gpio_inst/spp_read_1_mux0000169") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000254_renamed_1500 "gpio_inst/spp_read_1_mux0000254") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000290_renamed_1501 "gpio_inst/spp_read_1_mux0000290") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000305_renamed_1502 "gpio_inst/spp_read_1_mux0000305") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000434_renamed_1503 "gpio_inst/spp_read_1_mux0000434") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000451_renamed_1504 "gpio_inst/spp_read_1_mux0000451") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000481_renamed_1505 "gpio_inst/spp_read_1_mux0000481") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000496_renamed_1506 "gpio_inst/spp_read_1_mux0000496") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000626_renamed_1507 "gpio_inst/spp_read_1_mux0000626") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000670_renamed_1508 "gpio_inst/spp_read_1_mux0000670") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF32") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000210_renamed_1509 "zpuino/core/w1_tos_7_mux000210") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000260_renamed_1510 "zpuino/core/w1_tos_7_mux000260") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000272_renamed_1511 "zpuino/core/w1_tos_7_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000285_renamed_1512 "zpuino/core/w1_tos_7_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000293_renamed_1513 "zpuino/core/w1_tos_7_mux000293") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002106_renamed_1514 "zpuino/core/w1_tos_7_mux0002106") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002107_renamed_1515 "zpuino/core/w1_tos_7_mux0002107") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002115_renamed_1516 "zpuino/core/w1_tos_7_mux0002115") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000239_renamed_1517 "zpuino/core/w1_tos_8_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000251_renamed_1518 "zpuino/core/w1_tos_8_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000264_renamed_1519 "zpuino/core/w1_tos_8_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000272_renamed_1520 "zpuino/core/w1_tos_8_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000285_renamed_1521 "zpuino/core/w1_tos_8_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000286_renamed_1522 "zpuino/core/w1_tos_8_mux000286") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000294_renamed_1523 "zpuino/core/w1_tos_8_mux000294") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000239_renamed_1524 "zpuino/core/w1_tos_9_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000251_renamed_1525 "zpuino/core/w1_tos_9_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000264_renamed_1526 "zpuino/core/w1_tos_9_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000272_renamed_1527 "zpuino/core/w1_tos_9_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000285_renamed_1528 "zpuino/core/w1_tos_9_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000286_renamed_1529 "zpuino/core/w1_tos_9_mux000286") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000294_renamed_1530 "zpuino/core/w1_tos_9_mux000294") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000239_renamed_1531 "zpuino/core/w1_tos_10_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000251_renamed_1532 "zpuino/core/w1_tos_10_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000264_renamed_1533 "zpuino/core/w1_tos_10_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000272_renamed_1534 "zpuino/core/w1_tos_10_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000285_renamed_1535 "zpuino/core/w1_tos_10_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000286_renamed_1536 "zpuino/core/w1_tos_10_mux000286") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000294_renamed_1537 "zpuino/core/w1_tos_10_mux000294") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_12_11 "zpuino/core/decr_decodedOpcode_mux0000<12>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_12_1 "zpuino/core/decr_tosSource_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_6_1 "zpuino/core/decr_decodedOpcode_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_3_1 "zpuino/core/decr_decodedOpcode_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_27_1 "zpuino/core/decr_decodedOpcode_mux0000<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_25_1 "zpuino/core/decr_decodedOpcode_mux0000<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_17__ "zpuino/core/decr_tosSource_mux0000<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_13__ "zpuino/core/decr_tosSource_mux0000<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F4F0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538 "zpuino/core/decr_decodedOpcode_mux0000<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F4F0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539 "zpuino/core/decr_decodedOpcode_mux0000<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540 "zpuino/core/decr_decodedOpcode_mux0000<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541 "zpuino/core/decr_decodedOpcode_mux0000<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2F0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542 "zpuino/core/decr_decodedOpcode_mux0000<33>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543 "zpuino/core/decr_decodedOpcode_mux0000<32>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544 "zpuino/core/decr_decodedOpcode_mux0000<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545 "zpuino/core/decr_decodedOpcode_mux0000<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546 "zpuino/core/decr_decodedOpcode_mux0000<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547 "zpuino/core/decr_decodedOpcode_mux0000<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548 "zpuino/core/decr_decodedOpcode_mux0000<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_82 "zpuino/core/decr_tosSource_mux0000<1>82") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEF0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_mux000018_renamed_1549 "zpuino/core/decr_break_mux000018") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_mux000042_renamed_1550 "zpuino/core/decr_break_mux000042") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_8_1 "zpuino/core/decr_decodedOpcode_mux0000<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_5_1 "zpuino/core/decr_decodedOpcode_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_12_1 "zpuino/core/decr_decodedOpcode_mux0000<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000239_renamed_1551 "zpuino/core/w1_tos_11_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000251_renamed_1552 "zpuino/core/w1_tos_11_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000264_renamed_1553 "zpuino/core/w1_tos_11_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000273_renamed_1554 "zpuino/core/w1_tos_11_mux000273") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000285_renamed_1555 "zpuino/core/w1_tos_11_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002101_renamed_1556 "zpuino/core/w1_tos_11_mux0002101") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002149_renamed_1557 "zpuino/core/w1_tos_11_mux0002149") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002158 "zpuino/core/w1_tos_11_mux0002158") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000239_renamed_1558 "zpuino/core/w1_tos_12_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000251_renamed_1559 "zpuino/core/w1_tos_12_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000264_renamed_1560 "zpuino/core/w1_tos_12_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000273_renamed_1561 "zpuino/core/w1_tos_12_mux000273") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000285_renamed_1562 "zpuino/core/w1_tos_12_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002126_renamed_1563 "zpuino/core/w1_tos_12_mux0002126") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002158 "zpuino/core/w1_tos_12_mux0002158") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000239_renamed_1564 "zpuino/core/w1_tos_13_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000251_renamed_1565 "zpuino/core/w1_tos_13_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000264_renamed_1566 "zpuino/core/w1_tos_13_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000273_renamed_1567 "zpuino/core/w1_tos_13_mux000273") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000285_renamed_1568 "zpuino/core/w1_tos_13_mux000285") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002101_renamed_1569 "zpuino/core/w1_tos_13_mux0002101") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002149_renamed_1570 "zpuino/core/w1_tos_13_mux0002149") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002158 "zpuino/core/w1_tos_13_mux0002158") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000239_renamed_1571 "zpuino/core/w1_tos_14_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000251_renamed_1572 "zpuino/core/w1_tos_14_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000264_renamed_1573 "zpuino/core/w1_tos_14_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000272_renamed_1574 "zpuino/core/w1_tos_14_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000284_renamed_1575 "zpuino/core/w1_tos_14_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux0002108_renamed_1576 "zpuino/core/w1_tos_14_mux0002108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux0002140 "zpuino/core/w1_tos_14_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000239_renamed_1577 "zpuino/core/w1_tos_15_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000251_renamed_1578 "zpuino/core/w1_tos_15_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000264_renamed_1579 "zpuino/core/w1_tos_15_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000272_renamed_1580 "zpuino/core/w1_tos_15_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000284_renamed_1581 "zpuino/core/w1_tos_15_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux0002131_renamed_1582 "zpuino/core/w1_tos_15_mux0002131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux0002140 "zpuino/core/w1_tos_15_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000239_renamed_1583 "zpuino/core/w1_tos_16_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000251_renamed_1584 "zpuino/core/w1_tos_16_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000267_renamed_1585 "zpuino/core/w1_tos_16_mux000267") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000270_renamed_1586 "zpuino/core/w1_tos_16_mux000270") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000281_renamed_1587 "zpuino/core/w1_tos_16_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux0002103_renamed_1588 "zpuino/core/w1_tos_16_mux0002103") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux0002136 "zpuino/core/w1_tos_16_mux0002136") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000239_renamed_1589 "zpuino/core/w1_tos_17_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000251_renamed_1590 "zpuino/core/w1_tos_17_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000264_renamed_1591 "zpuino/core/w1_tos_17_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000272_renamed_1592 "zpuino/core/w1_tos_17_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000284_renamed_1593 "zpuino/core/w1_tos_17_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux0002108_renamed_1594 "zpuino/core/w1_tos_17_mux0002108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux0002140 "zpuino/core/w1_tos_17_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000239_renamed_1595 "zpuino/core/w1_tos_18_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000251_renamed_1596 "zpuino/core/w1_tos_18_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000264_renamed_1597 "zpuino/core/w1_tos_18_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000272_renamed_1598 "zpuino/core/w1_tos_18_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000284_renamed_1599 "zpuino/core/w1_tos_18_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux0002108_renamed_1600 "zpuino/core/w1_tos_18_mux0002108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux0002140 "zpuino/core/w1_tos_18_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_Out161 "zpuino/core/exr.state_Out161") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_iomemmux_m_wb_ack_o1 "zpuino/iomemmux/m_wb_ack_o1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000239_renamed_1601 "zpuino/core/w1_tos_19_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000251_renamed_1602 "zpuino/core/w1_tos_19_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000267_renamed_1603 "zpuino/core/w1_tos_19_mux000267") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000270_renamed_1604 "zpuino/core/w1_tos_19_mux000270") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000281_renamed_1605 "zpuino/core/w1_tos_19_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux0002127_renamed_1606 "zpuino/core/w1_tos_19_mux0002127") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux0002136 "zpuino/core/w1_tos_19_mux0002136") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_31_1 "zpuino/core/nos<31>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000239_renamed_1607 "zpuino/core/w1_tos_20_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000251_renamed_1608 "zpuino/core/w1_tos_20_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000264_renamed_1609 "zpuino/core/w1_tos_20_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000272_renamed_1610 "zpuino/core/w1_tos_20_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000284_renamed_1611 "zpuino/core/w1_tos_20_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux0002131_renamed_1612 "zpuino/core/w1_tos_20_mux0002131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux0002140 "zpuino/core/w1_tos_20_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000239_renamed_1613 "zpuino/core/w1_tos_21_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000251_renamed_1614 "zpuino/core/w1_tos_21_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000264_renamed_1615 "zpuino/core/w1_tos_21_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000272_renamed_1616 "zpuino/core/w1_tos_21_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000284_renamed_1617 "zpuino/core/w1_tos_21_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux0002131_renamed_1618 "zpuino/core/w1_tos_21_mux0002131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux0002140 "zpuino/core/w1_tos_21_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000239_renamed_1619 "zpuino/core/w1_tos_22_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000251_renamed_1620 "zpuino/core/w1_tos_22_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000264_renamed_1621 "zpuino/core/w1_tos_22_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000272_renamed_1622 "zpuino/core/w1_tos_22_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000284_renamed_1623 "zpuino/core/w1_tos_22_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux0002131_renamed_1624 "zpuino/core/w1_tos_22_mux0002131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux0002140 "zpuino/core/w1_tos_22_mux0002140") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000239_renamed_1625 "zpuino/core/w1_tos_23_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000251_renamed_1626 "zpuino/core/w1_tos_23_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000264_renamed_1627 "zpuino/core/w1_tos_23_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000272_renamed_1628 "zpuino/core/w1_tos_23_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000284_renamed_1629 "zpuino/core/w1_tos_23_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_27_1 "zpuino/core/nos<27>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000239_renamed_1630 "zpuino/core/w1_tos_24_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000251_renamed_1631 "zpuino/core/w1_tos_24_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000264_renamed_1632 "zpuino/core/w1_tos_24_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000272_renamed_1633 "zpuino/core/w1_tos_24_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000284_renamed_1634 "zpuino/core/w1_tos_24_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000239_renamed_1635 "zpuino/core/w1_tos_25_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000251_renamed_1636 "zpuino/core/w1_tos_25_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000264_renamed_1637 "zpuino/core/w1_tos_25_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000272_renamed_1638 "zpuino/core/w1_tos_25_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000284_renamed_1639 "zpuino/core/w1_tos_25_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_14_11 "zpuino/core/decr_tosSource_mux0000<14>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_5_1 "zpuino/core/decr_tosSource_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_15_1 "zpuino/core/decr_tosSource_mux0000<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_14_1 "zpuino/core/decr_tosSource_mux0000<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10__ "zpuino/core/decr_tosSource_mux0000<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy10_renamed_1640 "zpuino/core/exu_busy10") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy32_renamed_1641 "zpuino/core/exu_busy32") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BA30") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642 "zpuino/core/decr_stackOperation_mux0000<2>47") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000239_renamed_1643 "zpuino/core/w1_tos_26_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000251_renamed_1644 "zpuino/core/w1_tos_26_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000264_renamed_1645 "zpuino/core/w1_tos_26_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000272_renamed_1646 "zpuino/core/w1_tos_26_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000284_renamed_1647 "zpuino/core/w1_tos_26_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000251_renamed_1648 "zpuino/core/w1_tos_27_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000264_renamed_1649 "zpuino/core/w1_tos_27_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000272_renamed_1650 "zpuino/core/w1_tos_27_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000284_renamed_1651 "zpuino/core/w1_tos_27_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000239_renamed_1652 "zpuino/core/w1_tos_28_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000251_renamed_1653 "zpuino/core/w1_tos_28_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000264_renamed_1654 "zpuino/core/w1_tos_28_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000272_renamed_1655 "zpuino/core/w1_tos_28_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000284_renamed_1656 "zpuino/core/w1_tos_28_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux0002108_renamed_1657 "zpuino/core/w1_tos_28_mux0002108") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000239_renamed_1658 "zpuino/core/w1_tos_29_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000251_renamed_1659 "zpuino/core/w1_tos_29_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000264_renamed_1660 "zpuino/core/w1_tos_29_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000272_renamed_1661 "zpuino/core/w1_tos_29_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000284_renamed_1662 "zpuino/core/w1_tos_29_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000239_renamed_1663 "zpuino/core/w1_tos_30_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000251_renamed_1664 "zpuino/core/w1_tos_30_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000264_renamed_1665 "zpuino/core/w1_tos_30_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000272_renamed_1666 "zpuino/core/w1_tos_30_mux000272") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000284_renamed_1667 "zpuino/core/w1_tos_30_mux000284") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000239_renamed_1668 "zpuino/core/w1_tos_31_mux000239") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000251_renamed_1669 "zpuino/core/w1_tos_31_mux000251") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000275_renamed_1670 "zpuino/core/w1_tos_31_mux000275") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000288_renamed_1671 "zpuino/core/w1_tos_31_mux000288") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux0000121 "zpuino/core/decr_opWillFreeze_mux0000121") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672 "zpuino/core/decr_tosSource_mux0000<4>8") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_5_1 "zpuino/core/nos<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_4_1 "zpuino/core/nos<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_3_1 "zpuino/core/nos<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673 "zpuino/core/decr_stackOperation_mux0000<1>23") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674 "zpuino/core/decr_stackOperation_mux0000<1>62") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "00DC") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_2_1 "zpuino/core/nos<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_1_1 "zpuino/core/nos<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000214_renamed_1675 "zpuino/core/w1_tos_0_mux000214") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000223_renamed_1676 "zpuino/core/w1_tos_0_mux000223") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000242_renamed_1677 "zpuino/core/w1_tos_0_mux000242") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000268_renamed_1678 "zpuino/core/w1_tos_0_mux000268") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000281_renamed_1679 "zpuino/core/w1_tos_0_mux000281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000289_renamed_1680 "zpuino/core/w1_tos_0_mux000289") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002101_renamed_1681 "zpuino/core/w1_tos_0_mux0002101") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002158_renamed_1682 "zpuino/core/w1_tos_0_mux0002158") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002207 "zpuino/core/w1_tos_0_mux0002207") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename SPI_MISO_IBUF_renamed_1683 "SPI_MISO_IBUF") - (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename RXD_IBUF_renamed_1684 "RXD_IBUF") - (viewRef view_1 (cellRef IBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_0__rt_renamed_1685 "slot9/Madd_vga_ram_address_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_1__rt_renamed_1686 "slot9/Madd_vga_ram_address_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_2__rt_renamed_1687 "slot9/Madd_vga_ram_address_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_3__rt_renamed_1688 "slot9/Madd_vga_ram_address_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_4__rt_renamed_1689 "slot9/Madd_vga_ram_address_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_12__rt_renamed_1690 "slot9/Madd_vga_ram_address_cy<12>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_cy_13__rt_renamed_1691 "slot9/Madd_vga_ram_address_cy<13>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_1__rt_renamed_1692 "zpuino/core/Madd_stack_b_addr_add0000_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_2__rt_renamed_1693 "zpuino/core/Madd_stack_b_addr_add0000_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_3__rt_renamed_1694 "zpuino/core/Madd_stack_b_addr_add0000_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_4__rt_renamed_1695 "zpuino/core/Madd_stack_b_addr_add0000_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_5__rt_renamed_1696 "zpuino/core/Madd_stack_b_addr_add0000_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_6__rt_renamed_1697 "zpuino/core/Madd_stack_b_addr_add0000_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_cy_7__rt_renamed_1698 "zpuino/core/Madd_stack_b_addr_add0000_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_1__rt_renamed_1699 "zpuino/core/Madd_pcnext_add0000_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_2__rt_renamed_1700 "zpuino/core/Madd_pcnext_add0000_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_3__rt_renamed_1701 "zpuino/core/Madd_pcnext_add0000_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_4__rt_renamed_1702 "zpuino/core/Madd_pcnext_add0000_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_5__rt_renamed_1703 "zpuino/core/Madd_pcnext_add0000_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_6__rt_renamed_1704 "zpuino/core/Madd_pcnext_add0000_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_7__rt_renamed_1705 "zpuino/core/Madd_pcnext_add0000_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_8__rt_renamed_1706 "zpuino/core/Madd_pcnext_add0000_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_9__rt_renamed_1707 "zpuino/core/Madd_pcnext_add0000_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_10__rt_renamed_1708 "zpuino/core/Madd_pcnext_add0000_cy<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_11__rt_renamed_1709 "zpuino/core/Madd_pcnext_add0000_cy<11>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_cy_12__rt_renamed_1710 "zpuino/core/Madd_pcnext_add0000_cy<12>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__rt_renamed_1711 "zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__rt_renamed_1712 "zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__rt_renamed_1713 "zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__rt_renamed_1714 "zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__rt_renamed_1715 "zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__rt_renamed_1716 "zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__rt_renamed_1717 "zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_1__rt_renamed_1718 "slot9/Mcount_vcount_q_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_2__rt_renamed_1719 "slot9/Mcount_vcount_q_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_3__rt_renamed_1720 "slot9/Mcount_vcount_q_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_4__rt_renamed_1721 "slot9/Mcount_vcount_q_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_5__rt_renamed_1722 "slot9/Mcount_vcount_q_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_6__rt_renamed_1723 "slot9/Mcount_vcount_q_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_7__rt_renamed_1724 "slot9/Mcount_vcount_q_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_cy_8__rt_renamed_1725 "slot9/Mcount_vcount_q_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_1__rt_renamed_1726 "slot9/Mcount_hcount_q_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_2__rt_renamed_1727 "slot9/Mcount_hcount_q_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_3__rt_renamed_1728 "slot9/Mcount_hcount_q_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_4__rt_renamed_1729 "slot9/Mcount_hcount_q_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_5__rt_renamed_1730 "slot9/Mcount_hcount_q_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_6__rt_renamed_1731 "slot9/Mcount_hcount_q_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_7__rt_renamed_1732 "slot9/Mcount_hcount_q_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_8__rt_renamed_1733 "slot9/Mcount_hcount_q_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_cy_9__rt_renamed_1734 "slot9/Mcount_hcount_q_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_1__rt_renamed_1735 "slot9/Mcount_hdisp_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_2__rt_renamed_1736 "slot9/Mcount_hdisp_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_3__rt_renamed_1737 "slot9/Mcount_hdisp_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_4__rt_renamed_1738 "slot9/Mcount_hdisp_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_5__rt_renamed_1739 "slot9/Mcount_hdisp_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_6__rt_renamed_1740 "slot9/Mcount_hdisp_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_7__rt_renamed_1741 "slot9/Mcount_hdisp_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_8__rt_renamed_1742 "slot9/Mcount_hdisp_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_9__rt_renamed_1743 "slot9/Mcount_hdisp_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_cy_10__rt_renamed_1744 "slot9/Mcount_hdisp_cy<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_6__rt_renamed_1745 "slot9/Maccum_vga_v_offset_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_8__rt_renamed_1746 "slot9/Maccum_vga_v_offset_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_9__rt_renamed_1747 "slot9/Maccum_vga_v_offset_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_10__rt_renamed_1748 "slot9/Maccum_vga_v_offset_cy<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_11__rt_renamed_1749 "slot9/Maccum_vga_v_offset_cy<11>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_12__rt_renamed_1750 "slot9/Maccum_vga_v_offset_cy<12>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_cy_13__rt_renamed_1751 "slot9/Maccum_vga_v_offset_cy<13>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1752 "slot0/zspiclk/pr/Mcount_counter_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1753 "slot0/zspiclk/pr/Mcount_counter_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1754 "slot0/zspiclk/pr/Mcount_counter_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1755 "slot0/zspiclk/pr/Mcount_counter_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1756 "slot0/zspiclk/pr/Mcount_counter_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1757 "slot0/zspiclk/pr/Mcount_counter_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1758 "slot0/zspiclk/pr/Mcount_counter_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1759 "slot0/zspiclk/pr/Mcount_counter_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1760 "slot1/zspiclk/pr/Mcount_counter_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1761 "slot1/zspiclk/pr/Mcount_counter_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1762 "slot1/zspiclk/pr/Mcount_counter_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1763 "slot1/zspiclk/pr/Mcount_counter_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1764 "slot1/zspiclk/pr/Mcount_counter_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1765 "slot1/zspiclk/pr/Mcount_counter_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1766 "slot1/zspiclk/pr/Mcount_counter_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1767 "slot1/zspiclk/pr/Mcount_counter_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__rt_renamed_1768 "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_1__rt_renamed_1769 "uart_inst/fifo_instance/Mcount_rdaddr_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_2__rt_renamed_1770 "uart_inst/fifo_instance/Mcount_rdaddr_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_3__rt_renamed_1771 "uart_inst/fifo_instance/Mcount_rdaddr_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_4__rt_renamed_1772 "uart_inst/fifo_instance/Mcount_rdaddr_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_5__rt_renamed_1773 "uart_inst/fifo_instance/Mcount_rdaddr_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_6__rt_renamed_1774 "uart_inst/fifo_instance/Mcount_rdaddr_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_7__rt_renamed_1775 "uart_inst/fifo_instance/Mcount_rdaddr_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_8__rt_renamed_1776 "uart_inst/fifo_instance/Mcount_rdaddr_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_9__rt_renamed_1777 "uart_inst/fifo_instance/Mcount_rdaddr_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_1__rt_renamed_1778 "uart_inst/fifo_instance/Mcount_wraddr_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_2__rt_renamed_1779 "uart_inst/fifo_instance/Mcount_wraddr_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_3__rt_renamed_1780 "uart_inst/fifo_instance/Mcount_wraddr_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_4__rt_renamed_1781 "uart_inst/fifo_instance/Mcount_wraddr_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_5__rt_renamed_1782 "uart_inst/fifo_instance/Mcount_wraddr_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_6__rt_renamed_1783 "uart_inst/fifo_instance/Mcount_wraddr_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_7__rt_renamed_1784 "uart_inst/fifo_instance/Mcount_wraddr_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_8__rt_renamed_1785 "uart_inst/fifo_instance/Mcount_wraddr_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_cy_9__rt_renamed_1786 "uart_inst/fifo_instance/Mcount_wraddr_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1787 "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1788 "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1789 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_1__rt_renamed_1790 "timers_inst/timer0_inst/Mcount_TSC_q_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_2__rt_renamed_1791 "timers_inst/timer0_inst/Mcount_TSC_q_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_3__rt_renamed_1792 "timers_inst/timer0_inst/Mcount_TSC_q_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_4__rt_renamed_1793 "timers_inst/timer0_inst/Mcount_TSC_q_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_5__rt_renamed_1794 "timers_inst/timer0_inst/Mcount_TSC_q_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_6__rt_renamed_1795 "timers_inst/timer0_inst/Mcount_TSC_q_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_7__rt_renamed_1796 "timers_inst/timer0_inst/Mcount_TSC_q_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_8__rt_renamed_1797 "timers_inst/timer0_inst/Mcount_TSC_q_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_9__rt_renamed_1798 "timers_inst/timer0_inst/Mcount_TSC_q_cy<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_10__rt_renamed_1799 "timers_inst/timer0_inst/Mcount_TSC_q_cy<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_11__rt_renamed_1800 "timers_inst/timer0_inst/Mcount_TSC_q_cy<11>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_12__rt_renamed_1801 "timers_inst/timer0_inst/Mcount_TSC_q_cy<12>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_13__rt_renamed_1802 "timers_inst/timer0_inst/Mcount_TSC_q_cy<13>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_14__rt_renamed_1803 "timers_inst/timer0_inst/Mcount_TSC_q_cy<14>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_15__rt_renamed_1804 "timers_inst/timer0_inst/Mcount_TSC_q_cy<15>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_16__rt_renamed_1805 "timers_inst/timer0_inst/Mcount_TSC_q_cy<16>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_17__rt_renamed_1806 "timers_inst/timer0_inst/Mcount_TSC_q_cy<17>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_18__rt_renamed_1807 "timers_inst/timer0_inst/Mcount_TSC_q_cy<18>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_19__rt_renamed_1808 "timers_inst/timer0_inst/Mcount_TSC_q_cy<19>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_20__rt_renamed_1809 "timers_inst/timer0_inst/Mcount_TSC_q_cy<20>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_21__rt_renamed_1810 "timers_inst/timer0_inst/Mcount_TSC_q_cy<21>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_22__rt_renamed_1811 "timers_inst/timer0_inst/Mcount_TSC_q_cy<22>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_23__rt_renamed_1812 "timers_inst/timer0_inst/Mcount_TSC_q_cy<23>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_24__rt_renamed_1813 "timers_inst/timer0_inst/Mcount_TSC_q_cy<24>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_25__rt_renamed_1814 "timers_inst/timer0_inst/Mcount_TSC_q_cy<25>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_26__rt_renamed_1815 "timers_inst/timer0_inst/Mcount_TSC_q_cy<26>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_27__rt_renamed_1816 "timers_inst/timer0_inst/Mcount_TSC_q_cy<27>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_28__rt_renamed_1817 "timers_inst/timer0_inst/Mcount_TSC_q_cy<28>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_29__rt_renamed_1818 "timers_inst/timer0_inst/Mcount_TSC_q_cy<29>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_30__rt_renamed_1819 "timers_inst/timer0_inst/Mcount_TSC_q_cy<30>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__rt_renamed_1820 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__rt_renamed_1821 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__rt_renamed_1822 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__rt_renamed_1823 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__rt_renamed_1824 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__rt_renamed_1825 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__rt_renamed_1826 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__rt_renamed_1827 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1828 "slot11/rx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1829 "slot11/tx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1830 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Madd_vga_ram_address_xor_14__rt_renamed_1831 "slot9/Madd_vga_ram_address_xor<14>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_xor_8__rt_renamed_1832 "zpuino/core/Madd_stack_b_addr_add0000_xor<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_xor_13__rt_renamed_1833 "zpuino/core/Madd_pcnext_add0000_xor<13>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__rt_renamed_1834 "zpuino/core/Madd_stack_a_addr_addsub0000_xor<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__rt_renamed_1835 "zpuino/core/Madd_stack_b_addr_addsub0000_xor<8>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_xor_9__rt_renamed_1836 "slot9/Mcount_vcount_q_xor<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_xor_10__rt_renamed_1837 "slot9/Mcount_hcount_q_xor<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_xor_11__rt_renamed_1838 "slot9/Mcount_hdisp_xor<11>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_xor_14__rt_renamed_1839 "slot9/Maccum_vga_v_offset_xor<14>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1840 "slot0/zspiclk/pr/Mcount_counter_xor<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1841 "slot1/zspiclk/pr/Mcount_counter_xor<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_10__rt_renamed_1842 "uart_inst/fifo_instance/Mcount_rdaddr_xor<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_xor_10__rt_renamed_1843 "uart_inst/fifo_instance/Mcount_wraddr_xor<10>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_31__rt_renamed_1844 "timers_inst/timer0_inst/Mcount_TSC_q_xor<31>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__rt_renamed_1845 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<9>_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_29_rt_renamed_1846 "slot_address<0><26>_6_f5_29_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_28_rt_renamed_1847 "slot_address<0><26>_6_f5_28_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_27_rt_renamed_1848 "slot_address<0><26>_6_f5_27_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_26_rt_renamed_1849 "slot_address<0><26>_6_f5_26_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_25_rt_renamed_1850 "slot_address<0><26>_6_f5_25_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_24_rt_renamed_1851 "slot_address<0><26>_6_f5_24_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_23_rt_renamed_1852 "slot_address<0><26>_6_f5_23_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_22_rt_renamed_1853 "slot_address<0><26>_6_f5_22_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_21_rt_renamed_1854 "slot_address<0><26>_6_f5_21_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_20_rt_renamed_1855 "slot_address<0><26>_6_f5_20_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_19_rt_renamed_1856 "slot_address<0><26>_6_f5_19_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_18_rt_renamed_1857 "slot_address<0><26>_6_f5_18_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_17_rt_renamed_1858 "slot_address<0><26>_6_f5_17_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_16_rt_renamed_1859 "slot_address<0><26>_6_f5_16_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_15_rt_renamed_1860 "slot_address<0><26>_6_f5_15_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_14_rt_renamed_1861 "slot_address<0><26>_6_f5_14_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_13_rt_renamed_1862 "slot_address<0><26>_6_f5_13_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_12_rt_renamed_1863 "slot_address<0><26>_6_f5_12_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_11_rt_renamed_1864 "slot_address<0><26>_6_f5_11_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_10_rt_renamed_1865 "slot_address<0><26>_6_f5_10_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_9_rt_renamed_1866 "slot_address<0><26>_6_f5_9_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_8_rt_renamed_1867 "slot_address<0><26>_6_f5_8_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_7_rt_renamed_1868 "slot_address<0><26>_6_f5_7_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_6_rt_renamed_1869 "slot_address<0><26>_6_f5_6_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_5_rt_renamed_1870 "slot_address<0><26>_6_f5_5_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_4_rt_renamed_1871 "slot_address<0><26>_6_f5_4_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_3_rt_renamed_1872 "slot_address<0><26>_6_f5_3_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_2_rt_renamed_1873 "slot_address<0><26>_6_f5_2_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_1_rt_renamed_1874 "slot_address<0><26>_6_f5_1_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_0_rt_renamed_1875 "slot_address<0><26>_6_f5_0_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__6_f5_rt_renamed_1876 "slot_address<0><26>_6_f5_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_Mmux__varindex0000_6_f5_rt_renamed_1877 "zpuino/io/Mmux__varindex0000_6_f5_rt") - (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_71 "gpio_inst/Mmux_wb_dat_o_4_f5_71") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_81 "gpio_inst/Mmux_wb_dat_o_4_f5_81") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_91 "gpio_inst/Mmux_wb_dat_o_4_f5_91") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_111 "gpio_inst/Mmux_wb_dat_o_4_f5_111") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_121 "gpio_inst/Mmux_wb_dat_o_4_f5_121") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename gpio_inst_Mmux_wb_dat_o_4_f5_131 "gpio_inst/Mmux_wb_dat_o_4_f5_131") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002182_renamed_1878 "zpuino/core/w1_tos_0_mux0002182") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_8__SW0_SW0 "zpuino/core/decr_tosSource_mux0000<8>_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_8__ "zpuino/core/decr_tosSource_mux0000<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_7__SW0_SW0 "zpuino/core/decr_tosSource_mux0000<7>_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_7__ "zpuino/core/decr_tosSource_mux0000<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_6__SW0_SW0 "zpuino/core/decr_tosSource_mux0000<6>_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_6__ "zpuino/core/decr_tosSource_mux0000<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_11__SW0_SW0 "zpuino/core/decr_tosSource_mux0000<11>_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_11__ "zpuino/core/decr_tosSource_mux0000<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_pfu_busy1 "zpuino/core/pfu_busy1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5551") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000052_renamed_1879 "zpuino/core/decr_opWillFreeze_mux000052") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0302") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux0000123 "zpuino/core/decr_opWillFreeze_mux0000123") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_0__ "zpuino/core/Madd_w1.tos_add0000_lut<0>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_1__ "zpuino/core/Madd_w1.tos_add0000_lut<1>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_2__ "zpuino/core/Madd_w1.tos_add0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_3__ "zpuino/core/Madd_w1.tos_add0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_4__ "zpuino/core/Madd_w1.tos_add0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<2>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_5__ "zpuino/core/Madd_w1.tos_add0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_4_111 "zpuino/core/decr_tosSource_mux0000<4>111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<3>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_6__ "zpuino/core/Madd_w1.tos_add0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<4>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_7__ "zpuino/core/Madd_w1.tos_add0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<5>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_8__ "zpuino/core/Madd_w1.tos_add0000_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_4_29 "zpuino/core/tOpcode_mux0001<4>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_4_29_F "zpuino/core/tOpcode_mux0001<4>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_4_29_G "zpuino/core/tOpcode_mux0001<4>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_3_29 "zpuino/core/tOpcode_mux0001<3>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_3_29_F "zpuino/core/tOpcode_mux0001<3>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_3_29_G "zpuino/core/tOpcode_mux0001<3>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_2_29 "zpuino/core/tOpcode_mux0001<2>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_2_29_F "zpuino/core/tOpcode_mux0001<2>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_2_29_G "zpuino/core/tOpcode_mux0001<2>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_1_29 "zpuino/core/tOpcode_mux0001<1>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_1_29_F "zpuino/core/tOpcode_mux0001<1>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_1_29_G "zpuino/core/tOpcode_mux0001<1>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_7_29 "zpuino/core/tOpcode_mux0001<7>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_7_29_F "zpuino/core/tOpcode_mux0001<7>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_7_29_G "zpuino/core/tOpcode_mux0001<7>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_6_29 "zpuino/core/tOpcode_mux0001<6>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_6_29_F "zpuino/core/tOpcode_mux0001<6>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_6_29_G "zpuino/core/tOpcode_mux0001<6>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_5_29 "zpuino/core/tOpcode_mux0001<5>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_5_29_F "zpuino/core/tOpcode_mux0001<5>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_5_29_G "zpuino/core/tOpcode_mux0001<5>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_0_29 "zpuino/core/tOpcode_mux0001<0>29") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_0_29_F "zpuino/core/tOpcode_mux0001<0>29_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_tOpcode_mux0001_0_29_G "zpuino/core/tOpcode_mux0001<0>29_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000224_SW0 "gpio_inst/gpio_o_9_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000224_renamed_1880 "gpio_inst/gpio_o_9_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000224_SW0 "gpio_inst/gpio_o_8_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000224_renamed_1881 "gpio_inst/gpio_o_8_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000224_SW0 "gpio_inst/gpio_o_7_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000224_renamed_1882 "gpio_inst/gpio_o_7_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000224_SW0 "gpio_inst/gpio_o_6_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000224_renamed_1883 "gpio_inst/gpio_o_6_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000224_SW0 "gpio_inst/gpio_o_5_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000224_renamed_1884 "gpio_inst/gpio_o_5_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000224_SW0 "gpio_inst/gpio_o_4_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000224_renamed_1885 "gpio_inst/gpio_o_4_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000224_SW0 "gpio_inst/gpio_o_47_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000224_renamed_1886 "gpio_inst/gpio_o_47_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000224_SW0 "gpio_inst/gpio_o_46_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000224_renamed_1887 "gpio_inst/gpio_o_46_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000224_SW0 "gpio_inst/gpio_o_45_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000224_renamed_1888 "gpio_inst/gpio_o_45_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000224_SW0 "gpio_inst/gpio_o_44_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000224_renamed_1889 "gpio_inst/gpio_o_44_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000224_SW0 "gpio_inst/gpio_o_43_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000224_renamed_1890 "gpio_inst/gpio_o_43_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000224_SW0 "gpio_inst/gpio_o_42_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000224_renamed_1891 "gpio_inst/gpio_o_42_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000224_SW0 "gpio_inst/gpio_o_41_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000224_renamed_1892 "gpio_inst/gpio_o_41_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000224_SW0 "gpio_inst/gpio_o_40_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000224_renamed_1893 "gpio_inst/gpio_o_40_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000224_SW0 "gpio_inst/gpio_o_3_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000224_renamed_1894 "gpio_inst/gpio_o_3_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000224_SW0 "gpio_inst/gpio_o_33_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000224_renamed_1895 "gpio_inst/gpio_o_33_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000224_SW0 "gpio_inst/gpio_o_32_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000224_renamed_1896 "gpio_inst/gpio_o_32_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000224_SW0 "gpio_inst/gpio_o_31_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000224_renamed_1897 "gpio_inst/gpio_o_31_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000224_SW0 "gpio_inst/gpio_o_30_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000224_renamed_1898 "gpio_inst/gpio_o_30_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000224_SW0 "gpio_inst/gpio_o_2_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000224_renamed_1899 "gpio_inst/gpio_o_2_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000224_SW0 "gpio_inst/gpio_o_29_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000224_renamed_1900 "gpio_inst/gpio_o_29_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000224_SW0 "gpio_inst/gpio_o_28_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000224_renamed_1901 "gpio_inst/gpio_o_28_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000224_SW0 "gpio_inst/gpio_o_27_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000224_renamed_1902 "gpio_inst/gpio_o_27_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000224_SW0 "gpio_inst/gpio_o_26_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000224_renamed_1903 "gpio_inst/gpio_o_26_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000224_SW0 "gpio_inst/gpio_o_25_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000224_renamed_1904 "gpio_inst/gpio_o_25_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000224_SW0 "gpio_inst/gpio_o_24_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000224_renamed_1905 "gpio_inst/gpio_o_24_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000224_SW0 "gpio_inst/gpio_o_1_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000224_renamed_1906 "gpio_inst/gpio_o_1_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000224_SW0 "gpio_inst/gpio_o_15_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000224_renamed_1907 "gpio_inst/gpio_o_15_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000224_SW0 "gpio_inst/gpio_o_14_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000224_renamed_1908 "gpio_inst/gpio_o_14_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000224_SW0 "gpio_inst/gpio_o_13_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000224_renamed_1909 "gpio_inst/gpio_o_13_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000224_SW0 "gpio_inst/gpio_o_12_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000224_renamed_1910 "gpio_inst/gpio_o_12_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000224_SW0 "gpio_inst/gpio_o_11_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000224_renamed_1911 "gpio_inst/gpio_o_11_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000224_SW0 "gpio_inst/gpio_o_10_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000224_renamed_1912 "gpio_inst/gpio_o_10_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000224_SW0 "gpio_inst/gpio_o_0_mux000224_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000224_renamed_1913 "gpio_inst/gpio_o_0_mux000224") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2F2A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914 "zpuino/core/decr_stackOperation_mux0000<2>34") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "082A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915 "zpuino/core/decr_fetchpc_mux0000<9>19") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__928_renamed_1916 "slot_address<0><26>_928") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__927_renamed_1917 "slot_address<0><26>_927") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__926_renamed_1918 "slot_address<0><26>_926") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__925_renamed_1919 "slot_address<0><26>_925") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__924_renamed_1920 "slot_address<0><26>_924") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D08") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux000068_renamed_1921 "zpuino/core/wroteback_q_mux000068") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF32") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922 "zpuino/core/decr_fetchpc_mux0000<8>19") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923 "zpuino/core/decr_fetchpc_mux0000<7>19") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924 "zpuino/core/decr_tosSource_mux0000<1>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0199") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__930_renamed_1925 "slot_address<0><26>_930") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__929_renamed_1926 "slot_address<0><26>_929") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__923_renamed_1927 "slot_address<0><26>_923") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__723_renamed_1928 "slot_address<0><26>_723") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__922_renamed_1929 "slot_address<0><26>_922") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__722_renamed_1930 "slot_address<0><26>_722") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__920_renamed_1931 "slot_address<0><26>_920") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__720_renamed_1932 "slot_address<0><26>_720") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__919_renamed_1933 "slot_address<0><26>_919") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__719_renamed_1934 "slot_address<0><26>_719") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__918_renamed_1935 "slot_address<0><26>_918") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__718_renamed_1936 "slot_address<0><26>_718") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__917_renamed_1937 "slot_address<0><26>_917") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__717_renamed_1938 "slot_address<0><26>_717") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__916_renamed_1939 "slot_address<0><26>_916") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__716_renamed_1940 "slot_address<0><26>_716") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__915_renamed_1941 "slot_address<0><26>_915") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__715_renamed_1942 "slot_address<0><26>_715") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__914_renamed_1943 "slot_address<0><26>_914") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__714_renamed_1944 "slot_address<0><26>_714") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__913_renamed_1945 "slot_address<0><26>_913") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__713_renamed_1946 "slot_address<0><26>_713") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__912_renamed_1947 "slot_address<0><26>_912") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__712_renamed_1948 "slot_address<0><26>_712") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__911_renamed_1949 "slot_address<0><26>_911") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__711_renamed_1950 "slot_address<0><26>_711") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__99_renamed_1951 "slot_address<0><26>_99") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__79_renamed_1952 "slot_address<0><26>_79") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__98_renamed_1953 "slot_address<0><26>_98") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__78_renamed_1954 "slot_address<0><26>_78") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__97_renamed_1955 "slot_address<0><26>_97") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__77_renamed_1956 "slot_address<0><26>_77") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__96_renamed_1957 "slot_address<0><26>_96") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__76_renamed_1958 "slot_address<0><26>_76") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__95_renamed_1959 "slot_address<0><26>_95") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__94_renamed_1960 "slot_address<0><26>_94") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__93_renamed_1961 "slot_address<0><26>_93") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__92_renamed_1962 "slot_address<0><26>_92") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__91_renamed_1963 "slot_address<0><26>_91") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__9_renamed_1964 "slot_address<0><26>_9") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_pwm_out_0_or00001 "timers_inst/timer1_inst/pwm_out_0_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pwm_out_0_or00001 "timers_inst/timer0_inst/pwm_out_0_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_4__ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_6") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966 "slot0/zspiclk/pr/Mmux_event_i_6") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967 "slot1/zspiclk/pr/Mmux_event_i_6") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5D") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_enable40 "zpuino/core/stack_b_enable40") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968 "zpuino/core/decr_fetchpc_mux0000<6>19") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_mux000089 "zpuino/core/decr_break_mux000089") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D5C4") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_77_renamed_1969 "zpuino/io/io_read_selected<2>77") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_77_renamed_1970 "zpuino/io/io_read_selected<1>77") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_83_renamed_1971 "zpuino/io/io_read_selected<0>83") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA8") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975 "slot0/zspiclk/pr/Mmux_event_i_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976 "slot0/zspiclk/pr/Mmux_event_i_5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977 "slot0/zspiclk/pr/Mmux_event_i_4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978 "slot1/zspiclk/pr/Mmux_event_i_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979 "slot1/zspiclk/pr/Mmux_event_i_5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980 "slot1/zspiclk/pr/Mmux_event_i_4") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux0002201 "gpio_inst/gpio_o_9_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux0002201 "gpio_inst/gpio_o_8_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux0002201 "gpio_inst/gpio_o_7_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux0002201 "gpio_inst/gpio_o_6_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux0002201 "gpio_inst/gpio_o_5_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux0002201 "gpio_inst/gpio_o_4_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux0002201 "gpio_inst/gpio_o_47_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux0002201 "gpio_inst/gpio_o_46_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux0002201 "gpio_inst/gpio_o_45_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux0002201 "gpio_inst/gpio_o_44_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux0002201 "gpio_inst/gpio_o_43_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux0002201 "gpio_inst/gpio_o_42_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux0002201 "gpio_inst/gpio_o_41_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux0002201 "gpio_inst/gpio_o_40_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux0002201 "gpio_inst/gpio_o_3_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux0002201 "gpio_inst/gpio_o_33_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux0002201 "gpio_inst/gpio_o_32_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux0002201 "gpio_inst/gpio_o_31_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux0002201 "gpio_inst/gpio_o_30_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux0002201 "gpio_inst/gpio_o_2_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux0002201 "gpio_inst/gpio_o_29_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux0002201 "gpio_inst/gpio_o_28_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux0002201 "gpio_inst/gpio_o_27_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux0002201 "gpio_inst/gpio_o_26_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux0002201 "gpio_inst/gpio_o_25_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux0002201 "gpio_inst/gpio_o_24_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux0002201 "gpio_inst/gpio_o_1_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux0002201 "gpio_inst/gpio_o_15_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux0002201 "gpio_inst/gpio_o_14_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux0002201 "gpio_inst/gpio_o_13_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux0002201 "gpio_inst/gpio_o_12_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux0002201 "gpio_inst/gpio_o_11_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux0002201 "gpio_inst/gpio_o_10_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux0002201 "gpio_inst/gpio_o_0_mux0002201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux0001132 "uart_inst/tx_core/txd_r_mux0001132") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux0001132 "slot11/tx_core/txd_r_mux0001132") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename slot9_v_display_not000122_renamed_1981 "slot9/v_display_not000122") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_114 "zpuino/io/io_read_selected<7>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_114 "zpuino/io/io_read_selected<6>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_114 "zpuino/io/io_read_selected<5>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_114 "zpuino/io/io_read_selected<4>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_114 "zpuino/io/io_read_selected<3>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000460_renamed_1982 "gpio_inst/spp_read_1_mux0000460") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000296_renamed_1983 "zpuino/core/w1_tos_1_mux000296") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_88 "zpuino/core/prefr_spnext_mux0000<10>88") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_79 "zpuino/core/prefr_spnext_mux0000<9>79") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000460_renamed_1984 "gpio_inst/spp_read_0_mux0000460") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_89 "zpuino/core/prefr_spnext_mux0000<8>89") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000128_renamed_1985 "gpio_inst/spp_read_0_mux0000128") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_114 "zpuino/core/prefr_spnext_mux0000<6>114") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_5_99 "zpuino/core/prefr_spnext_mux0000<5>99") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__75_renamed_1986 "slot_address<0><26>_75") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__74_renamed_1987 "slot_address<0><26>_74") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__73_renamed_1988 "slot_address<0><26>_73") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__72_renamed_1989 "slot_address<0><26>_72") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__71_renamed_1990 "slot_address<0><26>_71") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot_address_0__26__7_renamed_1991 "slot_address<0><26>_7") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000177 "zpuino/io/intr_inst/do_interrupt_mux000177") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_511_SW0 "zpuino/core/decr_fetchpc_mux0000<5>511_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_511 "zpuino/core/decr_fetchpc_mux0000<5>511") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack44_renamed_1992 "zpuino/io/io_device_ack44") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EE08") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_In15_SW0 "slot11/rx_inst/state_FSM_FFd2-In15_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000241103_SW0 "zpuino/core/w1_tos_0_mux000241103_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000264_SW0 "gpio_inst/gpio_o_9_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux000264_renamed_1993 "gpio_inst/gpio_o_9_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000264_SW0 "gpio_inst/gpio_o_8_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux000264_renamed_1994 "gpio_inst/gpio_o_8_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000264_SW0 "gpio_inst/gpio_o_7_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux000264_renamed_1995 "gpio_inst/gpio_o_7_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000264_SW0 "gpio_inst/gpio_o_6_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux000264_renamed_1996 "gpio_inst/gpio_o_6_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000264_SW0 "gpio_inst/gpio_o_5_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux000264_renamed_1997 "gpio_inst/gpio_o_5_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000264_SW0 "gpio_inst/gpio_o_4_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux000264_renamed_1998 "gpio_inst/gpio_o_4_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000264_SW0 "gpio_inst/gpio_o_47_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux000264_renamed_1999 "gpio_inst/gpio_o_47_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000264_SW0 "gpio_inst/gpio_o_46_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux000264_renamed_2000 "gpio_inst/gpio_o_46_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000264_SW0 "gpio_inst/gpio_o_45_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux000264_renamed_2001 "gpio_inst/gpio_o_45_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000264_SW0 "gpio_inst/gpio_o_44_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux000264_renamed_2002 "gpio_inst/gpio_o_44_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000264_SW0 "gpio_inst/gpio_o_43_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux000264_renamed_2003 "gpio_inst/gpio_o_43_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000264_SW0 "gpio_inst/gpio_o_42_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux000264_renamed_2004 "gpio_inst/gpio_o_42_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000264_SW0 "gpio_inst/gpio_o_41_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux000264_renamed_2005 "gpio_inst/gpio_o_41_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000264_SW0 "gpio_inst/gpio_o_40_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux000264_renamed_2006 "gpio_inst/gpio_o_40_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000264_SW0 "gpio_inst/gpio_o_3_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux000264_renamed_2007 "gpio_inst/gpio_o_3_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000264_SW0 "gpio_inst/gpio_o_33_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux000264_renamed_2008 "gpio_inst/gpio_o_33_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000264_SW0 "gpio_inst/gpio_o_32_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux000264_renamed_2009 "gpio_inst/gpio_o_32_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000264_SW0 "gpio_inst/gpio_o_31_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux000264_renamed_2010 "gpio_inst/gpio_o_31_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000264_SW0 "gpio_inst/gpio_o_30_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux000264_renamed_2011 "gpio_inst/gpio_o_30_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000264_SW0 "gpio_inst/gpio_o_2_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux000264_renamed_2012 "gpio_inst/gpio_o_2_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000264_SW0 "gpio_inst/gpio_o_29_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux000264_renamed_2013 "gpio_inst/gpio_o_29_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000264_SW0 "gpio_inst/gpio_o_28_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux000264_renamed_2014 "gpio_inst/gpio_o_28_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000264_SW0 "gpio_inst/gpio_o_27_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux000264_renamed_2015 "gpio_inst/gpio_o_27_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000264_SW0 "gpio_inst/gpio_o_26_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux000264_renamed_2016 "gpio_inst/gpio_o_26_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000264_SW0 "gpio_inst/gpio_o_25_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux000264_renamed_2017 "gpio_inst/gpio_o_25_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000264_SW0 "gpio_inst/gpio_o_24_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux000264_renamed_2018 "gpio_inst/gpio_o_24_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000264_SW0 "gpio_inst/gpio_o_1_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux000264_renamed_2019 "gpio_inst/gpio_o_1_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000264_SW0 "gpio_inst/gpio_o_15_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux000264_renamed_2020 "gpio_inst/gpio_o_15_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000264_SW0 "gpio_inst/gpio_o_14_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux000264_renamed_2021 "gpio_inst/gpio_o_14_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000264_SW0 "gpio_inst/gpio_o_13_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux000264_renamed_2022 "gpio_inst/gpio_o_13_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000264_SW0 "gpio_inst/gpio_o_12_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux000264_renamed_2023 "gpio_inst/gpio_o_12_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000264_SW0 "gpio_inst/gpio_o_11_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux000264_renamed_2024 "gpio_inst/gpio_o_11_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000264_SW0 "gpio_inst/gpio_o_10_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux000264_renamed_2025 "gpio_inst/gpio_o_10_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000264_SW0 "gpio_inst/gpio_o_0_mux000264_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux000264_renamed_2026 "gpio_inst/gpio_o_0_mux000264") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_9_23 "zpuino/core/decr_tosSource_mux0000<9>23") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_9_26_renamed_2027 "zpuino/io/io_read_selected<9>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_8_26_renamed_2028 "zpuino/io/io_read_selected<8>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_15_26_renamed_2029 "zpuino/io/io_read_selected<15>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_14_26_renamed_2030 "zpuino/io/io_read_selected<14>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_13_26_renamed_2031 "zpuino/io/io_read_selected<13>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_12_26_renamed_2032 "zpuino/io/io_read_selected<12>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_11_26_renamed_2033 "zpuino/io/io_read_selected<11>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_10_26_renamed_2034 "zpuino/io/io_read_selected<10>26") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename slot9_vga_v_offset_cmp_eq000034 "slot9/vga_v_offset_cmp_eq000034") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002124_renamed_2035 "zpuino/core/w1_tos_2_mux0002124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002124_renamed_2036 "zpuino/core/w1_tos_3_mux0002124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002124_renamed_2037 "zpuino/core/w1_tos_4_mux0002124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002124_renamed_2038 "zpuino/core/w1_tos_5_mux0002124") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux0002163_SW0 "gpio_inst/gpio_o_9_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9_mux0002163_renamed_2039 "gpio_inst/gpio_o_9_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux0002163_SW0 "gpio_inst/gpio_o_8_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8_mux0002163_renamed_2040 "gpio_inst/gpio_o_8_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux0002163_SW0 "gpio_inst/gpio_o_7_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7_mux0002163_renamed_2041 "gpio_inst/gpio_o_7_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux0002163_SW0 "gpio_inst/gpio_o_6_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6_mux0002163_renamed_2042 "gpio_inst/gpio_o_6_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux0002163_SW0 "gpio_inst/gpio_o_5_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5_mux0002163_renamed_2043 "gpio_inst/gpio_o_5_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux0002163_SW0 "gpio_inst/gpio_o_4_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4_mux0002163_renamed_2044 "gpio_inst/gpio_o_4_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux0002163_SW0 "gpio_inst/gpio_o_47_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47_mux0002163_renamed_2045 "gpio_inst/gpio_o_47_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux0002163_SW0 "gpio_inst/gpio_o_46_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46_mux0002163_renamed_2046 "gpio_inst/gpio_o_46_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux0002163_SW0 "gpio_inst/gpio_o_45_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45_mux0002163_renamed_2047 "gpio_inst/gpio_o_45_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux0002163_SW0 "gpio_inst/gpio_o_44_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44_mux0002163_renamed_2048 "gpio_inst/gpio_o_44_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux0002163_SW0 "gpio_inst/gpio_o_43_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43_mux0002163_renamed_2049 "gpio_inst/gpio_o_43_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux0002163_SW0 "gpio_inst/gpio_o_42_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42_mux0002163_renamed_2050 "gpio_inst/gpio_o_42_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux0002163_SW0 "gpio_inst/gpio_o_41_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41_mux0002163_renamed_2051 "gpio_inst/gpio_o_41_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux0002163_SW0 "gpio_inst/gpio_o_40_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40_mux0002163_renamed_2052 "gpio_inst/gpio_o_40_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux0002163_SW0 "gpio_inst/gpio_o_3_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3_mux0002163_renamed_2053 "gpio_inst/gpio_o_3_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux0002163_SW0 "gpio_inst/gpio_o_33_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33_mux0002163_renamed_2054 "gpio_inst/gpio_o_33_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux0002163_SW0 "gpio_inst/gpio_o_32_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32_mux0002163_renamed_2055 "gpio_inst/gpio_o_32_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux0002163_SW0 "gpio_inst/gpio_o_31_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31_mux0002163_renamed_2056 "gpio_inst/gpio_o_31_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux0002163_SW0 "gpio_inst/gpio_o_30_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30_mux0002163_renamed_2057 "gpio_inst/gpio_o_30_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux0002163_SW0 "gpio_inst/gpio_o_2_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2_mux0002163_renamed_2058 "gpio_inst/gpio_o_2_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux0002163_SW0 "gpio_inst/gpio_o_29_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29_mux0002163_renamed_2059 "gpio_inst/gpio_o_29_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux0002163_SW0 "gpio_inst/gpio_o_28_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28_mux0002163_renamed_2060 "gpio_inst/gpio_o_28_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux0002163_SW0 "gpio_inst/gpio_o_27_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27_mux0002163_renamed_2061 "gpio_inst/gpio_o_27_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux0002163_SW0 "gpio_inst/gpio_o_26_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26_mux0002163_renamed_2062 "gpio_inst/gpio_o_26_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux0002163_SW0 "gpio_inst/gpio_o_25_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25_mux0002163_renamed_2063 "gpio_inst/gpio_o_25_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux0002163_SW0 "gpio_inst/gpio_o_24_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24_mux0002163_renamed_2064 "gpio_inst/gpio_o_24_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux0002163_SW0 "gpio_inst/gpio_o_1_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1_mux0002163_renamed_2065 "gpio_inst/gpio_o_1_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux0002163_SW0 "gpio_inst/gpio_o_15_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15_mux0002163_renamed_2066 "gpio_inst/gpio_o_15_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux0002163_SW0 "gpio_inst/gpio_o_14_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14_mux0002163_renamed_2067 "gpio_inst/gpio_o_14_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux0002163_SW0 "gpio_inst/gpio_o_13_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13_mux0002163_renamed_2068 "gpio_inst/gpio_o_13_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux0002163_SW0 "gpio_inst/gpio_o_12_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12_mux0002163_renamed_2069 "gpio_inst/gpio_o_12_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux0002163_SW0 "gpio_inst/gpio_o_11_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11_mux0002163_renamed_2070 "gpio_inst/gpio_o_11_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux0002163_SW0 "gpio_inst/gpio_o_10_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10_mux0002163_renamed_2071 "gpio_inst/gpio_o_10_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux0002163_SW0 "gpio_inst/gpio_o_0_mux0002163_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0_mux0002163_renamed_2072 "gpio_inst/gpio_o_0_mux0002163") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_In43 "uart_inst/rx_inst/state_FSM_FFd2-In43") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AB01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_110 "zpuino/core/decr_stackOperation_mux0000<2>110") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_4_52 "zpuino/core/decr_tosSource_mux0000<4>52") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In118_SW0 "zpuino/core/exr.state_FSM_FFd14-In118_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In118 "zpuino/core/exr.state_FSM_FFd14-In118") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance__and000011 "slot11/fifo_instance/_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073 "zpuino/core/prefr_spnext_mux0000<9>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<6>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_9__ "zpuino/core/Madd_w1.tos_add0000_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<7>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_10__ "zpuino/core/Madd_w1.tos_add0000_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<8>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_11__ "zpuino/core/Madd_w1.tos_add0000_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<9>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_12__ "zpuino/core/Madd_w1.tos_add0000_lut<12>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<10>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_13__ "zpuino/core/Madd_w1.tos_add0000_lut<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<11>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_14__ "zpuino/core/Madd_w1.tos_add0000_lut<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<12>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<12>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_15__ "zpuino/core/Madd_w1.tos_add0000_lut<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<13>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_16__ "zpuino/core/Madd_w1.tos_add0000_lut<16>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000092_SW0 "zpuino/core/decr_opWillFreeze_mux000092_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<14>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_17__ "zpuino/core/Madd_w1.tos_add0000_lut<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<15>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_18__ "zpuino/core/Madd_w1.tos_add0000_lut<18>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<16>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<16>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_19__ "zpuino/core/Madd_w1.tos_add0000_lut<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<17>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_20__ "zpuino/core/Madd_w1.tos_add0000_lut<20>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<18>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<18>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_21__ "zpuino/core/Madd_w1.tos_add0000_lut<21>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<19>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_22__ "zpuino/core/Madd_w1.tos_add0000_lut<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<20>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<20>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_23__ "zpuino/core/Madd_w1.tos_add0000_lut<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<21>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<21>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_24__ "zpuino/core/Madd_w1.tos_add0000_lut<24>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<22>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_25__ "zpuino/core/Madd_w1.tos_add0000_lut<25>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<23>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_26__ "zpuino/core/Madd_w1.tos_add0000_lut<26>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<24>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<24>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_27__ "zpuino/core/Madd_w1.tos_add0000_lut<27>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<25>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<25>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_28__ "zpuino/core/Madd_w1.tos_add0000_lut<28>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<26>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<26>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_29__ "zpuino/core/Madd_w1.tos_add0000_lut<29>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<27>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<27>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_30__ "zpuino/core/Madd_w1.tos_add0000_lut<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<28>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<28>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<29>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<29>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<30>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A695") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10__SW0 "zpuino/core/decr_tosSource_mux0000<10>_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002126_renamed_2074 "zpuino/core/w1_tos_1_mux0002126") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002133_renamed_2075 "zpuino/core/w1_tos_2_mux0002133") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002133_renamed_2076 "zpuino/core/w1_tos_3_mux0002133") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002133_renamed_2077 "zpuino/core/w1_tos_4_mux0002133") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002133_renamed_2078 "zpuino/core/w1_tos_5_mux0002133") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002111_renamed_2079 "zpuino/core/w1_tos_11_mux0002111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002111_renamed_2080 "zpuino/core/w1_tos_12_mux0002111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002111_renamed_2081 "zpuino/core/w1_tos_13_mux0002111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000292_renamed_2082 "zpuino/core/w1_tos_14_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000292_renamed_2083 "zpuino/core/w1_tos_15_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000288_renamed_2084 "zpuino/core/w1_tos_16_mux000288") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000292_renamed_2085 "zpuino/core/w1_tos_17_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000292_renamed_2086 "zpuino/core/w1_tos_18_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000288_renamed_2087 "zpuino/core/w1_tos_19_mux000288") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000292_renamed_2088 "zpuino/core/w1_tos_20_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000292_renamed_2089 "zpuino/core/w1_tos_21_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000292_renamed_2090 "zpuino/core/w1_tos_22_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_4_1 "zpuino/core/shl/idx_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_w1_tos_add0000_lut_31__ "zpuino/core/Madd_w1.tos_add0000_lut<31>") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "569A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_91") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_81") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_71") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_61") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_51") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_41") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_31") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_24") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_181") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_171") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_161") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_151") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_141") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_121") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_101") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_01") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_191") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_211") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_0_1 "zpuino/core/decr_tosSource_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_4_1 "zpuino/core/decr_spOffset_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C8CD") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_3_1 "zpuino/core/decr_spOffset_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_2_1 "zpuino/core/decr_spOffset_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_1_1 "zpuino/core/decr_spOffset_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_spOffset_mux0000_0_1 "zpuino/core/decr_spOffset_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_24_1 "zpuino/core/decr_decodedOpcode_mux0000<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000292_renamed_2092 "zpuino/core/w1_tos_23_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000292_renamed_2093 "zpuino/core/w1_tos_24_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000292_renamed_2094 "zpuino/core/w1_tos_25_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000292_renamed_2095 "zpuino/core/w1_tos_26_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000292_renamed_2096 "zpuino/core/w1_tos_27_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000292_renamed_2097 "zpuino/core/w1_tos_28_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000292_renamed_2098 "zpuino/core/w1_tos_29_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000292_renamed_2099 "zpuino/core/w1_tos_30_mux000292") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000297_renamed_2100 "zpuino/core/w1_tos_31_mux000297") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_221") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_cyc1 "timers_inst/timer1_cyc1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0400") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_wb_ack_o_mux00011 "zpuino/memory/rom_wb_ack_o_mux00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F7A2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_6_1 "zpuino/core/decr_opcode_mux0000<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_5_1 "zpuino/core/decr_opcode_mux0000<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_4_1 "zpuino/core/decr_opcode_mux0000<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_3_1 "zpuino/core/decr_opcode_mux0000<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_2_1 "zpuino/core/decr_opcode_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_1_1 "zpuino/core/decr_opcode_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opcode_mux0000_0_2 "zpuino/core/decr_opcode_mux0000<0>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_0_21 "zpuino/core/decr_fetchpc_mux0000<0>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_not00011 "slot11/rx_inst/rxmvfilter/count_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance__and00001 "slot11/fifo_instance/_and00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_3_5_renamed_2101 "zpuino/core/stack_a_addr<3>5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_2_5_renamed_2102 "zpuino/core/stack_a_addr<2>5") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_spiclk_mux00001 "slot1/zspiclk/spiclk_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA6") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_spiclk_mux00001 "slot0/zspiclk/spiclk_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AAA6") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_level_q_16_not000111 "zpuino/io/intr_inst/intr_level_q_16_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_10_1 "zpuino/io/intr_inst/intr_line<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_0_1 "uart_inst/rx_timer/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_0_1 "slot11/rx_timer/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_231") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_done_or00001 "zpuino/core/shl/done_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAB") (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_or00001 "zpuino/io/addr_save_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_16__SW0 "zpuino/io/intr_inst/intr_line<16>_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_recompute_sp_or00001 "zpuino/core/prefr_recompute_sp_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_tos_save_not00011 "zpuino/core/exr_tos_save_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and0000530_SW0 "gpio_inst/input_mapper_q_32_and0000530_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_32_and0000530 "gpio_inst/input_mapper_q_32_and0000530") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_1_1 "zpuino/io/intr_inst/intr_line<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_11_SW0 "zpuino/core/decr_fetchpc_mux0000<9>11_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103 "zpuino/core/decr_fetchpc_mux0000<9>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_11_SW0 "zpuino/core/decr_fetchpc_mux0000<8>11_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104 "zpuino/core/decr_fetchpc_mux0000<8>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_11_SW0 "zpuino/core/decr_fetchpc_mux0000<7>11_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105 "zpuino/core/decr_fetchpc_mux0000<7>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_11_SW0 "zpuino/core/decr_fetchpc_mux0000<6>11_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106 "zpuino/core/decr_fetchpc_mux0000<6>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack118_SW0 "zpuino/io/io_device_ack118_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0A08") (owner "Xilinx")) - ) - (instance (rename crc16_inst_poly_q_not0001_renamed_2107 "crc16_inst/poly_q_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_not00011 "timers_inst/timer1_inst/tmrr_cnt_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0F08") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_19_11 "zpuino/core/shl/idx_mux0001<19>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_18_11 "zpuino/core/shl/idx_mux0001<18>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_17_11 "zpuino/core/shl/idx_mux0001<17>11") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002101 "zpuino/core/w1_tos_6_mux0002101") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux00025_renamed_2108 "zpuino/core/w1_tos_1_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux00025_renamed_2109 "zpuino/core/w1_tos_2_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux00025_renamed_2110 "zpuino/core/w1_tos_3_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux00025_renamed_2111 "zpuino/core/w1_tos_4_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux00025_renamed_2112 "zpuino/core/w1_tos_5_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux00020_renamed_2113 "zpuino/core/w1_tos_8_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux00020_renamed_2114 "zpuino/core/w1_tos_9_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux00020_renamed_2115 "zpuino/core/w1_tos_10_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux00020_renamed_2116 "zpuino/core/w1_tos_11_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux00020_renamed_2117 "zpuino/core/w1_tos_12_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux00020_renamed_2118 "zpuino/core/w1_tos_13_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux00020_renamed_2119 "zpuino/core/w1_tos_14_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux00020_renamed_2120 "zpuino/core/w1_tos_15_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux00020_renamed_2121 "zpuino/core/w1_tos_16_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux00020_renamed_2122 "zpuino/core/w1_tos_17_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux00020_renamed_2123 "zpuino/core/w1_tos_18_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux00020_renamed_2124 "zpuino/core/w1_tos_19_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux00020_renamed_2125 "zpuino/core/w1_tos_20_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux00020_renamed_2126 "zpuino/core/w1_tos_21_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux00020_renamed_2127 "zpuino/core/w1_tos_22_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux00020_renamed_2128 "zpuino/core/w1_tos_23_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux00020_renamed_2129 "zpuino/core/w1_tos_24_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux00020_renamed_2130 "zpuino/core/w1_tos_25_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux00020_renamed_2131 "zpuino/core/w1_tos_26_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux00023_renamed_2132 "zpuino/core/w1_tos_27_mux00023") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux00020_renamed_2133 "zpuino/core/w1_tos_28_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux00020_renamed_2134 "zpuino/core/w1_tos_29_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux00020_renamed_2135 "zpuino/core/w1_tos_30_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux00020_renamed_2136 "zpuino/core/w1_tos_31_mux00020") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025_renamed_2137 "zpuino/core/w1_tos_0_mux00025") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<0>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<1>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<2>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<3>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<4>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<5>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<6>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_31_1 "timers_inst/wb_dat_o<31>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_30_1 "timers_inst/wb_dat_o<30>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_29_1 "timers_inst/wb_dat_o<29>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_28_1 "timers_inst/wb_dat_o<28>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_27_1 "timers_inst/wb_dat_o<27>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_26_1 "timers_inst/wb_dat_o<26>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_25_1 "timers_inst/wb_dat_o<25>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_24_1 "timers_inst/wb_dat_o<24>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<7>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<8>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<16>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<9>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<17>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<10>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<18>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<11>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<19>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<12>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<20>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<13>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<21>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<14>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<22>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_51 "zpuino/core/stack_a_addr<10>51") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot9_ram_we1 "slot9/ram_we1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_0_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_0_1 "slot11/rx_inst/baudgen/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000105_renamed_2138 "zpuino/core/wroteback_q_mux0000105") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15__ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<15>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23__ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<23>") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_b_addr_10_11 "zpuino/core/stack_b_addr<10>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAB") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd7_In_SW0 "zpuino/core/exr.state_FSM_FFd7-In_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1555") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_9__SW0 "zpuino/io/intr_inst/intr_line<9>_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F777") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_21 "zpuino/core/stack_a_write<0>21") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_wb_rst_i_inv1 "zpuino/io/intr_inst/wb_rst_i_inv1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_do_wait_or00001 "zpuino/memory/rom_do_wait_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramregs_do_wait_or00001 "zpuino/memory/ramregs_do_wait_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_count_q_or00001 "uart_inst/rx_inst/rxmvfilter/count_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_dready_q_or00001 "uart_inst/dready_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_count_q_or00001 "slot11/rx_inst/rxmvfilter/count_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_dready_q_or00001 "slot11/dready_q_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_write_save_q_not00011 "zpuino/io/write_save_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_we_or0000_inv1 "zpuino/io/io_we_or0000_inv1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_not00021 "uart_inst/tx_timer/cnt_not00021") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_dataready_not00011 "uart_inst/rx_inst/dataready_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_not00021 "slot11/tx_timer/cnt_not00021") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_dataready_not00011 "slot11/rx_inst/dataready_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename sid_sd_Maccum_sigma_latch_cy_18_11 "sid_sd/Maccum_sigma_latch_cy<18>11") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_0_and00001 "zpuino/io/intr_inst/masked_ivecs_0_and00001") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_2_mux00011 "zpuino/core/shl/d_2_mux00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_d_1_mux00011 "zpuino/core/shl/d_1_mux00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd4_In1 "zpuino/core/exr.state_FSM_FFd4-In1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot9_hdisp_and00001 "slot9/hdisp_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_val1 "slot9/Mcount_hcount_q_val1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_im_mux0000_SW1 "zpuino/core/decr_im_mux0000_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_im_mux0000_renamed_2139 "zpuino/core/decr_im_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_1_1 "uart_inst/rx_timer/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_1_1 "slot11/rx_timer/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_1_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_1_1 "slot11/rx_inst/baudgen/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_2_1 "uart_inst/rx_timer/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_2_1 "slot11/rx_timer/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_2_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_2_1 "slot11/rx_inst/baudgen/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_3_1 "uart_inst/rx_timer/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_3_1 "slot11/rx_timer/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_3_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_3_1 "slot11/rx_inst/baudgen/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_4_1 "uart_inst/rx_timer/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_4_1 "slot11/rx_timer/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_4_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_4_1 "slot11/rx_inst/baudgen/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_5_1 "uart_inst/rx_timer/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_5_1 "slot11/rx_timer/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_5_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_5_1 "slot11/rx_inst/baudgen/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_6_1 "uart_inst/rx_timer/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_6_1 "slot11/rx_timer/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_6_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_6_1 "slot11/rx_inst/baudgen/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_7_1 "uart_inst/rx_timer/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_7_1 "slot11/rx_timer/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_7_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_7_1 "slot11/rx_inst/baudgen/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_8_1 "uart_inst/rx_timer/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_8_1 "slot11/rx_timer/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_8_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_8_1 "slot11/rx_inst/baudgen/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_9_1 "uart_inst/rx_timer/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_9_1 "slot11/rx_timer/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_74 "zpuino/core/decr_stackOperation_mux0000<1>74") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_2_1 "zpuino/core/decr_tosSource_mux0000<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_1_2 "zpuino/core/decr_decodedOpcode_mux0000<1>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_3_1 "zpuino/core/shl/idx_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8988") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_2_1 "zpuino/core/shl/idx_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8988") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance__and000011 "uart_inst/fifo_instance/_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140 "zpuino/core/decr_stackOperation_mux0000<1>6") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack30_renamed_2141 "zpuino/io/io_device_ack30") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7FFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002521 "zpuino/core/w1_tos_0_mux0002521") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_rom_wb_ack_o_not00011 "zpuino/memory/rom_wb_ack_o_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_15_1 "uart_inst/rx_timer/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_15_1 "slot11/rx_timer/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_14_1 "uart_inst/rx_timer/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_14_1 "slot11/rx_timer/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename crc16_inst_data_q_not00011 "crc16_inst/data_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0103") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_13_1 "uart_inst/rx_timer/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_13_1 "slot11/rx_timer/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_12_1 "uart_inst/rx_timer/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_12_1 "slot11/rx_timer/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_11_1 "uart_inst/rx_timer/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_11_1 "slot11/rx_timer/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_cnt_mux0001_10_1 "uart_inst/rx_timer/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_cnt_mux0001_10_1 "slot11/rx_timer/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ABA8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd13_In1 "zpuino/core/exr.state_FSM_FFd13-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxd_0_not00011 "uart_inst/rx_inst/rxd_0_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datao_not00012 "uart_inst/rx_inst/datao_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxd_0_not00011 "slot11/rx_inst/rxd_0_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datao_not00012 "slot11/rx_inst/datao_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_cyc_or0000_inv1 "zpuino/io/io_cyc_or0000_inv1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0103") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_9_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_9_1 "slot11/rx_inst/baudgen/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002164 "zpuino/core/w1_tos_10_mux0002164") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002164 "zpuino/core/w1_tos_9_mux0002164") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002164 "zpuino/core/w1_tos_8_mux0002164") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002185 "zpuino/core/w1_tos_7_mux0002185") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002185 "zpuino/core/w1_tos_6_mux0002185") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy42_SW0 "zpuino/core/exu_busy42_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_stb_not00011 "zpuino/io/io_stb_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0301") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_ien_q_or00001 "zpuino/io/intr_inst/ien_q_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_pc_or0000_inv1 "zpuino/core/prefr_pc_or0000_inv1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_served_q_not00011 "zpuino/io/intr_inst/intr_served_q_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_not000119 "timers_inst/timer0_inst/tmrr_cnt_not000119") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_valid_or00001 "zpuino/core/prefr_valid_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_not00011") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmphigh_not00012") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_13_1 "zpuino/io/intr_inst/intr_line<13>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_15_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_15_1 "slot11/rx_inst/baudgen/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_14_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_14_1 "slot11/rx_inst/baudgen/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_13_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_13_1 "slot11/rx_inst/baudgen/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_12_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_12_1 "slot11/rx_inst/baudgen/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_11_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_11_1 "slot11/rx_inst/baudgen/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_cnt_mux0001_10_1 "uart_inst/rx_inst/baudgen/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_cnt_mux0001_10_1 "slot11/rx_inst/baudgen/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_6_1 "zpuino/io/intr_inst/intr_line<6>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_11_1 "zpuino/io/intr_inst/intr_line<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1050") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_clkout_or00001 "uart_inst/tx_timer/clkout_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_clkout_or00001 "slot11/tx_timer/clkout_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_t_r_not00011 "uart_inst/tx_core/t_r_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_t_r_not00011 "slot11/tx_core/t_r_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_3_1 "zpuino/io/intr_inst/intr_line<3>1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_read_reg_q_not00011 "slot1/zspi/read_reg_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_read_reg_q_not00011 "slot0/zspi/read_reg_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd6_In1 "zpuino/core/exr.state_FSM_FFd6-In1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_cnt_and00001 "timers_inst/timer1_inst/tmrr_cnt_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0200") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not000111 "timers_inst/timer0_inst/tmrr_intr_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0800") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_and00001 "timers_inst/timer0_inst/tmrr_cnt_and00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0200") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_14_1 "zpuino/io/intr_inst/intr_line<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clk_i_or00001 "slot1/zspiclk/clk_i_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clk_i_or00001 "slot0/zspiclk/clk_i_or00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_7_1 "zpuino/io/intr_inst/intr_line<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_clkout_or00001 "uart_inst/rx_timer/clkout_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_clkout_or00001 "slot11/rx_timer/clkout_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_line_4_1 "zpuino/io/intr_inst/intr_line<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack186_renamed_2142 "zpuino/io/io_device_ack186") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "57FF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_17_SW0 "zpuino/core/decr_fetchpc_mux0000<5>17_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143 "zpuino/core/decr_fetchpc_mux0000<5>17") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_16_25_SW0 "zpuino/core/decr_tosSource_mux0000<16>25_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_mux000021_SW0 "timers_inst/timer1_inst/tmrr_intr_mux000021_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and00007 "gpio_inst/input_mapper_q_0_and00007") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000226_SW0 "zpuino/core/w1_tos_8_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000226_SW0 "zpuino/core/w1_tos_9_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000226_SW0 "zpuino/core/w1_tos_10_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000226_SW0 "zpuino/core/w1_tos_11_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000226_SW0 "zpuino/core/w1_tos_12_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000226_SW0 "zpuino/core/w1_tos_13_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000226_SW0 "zpuino/core/w1_tos_14_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000226_SW0 "zpuino/core/w1_tos_15_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000226_SW0 "zpuino/core/w1_tos_16_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000226_SW0 "zpuino/core/w1_tos_17_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000226_SW0 "zpuino/core/w1_tos_18_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000226_SW0 "zpuino/core/w1_tos_19_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000226_SW0 "zpuino/core/w1_tos_20_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000226_SW0 "zpuino/core/w1_tos_21_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000226_SW0 "zpuino/core/w1_tos_22_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000226_SW0 "zpuino/core/w1_tos_23_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000226_SW0 "zpuino/core/w1_tos_24_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000226_SW0 "zpuino/core/w1_tos_25_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000226_SW0 "zpuino/core/w1_tos_26_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000226_SW0 "zpuino/core/w1_tos_27_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000226_renamed_2144 "zpuino/core/w1_tos_27_mux000226") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000226_SW0 "zpuino/core/w1_tos_28_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000226_SW0 "zpuino/core/w1_tos_29_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000226_SW0 "zpuino/core/w1_tos_30_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000226_SW0 "zpuino/core/w1_tos_31_mux000226_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002149_SW0 "zpuino/core/w1_tos_0_mux0002149_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002149_renamed_2145 "zpuino/core/w1_tos_0_mux0002149") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002143_SW0 "zpuino/core/w1_tos_9_mux0002143_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002143_SW0 "zpuino/core/w1_tos_8_mux0002143_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002164_SW0 "zpuino/core/w1_tos_7_mux0002164_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002164_SW0 "zpuino/core/w1_tos_6_mux0002164_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146 "zpuino/io/intr_inst/intr_detected_q_4_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147 "zpuino/io/intr_inst/intr_detected_q_3_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_not0001_SW1 "timers_inst/timer0_inst/tmrr_cmp_not0001_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148 "timers_inst/timer0_inst/tmrr_cmp_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_le_q_not0001_SW1 "sigmadelta_inst/le_q_not0001_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename sigmadelta_inst_le_q_not0001_renamed_2149 "sigmadelta_inst/le_q_not0001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd11_In_SW1 "zpuino/core/exr.state_FSM_FFd11-In_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd11_In_renamed_2150 "zpuino/core/exr.state_FSM_FFd11-In") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000235_renamed_2151 "zpuino/core/w1_tos_1_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000236_renamed_2152 "zpuino/core/w1_tos_1_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000235_renamed_2153 "zpuino/core/w1_tos_2_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000236_renamed_2154 "zpuino/core/w1_tos_2_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000235_renamed_2155 "zpuino/core/w1_tos_3_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000236_renamed_2156 "zpuino/core/w1_tos_3_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000235_renamed_2157 "zpuino/core/w1_tos_4_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000236_renamed_2158 "zpuino/core/w1_tos_4_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000235_renamed_2159 "zpuino/core/w1_tos_5_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000236_renamed_2160 "zpuino/core/w1_tos_5_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000235_renamed_2161 "zpuino/core/w1_tos_0_mux000235") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000236_renamed_2162 "zpuino/core/w1_tos_0_mux000236") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot0_spi_txblock_q_not00011 "slot0/spi_txblock_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot1_spi_txblock_q_not00011 "slot1/spi_txblock_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002143_SW0 "zpuino/core/w1_tos_10_mux0002143_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkrise_i_or0000_SW1 "slot1/zspiclk/clkrise_i_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkrise_i_or0000_renamed_2163 "slot1/zspiclk/clkrise_i_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkfall_i_or0000_SW1 "slot1/zspiclk/clkfall_i_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clkfall_i_or0000_renamed_2164 "slot1/zspiclk/clkfall_i_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkrise_i_or0000_SW1 "slot0/zspiclk/clkrise_i_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkrise_i_or0000_renamed_2165 "slot0/zspiclk/clkrise_i_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkfall_i_or0000_SW1 "slot0/zspiclk/clkfall_i_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clkfall_i_or0000_renamed_2166 "slot0/zspiclk/clkfall_i_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_102_SW0 "zpuino/io/io_read_selected<7>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_102_SW0 "zpuino/io/io_read_selected<6>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_102_SW0 "zpuino/io/io_read_selected<5>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_102_SW0 "zpuino/io/io_read_selected<4>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_102_SW0 "zpuino/io/io_read_selected<3>102_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002125_SW0 "zpuino/core/w1_tos_0_mux0002125_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002140_SW0 "zpuino/core/w1_tos_6_mux0002140_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002140_renamed_2167 "zpuino/core/w1_tos_6_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002140_SW0 "zpuino/core/w1_tos_7_mux0002140_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002140_renamed_2168 "zpuino/core/w1_tos_7_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002119_renamed_2169 "zpuino/core/w1_tos_8_mux0002119") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002119_SW0 "zpuino/core/w1_tos_9_mux0002119_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002119_renamed_2170 "zpuino/core/w1_tos_9_mux0002119") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002119_SW0 "zpuino/core/w1_tos_10_mux0002119_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002119_renamed_2171 "zpuino/core/w1_tos_10_mux0002119") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172 "zpuino/core/exr.state_FSM_FFd14-In15") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename slot0_spi_en_or0000_SW1 "slot0/spi_en_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7F") (owner "Xilinx")) - ) - (instance (rename slot0_spi_en_or0000_renamed_2173 "slot0/spi_en_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot1_spi_en_or0000_SW1 "slot1/spi_en_or0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7F") (owner "Xilinx")) - ) - (instance (rename slot1_spi_en_or0000_renamed_2174 "slot1/spi_en_or0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_43_SW0 "zpuino/core/prefr_spnext_mux0000<2>43_SW0") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_43 "zpuino/core/prefr_spnext_mux0000<2>43") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "EA2A") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not000133 "timers_inst/timer0_inst/tmrr_intr_not000133") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack211 "zpuino/io/io_device_ack211") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FDA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_38 "zpuino/core/decr_fetchpc_mux0000<9>38") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_38 "zpuino/core/decr_fetchpc_mux0000<8>38") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_38 "zpuino/core/decr_fetchpc_mux0000<7>38") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_38 "zpuino/core/decr_fetchpc_mux0000<6>38") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000210_SW0 "zpuino/core/w1_tos_27_mux000210_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000210_renamed_2175 "zpuino/core/w1_tos_27_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000210_renamed_2176 "zpuino/core/w1_tos_8_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000210_renamed_2177 "zpuino/core/w1_tos_9_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000210_renamed_2178 "zpuino/core/w1_tos_10_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000210_renamed_2179 "zpuino/core/w1_tos_11_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000210_renamed_2180 "zpuino/core/w1_tos_12_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000210_SW0 "zpuino/core/w1_tos_13_mux000210_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000210_renamed_2181 "zpuino/core/w1_tos_13_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000210_renamed_2182 "zpuino/core/w1_tos_14_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000210_renamed_2183 "zpuino/core/w1_tos_15_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000210_renamed_2184 "zpuino/core/w1_tos_16_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000210_renamed_2185 "zpuino/core/w1_tos_17_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000210_renamed_2186 "zpuino/core/w1_tos_18_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000210_renamed_2187 "zpuino/core/w1_tos_19_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000210_renamed_2188 "zpuino/core/w1_tos_20_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000210_renamed_2189 "zpuino/core/w1_tos_21_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000210_renamed_2190 "zpuino/core/w1_tos_22_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000210_renamed_2191 "zpuino/core/w1_tos_23_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000210_renamed_2192 "zpuino/core/w1_tos_24_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000210_renamed_2193 "zpuino/core/w1_tos_25_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000210_renamed_2194 "zpuino/core/w1_tos_26_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000210_renamed_2195 "zpuino/core/w1_tos_28_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000210_renamed_2196 "zpuino/core/w1_tos_29_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000210_renamed_2197 "zpuino/core/w1_tos_30_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000210_renamed_2198 "zpuino/core/w1_tos_31_mux000210") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_spiclk_not00011 "slot1/zspiclk/spiclk_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_spiclk_not00011 "slot0/zspiclk/spiclk_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199 "zpuino/core/decr_fetchpc_mux0000<4>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200 "zpuino/core/decr_fetchpc_mux0000<3>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201 "zpuino/core/decr_fetchpc_mux0000<2>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202 "zpuino/core/decr_fetchpc_mux0000<1>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203 "zpuino/core/decr_fetchpc_mux0000<13>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204 "zpuino/core/decr_fetchpc_mux0000<12>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205 "zpuino/core/decr_fetchpc_mux0000<11>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206 "zpuino/core/decr_fetchpc_mux0000<10>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_0_111 "zpuino/core/decr_fetchpc_mux0000<0>111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pc_not00011 "zpuino/core/decr_pc_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_iready_q_mux00001 "zpuino/io/intr_inst/iready_q_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "57FF") (owner "Xilinx")) - ) - (instance (rename zpuino_rom_wb_cti_i_0_1 "zpuino/rom_wb_cti_i<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000144_SW1 "zpuino/io/intr_inst/do_interrupt_mux000144_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207 "zpuino/io/intr_inst/do_interrupt_mux000144") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_42 "zpuino/core/stack_a_addr<10>42") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_sp_not0001_SW0 "zpuino/core/prefr_sp_not0001_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D555") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_not00011 "zpuino/core/shl/output_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0302") (owner "Xilinx")) - ) - (instance (rename zpuino_io_addr_save_q_not00011 "zpuino/io/addr_save_q_not00011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_3_1 "uart_inst/tx_timer/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_3_1 "slot11/tx_timer/cnt_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_2_1 "uart_inst/tx_timer/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_2_1 "slot11/tx_timer/cnt_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_1_1 "uart_inst/tx_timer/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_1_1 "slot11/tx_timer/cnt_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_15_1 "uart_inst/tx_timer/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_15_1 "slot11/tx_timer/cnt_mux0001<15>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_14_1 "uart_inst/tx_timer/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_14_1 "slot11/tx_timer/cnt_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_13_1 "uart_inst/tx_timer/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_13_1 "slot11/tx_timer/cnt_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_12_1 "uart_inst/tx_timer/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_12_1 "slot11/tx_timer/cnt_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_11_1 "uart_inst/tx_timer/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_11_1 "slot11/tx_timer/cnt_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_10_1 "uart_inst/tx_timer/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_10_1 "slot11/tx_timer/cnt_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_9_1 "uart_inst/tx_timer/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_9_1 "slot11/tx_timer/cnt_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_8_1 "uart_inst/tx_timer/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_8_1 "slot11/tx_timer/cnt_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_7_1 "uart_inst/tx_timer/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_7_1 "slot11/tx_timer/cnt_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_6_1 "uart_inst/tx_timer/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_6_1 "slot11/tx_timer/cnt_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_5_1 "uart_inst/tx_timer/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_5_1 "slot11/tx_timer/cnt_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_4_1 "uart_inst/tx_timer/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_4_1 "slot11/tx_timer/cnt_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_running_q_or00001 "slot1/zspiclk/running_q_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_running_q_or00001 "slot0/zspiclk/running_q_or00001") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_mux000029_SW0 "timers_inst/timer1_inst/tmrr_intr_mux000029_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_mux000029 "timers_inst/timer1_inst/tmrr_intr_mux000029") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack100_SW1 "zpuino/io/io_device_ack100_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack100_renamed_2208 "zpuino/io/io_device_ack100") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_14_SW0 "zpuino/core/stack_a_addr<10>14_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_14 "zpuino/core/stack_a_addr<10>14") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_cnt_mux0001_0_1 "uart_inst/tx_timer/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_cnt_mux0001_0_1 "slot11/tx_timer/cnt_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_16_25_SW1 "zpuino/core/decr_tosSource_mux0000<16>25_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_16_25 "zpuino/core/decr_tosSource_mux0000<16>25") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C8CD") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000244_SW0 "zpuino/core/w1_tos_6_mux000244_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000244_SW0_SW0 "zpuino/core/w1_tos_7_mux000244_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000244_SW0 "zpuino/core/w1_tos_7_mux000244_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_3_42_SW1 "zpuino/core/decr_stackOperation_mux0000<3>42_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_3_42 "zpuino/core/decr_stackOperation_mux0000<3>42") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C8CD") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux0002140_SW0 "zpuino/core/w1_tos_23_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux0002140 "zpuino/core/w1_tos_23_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux0002140_SW0 "zpuino/core/w1_tos_24_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux0002140 "zpuino/core/w1_tos_24_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux0002140_SW0 "zpuino/core/w1_tos_25_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux0002140 "zpuino/core/w1_tos_25_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux0002140_SW0 "zpuino/core/w1_tos_26_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux0002140 "zpuino/core/w1_tos_26_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux0002140_SW0 "zpuino/core/w1_tos_27_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux0002140 "zpuino/core/w1_tos_27_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux0002140 "zpuino/core/w1_tos_28_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux0002140_SW0 "zpuino/core/w1_tos_29_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux0002140 "zpuino/core/w1_tos_29_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux0002140_SW0 "zpuino/core/w1_tos_30_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux0002140 "zpuino/core/w1_tos_30_mux0002140") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux0002144_SW0 "zpuino/core/w1_tos_31_mux0002144_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux0002144 "zpuino/core/w1_tos_31_mux0002144") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_valid_mux0000_SW1 "zpuino/core/decr_valid_mux0000_SW1") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_valid_mux0000_renamed_2209 "zpuino/core/decr_valid_mux0000") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack211_SW1 "zpuino/io/io_device_ack211_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack150_renamed_2210 "zpuino/io/io_device_ack150") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_3_63_SW1 "zpuino/core/prefr_spnext_mux0000<3>63_SW1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_3_63 "zpuino/core/prefr_spnext_mux0000<3>63") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE54") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_72 "zpuino/core/decr_fetchpc_mux0000<5>72") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0 "zpuino/core/decr_stackOperation_mux0000<3>42_SW0_SW0") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9FF7") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000037_renamed_2211 "zpuino/core/decr_opWillFreeze_mux000037") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000382 "zpuino/core/sampledStackOperation_or000382") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000382_F "zpuino/core/sampledStackOperation_or000382_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BC9F") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000382_G "zpuino/core/sampledStackOperation_or000382_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F9CF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212 "zpuino/core/prefr_spnext_mux0000<10>24") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_24_F "zpuino/core/prefr_spnext_mux0000<10>24_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_10_24_G "zpuino/core/prefr_spnext_mux0000<10>24_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213 "zpuino/core/prefr_spnext_mux0000<6>57") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_57_F "zpuino/core/prefr_spnext_mux0000<6>57_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_57_G "zpuino/core/prefr_spnext_mux0000<6>57_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6AAA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214 "zpuino/core/decr_tosSource_mux0000<1>46") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_46_F "zpuino/core/decr_tosSource_mux0000<1>46_F") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_46_G "zpuino/core/decr_tosSource_mux0000<1>46_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215 "zpuino/core/prefr_spnext_mux0000<8>46") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_46_F "zpuino/core/prefr_spnext_mux0000<8>46_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_8_46_G "zpuino/core/prefr_spnext_mux0000<8>46_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6C") (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_not0001_renamed_2216 "crc16_inst/ready_q_not0001") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_not0001_F "crc16_inst/ready_q_not0001_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_not0001_G "crc16_inst/ready_q_not0001_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000184_renamed_2217 "uart_inst/tx_core/txd_r_mux000184") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000184_F "uart_inst/tx_core/txd_r_mux000184_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF51") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_txd_r_mux000184_G "uart_inst/tx_core/txd_r_mux000184_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000184_renamed_2218 "slot11/tx_core/txd_r_mux000184") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000184_F "slot11/tx_core/txd_r_mux000184_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF51") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_txd_r_mux000184_G "slot11/tx_core/txd_r_mux000184_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_123 "zpuino/io/io_read_selected<2>123") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_123_F "zpuino/io/io_read_selected<2>123_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_2_123_G "zpuino/io/io_read_selected<2>123_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_123 "zpuino/io/io_read_selected<1>123") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_123_F "zpuino/io/io_read_selected<1>123_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_1_123_G "zpuino/io/io_read_selected<1>123_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_130 "zpuino/io/io_read_selected<0>130") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_130_F "zpuino/io/io_read_selected<0>130_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_0_130_G "zpuino/io/io_read_selected<0>130_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_8_49 "timers_inst/wb_dat_o<8>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_8_49_F "timers_inst/wb_dat_o<8>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_8_49_G "timers_inst/wb_dat_o<8>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_15_49 "timers_inst/wb_dat_o<15>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_15_49_F "timers_inst/wb_dat_o<15>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_15_49_G "timers_inst/wb_dat_o<15>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_14_49 "timers_inst/wb_dat_o<14>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_14_49_F "timers_inst/wb_dat_o<14>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_14_49_G "timers_inst/wb_dat_o<14>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_13_49 "timers_inst/wb_dat_o<13>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_13_49_F "timers_inst/wb_dat_o<13>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_13_49_G "timers_inst/wb_dat_o<13>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_12_49 "timers_inst/wb_dat_o<12>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_12_49_F "timers_inst/wb_dat_o<12>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_12_49_G "timers_inst/wb_dat_o<12>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_11_49 "timers_inst/wb_dat_o<11>49") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_11_49_F "timers_inst/wb_dat_o<11>49_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_11_49_G "timers_inst/wb_dat_o<11>49_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_In44 "slot11/rx_inst/state_FSM_FFd2-In44") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_In44_F "slot11/rx_inst/state_FSM_FFd2-In44_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "31") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_state_FSM_FFd2_In44_G "slot11/rx_inst/state_FSM_FFd2-In44_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8DFF") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_23__ "timers_inst/wb_dat_o<23>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_23__F "timers_inst/wb_dat_o<23>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_23__G "timers_inst/wb_dat_o<23>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_22__ "timers_inst/wb_dat_o<22>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_22__F "timers_inst/wb_dat_o<22>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_22__G "timers_inst/wb_dat_o<22>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_21__ "timers_inst/wb_dat_o<21>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_21__F "timers_inst/wb_dat_o<21>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_21__G "timers_inst/wb_dat_o<21>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_20__ "timers_inst/wb_dat_o<20>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_20__F "timers_inst/wb_dat_o<20>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_20__G "timers_inst/wb_dat_o<20>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_19__ "timers_inst/wb_dat_o<19>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_19__F "timers_inst/wb_dat_o<19>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_19__G "timers_inst/wb_dat_o<19>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_18__ "timers_inst/wb_dat_o<18>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_18__F "timers_inst/wb_dat_o<18>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_18__G "timers_inst/wb_dat_o<18>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_17__ "timers_inst/wb_dat_o<17>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_17__F "timers_inst/wb_dat_o<17>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_17__G "timers_inst/wb_dat_o<17>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_16__ "timers_inst/wb_dat_o<16>") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_16__F "timers_inst/wb_dat_o<16>_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_16__G "timers_inst/wb_dat_o<16>_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025138 "zpuino/core/w1_tos_0_mux00025138") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025138_F "zpuino/core/w1_tos_0_mux00025138_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00025138_G "zpuino/core/w1_tos_0_mux00025138_G") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1B") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_7_mux000024 "zpuino/core/w1_nos_save_7_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_7_mux000024_F "zpuino/core/w1_nos_save_7_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_7_mux000024_G "zpuino/core/w1_nos_save_7_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_6_mux000024 "zpuino/core/w1_nos_save_6_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_6_mux000024_F "zpuino/core/w1_nos_save_6_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_6_mux000024_G "zpuino/core/w1_nos_save_6_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_5_mux000024 "zpuino/core/w1_nos_save_5_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_5_mux000024_F "zpuino/core/w1_nos_save_5_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_5_mux000024_G "zpuino/core/w1_nos_save_5_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_4_mux000024 "zpuino/core/w1_nos_save_4_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_4_mux000024_F "zpuino/core/w1_nos_save_4_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_4_mux000024_G "zpuino/core/w1_nos_save_4_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_3_mux000024 "zpuino/core/w1_nos_save_3_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_3_mux000024_F "zpuino/core/w1_nos_save_3_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_3_mux000024_G "zpuino/core/w1_nos_save_3_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_2_mux000024 "zpuino/core/w1_nos_save_2_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_2_mux000024_F "zpuino/core/w1_nos_save_2_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_2_mux000024_G "zpuino/core/w1_nos_save_2_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_1_mux000024 "zpuino/core/w1_nos_save_1_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_1_mux000024_F "zpuino/core/w1_nos_save_1_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_1_mux000024_G "zpuino/core/w1_nos_save_1_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_0_mux000024 "zpuino/core/w1_nos_save_0_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_0_mux000024_F "zpuino/core/w1_nos_save_0_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_0_mux000024_G "zpuino/core/w1_nos_save_0_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_9_mux000024 "zpuino/core/w1_nos_save_9_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_9_mux000024_F "zpuino/core/w1_nos_save_9_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_9_mux000024_G "zpuino/core/w1_nos_save_9_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_8_mux000024 "zpuino/core/w1_nos_save_8_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_8_mux000024_F "zpuino/core/w1_nos_save_8_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_8_mux000024_G "zpuino/core/w1_nos_save_8_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_31_mux000024 "zpuino/core/w1_nos_save_31_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_31_mux000024_F "zpuino/core/w1_nos_save_31_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_31_mux000024_G "zpuino/core/w1_nos_save_31_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_30_mux000024 "zpuino/core/w1_nos_save_30_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_30_mux000024_F "zpuino/core/w1_nos_save_30_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_30_mux000024_G "zpuino/core/w1_nos_save_30_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_29_mux000024 "zpuino/core/w1_nos_save_29_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_29_mux000024_F "zpuino/core/w1_nos_save_29_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_29_mux000024_G "zpuino/core/w1_nos_save_29_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_28_mux000024 "zpuino/core/w1_nos_save_28_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_28_mux000024_F "zpuino/core/w1_nos_save_28_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_28_mux000024_G "zpuino/core/w1_nos_save_28_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_27_mux000024 "zpuino/core/w1_nos_save_27_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_27_mux000024_F "zpuino/core/w1_nos_save_27_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_27_mux000024_G "zpuino/core/w1_nos_save_27_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_26_mux000024 "zpuino/core/w1_nos_save_26_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_26_mux000024_F "zpuino/core/w1_nos_save_26_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_26_mux000024_G "zpuino/core/w1_nos_save_26_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_25_mux000024 "zpuino/core/w1_nos_save_25_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_25_mux000024_F "zpuino/core/w1_nos_save_25_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_25_mux000024_G "zpuino/core/w1_nos_save_25_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_24_mux000024 "zpuino/core/w1_nos_save_24_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_24_mux000024_F "zpuino/core/w1_nos_save_24_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_24_mux000024_G "zpuino/core/w1_nos_save_24_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_23_mux000024 "zpuino/core/w1_nos_save_23_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_23_mux000024_F "zpuino/core/w1_nos_save_23_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_23_mux000024_G "zpuino/core/w1_nos_save_23_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_22_mux000024 "zpuino/core/w1_nos_save_22_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_22_mux000024_F "zpuino/core/w1_nos_save_22_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_22_mux000024_G "zpuino/core/w1_nos_save_22_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_21_mux000024 "zpuino/core/w1_nos_save_21_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_21_mux000024_F "zpuino/core/w1_nos_save_21_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_21_mux000024_G "zpuino/core/w1_nos_save_21_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_20_mux000024 "zpuino/core/w1_nos_save_20_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_20_mux000024_F "zpuino/core/w1_nos_save_20_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_20_mux000024_G "zpuino/core/w1_nos_save_20_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_19_mux000024 "zpuino/core/w1_nos_save_19_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_19_mux000024_F "zpuino/core/w1_nos_save_19_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_19_mux000024_G "zpuino/core/w1_nos_save_19_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_18_mux000024 "zpuino/core/w1_nos_save_18_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_18_mux000024_F "zpuino/core/w1_nos_save_18_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_18_mux000024_G "zpuino/core/w1_nos_save_18_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_17_mux000024 "zpuino/core/w1_nos_save_17_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_17_mux000024_F "zpuino/core/w1_nos_save_17_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_17_mux000024_G "zpuino/core/w1_nos_save_17_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_16_mux000024 "zpuino/core/w1_nos_save_16_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_16_mux000024_F "zpuino/core/w1_nos_save_16_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_16_mux000024_G "zpuino/core/w1_nos_save_16_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_15_mux000024 "zpuino/core/w1_nos_save_15_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_15_mux000024_F "zpuino/core/w1_nos_save_15_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_15_mux000024_G "zpuino/core/w1_nos_save_15_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_14_mux000024 "zpuino/core/w1_nos_save_14_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_14_mux000024_F "zpuino/core/w1_nos_save_14_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_14_mux000024_G "zpuino/core/w1_nos_save_14_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_13_mux000024 "zpuino/core/w1_nos_save_13_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_13_mux000024_F "zpuino/core/w1_nos_save_13_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_13_mux000024_G "zpuino/core/w1_nos_save_13_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_12_mux000024 "zpuino/core/w1_nos_save_12_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_12_mux000024_F "zpuino/core/w1_nos_save_12_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_12_mux000024_G "zpuino/core/w1_nos_save_12_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_11_mux000024 "zpuino/core/w1_nos_save_11_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_11_mux000024_F "zpuino/core/w1_nos_save_11_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_11_mux000024_G "zpuino/core/w1_nos_save_11_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_10_mux000024 "zpuino/core/w1_nos_save_10_mux000024") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_10_mux000024_F "zpuino/core/w1_nos_save_10_mux000024_F") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_nos_save_10_mux000024_G "zpuino/core/w1_nos_save_10_mux000024_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFB8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000037_SW0 "zpuino/core/decr_opWillFreeze_mux000037_SW0") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000037_SW0_F "zpuino/core/decr_opWillFreeze_mux000037_SW0_F") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000037_SW0_G "zpuino/core/decr_opWillFreeze_mux000037_SW0_G") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AE04") (owner "Xilinx")) - ) - (instance (rename slot_address_0__16__inv_INV_0 "slot_address<0><16>_inv_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_stack_b_addr_add0000_lut_0__INV_0 "zpuino/core/Madd_stack_b_addr_add0000_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Madd_pcnext_add0000_lut_0__INV_0 "zpuino/core/Madd_pcnext_add0000_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_1__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_2__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_3__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_4__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_5__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_6__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_7__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_8__INV_0 "zpuino/core/Msub_prefr.spnext_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_vcount_q_lut_0__INV_0 "slot9/Mcount_vcount_q_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hcount_q_lut_0__INV_0 "slot9/Mcount_hcount_q_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hdisp_lut_0__INV_0 "slot9/Mcount_hdisp_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_lut_5__INV_0 "slot9/Maccum_vga_v_offset_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Maccum_vga_v_offset_lut_7__INV_0 "slot9/Maccum_vga_v_offset_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_pr_Mcount_counter_lut_0__INV_0 "slot0/zspiclk/pr/Mcount_counter_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_pr_Mcount_counter_lut_0__INV_0 "slot1/zspiclk/pr/Mcount_counter_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_1__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_2__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_3__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_4__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_5__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_6__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_7__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_8__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_9__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_10__INV_0 "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_rdaddr_lut_0__INV_0 "uart_inst/fifo_instance/Mcount_rdaddr_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mcount_wraddr_lut_0__INV_0 "uart_inst/fifo_instance/Mcount_wraddr_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0 "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0 "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0 "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_Mcount_TSC_q_lut_0__INV_0 "timers_inst/timer0_inst/Mcount_TSC_q_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_lut_0__INV_0 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_lut<0>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0 "slot11/rx_timer/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0 "slot11/tx_timer/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<1>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<2>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<3>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<4>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<5>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<6>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<7>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<8>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<9>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<10>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<11>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<12>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<13>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<14>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0 "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<15>_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename clkgen_inst_dcmlocked_inv1_INV_0 "clkgen_inst/dcmlocked_inv1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_voff_xor_0_11_INV_0 "slot9/Mcount_voff_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_Mcount_hoff_xor_0_11_INV_0 "slot9/Mcount_hoff_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_rstq1_inv1_INV_0 "slot9/rstq1_inv1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_v_display_inv1_INV_0 "slot9/v_display_inv1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_stb_mux00001_INV_0 "zpuino/io/io_stb_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_idle_mux00001_INV_0 "uart_inst/tx_core/idle_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0 "uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_idle_mux00001_INV_0 "slot11/tx_core/idle_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0 "slot11/rx_inst/rxmvfilter/Mcount_count_q_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_wraddr_xor_0_11_INV_0 "slot11/fifo_instance/Mcount_wraddr_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_Mcount_rdaddr_xor_0_11_INV_0 "slot11/fifo_instance/Mcount_rdaddr_xor<0>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_prescale_reset_mux00011_INV_0 "slot1/zspiclk/prescale_reset_mux00011_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspiclk_clk_i_not00011_INV_0 "slot1/zspiclk/clk_i_not00011_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ready_q_mux00001_INV_0 "slot1/zspi/ready_q_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_prescale_reset_mux00011_INV_0 "slot0/zspiclk/prescale_reset_mux00011_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspiclk_clk_i_not00011_INV_0 "slot0/zspiclk/clk_i_not00011_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ready_q_mux00001_INV_0 "slot0/zspi/ready_q_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_ready_q_mux00001_INV_0 "crc16_inst/ready_q_mux00001_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rx_inv1_INV_0 "rstgen/rx_inv1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_ram_v_ram_0_en1_INV_0 "slot9/ram/v_ram_0_en1_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_dir_inv2_INV_0 "timers_inst/timer0_inst/tmrr_dir_inv2_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_dir_inv2_INV_0 "timers_inst/timer1_inst/tmrr_dir_inv2_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename rstgen_rstout_or00001_1_renamed_2219 "rstgen/rstout_or00001_1") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance WING_B_8_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_24 "gpio_inst/gpio_tris_q_24") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_24 "gpio_inst/gpio_o_24") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_9_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_25 "gpio_inst/gpio_tris_q_25") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_25 "gpio_inst/gpio_o_25") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_10_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_26 "gpio_inst/gpio_tris_q_26") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_26 "gpio_inst/gpio_o_26") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_11_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_27 "gpio_inst/gpio_tris_q_27") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_27 "gpio_inst/gpio_o_27") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_12_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_28 "gpio_inst/gpio_tris_q_28") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_28 "gpio_inst/gpio_o_28") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_13_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_29 "gpio_inst/gpio_tris_q_29") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_29 "gpio_inst/gpio_o_29") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_14_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_30 "gpio_inst/gpio_tris_q_30") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_30 "gpio_inst/gpio_o_30") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_B_15_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_31 "gpio_inst/gpio_tris_q_31") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_31 "gpio_inst/gpio_o_31") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_10_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_42 "gpio_inst/gpio_tris_q_42") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_42 "gpio_inst/gpio_o_42") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_11_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_43 "gpio_inst/gpio_tris_q_43") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_43 "gpio_inst/gpio_o_43") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_0_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_32 "gpio_inst/gpio_tris_q_32") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_32 "gpio_inst/gpio_o_32") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_12_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_44 "gpio_inst/gpio_tris_q_44") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_44 "gpio_inst/gpio_o_44") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_1_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_33 "gpio_inst/gpio_tris_q_33") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_33 "gpio_inst/gpio_o_33") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_13_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_45 "gpio_inst/gpio_tris_q_45") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_45 "gpio_inst/gpio_o_45") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_14_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_46 "gpio_inst/gpio_tris_q_46") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_46 "gpio_inst/gpio_o_46") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_15_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_47 "gpio_inst/gpio_tris_q_47") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_47 "gpio_inst/gpio_o_47") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_8_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_40 "gpio_inst/gpio_tris_q_40") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_40 "gpio_inst/gpio_o_40") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_C_9_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_41 "gpio_inst/gpio_tris_q_41") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_41 "gpio_inst/gpio_o_41") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_15_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_15 "gpio_inst/gpio_tris_q_15") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_15 "gpio_inst/gpio_o_15") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_14_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_14 "gpio_inst/gpio_tris_q_14") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_14 "gpio_inst/gpio_o_14") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_13_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_13 "gpio_inst/gpio_tris_q_13") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_13 "gpio_inst/gpio_o_13") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_12_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_12 "gpio_inst/gpio_tris_q_12") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_12 "gpio_inst/gpio_o_12") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_11_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_11 "gpio_inst/gpio_tris_q_11") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_11 "gpio_inst/gpio_o_11") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_10_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_10 "gpio_inst/gpio_tris_q_10") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_10 "gpio_inst/gpio_o_10") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_9_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_9 "gpio_inst/gpio_tris_q_9") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_9 "gpio_inst/gpio_o_9") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_8_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_8 "gpio_inst/gpio_tris_q_8") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_8 "gpio_inst/gpio_o_8") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_7_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_7 "gpio_inst/gpio_tris_q_7") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_7 "gpio_inst/gpio_o_7") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_6_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_6 "gpio_inst/gpio_tris_q_6") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_6 "gpio_inst/gpio_o_6") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_5_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_5 "gpio_inst/gpio_tris_q_5") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_5 "gpio_inst/gpio_o_5") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_4_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_4 "gpio_inst/gpio_tris_q_4") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_4 "gpio_inst/gpio_o_4") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_3_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_3 "gpio_inst/gpio_tris_q_3") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_3 "gpio_inst/gpio_o_3") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_2_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_2 "gpio_inst/gpio_tris_q_2") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_2 "gpio_inst/gpio_o_2") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_1_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_1 "gpio_inst/gpio_tris_q_1") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_1 "gpio_inst/gpio_o_1") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance WING_A_0_IOBUF - (viewRef view_1 (cellRef IOBUF (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_tris_q_0 "gpio_inst/gpio_tris_q_0") - (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_o_0 "gpio_inst/gpio_o_0") - (viewRef view_1 (cellRef FDS (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM22 "zpuino/memory/ramrom/ram/Mram_RAM22") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "00000027050377070000002700500000A08280FF000F208F0000000000000000") (owner "Xilinx")) - (property INIT_01 (string "0005000000008000057208070000079700000087000000500000000000000000") (owner "Xilinx")) - (property INIT_02 (string "05F05000000000000000000000A0170F000F108F000005700000000700000000") (owner "Xilinx")) - (property INIT_03 (string "0000007700000000000000180000000000000008000000900000000F00077800") (owner "Xilinx")) - (property INIT_04 (string "F5030808E00808507125C790008858027858027853050F83001877111111113D") (owner "Xilinx")) - (property INIT_05 (string "37827770FD78555CE88882025000050F00278875958887F00357080005878803") (owner "Xilinx")) - (property INIT_06 (string "05F731875200820F77CC08BD85000F078CE85FA8A0905F080050780088888890") (owner "Xilinx")) - (property INIT_07 (string "88031000A008002577D22B000202000003858D000779D00F25B7F5FA88F80773") (owner "Xilinx")) - (property INIT_08 (string "1818A80B7E092187958C882A21777375883137795818500050FF858955510788") (owner "Xilinx")) - (property INIT_09 (string "37885098C83578852270980728508787310B83717002880B21708A708C775708") (owner "Xilinx")) - (property INIT_0A (string "D00882CC08B8002808088080F0982752295020077B82D5F090FF5882880F358A") (owner "Xilinx")) - (property INIT_0B (string "FA7F70F3083070A888728288855B288B8208888D0D59898989579870F8888A09") (owner "Xilinx")) - (property INIT_0C (string "753373570802087937530F5F00887A809375C71B738750777121778871737538") (owner "Xilinx")) - (property INIT_0D (string "100700700700700E2103721207757F5873E3787857E513882585357853735753") (owner "Xilinx")) - (property INIT_0E (string "375789232575C757587F52107721078075725977830557212085387378F85772") (owner "Xilinx")) - (property INIT_0F (string "000000F0F0000000000B000000000000FF0FB0F25F95090035D7017081F053FF") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM21 "zpuino/memory/ramrom/ram/Mram_RAM21") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "000004E201612263000004E2001A96597B3B26FD000FB53D0000004B0000004B") (owner "Xilinx")) - (property INIT_01 (string "0001955900041559012B53D1000764F200004B120000003A0000004B00000000") (owner "Xilinx")) - (property INIT_02 (string "01F946990000004B0000004B009B03BC000F053C000003390000005200000049") (owner "Xilinx")) - (property INIT_03 (string "00000D1100000000000000040000000000000001000004FB0000000F47530199") (owner "Xilinx")) - (property INIT_04 (string "61BD60BECB43B12802E240FDCCEC1C8D5C1C8D5C18A26F0CC7013300000000F4") (owner "Xilinx")) - (property INIT_05 (string "801D411804014534CD08AD6D28D421AF5DD2CA2133310045A81080D42110402D") (owner "Xilinx")) - (property INIT_06 (string "93F583848E5C368F35C08CB0075DCFC0000418000C052F805D2700D434080248") (owner "Xilinx")) - (property INIT_07 (string "30A315DC268042D1017EDBD42D7D5DC4280208D42200085FD3F0F1F201A46958") (owner "Xilinx")) - (property INIT_08 (string "9081DCCBB05264A024A48AD2641668362484302430816D4216FF121A33175142") (owner "Xilinx")) - (property INIT_09 (string "8118152A41830781ED524071D85742313159C356742D7ACB6518F25C602737C4") (owner "Xilinx")) - (property INIT_0A (string "4D24CDC08CBF5DD6C024FA0BC52AD11DAF1CD8C32BAD024526FF11FD1AB48382") (owner "Xilinx")) - (property INIT_0B (string "F08F96E88B458200007E8E808CBCD40BCDA10890C03D4C4B4A474068B04040BF") (owner "Xilinx")) - (property INIT_0C (string "67D83046D88E803D855D55285A1C00C5C9210608381217006764A38039C88980") (owner "Xilinx")) - (property INIT_0D (string "25805805805804CAE2530E2E62250C6141F8212618A30800E203D748486F2448") (owner "Xilinx")) - (property INIT_0E (string "304015E3E2044341239B36252262524C03174054689253E2E6A53C6903C44226") (owner "Xilinx")) - (property INIT_0F (string "000000F0F00000000018080000000000FF0F1D1E2C125F4C94C18406447421FF") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM31 "zpuino/memory/ramrom/ram/Mram_RAM31") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "00000132031AA01100000132003739223B3B5331004F3121000000FB0000000B") (owner "Xilinx")) - (property INIT_01 (string "0003A1220001A1220C7313210046193200005B92000000A20000003B00000000") (owner "Xilinx")) - (property INIT_02 (string "47530152000000FB0000007B008600B100410121000007320000045200000021") (owner "Xilinx")) - (property INIT_03 (string "0000025100000000000004020000000000000041000001B10000000516465952") (owner "Xilinx")) - (property INIT_04 (string "BCB21BBEFD44B824C408F1BD8088CC8088CC84883D0026246B59640000000011") (owner "Xilinx")) - (property INIT_05 (string "B10518801F10AA402D4214F4AA5DDE0FC52421DD61F00428031A105D81080D42") (owner "Xilinx")) - (property INIT_06 (string "E8C83F870FF6808FC0F181C300C5831CC18C32DBB008CF088520805CB0B0B42A") (owner "Xilinx")) - (property INIT_07 (string "54021C58CF0CDC2680002C5DD40BC58DC9C2CF5D2683F08D5CFAD39A8C1011DD") (owner "Xilinx")) - (property INIT_08 (string "322C1D4C920B4127701A41BC41377745133F427E322D85DD9124030E53150220") (owner "Xilinx")) - (property INIT_09 (string "938D30B1ADB128D302D4200DB331B07B21CF2134DDD41D4C52281C356084337C") (owner "Xilinx")) - (property INIT_0A (string "F542D2F181C1C5BD4D4210C12CB12D848DA82130FC1BF42CB11D30341C02F68F") (owner "Xilinx")) - (property INIT_0B (string "AF1F810488305B88A68D8E89DAB220BCD20CC8C040CC0C0C0BC00564480B8B0B") (owner "Xilinx")) - (property INIT_0C (string "7AA26470D3808134B69B850240CC188CE134050E41933B355F4139089EF92957") (owner "Xilinx")) - (property INIT_0D (string "0442442442442D86FF142FF038F569547266324C412634800638C14C054B15C4") (owner "Xilinx")) - (property INIT_0E (string "2263265206350188477903C483F04C03152211B4CFE253FF037352D381C0583F") (owner "Xilinx")) - (property INIT_0F (string "000000F0F00000000045010000000000FFF0AD6F8DE2CDD865E414108F0D2111") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000B00") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM32 "zpuino/memory/ramrom/ram/Mram_RAM32") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "0000057705800377000005770050707780720887000F8877000000F0000000A0") (owner "Xilinx")) - (property INIT_01 (string "000508770005087700088707000080770000000700000007000000C000000000") (owner "Xilinx")) - (property INIT_02 (string "00077807000000D0000000F000801F0700081877000000770000000700000057") (owner "Xilinx")) - (property INIT_03 (string "0000000700000000000000100000000000000007000005080000000050700007") (owner "Xilinx")) - (property INIT_04 (string "0E0880029003035C088095038900008B00008F005E11707002000011111111C8") (owner "Xilinx")) - (property INIT_05 (string "D57B73ED7957008800005CFC20002FDFF0A0052F25F787089F52780035708008") (owner "Xilinx")) - (property INIT_06 (string "2501FF078FF7388F0799858888D088700980700008A80F7CF058080008080000") (owner "Xilinx")) - (property INIT_07 (string "08818E088F7000A00888E8002C8FF08008F5F90050089DF280F02C38397A872D") (owner "Xilinx")) - (property INIT_08 (string "8880827870A87851285875F87851795073FF5712588250028873737255188517") (owner "Xilinx")) - (property INIT_09 (string "D502798582E530278E0008828557270218EF5137202C82787858583718375370") (owner "Xilinx")) - (property INIT_0A (string "90002A998585F082D00059080885A20C822DA777985F97088872375C8080D008") (owner "Xilinx")) - (property INIT_0B (string "5F8F388C857E0503723F3F372050A8082A90D88888007070700785075C805080") (owner "Xilinx")) - (property INIT_0C (string "57FD575337888552A57F89808D00500FFA58801F5C0552305F7835837FF88797") (owner "Xilinx")) - (property INIT_0D (string "F857857857857080FF857FF883857357072E570075727A378257F8003859372A") (owner "Xilinx")) - (property INIT_0E (string "7503107780378703507378F8388F8075707757200E2107FF8825000837070388") (owner "Xilinx")) - (property INIT_0F (string "000000F0F000000000A0000000000000FFF0E30F0225F00897257858FF705788") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM11 "zpuino/memory/ramrom/ram/Mram_RAM11") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "000000760415AA1100000074004139314BF959F60006A2660000000B0000000B") (owner "Xilinx")) - (property INIT_01 (string "000419910000699101CB26430003F96A0000085F000000120000000B00000000") (owner "Xilinx")) - (property INIT_02 (string "01521F600000000B0000000B004B53B600060366000001920000003900000001") (owner "Xilinx")) - (property INIT_03 (string "00000435000000000000005500000000000000050000008B0000000501F94660") (owner "Xilinx")) - (property INIT_04 (string "1BBD5EB88B0DFB00DF22868B4C384C60584C605841325524120531300000004F") (owner "Xilinx")) - (property INIT_05 (string "2062C5718876230053F5201120324ACBD421520A811F805DC31104028062248D") (owner "Xilinx")) - (property INIT_06 (string "1478637C4758F0068CC408DA40D42F020011B58F4FBD2600D42244020CCCC658") (owner "Xilinx")) - (property INIT_07 (string "40913D42D10154011C1B8D224B12D421810008028CEAC1D9C3689A9D2E088E8C") (owner "Xilinx")) - (property INIT_08 (string "39370BCD75DD0443834DA41DD438C352083461581937C924F8E3515825736560") (owner "Xilinx")) - (property INIT_09 (string "3068FDD4D3A31A8FD8405404A171674813D925C64C0B1BCD85412DC718870C59") (owner "Xilinx")) - (property INIT_0A (string "C0D54AC408DBD421CBC5BCBF5DD481AF18A2232CCD41C05DDCE2A52F1BF5738D") (owner "Xilinx")) - (property INIT_0B (string "B9CC8FE84C13522BE021808E8B357C0D2CC00BDA108152595C4608C0F0C400E0") (owner "Xilinx")) - (property INIT_0C (string "55DC05C14C054128055DDD05DCBCD08D91D203E8A5646F235624C63C74C3770E") (owner "Xilinx")) - (property INIT_0D (string "23143143143144689264182715759D4A15810A1D0384512E8544D70D38084215") (owner "Xilinx")) - (property INIT_0E (string "55614895D4128863060DF22317D231524C051B74D41140322183759C46258079") (owner "Xilinx")) - (property INIT_0F (string "000000F0F00000F000E8F4A798AA89AAF0FFF489228000F70285447126B17866") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM12 "zpuino/memory/ramrom/ram/Mram_RAM12") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "0000000200500087000000020008707800F000F0000028000000000000000000") (owner "Xilinx")) - (property INIT_01 (string "000080080000000805F080050005F00200000809000000570000000000000000") (owner "Xilinx")) - (property INIT_02 (string "05077F0700000000000000000000080000001800000005070000005000000008") (owner "Xilinx")) - (property INIT_03 (string "0000005000000000000000000000000000000000000000B00000000005F05007") (owner "Xilinx")) - (property INIT_04 (string "800082030083907729950AC008C0005570005570055700705710885111111103") (owner "Xilinx")) - (property INIT_05 (string "8807072530A0788809F0A8575780880000050AA8371F88000758788087085CF0") (owner "Xilinx")) - (property INIT_06 (string "871075108A58F88000081E2088000F777877808F890050780007888090C0CF08") (owner "Xilinx")) - (property INIT_07 (string "182730002577887580798270885700088787808080F0080385003832C2A09208") (owner "Xilinx")) - (property INIT_08 (string "32317B825002F5C337C22C82E570077581857853723187098E21070375538018") (owner "Xilinx")) - (property INIT_09 (string "7800802C28858208A85F0888855510187303A70878A85F82D5F8A20813528081") (owner "Xilinx")) - (property INIT_0A (string "08F0D8081E28000580F08009002C85895D87757002C808002E2180595F90C502") (owner "Xilinx")) - (property INIT_0B (string "93575F285B8508302C880802088070C2A80F8020888B0A080A507C07F80C888D") (owner "Xilinx")) - (property INIT_0C (string "750E85D800385E538750028000002800382785938707577307F5017D25082582") (owner "Xilinx")) - (property INIT_0D (string "55785785785787830557895A57257352873782837835777295750D3378887387") (owner "Xilinx")) - (property INIT_0E (string "5E581305958530577073FF5572D55707807A520737873795A538507F5210072C") (owner "Xilinx")) - (property INIT_0F (string "000000F0F0000000000D200000000000F0FF303051377EF88537589580F78800") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM01 "zpuino/memory/ramrom/ram/Mram_RAM01") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "00000033001A006900000033000666350BF63138000235980000000800000009") (owner "Xilinx")) - (property INIT_01 (string "0000660500003605046B596100015AAF000006B60000004B0000000800000000") (owner "Xilinx")) - (property INIT_02 (string "016465A10000000800000008000B86E80002A598000004660000001100000005") (owner "Xilinx")) - (property INIT_03 (string "0000001F00000000000000220000000000000002000000CB0000000401521FA1") (owner "Xilinx")) - (property INIT_04 (string "FBF4890B0BDD8B08F480083BFCD0880090880090812A19121C036F1000000006") (owner "Xilinx")) - (property INIT_05 (string "0E18BC67F2818000D48DDEA1A808520332CADD220146CCD422061248531A1054") (owner "Xilinx")) - (property INIT_06 (string "6F7242C33848F9F934050D364002868C21CC1D48CC0422B4028C0088AF000FDC") (owner "Xilinx")) - (property INIT_07 (string "39112724011C158CF0C88E1C52A1A28B58E067B852F011F24A20020F13428922") (owner "Xilinx")) - (property INIT_08 (string "75135D264D4284D235D41D4A844338709373C5350513BB05B8937553F72F6039") (owner "Xilinx")) - (property INIT_09 (string "1E38242D21B1618288E5DC405F52383B0272DC71CB52AD248686D321344C5993") (owner "Xilinx")) - (property INIT_0A (string "0BCDD5C50D2DA24F9F5DD534D42D8F48A840FCC001D2ABD428924428AD0D9118") (owner "Xilinx")) - (property INIT_0B (string "90AC3E989D83D45898F6040E4C0F8C04DBCB402CB0B1313131828042F4003C3C") (owner "Xilinx")) - (property INIT_0C (string "4089519237485F404407421D420A08082BE803C363EE1885F1843060849FFADF") (owner "Xilinx")) - (property INIT_0D (string "1C05C05C05C051D41140581807C5BD55A434E3AD883220498231695D88E8143F") (owner "Xilinx")) - (property INIT_0E (string "384F111080F13E43332D081C0081C0315248F708D86FF18180425F1DFD231458") (owner "Xilinx")) - (property INIT_0F (string "000000F0F0000080001000B7385C61400FFF4431000F8BDDBF38668110D9DC15") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_memory_ramrom_ram_Mram_RAM02 "zpuino/memory/ramrom/ram/Mram_RAM02") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "0000005700501100000000570000007000F08870000580000000000900000009") (owner "Xilinx")) - (property INIT_01 (string "0000007000005070000200050005002F00000A00000000020000000800000000") (owner "Xilinx")) - (property INIT_02 (string "0507000800000008000000080000009000052000000000000000005800000000") (owner "Xilinx")) - (property INIT_03 (string "0000005F00000000000000570000000000000005000000000000000005077F08") (owner "Xilinx")) - (property INIT_04 (string "309030808030C0F09C377330F029889599889599857070875F180F5111111119") (owner "Xilinx")) - (property INIT_05 (string "925080E8953509D800F02F8888DF0A888088023A88500D00087085CF0F527800") (owner "Xilinx")) - (property INIT_06 (string "0F5787075370FDD5878012755CA080007700700F0CA007F8808087CF09888F00") (owner "Xilinx")) - (property INIT_07 (string "3278870875807088F703085F098880800828080F05F75190D8779A8F5985305A") (owner "Xilinx")) - (property INIT_08 (string "707372A8500037257727528837357528231700588073B7E083055757F55F1A32") (owner "Xilinx")) - (property INIT_09 (string "5258A00275F7088A308000C888553832987020810B0982A837302751377A5513") (owner "Xilinx")) - (property INIT_0A (string "80A02880127280888900288D000208CD80C99807882880000305C57A82D03788") (owner "Xilinx")) - (property INIT_0B (string "38508A007217FD58839C8D8B5BD578882B8088800807575757A70857FA88B0C0") (owner "Xilinx")) - (property INIT_0C (string "2873283378537378728700500088909805F085F723F25087F1375758957FF57F") (owner "Xilinx")) - (property INIT_0D (string "707007007007053787370373708570E5277725208375712A3778735080205759") (owner "Xilinx")) - (property INIT_0E (string "735F788737F77257957093707937075707838078080FF83737775973FE557893") (owner "Xilinx")) - (property INIT_0F (string "000000F0F00000E0000086CE7DB94B410FFF0088777F00330F70513587F03077") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM1 "slot9/ram/ram0/Mram_RAM1") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM2 "slot9/ram/ram0/Mram_RAM2") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM3 "slot9/ram/ram0/Mram_RAM3") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM4 "slot9/ram/ram0/Mram_RAM4") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM5 "slot9/ram/ram0/Mram_RAM5") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM6 "slot9/ram/ram0/Mram_RAM6") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM7 "slot9/ram/ram0/Mram_RAM7") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram0_Mram_RAM8 "slot9/ram/ram0/Mram_RAM8") - (viewRef view_1 (cellRef RAMB16_S1_S1 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRA<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "14:INPUT:ADDRB<13:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOB<0:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 1) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 1) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram1_Mram_RAM1 "slot9/ram/ram1/Mram_RAM1") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename slot9_ram_ram1_Mram_RAM2 "slot9/ram/ram1/Mram_RAM2") - (viewRef view_1 (cellRef RAMB16_S4_S4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRA<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "12:INPUT:ADDRB<11:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOB<3:0>") (owner "Xilinx")) - (property DATA_WIDTH_B (integer 4) (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 4) (owner "Xilinx")) - (property SRVAL_A (string "0") (owner "Xilinx")) - ) - (instance (rename zpuino_stack_stack "zpuino/stack/stack") - (viewRef view_1 (cellRef RAMB16_S36_S36 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "9:INPUT:ADDRA<8:0>") (owner "Xilinx")) - (property BUS_INFO (string "9:INPUT:ADDRB<8:0>") (owner "Xilinx")) - (property BUS_INFO (string "32:INPUT:DIA<31:0>") (owner "Xilinx")) - (property BUS_INFO (string "32:INPUT:DIB<31:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIPA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:INPUT:DIPB<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "32:OUTPUT:DOA<31:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOPA<3:0>") (owner "Xilinx")) - (property BUS_INFO (string "32:OUTPUT:DOB<31:0>") (owner "Xilinx")) - (property BUS_INFO (string "4:OUTPUT:DOPB<3:0>") (owner "Xilinx")) - (property SRVAL_A (string "000000000") (owner "Xilinx")) - (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000") (owner "Xilinx")) - (property SIM_COLLISION_CHECK (string "ALL") (owner "Xilinx")) - (property INIT_A (string "000000000") (owner "Xilinx")) - (property INIT_B (string "000000000") (owner "Xilinx")) - (property WRITE_MODE_A (string "WRITE_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property SRVAL_B (string "000000000") (owner "Xilinx")) - ) - (instance (rename uart_inst_fifo_instance_Mram_memory "uart_inst/fifo_instance/Mram_memory") - (viewRef view_1 (cellRef RAMB16_S9_S9 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property BUS_INFO (string "11:INPUT:ADDRA<10:0>") (owner "Xilinx")) - (property BUS_INFO (string "11:INPUT:ADDRB<10:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:INPUT:DIA<7:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:INPUT:DIB<7:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIPA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:INPUT:DIPB<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:OUTPUT:DOA<7:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOPA<0:0>") (owner "Xilinx")) - (property BUS_INFO (string "8:OUTPUT:DOB<7:0>") (owner "Xilinx")) - (property BUS_INFO (string "1:OUTPUT:DOPB<0:0>") (owner "Xilinx")) - (property WRITE_MODE_A (string "READ_FIRST") (owner "Xilinx")) - (property WRITE_MODE_B (string "WRITE_FIRST") (owner "Xilinx")) - (property DATA_WIDTH_A (integer 9) (owner "Xilinx")) - (property DATA_WIDTH_B (integer 9) (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_mux000021 "slot9/vga_hsync_mux000021") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename slot9_vga_hsync_mux00002_f5 "slot9/vga_hsync_mux00002_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_15_11_renamed_2220 "crc16_inst/crc_q_mux0001<15>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF28") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_15_12 "crc16_inst/crc_q_mux0001<15>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0220") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_15_1_f5 "crc16_inst/crc_q_mux0001<15>1_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count41_renamed_2221 "slot1/zspi/Mcount_count41") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count42_renamed_2222 "slot1/zspi/Mcount_count42") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count4_f5 "slot1/zspi/Mcount_count4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count41_renamed_2223 "slot0/zspi/Mcount_count41") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count42_renamed_2224 "slot0/zspi/Mcount_count42") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "6") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count4_f5 "slot0/zspi/Mcount_count4_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225 "uart_inst/rx_inst/datacount_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226 "uart_inst/rx_inst/datacount_mux0000<0>2") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F1") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_0__f5 "uart_inst/rx_inst/datacount_mux0000<0>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0_1_renamed_2227 "slot11/rx_inst/datacount_mux0000<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0_2_renamed_2228 "slot11/rx_inst/datacount_mux0000<0>2") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F1") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_0__f5 "slot11/rx_inst/datacount_mux0000<0>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not000111 "uart_inst/tx_core/loaded_r_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not00011_f5 "uart_inst/tx_core/loaded_r_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not000111 "slot11/tx_core/loaded_r_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not00011_f5 "slot11/tx_core/loaded_r_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot9_read_ended_and000011 "slot9/read_ended_and000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot9_read_ended_and00001_f5 "slot9/read_ended_and00001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_3_12 "slot1/zspi/Mcount_count_xor<3>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "CCC9") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_3_1_f5 "slot1/zspi/Mcount_count_xor<3>1_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_3_12 "slot0/zspi/Mcount_count_xor<3>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "CCC9") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_3_1_f5 "slot0/zspi/Mcount_count_xor<3>1_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_mux0001281_renamed_2229 "zpuino/core/exr_wb_cyc_mux0001281") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_mux0001282 "zpuino/core/exr_wb_cyc_mux0001282") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_wb_cyc_mux000128_f5 "zpuino/core/exr_wb_cyc_mux000128_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_0_mux00001 "zpuino/io/slot_cyc_i_0_mux00001") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_0_mux0000_f5 "zpuino/io/slot_cyc_i_0_mux0000_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not00012_renamed_2230 "uart_inst/tx_core/loaded_r_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not00013_renamed_2231 "uart_inst/tx_core/loaded_r_not00013") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename uart_inst_tx_core_loaded_r_not0001_f5 "uart_inst/tx_core/loaded_r_not0001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not00012_renamed_2232 "slot11/tx_core/loaded_r_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not00013_renamed_2233 "slot11/tx_core/loaded_r_not00013") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot11_tx_core_loaded_r_not0001_f5 "slot11/tx_core/loaded_r_not0001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_9_1_renamed_2234 "crc16_inst/crc_q_mux0001<9>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_9__f5 "crc16_inst/crc_q_mux0001<9>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_8_1_renamed_2235 "crc16_inst/crc_q_mux0001<8>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_8__f5 "crc16_inst/crc_q_mux0001<8>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_7_1_renamed_2236 "crc16_inst/crc_q_mux0001<7>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_7__f5 "crc16_inst/crc_q_mux0001<7>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_6_1_renamed_2237 "crc16_inst/crc_q_mux0001<6>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_6__f5 "crc16_inst/crc_q_mux0001<6>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_5_1_renamed_2238 "crc16_inst/crc_q_mux0001<5>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_5__f5 "crc16_inst/crc_q_mux0001<5>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_4_1_renamed_2239 "crc16_inst/crc_q_mux0001<4>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_4__f5 "crc16_inst/crc_q_mux0001<4>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_3_1_renamed_2240 "crc16_inst/crc_q_mux0001<3>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_3__f5 "crc16_inst/crc_q_mux0001<3>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_2_1_renamed_2241 "crc16_inst/crc_q_mux0001<2>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_2__f5 "crc16_inst/crc_q_mux0001<2>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_1_1_renamed_2242 "crc16_inst/crc_q_mux0001<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_1__f5 "crc16_inst/crc_q_mux0001<1>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_14_1_renamed_2243 "crc16_inst/crc_q_mux0001<14>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_14__f5 "crc16_inst/crc_q_mux0001<14>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_13_1_renamed_2244 "crc16_inst/crc_q_mux0001<13>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_13__f5 "crc16_inst/crc_q_mux0001<13>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_12_1_renamed_2245 "crc16_inst/crc_q_mux0001<12>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_12__f5 "crc16_inst/crc_q_mux0001<12>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_11_1_renamed_2246 "crc16_inst/crc_q_mux0001<11>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_11__f5 "crc16_inst/crc_q_mux0001<11>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_10_1_renamed_2247 "crc16_inst/crc_q_mux0001<10>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_10__f5 "crc16_inst/crc_q_mux0001<10>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_0_1_renamed_2248 "crc16_inst/crc_q_mux0001<0>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "96AA") (owner "Xilinx")) - ) - (instance (rename crc16_inst_crc_q_mux0001_0__f5 "crc16_inst/crc_q_mux0001<0>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249 "zpuino/core/prefr_spnext_mux0000<2>151") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF8A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250 "zpuino/core/prefr_spnext_mux0000<2>152") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA02") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_2_15_f5 "zpuino/core/prefr_spnext_mux0000<2>15_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_and00001_SW01 "gpio_inst/output_mapper_q_1_and00001_SW01") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_output_mapper_q_1_and00001_SW0_f5 "gpio_inst/output_mapper_q_1_and00001_SW0_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_11_renamed_2251 "zpuino/core/stack_a_write<0>11") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF8A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_12 "zpuino/core/stack_a_write<0>12") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2FA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0_1_f5 "zpuino/core/stack_a_write<0>1_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252 "zpuino/core/prefr_spnext_mux0000<6>881") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253 "zpuino/core/prefr_spnext_mux0000<6>882") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_6_88_f5 "zpuino/core/prefr_spnext_mux0000<6>88_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_clk_en111_renamed_2254 "slot1/zspi/clk_en111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3323") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_clk_en11_f5 "slot1/zspi/clk_en11_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_clk_en111_renamed_2255 "slot0/zspi/clk_en111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3323") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_clk_en11_f5 "slot0/zspi/clk_en11_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256 "zpuino/core/prefr_spnext_mux0000<9>411") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257 "zpuino/core/prefr_spnext_mux0000<9>412") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_9_41_f5 "zpuino/core/prefr_spnext_mux0000<9>41_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000241201_renamed_2258 "zpuino/core/w1_tos_0_mux000241201") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024120_f5 "zpuino/core/w1_tos_0_mux00024120_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000841_renamed_2259 "gpio_inst/spp_read_0_mux0000841") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000842_renamed_2260 "gpio_inst/spp_read_0_mux0000842") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux000084_f5 "gpio_inst/spp_read_0_mux000084_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00002261_renamed_2261 "gpio_inst/spp_read_0_mux00002261") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00002262_renamed_2262 "gpio_inst/spp_read_0_mux00002262") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000226_f5 "gpio_inst/spp_read_0_mux0000226_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00003621_renamed_2263 "gpio_inst/spp_read_0_mux00003621") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00003622_renamed_2264 "gpio_inst/spp_read_0_mux00003622") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000362_f5 "gpio_inst/spp_read_0_mux0000362_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00005531_renamed_2265 "gpio_inst/spp_read_0_mux00005531") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00005532_renamed_2266 "gpio_inst/spp_read_0_mux00005532") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000553_f5 "gpio_inst/spp_read_0_mux0000553_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00006841_renamed_2267 "gpio_inst/spp_read_0_mux00006841") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "31") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux00006842 "gpio_inst/spp_read_0_mux00006842") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_0_mux0000684_f5 "gpio_inst/spp_read_0_mux0000684_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_341_renamed_2268 "zpuino/io/io_read_selected<7>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_34_f5 "zpuino/io/io_read_selected<7>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_341_renamed_2269 "zpuino/io/io_read_selected<6>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_34_f5 "zpuino/io/io_read_selected<6>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_341_renamed_2270 "zpuino/io/io_read_selected<5>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_34_f5 "zpuino/io/io_read_selected<5>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_341_renamed_2271 "zpuino/io/io_read_selected<4>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_34_f5 "zpuino/io/io_read_selected<4>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_341_renamed_2272 "zpuino/io/io_read_selected<3>341") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "55D5") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_34_f5 "zpuino/io/io_read_selected<3>34_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudreset_or000011 "slot11/rx_inst/baudreset_or000011") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAE") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_baudreset_or00001_f5 "slot11/rx_inst/baudreset_or00001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000841_renamed_2273 "gpio_inst/spp_read_1_mux0000841") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000842_renamed_2274 "gpio_inst/spp_read_1_mux0000842") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux000084_f5 "gpio_inst/spp_read_1_mux000084_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00002261_renamed_2275 "gpio_inst/spp_read_1_mux00002261") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00002262_renamed_2276 "gpio_inst/spp_read_1_mux00002262") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000226_f5 "gpio_inst/spp_read_1_mux0000226_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00003621_renamed_2277 "gpio_inst/spp_read_1_mux00003621") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00003622_renamed_2278 "gpio_inst/spp_read_1_mux00003622") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000362_f5 "gpio_inst/spp_read_1_mux0000362_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00005531_renamed_2279 "gpio_inst/spp_read_1_mux00005531") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux00005532_renamed_2280 "gpio_inst/spp_read_1_mux00005532") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000553_f5 "gpio_inst/spp_read_1_mux0000553_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281 "zpuino/core/decr_tosSource_mux0000<9>131") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_9_13_f5 "zpuino/core/decr_tosSource_mux0000<9>13_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux0002661_renamed_2282 "zpuino/core/w1_tos_31_mux0002661") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000266_f5 "zpuino/core/w1_tos_31_mux000266_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283 "slot11/fifo_instance/full_v_cmp_eq000041041") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284 "slot11/fifo_instance/full_v_cmp_eq000041042") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_instance_full_v_cmp_eq00004104_f5 "slot11/fifo_instance/full_v_cmp_eq00004104_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_7_891 "zpuino/core/prefr_spnext_mux0000<7>891") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_7_89_f5 "zpuino/core/prefr_spnext_mux0000<7>89_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_891 "zpuino/core/prefr_spnext_mux0000<4>891") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_mux0000_4_89_f5 "zpuino/core/prefr_spnext_mux0000<4>89_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_not000111 "slot1/zspi/count_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_count_not00011_f5 "slot1/zspi/count_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_not000111 "slot0/zspi/count_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA8A") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_count_not00011_f5 "slot0/zspi/count_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_391_renamed_2285 "zpuino/io/io_read_selected<17>391") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_392_renamed_2286 "zpuino/io/io_read_selected<17>392") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_17_39_f5 "zpuino/io/io_read_selected<17>39_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_16_391_renamed_2287 "zpuino/io/io_read_selected<16>391") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_16_392_renamed_2288 "zpuino/io/io_read_selected<16>392") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_16_39_f5 "zpuino/io/io_read_selected<16>39_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW01") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW02 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW02") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW0_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290 "zpuino/core/decr_stackOperation_mux0000<2>110_SW01") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "32FF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW02 "zpuino/core/decr_stackOperation_mux0000<2>110_SW02") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "32") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5 "zpuino/core/decr_stackOperation_mux0000<2>110_SW0_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_not00011_renamed_2291 "zpuino/core/prefr_spnext_not00011") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_not00012_renamed_2292 "zpuino/core/prefr_spnext_not00012") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF02") (owner "Xilinx")) - ) - (instance (rename zpuino_core_prefr_spnext_not0001_f5 "zpuino/core/prefr_spnext_not0001_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ready_q_not000111 "slot1/zspi/ready_q_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_ready_q_not00011_f5 "slot1/zspi/ready_q_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ready_q_not000111 "slot0/zspi/ready_q_not000111") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_ready_q_not00011_f5 "slot0/zspi/ready_q_not00011_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count51_renamed_2293 "slot1/zspi/Mcount_count51") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count52_renamed_2294 "slot1/zspi/Mcount_count52") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9C") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count5_f5 "slot1/zspi/Mcount_count5_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count51_renamed_2295 "slot0/zspi/Mcount_count51") - (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count52_renamed_2296 "slot0/zspi/Mcount_count52") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9C") (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count5_f5 "slot0/zspi/Mcount_count5_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297 "zpuino/core/exr.state_FSM_FFd14-In15_SW01") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In15_SW02 "zpuino/core/exr.state_FSM_FFd14-In15_SW02") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5 "zpuino/core/exr.state_FSM_FFd14-In15_SW0_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298 "uart_inst/rx_inst/datacount_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9AAA") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299 "uart_inst/rx_inst/datacount_mux0000<1>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename uart_inst_rx_inst_datacount_mux0000_1__f5 "uart_inst/rx_inst/datacount_mux0000<1>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_1_1_renamed_2300 "slot11/rx_inst/datacount_mux0000<1>1") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "9AAA") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_1_2_renamed_2301 "slot11/rx_inst/datacount_mux0000<1>2") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF40") (owner "Xilinx")) - ) - (instance (rename slot11_rx_inst_datacount_mux0000_1__f5 "slot11/rx_inst/datacount_mux0000<1>_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack1181_renamed_2302 "zpuino/io/io_device_ack1181") - (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack1182_renamed_2303 "zpuino/io/io_device_ack1182") - (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack118_f5 "zpuino/io/io_device_ack118_f5") - (viewRef view_1 (cellRef MUXF5 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not000132_SW0 "timers_inst/timer1_inst/tmrr_intr_not000132_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BFFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_11_mux000011 "zpuino/io/slot_cyc_i_11_mux000011") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_and00011 "timers_inst/timer0_inst/tmrr_cnt_and00011") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "4000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_33__SW0 "zpuino/core/decr_decodedOpcode_mux0000<33>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_32__SW0 "zpuino/core/decr_decodedOpcode_mux0000<32>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_30__SW0 "zpuino/core/decr_decodedOpcode_mux0000<30>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_31__SW0 "zpuino/core/decr_decodedOpcode_mux0000<31>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "2000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000264 "zpuino/core/w1_tos_6_mux000264") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10_21 "zpuino/core/decr_tosSource_mux0000<10>21") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy4_renamed_2304 "zpuino/core/exu_busy4") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy33_renamed_2305 "zpuino/core/exu_busy33") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10_11 "zpuino/core/decr_tosSource_mux0000<10>11") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_opWillFreeze_mux000096_renamed_2306 "zpuino/core/decr_opWillFreeze_mux000096") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7772") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not0001311 "timers_inst/timer1_inst/tmrr_intr_not0001311") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40C0") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_cyc1 "timers_inst/timer0_cyc1") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0100") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002810 "zpuino/core/w1_tos_6_mux0002810") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3500") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_intr_not000133_SW0 "timers_inst/timer0_inst/tmrr_intr_not000133_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "153F") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_32_not00014 "gpio_inst/gpio_q_32_not00014") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF7F") (owner "Xilinx")) - ) - (instance (rename gpio_inst_gpio_q_0_not00015 "gpio_inst/gpio_q_0_not00015") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_wroteback_q_mux0000352 "zpuino/core/wroteback_q_mux0000352") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_6_9_renamed_2307 "zpuino/core/stack_a_addr<6>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_5_9_renamed_2308 "zpuino/core/stack_a_addr<5>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_4_9_renamed_2309 "zpuino/core/stack_a_addr<4>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_240 "zpuino/core/stack_a_addr<10>240") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF8A") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_9__SW0 "zpuino/core/stack_a_write<9>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_8__SW0 "zpuino/core/stack_a_write<8>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_7__SW0 "zpuino/core/stack_a_write<7>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_6__SW0 "zpuino/core/stack_a_write<6>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_5__SW0 "zpuino/core/stack_a_write<5>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_4__SW0 "zpuino/core/stack_a_write<4>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_3__SW0 "zpuino/core/stack_a_write<3>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_30__SW0 "zpuino/core/stack_a_write<30>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_2__SW0 "zpuino/core/stack_a_write<2>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_29__SW0 "zpuino/core/stack_a_write<29>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_28__SW0 "zpuino/core/stack_a_write<28>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_27__SW0 "zpuino/core/stack_a_write<27>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_26__SW0 "zpuino/core/stack_a_write<26>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_25__SW0 "zpuino/core/stack_a_write<25>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_24__SW0 "zpuino/core/stack_a_write<24>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_23__SW0 "zpuino/core/stack_a_write<23>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_22__SW0 "zpuino/core/stack_a_write<22>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_21__SW0 "zpuino/core/stack_a_write<21>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_20__SW0 "zpuino/core/stack_a_write<20>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_1__SW0 "zpuino/core/stack_a_write<1>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_19__SW0 "zpuino/core/stack_a_write<19>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_18__SW0 "zpuino/core/stack_a_write<18>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_17__SW0 "zpuino/core/stack_a_write<17>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_16__SW0 "zpuino/core/stack_a_write<16>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_15__SW0 "zpuino/core/stack_a_write<15>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_14__SW0 "zpuino/core/stack_a_write<14>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_13__SW0 "zpuino/core/stack_a_write<13>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_12__SW0 "zpuino/core/stack_a_write<12>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_11__SW0 "zpuino/core/stack_a_write<11>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_10__SW0 "zpuino/core/stack_a_write<10>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_write_0__SW0 "zpuino/core/stack_a_write<0>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_7_9_renamed_2310 "zpuino/core/stack_a_addr<7>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_8_9_renamed_2311 "zpuino/core/stack_a_addr<8>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_9_9_renamed_2312 "zpuino/core/stack_a_addr<9>9") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_11 "zpuino/core/stack_a_addr<10>11") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_stack_a_addr_10_41 "zpuino/core/stack_a_addr<10>41") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_7_and00001 "zpuino/io/intr_inst/masked_ivecs_7_and00001") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_masked_ivecs_13_and00001 "zpuino/io/intr_inst/masked_ivecs_13_and00001") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_poppc_inst1 "zpuino/core/poppc_inst1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F2") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_11_not000111 "zpuino/io/intr_inst/intr_detected_q_11_not000111") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_17_and000041 "gpio_inst/input_mapper_q_17_and000041") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "BF") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_16_and000041 "gpio_inst/input_mapper_q_16_and000041") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and000061 "gpio_inst/input_mapper_q_0_and000061") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FB") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and000041 "gpio_inst/input_mapper_q_0_and000041") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313 "timers_inst/timer0_inst/tmrr_cnt_not000113") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decode_jump_renamed_2314 "zpuino/core/decode_jump") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024161_renamed_2315 "zpuino/core/w1_tos_0_mux00024161") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename slot11_fifo_rd_and000011 "slot11/fifo_rd_and000011") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_20_11 "zpuino/core/shl/idx_mux0001<20>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_12_11 "zpuino/core/shl/idx_mux0001<12>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_10_11 "zpuino/core/shl/idx_mux0001<10>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_0_31 "zpuino/core/decr_pcint_mux0000<0>31") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "3332") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_pcint_mux0000_0_21 "zpuino/core/decr_pcint_mux0000<0>21") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FF01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002311 "zpuino/core/w1_tos_0_mux0002311") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_7_mux00001 "zpuino/io/slot_cyc_i_7_mux00001") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_io_slot_cyc_i_14_mux000011 "zpuino/io/slot_cyc_i_14_mux000011") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_7_15_renamed_2316 "timers_inst/wb_dat_o<7>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_6_15_renamed_2317 "timers_inst/wb_dat_o<6>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_5_15_renamed_2318 "timers_inst/wb_dat_o<5>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_4_15_renamed_2319 "timers_inst/wb_dat_o<4>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_wb_dat_o_3_15_renamed_2320 "timers_inst/wb_dat_o<3>15") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename timers_inst_timer1_inst_tmrr_intr_not0001_SW0 "timers_inst/timer1_inst/tmrr_intr_not0001_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FEFF") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack93_renamed_2321 "zpuino/io/io_device_ack93") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFF8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_0_21 "zpuino/core/shl/idx_mux0001<0>21") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "1") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_2_11 "zpuino/core/shl/idx_mux0001<2>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_5_51 "zpuino/core/decr_fetchpc_mux0000<5>51") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "5510") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000214_renamed_2322 "zpuino/core/w1_tos_1_mux000214") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000268_renamed_2323 "zpuino/core/w1_tos_1_mux000268") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000292_renamed_2324 "zpuino/core/w1_tos_1_mux000292") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux0002141_renamed_2325 "zpuino/core/w1_tos_1_mux0002141") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux00024_renamed_2326 "zpuino/core/w1_tos_2_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux000256_renamed_2327 "zpuino/core/w1_tos_2_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002148_renamed_2328 "zpuino/core/w1_tos_2_mux0002148") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux00024_renamed_2329 "zpuino/core/w1_tos_3_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux000256_renamed_2330 "zpuino/core/w1_tos_3_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002148_renamed_2331 "zpuino/core/w1_tos_3_mux0002148") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332 "zpuino/core/decr_fetchpc_mux0000<4>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333 "zpuino/core/decr_fetchpc_mux0000<3>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334 "zpuino/core/decr_fetchpc_mux0000<2>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335 "zpuino/core/decr_fetchpc_mux0000<1>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336 "zpuino/core/decr_fetchpc_mux0000<13>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337 "zpuino/core/decr_fetchpc_mux0000<12>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338 "zpuino/core/decr_fetchpc_mux0000<11>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339 "zpuino/core/decr_fetchpc_mux0000<10>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340 "zpuino/core/decr_fetchpc_mux0000<0>4") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "ECA0") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux00024_renamed_2341 "zpuino/core/w1_tos_4_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux000256_renamed_2342 "zpuino/core/w1_tos_4_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002148_renamed_2343 "zpuino/core/w1_tos_4_mux0002148") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux00024_renamed_2344 "zpuino/core/w1_tos_5_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux000256_renamed_2345 "zpuino/core/w1_tos_5_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002148_renamed_2346 "zpuino/core/w1_tos_5_mux0002148") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux00027_renamed_2347 "zpuino/core/w1_tos_6_mux00027") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux000027_renamed_2348 "gpio_inst/spp_read_1_mux000027") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0E04") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000154_renamed_2349 "gpio_inst/spp_read_1_mux0000154") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A820") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000390_renamed_2350 "gpio_inst/spp_read_1_mux0000390") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000581_renamed_2351 "gpio_inst/spp_read_1_mux0000581") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux00027_renamed_2352 "zpuino/core/w1_tos_7_mux00027") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000237_renamed_2353 "zpuino/core/w1_tos_7_mux000237") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_8_11 "zpuino/core/decr_decodedOpcode_mux0000<8>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_4_11 "zpuino/core/decr_decodedOpcode_mux0000<4>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_23__SW0 "zpuino/core/decr_decodedOpcode_mux0000<23>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_22__SW0 "zpuino/core/decr_decodedOpcode_mux0000<22>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_19__SW0 "zpuino/core/decr_decodedOpcode_mux0000<19>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354 "zpuino/core/decr_tosSource_mux0000<16>5") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_Mrom_sampledDecodedOpcode_mux0024141 "zpuino/core/Mrom_sampledDecodedOpcode_mux0024141") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000272_renamed_2355 "zpuino/core/w1_tos_11_mux000272") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux0002126_renamed_2356 "zpuino/core/w1_tos_11_mux0002126") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000272_renamed_2357 "zpuino/core/w1_tos_12_mux000272") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002101_renamed_2358 "zpuino/core/w1_tos_12_mux0002101") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux0002149_renamed_2359 "zpuino/core/w1_tos_12_mux0002149") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000272_renamed_2360 "zpuino/core/w1_tos_13_mux000272") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8F88") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux0002126_renamed_2361 "zpuino/core/w1_tos_13_mux0002126") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux0002131_renamed_2362 "zpuino/core/w1_tos_14_mux0002131") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux0002108_renamed_2363 "zpuino/core/w1_tos_15_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux0002127_renamed_2364 "zpuino/core/w1_tos_16_mux0002127") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux0002131_renamed_2365 "zpuino/core/w1_tos_17_mux0002131") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux0002131_renamed_2366 "zpuino/core/w1_tos_18_mux0002131") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000311 "zpuino/core/sampledStackOperation_or000311") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledDecodedOpcode_cmp_eq00001 "zpuino/core/sampledDecodedOpcode_cmp_eq00001") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux0002103_renamed_2367 "zpuino/core/w1_tos_19_mux0002103") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux0002108_renamed_2368 "zpuino/core/w1_tos_20_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_30_1 "zpuino/core/nos<30>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux0002108_renamed_2369 "zpuino/core/w1_tos_21_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_29_1 "zpuino/core/nos<29>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux0002108_renamed_2370 "zpuino/core/w1_tos_22_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_28_1 "zpuino/core/nos<28>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux0002108_renamed_2371 "zpuino/core/w1_tos_23_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux0002108_renamed_2372 "zpuino/core/w1_tos_24_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_26_1 "zpuino/core/nos<26>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux0002108_renamed_2373 "zpuino/core/w1_tos_25_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_18 "zpuino/core/decr_tosSource_mux0000<1>18") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E") (owner "Xilinx")) - ) - (instance (rename zpuino_core_exu_busy42 "zpuino/core/exu_busy42") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFFE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_25_1 "zpuino/core/nos<25>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux0002108_renamed_2374 "zpuino/core/w1_tos_26_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_24_1 "zpuino/core/nos<24>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux000239_renamed_2375 "zpuino/core/w1_tos_27_mux000239") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_27_mux0002108_renamed_2376 "zpuino/core/w1_tos_27_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_23_1 "zpuino/core/nos<23>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_22_1 "zpuino/core/nos<22>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux0002108_renamed_2377 "zpuino/core/w1_tos_29_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_21_1 "zpuino/core/nos<21>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux0002108_renamed_2378 "zpuino/core/w1_tos_30_mux0002108") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_20_1 "zpuino/core/nos<20>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux0002112_renamed_2379 "zpuino/core/w1_tos_31_mux0002112") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_19_1 "zpuino/core/nos<19>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_18_1 "zpuino/core/nos<18>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10_31 "zpuino/core/decr_tosSource_mux0000<10>31") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_17_1 "zpuino/core/nos<17>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_16_1 "zpuino/core/nos<16>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_15_1 "zpuino/core/nos<15>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_14_1 "zpuino/core/nos<14>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_13_1 "zpuino/core/nos<13>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_12_1 "zpuino/core/nos<12>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_11_1 "zpuino/core/nos<11>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_10_1 "zpuino/core/nos<10>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledTosSource_cmp_eq00042 "zpuino/core/sampledTosSource_cmp_eq00042") - (viewRef view_1 (cellRef LUT2_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledTosSource_cmp_eq00031 "zpuino/core/sampledTosSource_cmp_eq00031") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledTosSource_cmp_eq000411 "zpuino/core/sampledTosSource_cmp_eq000411") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_9_1 "zpuino/core/nos<9>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_8_1 "zpuino/core/nos<8>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_7_1 "zpuino/core/nos<7>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_6_1 "zpuino/core/nos<6>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledStackOperation_or000331 "zpuino/core/sampledStackOperation_or000331") - (viewRef view_1 (cellRef LUT2_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "D") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380 "zpuino/core/decr_stackOperation_mux0000<1>26") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_nos_0_1 "zpuino/core/nos<0>1") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "E4") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux00024_renamed_2381 "zpuino/core/w1_tos_0_mux00024") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000256_renamed_2382 "zpuino/core/w1_tos_0_mux000256") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "AA80") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002173_renamed_2383 "zpuino/core/w1_tos_0_mux0002173") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_10_41 "zpuino/core/decr_tosSource_mux0000<10>41") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_2_34_SW0 "zpuino/core/decr_stackOperation_mux0000<2>34_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFAE") (owner "Xilinx")) - ) - (instance (rename gpio_inst_spp_read_1_mux0000128_renamed_2384 "gpio_inst/spp_read_1_mux0000128") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000244_renamed_2385 "zpuino/core/w1_tos_6_mux000244") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux000244_renamed_2386 "zpuino/core/w1_tos_7_mux000244") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_do_interrupt_mux000177_SW0 "zpuino/io/intr_inst/do_interrupt_mux000177_SW0") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FE") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux000241103 "zpuino/core/w1_tos_0_mux000241103") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFD5") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_2_mux0002124_SW0 "zpuino/core/w1_tos_2_mux0002124_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_3_mux0002124_SW0 "zpuino/core/w1_tos_3_mux0002124_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_4_mux0002124_SW0 "zpuino/core/w1_tos_4_mux0002124_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_5_mux0002124_SW0 "zpuino/core/w1_tos_5_mux0002124_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_break_mux000027_renamed_2387 "zpuino/core/decr_break_mux000027") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0001") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_4__SW0 "zpuino/core/decr_decodedOpcode_mux0000<4>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0080") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_13__SW0 "zpuino/core/decr_tosSource_mux0000<13>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_9__SW0 "zpuino/core/decr_decodedOpcode_mux0000<9>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_15__SW0 "zpuino/core/decr_decodedOpcode_mux0000<15>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_decodedOpcode_mux0000_14__SW0 "zpuino/core/decr_decodedOpcode_mux0000<14>_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388 "zpuino/core/decr_tosSource_mux0000<1>0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_17__SW0 "zpuino/core/decr_tosSource_mux0000<17>_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_16_11 "zpuino/core/shl/idx_mux0001<16>11") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_13_21 "zpuino/core/shl/idx_mux0001<13>21") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_11_21 "zpuino/core/shl/idx_mux0001<11>21") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_10_31 "zpuino/core/shl/idx_mux0001<10>31") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002121 "zpuino/core/w1_tos_6_mux0002121") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "10") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002111 "zpuino/core/w1_tos_6_mux0002111") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "40") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000211 "zpuino/core/w1_tos_6_mux000211") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_1_mux000284_renamed_2389 "zpuino/core/w1_tos_1_mux000284") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename gpio_inst_input_mapper_q_0_and00007_SW1 "gpio_inst/input_mapper_q_0_and00007_SW1") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFBF") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000226_renamed_2390 "zpuino/core/w1_tos_8_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000226_renamed_2391 "zpuino/core/w1_tos_9_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000226_renamed_2392 "zpuino/core/w1_tos_10_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000226_renamed_2393 "zpuino/core/w1_tos_11_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000226_renamed_2394 "zpuino/core/w1_tos_12_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_13_mux000226_renamed_2395 "zpuino/core/w1_tos_13_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000226_renamed_2396 "zpuino/core/w1_tos_14_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000226_renamed_2397 "zpuino/core/w1_tos_15_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000226_renamed_2398 "zpuino/core/w1_tos_16_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000226_renamed_2399 "zpuino/core/w1_tos_17_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000226_renamed_2400 "zpuino/core/w1_tos_18_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000226_renamed_2401 "zpuino/core/w1_tos_19_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000226_renamed_2402 "zpuino/core/w1_tos_20_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000226_renamed_2403 "zpuino/core/w1_tos_21_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000226_renamed_2404 "zpuino/core/w1_tos_22_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000226_renamed_2405 "zpuino/core/w1_tos_23_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000226_renamed_2406 "zpuino/core/w1_tos_24_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000226_renamed_2407 "zpuino/core/w1_tos_25_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000226_renamed_2408 "zpuino/core/w1_tos_26_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000226_renamed_2409 "zpuino/core/w1_tos_28_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000226_renamed_2410 "zpuino/core/w1_tos_29_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000226_renamed_2411 "zpuino/core/w1_tos_30_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000226_renamed_2412 "zpuino/core/w1_tos_31_mux000226") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux0002143_renamed_2413 "zpuino/core/w1_tos_9_mux0002143") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002143_renamed_2414 "zpuino/core/w1_tos_8_mux0002143") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_7_mux0002164_renamed_2415 "zpuino/core/w1_tos_7_mux0002164") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux0002164_renamed_2416 "zpuino/core/w1_tos_6_mux0002164") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1 "zpuino/io/intr_inst/intr_detected_q_4_not0001_SW1") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1 "zpuino/io/intr_inst/intr_detected_q_3_not0001_SW1") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0040") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux0002143_renamed_2417 "zpuino/core/w1_tos_10_mux0002143") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_7_102_renamed_2418 "zpuino/io/io_read_selected<7>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_6_102_renamed_2419 "zpuino/io/io_read_selected<6>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_5_102_renamed_2420 "zpuino/io/io_read_selected<5>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_4_102_renamed_2421 "zpuino/io/io_read_selected<4>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_read_selected_3_102_renamed_2422 "zpuino/io/io_read_selected<3>102") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FAD8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_0_mux0002125_renamed_2423 "zpuino/core/w1_tos_0_mux0002125") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux0002119_SW0 "zpuino/core/w1_tos_8_mux0002119_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "A8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_tosSource_mux0000_4_52_SW0 "zpuino/core/decr_tosSource_mux0000<4>52_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "7360") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_9_38_SW0 "zpuino/core/decr_fetchpc_mux0000<9>38_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_8_38_SW0 "zpuino/core/decr_fetchpc_mux0000<8>38_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_7_38_SW0 "zpuino/core/decr_fetchpc_mux0000<7>38_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_38_SW0 "zpuino/core/decr_fetchpc_mux0000<6>38_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "F888") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_8_mux000210_SW0 "zpuino/core/w1_tos_8_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_9_mux000210_SW0 "zpuino/core/w1_tos_9_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_10_mux000210_SW0 "zpuino/core/w1_tos_10_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_11_mux000210_SW0 "zpuino/core/w1_tos_11_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_12_mux000210_SW0 "zpuino/core/w1_tos_12_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_14_mux000210_SW0 "zpuino/core/w1_tos_14_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_15_mux000210_SW0 "zpuino/core/w1_tos_15_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_16_mux000210_SW0 "zpuino/core/w1_tos_16_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_17_mux000210_SW0 "zpuino/core/w1_tos_17_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_18_mux000210_SW0 "zpuino/core/w1_tos_18_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_19_mux000210_SW0 "zpuino/core/w1_tos_19_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_20_mux000210_SW0 "zpuino/core/w1_tos_20_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_21_mux000210_SW0 "zpuino/core/w1_tos_21_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_22_mux000210_SW0 "zpuino/core/w1_tos_22_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_23_mux000210_SW0 "zpuino/core/w1_tos_23_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_24_mux000210_SW0 "zpuino/core/w1_tos_24_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_25_mux000210_SW0 "zpuino/core/w1_tos_25_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_26_mux000210_SW0 "zpuino/core/w1_tos_26_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux000210_SW0 "zpuino/core/w1_tos_28_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_29_mux000210_SW0 "zpuino/core/w1_tos_29_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_30_mux000210_SW0 "zpuino/core/w1_tos_30_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_31_mux000210_SW0 "zpuino/core/w1_tos_31_mux000210_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFEA") (owner "Xilinx")) - ) - (instance (rename zpuino_core_sampledDecodedOpcode_and00001 "zpuino/core/sampledDecodedOpcode_and00001") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0010") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_idx_mux0001_12_21 "zpuino/core/shl/idx_mux0001<12>21") - (viewRef view_1 (cellRef LUT3_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_fetchpc_mux0000_6_111 "zpuino/core/decr_fetchpc_mux0000<6>111") - (viewRef view_1 (cellRef LUT4_D (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "C080") (owner "Xilinx")) - ) - (instance (rename zpuino_io_io_device_ack44_SW0 "zpuino/io/io_device_ack44_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "8000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_6_mux000244_SW0_SW0 "zpuino/core/w1_tos_6_mux000244_SW0_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_w1_tos_28_mux0002140_SW0 "zpuino/core/w1_tos_28_mux0002140_SW0") - (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "FFC8") (owner "Xilinx")) - ) - (instance (rename zpuino_core_decr_stackOperation_mux0000_3_42_SW0 "zpuino/core/decr_stackOperation_mux0000<3>42_SW0") - (viewRef view_1 (cellRef LUT3_L (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "01") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_2_renamed_2424 "zpuino/core/shl/Mshreg_output_2") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_2 "zpuino/core/shl/output_2") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_0_renamed_2425 "zpuino/core/shl/Mshreg_output_0") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_0 "zpuino/core/shl/output_0") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_1_renamed_2426 "zpuino/core/shl/Mshreg_output_1") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_1 "zpuino/core/shl/output_1") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_3_renamed_2427 "zpuino/core/shl/Mshreg_output_3") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_3 "zpuino/core/shl/output_3") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_4_renamed_2428 "zpuino/core/shl/Mshreg_output_4") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_4 "zpuino/core/shl/output_4") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_5_renamed_2429 "zpuino/core/shl/Mshreg_output_5") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_5 "zpuino/core/shl/output_5") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_6_renamed_2430 "zpuino/core/shl/Mshreg_output_6") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_6 "zpuino/core/shl/output_6") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_7_renamed_2431 "zpuino/core/shl/Mshreg_output_7") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_7 "zpuino/core/shl/output_7") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_8_renamed_2432 "zpuino/core/shl/Mshreg_output_8") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_8 "zpuino/core/shl/output_8") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_9_renamed_2433 "zpuino/core/shl/Mshreg_output_9") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_9 "zpuino/core/shl/output_9") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_10_renamed_2434 "zpuino/core/shl/Mshreg_output_10") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_10 "zpuino/core/shl/output_10") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_11_renamed_2435 "zpuino/core/shl/Mshreg_output_11") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_11 "zpuino/core/shl/output_11") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_12_renamed_2436 "zpuino/core/shl/Mshreg_output_12") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_12 "zpuino/core/shl/output_12") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_13_renamed_2437 "zpuino/core/shl/Mshreg_output_13") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_13 "zpuino/core/shl/output_13") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_14_renamed_2438 "zpuino/core/shl/Mshreg_output_14") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_14 "zpuino/core/shl/output_14") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_15_renamed_2439 "zpuino/core/shl/Mshreg_output_15") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_15 "zpuino/core/shl/output_15") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_16_renamed_2440 "zpuino/core/shl/Mshreg_output_16") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_16 "zpuino/core/shl/output_16") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_19_renamed_2441 "zpuino/core/shl/Mshreg_output_19") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_19 "zpuino/core/shl/output_19") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_17_renamed_2442 "zpuino/core/shl/Mshreg_output_17") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_17 "zpuino/core/shl/output_17") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_18_renamed_2443 "zpuino/core/shl/Mshreg_output_18") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_18 "zpuino/core/shl/output_18") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_20_renamed_2444 "zpuino/core/shl/Mshreg_output_20") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_20 "zpuino/core/shl/output_20") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_21_renamed_2445 "zpuino/core/shl/Mshreg_output_21") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_21 "zpuino/core/shl/output_21") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_22_renamed_2446 "zpuino/core/shl/Mshreg_output_22") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_22 "zpuino/core/shl/output_22") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_23_renamed_2447 "zpuino/core/shl/Mshreg_output_23") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_23 "zpuino/core/shl/output_23") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_24_renamed_2448 "zpuino/core/shl/Mshreg_output_24") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_24 "zpuino/core/shl/output_24") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_25_renamed_2449 "zpuino/core/shl/Mshreg_output_25") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_25 "zpuino/core/shl/output_25") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_26_renamed_2450 "zpuino/core/shl/Mshreg_output_26") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_26 "zpuino/core/shl/output_26") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_27_renamed_2451 "zpuino/core/shl/Mshreg_output_27") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_27 "zpuino/core/shl/output_27") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_28_renamed_2452 "zpuino/core/shl/Mshreg_output_28") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_28 "zpuino/core/shl/output_28") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_29_renamed_2453 "zpuino/core/shl/Mshreg_output_29") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_29 "zpuino/core/shl/output_29") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_30_renamed_2454 "zpuino/core/shl/Mshreg_output_30") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_30 "zpuino/core/shl/output_30") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_Mshreg_output_31_renamed_2455 "zpuino/core/shl/Mshreg_output_31") - (viewRef view_1 (cellRef SRL16E (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename zpuino_core_shl_output_31 "zpuino/core/shl/output_31") - (viewRef view_1 (cellRef FDE (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename pin47_sync_Mshreg_ff2_renamed_2456 "pin47/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin47_sync_ff2_renamed_2457 "pin47/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin46_sync_Mshreg_ff2_renamed_2458 "pin46/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin46_sync_ff2_renamed_2459 "pin46/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin43_sync_Mshreg_ff2_renamed_2460 "pin43/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin43_sync_ff2_renamed_2461 "pin43/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin45_sync_Mshreg_ff2_renamed_2462 "pin45/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin45_sync_ff2_renamed_2463 "pin45/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin44_sync_Mshreg_ff2_renamed_2464 "pin44/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin44_sync_ff2_renamed_2465 "pin44/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin42_sync_Mshreg_ff2_renamed_2466 "pin42/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin42_sync_ff2_renamed_2467 "pin42/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin41_sync_Mshreg_ff2_renamed_2468 "pin41/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin41_sync_ff2_renamed_2469 "pin41/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin40_sync_Mshreg_ff2_renamed_2470 "pin40/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin40_sync_ff2_renamed_2471 "pin40/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin33_sync_Mshreg_ff2_renamed_2472 "pin33/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin33_sync_ff2_renamed_2473 "pin33/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin32_sync_Mshreg_ff2_renamed_2474 "pin32/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin32_sync_ff2_renamed_2475 "pin32/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin31_sync_Mshreg_ff2_renamed_2476 "pin31/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin31_sync_ff2_renamed_2477 "pin31/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin30_sync_Mshreg_ff2_renamed_2478 "pin30/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin30_sync_ff2_renamed_2479 "pin30/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin29_sync_Mshreg_ff2_renamed_2480 "pin29/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin29_sync_ff2_renamed_2481 "pin29/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin28_sync_Mshreg_ff2_renamed_2482 "pin28/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin28_sync_ff2_renamed_2483 "pin28/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin27_sync_Mshreg_ff2_renamed_2484 "pin27/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin27_sync_ff2_renamed_2485 "pin27/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin26_sync_Mshreg_ff2_renamed_2486 "pin26/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin26_sync_ff2_renamed_2487 "pin26/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin25_sync_Mshreg_ff2_renamed_2488 "pin25/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin25_sync_ff2_renamed_2489 "pin25/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin24_sync_Mshreg_ff2_renamed_2490 "pin24/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin24_sync_ff2_renamed_2491 "pin24/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin15_sync_Mshreg_ff2_renamed_2492 "pin15/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin15_sync_ff2_renamed_2493 "pin15/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin14_sync_Mshreg_ff2_renamed_2494 "pin14/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin14_sync_ff2_renamed_2495 "pin14/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin13_sync_Mshreg_ff2_renamed_2496 "pin13/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin13_sync_ff2_renamed_2497 "pin13/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin12_sync_Mshreg_ff2_renamed_2498 "pin12/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin12_sync_ff2_renamed_2499 "pin12/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin11_sync_Mshreg_ff2_renamed_2500 "pin11/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin11_sync_ff2_renamed_2501 "pin11/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin10_sync_Mshreg_ff2_renamed_2502 "pin10/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin10_sync_ff2_renamed_2503 "pin10/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin09_sync_Mshreg_ff2_renamed_2504 "pin09/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin09_sync_ff2_renamed_2505 "pin09/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin08_sync_Mshreg_ff2_renamed_2506 "pin08/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin08_sync_ff2_renamed_2507 "pin08/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin07_sync_Mshreg_ff2_renamed_2508 "pin07/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin07_sync_ff2_renamed_2509 "pin07/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin06_sync_Mshreg_ff2_renamed_2510 "pin06/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin06_sync_ff2_renamed_2511 "pin06/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin05_sync_Mshreg_ff2_renamed_2512 "pin05/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin05_sync_ff2_renamed_2513 "pin05/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin04_sync_Mshreg_ff2_renamed_2514 "pin04/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin04_sync_ff2_renamed_2515 "pin04/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin03_sync_Mshreg_ff2_renamed_2516 "pin03/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin03_sync_ff2_renamed_2517 "pin03/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin02_sync_Mshreg_ff2_renamed_2518 "pin02/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin02_sync_ff2_renamed_2519 "pin02/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin01_sync_Mshreg_ff2_renamed_2520 "pin01/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin01_sync_ff2_renamed_2521 "pin01/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename pin00_sync_Mshreg_ff2_renamed_2522 "pin00/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename pin00_sync_ff2_renamed_2523 "pin00/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename ibufrx_sync_Mshreg_ff2_renamed_2524 "ibufrx/sync/Mshreg_ff2") - (viewRef view_1 (cellRef SRL16 (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0000") (owner "Xilinx")) - ) - (instance (rename ibufrx_sync_ff2_renamed_2525 "ibufrx/sync/ff2") - (viewRef view_1 (cellRef FD (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - (property INIT (string "0") (owner "Xilinx")) - ) - (instance (rename slot1_zspi_Mcount_count_xor_3_11_INV_0 "slot1/zspi/Mcount_count_xor<3>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_Mcount_count_xor_3_11_INV_0 "slot0/zspi/Mcount_count_xor<3>11_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot1_zspi_clk_en112_INV_0 "slot1/zspi/clk_en112_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (instance (rename slot0_zspi_clk_en112_INV_0 "slot0/zspi/clk_en112_INV_0") - (viewRef view_1 (cellRef INV (libraryRef UNISIMS))) - (property XSTLIB (boolean (true)) (owner "Xilinx")) - ) - (net CLK - (joined - (portRef CLK) - (portRef I (instanceRef clkgen_inst_clkin_inst)) - ) - ) - (net N0 - (joined - (portRef G (instanceRef XST_GND)) - (portRef D (instanceRef slot9_rstq2_renamed_7)) - (portRef D (instanceRef slot1_trans_renamed_318)) - (portRef D (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef D (instanceRef slot0_trans_renamed_325)) - (portRef D (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_0__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_1__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_2__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_3__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_4__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_5__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_6__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_7__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_8__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_9__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_10__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_11__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_13__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_14__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_15__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_19__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_20__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_22__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_23__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_24__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_25__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_26__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_27__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_28__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_29__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_30__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_31__)) - (portRef RSTA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef RSTB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef CEB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef RSTP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef RSTB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef CEB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef RSTA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef RSTP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef (member A 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member B 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef RSTA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef RSTB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef CEB (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef RSTP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef (member A 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_0__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_0__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_12__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_0__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_0__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_1__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_2__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_3__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_4__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_5__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_6__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_7__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_0__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_1__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_2__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_3__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_4__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_5__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_6__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_7__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_8__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_0__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_1__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_2__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_3__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_4__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_5__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_6__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_7__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_8__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_9__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_10__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_5__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_5__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_6__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_8__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_9__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_10__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_11__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_12__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_13__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_0__)) - (portRef I (instanceRef pin36_obufi)) - (portRef I (instanceRef pin20_obufi)) - (portRef I (instanceRef pin17_obufi)) - (portRef I (instanceRef pin16_obufi)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_0__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_0__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_17__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_0__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_0__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_17__)) - (portRef D (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef D (instanceRef clkgen_inst_rst2_q_renamed_811)) - (portRef RST (instanceRef clkgen_inst_DCM_inst)) - (portRef PSINCDEC (instanceRef clkgen_inst_DCM_inst)) - (portRef PSEN (instanceRef clkgen_inst_DCM_inst)) - (portRef PSCLK (instanceRef clkgen_inst_DCM_inst)) - (portRef RST (instanceRef clkgen_inst_VGADCM_inst)) - (portRef PSINCDEC (instanceRef clkgen_inst_VGADCM_inst)) - (portRef PSEN (instanceRef clkgen_inst_VGADCM_inst)) - (portRef PSCLK (instanceRef clkgen_inst_VGADCM_inst)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_0__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_0__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_0__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_1__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_2__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_3__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_4__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_5__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_6__)) - (portRef DI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_7__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_0__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_1__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_2__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_3__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_4__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_5__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_6__)) - (portRef DI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_7__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_0__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_1__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_2__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_3__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_4__)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef SSRA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef SSRB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef WEB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef SSRA (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef SSRB (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef WEB (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef SSRA (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef SSRB (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef WEB (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef SSRA (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef SSRB (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef WEB (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef SSRA (instanceRef zpuino_stack_stack)) - (portRef SSRB (instanceRef zpuino_stack_stack)) - (portRef WEB (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 0) (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 1) (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 2) (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 3) (instanceRef zpuino_stack_stack)) - (portRef (member DIPB 0) (instanceRef zpuino_stack_stack)) - (portRef (member DIPB 1) (instanceRef zpuino_stack_stack)) - (portRef (member DIPB 2) (instanceRef zpuino_stack_stack)) - (portRef (member DIPB 3) (instanceRef zpuino_stack_stack)) - (portRef (member DIPA 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef SSRA (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef SSRB (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef WEB (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef I0 (instanceRef slot9_vga_hsync_mux00002_f5)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not00011_f5)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not00011_f5)) - (portRef I1 (instanceRef slot9_read_ended_and00001_f5)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_0_mux0000_f5)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024120_f5)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_9_13_f5)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef A1 (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef A2 (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef A3 (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef A0 (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef A1 (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef A2 (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef A3 (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef A0 (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef A1 (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef A2 (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef A3 (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef A0 (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef A1 (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef A2 (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef A3 (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef A0 (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef A1 (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef A2 (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef A3 (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef A0 (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef A1 (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef A2 (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef A3 (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef A0 (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef A1 (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef A2 (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef A3 (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef A0 (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef A1 (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef A2 (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef A3 (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef A0 (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef A1 (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef A2 (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef A3 (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef A0 (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef A1 (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef A2 (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef A3 (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef A0 (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef A1 (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef A2 (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef A3 (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef A0 (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef A1 (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef A2 (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef A3 (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef A0 (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef A1 (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef A2 (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef A3 (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef A0 (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef A1 (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef A2 (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef A3 (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef A0 (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef A1 (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef A2 (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef A3 (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef A0 (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef A1 (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef A2 (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef A3 (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef A0 (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef A1 (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef A2 (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef A3 (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef A0 (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef A1 (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef A2 (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef A3 (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef A0 (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef A1 (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef A2 (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef A3 (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef A0 (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef A1 (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef A2 (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef A3 (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef A0 (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef A1 (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef A2 (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef A3 (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef A0 (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef A1 (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef A2 (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef A3 (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef A0 (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef A1 (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef A2 (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef A3 (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef A0 (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef A1 (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef A2 (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef A3 (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef A0 (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef A1 (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef A2 (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef A3 (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef A0 (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef A1 (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef A2 (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef A3 (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef A0 (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef A1 (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef A2 (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef A3 (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef A0 (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef A1 (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef A2 (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef A3 (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef A0 (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef A1 (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef A2 (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef A3 (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef A0 (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef A1 (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef A2 (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef A3 (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef A0 (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef A1 (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef A2 (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef A3 (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef A0 (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef A1 (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef A2 (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef A3 (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef A0 (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef A1 (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef A2 (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef A3 (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef A0 (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef A1 (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef A2 (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef A3 (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef A0 (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef A1 (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef A2 (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef A3 (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef A0 (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef A1 (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef A2 (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef A3 (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - ) - ) - (net N01 - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0_1_f5)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_9__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_8__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_7__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_6__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_5__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_4__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_3__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_30__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_2__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_29__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_28__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_27__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_26__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_25__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_24__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_23__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_22__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_21__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_20__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_1__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_19__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_18__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_17__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_16__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_15__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_14__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_13__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_12__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_11__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_10__SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_0__SW0)) - ) - ) - (net N02 - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_61)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net N1 - (joined - (portRef P (instanceRef XST_VCC)) - (portRef D (instanceRef slot9_v_display_renamed_0)) - (portRef D (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef D (instanceRef zpuino_io_wb_in_transaction_renamed_4)) - (portRef D (instanceRef zpuino_core_prefr_recompute_sp_renamed_5)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_0__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_1__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_2__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_3__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_4__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_5__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_6__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_7__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_8__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_9__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_10__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_11__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_12__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_12__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_13__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_14__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_15__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_16__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_16__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_17__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_17__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_18__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_18__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_19__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_20__)) - (portRef I2 (instanceRef rstgen_Mcount_rstcount_lut_21__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_21__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_22__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_23__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_24__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_25__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_26__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_27__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_28__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_29__)) - (portRef DI (instanceRef rstgen_Mcount_rstcount_cy_30__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_0__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__)) - (portRef DI (instanceRef slot9_Mcount_vcount_q_cy_0__)) - (portRef DI (instanceRef slot9_Mcount_hcount_q_cy_0__)) - (portRef DI (instanceRef slot9_Mcount_hdisp_cy_0__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_5__)) - (portRef DI (instanceRef slot9_Maccum_vga_v_offset_cy_7__)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_0)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_1)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_2)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_3)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_4)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_5)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_6)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_7)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_8)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_9)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_10)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_11)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_12)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_13)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_14)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q1_15)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_0)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_1)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_2)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_3)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_4)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_5)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_6)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_7)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_8)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_9)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_10)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_11)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_12)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_13)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_14)) - (portRef CE (instanceRef sigmadelta_inst_sync_dat_q2_15)) - (portRef D (instanceRef slot0_zspiclk_clkrise_i_renamed_826)) - (portRef D (instanceRef slot0_zspiclk_clkfall_i_renamed_825)) - (portRef D (instanceRef slot0_zspiclk_running_q_renamed_823)) - (portRef DI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef D (instanceRef slot1_zspiclk_clkrise_i_renamed_841)) - (portRef D (instanceRef slot1_zspiclk_clkfall_i_renamed_840)) - (portRef D (instanceRef slot1_zspiclk_running_q_renamed_838)) - (portRef DI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef D (instanceRef uart_inst_dready_q_renamed_856)) - (portRef D (instanceRef uart_inst_rx_inst_baudreset_renamed_848)) - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef D (instanceRef uart_inst_rx_inst_baudgen_clkout_renamed_845)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef D (instanceRef uart_inst_tx_timer_clkout_renamed_844)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef D (instanceRef uart_inst_rx_timer_clkout_renamed_843)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_0__)) - (portRef DI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__)) - (portRef D (instanceRef timers_inst_timer1_inst_pwm_out_0)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_presrst_renamed_878)) - (portRef D (instanceRef timers_inst_timer0_inst_pwm_out_0)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__)) - (portRef D (instanceRef slot11_dready_q_renamed_1116)) - (portRef D (instanceRef slot11_rx_inst_baudreset_renamed_1108)) - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef D (instanceRef slot11_rx_inst_baudgen_clkout_renamed_1105)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef D (instanceRef slot11_tx_timer_clkout_renamed_1104)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef D (instanceRef slot11_rx_timer_clkout_renamed_1103)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef DI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_0)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_1)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_2)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_3)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_4)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_5)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_6)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_7)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_8)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_9)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_10)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_11)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_12)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_13)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_14)) - (portRef CE (instanceRef uart_inst_rx_timer_cnt_15)) - (portRef CE (instanceRef slot11_rx_timer_cnt_0)) - (portRef CE (instanceRef slot11_rx_timer_cnt_1)) - (portRef CE (instanceRef slot11_rx_timer_cnt_2)) - (portRef CE (instanceRef slot11_rx_timer_cnt_3)) - (portRef CE (instanceRef slot11_rx_timer_cnt_4)) - (portRef CE (instanceRef slot11_rx_timer_cnt_5)) - (portRef CE (instanceRef slot11_rx_timer_cnt_6)) - (portRef CE (instanceRef slot11_rx_timer_cnt_7)) - (portRef CE (instanceRef slot11_rx_timer_cnt_8)) - (portRef CE (instanceRef slot11_rx_timer_cnt_9)) - (portRef CE (instanceRef slot11_rx_timer_cnt_10)) - (portRef CE (instanceRef slot11_rx_timer_cnt_11)) - (portRef CE (instanceRef slot11_rx_timer_cnt_12)) - (portRef CE (instanceRef slot11_rx_timer_cnt_13)) - (portRef CE (instanceRef slot11_rx_timer_cnt_14)) - (portRef CE (instanceRef slot11_rx_timer_cnt_15)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_0__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_0__)) - (portRef (member DIB 0) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 1) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 2) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 3) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 4) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 5) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 6) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 7) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 8) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 9) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 10) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 11) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 12) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 13) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 14) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 15) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 16) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 17) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 18) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 19) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 20) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 21) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 22) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 23) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 24) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 25) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 26) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 27) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 28) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 29) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 30) (instanceRef zpuino_stack_stack)) - (portRef (member DIB 31) (instanceRef zpuino_stack_stack)) - (portRef ENA (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef ENB (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef I1 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW0_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_34_f5)) - (portRef I1 (instanceRef slot11_rx_inst_baudreset_or00001_f5)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000266_f5)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef A0 (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - ) - ) - (net N10 - (joined - (portRef O (instanceRef slot9_voff_or0000_SW0)) - (portRef I2 (instanceRef slot9_voff_or0000_renamed_1120)) - ) - ) - (net N1000 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_21__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_21__)) - ) - ) - (net N1001 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_21__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_21__)) - ) - ) - (net N1002 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_20__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_20__)) - ) - ) - (net N1003 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_20__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_20__)) - ) - ) - (net N1004 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_19__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_19__)) - ) - ) - (net N1005 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_19__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_19__)) - ) - ) - (net N1006 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_18__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_18__)) - ) - ) - (net N1007 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_18__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_18__)) - ) - ) - (net N1008 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_17__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_17__)) - ) - ) - (net N1009 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_17__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_17__)) - ) - ) - (net N101 - (joined - (portRef O (instanceRef gpio_inst_gpio_q_32_not00014_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I3 (instanceRef gpio_inst_gpio_q_0_not00015)) - ) - ) - (net N1010 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_16__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_16__)) - ) - ) - (net N1011 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_16__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_16__)) - ) - ) - (net N1012 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025138)) - ) - ) - (net N1013 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025138_G)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025138)) - ) - ) - (net N1014 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_7_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_7_mux000024)) - ) - ) - (net N1015 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_7_mux000024)) - ) - ) - (net N1016 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_6_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_6_mux000024)) - ) - ) - (net N1017 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_6_mux000024)) - ) - ) - (net N1018 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_5_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_5_mux000024)) - ) - ) - (net N1019 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_5_mux000024)) - ) - ) - (net N1020 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_4_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_4_mux000024)) - ) - ) - (net N1021 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_4_mux000024)) - ) - ) - (net N1022 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_3_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_3_mux000024)) - ) - ) - (net N1023 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_3_mux000024)) - ) - ) - (net N1024 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_2_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_2_mux000024)) - ) - ) - (net N1025 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_2_mux000024)) - ) - ) - (net N1026 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_1_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_1_mux000024)) - ) - ) - (net N1027 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_1_mux000024)) - ) - ) - (net N1028 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_0_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_0_mux000024)) - ) - ) - (net N1029 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_0_mux000024)) - ) - ) - (net N1030 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_9_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_9_mux000024)) - ) - ) - (net N1031 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_9_mux000024)) - ) - ) - (net N1032 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_8_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_8_mux000024)) - ) - ) - (net N1033 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_8_mux000024)) - ) - ) - (net N1034 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_31_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_31_mux000024)) - ) - ) - (net N1035 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_31_mux000024)) - ) - ) - (net N1036 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_30_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_30_mux000024)) - ) - ) - (net N1037 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_30_mux000024)) - ) - ) - (net N1038 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_29_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_29_mux000024)) - ) - ) - (net N1039 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_29_mux000024)) - ) - ) - (net N1040 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_28_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_28_mux000024)) - ) - ) - (net N1041 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_28_mux000024)) - ) - ) - (net N1042 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_27_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_27_mux000024)) - ) - ) - (net N1043 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_27_mux000024)) - ) - ) - (net N1044 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_26_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_26_mux000024)) - ) - ) - (net N1045 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_26_mux000024)) - ) - ) - (net N1046 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_25_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_25_mux000024)) - ) - ) - (net N1047 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_25_mux000024)) - ) - ) - (net N1048 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_24_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_24_mux000024)) - ) - ) - (net N1049 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_24_mux000024)) - ) - ) - (net N105 - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - ) - ) - (net N1050 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_23_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_23_mux000024)) - ) - ) - (net N1051 - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - ) - ) - (net N10511 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_23_mux000024)) - ) - ) - (net N1052 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_22_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_22_mux000024)) - ) - ) - (net N1053 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_22_mux000024)) - ) - ) - (net N1054 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_21_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_21_mux000024)) - ) - ) - (net N1055 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_21_mux000024)) - ) - ) - (net N1056 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_20_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_20_mux000024)) - ) - ) - (net N1057 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_20_mux000024)) - ) - ) - (net N1058 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_19_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_19_mux000024)) - ) - ) - (net N1059 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_19_mux000024)) - ) - ) - (net N106 - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_write_9__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_8__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_7__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_6__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_5__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_4__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_3__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_30__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_2__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_29__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_28__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_27__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_26__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_25__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_24__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_23__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_22__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_21__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_20__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_1__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_19__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_18__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_17__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_16__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_15__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_14__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_13__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_12__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_11__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_10__)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0__)) - (portRef O (instanceRef zpuino_core_stack_a_write_0_21)) - ) - ) - (net N1060 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_18_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_18_mux000024)) - ) - ) - (net N1061 - (joined - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1)) - ) - ) - (net N10611 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_18_mux000024)) - ) - ) - (net N1062 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_17_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_17_mux000024)) - ) - ) - (net N1063 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_17_mux000024)) - ) - ) - (net N1064 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_16_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_16_mux000024)) - ) - ) - (net N1065 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_16_mux000024)) - ) - ) - (net N1066 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_15_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_15_mux000024)) - ) - ) - (net N1067 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_15_mux000024)) - ) - ) - (net N1068 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_14_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_14_mux000024)) - ) - ) - (net N1069 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_14_mux000024)) - ) - ) - (net N107 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000251)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - ) - ) - (net N1070 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_13_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_13_mux000024)) - ) - ) - (net N1071 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_13_mux000024)) - ) - ) - (net N1072 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_12_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_12_mux000024)) - ) - ) - (net N1073 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_12_mux000024)) - ) - ) - (net N1074 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_11_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_11_mux000024)) - ) - ) - (net N1075 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_11_mux000024)) - ) - ) - (net N1076 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_10_mux000024_F)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_10_mux000024)) - ) - ) - (net N1077 - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_10_mux000024)) - ) - ) - (net N1078 - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0)) - ) - ) - (net N1079 - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0)) - ) - ) - (net N1080 - (joined - (portRef LO (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I3 (instanceRef timers_inst_timer1_cyc1)) - ) - ) - (net N1081 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - ) - ) - (net N10811 - (joined - (portRef LO (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - ) - ) - (net N1082 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux000264)) - (portRef I3 (instanceRef zpuino_core_exu_busy32_renamed_1641)) - ) - ) - (net N1083 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_14_11)) - ) - ) - (net N1084 - (joined - (portRef LO (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I0 (instanceRef zpuino_core_exu_busy10_renamed_1640)) - ) - ) - (net N1085 - (joined - (portRef LO (instanceRef zpuino_core_exu_busy33_renamed_2305)) - (portRef I2 (instanceRef zpuino_core_pfu_busy1)) - ) - ) - (net N1086 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_11)) - ) - ) - (net N1087 - (joined - (portRef LO (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - ) - ) - (net N1088 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux0002810)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - ) - ) - (net N1089 - (joined - (portRef LO (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I1 (instanceRef gpio_inst_ppspin_q_32_not000111)) - ) - ) - (net N109 - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net N1090 - (joined - (portRef LO (instanceRef gpio_inst_gpio_q_0_not00015)) - (portRef I1 (instanceRef gpio_inst_ppspin_q_0_not000111)) - ) - ) - (net N1091 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - ) - ) - (net N10911 - (joined - (portRef LO (instanceRef zpuino_core_wroteback_q_mux0000352)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_236_renamed_1222)) - ) - ) - (net N1092 - (joined - (portRef LO (instanceRef zpuino_core_stack_a_addr_10_240)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - ) - ) - (net N1093 - (joined - (portRef LO (instanceRef zpuino_core_stack_a_addr_10_11)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_61)) - ) - ) - (net N1094 - (joined - (portRef LO (instanceRef zpuino_io_intr_inst_masked_ivecs_7_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - ) - ) - (net N1095 - (joined - (portRef LO (instanceRef zpuino_io_intr_inst_masked_ivecs_13_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - ) - ) - (net N1096 - (joined - (portRef LO (instanceRef zpuino_core_poppc_inst1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_mux00001)) - ) - ) - (net N1097 - (joined - (portRef LO (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_9_not00011)) - ) - ) - (net N1098 - (joined - (portRef LO (instanceRef gpio_inst_input_mapper_q_17_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_31_and000011)) - ) - ) - (net N1099 - (joined - (portRef LO (instanceRef gpio_inst_input_mapper_q_16_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_30_and000011)) - ) - ) - (net N11 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000211)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net N1100 - (joined - (portRef LO (instanceRef gpio_inst_input_mapper_q_0_and000061)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_11_and000041)) - ) - ) - (net N1101 - (joined - (portRef LO (instanceRef gpio_inst_input_mapper_q_0_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_8_and000011)) - ) - ) - (net N1102 - (joined - (portRef LO (instanceRef zpuino_core_decode_jump_renamed_2314)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - ) - ) - (net N1103 - (joined - (portRef LO (instanceRef slot11_fifo_rd_and000011)) - (portRef I2 (instanceRef slot11_divider_rx_q_not00011)) - ) - ) - (net N1104 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - ) - ) - (net N1105 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - ) - ) - (net N1106 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - ) - ) - (net N1107 - (joined - (portRef LO (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - ) - ) - (net N1108 - (joined - (portRef LO (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - ) - ) - (net N1109 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux0002311)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - ) - ) - (net N111 - (joined - (portRef I0 (instanceRef zpuino_core_stack_b_addr_2_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_3_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_4_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_5_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_6_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_7_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_8_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_9_1)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_10_1)) - (portRef O (instanceRef zpuino_core_stack_b_addr_10_11)) - ) - ) - (net N1110 - (joined - (portRef LO (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - (portRef I2 (instanceRef crc16_inst_poly_q_not000111)) - ) - ) - (net N1111 - (joined - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - ) - ) - (net N11111 - (joined - (portRef LO (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_2_mux00001)) - ) - ) - (net N1112 - (joined - (portRef O (instanceRef zpuino_core_stack_a_writeenable_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_writeenable)) - ) - ) - (net N11121 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_0_21)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - ) - ) - (net N1113 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_0_31)) - ) - ) - (net N1114 - (joined - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - ) - ) - (net N1115 - (joined - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - ) - ) - (net N1116 - (joined - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - ) - ) - (net N1117 - (joined - (portRef LO (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - ) - ) - (net N1118 - (joined - (portRef LO (instanceRef zpuino_core_sampledStackOperation_or000311)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - ) - ) - (net N1119 - (joined - (portRef LO (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672)) - ) - ) - (net N112 - (joined - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - ) - ) - (net N1120 - (joined - (portRef LO (instanceRef zpuino_core_nos_30_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - ) - ) - (net N1121 - (joined - (portRef LO (instanceRef zpuino_core_nos_29_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - ) - ) - (net N1122 - (joined - (portRef LO (instanceRef zpuino_core_nos_28_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - ) - ) - (net N1123 - (joined - (portRef LO (instanceRef zpuino_core_nos_26_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - ) - ) - (net N1124 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_1_18)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - ) - ) - (net N1125 - (joined - (portRef LO (instanceRef zpuino_core_exu_busy42)) - (portRef I2 (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - ) - ) - (net N1126 - (joined - (portRef LO (instanceRef zpuino_core_nos_25_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - ) - ) - (net N1127 - (joined - (portRef LO (instanceRef zpuino_core_nos_24_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - ) - ) - (net N1128 - (joined - (portRef LO (instanceRef zpuino_core_nos_23_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - ) - ) - (net N1129 - (joined - (portRef LO (instanceRef zpuino_core_nos_22_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - ) - ) - (net N113 - (joined - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - ) - ) - (net N1130 - (joined - (portRef LO (instanceRef zpuino_core_nos_21_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - ) - ) - (net N1131 - (joined - (portRef LO (instanceRef zpuino_core_nos_20_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - ) - ) - (net N1132 - (joined - (portRef LO (instanceRef zpuino_core_nos_19_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - ) - ) - (net N1133 - (joined - (portRef LO (instanceRef zpuino_core_nos_18_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - ) - ) - (net N1134 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642)) - ) - ) - (net N1135 - (joined - (portRef LO (instanceRef zpuino_core_nos_17_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - ) - ) - (net N1136 - (joined - (portRef LO (instanceRef zpuino_core_nos_16_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - ) - ) - (net N1137 - (joined - (portRef LO (instanceRef zpuino_core_nos_15_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - ) - ) - (net N1138 - (joined - (portRef LO (instanceRef zpuino_core_nos_14_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - ) - ) - (net N1139 - (joined - (portRef LO (instanceRef zpuino_core_nos_13_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - ) - ) - (net N1140 - (joined - (portRef LO (instanceRef zpuino_core_nos_12_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - ) - ) - (net N1141 - (joined - (portRef LO (instanceRef zpuino_core_nos_11_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - ) - ) - (net N1142 - (joined - (portRef LO (instanceRef zpuino_core_nos_10_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002119_SW0)) - ) - ) - (net N1143 - (joined - (portRef LO (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - ) - ) - (net N1144 - (joined - (portRef LO (instanceRef zpuino_core_nos_9_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002119_SW0)) - ) - ) - (net N1145 - (joined - (portRef LO (instanceRef zpuino_core_nos_8_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - ) - ) - (net N1146 - (joined - (portRef LO (instanceRef zpuino_core_nos_7_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002140_SW0)) - ) - ) - (net N1147 - (joined - (portRef LO (instanceRef zpuino_core_nos_6_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002140_SW0)) - ) - ) - (net N1148 - (joined - (portRef LO (instanceRef zpuino_core_sampledStackOperation_or000331)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - ) - ) - (net N1149 - (joined - (portRef LO (instanceRef zpuino_core_nos_0_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002125_SW0)) - ) - ) - (net N1150 - (joined - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - ) - ) - (net N1151 - (joined - (portRef LO (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - ) - ) - (net N1152 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux000241103)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - ) - ) - (net N1153 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - ) - ) - (net N1154 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - ) - ) - (net N1155 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - ) - ) - (net N1156 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - ) - ) - (net N1157 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux0002121)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - ) - ) - (net N1158 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux0002111)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - ) - ) - (net N1159 - (joined - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - ) - ) - (net N116 - (joined - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22__SW0)) - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000311)) - ) - ) - (net N1160 - (joined - (portRef LO (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - ) - ) - (net N1161 - (joined - (portRef LO (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - ) - ) - (net N1162 - (joined - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - ) - ) - (net N1171 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - ) - ) - (net N118 - (joined - (portRef I3 (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - (portRef I1 (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I3 (instanceRef timers_inst_timer0_cyc1)) - (portRef I0 (instanceRef slot11_fifo_rd_and000011)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - ) - ) - (net N1181 - (joined - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_6_mux00001)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - (portRef I0 (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net N119 - (joined - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_5_mux00001)) - (portRef I1 (instanceRef uart_inst_fifo_rd_and000011)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_13_mux000011)) - (portRef I2 (instanceRef slot9_ram_we1)) - (portRef I2 (instanceRef slot9_read_ended_and000011)) - ) - ) - (net N1191 - (joined - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - ) - ) - (net N12 - (joined - (portRef I0 (instanceRef zpuino_core_stack_a_writeenable)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000110)) - ) - ) - (net N1201 - (joined - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - ) - ) - (net N121 - (joined - (portRef O (instanceRef slot9_hoff_and0000_SW0)) - (portRef I3 (instanceRef slot9_hoff_and0000_renamed_1121)) - ) - ) - (net N1211 - (joined - (portRef I3 (instanceRef gpio_inst_output_mapper_q_1_and00001)) - (portRef I3 (instanceRef gpio_inst_output_mapper_q_0_and00001)) - (portRef O (instanceRef gpio_inst_output_mapper_q_1_and00001_SW0_f5)) - ) - ) - (net N122 - (joined - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - ) - ) - (net N123 - (joined - (portRef I3 (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - (portRef I1 (instanceRef zpuino_core_stack_a_writeenable)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002207)) - (portRef I1 (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000264)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_11)) - (portRef I1 (instanceRef zpuino_core_decode_jump_renamed_2314)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net N1231 - (joined - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000025_renamed_1178)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000352)) - ) - ) - (net N124 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - ) - ) - (net N125 - (joined - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_11)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30__SW0)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - ) - ) - (net N1251 - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_16__)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - ) - ) - (net N126 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - ) - ) - (net N1261 - (joined - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_0_31)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_0_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - ) - ) - (net N127 - (joined - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - ) - ) - (net N1271 - (joined - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17__)) - ) - ) - (net N129 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - ) - ) - (net N1311 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_14_11)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - ) - ) - (net N132 - (joined - (portRef O (instanceRef slot9_hdisp_cmp_eq000011)) - (portRef I0 (instanceRef slot9_hdisp_cmp_eq000031)) - (portRef I0 (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_hdisp_and00001)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_val1)) - ) - ) - (net N133 - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0_31)) - (portRef I3 (instanceRef zpuino_core_stack_a_writeenable)) - (portRef I2 (instanceRef zpuino_core_stack_a_enable)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_9__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_8__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_7__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_6__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_5__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_4__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_3__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_30__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_2__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_29__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_28__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_27__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_26__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_25__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_24__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_23__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_22__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_21__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_20__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_1__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_19__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_18__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_17__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_16__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_15__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_14__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_13__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_12__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_11__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_10__SW0)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0__SW0)) - ) - ) - (net N1351 - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - ) - ) - (net N136 - (joined - (portRef I1 (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux0000121)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19__SW0)) - (portRef I2 (instanceRef zpuino_core_sampledTosSource_cmp_eq00031)) - ) - ) - (net N1361 - (joined - (portRef O (instanceRef zpuino_io_io_device_ack41)) - (portRef I3 (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I1 (instanceRef zpuino_io_io_device_ack211_SW1)) - ) - ) - (net N137 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_19_11)) - ) - ) - (net N138 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000271)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net N1381 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_18_11)) - ) - ) - (net N139 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net N1391 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - ) - ) - (net N1392 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - ) - ) - (net N140 - (joined - (portRef I0 (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - (portRef O (instanceRef zpuino_core_stack_a_addr_10_51)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - ) - ) - (net N1401 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_17_11)) - ) - ) - (net N1411 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - ) - ) - (net N142 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_31__SW1)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_31__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_30__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_29__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_28__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_27__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_26__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_25__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_24__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_23__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_22__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_21__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_20__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_19__)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_18__)) - ) - ) - (net N1421 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - ) - ) - (net N146 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - ) - ) - (net N147 - (joined - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I2 (instanceRef zpuino_core_poppc_inst1)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net N1471 - (joined - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - (portRef I3 (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - ) - ) - (net N148 - (joined - (portRef I2 (instanceRef zpuino_core_exu_busy42_SW0)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002810)) - (portRef I0 (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net N149 - (joined - (portRef I2 (instanceRef slot0_cpol_not00011)) - (portRef I2 (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - (portRef I2 (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - (portRef O (instanceRef slot0_spi_transfersize_q_not0001211)) - (portRef I2 (instanceRef slot1_cpol_not00011)) - (portRef I0 (instanceRef slot9_ram_we1)) - ) - ) - (net N157 - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000210_renamed_1488)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000210_renamed_1509)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002101)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net N158 - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002111)) - ) - ) - (net N159 - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002121)) - ) - ) - (net N16 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000221)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - ) - ) - (net N165 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_9__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_9__SW0)) - ) - ) - (net N167 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_8__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_8__SW0)) - ) - ) - (net N169 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_7__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_7__SW0)) - ) - ) - (net N17 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net N171 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_6__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_6__SW0)) - ) - ) - (net N173 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_5__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_5__SW0)) - ) - ) - (net N175 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_4__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_4__SW0)) - ) - ) - (net N177 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_3__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_3__SW0)) - ) - ) - (net N179 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_30__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_30__SW0)) - ) - ) - (net N18 - (joined - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - ) - ) - (net N1811 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_2__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_2__SW0)) - ) - ) - (net N183 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_29__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_29__SW0)) - ) - ) - (net N185 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_28__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_28__SW0)) - ) - ) - (net N187 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_27__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_27__SW0)) - ) - ) - (net N189 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_26__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_26__SW0)) - ) - ) - (net N19 - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_3_14)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_2_14)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_14)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - (portRef O (instanceRef zpuino_core_stack_a_addr_10_240)) - ) - ) - (net N191 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_25__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_25__SW0)) - ) - ) - (net N193 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_24__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_24__SW0)) - ) - ) - (net N195 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_23__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_23__SW0)) - ) - ) - (net N197 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_22__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_22__SW0)) - ) - ) - (net N199 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_21__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_21__SW0)) - ) - ) - (net N2 - (joined - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - (portRef I2 (instanceRef zpuino_core_decr_break_mux000089)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - (portRef I1 (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - (portRef I0 (instanceRef zpuino_rom_wb_cti_i_0_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_18)) - ) - ) - (net N201 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_20__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_20__SW0)) - ) - ) - (net N203 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_1__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_1__SW0)) - ) - ) - (net N205 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_19__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_19__SW0)) - ) - ) - (net N207 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_18__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_18__SW0)) - ) - ) - (net N209 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_17__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_17__SW0)) - ) - ) - (net N21 - (joined - (portRef O (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I2 (instanceRef slot9_vga_vsync_mux0000_renamed_1117)) - ) - ) - (net N211 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_16__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_16__SW0)) - ) - ) - (net N213 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_15__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_15__SW0)) - ) - ) - (net N215 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_14__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_14__SW0)) - ) - ) - (net N217 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_13__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_13__SW0)) - ) - ) - (net N219 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_12__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_12__SW0)) - ) - ) - (net N221 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_11__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_11__SW0)) - ) - ) - (net N223 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_10__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_10__SW0)) - ) - ) - (net N225 - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_write_0__)) - (portRef LO (instanceRef zpuino_core_stack_a_write_0__SW0)) - ) - ) - (net N229 - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - ) - ) - (net N232 - (joined - (portRef I1 (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - (portRef O (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - ) - ) - (net N279 - (joined - (portRef O (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I0 (instanceRef zpuino_core_decode_jump_renamed_2314)) - ) - ) - (net N281 - (joined - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef LO (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net N283 - (joined - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - (portRef LO (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net N289 - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - ) - ) - (net N29 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net N291 - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_13__SW0)) - ) - ) - (net N293 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9__SW0)) - ) - ) - (net N295 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - ) - ) - (net N297 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15__SW0)) - ) - ) - (net N299 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14__SW0)) - ) - ) - (net N30 - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net N3011 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33__SW0)) - ) - ) - (net N303 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32__SW0)) - ) - ) - (net N305 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30__SW0)) - ) - ) - (net N307 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23__SW0)) - ) - ) - (net N309 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22__SW0)) - ) - ) - (net N311 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19__SW0)) - ) - ) - (net N313 - (joined - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - (portRef LO (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - ) - ) - (net N315 - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10__SW0)) - ) - ) - (net N325 - (joined - (portRef D (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef O (instanceRef WING_B_8_IOBUF)) - ) - ) - (net N326 - (joined - (portRef D (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef O (instanceRef WING_B_9_IOBUF)) - ) - ) - (net N327 - (joined - (portRef D (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef O (instanceRef WING_B_10_IOBUF)) - ) - ) - (net N328 - (joined - (portRef D (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef O (instanceRef WING_B_11_IOBUF)) - ) - ) - (net N329 - (joined - (portRef D (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef O (instanceRef WING_B_12_IOBUF)) - ) - ) - (net N330 - (joined - (portRef D (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef O (instanceRef WING_B_13_IOBUF)) - ) - ) - (net N331 - (joined - (portRef D (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef O (instanceRef WING_B_14_IOBUF)) - ) - ) - (net N332 - (joined - (portRef D (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef O (instanceRef WING_B_15_IOBUF)) - ) - ) - (net N333 - (joined - (portRef D (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef O (instanceRef WING_C_10_IOBUF)) - ) - ) - (net N334 - (joined - (portRef D (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef O (instanceRef WING_C_11_IOBUF)) - ) - ) - (net N335 - (joined - (portRef D (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef O (instanceRef WING_C_0_IOBUF)) - ) - ) - (net N336 - (joined - (portRef D (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef O (instanceRef WING_C_12_IOBUF)) - ) - ) - (net N337 - (joined - (portRef D (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef O (instanceRef WING_C_1_IOBUF)) - ) - ) - (net N338 - (joined - (portRef D (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef O (instanceRef WING_C_13_IOBUF)) - ) - ) - (net N339 - (joined - (portRef D (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef O (instanceRef WING_C_14_IOBUF)) - ) - ) - (net N340 - (joined - (portRef D (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef O (instanceRef WING_C_15_IOBUF)) - ) - ) - (net N341 - (joined - (portRef D (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef O (instanceRef WING_C_8_IOBUF)) - ) - ) - (net N342 - (joined - (portRef D (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef O (instanceRef WING_C_9_IOBUF)) - ) - ) - (net N343 - (joined - (portRef D (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef O (instanceRef WING_A_15_IOBUF)) - ) - ) - (net N344 - (joined - (portRef D (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef O (instanceRef WING_A_14_IOBUF)) - ) - ) - (net N345 - (joined - (portRef D (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef O (instanceRef WING_A_13_IOBUF)) - ) - ) - (net N346 - (joined - (portRef D (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef O (instanceRef WING_A_12_IOBUF)) - ) - ) - (net N347 - (joined - (portRef D (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef O (instanceRef WING_A_11_IOBUF)) - ) - ) - (net N348 - (joined - (portRef D (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef O (instanceRef WING_A_10_IOBUF)) - ) - ) - (net N349 - (joined - (portRef D (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef O (instanceRef WING_A_9_IOBUF)) - ) - ) - (net N350 - (joined - (portRef D (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef O (instanceRef WING_A_8_IOBUF)) - ) - ) - (net N351 - (joined - (portRef D (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef O (instanceRef WING_A_7_IOBUF)) - ) - ) - (net N352 - (joined - (portRef D (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef O (instanceRef WING_A_6_IOBUF)) - ) - ) - (net N353 - (joined - (portRef D (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef O (instanceRef WING_A_5_IOBUF)) - ) - ) - (net N354 - (joined - (portRef D (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef O (instanceRef WING_A_4_IOBUF)) - ) - ) - (net N355 - (joined - (portRef D (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef O (instanceRef WING_A_3_IOBUF)) - ) - ) - (net N356 - (joined - (portRef D (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef O (instanceRef WING_A_2_IOBUF)) - ) - ) - (net N357 - (joined - (portRef D (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef O (instanceRef WING_A_1_IOBUF)) - ) - ) - (net N358 - (joined - (portRef D (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef O (instanceRef WING_A_0_IOBUF)) - ) - ) - (net N36 - (joined - (portRef O (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I3 (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - ) - ) - (net N38 - (joined - (portRef O (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I3 (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - ) - ) - (net N4 - (joined - (portRef O (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I3 (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - ) - ) - (net N40 - (joined - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - ) - ) - (net N408 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - ) - ) - (net N410 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - ) - ) - (net N412 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - ) - ) - (net N414 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - ) - ) - (net N416 - (joined - (portRef O (instanceRef zpuino_core_exu_busy42_SW0)) - (portRef I1 (instanceRef zpuino_core_pfu_busy1)) - ) - ) - (net N436 - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - ) - ) - (net N438 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_4_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_4_29)) - ) - ) - (net N439 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_4_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_4_29)) - ) - ) - (net N44 - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002311)) - ) - ) - (net N440 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_3_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_3_29)) - ) - ) - (net N4411 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_3_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_3_29)) - ) - ) - (net N442 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_2_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_2_29)) - ) - ) - (net N443 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_2_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_2_29)) - ) - ) - (net N444 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_1_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_1_29)) - ) - ) - (net N445 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_1_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_1_29)) - ) - ) - (net N446 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_7_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_7_29)) - ) - ) - (net N447 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_7_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_7_29)) - ) - ) - (net N448 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_6_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_6_29)) - ) - ) - (net N449 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_6_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_6_29)) - ) - ) - (net N450 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_5_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_5_29)) - ) - ) - (net N451 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_5_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_5_29)) - ) - ) - (net N452 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_0_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_0_29)) - ) - ) - (net N453 - (joined - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_0_29_G)) - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_0_29)) - ) - ) - (net N454 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - ) - ) - (net N456 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - ) - ) - (net N458 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - ) - ) - (net N460 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - ) - ) - (net N462 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - ) - ) - (net N464 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - ) - ) - (net N466 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - ) - ) - (net N468 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - ) - ) - (net N470 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - ) - ) - (net N472 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - ) - ) - (net N474 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - ) - ) - (net N476 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - ) - ) - (net N478 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - ) - ) - (net N480 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - ) - ) - (net N482 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - ) - ) - (net N484 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - ) - ) - (net N486 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - ) - ) - (net N488 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - ) - ) - (net N490 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - ) - ) - (net N492 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - ) - ) - (net N494 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - ) - ) - (net N496 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - ) - ) - (net N498 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - ) - ) - (net N5 - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - (portRef I3 (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - (portRef I0 (instanceRef zpuino_core_exr_wb_cyc_mux0001282)) - (portRef O (instanceRef zpuino_core_stack_a_addr_10_11)) - ) - ) - (net N500 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - ) - ) - (net N502 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - ) - ) - (net N504 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - ) - ) - (net N506 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - ) - ) - (net N508 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - ) - ) - (net N510 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - ) - ) - (net N512 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - ) - ) - (net N514 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - ) - ) - (net N516 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - ) - ) - (net N518 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - ) - ) - (net N520 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - ) - ) - (net N522 - (joined - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef LO (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - ) - ) - (net N528 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - ) - ) - (net N530 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - ) - ) - (net N532 - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - ) - ) - (net N536 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - ) - ) - (net N538 - (joined - (portRef I2 (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef LO (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net N540 - (joined - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd2_In15_SW0)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - ) - ) - (net N542 - (joined - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef LO (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0)) - ) - ) - (net N548 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000241103_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000241103)) - ) - ) - (net N550 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - ) - ) - (net N552 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - ) - ) - (net N554 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - ) - ) - (net N556 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - ) - ) - (net N558 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - ) - ) - (net N560 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - ) - ) - (net N562 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - ) - ) - (net N564 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - ) - ) - (net N566 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - ) - ) - (net N568 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - ) - ) - (net N570 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - ) - ) - (net N572 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - ) - ) - (net N574 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - ) - ) - (net N576 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - ) - ) - (net N578 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - ) - ) - (net N58 - (joined - (portRef O (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I3 (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - (portRef I3 (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - ) - ) - (net N580 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - ) - ) - (net N582 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - ) - ) - (net N584 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - ) - ) - (net N586 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - ) - ) - (net N588 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - ) - ) - (net N590 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - ) - ) - (net N592 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - ) - ) - (net N594 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - ) - ) - (net N596 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - ) - ) - (net N598 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - ) - ) - (net N6 - (joined - (portRef O (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - ) - ) - (net N600 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - ) - ) - (net N601 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net N602 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - ) - ) - (net N604 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - ) - ) - (net N606 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - ) - ) - (net N608 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - ) - ) - (net N610 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - ) - ) - (net N612 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - ) - ) - (net N614 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - ) - ) - (net N616 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - ) - ) - (net N618 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - (portRef LO (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - ) - ) - (net N620 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - (portRef LO (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - ) - ) - (net N622 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - (portRef LO (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - ) - ) - (net N624 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - (portRef LO (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - ) - ) - (net N626 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net N628 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net N630 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net N632 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net N634 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net N636 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net N638 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net N640 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net N642 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net N644 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net N646 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net N648 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net N650 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net N652 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net N654 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net N656 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net N658 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net N660 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net N662 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net N664 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net N666 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net N668 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net N670 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net N672 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net N674 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net N676 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net N678 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net N680 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net N682 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net N684 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net N686 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net N688 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net N690 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net N692 - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net N694 - (joined - (portRef I3 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5)) - ) - ) - (net N696 - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - ) - ) - (net N698 - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net N700 - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - ) - ) - (net N708 - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - ) - ) - (net N710 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - ) - ) - (net N712 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - ) - ) - (net N714 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - ) - ) - (net N716 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - ) - ) - (net N718 - (joined - (portRef O (instanceRef zpuino_io_io_device_ack118_SW0)) - (portRef I1 (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - ) - ) - (net N726 - (joined - (portRef O (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - ) - ) - (net N728 - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - ) - ) - (net N730 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - ) - ) - (net N732 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - ) - ) - (net N734 - (joined - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef LO (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - ) - ) - (net N736 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - ) - ) - (net N738 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - ) - ) - (net N740 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - ) - ) - (net N742 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - ) - ) - (net N744 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - ) - ) - (net N746 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - ) - ) - (net N748 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - ) - ) - (net N750 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - ) - ) - (net N752 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - ) - ) - (net N754 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - ) - ) - (net N756 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - ) - ) - (net N758 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - ) - ) - (net N760 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - ) - ) - (net N762 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - ) - ) - (net N764 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - ) - ) - (net N766 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - ) - ) - (net N768 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - ) - ) - (net N770 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - ) - ) - (net N772 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - ) - ) - (net N774 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - ) - ) - (net N776 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - ) - ) - (net N778 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - ) - ) - (net N78 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000241103)) - ) - ) - (net N780 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - ) - ) - (net N782 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000226_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net N784 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002149_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - ) - ) - (net N786 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux0002143_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - ) - ) - (net N788 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux0002143_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - ) - ) - (net N790 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002164_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - ) - ) - (net N792 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002164_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - ) - ) - (net N794 - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - (portRef LO (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - ) - ) - (net N796 - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - (portRef LO (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net N798 - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_SW1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - ) - ) - (net N8 - (joined - (portRef O (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I3 (instanceRef slot9_h_sync_tick_cmp_eq00001)) - ) - ) - (net N800 - (joined - (portRef O (instanceRef sigmadelta_inst_le_q_not0001_SW1)) - (portRef I3 (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - ) - ) - (net N806 - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd11_In_SW1)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - ) - ) - (net N808 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux0002143_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net N810 - (joined - (portRef O (instanceRef slot1_zspiclk_clkrise_i_or0000_SW1)) - (portRef I0 (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - ) - ) - (net N812 - (joined - (portRef O (instanceRef slot1_zspiclk_clkfall_i_or0000_SW1)) - (portRef I2 (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - ) - ) - (net N814 - (joined - (portRef O (instanceRef slot0_zspiclk_clkrise_i_or0000_SW1)) - (portRef I0 (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - ) - ) - (net N816 - (joined - (portRef O (instanceRef slot0_zspiclk_clkfall_i_or0000_SW1)) - (portRef I2 (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - ) - ) - (net N818 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - ) - ) - (net N820 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - ) - ) - (net N822 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - ) - ) - (net N824 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - ) - ) - (net N826 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - ) - ) - (net N828 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002125_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net N830 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002140_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - ) - ) - (net N832 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002140_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - ) - ) - (net N834 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - (portRef LO (instanceRef zpuino_core_w1_tos_8_mux0002119_SW0)) - ) - ) - (net N836 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux0002119_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - ) - ) - (net N838 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux0002119_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - ) - ) - (net N840 - (joined - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5)) - ) - ) - (net N842 - (joined - (portRef O (instanceRef slot0_spi_en_or0000_SW1)) - (portRef I3 (instanceRef slot0_spi_en_or0000_renamed_2173)) - ) - ) - (net N844 - (joined - (portRef O (instanceRef slot1_spi_en_or0000_SW1)) - (portRef I3 (instanceRef slot1_spi_en_or0000_renamed_2174)) - ) - ) - (net N846 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_43_SW0)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - ) - ) - (net N848 - (joined - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133)) - (portRef LO (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - ) - ) - (net N850 - (joined - (portRef I2 (instanceRef zpuino_io_io_device_ack211)) - (portRef O (instanceRef zpuino_io_io_device_ack211_SW1)) - ) - ) - (net N852 - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - ) - ) - (net N854 - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - ) - ) - (net N856 - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - ) - ) - (net N858 - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net N860 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - ) - ) - (net N862 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef LO (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - ) - ) - (net N864 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef LO (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - ) - ) - (net N866 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef LO (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - ) - ) - (net N868 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef LO (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - ) - ) - (net N870 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - ) - ) - (net N872 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - ) - ) - (net N874 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef LO (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - ) - ) - (net N876 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef LO (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - ) - ) - (net N878 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef LO (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - ) - ) - (net N880 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef LO (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - ) - ) - (net N881 - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025138)) - ) - ) - (net N882 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef LO (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - ) - ) - (net N884 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef LO (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - ) - ) - (net N886 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef LO (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - ) - ) - (net N888 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef LO (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - ) - ) - (net N890 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef LO (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - ) - ) - (net N891 - (joined - (portRef I3 (instanceRef zpuino_core_stack_a_enable)) - (portRef O (instanceRef zpuino_core_stack_a_enable21)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_31_2_renamed_1243)) - (portRef I0 (instanceRef zpuino_core_stack_b_enable40)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_0_12)) - ) - ) - (net N892 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef LO (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - ) - ) - (net N894 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef LO (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - ) - ) - (net N896 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef LO (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - ) - ) - (net N898 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef LO (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - ) - ) - (net N900 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef LO (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - ) - ) - (net N901 - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000331)) - ) - ) - (net N902 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef LO (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - ) - ) - (net N904 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef LO (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - ) - ) - (net N906 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef LO (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net N910 - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - ) - ) - (net N912 - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - ) - ) - (net N916 - (joined - (portRef O (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I2 (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - ) - ) - (net N918 - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_14)) - ) - ) - (net N924 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - ) - ) - (net N926 - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net N928 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - ) - ) - (net N932 - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - ) - ) - (net N934 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux0002140)) - ) - ) - (net N936 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux0002140)) - ) - ) - (net N938 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux0002140)) - ) - ) - (net N94 - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_9__)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - ) - ) - (net N940 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux0002140)) - ) - ) - (net N942 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux0002140)) - ) - ) - (net N944 - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux0002140)) - (portRef LO (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net N946 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux0002140)) - ) - ) - (net N948 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux0002140)) - ) - ) - (net N950 - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux0002144)) - ) - ) - (net N952 - (joined - (portRef O (instanceRef zpuino_core_decr_valid_mux0000_SW1)) - (portRef I1 (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - ) - ) - (net N954 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - ) - ) - (net N956 - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0)) - ) - ) - (net N958 - (joined - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0)) - ) - ) - (net N960 - (joined - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000382)) - ) - ) - (net N961 - (joined - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000382)) - ) - ) - (net N962 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212)) - ) - ) - (net N963 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_G)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212)) - ) - ) - (net N964 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213)) - ) - ) - (net N965 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213)) - ) - ) - (net N966 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_F)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214)) - ) - ) - (net N967 - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214)) - ) - ) - (net N968 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215)) - ) - ) - (net N969 - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_G)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215)) - ) - ) - (net N97 - (joined - (portRef O (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I0 (instanceRef zpuino_core_stack_a_enable)) - ) - ) - (net N970 - (joined - (portRef O (instanceRef crc16_inst_ready_q_not0001_F)) - (portRef I0 (instanceRef crc16_inst_ready_q_not0001_renamed_2216)) - ) - ) - (net N971 - (joined - (portRef O (instanceRef crc16_inst_ready_q_not0001_G)) - (portRef I1 (instanceRef crc16_inst_ready_q_not0001_renamed_2216)) - ) - ) - (net N972 - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000184_renamed_2217)) - ) - ) - (net N973 - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000184_renamed_2217)) - ) - ) - (net N974 - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux000184_F)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000184_renamed_2218)) - ) - ) - (net N975 - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux000184_G)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000184_renamed_2218)) - ) - ) - (net N976 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_123_F)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_123)) - ) - ) - (net N977 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_123_G)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_123)) - ) - ) - (net N978 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_123_F)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_123)) - ) - ) - (net N979 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_123_G)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_123)) - ) - ) - (net N980 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_130_F)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_130)) - ) - ) - (net N981 - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_130_G)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_130)) - ) - ) - (net N982 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_8_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_8_49)) - ) - ) - (net N983 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_8_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_8_49)) - ) - ) - (net N984 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_15_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_15_49)) - ) - ) - (net N985 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_15_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_15_49)) - ) - ) - (net N986 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_14_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_14_49)) - ) - ) - (net N987 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_14_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_14_49)) - ) - ) - (net N988 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_13_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_13_49)) - ) - ) - (net N989 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_13_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_13_49)) - ) - ) - (net N990 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_12_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_12_49)) - ) - ) - (net N991 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_12_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_12_49)) - ) - ) - (net N992 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_11_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_11_49)) - ) - ) - (net N993 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_11_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_11_49)) - ) - ) - (net N994 - (joined - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_F)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44)) - ) - ) - (net N995 - (joined - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44)) - ) - ) - (net N996 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_23__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_23__)) - ) - ) - (net N997 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_23__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_23__)) - ) - ) - (net N998 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_22__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_22__)) - ) - ) - (net N999 - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_22__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_22__)) - ) - ) - (net RXD - (joined - (portRef RXD) - (portRef I (instanceRef RXD_IBUF_renamed_1684)) - ) - ) - (net RXD_IBUF - (joined - (portRef O (instanceRef RXD_IBUF_renamed_1684)) - (portRef D (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - ) - ) - (net (rename Result_0_ "Result<0>") - (joined - (portRef D (instanceRef slot9_voff_0)) - (portRef O (instanceRef slot9_Mcount_voff_xor_0_11_INV_0)) - ) - ) - (net (rename Result_0_1 "Result<0>1") - (joined - (portRef D (instanceRef slot9_vcount_q_0)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_0__)) - ) - ) - (net (rename Result_0_2 "Result<0>2") - (joined - (portRef D (instanceRef slot9_hoff_0)) - (portRef O (instanceRef slot9_Mcount_hoff_xor_0_11_INV_0)) - ) - ) - (net (rename Result_0_3 "Result<0>3") - (joined - (portRef D (instanceRef slot9_hcount_q_0)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_0__)) - ) - ) - (net (rename Result_0_4 "Result<0>4") - (joined - (portRef D (instanceRef slot9_hdisp_2)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_0__)) - ) - ) - (net (rename Result_10_ "Result<10>") - (joined - (portRef D (instanceRef slot9_hcount_q_10)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_10__)) - ) - ) - (net (rename Result_10_1 "Result<10>1") - (joined - (portRef D (instanceRef slot9_hdisp_12)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_10__)) - ) - ) - (net (rename Result_10_2 "Result<10>2") - (joined - (portRef D (instanceRef slot9_vga_v_offset_10)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_10__)) - ) - ) - (net (rename Result_11_ "Result<11>") - (joined - (portRef D (instanceRef slot9_hdisp_13)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_11__)) - ) - ) - (net (rename Result_11_1 "Result<11>1") - (joined - (portRef D (instanceRef slot9_vga_v_offset_11)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_11__)) - ) - ) - (net (rename Result_12_ "Result<12>") - (joined - (portRef D (instanceRef slot9_vga_v_offset_12)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_12__)) - ) - ) - (net (rename Result_13_ "Result<13>") - (joined - (portRef D (instanceRef slot9_vga_v_offset_13)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_13__)) - ) - ) - (net (rename Result_14_ "Result<14>") - (joined - (portRef D (instanceRef slot9_vga_v_offset_14)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_14__)) - ) - ) - (net (rename Result_1_ "Result<1>") - (joined - (portRef D (instanceRef slot9_voff_1)) - (portRef O (instanceRef slot9_Mcount_voff_xor_1_11)) - ) - ) - (net (rename Result_1_1 "Result<1>1") - (joined - (portRef D (instanceRef slot9_vcount_q_1)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_1__)) - ) - ) - (net (rename Result_1_2 "Result<1>2") - (joined - (portRef D (instanceRef slot9_hoff_1)) - (portRef O (instanceRef slot9_Mcount_hoff_xor_1_11)) - ) - ) - (net (rename Result_1_3 "Result<1>3") - (joined - (portRef D (instanceRef slot9_hcount_q_1)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_1__)) - ) - ) - (net (rename Result_1_4 "Result<1>4") - (joined - (portRef D (instanceRef slot9_hdisp_3)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_1__)) - ) - ) - (net (rename Result_2_ "Result<2>") - (joined - (portRef D (instanceRef slot9_voff_2)) - (portRef O (instanceRef slot9_Mcount_voff_xor_2_11)) - ) - ) - (net (rename Result_2_1 "Result<2>1") - (joined - (portRef D (instanceRef slot9_vcount_q_2)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_2__)) - ) - ) - (net (rename Result_2_2 "Result<2>2") - (joined - (portRef D (instanceRef slot9_hoff_2)) - (portRef O (instanceRef slot9_Mcount_hoff_xor_2_11)) - ) - ) - (net (rename Result_2_3 "Result<2>3") - (joined - (portRef D (instanceRef slot9_hcount_q_2)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_2__)) - ) - ) - (net (rename Result_2_4 "Result<2>4") - (joined - (portRef D (instanceRef slot9_hdisp_4)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_2__)) - ) - ) - (net (rename Result_3_ "Result<3>") - (joined - (portRef D (instanceRef slot9_vcount_q_3)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_3__)) - ) - ) - (net (rename Result_3_1 "Result<3>1") - (joined - (portRef D (instanceRef slot9_hcount_q_3)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_3__)) - ) - ) - (net (rename Result_3_2 "Result<3>2") - (joined - (portRef D (instanceRef slot9_hdisp_5)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_3__)) - ) - ) - (net (rename Result_4_ "Result<4>") - (joined - (portRef D (instanceRef slot9_vcount_q_4)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_4__)) - ) - ) - (net (rename Result_4_1 "Result<4>1") - (joined - (portRef D (instanceRef slot9_hcount_q_4)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_4__)) - ) - ) - (net (rename Result_4_2 "Result<4>2") - (joined - (portRef D (instanceRef slot9_hdisp_6)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_4__)) - ) - ) - (net (rename Result_5_ "Result<5>") - (joined - (portRef D (instanceRef slot9_vcount_q_5)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_5__)) - ) - ) - (net (rename Result_5_1 "Result<5>1") - (joined - (portRef D (instanceRef slot9_hcount_q_5)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_5__)) - ) - ) - (net (rename Result_5_2 "Result<5>2") - (joined - (portRef D (instanceRef slot9_hdisp_7)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_5__)) - ) - ) - (net (rename Result_5_3 "Result<5>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_5)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_5__)) - ) - ) - (net (rename Result_6_ "Result<6>") - (joined - (portRef D (instanceRef slot9_vcount_q_6)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_6__)) - ) - ) - (net (rename Result_6_1 "Result<6>1") - (joined - (portRef D (instanceRef slot9_hcount_q_6)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_6__)) - ) - ) - (net (rename Result_6_2 "Result<6>2") - (joined - (portRef D (instanceRef slot9_hdisp_8)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_6__)) - ) - ) - (net (rename Result_6_3 "Result<6>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_6)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_6__)) - ) - ) - (net (rename Result_7_ "Result<7>") - (joined - (portRef D (instanceRef slot9_vcount_q_7)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_7__)) - ) - ) - (net (rename Result_7_1 "Result<7>1") - (joined - (portRef D (instanceRef slot9_hcount_q_7)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_7__)) - ) - ) - (net (rename Result_7_2 "Result<7>2") - (joined - (portRef D (instanceRef slot9_hdisp_9)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_7__)) - ) - ) - (net (rename Result_7_3 "Result<7>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_7)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_7__)) - ) - ) - (net (rename Result_8_ "Result<8>") - (joined - (portRef D (instanceRef slot9_vcount_q_8)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_8__)) - ) - ) - (net (rename Result_8_1 "Result<8>1") - (joined - (portRef D (instanceRef slot9_hcount_q_8)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_8__)) - ) - ) - (net (rename Result_8_2 "Result<8>2") - (joined - (portRef D (instanceRef slot9_hdisp_10)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_8__)) - ) - ) - (net (rename Result_8_3 "Result<8>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_8)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_8__)) - ) - ) - (net (rename Result_9_ "Result<9>") - (joined - (portRef D (instanceRef slot9_vcount_q_9)) - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_9__)) - ) - ) - (net (rename Result_9_1 "Result<9>1") - (joined - (portRef D (instanceRef slot9_hcount_q_9)) - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_9__)) - ) - ) - (net (rename Result_9_2 "Result<9>2") - (joined - (portRef D (instanceRef slot9_hdisp_11)) - (portRef O (instanceRef slot9_Mcount_hdisp_xor_9__)) - ) - ) - (net (rename Result_9_3 "Result<9>3") - (joined - (portRef D (instanceRef slot9_vga_v_offset_9)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_9__)) - ) - ) - (net SPI_CS - (joined - (portRef SPI_CS) - (portRef O (instanceRef ospics_obufi)) - ) - ) - (net SPI_MISO - (joined - (portRef SPI_MISO) - (portRef I (instanceRef SPI_MISO_IBUF_renamed_1683)) - ) - ) - (net SPI_MISO_IBUF - (joined - (portRef D (instanceRef slot0_zspi_read_reg_q_0)) - (portRef O (instanceRef SPI_MISO_IBUF_renamed_1683)) - ) - ) - (net SPI_MOSI - (joined - (portRef SPI_MOSI) - (portRef O (instanceRef ospimosi_obufi)) - ) - ) - (net SPI_SCK - (joined - (portRef SPI_SCK) - (portRef O (instanceRef ospiclk_obufi)) - ) - ) - (net TXD - (joined - (portRef TXD) - (portRef O (instanceRef obuftx_obufi)) - ) - ) - (net (rename WING_A_0_ "WING_A<0>") - (joined - (portRef (member WING_A 15)) - (portRef IO (instanceRef WING_A_0_IOBUF)) - ) - ) - (net (rename WING_A_10_ "WING_A<10>") - (joined - (portRef (member WING_A 5)) - (portRef IO (instanceRef WING_A_10_IOBUF)) - ) - ) - (net (rename WING_A_11_ "WING_A<11>") - (joined - (portRef (member WING_A 4)) - (portRef IO (instanceRef WING_A_11_IOBUF)) - ) - ) - (net (rename WING_A_12_ "WING_A<12>") - (joined - (portRef (member WING_A 3)) - (portRef IO (instanceRef WING_A_12_IOBUF)) - ) - ) - (net (rename WING_A_13_ "WING_A<13>") - (joined - (portRef (member WING_A 2)) - (portRef IO (instanceRef WING_A_13_IOBUF)) - ) - ) - (net (rename WING_A_14_ "WING_A<14>") - (joined - (portRef (member WING_A 1)) - (portRef IO (instanceRef WING_A_14_IOBUF)) - ) - ) - (net (rename WING_A_15_ "WING_A<15>") - (joined - (portRef (member WING_A 0)) - (portRef IO (instanceRef WING_A_15_IOBUF)) - ) - ) - (net (rename WING_A_1_ "WING_A<1>") - (joined - (portRef (member WING_A 14)) - (portRef IO (instanceRef WING_A_1_IOBUF)) - ) - ) - (net (rename WING_A_2_ "WING_A<2>") - (joined - (portRef (member WING_A 13)) - (portRef IO (instanceRef WING_A_2_IOBUF)) - ) - ) - (net (rename WING_A_3_ "WING_A<3>") - (joined - (portRef (member WING_A 12)) - (portRef IO (instanceRef WING_A_3_IOBUF)) - ) - ) - (net (rename WING_A_4_ "WING_A<4>") - (joined - (portRef (member WING_A 11)) - (portRef IO (instanceRef WING_A_4_IOBUF)) - ) - ) - (net (rename WING_A_5_ "WING_A<5>") - (joined - (portRef (member WING_A 10)) - (portRef IO (instanceRef WING_A_5_IOBUF)) - ) - ) - (net (rename WING_A_6_ "WING_A<6>") - (joined - (portRef (member WING_A 9)) - (portRef IO (instanceRef WING_A_6_IOBUF)) - ) - ) - (net (rename WING_A_7_ "WING_A<7>") - (joined - (portRef (member WING_A 8)) - (portRef IO (instanceRef WING_A_7_IOBUF)) - ) - ) - (net (rename WING_A_8_ "WING_A<8>") - (joined - (portRef (member WING_A 7)) - (portRef IO (instanceRef WING_A_8_IOBUF)) - ) - ) - (net (rename WING_A_9_ "WING_A<9>") - (joined - (portRef (member WING_A 6)) - (portRef IO (instanceRef WING_A_9_IOBUF)) - ) - ) - (net (rename WING_B_0_ "WING_B<0>") - (joined - (portRef (member WING_B 15)) - (portRef O (instanceRef pin16_obufi)) - ) - ) - (net (rename WING_B_10_ "WING_B<10>") - (joined - (portRef (member WING_B 5)) - (portRef IO (instanceRef WING_B_10_IOBUF)) - ) - ) - (net (rename WING_B_11_ "WING_B<11>") - (joined - (portRef (member WING_B 4)) - (portRef IO (instanceRef WING_B_11_IOBUF)) - ) - ) - (net (rename WING_B_12_ "WING_B<12>") - (joined - (portRef (member WING_B 3)) - (portRef IO (instanceRef WING_B_12_IOBUF)) - ) - ) - (net (rename WING_B_13_ "WING_B<13>") - (joined - (portRef (member WING_B 2)) - (portRef IO (instanceRef WING_B_13_IOBUF)) - ) - ) - (net (rename WING_B_14_ "WING_B<14>") - (joined - (portRef (member WING_B 1)) - (portRef IO (instanceRef WING_B_14_IOBUF)) - ) - ) - (net (rename WING_B_15_ "WING_B<15>") - (joined - (portRef (member WING_B 0)) - (portRef IO (instanceRef WING_B_15_IOBUF)) - ) - ) - (net (rename WING_B_1_ "WING_B<1>") - (joined - (portRef (member WING_B 14)) - (portRef O (instanceRef pin17_obufi)) - ) - ) - (net (rename WING_B_2_ "WING_B<2>") - (joined - (portRef (member WING_B 13)) - (portRef O (instanceRef pin18_obufi)) - ) - ) - (net (rename WING_B_3_ "WING_B<3>") - (joined - (portRef (member WING_B 12)) - (portRef O (instanceRef pin19_obufi)) - ) - ) - (net (rename WING_B_4_ "WING_B<4>") - (joined - (portRef (member WING_B 11)) - (portRef O (instanceRef pin20_obufi)) - ) - ) - (net (rename WING_B_5_ "WING_B<5>") - (joined - (portRef (member WING_B 10)) - (portRef O (instanceRef pin21_obufi)) - ) - ) - (net (rename WING_B_6_ "WING_B<6>") - (joined - (portRef (member WING_B 9)) - (portRef O (instanceRef pin22_obufi)) - ) - ) - (net (rename WING_B_7_ "WING_B<7>") - (joined - (portRef (member WING_B 8)) - (portRef O (instanceRef pin23_obufi)) - ) - ) - (net (rename WING_B_8_ "WING_B<8>") - (joined - (portRef (member WING_B 7)) - (portRef IO (instanceRef WING_B_8_IOBUF)) - ) - ) - (net (rename WING_B_9_ "WING_B<9>") - (joined - (portRef (member WING_B 6)) - (portRef IO (instanceRef WING_B_9_IOBUF)) - ) - ) - (net (rename WING_C_0_ "WING_C<0>") - (joined - (portRef (member WING_C 15)) - (portRef IO (instanceRef WING_C_0_IOBUF)) - ) - ) - (net (rename WING_C_10_ "WING_C<10>") - (joined - (portRef (member WING_C 5)) - (portRef IO (instanceRef WING_C_10_IOBUF)) - ) - ) - (net (rename WING_C_11_ "WING_C<11>") - (joined - (portRef (member WING_C 4)) - (portRef IO (instanceRef WING_C_11_IOBUF)) - ) - ) - (net (rename WING_C_12_ "WING_C<12>") - (joined - (portRef (member WING_C 3)) - (portRef IO (instanceRef WING_C_12_IOBUF)) - ) - ) - (net (rename WING_C_13_ "WING_C<13>") - (joined - (portRef (member WING_C 2)) - (portRef IO (instanceRef WING_C_13_IOBUF)) - ) - ) - (net (rename WING_C_14_ "WING_C<14>") - (joined - (portRef (member WING_C 1)) - (portRef IO (instanceRef WING_C_14_IOBUF)) - ) - ) - (net (rename WING_C_15_ "WING_C<15>") - (joined - (portRef (member WING_C 0)) - (portRef IO (instanceRef WING_C_15_IOBUF)) - ) - ) - (net (rename WING_C_1_ "WING_C<1>") - (joined - (portRef (member WING_C 14)) - (portRef IO (instanceRef WING_C_1_IOBUF)) - ) - ) - (net (rename WING_C_2_ "WING_C<2>") - (joined - (portRef (member WING_C 13)) - (portRef O (instanceRef pin34_obufi)) - ) - ) - (net (rename WING_C_3_ "WING_C<3>") - (joined - (portRef (member WING_C 12)) - (portRef O (instanceRef pin35_obufi)) - ) - ) - (net (rename WING_C_4_ "WING_C<4>") - (joined - (portRef (member WING_C 11)) - (portRef O (instanceRef pin36_obufi)) - ) - ) - (net (rename WING_C_5_ "WING_C<5>") - (joined - (portRef (member WING_C 10)) - (portRef O (instanceRef pin37_obufi)) - ) - ) - (net (rename WING_C_6_ "WING_C<6>") - (joined - (portRef (member WING_C 9)) - (portRef O (instanceRef pin38_obufi)) - ) - ) - (net (rename WING_C_7_ "WING_C<7>") - (joined - (portRef (member WING_C 8)) - (portRef O (instanceRef pin39_obufi)) - ) - ) - (net (rename WING_C_8_ "WING_C<8>") - (joined - (portRef (member WING_C 7)) - (portRef IO (instanceRef WING_C_8_IOBUF)) - ) - ) - (net (rename WING_C_9_ "WING_C<9>") - (joined - (portRef (member WING_C 6)) - (portRef IO (instanceRef WING_C_9_IOBUF)) - ) - ) - (net (rename clkgen_inst_clk0 "clkgen_inst/clk0") - (joined - (portRef I (instanceRef clkgen_inst_clkfb_inst)) - (portRef CLK0 (instanceRef clkgen_inst_DCM_inst)) - ) - ) - (net (rename clkgen_inst_clkfb "clkgen_inst/clkfb") - (joined - (portRef O (instanceRef clkgen_inst_clkfb_inst)) - (portRef CLKFB (instanceRef clkgen_inst_DCM_inst)) - ) - ) - (net (rename clkgen_inst_clkin_i "clkgen_inst/clkin_i") - (joined - (portRef O (instanceRef clkgen_inst_clkin_inst)) - (portRef I (instanceRef clkgen_inst_clkin2_inst)) - (portRef CLKIN (instanceRef clkgen_inst_DCM_inst)) - ) - ) - (net (rename clkgen_inst_clkin_i_2 "clkgen_inst/clkin_i_2") - (joined - (portRef O (instanceRef clkgen_inst_clkin2_inst)) - (portRef CLKIN (instanceRef clkgen_inst_VGADCM_inst)) - ) - ) - (net (rename clkgen_inst_dcmclock "clkgen_inst/dcmclock") - (joined - (portRef I (instanceRef clkgen_inst_clkfx_inst)) - (portRef CLKFX (instanceRef clkgen_inst_DCM_inst)) - ) - ) - (net (rename clkgen_inst_dcmlocked "clkgen_inst/dcmlocked") - (joined - (portRef LOCKED (instanceRef clkgen_inst_DCM_inst)) - (portRef I (instanceRef clkgen_inst_dcmlocked_inv1_INV_0)) - ) - ) - (net (rename clkgen_inst_dcmlocked_inv "clkgen_inst/dcmlocked_inv") - (joined - (portRef PRE (instanceRef clkgen_inst_rst2_q_renamed_811)) - (portRef PRE (instanceRef clkgen_inst_rst1_q_renamed_810)) - (portRef O (instanceRef clkgen_inst_dcmlocked_inv1_INV_0)) - ) - ) - (net (rename clkgen_inst_rst1_q "clkgen_inst/rst1_q") - (joined - (portRef R (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef R (instanceRef rstgen_rstcount_0)) - (portRef R (instanceRef rstgen_rstcount_1)) - (portRef R (instanceRef rstgen_rstcount_2)) - (portRef R (instanceRef rstgen_rstcount_5)) - (portRef R (instanceRef rstgen_rstcount_3)) - (portRef R (instanceRef rstgen_rstcount_4)) - (portRef R (instanceRef rstgen_rstcount_6)) - (portRef R (instanceRef rstgen_rstcount_7)) - (portRef R (instanceRef rstgen_rstcount_8)) - (portRef R (instanceRef rstgen_rstcount_9)) - (portRef R (instanceRef rstgen_rstcount_10)) - (portRef R (instanceRef rstgen_rstcount_11)) - (portRef S (instanceRef rstgen_rstcount_12)) - (portRef R (instanceRef rstgen_rstcount_13)) - (portRef R (instanceRef rstgen_rstcount_14)) - (portRef R (instanceRef rstgen_rstcount_15)) - (portRef S (instanceRef rstgen_rstcount_16)) - (portRef S (instanceRef rstgen_rstcount_17)) - (portRef R (instanceRef rstgen_rstcount_20)) - (portRef S (instanceRef rstgen_rstcount_18)) - (portRef R (instanceRef rstgen_rstcount_19)) - (portRef S (instanceRef rstgen_rstcount_21)) - (portRef R (instanceRef rstgen_rstcount_22)) - (portRef R (instanceRef rstgen_rstcount_23)) - (portRef R (instanceRef rstgen_rstcount_24)) - (portRef R (instanceRef rstgen_rstcount_25)) - (portRef R (instanceRef rstgen_rstcount_26)) - (portRef R (instanceRef rstgen_rstcount_27)) - (portRef R (instanceRef rstgen_rstcount_28)) - (portRef R (instanceRef rstgen_rstcount_29)) - (portRef R (instanceRef rstgen_rstcount_30)) - (portRef R (instanceRef rstgen_rstcount_31)) - (portRef Q (instanceRef clkgen_inst_rst1_q_renamed_810)) - (portRef I0 (instanceRef zpuino_core_shl_done_or00001)) - (portRef I0 (instanceRef zpuino_io_addr_save_q_or00001)) - (portRef I3 (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - (portRef I0 (instanceRef zpuino_core_exr_tos_save_not00011)) - (portRef I0 (instanceRef zpuino_core_stack_b_addr_10_11)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_wb_rst_i_inv1)) - (portRef I0 (instanceRef zpuino_memory_rom_do_wait_or00001)) - (portRef I0 (instanceRef zpuino_memory_ramregs_do_wait_or00001)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I2 (instanceRef uart_inst_dready_q_or00001)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I2 (instanceRef slot11_dready_q_or00001)) - (portRef I0 (instanceRef zpuino_io_write_save_q_not00011)) - (portRef I0 (instanceRef zpuino_io_io_we_or0000_inv1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_not00021)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_not00021)) - (portRef I0 (instanceRef uart_inst_fifo_instance__and000011)) - (portRef I2 (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - (portRef I1 (instanceRef crc16_inst_data_q_not00011)) - (portRef I1 (instanceRef zpuino_io_io_cyc_or0000_inv1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_ien_q_or00001)) - (portRef I0 (instanceRef zpuino_core_prefr_pc_or0000_inv1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_served_q_not00011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000119)) - (portRef I0 (instanceRef zpuino_core_prefr_valid_or00001)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011)) - (portRef I0 (instanceRef uart_inst_tx_timer_clkout_or00001)) - (portRef I0 (instanceRef slot11_tx_timer_clkout_or00001)) - (portRef I0 (instanceRef uart_inst_tx_core_t_r_not00011)) - (portRef I0 (instanceRef slot11_tx_core_t_r_not00011)) - (portRef I0 (instanceRef slot1_zspi_read_reg_q_not00011)) - (portRef I0 (instanceRef slot0_zspi_read_reg_q_not00011)) - (portRef I0 (instanceRef slot1_zspiclk_clk_i_or00001)) - (portRef I0 (instanceRef slot0_zspiclk_clk_i_or00001)) - (portRef I0 (instanceRef uart_inst_rx_timer_clkout_or00001)) - (portRef I0 (instanceRef slot11_rx_timer_clkout_or00001)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - (portRef I0 (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - (portRef I2 (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - (portRef I0 (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - (portRef I2 (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - (portRef I0 (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - (portRef I0 (instanceRef slot0_spi_en_or0000_renamed_2173)) - (portRef I0 (instanceRef slot1_spi_en_or0000_renamed_2174)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133)) - (portRef I1 (instanceRef zpuino_rom_wb_cti_i_0_1)) - (portRef I1 (instanceRef zpuino_core_shl_output_not00011)) - (portRef I0 (instanceRef zpuino_io_addr_save_q_not00011)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - (portRef I0 (instanceRef slot1_zspiclk_running_q_or00001)) - (portRef I0 (instanceRef slot0_zspiclk_running_q_or00001)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - (portRef I1 (instanceRef rstgen_rstout_or00001)) - (portRef I2 (instanceRef zpuino_io_io_stb_not00011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - (portRef I1 (instanceRef rstgen_rstout_or00001_1_renamed_2219)) - ) - ) - (net (rename clkgen_inst_rst2_q "clkgen_inst/rst2_q") - (joined - (portRef Q (instanceRef clkgen_inst_rst2_q_renamed_811)) - (portRef D (instanceRef clkgen_inst_rst1_q_renamed_810)) - ) - ) - (net (rename clkgen_inst_vgaclk_0_b "clkgen_inst/vgaclk_0_b") - (joined - (portRef I (instanceRef clkgen_inst_vgaclkfb_inst)) - (portRef CLK0 (instanceRef clkgen_inst_VGADCM_inst)) - ) - ) - (net (rename clkgen_inst_vgaclk_fb "clkgen_inst/vgaclk_fb") - (joined - (portRef O (instanceRef clkgen_inst_vgaclkfb_inst)) - (portRef CLKFB (instanceRef clkgen_inst_VGADCM_inst)) - ) - ) - (net (rename clkgen_inst_vgaclk_fx_b "clkgen_inst/vgaclk_fx_b") - (joined - (portRef I (instanceRef clkgen_inst_vgaclkfx_inst)) - (portRef CLKFX (instanceRef clkgen_inst_VGADCM_inst)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_0__3 "crc16_inst/Mmux_wb_dat_o<0>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_0__3_renamed_792)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_0__4 "crc16_inst/Mmux_wb_dat_o<0>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_0__4_renamed_793)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_10__3 "crc16_inst/Mmux_wb_dat_o<10>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_10__3_renamed_774)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_10__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_10__4 "crc16_inst/Mmux_wb_dat_o<10>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_10__4_renamed_775)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_10__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_11__3 "crc16_inst/Mmux_wb_dat_o<11>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_11__3_renamed_772)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_11__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_11__4 "crc16_inst/Mmux_wb_dat_o<11>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_11__4_renamed_773)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_11__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_12__3 "crc16_inst/Mmux_wb_dat_o<12>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_12__3_renamed_770)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_12__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_12__4 "crc16_inst/Mmux_wb_dat_o<12>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_12__4_renamed_771)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_12__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_13__3 "crc16_inst/Mmux_wb_dat_o<13>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_13__3_renamed_768)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_13__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_13__4 "crc16_inst/Mmux_wb_dat_o<13>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_13__4_renamed_769)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_13__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_14__3 "crc16_inst/Mmux_wb_dat_o<14>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_14__3_renamed_766)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_14__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_14__4 "crc16_inst/Mmux_wb_dat_o<14>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_14__4_renamed_767)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_14__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_15__3 "crc16_inst/Mmux_wb_dat_o<15>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_15__3_renamed_764)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_15__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_15__4 "crc16_inst/Mmux_wb_dat_o<15>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_15__4_renamed_765)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_15__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_1__3 "crc16_inst/Mmux_wb_dat_o<1>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_1__3_renamed_794)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_1__4 "crc16_inst/Mmux_wb_dat_o<1>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_1__4_renamed_795)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_2__3 "crc16_inst/Mmux_wb_dat_o<2>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_2__3_renamed_790)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_2__4 "crc16_inst/Mmux_wb_dat_o<2>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_2__4_renamed_791)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_3__3 "crc16_inst/Mmux_wb_dat_o<3>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_3__3_renamed_788)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_3__4 "crc16_inst/Mmux_wb_dat_o<3>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_3__4_renamed_789)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_4__3 "crc16_inst/Mmux_wb_dat_o<4>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_4__3_renamed_786)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_4__4 "crc16_inst/Mmux_wb_dat_o<4>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_4__4_renamed_787)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_5__3 "crc16_inst/Mmux_wb_dat_o<5>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_5__3_renamed_784)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_5__4 "crc16_inst/Mmux_wb_dat_o<5>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_5__4_renamed_785)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_6__3 "crc16_inst/Mmux_wb_dat_o<6>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_6__3_renamed_782)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_6__4 "crc16_inst/Mmux_wb_dat_o<6>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_6__4_renamed_783)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_7__3 "crc16_inst/Mmux_wb_dat_o<7>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_7__3_renamed_780)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_7__4 "crc16_inst/Mmux_wb_dat_o<7>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_7__4_renamed_781)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_8__3 "crc16_inst/Mmux_wb_dat_o<8>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_8__3_renamed_778)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_8__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_8__4 "crc16_inst/Mmux_wb_dat_o<8>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_8__4_renamed_779)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_8__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_9__3 "crc16_inst/Mmux_wb_dat_o<9>_3") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_9__3_renamed_776)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net (rename crc16_inst_Mmux_wb_dat_o_9__4 "crc16_inst/Mmux_wb_dat_o<9>_4") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_9__4_renamed_777)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net (rename crc16_inst_N0 "crc16_inst/N0") - (joined - (portRef O (instanceRef crc16_inst_data_q_and000011)) - (portRef I3 (instanceRef crc16_inst_data_q_not00011)) - ) - ) - (net (rename crc16_inst_count_q_0_ "crc16_inst/count_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_count_q_0)) - (portRef I1 (instanceRef crc16_inst_count_q_mux0001_2_1)) - (portRef I1 (instanceRef crc16_inst_count_q_mux0001_1_1)) - (portRef I2 (instanceRef crc16_inst_count_q_mux0001_0_1)) - (portRef I2 (instanceRef crc16_inst_ready_q_not0001_F)) - ) - ) - (net (rename crc16_inst_count_q_1_ "crc16_inst/count_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_count_q_1)) - (portRef I2 (instanceRef crc16_inst_count_q_mux0001_1_1)) - (portRef I3 (instanceRef crc16_inst_count_q_mux0001_0_1)) - (portRef I1 (instanceRef crc16_inst_ready_q_not0001_F)) - ) - ) - (net (rename crc16_inst_count_q_2_ "crc16_inst/count_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_count_q_2)) - (portRef I1 (instanceRef crc16_inst_count_q_mux0001_0_1)) - (portRef I0 (instanceRef crc16_inst_ready_q_not0001_F)) - ) - ) - (net (rename crc16_inst_count_q_mux0001_0_ "crc16_inst/count_q_mux0001<0>") - (joined - (portRef D (instanceRef crc16_inst_count_q_2)) - (portRef O (instanceRef crc16_inst_count_q_mux0001_0_1)) - ) - ) - (net (rename crc16_inst_count_q_mux0001_1_ "crc16_inst/count_q_mux0001<1>") - (joined - (portRef D (instanceRef crc16_inst_count_q_1)) - (portRef O (instanceRef crc16_inst_count_q_mux0001_1_1)) - ) - ) - (net (rename crc16_inst_count_q_mux0001_2_ "crc16_inst/count_q_mux0001<2>") - (joined - (portRef D (instanceRef crc16_inst_count_q_0)) - (portRef O (instanceRef crc16_inst_count_q_mux0001_2_1)) - ) - ) - (net (rename crc16_inst_crcA_q_0_ "crc16_inst/crcA_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_0)) - (portRef D (instanceRef crc16_inst_crcB_q_0)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_0__3_renamed_792)) - ) - ) - (net (rename crc16_inst_crcA_q_1_ "crc16_inst/crcA_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_1)) - (portRef D (instanceRef crc16_inst_crcB_q_1)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_1__3_renamed_794)) - ) - ) - (net (rename crc16_inst_crcA_q_10_ "crc16_inst/crcA_q<10>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_10)) - (portRef D (instanceRef crc16_inst_crcB_q_10)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_10__3_renamed_774)) - ) - ) - (net (rename crc16_inst_crcA_q_11_ "crc16_inst/crcA_q<11>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_11)) - (portRef D (instanceRef crc16_inst_crcB_q_11)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_11__3_renamed_772)) - ) - ) - (net (rename crc16_inst_crcA_q_12_ "crc16_inst/crcA_q<12>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_12)) - (portRef D (instanceRef crc16_inst_crcB_q_12)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_12__3_renamed_770)) - ) - ) - (net (rename crc16_inst_crcA_q_13_ "crc16_inst/crcA_q<13>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_13)) - (portRef D (instanceRef crc16_inst_crcB_q_13)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_13__3_renamed_768)) - ) - ) - (net (rename crc16_inst_crcA_q_14_ "crc16_inst/crcA_q<14>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_14)) - (portRef D (instanceRef crc16_inst_crcB_q_14)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_14__3_renamed_766)) - ) - ) - (net (rename crc16_inst_crcA_q_15_ "crc16_inst/crcA_q<15>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_15)) - (portRef D (instanceRef crc16_inst_crcB_q_15)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_15__3_renamed_764)) - ) - ) - (net (rename crc16_inst_crcA_q_2_ "crc16_inst/crcA_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_2)) - (portRef D (instanceRef crc16_inst_crcB_q_2)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_2__3_renamed_790)) - ) - ) - (net (rename crc16_inst_crcA_q_3_ "crc16_inst/crcA_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_3)) - (portRef D (instanceRef crc16_inst_crcB_q_3)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_3__3_renamed_788)) - ) - ) - (net (rename crc16_inst_crcA_q_4_ "crc16_inst/crcA_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_4)) - (portRef D (instanceRef crc16_inst_crcB_q_4)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_4__3_renamed_786)) - ) - ) - (net (rename crc16_inst_crcA_q_5_ "crc16_inst/crcA_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_5)) - (portRef D (instanceRef crc16_inst_crcB_q_5)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_5__3_renamed_784)) - ) - ) - (net (rename crc16_inst_crcA_q_6_ "crc16_inst/crcA_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_6)) - (portRef D (instanceRef crc16_inst_crcB_q_6)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_6__3_renamed_782)) - ) - ) - (net (rename crc16_inst_crcA_q_7_ "crc16_inst/crcA_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_7)) - (portRef D (instanceRef crc16_inst_crcB_q_7)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_7__3_renamed_780)) - ) - ) - (net (rename crc16_inst_crcA_q_8_ "crc16_inst/crcA_q<8>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_8)) - (portRef D (instanceRef crc16_inst_crcB_q_8)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_8__3_renamed_778)) - ) - ) - (net (rename crc16_inst_crcA_q_9_ "crc16_inst/crcA_q<9>") - (joined - (portRef Q (instanceRef crc16_inst_crcA_q_9)) - (portRef D (instanceRef crc16_inst_crcB_q_9)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_9__3_renamed_776)) - ) - ) - (net (rename crc16_inst_crcA_q_not0001 "crc16_inst/crcA_q_not0001") - (joined - (portRef CE (instanceRef crc16_inst_crcA_q_0)) - (portRef CE (instanceRef crc16_inst_crcA_q_1)) - (portRef CE (instanceRef crc16_inst_crcA_q_2)) - (portRef CE (instanceRef crc16_inst_crcA_q_3)) - (portRef CE (instanceRef crc16_inst_crcA_q_4)) - (portRef CE (instanceRef crc16_inst_crcA_q_5)) - (portRef CE (instanceRef crc16_inst_crcA_q_6)) - (portRef CE (instanceRef crc16_inst_crcA_q_7)) - (portRef CE (instanceRef crc16_inst_crcA_q_8)) - (portRef CE (instanceRef crc16_inst_crcA_q_9)) - (portRef CE (instanceRef crc16_inst_crcA_q_10)) - (portRef CE (instanceRef crc16_inst_crcA_q_11)) - (portRef CE (instanceRef crc16_inst_crcA_q_12)) - (portRef CE (instanceRef crc16_inst_crcA_q_13)) - (portRef CE (instanceRef crc16_inst_crcA_q_14)) - (portRef CE (instanceRef crc16_inst_crcA_q_15)) - (portRef CE (instanceRef crc16_inst_crcB_q_0)) - (portRef CE (instanceRef crc16_inst_crcB_q_1)) - (portRef CE (instanceRef crc16_inst_crcB_q_2)) - (portRef CE (instanceRef crc16_inst_crcB_q_3)) - (portRef CE (instanceRef crc16_inst_crcB_q_4)) - (portRef CE (instanceRef crc16_inst_crcB_q_5)) - (portRef CE (instanceRef crc16_inst_crcB_q_6)) - (portRef CE (instanceRef crc16_inst_crcB_q_7)) - (portRef CE (instanceRef crc16_inst_crcB_q_8)) - (portRef CE (instanceRef crc16_inst_crcB_q_9)) - (portRef CE (instanceRef crc16_inst_crcB_q_10)) - (portRef CE (instanceRef crc16_inst_crcB_q_11)) - (portRef CE (instanceRef crc16_inst_crcB_q_12)) - (portRef CE (instanceRef crc16_inst_crcB_q_13)) - (portRef CE (instanceRef crc16_inst_crcB_q_14)) - (portRef CE (instanceRef crc16_inst_crcB_q_15)) - (portRef O (instanceRef crc16_inst_crcA_q_not00011)) - ) - ) - (net (rename crc16_inst_crcB_q_0_ "crc16_inst/crcB_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_0)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_0__3_renamed_792)) - ) - ) - (net (rename crc16_inst_crcB_q_1_ "crc16_inst/crcB_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_1)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_1__3_renamed_794)) - ) - ) - (net (rename crc16_inst_crcB_q_10_ "crc16_inst/crcB_q<10>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_10)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_10__3_renamed_774)) - ) - ) - (net (rename crc16_inst_crcB_q_11_ "crc16_inst/crcB_q<11>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_11)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_11__3_renamed_772)) - ) - ) - (net (rename crc16_inst_crcB_q_12_ "crc16_inst/crcB_q<12>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_12)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_12__3_renamed_770)) - ) - ) - (net (rename crc16_inst_crcB_q_13_ "crc16_inst/crcB_q<13>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_13)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_13__3_renamed_768)) - ) - ) - (net (rename crc16_inst_crcB_q_14_ "crc16_inst/crcB_q<14>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_14)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_14__3_renamed_766)) - ) - ) - (net (rename crc16_inst_crcB_q_15_ "crc16_inst/crcB_q<15>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_15)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_15__3_renamed_764)) - ) - ) - (net (rename crc16_inst_crcB_q_2_ "crc16_inst/crcB_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_2)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_2__3_renamed_790)) - ) - ) - (net (rename crc16_inst_crcB_q_3_ "crc16_inst/crcB_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_3)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_3__3_renamed_788)) - ) - ) - (net (rename crc16_inst_crcB_q_4_ "crc16_inst/crcB_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_4)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_4__3_renamed_786)) - ) - ) - (net (rename crc16_inst_crcB_q_5_ "crc16_inst/crcB_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_5)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_5__3_renamed_784)) - ) - ) - (net (rename crc16_inst_crcB_q_6_ "crc16_inst/crcB_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_6)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_6__3_renamed_782)) - ) - ) - (net (rename crc16_inst_crcB_q_7_ "crc16_inst/crcB_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_7)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_7__3_renamed_780)) - ) - ) - (net (rename crc16_inst_crcB_q_8_ "crc16_inst/crcB_q<8>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_8)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_8__3_renamed_778)) - ) - ) - (net (rename crc16_inst_crcB_q_9_ "crc16_inst/crcB_q<9>") - (joined - (portRef Q (instanceRef crc16_inst_crcB_q_9)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_9__3_renamed_776)) - ) - ) - (net (rename crc16_inst_crc_q_0_ "crc16_inst/crc_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_0)) - (portRef D (instanceRef crc16_inst_crcA_q_0)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_0__4_renamed_793)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_15_12)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - ) - ) - (net (rename crc16_inst_crc_q_1_ "crc16_inst/crc_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_1)) - (portRef D (instanceRef crc16_inst_crcA_q_1)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_1__4_renamed_795)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - ) - ) - (net (rename crc16_inst_crc_q_10_ "crc16_inst/crc_q<10>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_10)) - (portRef D (instanceRef crc16_inst_crcA_q_10)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_10__4_renamed_775)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - ) - ) - (net (rename crc16_inst_crc_q_11_ "crc16_inst/crc_q<11>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_11)) - (portRef D (instanceRef crc16_inst_crcA_q_11)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_11__4_renamed_773)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - ) - ) - (net (rename crc16_inst_crc_q_12_ "crc16_inst/crc_q<12>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_12)) - (portRef D (instanceRef crc16_inst_crcA_q_12)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_12__4_renamed_771)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - ) - ) - (net (rename crc16_inst_crc_q_13_ "crc16_inst/crc_q<13>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_13)) - (portRef D (instanceRef crc16_inst_crcA_q_13)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_13__4_renamed_769)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - ) - ) - (net (rename crc16_inst_crc_q_14_ "crc16_inst/crc_q<14>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_14)) - (portRef D (instanceRef crc16_inst_crcA_q_14)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_14__4_renamed_767)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - ) - ) - (net (rename crc16_inst_crc_q_15_ "crc16_inst/crc_q<15>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_15)) - (portRef D (instanceRef crc16_inst_crcA_q_15)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_15__4_renamed_765)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - ) - ) - (net (rename crc16_inst_crc_q_2_ "crc16_inst/crc_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_2)) - (portRef D (instanceRef crc16_inst_crcA_q_2)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_2__4_renamed_791)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - ) - ) - (net (rename crc16_inst_crc_q_3_ "crc16_inst/crc_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_3)) - (portRef D (instanceRef crc16_inst_crcA_q_3)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_3__4_renamed_789)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - ) - ) - (net (rename crc16_inst_crc_q_4_ "crc16_inst/crc_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_4)) - (portRef D (instanceRef crc16_inst_crcA_q_4)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_4__4_renamed_787)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - ) - ) - (net (rename crc16_inst_crc_q_5_ "crc16_inst/crc_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_5)) - (portRef D (instanceRef crc16_inst_crcA_q_5)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_5__4_renamed_785)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - ) - ) - (net (rename crc16_inst_crc_q_6_ "crc16_inst/crc_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_6)) - (portRef D (instanceRef crc16_inst_crcA_q_6)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_6__4_renamed_783)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - ) - ) - (net (rename crc16_inst_crc_q_7_ "crc16_inst/crc_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_7)) - (portRef D (instanceRef crc16_inst_crcA_q_7)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_7__4_renamed_781)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - ) - ) - (net (rename crc16_inst_crc_q_8_ "crc16_inst/crc_q<8>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_8)) - (portRef D (instanceRef crc16_inst_crcA_q_8)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_8__4_renamed_779)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - ) - ) - (net (rename crc16_inst_crc_q_9_ "crc16_inst/crc_q<9>") - (joined - (portRef Q (instanceRef crc16_inst_crc_q_9)) - (portRef D (instanceRef crc16_inst_crcA_q_9)) - (portRef I1 (instanceRef crc16_inst_Mmux_wb_dat_o_9__4_renamed_777)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_0_ "crc16_inst/crc_q_mux0001<0>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_0)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_0__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_0_1 "crc16_inst/crc_q_mux0001<0>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_0__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_10_ "crc16_inst/crc_q_mux0001<10>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_10)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_10__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_10_1 "crc16_inst/crc_q_mux0001<10>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_10__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_11_ "crc16_inst/crc_q_mux0001<11>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_11)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_11__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_11_1 "crc16_inst/crc_q_mux0001<11>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_11__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_12_ "crc16_inst/crc_q_mux0001<12>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_12)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_12__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_12_1 "crc16_inst/crc_q_mux0001<12>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_12__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_13_ "crc16_inst/crc_q_mux0001<13>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_13)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_13__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_13_1 "crc16_inst/crc_q_mux0001<13>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_13__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_14_ "crc16_inst/crc_q_mux0001<14>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_14)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_14__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_14_1 "crc16_inst/crc_q_mux0001<14>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_14__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_15_ "crc16_inst/crc_q_mux0001<15>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_15)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_15_1_f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_15_1 "crc16_inst/crc_q_mux0001<15>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_15_1_f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_15_11 "crc16_inst/crc_q_mux0001<15>11") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_15_12)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_15_1_f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_1_ "crc16_inst/crc_q_mux0001<1>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_1)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_1__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_1_1 "crc16_inst/crc_q_mux0001<1>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_1__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_2_ "crc16_inst/crc_q_mux0001<2>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_2)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_2__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_2_1 "crc16_inst/crc_q_mux0001<2>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_2__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_3_ "crc16_inst/crc_q_mux0001<3>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_3)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_3__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_3_1 "crc16_inst/crc_q_mux0001<3>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_3__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_4_ "crc16_inst/crc_q_mux0001<4>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_4)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_4__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_4_1 "crc16_inst/crc_q_mux0001<4>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_4__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_5_ "crc16_inst/crc_q_mux0001<5>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_5)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_5__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_5_1 "crc16_inst/crc_q_mux0001<5>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_5__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_6_ "crc16_inst/crc_q_mux0001<6>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_6)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_6__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_6_1 "crc16_inst/crc_q_mux0001<6>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_6__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_7_ "crc16_inst/crc_q_mux0001<7>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_7)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_7__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_7_1 "crc16_inst/crc_q_mux0001<7>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_7__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_8_ "crc16_inst/crc_q_mux0001<8>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_8)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_8__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_8_1 "crc16_inst/crc_q_mux0001<8>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_8__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_9_ "crc16_inst/crc_q_mux0001<9>") - (joined - (portRef D (instanceRef crc16_inst_crc_q_9)) - (portRef O (instanceRef crc16_inst_crc_q_mux0001_9__f5)) - ) - ) - (net (rename crc16_inst_crc_q_mux0001_9_1 "crc16_inst/crc_q_mux0001<9>1") - (joined - (portRef O (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_9__f5)) - ) - ) - (net (rename crc16_inst_crc_q_not0002 "crc16_inst/crc_q_not0002") - (joined - (portRef CE (instanceRef crc16_inst_crc_q_0)) - (portRef CE (instanceRef crc16_inst_crc_q_1)) - (portRef CE (instanceRef crc16_inst_crc_q_2)) - (portRef CE (instanceRef crc16_inst_crc_q_3)) - (portRef CE (instanceRef crc16_inst_crc_q_4)) - (portRef CE (instanceRef crc16_inst_crc_q_5)) - (portRef CE (instanceRef crc16_inst_crc_q_6)) - (portRef CE (instanceRef crc16_inst_crc_q_7)) - (portRef CE (instanceRef crc16_inst_crc_q_8)) - (portRef CE (instanceRef crc16_inst_crc_q_9)) - (portRef CE (instanceRef crc16_inst_crc_q_10)) - (portRef CE (instanceRef crc16_inst_crc_q_11)) - (portRef CE (instanceRef crc16_inst_crc_q_12)) - (portRef CE (instanceRef crc16_inst_crc_q_13)) - (portRef CE (instanceRef crc16_inst_crc_q_14)) - (portRef CE (instanceRef crc16_inst_crc_q_15)) - (portRef O (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - ) - ) - (net (rename crc16_inst_data_q_0_ "crc16_inst/data_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_0)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_15_12)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - (portRef I2 (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - ) - ) - (net (rename crc16_inst_data_q_1_ "crc16_inst/data_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_1)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_0_1)) - ) - ) - (net (rename crc16_inst_data_q_2_ "crc16_inst/data_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_2)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_1_1)) - ) - ) - (net (rename crc16_inst_data_q_3_ "crc16_inst/data_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_3)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_2_1)) - ) - ) - (net (rename crc16_inst_data_q_4_ "crc16_inst/data_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_4)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_3_1)) - ) - ) - (net (rename crc16_inst_data_q_5_ "crc16_inst/data_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_5)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_4_1)) - ) - ) - (net (rename crc16_inst_data_q_6_ "crc16_inst/data_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_6)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_5_1)) - ) - ) - (net (rename crc16_inst_data_q_7_ "crc16_inst/data_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_data_q_7)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_6_1)) - ) - ) - (net (rename crc16_inst_data_q_and0001 "crc16_inst/data_q_and0001") - (joined - (portRef O (instanceRef crc16_inst_data_q_and00011)) - (portRef I1 (instanceRef crc16_inst_data_q_and000011)) - (portRef I1 (instanceRef crc16_inst_crcA_q_not00011)) - (portRef I1 (instanceRef crc16_inst_ready_q_not0001_G)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_0_ "crc16_inst/data_q_mux0000<0>") - (joined - (portRef D (instanceRef crc16_inst_data_q_0)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_0_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_1_ "crc16_inst/data_q_mux0000<1>") - (joined - (portRef D (instanceRef crc16_inst_data_q_1)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_1_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_2_ "crc16_inst/data_q_mux0000<2>") - (joined - (portRef D (instanceRef crc16_inst_data_q_2)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_2_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_3_ "crc16_inst/data_q_mux0000<3>") - (joined - (portRef D (instanceRef crc16_inst_data_q_3)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_3_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_4_ "crc16_inst/data_q_mux0000<4>") - (joined - (portRef D (instanceRef crc16_inst_data_q_4)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_4_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_5_ "crc16_inst/data_q_mux0000<5>") - (joined - (portRef D (instanceRef crc16_inst_data_q_5)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_5_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_6_ "crc16_inst/data_q_mux0000<6>") - (joined - (portRef D (instanceRef crc16_inst_data_q_6)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_6_1)) - ) - ) - (net (rename crc16_inst_data_q_mux0000_7_ "crc16_inst/data_q_mux0000<7>") - (joined - (portRef D (instanceRef crc16_inst_data_q_7)) - (portRef O (instanceRef crc16_inst_data_q_mux0000_7_1)) - ) - ) - (net (rename crc16_inst_data_q_not0001 "crc16_inst/data_q_not0001") - (joined - (portRef CE (instanceRef crc16_inst_data_q_0)) - (portRef CE (instanceRef crc16_inst_data_q_1)) - (portRef CE (instanceRef crc16_inst_data_q_2)) - (portRef CE (instanceRef crc16_inst_data_q_3)) - (portRef CE (instanceRef crc16_inst_data_q_4)) - (portRef CE (instanceRef crc16_inst_data_q_5)) - (portRef CE (instanceRef crc16_inst_data_q_6)) - (portRef CE (instanceRef crc16_inst_data_q_7)) - (portRef CE (instanceRef crc16_inst_count_q_0)) - (portRef CE (instanceRef crc16_inst_count_q_1)) - (portRef CE (instanceRef crc16_inst_count_q_2)) - (portRef O (instanceRef crc16_inst_data_q_not00011)) - ) - ) - (net (rename crc16_inst_poly_q_0_ "crc16_inst/poly_q<0>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_0)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_0__4_renamed_793)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_0_1_renamed_2248)) - ) - ) - (net (rename crc16_inst_poly_q_1_ "crc16_inst/poly_q<1>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_1)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_1__4_renamed_795)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_1_1_renamed_2242)) - ) - ) - (net (rename crc16_inst_poly_q_10_ "crc16_inst/poly_q<10>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_10)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_10__4_renamed_775)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_10_1_renamed_2247)) - ) - ) - (net (rename crc16_inst_poly_q_11_ "crc16_inst/poly_q<11>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_11)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_11__4_renamed_773)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_11_1_renamed_2246)) - ) - ) - (net (rename crc16_inst_poly_q_12_ "crc16_inst/poly_q<12>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_12)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_12__4_renamed_771)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_12_1_renamed_2245)) - ) - ) - (net (rename crc16_inst_poly_q_13_ "crc16_inst/poly_q<13>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_13)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_13__4_renamed_769)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_13_1_renamed_2244)) - ) - ) - (net (rename crc16_inst_poly_q_14_ "crc16_inst/poly_q<14>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_14)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_14__4_renamed_767)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_14_1_renamed_2243)) - ) - ) - (net (rename crc16_inst_poly_q_15_ "crc16_inst/poly_q<15>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_15)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_15__4_renamed_765)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I0 (instanceRef crc16_inst_crc_q_mux0001_15_12)) - ) - ) - (net (rename crc16_inst_poly_q_2_ "crc16_inst/poly_q<2>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_2)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_2__4_renamed_791)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_2_1_renamed_2241)) - ) - ) - (net (rename crc16_inst_poly_q_3_ "crc16_inst/poly_q<3>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_3)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_3__4_renamed_789)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_3_1_renamed_2240)) - ) - ) - (net (rename crc16_inst_poly_q_4_ "crc16_inst/poly_q<4>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_4)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_4__4_renamed_787)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_4_1_renamed_2239)) - ) - ) - (net (rename crc16_inst_poly_q_5_ "crc16_inst/poly_q<5>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_5)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_5__4_renamed_785)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_5_1_renamed_2238)) - ) - ) - (net (rename crc16_inst_poly_q_6_ "crc16_inst/poly_q<6>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_6)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_6__4_renamed_783)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_6_1_renamed_2237)) - ) - ) - (net (rename crc16_inst_poly_q_7_ "crc16_inst/poly_q<7>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_7)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_7__4_renamed_781)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_7_1_renamed_2236)) - ) - ) - (net (rename crc16_inst_poly_q_8_ "crc16_inst/poly_q<8>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_8)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_8__4_renamed_779)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_8_1_renamed_2235)) - ) - ) - (net (rename crc16_inst_poly_q_9_ "crc16_inst/poly_q<9>") - (joined - (portRef Q (instanceRef crc16_inst_poly_q_9)) - (portRef I2 (instanceRef crc16_inst_Mmux_wb_dat_o_9__4_renamed_777)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_9_1_renamed_2234)) - ) - ) - (net (rename crc16_inst_poly_q_not0001 "crc16_inst/poly_q_not0001") - (joined - (portRef CE (instanceRef crc16_inst_poly_q_0)) - (portRef CE (instanceRef crc16_inst_poly_q_1)) - (portRef CE (instanceRef crc16_inst_poly_q_2)) - (portRef CE (instanceRef crc16_inst_poly_q_3)) - (portRef CE (instanceRef crc16_inst_poly_q_4)) - (portRef CE (instanceRef crc16_inst_poly_q_5)) - (portRef CE (instanceRef crc16_inst_poly_q_6)) - (portRef CE (instanceRef crc16_inst_poly_q_7)) - (portRef CE (instanceRef crc16_inst_poly_q_8)) - (portRef CE (instanceRef crc16_inst_poly_q_9)) - (portRef CE (instanceRef crc16_inst_poly_q_10)) - (portRef CE (instanceRef crc16_inst_poly_q_11)) - (portRef CE (instanceRef crc16_inst_poly_q_12)) - (portRef CE (instanceRef crc16_inst_poly_q_13)) - (portRef CE (instanceRef crc16_inst_poly_q_14)) - (portRef CE (instanceRef crc16_inst_poly_q_15)) - (portRef O (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - ) - ) - (net (rename crc16_inst_ready_q "crc16_inst/ready_q") - (joined - (portRef Q (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_7_1)) - (portRef I0 (instanceRef crc16_inst_count_q_mux0001_2_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_6_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_5_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_4_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_3_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_2_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_1_1)) - (portRef I0 (instanceRef crc16_inst_data_q_mux0000_0_1)) - (portRef I0 (instanceRef crc16_inst_count_q_mux0001_1_1)) - (portRef I0 (instanceRef crc16_inst_count_q_mux0001_0_1)) - (portRef I0 (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - (portRef I0 (instanceRef crc16_inst_poly_q_not000111)) - (portRef I0 (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - (portRef I0 (instanceRef crc16_inst_data_q_not00011)) - (portRef S (instanceRef crc16_inst_ready_q_not0001_renamed_2216)) - (portRef I (instanceRef crc16_inst_ready_q_mux00001_INV_0)) - (portRef I3 (instanceRef crc16_inst_crc_q_mux0001_15_11_renamed_2220)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_15_12)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_9__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_8__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_7__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_6__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_5__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_4__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_3__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_2__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_1__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_14__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_13__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_12__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_11__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_10__f5)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_0__f5)) - ) - ) - (net (rename crc16_inst_ready_q_mux0000 "crc16_inst/ready_q_mux0000") - (joined - (portRef D (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef O (instanceRef crc16_inst_ready_q_mux00001_INV_0)) - ) - ) - (net (rename crc16_inst_ready_q_not0001 "crc16_inst/ready_q_not0001") - (joined - (portRef CE (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef O (instanceRef crc16_inst_ready_q_not0001_renamed_2216)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f5 "gpio_inst/Mmux_wb_dat_o_3_f5") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f51 "gpio_inst/Mmux_wb_dat_o_3_f51") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_0)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f510 "gpio_inst/Mmux_wb_dat_o_3_f510") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_9)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_9)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f511 "gpio_inst/Mmux_wb_dat_o_3_f511") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_10)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f512 "gpio_inst/Mmux_wb_dat_o_3_f512") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_11)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_11)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f513 "gpio_inst/Mmux_wb_dat_o_3_f513") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_12)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_12)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f514 "gpio_inst/Mmux_wb_dat_o_3_f514") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_13)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_13)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f515 "gpio_inst/Mmux_wb_dat_o_3_f515") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_14)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f516 "gpio_inst/Mmux_wb_dat_o_3_f516") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_15)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f517 "gpio_inst/Mmux_wb_dat_o_3_f517") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_16)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f518 "gpio_inst/Mmux_wb_dat_o_3_f518") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_17)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f519 "gpio_inst/Mmux_wb_dat_o_3_f519") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_18)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f52 "gpio_inst/Mmux_wb_dat_o_3_f52") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_1)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f520 "gpio_inst/Mmux_wb_dat_o_3_f520") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_19)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f521 "gpio_inst/Mmux_wb_dat_o_3_f521") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_20)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f522 "gpio_inst/Mmux_wb_dat_o_3_f522") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_21)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f523 "gpio_inst/Mmux_wb_dat_o_3_f523") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_22)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f524 "gpio_inst/Mmux_wb_dat_o_3_f524") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_23)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f525 "gpio_inst/Mmux_wb_dat_o_3_f525") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_24)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f526 "gpio_inst/Mmux_wb_dat_o_3_f526") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_25)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f527 "gpio_inst/Mmux_wb_dat_o_3_f527") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_26)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f528 "gpio_inst/Mmux_wb_dat_o_3_f528") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_27)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f529 "gpio_inst/Mmux_wb_dat_o_3_f529") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_28)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f53 "gpio_inst/Mmux_wb_dat_o_3_f53") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_2)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f530 "gpio_inst/Mmux_wb_dat_o_3_f530") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_29)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f531 "gpio_inst/Mmux_wb_dat_o_3_f531") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_30)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f54 "gpio_inst/Mmux_wb_dat_o_3_f54") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_3)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f55 "gpio_inst/Mmux_wb_dat_o_3_f55") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_4)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f56 "gpio_inst/Mmux_wb_dat_o_3_f56") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_5)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f57 "gpio_inst/Mmux_wb_dat_o_3_f57") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_6)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f58 "gpio_inst/Mmux_wb_dat_o_3_f58") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_7)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_7)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_3_f59 "gpio_inst/Mmux_wb_dat_o_3_f59") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_8)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_8)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4 "gpio_inst/Mmux_wb_dat_o_4") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_renamed_423)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_41 "gpio_inst/Mmux_wb_dat_o_41") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_41_renamed_429)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_410 "gpio_inst/Mmux_wb_dat_o_410") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_412_renamed_465)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_9)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_411 "gpio_inst/Mmux_wb_dat_o_411") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_414_renamed_469)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_412 "gpio_inst/Mmux_wb_dat_o_412") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_415_renamed_473)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_11)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_413 "gpio_inst/Mmux_wb_dat_o_413") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_417_renamed_477)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_12)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_414 "gpio_inst/Mmux_wb_dat_o_414") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_419_renamed_481)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_13)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_415 "gpio_inst/Mmux_wb_dat_o_415") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_421_renamed_485)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_416 "gpio_inst/Mmux_wb_dat_o_416") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_423_renamed_488)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_417 "gpio_inst/Mmux_wb_dat_o_417") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_424_renamed_492)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_418 "gpio_inst/Mmux_wb_dat_o_418") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_425_renamed_496)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_419 "gpio_inst/Mmux_wb_dat_o_419") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_426_renamed_500)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_42 "gpio_inst/Mmux_wb_dat_o_42") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_42_renamed_433)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_420 "gpio_inst/Mmux_wb_dat_o_420") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_427_renamed_504)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_421 "gpio_inst/Mmux_wb_dat_o_421") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_428_renamed_508)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_422 "gpio_inst/Mmux_wb_dat_o_422") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_429_renamed_512)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_423 "gpio_inst/Mmux_wb_dat_o_423") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_430_renamed_516)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_424 "gpio_inst/Mmux_wb_dat_o_424") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_431_renamed_520)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_425 "gpio_inst/Mmux_wb_dat_o_425") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_432)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_426 "gpio_inst/Mmux_wb_dat_o_426") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_433)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_427 "gpio_inst/Mmux_wb_dat_o_427") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_434)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_428 "gpio_inst/Mmux_wb_dat_o_428") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_435)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_429 "gpio_inst/Mmux_wb_dat_o_429") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_436)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_43 "gpio_inst/Mmux_wb_dat_o_43") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_43_renamed_437)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_430 "gpio_inst/Mmux_wb_dat_o_430") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_437)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_431 "gpio_inst/Mmux_wb_dat_o_431") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_438)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_44 "gpio_inst/Mmux_wb_dat_o_44") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_44_renamed_441)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_45 "gpio_inst/Mmux_wb_dat_o_45") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_45_renamed_445)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_46 "gpio_inst/Mmux_wb_dat_o_46") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_46_renamed_449)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_47 "gpio_inst/Mmux_wb_dat_o_47") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_47_renamed_453)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_48 "gpio_inst/Mmux_wb_dat_o_48") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_48_renamed_457)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_7)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_49 "gpio_inst/Mmux_wb_dat_o_49") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_410_renamed_461)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_8)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5 "gpio_inst/Mmux_wb_dat_o_4_f5") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f51 "gpio_inst/Mmux_wb_dat_o_4_f51") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_0)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f510 "gpio_inst/Mmux_wb_dat_o_4_f510") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_9)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f511 "gpio_inst/Mmux_wb_dat_o_4_f511") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_10)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f512 "gpio_inst/Mmux_wb_dat_o_4_f512") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_11)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f513 "gpio_inst/Mmux_wb_dat_o_4_f513") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_12)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f514 "gpio_inst/Mmux_wb_dat_o_4_f514") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_13)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f515 "gpio_inst/Mmux_wb_dat_o_4_f515") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_14)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f516 "gpio_inst/Mmux_wb_dat_o_4_f516") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_15)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f517 "gpio_inst/Mmux_wb_dat_o_4_f517") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_16)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f518 "gpio_inst/Mmux_wb_dat_o_4_f518") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_17)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f519 "gpio_inst/Mmux_wb_dat_o_4_f519") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_18)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f52 "gpio_inst/Mmux_wb_dat_o_4_f52") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_1)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f520 "gpio_inst/Mmux_wb_dat_o_4_f520") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_19)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f521 "gpio_inst/Mmux_wb_dat_o_4_f521") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_20)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f522 "gpio_inst/Mmux_wb_dat_o_4_f522") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_21)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f523 "gpio_inst/Mmux_wb_dat_o_4_f523") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_22)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f524 "gpio_inst/Mmux_wb_dat_o_4_f524") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_23)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f525 "gpio_inst/Mmux_wb_dat_o_4_f525") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_24)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f526 "gpio_inst/Mmux_wb_dat_o_4_f526") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_25)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f527 "gpio_inst/Mmux_wb_dat_o_4_f527") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_26)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f528 "gpio_inst/Mmux_wb_dat_o_4_f528") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_27)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f529 "gpio_inst/Mmux_wb_dat_o_4_f529") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_28)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f53 "gpio_inst/Mmux_wb_dat_o_4_f53") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_2)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f530 "gpio_inst/Mmux_wb_dat_o_4_f530") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_29)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f531 "gpio_inst/Mmux_wb_dat_o_4_f531") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_30)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f54 "gpio_inst/Mmux_wb_dat_o_4_f54") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_3)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f55 "gpio_inst/Mmux_wb_dat_o_4_f55") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_4)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f56 "gpio_inst/Mmux_wb_dat_o_4_f56") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_5)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f57 "gpio_inst/Mmux_wb_dat_o_4_f57") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_6)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f58 "gpio_inst/Mmux_wb_dat_o_4_f58") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_7)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f59 "gpio_inst/Mmux_wb_dat_o_4_f59") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_8)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_11 "gpio_inst/Mmux_wb_dat_o_4_f5_11") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_111)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_12 "gpio_inst/Mmux_wb_dat_o_4_f5_12") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_121)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_13 "gpio_inst/Mmux_wb_dat_o_4_f5_13") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_131)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_7 "gpio_inst/Mmux_wb_dat_o_4_f5_7") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_71)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_8 "gpio_inst/Mmux_wb_dat_o_4_f5_8") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_81)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_4_f5_9 "gpio_inst/Mmux_wb_dat_o_4_f5_9") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_91)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_5 "gpio_inst/Mmux_wb_dat_o_5") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_5_renamed_424)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_51 "gpio_inst/Mmux_wb_dat_o_51") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_510 "gpio_inst/Mmux_wb_dat_o_510") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_510_renamed_446)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_511 "gpio_inst/Mmux_wb_dat_o_511") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_512 "gpio_inst/Mmux_wb_dat_o_512") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_512_renamed_450)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_513 "gpio_inst/Mmux_wb_dat_o_513") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_514 "gpio_inst/Mmux_wb_dat_o_514") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_514_renamed_454)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_515 "gpio_inst/Mmux_wb_dat_o_515") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_516 "gpio_inst/Mmux_wb_dat_o_516") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_516_renamed_458)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_7)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_517 "gpio_inst/Mmux_wb_dat_o_517") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_517_renamed_459)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_518 "gpio_inst/Mmux_wb_dat_o_518") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_518_renamed_462)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_8)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_519 "gpio_inst/Mmux_wb_dat_o_519") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_519_renamed_463)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_52 "gpio_inst/Mmux_wb_dat_o_52") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_52_renamed_430)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_520 "gpio_inst/Mmux_wb_dat_o_520") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_520_renamed_466)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_9)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_521 "gpio_inst/Mmux_wb_dat_o_521") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_521_renamed_467)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_522 "gpio_inst/Mmux_wb_dat_o_522") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_522_renamed_470)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_523 "gpio_inst/Mmux_wb_dat_o_523") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_524 "gpio_inst/Mmux_wb_dat_o_524") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_524_renamed_474)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_11)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_525 "gpio_inst/Mmux_wb_dat_o_525") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_525_renamed_475)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_526 "gpio_inst/Mmux_wb_dat_o_526") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_526_renamed_478)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_12)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_527 "gpio_inst/Mmux_wb_dat_o_527") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_527_renamed_479)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_528 "gpio_inst/Mmux_wb_dat_o_528") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_528_renamed_482)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_13)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_529 "gpio_inst/Mmux_wb_dat_o_529") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_529_renamed_483)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_53 "gpio_inst/Mmux_wb_dat_o_53") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_530 "gpio_inst/Mmux_wb_dat_o_530") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_530_renamed_486)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_531 "gpio_inst/Mmux_wb_dat_o_531") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_531_renamed_487)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_14)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_532 "gpio_inst/Mmux_wb_dat_o_532") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_532_renamed_489)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_533 "gpio_inst/Mmux_wb_dat_o_533") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_533_renamed_490)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_15)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_534 "gpio_inst/Mmux_wb_dat_o_534") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_534_renamed_493)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_535 "gpio_inst/Mmux_wb_dat_o_535") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_535_renamed_494)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_536 "gpio_inst/Mmux_wb_dat_o_536") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_536_renamed_497)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_537 "gpio_inst/Mmux_wb_dat_o_537") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_537_renamed_498)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_538 "gpio_inst/Mmux_wb_dat_o_538") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_538_renamed_501)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_539 "gpio_inst/Mmux_wb_dat_o_539") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_539_renamed_502)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_54 "gpio_inst/Mmux_wb_dat_o_54") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_54_renamed_434)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_540 "gpio_inst/Mmux_wb_dat_o_540") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_540_renamed_505)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_541 "gpio_inst/Mmux_wb_dat_o_541") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_541_renamed_506)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_542 "gpio_inst/Mmux_wb_dat_o_542") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_542_renamed_509)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_543 "gpio_inst/Mmux_wb_dat_o_543") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_543_renamed_510)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_544 "gpio_inst/Mmux_wb_dat_o_544") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_544_renamed_513)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_545 "gpio_inst/Mmux_wb_dat_o_545") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_546 "gpio_inst/Mmux_wb_dat_o_546") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_546_renamed_517)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_547 "gpio_inst/Mmux_wb_dat_o_547") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_547_renamed_518)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_548 "gpio_inst/Mmux_wb_dat_o_548") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_548_renamed_521)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_549 "gpio_inst/Mmux_wb_dat_o_549") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_549_renamed_522)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_55 "gpio_inst/Mmux_wb_dat_o_55") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_550 "gpio_inst/Mmux_wb_dat_o_550") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_550_renamed_524)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_551 "gpio_inst/Mmux_wb_dat_o_551") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_552 "gpio_inst/Mmux_wb_dat_o_552") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_552_renamed_527)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_553 "gpio_inst/Mmux_wb_dat_o_553") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_554 "gpio_inst/Mmux_wb_dat_o_554") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_554_renamed_530)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_555 "gpio_inst/Mmux_wb_dat_o_555") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_556 "gpio_inst/Mmux_wb_dat_o_556") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_556_renamed_533)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_557 "gpio_inst/Mmux_wb_dat_o_557") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_558 "gpio_inst/Mmux_wb_dat_o_558") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_558_renamed_536)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_559 "gpio_inst/Mmux_wb_dat_o_559") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_56 "gpio_inst/Mmux_wb_dat_o_56") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_56_renamed_438)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_560 "gpio_inst/Mmux_wb_dat_o_560") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_560_renamed_539)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_561 "gpio_inst/Mmux_wb_dat_o_561") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_562 "gpio_inst/Mmux_wb_dat_o_562") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_562_renamed_542)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_563 "gpio_inst/Mmux_wb_dat_o_563") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_57 "gpio_inst/Mmux_wb_dat_o_57") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_58 "gpio_inst/Mmux_wb_dat_o_58") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_58_renamed_442)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_59 "gpio_inst/Mmux_wb_dat_o_59") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_6 "gpio_inst/Mmux_wb_dat_o_6") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_61 "gpio_inst/Mmux_wb_dat_o_61") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_0)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_610 "gpio_inst/Mmux_wb_dat_o_610") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_610_renamed_495)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_16)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_611 "gpio_inst/Mmux_wb_dat_o_611") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_611_renamed_499)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_17)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_612 "gpio_inst/Mmux_wb_dat_o_612") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_612_renamed_503)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_18)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_613 "gpio_inst/Mmux_wb_dat_o_613") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_613_renamed_507)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_19)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_614 "gpio_inst/Mmux_wb_dat_o_614") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_614_renamed_511)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_20)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_615 "gpio_inst/Mmux_wb_dat_o_615") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_615_renamed_515)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_21)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_616 "gpio_inst/Mmux_wb_dat_o_616") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_616_renamed_519)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_22)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_617 "gpio_inst/Mmux_wb_dat_o_617") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_617_renamed_523)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_23)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_618 "gpio_inst/Mmux_wb_dat_o_618") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_618_renamed_526)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_24)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_619 "gpio_inst/Mmux_wb_dat_o_619") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_619_renamed_529)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_25)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_62 "gpio_inst/Mmux_wb_dat_o_62") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_1)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_620 "gpio_inst/Mmux_wb_dat_o_620") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_620_renamed_532)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_26)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_621 "gpio_inst/Mmux_wb_dat_o_621") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_621_renamed_535)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_27)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_622 "gpio_inst/Mmux_wb_dat_o_622") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_622_renamed_538)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_28)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_623 "gpio_inst/Mmux_wb_dat_o_623") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_29)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_624 "gpio_inst/Mmux_wb_dat_o_624") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_30)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_63 "gpio_inst/Mmux_wb_dat_o_63") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_2)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_64 "gpio_inst/Mmux_wb_dat_o_64") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_3)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_65 "gpio_inst/Mmux_wb_dat_o_65") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_4)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_66 "gpio_inst/Mmux_wb_dat_o_66") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_5)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_67 "gpio_inst/Mmux_wb_dat_o_67") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_67_renamed_456)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_6)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_68 "gpio_inst/Mmux_wb_dat_o_68") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_10)) - ) - ) - (net (rename gpio_inst_Mmux_wb_dat_o_69 "gpio_inst/Mmux_wb_dat_o_69") - (joined - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_69_renamed_491)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_15)) - ) - ) - (net (rename gpio_inst_gpio_o_0__ "gpio_inst/gpio_o<0>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_0)) - (portRef I (instanceRef WING_A_0_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux0002 "gpio_inst/gpio_o_0_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_0)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux0002112 "gpio_inst/gpio_o_0_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux0002163 "gpio_inst/gpio_o_0_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000224 "gpio_inst/gpio_o_0_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000235 "gpio_inst/gpio_o_0_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000235_renamed_1379)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000264 "gpio_inst/gpio_o_0_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000285 "gpio_inst/gpio_o_0_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net (rename gpio_inst_gpio_o_0_mux000294 "gpio_inst/gpio_o_0_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_0_mux000294_renamed_1381)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_1__ "gpio_inst/gpio_o<1>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_1)) - (portRef I (instanceRef WING_A_1_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_10__ "gpio_inst/gpio_o<10>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_10)) - (portRef I (instanceRef WING_A_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux0002 "gpio_inst/gpio_o_10_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_10)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux0002112 "gpio_inst/gpio_o_10_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux0002163 "gpio_inst/gpio_o_10_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000224 "gpio_inst/gpio_o_10_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000235 "gpio_inst/gpio_o_10_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000235_renamed_1375)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000264 "gpio_inst/gpio_o_10_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000285 "gpio_inst/gpio_o_10_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net (rename gpio_inst_gpio_o_10_mux000294 "gpio_inst/gpio_o_10_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_10_mux000294_renamed_1377)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_11__ "gpio_inst/gpio_o<11>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_11)) - (portRef I (instanceRef WING_A_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux0002 "gpio_inst/gpio_o_11_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_11)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux0002112 "gpio_inst/gpio_o_11_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux0002163 "gpio_inst/gpio_o_11_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000224 "gpio_inst/gpio_o_11_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000235 "gpio_inst/gpio_o_11_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000235_renamed_1371)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000264 "gpio_inst/gpio_o_11_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000285 "gpio_inst/gpio_o_11_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net (rename gpio_inst_gpio_o_11_mux000294 "gpio_inst/gpio_o_11_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_11_mux000294_renamed_1373)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_12__ "gpio_inst/gpio_o<12>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_12)) - (portRef I (instanceRef WING_A_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux0002 "gpio_inst/gpio_o_12_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_12)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux0002112 "gpio_inst/gpio_o_12_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux0002163 "gpio_inst/gpio_o_12_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000224 "gpio_inst/gpio_o_12_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000235 "gpio_inst/gpio_o_12_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000235_renamed_1367)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000264 "gpio_inst/gpio_o_12_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000285 "gpio_inst/gpio_o_12_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net (rename gpio_inst_gpio_o_12_mux000294 "gpio_inst/gpio_o_12_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_12_mux000294_renamed_1369)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_13__ "gpio_inst/gpio_o<13>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_13)) - (portRef I (instanceRef WING_A_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux0002 "gpio_inst/gpio_o_13_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_13)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux0002112 "gpio_inst/gpio_o_13_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux0002163 "gpio_inst/gpio_o_13_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000224 "gpio_inst/gpio_o_13_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000235 "gpio_inst/gpio_o_13_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000235_renamed_1363)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000264 "gpio_inst/gpio_o_13_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000285 "gpio_inst/gpio_o_13_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net (rename gpio_inst_gpio_o_13_mux000294 "gpio_inst/gpio_o_13_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_13_mux000294_renamed_1365)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_14__ "gpio_inst/gpio_o<14>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_14)) - (portRef I (instanceRef WING_A_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux0002 "gpio_inst/gpio_o_14_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_14)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux0002112 "gpio_inst/gpio_o_14_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux0002163 "gpio_inst/gpio_o_14_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000224 "gpio_inst/gpio_o_14_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000235 "gpio_inst/gpio_o_14_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000235_renamed_1359)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000264 "gpio_inst/gpio_o_14_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000285 "gpio_inst/gpio_o_14_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net (rename gpio_inst_gpio_o_14_mux000294 "gpio_inst/gpio_o_14_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_14_mux000294_renamed_1361)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_15__ "gpio_inst/gpio_o<15>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_15)) - (portRef I (instanceRef WING_A_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux0002 "gpio_inst/gpio_o_15_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_15)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux0002112 "gpio_inst/gpio_o_15_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux0002163 "gpio_inst/gpio_o_15_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000224 "gpio_inst/gpio_o_15_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000235 "gpio_inst/gpio_o_15_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000235_renamed_1355)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000264 "gpio_inst/gpio_o_15_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000285 "gpio_inst/gpio_o_15_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net (rename gpio_inst_gpio_o_15_mux000294 "gpio_inst/gpio_o_15_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_15_mux000294_renamed_1357)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux0002 "gpio_inst/gpio_o_1_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_1)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux0002112 "gpio_inst/gpio_o_1_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux0002163 "gpio_inst/gpio_o_1_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000224 "gpio_inst/gpio_o_1_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000235 "gpio_inst/gpio_o_1_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000235_renamed_1351)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000264 "gpio_inst/gpio_o_1_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000285 "gpio_inst/gpio_o_1_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net (rename gpio_inst_gpio_o_1_mux000294 "gpio_inst/gpio_o_1_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_1_mux000294_renamed_1353)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_2__ "gpio_inst/gpio_o<2>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_2)) - (portRef I (instanceRef WING_A_2_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_24__ "gpio_inst/gpio_o<24>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_24)) - (portRef I (instanceRef WING_B_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux0002 "gpio_inst/gpio_o_24_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_24)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux0002112 "gpio_inst/gpio_o_24_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux0002163 "gpio_inst/gpio_o_24_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000224 "gpio_inst/gpio_o_24_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000235 "gpio_inst/gpio_o_24_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000235_renamed_1347)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000264 "gpio_inst/gpio_o_24_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000285 "gpio_inst/gpio_o_24_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net (rename gpio_inst_gpio_o_24_mux000294 "gpio_inst/gpio_o_24_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_24_mux000294_renamed_1349)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_25__ "gpio_inst/gpio_o<25>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_25)) - (portRef I (instanceRef WING_B_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux0002 "gpio_inst/gpio_o_25_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_25)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux0002112 "gpio_inst/gpio_o_25_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux0002163 "gpio_inst/gpio_o_25_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000224 "gpio_inst/gpio_o_25_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000235 "gpio_inst/gpio_o_25_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000235_renamed_1343)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000264 "gpio_inst/gpio_o_25_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000285 "gpio_inst/gpio_o_25_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net (rename gpio_inst_gpio_o_25_mux000294 "gpio_inst/gpio_o_25_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_25_mux000294_renamed_1345)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_26__ "gpio_inst/gpio_o<26>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_26)) - (portRef I (instanceRef WING_B_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux0002 "gpio_inst/gpio_o_26_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_26)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux0002112 "gpio_inst/gpio_o_26_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux0002163 "gpio_inst/gpio_o_26_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000224 "gpio_inst/gpio_o_26_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000235 "gpio_inst/gpio_o_26_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000235_renamed_1339)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000264 "gpio_inst/gpio_o_26_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000285 "gpio_inst/gpio_o_26_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net (rename gpio_inst_gpio_o_26_mux000294 "gpio_inst/gpio_o_26_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_26_mux000294_renamed_1341)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_27__ "gpio_inst/gpio_o<27>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_27)) - (portRef I (instanceRef WING_B_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux0002 "gpio_inst/gpio_o_27_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_27)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux0002112 "gpio_inst/gpio_o_27_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux0002163 "gpio_inst/gpio_o_27_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000224 "gpio_inst/gpio_o_27_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000235 "gpio_inst/gpio_o_27_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000235_renamed_1335)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000264 "gpio_inst/gpio_o_27_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000285 "gpio_inst/gpio_o_27_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net (rename gpio_inst_gpio_o_27_mux000294 "gpio_inst/gpio_o_27_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_27_mux000294_renamed_1337)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_28__ "gpio_inst/gpio_o<28>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_28)) - (portRef I (instanceRef WING_B_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux0002 "gpio_inst/gpio_o_28_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_28)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux0002112 "gpio_inst/gpio_o_28_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux0002163 "gpio_inst/gpio_o_28_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000224 "gpio_inst/gpio_o_28_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000235 "gpio_inst/gpio_o_28_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000235_renamed_1331)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000264 "gpio_inst/gpio_o_28_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000285 "gpio_inst/gpio_o_28_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net (rename gpio_inst_gpio_o_28_mux000294 "gpio_inst/gpio_o_28_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_28_mux000294_renamed_1333)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_29__ "gpio_inst/gpio_o<29>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_29)) - (portRef I (instanceRef WING_B_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux0002 "gpio_inst/gpio_o_29_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_29)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux0002112 "gpio_inst/gpio_o_29_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux0002163 "gpio_inst/gpio_o_29_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000224 "gpio_inst/gpio_o_29_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000235 "gpio_inst/gpio_o_29_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000235_renamed_1327)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000264 "gpio_inst/gpio_o_29_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000285 "gpio_inst/gpio_o_29_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net (rename gpio_inst_gpio_o_29_mux000294 "gpio_inst/gpio_o_29_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_29_mux000294_renamed_1329)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux0002 "gpio_inst/gpio_o_2_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_2)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux0002112 "gpio_inst/gpio_o_2_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux0002163 "gpio_inst/gpio_o_2_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000224 "gpio_inst/gpio_o_2_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000235 "gpio_inst/gpio_o_2_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000235_renamed_1323)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000264 "gpio_inst/gpio_o_2_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000285 "gpio_inst/gpio_o_2_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net (rename gpio_inst_gpio_o_2_mux000294 "gpio_inst/gpio_o_2_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_2_mux000294_renamed_1325)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_3__ "gpio_inst/gpio_o<3>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_3)) - (portRef I (instanceRef WING_A_3_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_30__ "gpio_inst/gpio_o<30>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_30)) - (portRef I (instanceRef WING_B_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux0002 "gpio_inst/gpio_o_30_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_30)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux0002112 "gpio_inst/gpio_o_30_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux0002163 "gpio_inst/gpio_o_30_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000224 "gpio_inst/gpio_o_30_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000235 "gpio_inst/gpio_o_30_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000235_renamed_1319)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000264 "gpio_inst/gpio_o_30_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000285 "gpio_inst/gpio_o_30_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net (rename gpio_inst_gpio_o_30_mux000294 "gpio_inst/gpio_o_30_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_30_mux000294_renamed_1321)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_31__ "gpio_inst/gpio_o<31>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_31)) - (portRef I (instanceRef WING_B_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux0002 "gpio_inst/gpio_o_31_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_31)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux0002112 "gpio_inst/gpio_o_31_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux0002163 "gpio_inst/gpio_o_31_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000224 "gpio_inst/gpio_o_31_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000235 "gpio_inst/gpio_o_31_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000235_renamed_1315)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000264 "gpio_inst/gpio_o_31_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000285 "gpio_inst/gpio_o_31_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net (rename gpio_inst_gpio_o_31_mux000294 "gpio_inst/gpio_o_31_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_31_mux000294_renamed_1317)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_32__ "gpio_inst/gpio_o<32>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_32)) - (portRef I (instanceRef WING_C_0_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux0002 "gpio_inst/gpio_o_32_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_32)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux0002112 "gpio_inst/gpio_o_32_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux0002163 "gpio_inst/gpio_o_32_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000224 "gpio_inst/gpio_o_32_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000235 "gpio_inst/gpio_o_32_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000235_renamed_1311)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000264 "gpio_inst/gpio_o_32_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000285 "gpio_inst/gpio_o_32_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net (rename gpio_inst_gpio_o_32_mux000294 "gpio_inst/gpio_o_32_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_32_mux000294_renamed_1313)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_33__ "gpio_inst/gpio_o<33>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_33)) - (portRef I (instanceRef WING_C_1_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux0002 "gpio_inst/gpio_o_33_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_33)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux0002112 "gpio_inst/gpio_o_33_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux0002163 "gpio_inst/gpio_o_33_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000224 "gpio_inst/gpio_o_33_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000235 "gpio_inst/gpio_o_33_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000235_renamed_1307)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000264 "gpio_inst/gpio_o_33_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000285 "gpio_inst/gpio_o_33_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net (rename gpio_inst_gpio_o_33_mux000294 "gpio_inst/gpio_o_33_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_33_mux000294_renamed_1309)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux0002 "gpio_inst/gpio_o_3_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_3)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux0002112 "gpio_inst/gpio_o_3_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux0002163 "gpio_inst/gpio_o_3_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000224 "gpio_inst/gpio_o_3_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000235 "gpio_inst/gpio_o_3_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000235_renamed_1303)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000264 "gpio_inst/gpio_o_3_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000285 "gpio_inst/gpio_o_3_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net (rename gpio_inst_gpio_o_3_mux000294 "gpio_inst/gpio_o_3_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_3_mux000294_renamed_1305)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_4__ "gpio_inst/gpio_o<4>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_4)) - (portRef I (instanceRef WING_A_4_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_40__ "gpio_inst/gpio_o<40>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_40)) - (portRef I (instanceRef WING_C_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux0002 "gpio_inst/gpio_o_40_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_40)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux0002112 "gpio_inst/gpio_o_40_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux0002163 "gpio_inst/gpio_o_40_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000224 "gpio_inst/gpio_o_40_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000235 "gpio_inst/gpio_o_40_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000235_renamed_1299)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000264 "gpio_inst/gpio_o_40_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000285 "gpio_inst/gpio_o_40_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net (rename gpio_inst_gpio_o_40_mux000294 "gpio_inst/gpio_o_40_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_40_mux000294_renamed_1301)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_41__ "gpio_inst/gpio_o<41>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_41)) - (portRef I (instanceRef WING_C_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux0002 "gpio_inst/gpio_o_41_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_41)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux0002112 "gpio_inst/gpio_o_41_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux0002163 "gpio_inst/gpio_o_41_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000224 "gpio_inst/gpio_o_41_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000235 "gpio_inst/gpio_o_41_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000235_renamed_1295)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000264 "gpio_inst/gpio_o_41_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000285 "gpio_inst/gpio_o_41_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net (rename gpio_inst_gpio_o_41_mux000294 "gpio_inst/gpio_o_41_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_41_mux000294_renamed_1297)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_42__ "gpio_inst/gpio_o<42>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_42)) - (portRef I (instanceRef WING_C_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux0002 "gpio_inst/gpio_o_42_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_42)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux0002112 "gpio_inst/gpio_o_42_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux0002163 "gpio_inst/gpio_o_42_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000224 "gpio_inst/gpio_o_42_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000235 "gpio_inst/gpio_o_42_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000235_renamed_1291)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000264 "gpio_inst/gpio_o_42_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000285 "gpio_inst/gpio_o_42_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net (rename gpio_inst_gpio_o_42_mux000294 "gpio_inst/gpio_o_42_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_42_mux000294_renamed_1293)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_43__ "gpio_inst/gpio_o<43>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_43)) - (portRef I (instanceRef WING_C_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux0002 "gpio_inst/gpio_o_43_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_43)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux0002112 "gpio_inst/gpio_o_43_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux0002163 "gpio_inst/gpio_o_43_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000224 "gpio_inst/gpio_o_43_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000235 "gpio_inst/gpio_o_43_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000235_renamed_1287)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000264 "gpio_inst/gpio_o_43_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000285 "gpio_inst/gpio_o_43_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net (rename gpio_inst_gpio_o_43_mux000294 "gpio_inst/gpio_o_43_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_43_mux000294_renamed_1289)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_44__ "gpio_inst/gpio_o<44>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_44)) - (portRef I (instanceRef WING_C_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux0002 "gpio_inst/gpio_o_44_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_44)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux0002112 "gpio_inst/gpio_o_44_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux0002163 "gpio_inst/gpio_o_44_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000224 "gpio_inst/gpio_o_44_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000235 "gpio_inst/gpio_o_44_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000235_renamed_1283)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000264 "gpio_inst/gpio_o_44_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000285 "gpio_inst/gpio_o_44_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net (rename gpio_inst_gpio_o_44_mux000294 "gpio_inst/gpio_o_44_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_44_mux000294_renamed_1285)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_45__ "gpio_inst/gpio_o<45>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_45)) - (portRef I (instanceRef WING_C_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux0002 "gpio_inst/gpio_o_45_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_45)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux0002112 "gpio_inst/gpio_o_45_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux0002163 "gpio_inst/gpio_o_45_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000224 "gpio_inst/gpio_o_45_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000235 "gpio_inst/gpio_o_45_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000235_renamed_1279)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000264 "gpio_inst/gpio_o_45_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000285 "gpio_inst/gpio_o_45_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net (rename gpio_inst_gpio_o_45_mux000294 "gpio_inst/gpio_o_45_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_45_mux000294_renamed_1281)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_46__ "gpio_inst/gpio_o<46>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_46)) - (portRef I (instanceRef WING_C_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux0002 "gpio_inst/gpio_o_46_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_46)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux0002112 "gpio_inst/gpio_o_46_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux0002163 "gpio_inst/gpio_o_46_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000224 "gpio_inst/gpio_o_46_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000235 "gpio_inst/gpio_o_46_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000235_renamed_1275)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000264 "gpio_inst/gpio_o_46_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000285 "gpio_inst/gpio_o_46_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net (rename gpio_inst_gpio_o_46_mux000294 "gpio_inst/gpio_o_46_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_46_mux000294_renamed_1277)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_47__ "gpio_inst/gpio_o<47>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_47)) - (portRef I (instanceRef WING_C_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux0002 "gpio_inst/gpio_o_47_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_47)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux0002112 "gpio_inst/gpio_o_47_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux0002163 "gpio_inst/gpio_o_47_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000224 "gpio_inst/gpio_o_47_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000235 "gpio_inst/gpio_o_47_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000235_renamed_1271)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000264 "gpio_inst/gpio_o_47_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000285 "gpio_inst/gpio_o_47_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net (rename gpio_inst_gpio_o_47_mux000294 "gpio_inst/gpio_o_47_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_47_mux000294_renamed_1273)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_48__ "gpio_inst/gpio_o<48>") - (joined - (portRef I (instanceRef ospics_obufi)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_67_renamed_456)) - (portRef Q (instanceRef gpio_inst_gpio_o_48)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux0002 "gpio_inst/gpio_o_4_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_4)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux0002112 "gpio_inst/gpio_o_4_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux0002163 "gpio_inst/gpio_o_4_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000224 "gpio_inst/gpio_o_4_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000235 "gpio_inst/gpio_o_4_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000235_renamed_1267)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000264 "gpio_inst/gpio_o_4_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000285 "gpio_inst/gpio_o_4_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net (rename gpio_inst_gpio_o_4_mux000294 "gpio_inst/gpio_o_4_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_4_mux000294_renamed_1269)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_5__ "gpio_inst/gpio_o<5>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_5)) - (portRef I (instanceRef WING_A_5_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux0002 "gpio_inst/gpio_o_5_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_5)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux0002112 "gpio_inst/gpio_o_5_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux0002163 "gpio_inst/gpio_o_5_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000224 "gpio_inst/gpio_o_5_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000235 "gpio_inst/gpio_o_5_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000235_renamed_1263)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000264 "gpio_inst/gpio_o_5_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000285 "gpio_inst/gpio_o_5_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net (rename gpio_inst_gpio_o_5_mux000294 "gpio_inst/gpio_o_5_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_5_mux000294_renamed_1265)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_6__ "gpio_inst/gpio_o<6>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_6)) - (portRef I (instanceRef WING_A_6_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux0002 "gpio_inst/gpio_o_6_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_6)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux0002112 "gpio_inst/gpio_o_6_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux0002163 "gpio_inst/gpio_o_6_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000224 "gpio_inst/gpio_o_6_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000235 "gpio_inst/gpio_o_6_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000235_renamed_1259)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000264 "gpio_inst/gpio_o_6_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000285 "gpio_inst/gpio_o_6_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net (rename gpio_inst_gpio_o_6_mux000294 "gpio_inst/gpio_o_6_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_6_mux000294_renamed_1261)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_7__ "gpio_inst/gpio_o<7>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_7)) - (portRef I (instanceRef WING_A_7_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux0002 "gpio_inst/gpio_o_7_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_7)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux0002112 "gpio_inst/gpio_o_7_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux0002163 "gpio_inst/gpio_o_7_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000224 "gpio_inst/gpio_o_7_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000235 "gpio_inst/gpio_o_7_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000235_renamed_1255)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000264 "gpio_inst/gpio_o_7_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000285 "gpio_inst/gpio_o_7_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net (rename gpio_inst_gpio_o_7_mux000294 "gpio_inst/gpio_o_7_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_7_mux000294_renamed_1257)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_8__ "gpio_inst/gpio_o<8>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_8)) - (portRef I (instanceRef WING_A_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux0002 "gpio_inst/gpio_o_8_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_8)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux0002112 "gpio_inst/gpio_o_8_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux0002163 "gpio_inst/gpio_o_8_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000224 "gpio_inst/gpio_o_8_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000235 "gpio_inst/gpio_o_8_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000235_renamed_1251)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000264 "gpio_inst/gpio_o_8_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000285 "gpio_inst/gpio_o_8_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net (rename gpio_inst_gpio_o_8_mux000294 "gpio_inst/gpio_o_8_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_8_mux000294_renamed_1253)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_9__ "gpio_inst/gpio_o<9>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_o_9)) - (portRef I (instanceRef WING_A_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux0002 "gpio_inst/gpio_o_9_mux0002") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux0002201)) - (portRef D (instanceRef gpio_inst_gpio_o_9)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux0002112 "gpio_inst/gpio_o_9_mux0002112") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux0002163 "gpio_inst/gpio_o_9_mux0002163") - (joined - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux0002201)) - (portRef O (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000224 "gpio_inst/gpio_o_9_mux000224") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000235 "gpio_inst/gpio_o_9_mux000235") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000235_renamed_1247)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000264 "gpio_inst/gpio_o_9_mux000264") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000285 "gpio_inst/gpio_o_9_mux000285") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net (rename gpio_inst_gpio_o_9_mux000294 "gpio_inst/gpio_o_9_mux000294") - (joined - (portRef O (instanceRef gpio_inst_gpio_o_9_mux000294_renamed_1249)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_gpio_q_0__ "gpio_inst/gpio_q<0>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_0_not0001 "gpio_inst/gpio_q_0_not0001") - (joined - (portRef CE (instanceRef gpio_inst_gpio_q_1)) - (portRef CE (instanceRef gpio_inst_gpio_q_0)) - (portRef CE (instanceRef gpio_inst_gpio_q_4)) - (portRef CE (instanceRef gpio_inst_gpio_q_2)) - (portRef CE (instanceRef gpio_inst_gpio_q_3)) - (portRef CE (instanceRef gpio_inst_gpio_q_7)) - (portRef CE (instanceRef gpio_inst_gpio_q_5)) - (portRef CE (instanceRef gpio_inst_gpio_q_6)) - (portRef CE (instanceRef gpio_inst_gpio_q_8)) - (portRef CE (instanceRef gpio_inst_gpio_q_9)) - (portRef CE (instanceRef gpio_inst_gpio_q_12)) - (portRef CE (instanceRef gpio_inst_gpio_q_10)) - (portRef CE (instanceRef gpio_inst_gpio_q_11)) - (portRef CE (instanceRef gpio_inst_gpio_q_13)) - (portRef CE (instanceRef gpio_inst_gpio_q_14)) - (portRef CE (instanceRef gpio_inst_gpio_q_15)) - (portRef CE (instanceRef gpio_inst_gpio_q_24)) - (portRef CE (instanceRef gpio_inst_gpio_q_31)) - (portRef CE (instanceRef gpio_inst_gpio_q_30)) - (portRef CE (instanceRef gpio_inst_gpio_q_25)) - (portRef CE (instanceRef gpio_inst_gpio_q_27)) - (portRef CE (instanceRef gpio_inst_gpio_q_26)) - (portRef CE (instanceRef gpio_inst_gpio_q_28)) - (portRef CE (instanceRef gpio_inst_gpio_q_29)) - (portRef O (instanceRef gpio_inst_gpio_q_0_not000121)) - ) - ) - (net (rename gpio_inst_gpio_q_0_not0001_bdd4 "gpio_inst/gpio_q_0_not0001_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_ppspin_q_64_not000111)) - (portRef I1 (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef I2 (instanceRef gpio_inst_gpio_q_0_not000121)) - (portRef O (instanceRef gpio_inst_gpio_q_0_not00015)) - ) - ) - (net (rename gpio_inst_gpio_q_1__ "gpio_inst/gpio_q<1>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_1)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_10__ "gpio_inst/gpio_q<10>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_10)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_11__ "gpio_inst/gpio_q<11>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_11)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_12__ "gpio_inst/gpio_q<12>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_12)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_13__ "gpio_inst/gpio_q<13>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_13)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_14__ "gpio_inst/gpio_q<14>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_14)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_15__ "gpio_inst/gpio_q<15>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_15)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_2__ "gpio_inst/gpio_q<2>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_2)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_24__ "gpio_inst/gpio_q<24>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_24)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_25__ "gpio_inst/gpio_q<25>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_25)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_26__ "gpio_inst/gpio_q<26>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_26)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_27__ "gpio_inst/gpio_q<27>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_27)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_28__ "gpio_inst/gpio_q<28>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_28)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_29__ "gpio_inst/gpio_q<29>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_29)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_3__ "gpio_inst/gpio_q<3>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_3)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_30__ "gpio_inst/gpio_q<30>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_30)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_31__ "gpio_inst/gpio_q<31>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_31)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_32__ "gpio_inst/gpio_q<32>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_32)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_32_not0001 "gpio_inst/gpio_q_32_not0001") - (joined - (portRef CE (instanceRef gpio_inst_gpio_q_32)) - (portRef CE (instanceRef gpio_inst_gpio_q_33)) - (portRef CE (instanceRef gpio_inst_gpio_q_41)) - (portRef CE (instanceRef gpio_inst_gpio_q_40)) - (portRef CE (instanceRef gpio_inst_gpio_q_42)) - (portRef CE (instanceRef gpio_inst_gpio_q_44)) - (portRef CE (instanceRef gpio_inst_gpio_q_43)) - (portRef CE (instanceRef gpio_inst_gpio_q_47)) - (portRef CE (instanceRef gpio_inst_gpio_q_45)) - (portRef CE (instanceRef gpio_inst_gpio_q_46)) - (portRef CE (instanceRef gpio_inst_gpio_q_48)) - (portRef O (instanceRef gpio_inst_gpio_q_32_not000111)) - ) - ) - (net (rename gpio_inst_gpio_q_32_not0001_bdd4 "gpio_inst/gpio_q_32_not0001_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_ppspin_q_96_not000111)) - (portRef I1 (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef I2 (instanceRef gpio_inst_gpio_q_32_not000111)) - (portRef O (instanceRef gpio_inst_gpio_q_32_not00014)) - ) - ) - (net (rename gpio_inst_gpio_q_33__ "gpio_inst/gpio_q<33>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_33)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_4__ "gpio_inst/gpio_q<4>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_4)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_40__ "gpio_inst/gpio_q<40>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_40)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_41__ "gpio_inst/gpio_q<41>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_41)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_42__ "gpio_inst/gpio_q<42>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_42)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_43__ "gpio_inst/gpio_q<43>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_43)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_44__ "gpio_inst/gpio_q<44>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_44)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_45__ "gpio_inst/gpio_q<45>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_45)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_46__ "gpio_inst/gpio_q<46>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_46)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_47__ "gpio_inst/gpio_q<47>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_47)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_48__ "gpio_inst/gpio_q<48>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_48)) - (portRef D (instanceRef gpio_inst_gpio_o_48)) - ) - ) - (net (rename gpio_inst_gpio_q_5__ "gpio_inst/gpio_q<5>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_5)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_6__ "gpio_inst/gpio_q<6>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_6)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_7__ "gpio_inst/gpio_q<7>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_7)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_8__ "gpio_inst/gpio_q<8>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_8)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_q_9__ "gpio_inst/gpio_q<9>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_q_9)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux0002201)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_0_ "gpio_inst/gpio_tris_q<0>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_0)) - (portRef T (instanceRef WING_A_0_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_0_not0001 "gpio_inst/gpio_tris_q_0_not0001") - (joined - (portRef CE (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef O (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_24)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_25)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_26)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_27)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_28)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_29)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_30)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_31)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_0)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_1_ "gpio_inst/gpio_tris_q<1>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef T (instanceRef WING_A_1_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_10_ "gpio_inst/gpio_tris_q<10>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef T (instanceRef WING_A_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_11_ "gpio_inst/gpio_tris_q<11>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef T (instanceRef WING_A_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_12_ "gpio_inst/gpio_tris_q<12>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef T (instanceRef WING_A_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_13_ "gpio_inst/gpio_tris_q<13>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef T (instanceRef WING_A_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_14_ "gpio_inst/gpio_tris_q<14>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef T (instanceRef WING_A_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_15_ "gpio_inst/gpio_tris_q<15>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef T (instanceRef WING_A_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_16_ "gpio_inst/gpio_tris_q<16>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_17_ "gpio_inst/gpio_tris_q<17>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_517_renamed_459)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_18_ "gpio_inst/gpio_tris_q<18>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_519_renamed_463)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_19_ "gpio_inst/gpio_tris_q<19>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_521_renamed_467)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_2_ "gpio_inst/gpio_tris_q<2>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef T (instanceRef WING_A_2_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_20_ "gpio_inst/gpio_tris_q<20>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_525_renamed_475)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_21_ "gpio_inst/gpio_tris_q<21>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_527_renamed_479)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_22_ "gpio_inst/gpio_tris_q<22>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_529_renamed_483)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_23_ "gpio_inst/gpio_tris_q<23>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_531_renamed_487)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_24_ "gpio_inst/gpio_tris_q<24>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_533_renamed_490)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_24)) - (portRef T (instanceRef WING_B_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_25_ "gpio_inst/gpio_tris_q<25>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_535_renamed_494)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_25)) - (portRef T (instanceRef WING_B_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_26_ "gpio_inst/gpio_tris_q<26>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_537_renamed_498)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_26)) - (portRef T (instanceRef WING_B_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_27_ "gpio_inst/gpio_tris_q<27>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_539_renamed_502)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_27)) - (portRef T (instanceRef WING_B_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_28_ "gpio_inst/gpio_tris_q<28>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_541_renamed_506)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_28)) - (portRef T (instanceRef WING_B_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_29_ "gpio_inst/gpio_tris_q<29>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_543_renamed_510)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_29)) - (portRef T (instanceRef WING_B_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_3_ "gpio_inst/gpio_tris_q<3>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef T (instanceRef WING_A_3_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_30_ "gpio_inst/gpio_tris_q<30>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_547_renamed_518)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_30)) - (portRef T (instanceRef WING_B_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_31_ "gpio_inst/gpio_tris_q<31>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_549_renamed_522)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_31)) - (portRef T (instanceRef WING_B_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_32_ "gpio_inst/gpio_tris_q<32>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef T (instanceRef WING_C_0_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_32_not0001 "gpio_inst/gpio_tris_q_32_not0001") - (joined - (portRef CE (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef O (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef CE (instanceRef gpio_inst_gpio_tris_q_41)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_33_ "gpio_inst/gpio_tris_q<33>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef T (instanceRef WING_C_1_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_34_ "gpio_inst/gpio_tris_q<34>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_35_ "gpio_inst/gpio_tris_q<35>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_36_ "gpio_inst/gpio_tris_q<36>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_37_ "gpio_inst/gpio_tris_q<37>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_38_ "gpio_inst/gpio_tris_q<38>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_39_ "gpio_inst/gpio_tris_q<39>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_4_ "gpio_inst/gpio_tris_q<4>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef T (instanceRef WING_A_4_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_40_ "gpio_inst/gpio_tris_q<40>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef T (instanceRef WING_C_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_41_ "gpio_inst/gpio_tris_q<41>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_41)) - (portRef T (instanceRef WING_C_9_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_42_ "gpio_inst/gpio_tris_q<42>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef T (instanceRef WING_C_10_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_43_ "gpio_inst/gpio_tris_q<43>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef T (instanceRef WING_C_11_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_44_ "gpio_inst/gpio_tris_q<44>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef T (instanceRef WING_C_12_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_45_ "gpio_inst/gpio_tris_q<45>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef T (instanceRef WING_C_13_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_46_ "gpio_inst/gpio_tris_q<46>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef T (instanceRef WING_C_14_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_47_ "gpio_inst/gpio_tris_q<47>") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef T (instanceRef WING_C_15_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_48_ "gpio_inst/gpio_tris_q<48>") - (joined - (portRef Q (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_5_ "gpio_inst/gpio_tris_q<5>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef T (instanceRef WING_A_5_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_6_ "gpio_inst/gpio_tris_q<6>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef T (instanceRef WING_A_6_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_7_ "gpio_inst/gpio_tris_q<7>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef T (instanceRef WING_A_7_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_8_ "gpio_inst/gpio_tris_q<8>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef T (instanceRef WING_A_8_IOBUF)) - ) - ) - (net (rename gpio_inst_gpio_tris_q_9_ "gpio_inst/gpio_tris_q<9>") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef Q (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef T (instanceRef WING_A_9_IOBUF)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_0 "gpio_inst/input_mapper_q_0_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_0_renamed_756)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_1 "gpio_inst/input_mapper_q_0_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_1_renamed_755)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_2 "gpio_inst/input_mapper_q_0_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_2_renamed_754)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000294_renamed_1381)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_3 "gpio_inst/input_mapper_q_0_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_3_renamed_753)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000235_renamed_1379)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000294_renamed_1381)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000264_renamed_2026)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_4 "gpio_inst/input_mapper_q_0_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_4_renamed_752)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux000235_renamed_1379)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_5 "gpio_inst/input_mapper_q_0_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_0_5_renamed_751)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - (portRef I2 (instanceRef gpio_inst_gpio_o_0_mux0002163_renamed_2072)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_and0000 "gpio_inst/input_mapper_q_0_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_0_renamed_756)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_1_renamed_755)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_2_renamed_754)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_3_renamed_753)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_4_renamed_752)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_0_5_renamed_751)) - (portRef O (instanceRef gpio_inst_input_mapper_q_0_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_and0000_bdd10 "gpio_inst/input_mapper_q_0_and0000_bdd10") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef I0 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and000061)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_and0000_bdd4 "gpio_inst/input_mapper_q_0_and0000_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_input_mapper_q_6_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_4_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_2_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_14_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_12_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_10_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_0_and000011)) - (portRef O (instanceRef gpio_inst_input_mapper_q_0_and000041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_0_and0000_bdd8 "gpio_inst/input_mapper_q_0_and0000_bdd8") - (joined - (portRef I0 (instanceRef gpio_inst_input_mapper_q_17_and000041)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_16_and000041)) - (portRef O (instanceRef gpio_inst_input_mapper_q_0_and000061)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and000041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_0 "gpio_inst/input_mapper_q_10_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_0_renamed_696)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_1 "gpio_inst/input_mapper_q_10_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_1_renamed_695)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_2 "gpio_inst/input_mapper_q_10_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_2_renamed_694)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000294_renamed_1377)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_3 "gpio_inst/input_mapper_q_10_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_3_renamed_693)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000235_renamed_1375)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000294_renamed_1377)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000264_renamed_2025)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_4 "gpio_inst/input_mapper_q_10_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_4_renamed_692)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux000235_renamed_1375)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_5 "gpio_inst/input_mapper_q_10_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_10_5_renamed_691)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - (portRef I2 (instanceRef gpio_inst_gpio_o_10_mux0002163_renamed_2071)) - ) - ) - (net (rename gpio_inst_input_mapper_q_10_and0000 "gpio_inst/input_mapper_q_10_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_0_renamed_696)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_1_renamed_695)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_2_renamed_694)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_3_renamed_693)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_4_renamed_692)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_10_5_renamed_691)) - (portRef O (instanceRef gpio_inst_input_mapper_q_10_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_0 "gpio_inst/input_mapper_q_11_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_0_renamed_690)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_1 "gpio_inst/input_mapper_q_11_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_1_renamed_689)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_2 "gpio_inst/input_mapper_q_11_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_2_renamed_688)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000294_renamed_1373)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_3 "gpio_inst/input_mapper_q_11_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_3_renamed_687)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000235_renamed_1371)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000294_renamed_1373)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000264_renamed_2024)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_4 "gpio_inst/input_mapper_q_11_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_4_renamed_686)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux000235_renamed_1371)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_5 "gpio_inst/input_mapper_q_11_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_11_5_renamed_685)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - (portRef I2 (instanceRef gpio_inst_gpio_o_11_mux0002163_renamed_2070)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_and0000 "gpio_inst/input_mapper_q_11_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_0_renamed_690)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_1_renamed_689)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_2_renamed_688)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_3_renamed_687)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_4_renamed_686)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_11_5_renamed_685)) - (portRef O (instanceRef gpio_inst_input_mapper_q_11_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_11_and0000_bdd4 "gpio_inst/input_mapper_q_11_and0000_bdd4") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_11_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_9_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_7_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_5_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_3_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_1_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_15_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_13_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_11_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_0 "gpio_inst/input_mapper_q_12_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_0_renamed_702)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_1 "gpio_inst/input_mapper_q_12_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_1_renamed_701)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_2 "gpio_inst/input_mapper_q_12_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_2_renamed_700)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000294_renamed_1369)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_3 "gpio_inst/input_mapper_q_12_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_3_renamed_699)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000235_renamed_1367)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000294_renamed_1369)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000264_renamed_2023)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_4 "gpio_inst/input_mapper_q_12_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_4_renamed_698)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux000235_renamed_1367)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_5 "gpio_inst/input_mapper_q_12_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_12_5_renamed_697)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - (portRef I2 (instanceRef gpio_inst_gpio_o_12_mux0002163_renamed_2069)) - ) - ) - (net (rename gpio_inst_input_mapper_q_12_and0000 "gpio_inst/input_mapper_q_12_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_0_renamed_702)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_1_renamed_701)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_2_renamed_700)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_3_renamed_699)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_4_renamed_698)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_12_5_renamed_697)) - (portRef O (instanceRef gpio_inst_input_mapper_q_12_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_0 "gpio_inst/input_mapper_q_13_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_0_renamed_678)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_1 "gpio_inst/input_mapper_q_13_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_1_renamed_677)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_2 "gpio_inst/input_mapper_q_13_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_2_renamed_676)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000294_renamed_1365)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_3 "gpio_inst/input_mapper_q_13_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_3_renamed_675)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000235_renamed_1363)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000294_renamed_1365)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000264_renamed_2022)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_4 "gpio_inst/input_mapper_q_13_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_4_renamed_674)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux000235_renamed_1363)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_5 "gpio_inst/input_mapper_q_13_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_13_5_renamed_673)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - (portRef I2 (instanceRef gpio_inst_gpio_o_13_mux0002163_renamed_2068)) - ) - ) - (net (rename gpio_inst_input_mapper_q_13_and0000 "gpio_inst/input_mapper_q_13_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_0_renamed_678)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_1_renamed_677)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_2_renamed_676)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_3_renamed_675)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_4_renamed_674)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_13_5_renamed_673)) - (portRef O (instanceRef gpio_inst_input_mapper_q_13_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_0 "gpio_inst/input_mapper_q_14_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_0_renamed_672)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_1 "gpio_inst/input_mapper_q_14_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_1_renamed_671)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_2 "gpio_inst/input_mapper_q_14_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_2_renamed_670)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000294_renamed_1361)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_3 "gpio_inst/input_mapper_q_14_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_3_renamed_669)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000235_renamed_1359)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000294_renamed_1361)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000264_renamed_2021)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_4 "gpio_inst/input_mapper_q_14_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_4_renamed_668)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux000235_renamed_1359)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_5 "gpio_inst/input_mapper_q_14_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_14_5_renamed_667)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - (portRef I2 (instanceRef gpio_inst_gpio_o_14_mux0002163_renamed_2067)) - ) - ) - (net (rename gpio_inst_input_mapper_q_14_and0000 "gpio_inst/input_mapper_q_14_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_0_renamed_672)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_1_renamed_671)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_2_renamed_670)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_3_renamed_669)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_4_renamed_668)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_14_5_renamed_667)) - (portRef O (instanceRef gpio_inst_input_mapper_q_14_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_0 "gpio_inst/input_mapper_q_15_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_0_renamed_684)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_1 "gpio_inst/input_mapper_q_15_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_1_renamed_683)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_2 "gpio_inst/input_mapper_q_15_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_2_renamed_682)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000294_renamed_1357)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_3 "gpio_inst/input_mapper_q_15_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_3_renamed_681)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000235_renamed_1355)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000294_renamed_1357)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000264_renamed_2020)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_4 "gpio_inst/input_mapper_q_15_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_4_renamed_680)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux000235_renamed_1355)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_5 "gpio_inst/input_mapper_q_15_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_15_5_renamed_679)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - (portRef I2 (instanceRef gpio_inst_gpio_o_15_mux0002163_renamed_2066)) - ) - ) - (net (rename gpio_inst_input_mapper_q_15_and0000 "gpio_inst/input_mapper_q_15_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_0_renamed_684)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_1_renamed_683)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_2_renamed_682)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_3_renamed_681)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_4_renamed_680)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_15_5_renamed_679)) - (portRef O (instanceRef gpio_inst_input_mapper_q_15_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_16_and0000_bdd4 "gpio_inst/input_mapper_q_16_and0000_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_input_mapper_q_28_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_26_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_24_and000011)) - (portRef O (instanceRef gpio_inst_input_mapper_q_16_and000041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_17_and0000_bdd4 "gpio_inst/input_mapper_q_17_and0000_bdd4") - (joined - (portRef I3 (instanceRef gpio_inst_input_mapper_q_29_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_27_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_25_and000011)) - (portRef O (instanceRef gpio_inst_input_mapper_q_17_and000041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_0 "gpio_inst/input_mapper_q_1_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_0_renamed_762)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_1 "gpio_inst/input_mapper_q_1_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_1_renamed_761)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_2 "gpio_inst/input_mapper_q_1_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_2_renamed_760)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000294_renamed_1353)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_3 "gpio_inst/input_mapper_q_1_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_3_renamed_759)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000235_renamed_1351)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000294_renamed_1353)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000264_renamed_2019)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_4 "gpio_inst/input_mapper_q_1_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_4_renamed_758)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux000235_renamed_1351)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_5 "gpio_inst/input_mapper_q_1_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_1_5_renamed_757)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - (portRef I2 (instanceRef gpio_inst_gpio_o_1_mux0002163_renamed_2065)) - ) - ) - (net (rename gpio_inst_input_mapper_q_1_and0000 "gpio_inst/input_mapper_q_1_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_0_renamed_762)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_1_renamed_761)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_2_renamed_760)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_3_renamed_759)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_4_renamed_758)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_1_5_renamed_757)) - (portRef O (instanceRef gpio_inst_input_mapper_q_1_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_0 "gpio_inst/input_mapper_q_24_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_0_renamed_666)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_1 "gpio_inst/input_mapper_q_24_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_1_renamed_665)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_2 "gpio_inst/input_mapper_q_24_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_2_renamed_664)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000294_renamed_1349)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_3 "gpio_inst/input_mapper_q_24_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_3_renamed_663)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000235_renamed_1347)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000294_renamed_1349)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000264_renamed_2018)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_4 "gpio_inst/input_mapper_q_24_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_4_renamed_662)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux000235_renamed_1347)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_5 "gpio_inst/input_mapper_q_24_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_24_5_renamed_661)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - (portRef I2 (instanceRef gpio_inst_gpio_o_24_mux0002163_renamed_2064)) - ) - ) - (net (rename gpio_inst_input_mapper_q_24_and0000 "gpio_inst/input_mapper_q_24_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_0_renamed_666)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_1_renamed_665)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_2_renamed_664)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_3_renamed_663)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_4_renamed_662)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_24_5_renamed_661)) - (portRef O (instanceRef gpio_inst_input_mapper_q_24_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_0 "gpio_inst/input_mapper_q_25_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_0_renamed_654)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_1 "gpio_inst/input_mapper_q_25_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_1_renamed_653)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_2 "gpio_inst/input_mapper_q_25_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_2_renamed_652)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000294_renamed_1345)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_3 "gpio_inst/input_mapper_q_25_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_3_renamed_651)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000235_renamed_1343)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000294_renamed_1345)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000264_renamed_2017)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_4 "gpio_inst/input_mapper_q_25_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_4_renamed_650)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux000235_renamed_1343)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_5 "gpio_inst/input_mapper_q_25_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_25_5_renamed_649)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - (portRef I2 (instanceRef gpio_inst_gpio_o_25_mux0002163_renamed_2063)) - ) - ) - (net (rename gpio_inst_input_mapper_q_25_and0000 "gpio_inst/input_mapper_q_25_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_0_renamed_654)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_1_renamed_653)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_2_renamed_652)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_3_renamed_651)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_4_renamed_650)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_25_5_renamed_649)) - (portRef O (instanceRef gpio_inst_input_mapper_q_25_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_0 "gpio_inst/input_mapper_q_26_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_0_renamed_648)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_1 "gpio_inst/input_mapper_q_26_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_1_renamed_647)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_2 "gpio_inst/input_mapper_q_26_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_2_renamed_646)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000294_renamed_1341)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_3 "gpio_inst/input_mapper_q_26_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_3_renamed_645)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000235_renamed_1339)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000294_renamed_1341)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000264_renamed_2016)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_4 "gpio_inst/input_mapper_q_26_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_4_renamed_644)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux000235_renamed_1339)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_5 "gpio_inst/input_mapper_q_26_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_26_5_renamed_643)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - (portRef I2 (instanceRef gpio_inst_gpio_o_26_mux0002163_renamed_2062)) - ) - ) - (net (rename gpio_inst_input_mapper_q_26_and0000 "gpio_inst/input_mapper_q_26_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_0_renamed_648)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_1_renamed_647)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_2_renamed_646)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_3_renamed_645)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_4_renamed_644)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_26_5_renamed_643)) - (portRef O (instanceRef gpio_inst_input_mapper_q_26_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_0 "gpio_inst/input_mapper_q_27_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_0_renamed_660)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_1 "gpio_inst/input_mapper_q_27_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_1_renamed_659)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_2 "gpio_inst/input_mapper_q_27_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_2_renamed_658)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000294_renamed_1337)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_3 "gpio_inst/input_mapper_q_27_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_3_renamed_657)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000235_renamed_1335)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000294_renamed_1337)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000264_renamed_2015)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_4 "gpio_inst/input_mapper_q_27_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_4_renamed_656)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux000235_renamed_1335)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_5 "gpio_inst/input_mapper_q_27_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_27_5_renamed_655)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - (portRef I2 (instanceRef gpio_inst_gpio_o_27_mux0002163_renamed_2061)) - ) - ) - (net (rename gpio_inst_input_mapper_q_27_and0000 "gpio_inst/input_mapper_q_27_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_0_renamed_660)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_1_renamed_659)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_2_renamed_658)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_3_renamed_657)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_4_renamed_656)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_27_5_renamed_655)) - (portRef O (instanceRef gpio_inst_input_mapper_q_27_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_0 "gpio_inst/input_mapper_q_28_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_0_renamed_636)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_1 "gpio_inst/input_mapper_q_28_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_1_renamed_635)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_2 "gpio_inst/input_mapper_q_28_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_2_renamed_634)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000294_renamed_1333)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_3 "gpio_inst/input_mapper_q_28_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_3_renamed_633)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000235_renamed_1331)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000294_renamed_1333)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000264_renamed_2014)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_4 "gpio_inst/input_mapper_q_28_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_4_renamed_632)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux000235_renamed_1331)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_5 "gpio_inst/input_mapper_q_28_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_28_5_renamed_631)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - (portRef I2 (instanceRef gpio_inst_gpio_o_28_mux0002163_renamed_2060)) - ) - ) - (net (rename gpio_inst_input_mapper_q_28_and0000 "gpio_inst/input_mapper_q_28_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_0_renamed_636)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_1_renamed_635)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_2_renamed_634)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_3_renamed_633)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_4_renamed_632)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_28_5_renamed_631)) - (portRef O (instanceRef gpio_inst_input_mapper_q_28_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_0 "gpio_inst/input_mapper_q_29_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_0_renamed_630)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_1 "gpio_inst/input_mapper_q_29_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_1_renamed_629)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_2 "gpio_inst/input_mapper_q_29_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_2_renamed_628)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000294_renamed_1329)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_3 "gpio_inst/input_mapper_q_29_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_3_renamed_627)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000235_renamed_1327)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000294_renamed_1329)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000264_renamed_2013)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_4 "gpio_inst/input_mapper_q_29_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_4_renamed_626)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux000235_renamed_1327)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_5 "gpio_inst/input_mapper_q_29_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_29_5_renamed_625)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - (portRef I2 (instanceRef gpio_inst_gpio_o_29_mux0002163_renamed_2059)) - ) - ) - (net (rename gpio_inst_input_mapper_q_29_and0000 "gpio_inst/input_mapper_q_29_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_0_renamed_630)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_1_renamed_629)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_2_renamed_628)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_3_renamed_627)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_4_renamed_626)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_29_5_renamed_625)) - (portRef O (instanceRef gpio_inst_input_mapper_q_29_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_0 "gpio_inst/input_mapper_q_2_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_0_renamed_744)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_1 "gpio_inst/input_mapper_q_2_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_1_renamed_743)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_2 "gpio_inst/input_mapper_q_2_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_2_renamed_742)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000294_renamed_1325)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_3 "gpio_inst/input_mapper_q_2_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_3_renamed_741)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000235_renamed_1323)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000294_renamed_1325)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000264_renamed_2012)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_4 "gpio_inst/input_mapper_q_2_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_4_renamed_740)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux000235_renamed_1323)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_5 "gpio_inst/input_mapper_q_2_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_2_5_renamed_739)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - (portRef I2 (instanceRef gpio_inst_gpio_o_2_mux0002163_renamed_2058)) - ) - ) - (net (rename gpio_inst_input_mapper_q_2_and0000 "gpio_inst/input_mapper_q_2_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_0_renamed_744)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_1_renamed_743)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_2_renamed_742)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_3_renamed_741)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_4_renamed_740)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_2_5_renamed_739)) - (portRef O (instanceRef gpio_inst_input_mapper_q_2_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_0 "gpio_inst/input_mapper_q_30_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_0_renamed_642)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_1 "gpio_inst/input_mapper_q_30_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_1_renamed_641)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_2 "gpio_inst/input_mapper_q_30_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_2_renamed_640)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000294_renamed_1321)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_3 "gpio_inst/input_mapper_q_30_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_3_renamed_639)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000235_renamed_1319)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000294_renamed_1321)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000264_renamed_2011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_4 "gpio_inst/input_mapper_q_30_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_4_renamed_638)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux000235_renamed_1319)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_5 "gpio_inst/input_mapper_q_30_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_30_5_renamed_637)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - (portRef I2 (instanceRef gpio_inst_gpio_o_30_mux0002163_renamed_2057)) - ) - ) - (net (rename gpio_inst_input_mapper_q_30_and0000 "gpio_inst/input_mapper_q_30_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_0_renamed_642)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_1_renamed_641)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_2_renamed_640)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_3_renamed_639)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_4_renamed_638)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_30_5_renamed_637)) - (portRef O (instanceRef gpio_inst_input_mapper_q_30_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_0 "gpio_inst/input_mapper_q_31_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_0_renamed_618)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_1 "gpio_inst/input_mapper_q_31_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_1_renamed_617)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_2 "gpio_inst/input_mapper_q_31_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_2_renamed_616)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000294_renamed_1317)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_3 "gpio_inst/input_mapper_q_31_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_3_renamed_615)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000235_renamed_1315)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000294_renamed_1317)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000264_renamed_2010)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_4 "gpio_inst/input_mapper_q_31_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_4_renamed_614)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux000235_renamed_1315)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_5 "gpio_inst/input_mapper_q_31_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_31_5_renamed_613)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - (portRef I2 (instanceRef gpio_inst_gpio_o_31_mux0002163_renamed_2056)) - ) - ) - (net (rename gpio_inst_input_mapper_q_31_and0000 "gpio_inst/input_mapper_q_31_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_0_renamed_618)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_1_renamed_617)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_2_renamed_616)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_3_renamed_615)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_4_renamed_614)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_31_5_renamed_613)) - (portRef O (instanceRef gpio_inst_input_mapper_q_31_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_0 "gpio_inst/input_mapper_q_32_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_0_renamed_612)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_1 "gpio_inst/input_mapper_q_32_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_1_renamed_611)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_2 "gpio_inst/input_mapper_q_32_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_2_renamed_610)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000294_renamed_1313)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_3 "gpio_inst/input_mapper_q_32_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_3_renamed_609)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000235_renamed_1311)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000294_renamed_1313)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000264_renamed_2009)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_4 "gpio_inst/input_mapper_q_32_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_4_renamed_608)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux000235_renamed_1311)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_5 "gpio_inst/input_mapper_q_32_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_32_5_renamed_607)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - (portRef I2 (instanceRef gpio_inst_gpio_o_32_mux0002163_renamed_2055)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_and0000 "gpio_inst/input_mapper_q_32_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_0_renamed_612)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_1_renamed_611)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_2_renamed_610)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_3_renamed_609)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_4_renamed_608)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_32_5_renamed_607)) - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_and0000517 "gpio_inst/input_mapper_q_32_and0000517") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_and0000_bdd4 "gpio_inst/input_mapper_q_32_and0000_bdd4") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_46_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_44_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_42_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_40_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_32_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_32_and0000_bdd6 "gpio_inst/input_mapper_q_32_and0000_bdd6") - (joined - (portRef I2 (instanceRef gpio_inst_input_mapper_q_33_and000041)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and000041)) - (portRef O (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_0 "gpio_inst/input_mapper_q_33_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_0_renamed_624)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_1 "gpio_inst/input_mapper_q_33_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_1_renamed_623)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_2 "gpio_inst/input_mapper_q_33_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_2_renamed_622)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000294_renamed_1309)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_3 "gpio_inst/input_mapper_q_33_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_3_renamed_621)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000235_renamed_1307)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000294_renamed_1309)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000264_renamed_2008)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_4 "gpio_inst/input_mapper_q_33_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_4_renamed_620)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux000235_renamed_1307)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_5 "gpio_inst/input_mapper_q_33_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_33_5_renamed_619)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - (portRef I2 (instanceRef gpio_inst_gpio_o_33_mux0002163_renamed_2054)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_and0000 "gpio_inst/input_mapper_q_33_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_0_renamed_624)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_1_renamed_623)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_2_renamed_622)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_3_renamed_621)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_4_renamed_620)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_33_5_renamed_619)) - (portRef O (instanceRef gpio_inst_input_mapper_q_33_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_33_and0000_bdd4 "gpio_inst/input_mapper_q_33_and0000_bdd4") - (joined - (portRef O (instanceRef gpio_inst_input_mapper_q_33_and000041)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_47_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_45_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_43_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_41_and000011)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_33_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_0 "gpio_inst/input_mapper_q_3_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_0_renamed_738)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_1 "gpio_inst/input_mapper_q_3_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_1_renamed_737)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_2 "gpio_inst/input_mapper_q_3_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_2_renamed_736)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000294_renamed_1305)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_3 "gpio_inst/input_mapper_q_3_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_3_renamed_735)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000235_renamed_1303)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000294_renamed_1305)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000264_renamed_2007)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_4 "gpio_inst/input_mapper_q_3_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_4_renamed_734)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux000235_renamed_1303)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_5 "gpio_inst/input_mapper_q_3_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_3_5_renamed_733)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - (portRef I2 (instanceRef gpio_inst_gpio_o_3_mux0002163_renamed_2053)) - ) - ) - (net (rename gpio_inst_input_mapper_q_3_and0000 "gpio_inst/input_mapper_q_3_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_0_renamed_738)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_1_renamed_737)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_2_renamed_736)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_3_renamed_735)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_4_renamed_734)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_3_5_renamed_733)) - (portRef O (instanceRef gpio_inst_input_mapper_q_3_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_0 "gpio_inst/input_mapper_q_40_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_0_renamed_600)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_1 "gpio_inst/input_mapper_q_40_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_1_renamed_599)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_2 "gpio_inst/input_mapper_q_40_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_2_renamed_598)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000294_renamed_1301)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_3 "gpio_inst/input_mapper_q_40_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_3_renamed_597)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000235_renamed_1299)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000294_renamed_1301)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000264_renamed_2006)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_4 "gpio_inst/input_mapper_q_40_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_4_renamed_596)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux000235_renamed_1299)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_5 "gpio_inst/input_mapper_q_40_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_40_5_renamed_595)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - (portRef I2 (instanceRef gpio_inst_gpio_o_40_mux0002163_renamed_2052)) - ) - ) - (net (rename gpio_inst_input_mapper_q_40_and0000 "gpio_inst/input_mapper_q_40_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_0_renamed_600)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_1_renamed_599)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_2_renamed_598)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_3_renamed_597)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_4_renamed_596)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_40_5_renamed_595)) - (portRef O (instanceRef gpio_inst_input_mapper_q_40_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_0 "gpio_inst/input_mapper_q_41_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_0_renamed_594)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_1 "gpio_inst/input_mapper_q_41_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_1_renamed_593)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_2 "gpio_inst/input_mapper_q_41_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_2_renamed_592)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000294_renamed_1297)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_3 "gpio_inst/input_mapper_q_41_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_3_renamed_591)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000235_renamed_1295)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000294_renamed_1297)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000264_renamed_2005)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_4 "gpio_inst/input_mapper_q_41_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_4_renamed_590)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux000235_renamed_1295)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_5 "gpio_inst/input_mapper_q_41_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_41_5_renamed_589)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - (portRef I2 (instanceRef gpio_inst_gpio_o_41_mux0002163_renamed_2051)) - ) - ) - (net (rename gpio_inst_input_mapper_q_41_and0000 "gpio_inst/input_mapper_q_41_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_0_renamed_594)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_1_renamed_593)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_2_renamed_592)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_3_renamed_591)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_4_renamed_590)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_41_5_renamed_589)) - (portRef O (instanceRef gpio_inst_input_mapper_q_41_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_0 "gpio_inst/input_mapper_q_42_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_0_renamed_606)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_1 "gpio_inst/input_mapper_q_42_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_1_renamed_605)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_2 "gpio_inst/input_mapper_q_42_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_2_renamed_604)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000294_renamed_1293)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_3 "gpio_inst/input_mapper_q_42_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_3_renamed_603)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000235_renamed_1291)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000294_renamed_1293)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000264_renamed_2004)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_4 "gpio_inst/input_mapper_q_42_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_4_renamed_602)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux000235_renamed_1291)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_5 "gpio_inst/input_mapper_q_42_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_42_5_renamed_601)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - (portRef I2 (instanceRef gpio_inst_gpio_o_42_mux0002163_renamed_2050)) - ) - ) - (net (rename gpio_inst_input_mapper_q_42_and0000 "gpio_inst/input_mapper_q_42_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_0_renamed_606)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_1_renamed_605)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_2_renamed_604)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_3_renamed_603)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_4_renamed_602)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_42_5_renamed_601)) - (portRef O (instanceRef gpio_inst_input_mapper_q_42_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_0 "gpio_inst/input_mapper_q_43_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_0_renamed_582)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_1 "gpio_inst/input_mapper_q_43_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_1_renamed_581)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_2 "gpio_inst/input_mapper_q_43_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_2_renamed_580)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000294_renamed_1289)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_3 "gpio_inst/input_mapper_q_43_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_3_renamed_579)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000235_renamed_1287)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000294_renamed_1289)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000264_renamed_2003)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_4 "gpio_inst/input_mapper_q_43_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_4_renamed_578)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux000235_renamed_1287)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_5 "gpio_inst/input_mapper_q_43_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_43_5_renamed_577)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - (portRef I2 (instanceRef gpio_inst_gpio_o_43_mux0002163_renamed_2049)) - ) - ) - (net (rename gpio_inst_input_mapper_q_43_and0000 "gpio_inst/input_mapper_q_43_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_0_renamed_582)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_1_renamed_581)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_2_renamed_580)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_3_renamed_579)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_4_renamed_578)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_43_5_renamed_577)) - (portRef O (instanceRef gpio_inst_input_mapper_q_43_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_0 "gpio_inst/input_mapper_q_44_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_0_renamed_576)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_1 "gpio_inst/input_mapper_q_44_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_1_renamed_575)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_2 "gpio_inst/input_mapper_q_44_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_2_renamed_574)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000294_renamed_1285)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_3 "gpio_inst/input_mapper_q_44_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_3_renamed_573)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000235_renamed_1283)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000294_renamed_1285)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000264_renamed_2002)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_4 "gpio_inst/input_mapper_q_44_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_4_renamed_572)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux000235_renamed_1283)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_5 "gpio_inst/input_mapper_q_44_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_44_5_renamed_571)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - (portRef I2 (instanceRef gpio_inst_gpio_o_44_mux0002163_renamed_2048)) - ) - ) - (net (rename gpio_inst_input_mapper_q_44_and0000 "gpio_inst/input_mapper_q_44_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_0_renamed_576)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_1_renamed_575)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_2_renamed_574)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_3_renamed_573)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_4_renamed_572)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_44_5_renamed_571)) - (portRef O (instanceRef gpio_inst_input_mapper_q_44_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_0 "gpio_inst/input_mapper_q_45_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_0_renamed_588)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_1 "gpio_inst/input_mapper_q_45_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_1_renamed_587)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_2 "gpio_inst/input_mapper_q_45_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_2_renamed_586)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000294_renamed_1281)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_3 "gpio_inst/input_mapper_q_45_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_3_renamed_585)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000235_renamed_1279)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000294_renamed_1281)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000264_renamed_2001)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_4 "gpio_inst/input_mapper_q_45_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_4_renamed_584)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux000235_renamed_1279)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_5 "gpio_inst/input_mapper_q_45_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_45_5_renamed_583)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - (portRef I2 (instanceRef gpio_inst_gpio_o_45_mux0002163_renamed_2047)) - ) - ) - (net (rename gpio_inst_input_mapper_q_45_and0000 "gpio_inst/input_mapper_q_45_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_0_renamed_588)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_1_renamed_587)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_2_renamed_586)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_3_renamed_585)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_4_renamed_584)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_45_5_renamed_583)) - (portRef O (instanceRef gpio_inst_input_mapper_q_45_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_0 "gpio_inst/input_mapper_q_46_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_0_renamed_563)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_1 "gpio_inst/input_mapper_q_46_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_1_renamed_562)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_2 "gpio_inst/input_mapper_q_46_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_2_renamed_561)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000294_renamed_1277)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_3 "gpio_inst/input_mapper_q_46_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_3_renamed_560)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000235_renamed_1275)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000294_renamed_1277)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000264_renamed_2000)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_4 "gpio_inst/input_mapper_q_46_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_4_renamed_559)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux000235_renamed_1275)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_5 "gpio_inst/input_mapper_q_46_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_46_5_renamed_558)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - (portRef I2 (instanceRef gpio_inst_gpio_o_46_mux0002163_renamed_2046)) - ) - ) - (net (rename gpio_inst_input_mapper_q_46_and0000 "gpio_inst/input_mapper_q_46_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_0_renamed_563)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_1_renamed_562)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_2_renamed_561)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_3_renamed_560)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_4_renamed_559)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_46_5_renamed_558)) - (portRef O (instanceRef gpio_inst_input_mapper_q_46_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_0 "gpio_inst/input_mapper_q_47_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_0_renamed_557)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_1 "gpio_inst/input_mapper_q_47_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_1_renamed_556)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_2 "gpio_inst/input_mapper_q_47_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_2_renamed_555)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000294_renamed_1273)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_3 "gpio_inst/input_mapper_q_47_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_3_renamed_554)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000235_renamed_1271)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000294_renamed_1273)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000264_renamed_1999)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_4 "gpio_inst/input_mapper_q_47_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_4_renamed_553)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux000235_renamed_1271)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_5 "gpio_inst/input_mapper_q_47_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_47_5_renamed_552)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - (portRef I2 (instanceRef gpio_inst_gpio_o_47_mux0002163_renamed_2045)) - ) - ) - (net (rename gpio_inst_input_mapper_q_47_and0000 "gpio_inst/input_mapper_q_47_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_0_renamed_557)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_1_renamed_556)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_2_renamed_555)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_3_renamed_554)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_4_renamed_553)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_47_5_renamed_552)) - (portRef O (instanceRef gpio_inst_input_mapper_q_47_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_0 "gpio_inst/input_mapper_q_4_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_0_renamed_750)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_1 "gpio_inst/input_mapper_q_4_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_1_renamed_749)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_2 "gpio_inst/input_mapper_q_4_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_2_renamed_748)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000294_renamed_1269)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_3 "gpio_inst/input_mapper_q_4_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_3_renamed_747)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000235_renamed_1267)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000294_renamed_1269)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000264_renamed_1998)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_4 "gpio_inst/input_mapper_q_4_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_4_renamed_746)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux000235_renamed_1267)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_5 "gpio_inst/input_mapper_q_4_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_4_5_renamed_745)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - (portRef I2 (instanceRef gpio_inst_gpio_o_4_mux0002163_renamed_2044)) - ) - ) - (net (rename gpio_inst_input_mapper_q_4_and0000 "gpio_inst/input_mapper_q_4_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_0_renamed_750)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_1_renamed_749)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_2_renamed_748)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_3_renamed_747)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_4_renamed_746)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_4_5_renamed_745)) - (portRef O (instanceRef gpio_inst_input_mapper_q_4_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_0 "gpio_inst/input_mapper_q_5_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_0_renamed_732)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_1 "gpio_inst/input_mapper_q_5_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_1_renamed_731)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_2 "gpio_inst/input_mapper_q_5_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_2_renamed_730)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000294_renamed_1265)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_3 "gpio_inst/input_mapper_q_5_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_3_renamed_729)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000235_renamed_1263)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000294_renamed_1265)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000264_renamed_1997)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_4 "gpio_inst/input_mapper_q_5_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_4_renamed_728)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux000235_renamed_1263)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_5 "gpio_inst/input_mapper_q_5_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_5_5_renamed_727)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - (portRef I2 (instanceRef gpio_inst_gpio_o_5_mux0002163_renamed_2043)) - ) - ) - (net (rename gpio_inst_input_mapper_q_5_and0000 "gpio_inst/input_mapper_q_5_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_0_renamed_732)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_1_renamed_731)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_2_renamed_730)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_3_renamed_729)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_4_renamed_728)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_5_5_renamed_727)) - (portRef O (instanceRef gpio_inst_input_mapper_q_5_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_0 "gpio_inst/input_mapper_q_6_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_0_renamed_726)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_1 "gpio_inst/input_mapper_q_6_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_1_renamed_725)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_2 "gpio_inst/input_mapper_q_6_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_2_renamed_724)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000294_renamed_1261)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_3 "gpio_inst/input_mapper_q_6_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_3_renamed_723)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000235_renamed_1259)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000294_renamed_1261)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000264_renamed_1996)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_4 "gpio_inst/input_mapper_q_6_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_4_renamed_722)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux000235_renamed_1259)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_5 "gpio_inst/input_mapper_q_6_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_6_5_renamed_721)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - (portRef I2 (instanceRef gpio_inst_gpio_o_6_mux0002163_renamed_2042)) - ) - ) - (net (rename gpio_inst_input_mapper_q_6_and0000 "gpio_inst/input_mapper_q_6_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_0_renamed_726)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_1_renamed_725)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_2_renamed_724)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_3_renamed_723)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_4_renamed_722)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_6_5_renamed_721)) - (portRef O (instanceRef gpio_inst_input_mapper_q_6_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_0 "gpio_inst/input_mapper_q_7_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_0_renamed_714)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_1 "gpio_inst/input_mapper_q_7_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_1_renamed_713)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_2 "gpio_inst/input_mapper_q_7_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_2_renamed_712)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000294_renamed_1257)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_3 "gpio_inst/input_mapper_q_7_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_3_renamed_711)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000235_renamed_1255)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000294_renamed_1257)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000264_renamed_1995)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_4 "gpio_inst/input_mapper_q_7_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_4_renamed_710)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux000235_renamed_1255)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_5 "gpio_inst/input_mapper_q_7_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_7_5_renamed_709)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - (portRef I2 (instanceRef gpio_inst_gpio_o_7_mux0002163_renamed_2041)) - ) - ) - (net (rename gpio_inst_input_mapper_q_7_and0000 "gpio_inst/input_mapper_q_7_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_0_renamed_714)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_1_renamed_713)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_2_renamed_712)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_3_renamed_711)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_4_renamed_710)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_7_5_renamed_709)) - (portRef O (instanceRef gpio_inst_input_mapper_q_7_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_0 "gpio_inst/input_mapper_q_8_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_0_renamed_708)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_1 "gpio_inst/input_mapper_q_8_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_1_renamed_707)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_2 "gpio_inst/input_mapper_q_8_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_2_renamed_706)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000294_renamed_1253)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_3 "gpio_inst/input_mapper_q_8_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_3_renamed_705)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000235_renamed_1251)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000294_renamed_1253)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000264_renamed_1994)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_4 "gpio_inst/input_mapper_q_8_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_4_renamed_704)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux000235_renamed_1251)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_5 "gpio_inst/input_mapper_q_8_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_8_5_renamed_703)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - (portRef I2 (instanceRef gpio_inst_gpio_o_8_mux0002163_renamed_2040)) - ) - ) - (net (rename gpio_inst_input_mapper_q_8_and0000 "gpio_inst/input_mapper_q_8_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_0_renamed_708)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_1_renamed_707)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_2_renamed_706)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_3_renamed_705)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_4_renamed_704)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_8_5_renamed_703)) - (portRef O (instanceRef gpio_inst_input_mapper_q_8_and000011)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_0 "gpio_inst/input_mapper_q_9_0") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_0_renamed_720)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux0002163_SW0)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_1 "gpio_inst/input_mapper_q_9_1") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_1_renamed_719)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_2 "gpio_inst/input_mapper_q_9_2") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_2_renamed_718)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000294_renamed_1249)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_3 "gpio_inst/input_mapper_q_9_3") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_3_renamed_717)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000235_renamed_1247)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000294_renamed_1249)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000224_SW0)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000264_renamed_1993)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_4 "gpio_inst/input_mapper_q_9_4") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_4_renamed_716)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux000235_renamed_1247)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_5 "gpio_inst/input_mapper_q_9_5") - (joined - (portRef Q (instanceRef gpio_inst_input_mapper_q_9_5_renamed_715)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - (portRef I2 (instanceRef gpio_inst_gpio_o_9_mux0002163_renamed_2039)) - ) - ) - (net (rename gpio_inst_input_mapper_q_9_and0000 "gpio_inst/input_mapper_q_9_and0000") - (joined - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_0_renamed_720)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_1_renamed_719)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_2_renamed_718)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_3_renamed_717)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_4_renamed_716)) - (portRef CE (instanceRef gpio_inst_input_mapper_q_9_5_renamed_715)) - (portRef O (instanceRef gpio_inst_input_mapper_q_9_and000011)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_0 "gpio_inst/output_mapper_q_0_0") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_0_renamed_570)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000451_renamed_1412)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_1 "gpio_inst/output_mapper_q_0_1") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_1_renamed_569)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000841_renamed_2259)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000842_renamed_2260)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00005531_renamed_2265)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00005532_renamed_2266)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_2 "gpio_inst/output_mapper_q_0_2") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_2_renamed_568)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux000084_f5)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00002261_renamed_2261)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00002262_renamed_2262)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00003621_renamed_2263)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00003622_renamed_2264)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_3 "gpio_inst/output_mapper_q_0_3") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_3_renamed_567)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_4 "gpio_inst/output_mapper_q_0_4") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_4_renamed_566)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00006841_renamed_2267)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00006842)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_5 "gpio_inst/output_mapper_q_0_5") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_5_renamed_565)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux0000226_f5)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_6 "gpio_inst/output_mapper_q_0_6") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_0_6_renamed_564)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00006841_renamed_2267)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux00006842)) - ) - ) - (net (rename gpio_inst_output_mapper_q_0_and0000 "gpio_inst/output_mapper_q_0_and0000") - (joined - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_0_renamed_570)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_1_renamed_569)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_2_renamed_568)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_3_renamed_567)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_4_renamed_566)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_5_renamed_565)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_0_6_renamed_564)) - (portRef O (instanceRef gpio_inst_output_mapper_q_0_and00001)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_0 "gpio_inst/output_mapper_q_1_0") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_0_renamed_551)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000451_renamed_1504)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_1 "gpio_inst/output_mapper_q_1_1") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_1_renamed_550)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000841_renamed_2273)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000842_renamed_2274)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00005531_renamed_2279)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00005532_renamed_2280)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_2 "gpio_inst/output_mapper_q_1_2") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_2_renamed_549)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - (portRef S (instanceRef gpio_inst_spp_read_1_mux000084_f5)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00002261_renamed_2275)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00002262_renamed_2276)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00003621_renamed_2277)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux00003622_renamed_2278)) - (portRef S (instanceRef gpio_inst_spp_read_1_mux0000553_f5)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_3 "gpio_inst/output_mapper_q_1_3") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_3_renamed_548)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_4 "gpio_inst/output_mapper_q_1_4") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_4_renamed_547)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_5 "gpio_inst/output_mapper_q_1_5") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_5_renamed_546)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - (portRef S (instanceRef gpio_inst_spp_read_1_mux0000226_f5)) - (portRef S (instanceRef gpio_inst_spp_read_1_mux0000362_f5)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_6 "gpio_inst/output_mapper_q_1_6") - (joined - (portRef Q (instanceRef gpio_inst_output_mapper_q_1_6_renamed_545)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I2 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_F)) - (portRef I2 (instanceRef slot11_rx_inst_baudreset_or000011)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_and0000 "gpio_inst/output_mapper_q_1_and0000") - (joined - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_0_renamed_551)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_1_renamed_550)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_2_renamed_549)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_3_renamed_548)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_4_renamed_547)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_5_renamed_546)) - (portRef CE (instanceRef gpio_inst_output_mapper_q_1_6_renamed_545)) - (portRef O (instanceRef gpio_inst_output_mapper_q_1_and00001)) - ) - ) - (net (rename gpio_inst_output_mapper_q_1_and00001_SW0 "gpio_inst/output_mapper_q_1_and00001_SW0") - (joined - (portRef O (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I0 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW0_f5)) - ) - ) - (net (rename gpio_inst_ppspin_q_0_ "gpio_inst/ppspin_q<0>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_0)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_5_renamed_424)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_0_not0001 "gpio_inst/ppspin_q_0_not0001") - (joined - (portRef CE (instanceRef gpio_inst_ppspin_q_0)) - (portRef CE (instanceRef gpio_inst_ppspin_q_1)) - (portRef CE (instanceRef gpio_inst_ppspin_q_3)) - (portRef CE (instanceRef gpio_inst_ppspin_q_2)) - (portRef CE (instanceRef gpio_inst_ppspin_q_4)) - (portRef CE (instanceRef gpio_inst_ppspin_q_5)) - (portRef CE (instanceRef gpio_inst_ppspin_q_6)) - (portRef CE (instanceRef gpio_inst_ppspin_q_7)) - (portRef CE (instanceRef gpio_inst_ppspin_q_8)) - (portRef CE (instanceRef gpio_inst_ppspin_q_9)) - (portRef CE (instanceRef gpio_inst_ppspin_q_10)) - (portRef CE (instanceRef gpio_inst_ppspin_q_13)) - (portRef CE (instanceRef gpio_inst_ppspin_q_11)) - (portRef CE (instanceRef gpio_inst_ppspin_q_12)) - (portRef CE (instanceRef gpio_inst_ppspin_q_15)) - (portRef CE (instanceRef gpio_inst_ppspin_q_14)) - (portRef CE (instanceRef gpio_inst_ppspin_q_20)) - (portRef CE (instanceRef gpio_inst_ppspin_q_21)) - (portRef CE (instanceRef gpio_inst_ppspin_q_16)) - (portRef CE (instanceRef gpio_inst_ppspin_q_23)) - (portRef CE (instanceRef gpio_inst_ppspin_q_22)) - (portRef CE (instanceRef gpio_inst_ppspin_q_17)) - (portRef CE (instanceRef gpio_inst_ppspin_q_19)) - (portRef CE (instanceRef gpio_inst_ppspin_q_18)) - (portRef CE (instanceRef gpio_inst_ppspin_q_24)) - (portRef CE (instanceRef gpio_inst_ppspin_q_31)) - (portRef CE (instanceRef gpio_inst_ppspin_q_25)) - (portRef CE (instanceRef gpio_inst_ppspin_q_30)) - (portRef CE (instanceRef gpio_inst_ppspin_q_26)) - (portRef CE (instanceRef gpio_inst_ppspin_q_27)) - (portRef CE (instanceRef gpio_inst_ppspin_q_29)) - (portRef CE (instanceRef gpio_inst_ppspin_q_28)) - (portRef O (instanceRef gpio_inst_ppspin_q_0_not000111)) - ) - ) - (net (rename gpio_inst_ppspin_q_1_ "gpio_inst/ppspin_q<1>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_1)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_522_renamed_470)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_10_ "gpio_inst/ppspin_q<10>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_10)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_52_renamed_430)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_100_ "gpio_inst/ppspin_q<100>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_100)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_433)) - ) - ) - (net (rename gpio_inst_ppspin_q_101_ "gpio_inst/ppspin_q<101>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_101)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_434)) - ) - ) - (net (rename gpio_inst_ppspin_q_102_ "gpio_inst/ppspin_q<102>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_102)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_435)) - ) - ) - (net (rename gpio_inst_ppspin_q_103_ "gpio_inst/ppspin_q<103>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_103)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_436)) - ) - ) - (net (rename gpio_inst_ppspin_q_104_ "gpio_inst/ppspin_q<104>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_104)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_437)) - ) - ) - (net (rename gpio_inst_ppspin_q_105_ "gpio_inst/ppspin_q<105>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_105)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_438)) - ) - ) - (net (rename gpio_inst_ppspin_q_106_ "gpio_inst/ppspin_q<106>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_106)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_41_renamed_429)) - ) - ) - (net (rename gpio_inst_ppspin_q_107_ "gpio_inst/ppspin_q<107>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_107)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_42_renamed_433)) - ) - ) - (net (rename gpio_inst_ppspin_q_108_ "gpio_inst/ppspin_q<108>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_108)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_43_renamed_437)) - ) - ) - (net (rename gpio_inst_ppspin_q_109_ "gpio_inst/ppspin_q<109>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_109)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_44_renamed_441)) - ) - ) - (net (rename gpio_inst_ppspin_q_11_ "gpio_inst/ppspin_q<11>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_11)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_54_renamed_434)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_110_ "gpio_inst/ppspin_q<110>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_110)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_45_renamed_445)) - ) - ) - (net (rename gpio_inst_ppspin_q_111_ "gpio_inst/ppspin_q<111>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_111)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_46_renamed_449)) - ) - ) - (net (rename gpio_inst_ppspin_q_112_ "gpio_inst/ppspin_q<112>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_112)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_47_renamed_453)) - ) - ) - (net (rename gpio_inst_ppspin_q_113_ "gpio_inst/ppspin_q<113>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_113)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_48_renamed_457)) - ) - ) - (net (rename gpio_inst_ppspin_q_114_ "gpio_inst/ppspin_q<114>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_114)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_410_renamed_461)) - ) - ) - (net (rename gpio_inst_ppspin_q_115_ "gpio_inst/ppspin_q<115>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_115)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_412_renamed_465)) - ) - ) - (net (rename gpio_inst_ppspin_q_116_ "gpio_inst/ppspin_q<116>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_116)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_415_renamed_473)) - ) - ) - (net (rename gpio_inst_ppspin_q_117_ "gpio_inst/ppspin_q<117>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_117)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_417_renamed_477)) - ) - ) - (net (rename gpio_inst_ppspin_q_118_ "gpio_inst/ppspin_q<118>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_118)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_419_renamed_481)) - ) - ) - (net (rename gpio_inst_ppspin_q_119_ "gpio_inst/ppspin_q<119>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_119)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_421_renamed_485)) - ) - ) - (net (rename gpio_inst_ppspin_q_12_ "gpio_inst/ppspin_q<12>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_12)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_56_renamed_438)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_120_ "gpio_inst/ppspin_q<120>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_120)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_423_renamed_488)) - ) - ) - (net (rename gpio_inst_ppspin_q_121_ "gpio_inst/ppspin_q<121>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_121)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_424_renamed_492)) - ) - ) - (net (rename gpio_inst_ppspin_q_122_ "gpio_inst/ppspin_q<122>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_122)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_425_renamed_496)) - ) - ) - (net (rename gpio_inst_ppspin_q_123_ "gpio_inst/ppspin_q<123>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_123)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_426_renamed_500)) - ) - ) - (net (rename gpio_inst_ppspin_q_124_ "gpio_inst/ppspin_q<124>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_124)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_427_renamed_504)) - ) - ) - (net (rename gpio_inst_ppspin_q_125_ "gpio_inst/ppspin_q<125>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_125)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_428_renamed_508)) - ) - ) - (net (rename gpio_inst_ppspin_q_126_ "gpio_inst/ppspin_q<126>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_126)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_430_renamed_516)) - ) - ) - (net (rename gpio_inst_ppspin_q_127_ "gpio_inst/ppspin_q<127>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_127)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_431_renamed_520)) - ) - ) - (net (rename gpio_inst_ppspin_q_13_ "gpio_inst/ppspin_q<13>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_13)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_58_renamed_442)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_14_ "gpio_inst/ppspin_q<14>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_14)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_510_renamed_446)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_15_ "gpio_inst/ppspin_q<15>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_15)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_512_renamed_450)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_16_ "gpio_inst/ppspin_q<16>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_16)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_514_renamed_454)) - ) - ) - (net (rename gpio_inst_ppspin_q_17_ "gpio_inst/ppspin_q<17>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_17)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_516_renamed_458)) - ) - ) - (net (rename gpio_inst_ppspin_q_18_ "gpio_inst/ppspin_q<18>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_18)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_518_renamed_462)) - ) - ) - (net (rename gpio_inst_ppspin_q_19_ "gpio_inst/ppspin_q<19>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_19)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_520_renamed_466)) - ) - ) - (net (rename gpio_inst_ppspin_q_2_ "gpio_inst/ppspin_q<2>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_2)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_544_renamed_513)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_20_ "gpio_inst/ppspin_q<20>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_20)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_524_renamed_474)) - ) - ) - (net (rename gpio_inst_ppspin_q_21_ "gpio_inst/ppspin_q<21>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_21)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_526_renamed_478)) - ) - ) - (net (rename gpio_inst_ppspin_q_22_ "gpio_inst/ppspin_q<22>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_22)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_528_renamed_482)) - ) - ) - (net (rename gpio_inst_ppspin_q_23_ "gpio_inst/ppspin_q<23>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_23)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_530_renamed_486)) - ) - ) - (net (rename gpio_inst_ppspin_q_24_ "gpio_inst/ppspin_q<24>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_24)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_532_renamed_489)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_25_ "gpio_inst/ppspin_q<25>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_25)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_534_renamed_493)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_26_ "gpio_inst/ppspin_q<26>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_26)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_536_renamed_497)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_27_ "gpio_inst/ppspin_q<27>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_27)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_538_renamed_501)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_28_ "gpio_inst/ppspin_q<28>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_28)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_540_renamed_505)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_29_ "gpio_inst/ppspin_q<29>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_29)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_542_renamed_509)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_3_ "gpio_inst/ppspin_q<3>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_3)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_550_renamed_524)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_30_ "gpio_inst/ppspin_q<30>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_30)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_546_renamed_517)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_31_ "gpio_inst/ppspin_q<31>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_31)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_548_renamed_521)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_32_ "gpio_inst/ppspin_q<32>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_32)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_5_renamed_424)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_32_not0001 "gpio_inst/ppspin_q_32_not0001") - (joined - (portRef CE (instanceRef gpio_inst_ppspin_q_32)) - (portRef CE (instanceRef gpio_inst_ppspin_q_33)) - (portRef CE (instanceRef gpio_inst_ppspin_q_35)) - (portRef CE (instanceRef gpio_inst_ppspin_q_34)) - (portRef CE (instanceRef gpio_inst_ppspin_q_40)) - (portRef CE (instanceRef gpio_inst_ppspin_q_36)) - (portRef CE (instanceRef gpio_inst_ppspin_q_41)) - (portRef CE (instanceRef gpio_inst_ppspin_q_37)) - (portRef CE (instanceRef gpio_inst_ppspin_q_42)) - (portRef CE (instanceRef gpio_inst_ppspin_q_38)) - (portRef CE (instanceRef gpio_inst_ppspin_q_43)) - (portRef CE (instanceRef gpio_inst_ppspin_q_39)) - (portRef CE (instanceRef gpio_inst_ppspin_q_44)) - (portRef CE (instanceRef gpio_inst_ppspin_q_45)) - (portRef CE (instanceRef gpio_inst_ppspin_q_50)) - (portRef CE (instanceRef gpio_inst_ppspin_q_46)) - (portRef CE (instanceRef gpio_inst_ppspin_q_51)) - (portRef CE (instanceRef gpio_inst_ppspin_q_47)) - (portRef CE (instanceRef gpio_inst_ppspin_q_52)) - (portRef CE (instanceRef gpio_inst_ppspin_q_48)) - (portRef CE (instanceRef gpio_inst_ppspin_q_53)) - (portRef CE (instanceRef gpio_inst_ppspin_q_49)) - (portRef CE (instanceRef gpio_inst_ppspin_q_54)) - (portRef CE (instanceRef gpio_inst_ppspin_q_55)) - (portRef CE (instanceRef gpio_inst_ppspin_q_60)) - (portRef CE (instanceRef gpio_inst_ppspin_q_61)) - (portRef CE (instanceRef gpio_inst_ppspin_q_56)) - (portRef CE (instanceRef gpio_inst_ppspin_q_58)) - (portRef CE (instanceRef gpio_inst_ppspin_q_57)) - (portRef CE (instanceRef gpio_inst_ppspin_q_62)) - (portRef CE (instanceRef gpio_inst_ppspin_q_63)) - (portRef CE (instanceRef gpio_inst_ppspin_q_59)) - (portRef O (instanceRef gpio_inst_ppspin_q_32_not000111)) - ) - ) - (net (rename gpio_inst_ppspin_q_33_ "gpio_inst/ppspin_q<33>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_33)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_522_renamed_470)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_34_ "gpio_inst/ppspin_q<34>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_34)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_544_renamed_513)) - ) - ) - (net (rename gpio_inst_ppspin_q_35_ "gpio_inst/ppspin_q<35>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_35)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_550_renamed_524)) - ) - ) - (net (rename gpio_inst_ppspin_q_36_ "gpio_inst/ppspin_q<36>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_36)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_552_renamed_527)) - ) - ) - (net (rename gpio_inst_ppspin_q_37_ "gpio_inst/ppspin_q<37>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_37)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_554_renamed_530)) - ) - ) - (net (rename gpio_inst_ppspin_q_38_ "gpio_inst/ppspin_q<38>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_38)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_556_renamed_533)) - ) - ) - (net (rename gpio_inst_ppspin_q_39_ "gpio_inst/ppspin_q<39>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_39)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_558_renamed_536)) - ) - ) - (net (rename gpio_inst_ppspin_q_4_ "gpio_inst/ppspin_q<4>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_4)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_552_renamed_527)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_40_ "gpio_inst/ppspin_q<40>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_40)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_560_renamed_539)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_41_ "gpio_inst/ppspin_q<41>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_41)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_562_renamed_542)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_42_ "gpio_inst/ppspin_q<42>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_42)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_52_renamed_430)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_43_ "gpio_inst/ppspin_q<43>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_43)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_54_renamed_434)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_44_ "gpio_inst/ppspin_q<44>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_44)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_56_renamed_438)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_45_ "gpio_inst/ppspin_q<45>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_45)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_58_renamed_442)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_46_ "gpio_inst/ppspin_q<46>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_46)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_510_renamed_446)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_47_ "gpio_inst/ppspin_q<47>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_47)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_512_renamed_450)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_48_ "gpio_inst/ppspin_q<48>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_48)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_514_renamed_454)) - ) - ) - (net (rename gpio_inst_ppspin_q_49_ "gpio_inst/ppspin_q<49>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_49)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_516_renamed_458)) - ) - ) - (net (rename gpio_inst_ppspin_q_5_ "gpio_inst/ppspin_q<5>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_5)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_554_renamed_530)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_50_ "gpio_inst/ppspin_q<50>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_50)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_518_renamed_462)) - ) - ) - (net (rename gpio_inst_ppspin_q_51_ "gpio_inst/ppspin_q<51>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_51)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_520_renamed_466)) - ) - ) - (net (rename gpio_inst_ppspin_q_52_ "gpio_inst/ppspin_q<52>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_52)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_524_renamed_474)) - ) - ) - (net (rename gpio_inst_ppspin_q_53_ "gpio_inst/ppspin_q<53>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_53)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_526_renamed_478)) - ) - ) - (net (rename gpio_inst_ppspin_q_54_ "gpio_inst/ppspin_q<54>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_54)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_528_renamed_482)) - ) - ) - (net (rename gpio_inst_ppspin_q_55_ "gpio_inst/ppspin_q<55>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_55)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_530_renamed_486)) - ) - ) - (net (rename gpio_inst_ppspin_q_56_ "gpio_inst/ppspin_q<56>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_56)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_532_renamed_489)) - ) - ) - (net (rename gpio_inst_ppspin_q_57_ "gpio_inst/ppspin_q<57>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_57)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_534_renamed_493)) - ) - ) - (net (rename gpio_inst_ppspin_q_58_ "gpio_inst/ppspin_q<58>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_58)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_536_renamed_497)) - ) - ) - (net (rename gpio_inst_ppspin_q_59_ "gpio_inst/ppspin_q<59>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_59)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_538_renamed_501)) - ) - ) - (net (rename gpio_inst_ppspin_q_6_ "gpio_inst/ppspin_q<6>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_6)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_556_renamed_533)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_60_ "gpio_inst/ppspin_q<60>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_60)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_540_renamed_505)) - ) - ) - (net (rename gpio_inst_ppspin_q_61_ "gpio_inst/ppspin_q<61>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_61)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_542_renamed_509)) - ) - ) - (net (rename gpio_inst_ppspin_q_62_ "gpio_inst/ppspin_q<62>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_62)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_546_renamed_517)) - ) - ) - (net (rename gpio_inst_ppspin_q_63_ "gpio_inst/ppspin_q<63>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_63)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_548_renamed_521)) - ) - ) - (net (rename gpio_inst_ppspin_q_64_ "gpio_inst/ppspin_q<64>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_64)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_renamed_423)) - ) - ) - (net (rename gpio_inst_ppspin_q_64_not0001 "gpio_inst/ppspin_q_64_not0001") - (joined - (portRef CE (instanceRef gpio_inst_ppspin_q_64)) - (portRef CE (instanceRef gpio_inst_ppspin_q_66)) - (portRef CE (instanceRef gpio_inst_ppspin_q_65)) - (portRef CE (instanceRef gpio_inst_ppspin_q_70)) - (portRef CE (instanceRef gpio_inst_ppspin_q_72)) - (portRef CE (instanceRef gpio_inst_ppspin_q_71)) - (portRef CE (instanceRef gpio_inst_ppspin_q_67)) - (portRef CE (instanceRef gpio_inst_ppspin_q_69)) - (portRef CE (instanceRef gpio_inst_ppspin_q_68)) - (portRef CE (instanceRef gpio_inst_ppspin_q_73)) - (portRef CE (instanceRef gpio_inst_ppspin_q_80)) - (portRef CE (instanceRef gpio_inst_ppspin_q_74)) - (portRef CE (instanceRef gpio_inst_ppspin_q_75)) - (portRef CE (instanceRef gpio_inst_ppspin_q_77)) - (portRef CE (instanceRef gpio_inst_ppspin_q_76)) - (portRef CE (instanceRef gpio_inst_ppspin_q_81)) - (portRef CE (instanceRef gpio_inst_ppspin_q_83)) - (portRef CE (instanceRef gpio_inst_ppspin_q_82)) - (portRef CE (instanceRef gpio_inst_ppspin_q_78)) - (portRef CE (instanceRef gpio_inst_ppspin_q_85)) - (portRef CE (instanceRef gpio_inst_ppspin_q_79)) - (portRef CE (instanceRef gpio_inst_ppspin_q_84)) - (portRef CE (instanceRef gpio_inst_ppspin_q_91)) - (portRef CE (instanceRef gpio_inst_ppspin_q_90)) - (portRef CE (instanceRef gpio_inst_ppspin_q_86)) - (portRef CE (instanceRef gpio_inst_ppspin_q_88)) - (portRef CE (instanceRef gpio_inst_ppspin_q_87)) - (portRef CE (instanceRef gpio_inst_ppspin_q_92)) - (portRef CE (instanceRef gpio_inst_ppspin_q_94)) - (portRef CE (instanceRef gpio_inst_ppspin_q_93)) - (portRef CE (instanceRef gpio_inst_ppspin_q_89)) - (portRef CE (instanceRef gpio_inst_ppspin_q_95)) - (portRef O (instanceRef gpio_inst_ppspin_q_64_not000111)) - ) - ) - (net (rename gpio_inst_ppspin_q_65_ "gpio_inst/ppspin_q<65>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_65)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_414_renamed_469)) - ) - ) - (net (rename gpio_inst_ppspin_q_66_ "gpio_inst/ppspin_q<66>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_66)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_429_renamed_512)) - ) - ) - (net (rename gpio_inst_ppspin_q_67_ "gpio_inst/ppspin_q<67>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_67)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_432)) - ) - ) - (net (rename gpio_inst_ppspin_q_68_ "gpio_inst/ppspin_q<68>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_68)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_433)) - ) - ) - (net (rename gpio_inst_ppspin_q_69_ "gpio_inst/ppspin_q<69>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_69)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_434)) - ) - ) - (net (rename gpio_inst_ppspin_q_7_ "gpio_inst/ppspin_q<7>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_7)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_558_renamed_536)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_70_ "gpio_inst/ppspin_q<70>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_70)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_435)) - ) - ) - (net (rename gpio_inst_ppspin_q_71_ "gpio_inst/ppspin_q<71>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_71)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_436)) - ) - ) - (net (rename gpio_inst_ppspin_q_72_ "gpio_inst/ppspin_q<72>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_72)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_437)) - ) - ) - (net (rename gpio_inst_ppspin_q_73_ "gpio_inst/ppspin_q<73>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_73)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_438)) - ) - ) - (net (rename gpio_inst_ppspin_q_74_ "gpio_inst/ppspin_q<74>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_74)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_41_renamed_429)) - ) - ) - (net (rename gpio_inst_ppspin_q_75_ "gpio_inst/ppspin_q<75>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_75)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_42_renamed_433)) - ) - ) - (net (rename gpio_inst_ppspin_q_76_ "gpio_inst/ppspin_q<76>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_76)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_43_renamed_437)) - ) - ) - (net (rename gpio_inst_ppspin_q_77_ "gpio_inst/ppspin_q<77>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_77)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_44_renamed_441)) - ) - ) - (net (rename gpio_inst_ppspin_q_78_ "gpio_inst/ppspin_q<78>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_78)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_45_renamed_445)) - ) - ) - (net (rename gpio_inst_ppspin_q_79_ "gpio_inst/ppspin_q<79>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_79)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_46_renamed_449)) - ) - ) - (net (rename gpio_inst_ppspin_q_8_ "gpio_inst/ppspin_q<8>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_8)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_560_renamed_539)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_80_ "gpio_inst/ppspin_q<80>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_80)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_47_renamed_453)) - ) - ) - (net (rename gpio_inst_ppspin_q_81_ "gpio_inst/ppspin_q<81>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_81)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_48_renamed_457)) - ) - ) - (net (rename gpio_inst_ppspin_q_82_ "gpio_inst/ppspin_q<82>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_82)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_410_renamed_461)) - ) - ) - (net (rename gpio_inst_ppspin_q_83_ "gpio_inst/ppspin_q<83>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_83)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_412_renamed_465)) - ) - ) - (net (rename gpio_inst_ppspin_q_84_ "gpio_inst/ppspin_q<84>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_84)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_415_renamed_473)) - ) - ) - (net (rename gpio_inst_ppspin_q_85_ "gpio_inst/ppspin_q<85>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_85)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_417_renamed_477)) - ) - ) - (net (rename gpio_inst_ppspin_q_86_ "gpio_inst/ppspin_q<86>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_86)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_419_renamed_481)) - ) - ) - (net (rename gpio_inst_ppspin_q_87_ "gpio_inst/ppspin_q<87>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_87)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_421_renamed_485)) - ) - ) - (net (rename gpio_inst_ppspin_q_88_ "gpio_inst/ppspin_q<88>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_88)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_423_renamed_488)) - ) - ) - (net (rename gpio_inst_ppspin_q_89_ "gpio_inst/ppspin_q<89>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_89)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_424_renamed_492)) - ) - ) - (net (rename gpio_inst_ppspin_q_9_ "gpio_inst/ppspin_q<9>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_9)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_562_renamed_542)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux0002201)) - ) - ) - (net (rename gpio_inst_ppspin_q_90_ "gpio_inst/ppspin_q<90>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_90)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_425_renamed_496)) - ) - ) - (net (rename gpio_inst_ppspin_q_91_ "gpio_inst/ppspin_q<91>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_91)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_426_renamed_500)) - ) - ) - (net (rename gpio_inst_ppspin_q_92_ "gpio_inst/ppspin_q<92>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_92)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_427_renamed_504)) - ) - ) - (net (rename gpio_inst_ppspin_q_93_ "gpio_inst/ppspin_q<93>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_93)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_428_renamed_508)) - ) - ) - (net (rename gpio_inst_ppspin_q_94_ "gpio_inst/ppspin_q<94>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_94)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_430_renamed_516)) - ) - ) - (net (rename gpio_inst_ppspin_q_95_ "gpio_inst/ppspin_q<95>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_95)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_431_renamed_520)) - ) - ) - (net (rename gpio_inst_ppspin_q_96_ "gpio_inst/ppspin_q<96>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_96)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_4_renamed_423)) - ) - ) - (net (rename gpio_inst_ppspin_q_96_not0001 "gpio_inst/ppspin_q_96_not0001") - (joined - (portRef CE (instanceRef gpio_inst_ppspin_q_98)) - (portRef CE (instanceRef gpio_inst_ppspin_q_96)) - (portRef CE (instanceRef gpio_inst_ppspin_q_97)) - (portRef CE (instanceRef gpio_inst_ppspin_q_99)) - (portRef CE (instanceRef gpio_inst_ppspin_q_101)) - (portRef CE (instanceRef gpio_inst_ppspin_q_100)) - (portRef CE (instanceRef gpio_inst_ppspin_q_104)) - (portRef CE (instanceRef gpio_inst_ppspin_q_102)) - (portRef CE (instanceRef gpio_inst_ppspin_q_103)) - (portRef CE (instanceRef gpio_inst_ppspin_q_106)) - (portRef CE (instanceRef gpio_inst_ppspin_q_105)) - (portRef CE (instanceRef gpio_inst_ppspin_q_110)) - (portRef CE (instanceRef gpio_inst_ppspin_q_112)) - (portRef CE (instanceRef gpio_inst_ppspin_q_111)) - (portRef CE (instanceRef gpio_inst_ppspin_q_107)) - (portRef CE (instanceRef gpio_inst_ppspin_q_113)) - (portRef CE (instanceRef gpio_inst_ppspin_q_108)) - (portRef CE (instanceRef gpio_inst_ppspin_q_114)) - (portRef CE (instanceRef gpio_inst_ppspin_q_109)) - (portRef CE (instanceRef gpio_inst_ppspin_q_120)) - (portRef CE (instanceRef gpio_inst_ppspin_q_115)) - (portRef CE (instanceRef gpio_inst_ppspin_q_121)) - (portRef CE (instanceRef gpio_inst_ppspin_q_116)) - (portRef CE (instanceRef gpio_inst_ppspin_q_122)) - (portRef CE (instanceRef gpio_inst_ppspin_q_117)) - (portRef CE (instanceRef gpio_inst_ppspin_q_118)) - (portRef CE (instanceRef gpio_inst_ppspin_q_123)) - (portRef CE (instanceRef gpio_inst_ppspin_q_124)) - (portRef CE (instanceRef gpio_inst_ppspin_q_119)) - (portRef CE (instanceRef gpio_inst_ppspin_q_125)) - (portRef CE (instanceRef gpio_inst_ppspin_q_126)) - (portRef CE (instanceRef gpio_inst_ppspin_q_127)) - (portRef O (instanceRef gpio_inst_ppspin_q_96_not000111)) - ) - ) - (net (rename gpio_inst_ppspin_q_97_ "gpio_inst/ppspin_q<97>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_97)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_414_renamed_469)) - ) - ) - (net (rename gpio_inst_ppspin_q_98_ "gpio_inst/ppspin_q<98>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_98)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_429_renamed_512)) - ) - ) - (net (rename gpio_inst_ppspin_q_99_ "gpio_inst/ppspin_q<99>") - (joined - (portRef Q (instanceRef gpio_inst_ppspin_q_99)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_432)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000112 "gpio_inst/spp_read_0_mux0000112") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux000012 "gpio_inst/spp_read_0_mux000012") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000128 "gpio_inst/spp_read_0_mux0000128") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000128_renamed_1985)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00006841_renamed_2267)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux00006842)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000154 "gpio_inst/spp_read_0_mux0000154") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000169 "gpio_inst/spp_read_0_mux0000169") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000226 "gpio_inst/spp_read_0_mux0000226") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00002261 "gpio_inst/spp_read_0_mux00002261") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00002261_renamed_2261)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00002262 "gpio_inst/spp_read_0_mux00002262") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00002262_renamed_2262)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000254 "gpio_inst/spp_read_0_mux0000254") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000254_renamed_1407)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux000027 "gpio_inst/spp_read_0_mux000027") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000290 "gpio_inst/spp_read_0_mux0000290") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000305 "gpio_inst/spp_read_0_mux0000305") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000362 "gpio_inst/spp_read_0_mux0000362") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00003621 "gpio_inst/spp_read_0_mux00003621") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00003621_renamed_2263)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00003622 "gpio_inst/spp_read_0_mux00003622") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00003622_renamed_2264)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000390 "gpio_inst/spp_read_0_mux0000390") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000390_renamed_1410)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000434 "gpio_inst/spp_read_0_mux0000434") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000434_renamed_1411)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00006842)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000451 "gpio_inst/spp_read_0_mux0000451") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000451_renamed_1412)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000460 "gpio_inst/spp_read_0_mux0000460") - (joined - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000460_renamed_1984)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000481 "gpio_inst/spp_read_0_mux0000481") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000496 "gpio_inst/spp_read_0_mux0000496") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000553 "gpio_inst/spp_read_0_mux0000553") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00005531 "gpio_inst/spp_read_0_mux00005531") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00005531_renamed_2265)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00005532 "gpio_inst/spp_read_0_mux00005532") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00005532_renamed_2266)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000581 "gpio_inst/spp_read_0_mux0000581") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000581_renamed_1415)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000626 "gpio_inst/spp_read_0_mux0000626") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000626_renamed_1416)) - (portRef S (instanceRef gpio_inst_spp_read_0_mux0000684_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000684 "gpio_inst/spp_read_0_mux0000684") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00006841_renamed_2267)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000684_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux00006841 "gpio_inst/spp_read_0_mux00006841") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux00006842)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux0000684_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux000084 "gpio_inst/spp_read_0_mux000084") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000112_renamed_1404)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000841 "gpio_inst/spp_read_0_mux0000841") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000841_renamed_2259)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_0_mux0000842 "gpio_inst/spp_read_0_mux0000842") - (joined - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000842_renamed_2260)) - (portRef I0 (instanceRef gpio_inst_spp_read_0_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000112 "gpio_inst/spp_read_1_mux0000112") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux000012 "gpio_inst/spp_read_1_mux000012") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000128 "gpio_inst/spp_read_1_mux0000128") - (joined - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux0000128_renamed_2384)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000154 "gpio_inst/spp_read_1_mux0000154") - (joined - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000169 "gpio_inst/spp_read_1_mux0000169") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000226 "gpio_inst/spp_read_1_mux0000226") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00002261 "gpio_inst/spp_read_1_mux00002261") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00002261_renamed_2275)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00002262 "gpio_inst/spp_read_1_mux00002262") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00002262_renamed_2276)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000226_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000254 "gpio_inst/spp_read_1_mux0000254") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000254_renamed_1500)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux000027 "gpio_inst/spp_read_1_mux000027") - (joined - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000290 "gpio_inst/spp_read_1_mux0000290") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000305 "gpio_inst/spp_read_1_mux0000305") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000362 "gpio_inst/spp_read_1_mux0000362") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000362_f5)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00003621 "gpio_inst/spp_read_1_mux00003621") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00003621_renamed_2277)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00003622 "gpio_inst/spp_read_1_mux00003622") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00003622_renamed_2278)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000362_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000390 "gpio_inst/spp_read_1_mux0000390") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux0000390_renamed_2350)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000434 "gpio_inst/spp_read_1_mux0000434") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000434_renamed_1503)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000451 "gpio_inst/spp_read_1_mux0000451") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000451_renamed_1504)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000460 "gpio_inst/spp_read_1_mux0000460") - (joined - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000460_renamed_1982)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000481 "gpio_inst/spp_read_1_mux0000481") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000496 "gpio_inst/spp_read_1_mux0000496") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000553 "gpio_inst/spp_read_1_mux0000553") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000553_f5)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00005531 "gpio_inst/spp_read_1_mux00005531") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00005531_renamed_2279)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux00005532 "gpio_inst/spp_read_1_mux00005532") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux00005532_renamed_2280)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000553_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000581 "gpio_inst/spp_read_1_mux0000581") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef LO (instanceRef gpio_inst_spp_read_1_mux0000581_renamed_2351)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000626 "gpio_inst/spp_read_1_mux0000626") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000626_renamed_1507)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000670 "gpio_inst/spp_read_1_mux0000670") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000670_renamed_1508)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_F)) - (portRef I1 (instanceRef slot11_rx_inst_baudreset_or000011)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux000084 "gpio_inst/spp_read_1_mux000084") - (joined - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000112_renamed_1498)) - (portRef O (instanceRef gpio_inst_spp_read_1_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000841 "gpio_inst/spp_read_1_mux0000841") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000841_renamed_2273)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux000084_f5)) - ) - ) - (net (rename gpio_inst_spp_read_1_mux0000842 "gpio_inst/spp_read_1_mux0000842") - (joined - (portRef O (instanceRef gpio_inst_spp_read_1_mux0000842_renamed_2274)) - (portRef I0 (instanceRef gpio_inst_spp_read_1_mux000084_f5)) - ) - ) - (net (rename gpio_inst_wb_adr_i_3__mmx_out "gpio_inst/wb_adr_i<3>_mmx_out") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_14)) - (portRef O (instanceRef gpio_inst_wb_adr_i_3_1)) - ) - ) - (net (rename gpio_spp_read_0_ "gpio_spp_read<0>") - (joined - (portRef D (instanceRef slot1_zspi_read_reg_q_0)) - (portRef O (instanceRef gpio_inst_spp_read_0_mux0000684_f5)) - ) - ) - (net (rename ibufrx_sync_Mshreg_ff2 "ibufrx/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef D (instanceRef ibufrx_sync_ff2_renamed_2525)) - ) - ) - (net (rename ibufrx_sync_ff2 "ibufrx/sync/ff2") - (joined - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_0__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_0__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_0__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_1__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_2__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_3__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_4__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_5__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_6__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_7__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_8__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_9__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_10__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_11__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_12__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_13__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_14__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_15__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_16__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_17__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_18__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_19__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_20__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_21__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_22__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_23__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_24__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_25__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_26__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_27__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_28__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_29__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_30__)) - (portRef I0 (instanceRef rstgen_Mcount_rstcount_lut_31__)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudreset_or00001)) - (portRef I1 (instanceRef rstgen_rstcount_not00011)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - (portRef I (instanceRef rstgen_rx_inv1_INV_0)) - (portRef Q (instanceRef ibufrx_sync_ff2_renamed_2525)) - ) - ) - (net (rename pin00_sync_Mshreg_ff2 "pin00/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef D (instanceRef pin00_sync_ff2_renamed_2523)) - ) - ) - (net (rename pin00_sync_ff2 "pin00/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00005532_renamed_2266)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00005532_renamed_2280)) - (portRef Q (instanceRef pin00_sync_ff2_renamed_2523)) - ) - ) - (net (rename pin01_sync_Mshreg_ff2 "pin01/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef D (instanceRef pin01_sync_ff2_renamed_2521)) - ) - ) - (net (rename pin01_sync_ff2 "pin01/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef Q (instanceRef pin01_sync_ff2_renamed_2521)) - ) - ) - (net (rename pin02_sync_Mshreg_ff2 "pin02/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef D (instanceRef pin02_sync_ff2_renamed_2519)) - ) - ) - (net (rename pin02_sync_ff2 "pin02/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_615_renamed_515)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00005532_renamed_2266)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00005532_renamed_2280)) - (portRef Q (instanceRef pin02_sync_ff2_renamed_2519)) - ) - ) - (net (rename pin03_sync_Mshreg_ff2 "pin03/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef D (instanceRef pin03_sync_ff2_renamed_2517)) - ) - ) - (net (rename pin03_sync_ff2 "pin03/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_618_renamed_526)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef Q (instanceRef pin03_sync_ff2_renamed_2517)) - ) - ) - (net (rename pin04_sync_Mshreg_ff2 "pin04/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef D (instanceRef pin04_sync_ff2_renamed_2515)) - ) - ) - (net (rename pin04_sync_ff2 "pin04/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_619_renamed_529)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00005531_renamed_2265)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00005531_renamed_2279)) - (portRef Q (instanceRef pin04_sync_ff2_renamed_2515)) - ) - ) - (net (rename pin05_sync_Mshreg_ff2 "pin05/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef D (instanceRef pin05_sync_ff2_renamed_2513)) - ) - ) - (net (rename pin05_sync_ff2 "pin05/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_620_renamed_532)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000496_renamed_1414)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000496_renamed_1506)) - (portRef Q (instanceRef pin05_sync_ff2_renamed_2513)) - ) - ) - (net (rename pin06_sync_Mshreg_ff2 "pin06/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef D (instanceRef pin06_sync_ff2_renamed_2511)) - ) - ) - (net (rename pin06_sync_ff2 "pin06/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_621_renamed_535)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00005531_renamed_2265)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00005531_renamed_2279)) - (portRef Q (instanceRef pin06_sync_ff2_renamed_2511)) - ) - ) - (net (rename pin07_sync_Mshreg_ff2 "pin07/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef D (instanceRef pin07_sync_ff2_renamed_2509)) - ) - ) - (net (rename pin07_sync_ff2 "pin07/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_622_renamed_538)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000481_renamed_1413)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000481_renamed_1505)) - (portRef Q (instanceRef pin07_sync_ff2_renamed_2509)) - ) - ) - (net (rename pin08_sync_Mshreg_ff2 "pin08/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef D (instanceRef pin08_sync_ff2_renamed_2507)) - ) - ) - (net (rename pin08_sync_ff2 "pin08/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00003622_renamed_2264)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00003622_renamed_2278)) - (portRef Q (instanceRef pin08_sync_ff2_renamed_2507)) - ) - ) - (net (rename pin09_sync_Mshreg_ff2 "pin09/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef D (instanceRef pin09_sync_ff2_renamed_2505)) - ) - ) - (net (rename pin09_sync_ff2 "pin09/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00002262_renamed_2262)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00002262_renamed_2276)) - (portRef Q (instanceRef pin09_sync_ff2_renamed_2505)) - ) - ) - (net (rename pin10_sync_Mshreg_ff2 "pin10/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef D (instanceRef pin10_sync_ff2_renamed_2503)) - ) - ) - (net (rename pin10_sync_ff2 "pin10/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef Q (instanceRef pin10_sync_ff2_renamed_2503)) - ) - ) - (net (rename pin11_sync_Mshreg_ff2 "pin11/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef D (instanceRef pin11_sync_ff2_renamed_2501)) - ) - ) - (net (rename pin11_sync_ff2 "pin11/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef Q (instanceRef pin11_sync_ff2_renamed_2501)) - ) - ) - (net (rename pin12_sync_Mshreg_ff2 "pin12/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef D (instanceRef pin12_sync_ff2_renamed_2499)) - ) - ) - (net (rename pin12_sync_ff2 "pin12/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00003622_renamed_2264)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00003622_renamed_2278)) - (portRef Q (instanceRef pin12_sync_ff2_renamed_2499)) - ) - ) - (net (rename pin13_sync_Mshreg_ff2 "pin13/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef D (instanceRef pin13_sync_ff2_renamed_2497)) - ) - ) - (net (rename pin13_sync_ff2 "pin13/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00002262_renamed_2262)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00002262_renamed_2276)) - (portRef Q (instanceRef pin13_sync_ff2_renamed_2497)) - ) - ) - (net (rename pin14_sync_Mshreg_ff2 "pin14/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef D (instanceRef pin14_sync_ff2_renamed_2495)) - ) - ) - (net (rename pin14_sync_ff2 "pin14/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef Q (instanceRef pin14_sync_ff2_renamed_2495)) - ) - ) - (net (rename pin15_sync_Mshreg_ff2 "pin15/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef D (instanceRef pin15_sync_ff2_renamed_2493)) - ) - ) - (net (rename pin15_sync_ff2 "pin15/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - (portRef Q (instanceRef pin15_sync_ff2_renamed_2493)) - ) - ) - (net (rename pin24_sync_Mshreg_ff2 "pin24/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef D (instanceRef pin24_sync_ff2_renamed_2491)) - ) - ) - (net (rename pin24_sync_ff2 "pin24/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_69_renamed_491)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000842_renamed_2260)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000842_renamed_2274)) - (portRef Q (instanceRef pin24_sync_ff2_renamed_2491)) - ) - ) - (net (rename pin25_sync_Mshreg_ff2 "pin25/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef D (instanceRef pin25_sync_ff2_renamed_2489)) - ) - ) - (net (rename pin25_sync_ff2 "pin25/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_610_renamed_495)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - (portRef Q (instanceRef pin25_sync_ff2_renamed_2489)) - ) - ) - (net (rename pin26_sync_Mshreg_ff2 "pin26/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef D (instanceRef pin26_sync_ff2_renamed_2487)) - ) - ) - (net (rename pin26_sync_ff2 "pin26/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_611_renamed_499)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000842_renamed_2260)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000842_renamed_2274)) - (portRef Q (instanceRef pin26_sync_ff2_renamed_2487)) - ) - ) - (net (rename pin27_sync_Mshreg_ff2 "pin27/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef D (instanceRef pin27_sync_ff2_renamed_2485)) - ) - ) - (net (rename pin27_sync_ff2 "pin27/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_612_renamed_503)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef Q (instanceRef pin27_sync_ff2_renamed_2485)) - ) - ) - (net (rename pin28_sync_Mshreg_ff2 "pin28/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef D (instanceRef pin28_sync_ff2_renamed_2483)) - ) - ) - (net (rename pin28_sync_ff2 "pin28/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_613_renamed_507)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000841_renamed_2259)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000841_renamed_2273)) - (portRef Q (instanceRef pin28_sync_ff2_renamed_2483)) - ) - ) - (net (rename pin29_sync_Mshreg_ff2 "pin29/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef D (instanceRef pin29_sync_ff2_renamed_2481)) - ) - ) - (net (rename pin29_sync_ff2 "pin29/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_614_renamed_511)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux000027_renamed_1403)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux000027_renamed_2348)) - (portRef Q (instanceRef pin29_sync_ff2_renamed_2481)) - ) - ) - (net (rename pin30_sync_Mshreg_ff2 "pin30/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef D (instanceRef pin30_sync_ff2_renamed_2479)) - ) - ) - (net (rename pin30_sync_ff2 "pin30/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_616_renamed_519)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000841_renamed_2259)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000841_renamed_2273)) - (portRef Q (instanceRef pin30_sync_ff2_renamed_2479)) - ) - ) - (net (rename pin31_sync_Mshreg_ff2 "pin31/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef D (instanceRef pin31_sync_ff2_renamed_2477)) - ) - ) - (net (rename pin31_sync_ff2 "pin31/sync/ff2") - (joined - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_617_renamed_523)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux000012_renamed_1402)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux000012_renamed_1497)) - (portRef Q (instanceRef pin31_sync_ff2_renamed_2477)) - ) - ) - (net (rename pin32_sync_Mshreg_ff2 "pin32/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef D (instanceRef pin32_sync_ff2_renamed_2475)) - ) - ) - (net (rename pin32_sync_ff2 "pin32/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux0000451_renamed_1412)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux0000451_renamed_1504)) - (portRef Q (instanceRef pin32_sync_ff2_renamed_2475)) - ) - ) - (net (rename pin33_sync_Mshreg_ff2 "pin33/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef D (instanceRef pin33_sync_ff2_renamed_2473)) - ) - ) - (net (rename pin33_sync_ff2 "pin33/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux0000451_renamed_1412)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux0000451_renamed_1504)) - (portRef Q (instanceRef pin33_sync_ff2_renamed_2473)) - ) - ) - (net (rename pin40_sync_Mshreg_ff2 "pin40/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef D (instanceRef pin40_sync_ff2_renamed_2471)) - ) - ) - (net (rename pin40_sync_ff2 "pin40/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00003621_renamed_2263)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00003621_renamed_2277)) - (portRef Q (instanceRef pin40_sync_ff2_renamed_2471)) - ) - ) - (net (rename pin41_sync_Mshreg_ff2 "pin41/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef D (instanceRef pin41_sync_ff2_renamed_2469)) - ) - ) - (net (rename pin41_sync_ff2 "pin41/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef I1 (instanceRef gpio_inst_spp_read_0_mux00002261_renamed_2261)) - (portRef I1 (instanceRef gpio_inst_spp_read_1_mux00002261_renamed_2275)) - (portRef Q (instanceRef pin41_sync_ff2_renamed_2469)) - ) - ) - (net (rename pin42_sync_Mshreg_ff2 "pin42/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef D (instanceRef pin42_sync_ff2_renamed_2467)) - ) - ) - (net (rename pin42_sync_ff2 "pin42/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000305_renamed_1409)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000305_renamed_1502)) - (portRef Q (instanceRef pin42_sync_ff2_renamed_2467)) - ) - ) - (net (rename pin43_sync_Mshreg_ff2 "pin43/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef D (instanceRef pin43_sync_ff2_renamed_2461)) - ) - ) - (net (rename pin43_sync_ff2 "pin43/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000169_renamed_1406)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000169_renamed_1499)) - (portRef Q (instanceRef pin43_sync_ff2_renamed_2461)) - ) - ) - (net (rename pin44_sync_Mshreg_ff2 "pin44/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef D (instanceRef pin44_sync_ff2_renamed_2465)) - ) - ) - (net (rename pin44_sync_ff2 "pin44/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00003621_renamed_2263)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00003621_renamed_2277)) - (portRef Q (instanceRef pin44_sync_ff2_renamed_2465)) - ) - ) - (net (rename pin45_sync_Mshreg_ff2 "pin45/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef D (instanceRef pin45_sync_ff2_renamed_2463)) - ) - ) - (net (rename pin45_sync_ff2 "pin45/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef I2 (instanceRef gpio_inst_spp_read_0_mux00002261_renamed_2261)) - (portRef I2 (instanceRef gpio_inst_spp_read_1_mux00002261_renamed_2275)) - (portRef Q (instanceRef pin45_sync_ff2_renamed_2463)) - ) - ) - (net (rename pin46_sync_Mshreg_ff2 "pin46/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef D (instanceRef pin46_sync_ff2_renamed_2459)) - ) - ) - (net (rename pin46_sync_ff2 "pin46/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000290_renamed_1408)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000290_renamed_1501)) - (portRef Q (instanceRef pin46_sync_ff2_renamed_2459)) - ) - ) - (net (rename pin47_sync_Mshreg_ff2 "pin47/sync/Mshreg_ff2") - (joined - (portRef Q (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef D (instanceRef pin47_sync_ff2_renamed_2457)) - ) - ) - (net (rename pin47_sync_ff2 "pin47/sync/ff2") - (joined - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef I3 (instanceRef gpio_inst_spp_read_0_mux0000154_renamed_1405)) - (portRef I3 (instanceRef gpio_inst_spp_read_1_mux0000154_renamed_2349)) - (portRef Q (instanceRef pin47_sync_ff2_renamed_2457)) - ) - ) - (net (rename rstgen_Mcount_rstcount "rstgen/Mcount_rstcount") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_0__)) - (portRef D (instanceRef rstgen_rstcount_0)) - ) - ) - (net (rename rstgen_Mcount_rstcount1 "rstgen/Mcount_rstcount1") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_1__)) - (portRef D (instanceRef rstgen_rstcount_1)) - ) - ) - (net (rename rstgen_Mcount_rstcount10 "rstgen/Mcount_rstcount10") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_10__)) - (portRef D (instanceRef rstgen_rstcount_10)) - ) - ) - (net (rename rstgen_Mcount_rstcount11 "rstgen/Mcount_rstcount11") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_11__)) - (portRef D (instanceRef rstgen_rstcount_11)) - ) - ) - (net (rename rstgen_Mcount_rstcount12 "rstgen/Mcount_rstcount12") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_12__)) - (portRef D (instanceRef rstgen_rstcount_12)) - ) - ) - (net (rename rstgen_Mcount_rstcount13 "rstgen/Mcount_rstcount13") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_13__)) - (portRef D (instanceRef rstgen_rstcount_13)) - ) - ) - (net (rename rstgen_Mcount_rstcount14 "rstgen/Mcount_rstcount14") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_14__)) - (portRef D (instanceRef rstgen_rstcount_14)) - ) - ) - (net (rename rstgen_Mcount_rstcount15 "rstgen/Mcount_rstcount15") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_15__)) - (portRef D (instanceRef rstgen_rstcount_15)) - ) - ) - (net (rename rstgen_Mcount_rstcount16 "rstgen/Mcount_rstcount16") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_16__)) - (portRef D (instanceRef rstgen_rstcount_16)) - ) - ) - (net (rename rstgen_Mcount_rstcount17 "rstgen/Mcount_rstcount17") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_17__)) - (portRef D (instanceRef rstgen_rstcount_17)) - ) - ) - (net (rename rstgen_Mcount_rstcount18 "rstgen/Mcount_rstcount18") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_18__)) - (portRef D (instanceRef rstgen_rstcount_18)) - ) - ) - (net (rename rstgen_Mcount_rstcount19 "rstgen/Mcount_rstcount19") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_19__)) - (portRef D (instanceRef rstgen_rstcount_19)) - ) - ) - (net (rename rstgen_Mcount_rstcount2 "rstgen/Mcount_rstcount2") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_2__)) - (portRef D (instanceRef rstgen_rstcount_2)) - ) - ) - (net (rename rstgen_Mcount_rstcount20 "rstgen/Mcount_rstcount20") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_20__)) - (portRef D (instanceRef rstgen_rstcount_20)) - ) - ) - (net (rename rstgen_Mcount_rstcount21 "rstgen/Mcount_rstcount21") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_21__)) - (portRef D (instanceRef rstgen_rstcount_21)) - ) - ) - (net (rename rstgen_Mcount_rstcount22 "rstgen/Mcount_rstcount22") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_22__)) - (portRef D (instanceRef rstgen_rstcount_22)) - ) - ) - (net (rename rstgen_Mcount_rstcount23 "rstgen/Mcount_rstcount23") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_23__)) - (portRef D (instanceRef rstgen_rstcount_23)) - ) - ) - (net (rename rstgen_Mcount_rstcount24 "rstgen/Mcount_rstcount24") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_24__)) - (portRef D (instanceRef rstgen_rstcount_24)) - ) - ) - (net (rename rstgen_Mcount_rstcount25 "rstgen/Mcount_rstcount25") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_25__)) - (portRef D (instanceRef rstgen_rstcount_25)) - ) - ) - (net (rename rstgen_Mcount_rstcount26 "rstgen/Mcount_rstcount26") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_26__)) - (portRef D (instanceRef rstgen_rstcount_26)) - ) - ) - (net (rename rstgen_Mcount_rstcount27 "rstgen/Mcount_rstcount27") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_27__)) - (portRef D (instanceRef rstgen_rstcount_27)) - ) - ) - (net (rename rstgen_Mcount_rstcount28 "rstgen/Mcount_rstcount28") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_28__)) - (portRef D (instanceRef rstgen_rstcount_28)) - ) - ) - (net (rename rstgen_Mcount_rstcount29 "rstgen/Mcount_rstcount29") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_29__)) - (portRef D (instanceRef rstgen_rstcount_29)) - ) - ) - (net (rename rstgen_Mcount_rstcount3 "rstgen/Mcount_rstcount3") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_3__)) - (portRef D (instanceRef rstgen_rstcount_3)) - ) - ) - (net (rename rstgen_Mcount_rstcount30 "rstgen/Mcount_rstcount30") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_30__)) - (portRef D (instanceRef rstgen_rstcount_30)) - ) - ) - (net (rename rstgen_Mcount_rstcount31 "rstgen/Mcount_rstcount31") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_31__)) - (portRef D (instanceRef rstgen_rstcount_31)) - ) - ) - (net (rename rstgen_Mcount_rstcount4 "rstgen/Mcount_rstcount4") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_4__)) - (portRef D (instanceRef rstgen_rstcount_4)) - ) - ) - (net (rename rstgen_Mcount_rstcount5 "rstgen/Mcount_rstcount5") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_5__)) - (portRef D (instanceRef rstgen_rstcount_5)) - ) - ) - (net (rename rstgen_Mcount_rstcount6 "rstgen/Mcount_rstcount6") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_6__)) - (portRef D (instanceRef rstgen_rstcount_6)) - ) - ) - (net (rename rstgen_Mcount_rstcount7 "rstgen/Mcount_rstcount7") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_7__)) - (portRef D (instanceRef rstgen_rstcount_7)) - ) - ) - (net (rename rstgen_Mcount_rstcount8 "rstgen/Mcount_rstcount8") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_8__)) - (portRef D (instanceRef rstgen_rstcount_8)) - ) - ) - (net (rename rstgen_Mcount_rstcount9 "rstgen/Mcount_rstcount9") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_xor_9__)) - (portRef D (instanceRef rstgen_rstcount_9)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_0_ "rstgen/Mcount_rstcount_cy<0>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_0__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_1__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_1__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_10_ "rstgen/Mcount_rstcount_cy<10>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_10__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_11__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_11__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_11_ "rstgen/Mcount_rstcount_cy<11>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_11__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_12__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_12__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_12_ "rstgen/Mcount_rstcount_cy<12>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_12__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_13__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_13__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_13_ "rstgen/Mcount_rstcount_cy<13>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_13__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_14__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_14__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_14_ "rstgen/Mcount_rstcount_cy<14>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_14__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_15__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_15__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_15_ "rstgen/Mcount_rstcount_cy<15>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_15__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_16__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_16__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_16_ "rstgen/Mcount_rstcount_cy<16>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_16__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_17__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_17__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_17_ "rstgen/Mcount_rstcount_cy<17>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_17__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_18__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_18__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_18_ "rstgen/Mcount_rstcount_cy<18>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_18__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_19__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_19__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_19_ "rstgen/Mcount_rstcount_cy<19>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_19__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_20__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_20__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_1_ "rstgen/Mcount_rstcount_cy<1>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_1__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_2__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_2__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_20_ "rstgen/Mcount_rstcount_cy<20>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_20__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_21__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_21__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_21_ "rstgen/Mcount_rstcount_cy<21>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_21__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_22__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_22__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_22_ "rstgen/Mcount_rstcount_cy<22>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_22__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_23__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_23__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_23_ "rstgen/Mcount_rstcount_cy<23>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_23__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_24__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_24__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_24_ "rstgen/Mcount_rstcount_cy<24>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_24__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_25__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_25__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_25_ "rstgen/Mcount_rstcount_cy<25>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_25__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_26__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_26__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_26_ "rstgen/Mcount_rstcount_cy<26>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_26__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_27__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_27__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_27_ "rstgen/Mcount_rstcount_cy<27>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_27__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_28__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_28__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_28_ "rstgen/Mcount_rstcount_cy<28>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_28__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_29__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_29__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_29_ "rstgen/Mcount_rstcount_cy<29>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_29__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_30__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_30__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_2_ "rstgen/Mcount_rstcount_cy<2>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_2__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_3__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_3__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_30_ "rstgen/Mcount_rstcount_cy<30>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_30__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_31__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_3_ "rstgen/Mcount_rstcount_cy<3>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_3__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_4__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_4__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_4_ "rstgen/Mcount_rstcount_cy<4>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_4__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_5__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_5__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_5_ "rstgen/Mcount_rstcount_cy<5>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_5__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_6__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_6__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_6_ "rstgen/Mcount_rstcount_cy<6>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_6__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_7__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_7__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_7_ "rstgen/Mcount_rstcount_cy<7>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_7__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_8__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_8__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_8_ "rstgen/Mcount_rstcount_cy<8>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_8__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_9__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_9__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_cy_9_ "rstgen/Mcount_rstcount_cy<9>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_cy_9__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_cy_10__)) - (portRef CI (instanceRef rstgen_Mcount_rstcount_xor_10__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_0_ "rstgen/Mcount_rstcount_lut<0>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_0__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_0__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_0__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_10_ "rstgen/Mcount_rstcount_lut<10>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_10__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_10__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_10__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_11_ "rstgen/Mcount_rstcount_lut<11>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_11__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_11__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_11__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_12_ "rstgen/Mcount_rstcount_lut<12>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_12__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_12__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_12__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_13_ "rstgen/Mcount_rstcount_lut<13>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_13__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_13__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_13__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_14_ "rstgen/Mcount_rstcount_lut<14>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_14__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_14__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_14__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_15_ "rstgen/Mcount_rstcount_lut<15>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_15__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_15__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_15__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_16_ "rstgen/Mcount_rstcount_lut<16>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_16__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_16__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_16__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_17_ "rstgen/Mcount_rstcount_lut<17>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_17__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_17__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_17__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_18_ "rstgen/Mcount_rstcount_lut<18>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_18__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_18__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_18__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_19_ "rstgen/Mcount_rstcount_lut<19>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_19__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_19__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_19__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_1_ "rstgen/Mcount_rstcount_lut<1>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_1__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_1__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_1__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_20_ "rstgen/Mcount_rstcount_lut<20>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_20__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_20__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_20__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_21_ "rstgen/Mcount_rstcount_lut<21>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_21__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_21__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_21__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_22_ "rstgen/Mcount_rstcount_lut<22>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_22__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_22__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_22__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_23_ "rstgen/Mcount_rstcount_lut<23>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_23__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_23__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_23__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_24_ "rstgen/Mcount_rstcount_lut<24>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_24__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_24__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_24__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_25_ "rstgen/Mcount_rstcount_lut<25>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_25__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_25__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_25__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_26_ "rstgen/Mcount_rstcount_lut<26>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_26__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_26__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_26__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_27_ "rstgen/Mcount_rstcount_lut<27>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_27__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_27__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_27__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_28_ "rstgen/Mcount_rstcount_lut<28>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_28__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_28__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_28__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_29_ "rstgen/Mcount_rstcount_lut<29>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_29__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_29__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_29__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_2_ "rstgen/Mcount_rstcount_lut<2>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_2__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_2__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_2__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_30_ "rstgen/Mcount_rstcount_lut<30>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_30__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_30__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_30__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_31_ "rstgen/Mcount_rstcount_lut<31>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_31__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_31__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_3_ "rstgen/Mcount_rstcount_lut<3>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_3__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_3__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_3__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_4_ "rstgen/Mcount_rstcount_lut<4>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_4__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_4__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_4__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_5_ "rstgen/Mcount_rstcount_lut<5>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_5__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_5__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_5__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_6_ "rstgen/Mcount_rstcount_lut<6>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_6__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_6__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_6__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_7_ "rstgen/Mcount_rstcount_lut<7>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_7__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_7__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_7__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_8_ "rstgen/Mcount_rstcount_lut<8>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_8__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_8__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_8__)) - ) - ) - (net (rename rstgen_Mcount_rstcount_lut_9_ "rstgen/Mcount_rstcount_lut<9>") - (joined - (portRef O (instanceRef rstgen_Mcount_rstcount_lut_9__)) - (portRef S (instanceRef rstgen_Mcount_rstcount_cy_9__)) - (portRef LI (instanceRef rstgen_Mcount_rstcount_xor_9__)) - ) - ) - (net (rename rstgen_rstcount_0_ "rstgen/rstcount<0>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_0__)) - (portRef Q (instanceRef rstgen_rstcount_0)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - ) - ) - (net (rename rstgen_rstcount_1_ "rstgen/rstcount<1>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_1__)) - (portRef Q (instanceRef rstgen_rstcount_1)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - ) - ) - (net (rename rstgen_rstcount_10_ "rstgen/rstcount<10>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_10__)) - (portRef Q (instanceRef rstgen_rstcount_10)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - ) - ) - (net (rename rstgen_rstcount_11_ "rstgen/rstcount<11>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_11__)) - (portRef Q (instanceRef rstgen_rstcount_11)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - ) - ) - (net (rename rstgen_rstcount_12_ "rstgen/rstcount<12>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_12__)) - (portRef Q (instanceRef rstgen_rstcount_12)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - ) - ) - (net (rename rstgen_rstcount_13_ "rstgen/rstcount<13>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_13__)) - (portRef Q (instanceRef rstgen_rstcount_13)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - ) - ) - (net (rename rstgen_rstcount_14_ "rstgen/rstcount<14>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_14__)) - (portRef Q (instanceRef rstgen_rstcount_14)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - ) - ) - (net (rename rstgen_rstcount_15_ "rstgen/rstcount<15>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_15__)) - (portRef Q (instanceRef rstgen_rstcount_15)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - ) - ) - (net (rename rstgen_rstcount_16_ "rstgen/rstcount<16>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_16__)) - (portRef Q (instanceRef rstgen_rstcount_16)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - ) - ) - (net (rename rstgen_rstcount_17_ "rstgen/rstcount<17>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_17__)) - (portRef Q (instanceRef rstgen_rstcount_17)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - ) - ) - (net (rename rstgen_rstcount_18_ "rstgen/rstcount<18>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_18__)) - (portRef Q (instanceRef rstgen_rstcount_18)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - ) - ) - (net (rename rstgen_rstcount_19_ "rstgen/rstcount<19>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_19__)) - (portRef Q (instanceRef rstgen_rstcount_19)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - ) - ) - (net (rename rstgen_rstcount_2_ "rstgen/rstcount<2>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_2__)) - (portRef Q (instanceRef rstgen_rstcount_2)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - ) - ) - (net (rename rstgen_rstcount_20_ "rstgen/rstcount<20>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_20__)) - (portRef Q (instanceRef rstgen_rstcount_20)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - ) - ) - (net (rename rstgen_rstcount_21_ "rstgen/rstcount<21>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_21__)) - (portRef Q (instanceRef rstgen_rstcount_21)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - ) - ) - (net (rename rstgen_rstcount_22_ "rstgen/rstcount<22>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_22__)) - (portRef Q (instanceRef rstgen_rstcount_22)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - ) - ) - (net (rename rstgen_rstcount_23_ "rstgen/rstcount<23>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_23__)) - (portRef Q (instanceRef rstgen_rstcount_23)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - ) - ) - (net (rename rstgen_rstcount_24_ "rstgen/rstcount<24>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_24__)) - (portRef Q (instanceRef rstgen_rstcount_24)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - ) - ) - (net (rename rstgen_rstcount_25_ "rstgen/rstcount<25>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_25__)) - (portRef Q (instanceRef rstgen_rstcount_25)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - ) - ) - (net (rename rstgen_rstcount_26_ "rstgen/rstcount<26>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_26__)) - (portRef Q (instanceRef rstgen_rstcount_26)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - ) - ) - (net (rename rstgen_rstcount_27_ "rstgen/rstcount<27>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_27__)) - (portRef Q (instanceRef rstgen_rstcount_27)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - ) - ) - (net (rename rstgen_rstcount_28_ "rstgen/rstcount<28>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_28__)) - (portRef Q (instanceRef rstgen_rstcount_28)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - ) - ) - (net (rename rstgen_rstcount_29_ "rstgen/rstcount<29>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_29__)) - (portRef Q (instanceRef rstgen_rstcount_29)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - ) - ) - (net (rename rstgen_rstcount_3_ "rstgen/rstcount<3>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_3__)) - (portRef Q (instanceRef rstgen_rstcount_3)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - ) - ) - (net (rename rstgen_rstcount_30_ "rstgen/rstcount<30>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_30__)) - (portRef Q (instanceRef rstgen_rstcount_30)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - ) - ) - (net (rename rstgen_rstcount_31_ "rstgen/rstcount<31>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_31__)) - (portRef Q (instanceRef rstgen_rstcount_31)) - (portRef I3 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - ) - ) - (net (rename rstgen_rstcount_4_ "rstgen/rstcount<4>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_4__)) - (portRef Q (instanceRef rstgen_rstcount_4)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - ) - ) - (net (rename rstgen_rstcount_5_ "rstgen/rstcount<5>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_5__)) - (portRef Q (instanceRef rstgen_rstcount_5)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - ) - ) - (net (rename rstgen_rstcount_6_ "rstgen/rstcount<6>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_6__)) - (portRef Q (instanceRef rstgen_rstcount_6)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - ) - ) - (net (rename rstgen_rstcount_7_ "rstgen/rstcount<7>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_7__)) - (portRef Q (instanceRef rstgen_rstcount_7)) - (portRef I2 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - ) - ) - (net (rename rstgen_rstcount_8_ "rstgen/rstcount<8>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_8__)) - (portRef Q (instanceRef rstgen_rstcount_8)) - (portRef I0 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - ) - ) - (net (rename rstgen_rstcount_9_ "rstgen/rstcount<9>") - (joined - (portRef I1 (instanceRef rstgen_Mcount_rstcount_lut_9__)) - (portRef Q (instanceRef rstgen_rstcount_9)) - (portRef I1 (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - ) - ) - (net (rename rstgen_rstcount_not0001 "rstgen/rstcount_not0001") - (joined - (portRef CE (instanceRef rstgen_rstcount_0)) - (portRef CE (instanceRef rstgen_rstcount_1)) - (portRef CE (instanceRef rstgen_rstcount_2)) - (portRef CE (instanceRef rstgen_rstcount_5)) - (portRef CE (instanceRef rstgen_rstcount_3)) - (portRef CE (instanceRef rstgen_rstcount_4)) - (portRef CE (instanceRef rstgen_rstcount_6)) - (portRef CE (instanceRef rstgen_rstcount_7)) - (portRef CE (instanceRef rstgen_rstcount_8)) - (portRef CE (instanceRef rstgen_rstcount_9)) - (portRef CE (instanceRef rstgen_rstcount_10)) - (portRef CE (instanceRef rstgen_rstcount_11)) - (portRef CE (instanceRef rstgen_rstcount_12)) - (portRef CE (instanceRef rstgen_rstcount_13)) - (portRef CE (instanceRef rstgen_rstcount_14)) - (portRef CE (instanceRef rstgen_rstcount_15)) - (portRef CE (instanceRef rstgen_rstcount_16)) - (portRef CE (instanceRef rstgen_rstcount_17)) - (portRef CE (instanceRef rstgen_rstcount_20)) - (portRef CE (instanceRef rstgen_rstcount_18)) - (portRef CE (instanceRef rstgen_rstcount_19)) - (portRef CE (instanceRef rstgen_rstcount_21)) - (portRef CE (instanceRef rstgen_rstcount_22)) - (portRef CE (instanceRef rstgen_rstcount_23)) - (portRef CE (instanceRef rstgen_rstcount_24)) - (portRef CE (instanceRef rstgen_rstcount_25)) - (portRef CE (instanceRef rstgen_rstcount_26)) - (portRef CE (instanceRef rstgen_rstcount_27)) - (portRef CE (instanceRef rstgen_rstcount_28)) - (portRef CE (instanceRef rstgen_rstcount_29)) - (portRef CE (instanceRef rstgen_rstcount_30)) - (portRef CE (instanceRef rstgen_rstcount_31)) - (portRef O (instanceRef rstgen_rstcount_not00011)) - ) - ) - (net (rename rstgen_rstcount_zero_q "rstgen/rstcount_zero_q") - (joined - (portRef Q (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef I3 (instanceRef zpuino_core_shl_done_or00001)) - (portRef I3 (instanceRef zpuino_io_addr_save_q_or00001)) - (portRef I0 (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - (portRef I3 (instanceRef zpuino_core_exr_tos_save_not00011)) - (portRef I3 (instanceRef zpuino_core_stack_b_addr_10_11)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_wb_rst_i_inv1)) - (portRef I1 (instanceRef zpuino_memory_rom_do_wait_or00001)) - (portRef I1 (instanceRef zpuino_memory_ramregs_do_wait_or00001)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I1 (instanceRef uart_inst_dready_q_or00001)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I1 (instanceRef slot11_dready_q_or00001)) - (portRef I1 (instanceRef zpuino_io_write_save_q_not00011)) - (portRef I1 (instanceRef zpuino_io_io_we_or0000_inv1)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_not00021)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_not00021)) - (portRef I1 (instanceRef uart_inst_fifo_instance__and000011)) - (portRef I1 (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - (portRef I2 (instanceRef crc16_inst_data_q_not00011)) - (portRef I2 (instanceRef zpuino_io_io_cyc_or0000_inv1)) - (portRef I1 (instanceRef zpuino_io_io_stb_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_ien_q_or00001)) - (portRef I1 (instanceRef zpuino_core_prefr_pc_or0000_inv1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_served_q_not00011)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000119)) - (portRef I1 (instanceRef zpuino_core_prefr_valid_or00001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011)) - (portRef I3 (instanceRef uart_inst_tx_timer_clkout_or00001)) - (portRef I3 (instanceRef slot11_tx_timer_clkout_or00001)) - (portRef I3 (instanceRef uart_inst_tx_core_t_r_not00011)) - (portRef I3 (instanceRef slot11_tx_core_t_r_not00011)) - (portRef I1 (instanceRef slot1_zspi_read_reg_q_not00011)) - (portRef I1 (instanceRef slot0_zspi_read_reg_q_not00011)) - (portRef I3 (instanceRef slot1_zspiclk_clk_i_or00001)) - (portRef I3 (instanceRef slot0_zspiclk_clk_i_or00001)) - (portRef I2 (instanceRef uart_inst_rx_timer_clkout_or00001)) - (portRef I2 (instanceRef slot11_rx_timer_clkout_or00001)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - (portRef I1 (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - (portRef I1 (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - (portRef I3 (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - (portRef I1 (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - (portRef I3 (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - (portRef I1 (instanceRef slot0_spi_en_or0000_renamed_2173)) - (portRef I1 (instanceRef slot1_spi_en_or0000_renamed_2174)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133)) - (portRef I3 (instanceRef zpuino_rom_wb_cti_i_0_1)) - (portRef I2 (instanceRef zpuino_core_shl_output_not00011)) - (portRef I3 (instanceRef zpuino_io_addr_save_q_not00011)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - (portRef I2 (instanceRef slot1_zspiclk_running_q_or00001)) - (portRef I2 (instanceRef slot0_zspiclk_running_q_or00001)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - (portRef I0 (instanceRef rstgen_rstout_or00001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - (portRef I0 (instanceRef rstgen_rstout_or00001_1_renamed_2219)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000 "rstgen/rstcount_zero_q_and0000") - (joined - (portRef D (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_7__)) - (portRef I0 (instanceRef rstgen_rstcount_not00011)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_0_ "rstgen/rstcount_zero_q_and0000_wg_cy<0>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_0__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_1__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_1_ "rstgen/rstcount_zero_q_and0000_wg_cy<1>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_1__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_2__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_2_ "rstgen/rstcount_zero_q_and0000_wg_cy<2>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_2__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_3__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_3_ "rstgen/rstcount_zero_q_and0000_wg_cy<3>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_3__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_4__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_4_ "rstgen/rstcount_zero_q_and0000_wg_cy<4>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_4__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_5__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_5_ "rstgen/rstcount_zero_q_and0000_wg_cy<5>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_5__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_6__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_cy_6_ "rstgen/rstcount_zero_q_and0000_wg_cy<6>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_6__)) - (portRef CI (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_7__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_0_ "rstgen/rstcount_zero_q_and0000_wg_lut<0>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_0__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_0__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_1_ "rstgen/rstcount_zero_q_and0000_wg_lut<1>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_1__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_1__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_2_ "rstgen/rstcount_zero_q_and0000_wg_lut<2>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_2__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_2__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_3_ "rstgen/rstcount_zero_q_and0000_wg_lut<3>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_3__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_3__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_4_ "rstgen/rstcount_zero_q_and0000_wg_lut<4>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_4__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_4__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_5_ "rstgen/rstcount_zero_q_and0000_wg_lut<5>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_5__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_5__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_6_ "rstgen/rstcount_zero_q_and0000_wg_lut<6>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_6__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_6__)) - ) - ) - (net (rename rstgen_rstcount_zero_q_and0000_wg_lut_7_ "rstgen/rstcount_zero_q_and0000_wg_lut<7>") - (joined - (portRef O (instanceRef rstgen_rstcount_zero_q_and0000_wg_lut_7__)) - (portRef S (instanceRef rstgen_rstcount_zero_q_and0000_wg_cy_7__)) - ) - ) - (net (rename rstgen_rstout_or00001_1 "rstgen/rstout_or00001_1") - (joined - (portRef O (instanceRef rstgen_rstout_or00001_1_renamed_2219)) - (portRef R (instanceRef slot9_read_ended_renamed_8)) - (portRef PRE (instanceRef slot9_rstq2_renamed_7)) - (portRef R (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef R (instanceRef zpuino_io_wb_ack_o_renamed_10)) - (portRef R (instanceRef zpuino_core_decr_pcint_0_renamed_31)) - (portRef R (instanceRef zpuino_core_decr_pcint_2_renamed_33)) - (portRef R (instanceRef zpuino_core_decr_pcint_1_renamed_32)) - (portRef R (instanceRef zpuino_core_decr_pcint_3_renamed_34)) - (portRef R (instanceRef zpuino_core_decr_pcint_4_renamed_35)) - (portRef R (instanceRef zpuino_core_decr_pcint_5_renamed_36)) - (portRef R (instanceRef zpuino_core_decr_pcint_7_renamed_38)) - (portRef R (instanceRef zpuino_core_decr_pcint_6_renamed_37)) - (portRef R (instanceRef zpuino_core_decr_pcint_8_renamed_39)) - (portRef R (instanceRef zpuino_core_decr_pcint_9_renamed_40)) - (portRef R (instanceRef zpuino_core_decr_pcint_10_renamed_41)) - (portRef R (instanceRef zpuino_core_decr_pcint_11_renamed_42)) - (portRef R (instanceRef zpuino_core_decr_pcint_12_renamed_43)) - (portRef R (instanceRef zpuino_core_decr_pcint_13_renamed_44)) - (portRef R (instanceRef zpuino_core_decr_break_renamed_45)) - (portRef R (instanceRef zpuino_core_decr_im_renamed_80)) - (portRef R (instanceRef zpuino_core_decr_valid_renamed_79)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_0_renamed_81)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_1_renamed_82)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_2_renamed_83)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_3_renamed_84)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_4_renamed_85)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_5_renamed_86)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_6_renamed_87)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_8_renamed_89)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_7_renamed_88)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_9_renamed_90)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_10_renamed_91)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_11_renamed_92)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_12_renamed_93)) - (portRef R (instanceRef zpuino_core_decr_fetchpc_13_renamed_94)) - (portRef R (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef S (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef S (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef S (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef S (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef S (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef S (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef S (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef S (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef R (instanceRef zpuino_core_exr_wb_cyc_renamed_171)) - (portRef R (instanceRef zpuino_core_exr_inInterrupt_renamed_172)) - (portRef PRE (instanceRef slot9_rstq1_renamed_173)) - (portRef S (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef R (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef S (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef S (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef S (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef S (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef S (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef S (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef S (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef R (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef R (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef R (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef R (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef R (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef R (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef R (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef R (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef R (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef R (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef R (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef R (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef R (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef R (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef S (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef R (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef S (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef R (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef S (instanceRef zpuino_core_exr_state_FSM_FFd14_renamed_421)) - (portRef R (instanceRef zpuino_core_decr_state_FSM_FFd2_renamed_408)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd13_renamed_420)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd12_renamed_419)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd11_renamed_418)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd9_renamed_416)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd10_renamed_417)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd7_renamed_415)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd6_renamed_414)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd5_renamed_413)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd3_renamed_411)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd4_renamed_412)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd2_renamed_410)) - (portRef R (instanceRef zpuino_core_exr_state_FSM_FFd1_renamed_409)) - (portRef R (instanceRef sid_sd_data_out_renamed_422)) - (portRef R (instanceRef sid_sd_sigma_latch_18)) - (portRef S (instanceRef sid_sd_sigma_latch_19)) - (portRef R (instanceRef gpio_inst_ppspin_q_98)) - (portRef R (instanceRef gpio_inst_ppspin_q_96)) - (portRef R (instanceRef gpio_inst_ppspin_q_99)) - (portRef R (instanceRef gpio_inst_ppspin_q_97)) - (portRef R (instanceRef gpio_inst_ppspin_q_101)) - (portRef R (instanceRef gpio_inst_ppspin_q_100)) - (portRef R (instanceRef gpio_inst_ppspin_q_104)) - (portRef R (instanceRef gpio_inst_ppspin_q_102)) - (portRef R (instanceRef gpio_inst_ppspin_q_103)) - (portRef R (instanceRef gpio_inst_ppspin_q_106)) - (portRef R (instanceRef gpio_inst_ppspin_q_105)) - (portRef R (instanceRef gpio_inst_ppspin_q_112)) - (portRef R (instanceRef gpio_inst_ppspin_q_110)) - (portRef R (instanceRef gpio_inst_ppspin_q_111)) - (portRef R (instanceRef gpio_inst_ppspin_q_107)) - (portRef R (instanceRef gpio_inst_ppspin_q_113)) - (portRef R (instanceRef gpio_inst_ppspin_q_108)) - (portRef R (instanceRef gpio_inst_ppspin_q_114)) - (portRef R (instanceRef gpio_inst_ppspin_q_109)) - (portRef R (instanceRef gpio_inst_ppspin_q_120)) - (portRef R (instanceRef gpio_inst_ppspin_q_121)) - (portRef R (instanceRef gpio_inst_ppspin_q_115)) - (portRef R (instanceRef gpio_inst_ppspin_q_116)) - (portRef R (instanceRef gpio_inst_ppspin_q_122)) - (portRef R (instanceRef gpio_inst_ppspin_q_117)) - (portRef R (instanceRef gpio_inst_ppspin_q_118)) - (portRef R (instanceRef gpio_inst_ppspin_q_123)) - (portRef R (instanceRef gpio_inst_ppspin_q_124)) - (portRef R (instanceRef gpio_inst_ppspin_q_119)) - (portRef R (instanceRef gpio_inst_ppspin_q_126)) - (portRef R (instanceRef gpio_inst_ppspin_q_125)) - (portRef R (instanceRef gpio_inst_ppspin_q_127)) - (portRef R (instanceRef gpio_inst_ppspin_q_0)) - (portRef R (instanceRef gpio_inst_ppspin_q_1)) - (portRef R (instanceRef gpio_inst_ppspin_q_3)) - (portRef R (instanceRef gpio_inst_ppspin_q_2)) - (portRef R (instanceRef gpio_inst_ppspin_q_4)) - (portRef R (instanceRef gpio_inst_ppspin_q_5)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef R (instanceRef gpio_inst_ppspin_q_6)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef R (instanceRef gpio_inst_ppspin_q_7)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef R (instanceRef gpio_inst_ppspin_q_8)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef R (instanceRef gpio_inst_ppspin_q_9)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef R (instanceRef gpio_inst_ppspin_q_10)) - (portRef R (instanceRef gpio_inst_ppspin_q_13)) - (portRef R (instanceRef gpio_inst_ppspin_q_11)) - (portRef R (instanceRef gpio_inst_ppspin_q_12)) - (portRef R (instanceRef gpio_inst_ppspin_q_14)) - (portRef R (instanceRef gpio_inst_ppspin_q_15)) - (portRef R (instanceRef gpio_inst_ppspin_q_20)) - (portRef R (instanceRef gpio_inst_ppspin_q_21)) - (portRef R (instanceRef gpio_inst_ppspin_q_16)) - (portRef R (instanceRef gpio_inst_ppspin_q_22)) - (portRef R (instanceRef gpio_inst_ppspin_q_23)) - (portRef R (instanceRef gpio_inst_ppspin_q_17)) - (portRef R (instanceRef gpio_inst_ppspin_q_19)) - (portRef R (instanceRef gpio_inst_ppspin_q_18)) - (portRef R (instanceRef gpio_inst_ppspin_q_24)) - (portRef R (instanceRef gpio_inst_ppspin_q_31)) - (portRef R (instanceRef gpio_inst_ppspin_q_25)) - (portRef R (instanceRef gpio_inst_ppspin_q_30)) - (portRef R (instanceRef gpio_inst_ppspin_q_26)) - (portRef R (instanceRef gpio_inst_ppspin_q_32)) - (portRef R (instanceRef gpio_inst_ppspin_q_27)) - (portRef R (instanceRef gpio_inst_ppspin_q_29)) - (portRef R (instanceRef gpio_inst_ppspin_q_28)) - (portRef R (instanceRef gpio_inst_ppspin_q_33)) - (portRef R (instanceRef gpio_inst_ppspin_q_35)) - (portRef R (instanceRef gpio_inst_ppspin_q_34)) - (portRef R (instanceRef gpio_inst_ppspin_q_40)) - (portRef R (instanceRef gpio_inst_ppspin_q_41)) - (portRef R (instanceRef gpio_inst_ppspin_q_36)) - (portRef R (instanceRef gpio_inst_ppspin_q_37)) - (portRef R (instanceRef gpio_inst_ppspin_q_42)) - (portRef R (instanceRef gpio_inst_ppspin_q_38)) - (portRef R (instanceRef gpio_inst_ppspin_q_43)) - (portRef R (instanceRef gpio_inst_ppspin_q_39)) - (portRef R (instanceRef gpio_inst_ppspin_q_44)) - (portRef R (instanceRef gpio_inst_ppspin_q_45)) - (portRef R (instanceRef gpio_inst_ppspin_q_46)) - (portRef R (instanceRef gpio_inst_ppspin_q_50)) - (portRef R (instanceRef gpio_inst_ppspin_q_51)) - (portRef R (instanceRef gpio_inst_ppspin_q_47)) - (portRef R (instanceRef gpio_inst_ppspin_q_52)) - (portRef R (instanceRef gpio_inst_ppspin_q_53)) - (portRef R (instanceRef gpio_inst_ppspin_q_48)) - (portRef R (instanceRef gpio_inst_ppspin_q_49)) - (portRef R (instanceRef gpio_inst_ppspin_q_54)) - (portRef R (instanceRef gpio_inst_ppspin_q_55)) - (portRef R (instanceRef gpio_inst_ppspin_q_61)) - (portRef R (instanceRef gpio_inst_ppspin_q_60)) - (portRef R (instanceRef gpio_inst_ppspin_q_56)) - (portRef R (instanceRef gpio_inst_ppspin_q_58)) - (portRef R (instanceRef gpio_inst_ppspin_q_57)) - (portRef R (instanceRef gpio_inst_ppspin_q_62)) - (portRef R (instanceRef gpio_inst_ppspin_q_64)) - (portRef R (instanceRef gpio_inst_ppspin_q_63)) - (portRef R (instanceRef gpio_inst_ppspin_q_59)) - (portRef R (instanceRef gpio_inst_ppspin_q_65)) - (portRef R (instanceRef gpio_inst_ppspin_q_66)) - (portRef R (instanceRef gpio_inst_ppspin_q_70)) - (portRef R (instanceRef gpio_inst_ppspin_q_72)) - (portRef R (instanceRef gpio_inst_ppspin_q_71)) - (portRef R (instanceRef gpio_inst_ppspin_q_67)) - (portRef R (instanceRef gpio_inst_ppspin_q_69)) - (portRef R (instanceRef gpio_inst_ppspin_q_68)) - (portRef R (instanceRef gpio_inst_ppspin_q_73)) - (portRef R (instanceRef gpio_inst_ppspin_q_74)) - (portRef R (instanceRef gpio_inst_ppspin_q_80)) - (portRef R (instanceRef gpio_inst_ppspin_q_75)) - (portRef R (instanceRef gpio_inst_ppspin_q_77)) - (portRef R (instanceRef gpio_inst_ppspin_q_76)) - (portRef R (instanceRef gpio_inst_ppspin_q_81)) - (portRef R (instanceRef gpio_inst_ppspin_q_83)) - (portRef R (instanceRef gpio_inst_ppspin_q_82)) - (portRef R (instanceRef gpio_inst_ppspin_q_78)) - (portRef R (instanceRef gpio_inst_ppspin_q_79)) - (portRef R (instanceRef gpio_inst_ppspin_q_85)) - (portRef R (instanceRef gpio_inst_ppspin_q_84)) - (portRef R (instanceRef gpio_inst_ppspin_q_91)) - (portRef R (instanceRef gpio_inst_ppspin_q_90)) - (portRef R (instanceRef gpio_inst_ppspin_q_88)) - (portRef R (instanceRef gpio_inst_ppspin_q_86)) - (portRef R (instanceRef gpio_inst_ppspin_q_87)) - (portRef R (instanceRef gpio_inst_ppspin_q_92)) - (portRef R (instanceRef gpio_inst_ppspin_q_94)) - (portRef R (instanceRef gpio_inst_ppspin_q_89)) - (portRef R (instanceRef gpio_inst_ppspin_q_93)) - (portRef R (instanceRef gpio_inst_ppspin_q_95)) - (portRef S (instanceRef gpio_inst_gpio_o_48)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef S (instanceRef gpio_inst_gpio_o_24)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_24)) - (portRef S (instanceRef gpio_inst_gpio_o_25)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_25)) - (portRef S (instanceRef gpio_inst_gpio_o_26)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_26)) - (portRef S (instanceRef gpio_inst_gpio_o_27)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_27)) - (portRef S (instanceRef gpio_inst_gpio_o_28)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_28)) - (portRef S (instanceRef gpio_inst_gpio_o_29)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_29)) - (portRef S (instanceRef gpio_inst_gpio_o_30)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_30)) - (portRef S (instanceRef gpio_inst_gpio_o_31)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_31)) - (portRef S (instanceRef gpio_inst_gpio_o_42)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef S (instanceRef gpio_inst_gpio_o_43)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef S (instanceRef gpio_inst_gpio_o_32)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef S (instanceRef gpio_inst_gpio_o_44)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef S (instanceRef gpio_inst_gpio_o_33)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef S (instanceRef gpio_inst_gpio_o_45)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef S (instanceRef gpio_inst_gpio_o_46)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef S (instanceRef gpio_inst_gpio_o_47)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef S (instanceRef gpio_inst_gpio_o_40)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef S (instanceRef gpio_inst_gpio_o_41)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_41)) - (portRef S (instanceRef gpio_inst_gpio_o_15)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef S (instanceRef gpio_inst_gpio_o_14)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef S (instanceRef gpio_inst_gpio_o_13)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef S (instanceRef gpio_inst_gpio_o_12)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef S (instanceRef gpio_inst_gpio_o_11)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef S (instanceRef gpio_inst_gpio_o_10)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef S (instanceRef gpio_inst_gpio_o_9)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef S (instanceRef gpio_inst_gpio_o_8)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef S (instanceRef gpio_inst_gpio_o_7)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef S (instanceRef gpio_inst_gpio_o_6)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef S (instanceRef gpio_inst_gpio_o_5)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef S (instanceRef gpio_inst_gpio_o_4)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef S (instanceRef gpio_inst_gpio_o_3)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef S (instanceRef gpio_inst_gpio_o_2)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef S (instanceRef gpio_inst_gpio_o_1)) - (portRef S (instanceRef gpio_inst_gpio_tris_q_0)) - (portRef S (instanceRef gpio_inst_gpio_o_0)) - ) - ) - (net (rename rstgen_rx_inv "rstgen/rx_inv") - (joined - (portRef CE (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef O (instanceRef rstgen_rx_inv1_INV_0)) - ) - ) - (net (rename sid_sd_Maccum_sigma_latch_cy_18_ "sid_sd/Maccum_sigma_latch_cy<18>") - (joined - (portRef D (instanceRef sid_sd_sigma_latch_19)) - (portRef O (instanceRef sid_sd_Maccum_sigma_latch_cy_18_11)) - ) - ) - (net (rename sid_sd_Maccum_sigma_latch_lut_18_ "sid_sd/Maccum_sigma_latch_lut<18>") - (joined - (portRef D (instanceRef sid_sd_sigma_latch_18)) - (portRef O (instanceRef sid_sd_Maccum_sigma_latch_lut_18_1)) - ) - ) - (net (rename sid_sd_data_out "sid_sd/data_out") - (joined - (portRef Q (instanceRef sid_sd_data_out_renamed_422)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000235_renamed_1247)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000235_renamed_1251)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000235_renamed_1255)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000235_renamed_1259)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000235_renamed_1263)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000235_renamed_1267)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000235_renamed_1271)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000235_renamed_1275)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000235_renamed_1279)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000235_renamed_1283)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000235_renamed_1287)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000235_renamed_1291)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000235_renamed_1295)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000235_renamed_1299)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000235_renamed_1303)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000235_renamed_1307)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000235_renamed_1311)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000235_renamed_1315)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000235_renamed_1319)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000235_renamed_1323)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000235_renamed_1327)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000235_renamed_1331)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000235_renamed_1335)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000235_renamed_1339)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000235_renamed_1343)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000235_renamed_1347)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000235_renamed_1351)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000235_renamed_1355)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000235_renamed_1359)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000235_renamed_1363)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000235_renamed_1367)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000235_renamed_1371)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000235_renamed_1375)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000235_renamed_1379)) - ) - ) - (net (rename sid_sd_sigma_latch_18_ "sid_sd/sigma_latch<18>") - (joined - (portRef Q (instanceRef sid_sd_sigma_latch_18)) - (portRef I0 (instanceRef sid_sd_Maccum_sigma_latch_lut_18_1)) - (portRef I0 (instanceRef sid_sd_Maccum_sigma_latch_cy_18_11)) - ) - ) - (net (rename sid_sd_sigma_latch_19_ "sid_sd/sigma_latch<19>") - (joined - (portRef D (instanceRef sid_sd_data_out_renamed_422)) - (portRef Q (instanceRef sid_sd_sigma_latch_19)) - (portRef I1 (instanceRef sid_sd_Maccum_sigma_latch_lut_18_1)) - (portRef I1 (instanceRef sid_sd_Maccum_sigma_latch_cy_18_11)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_0_ "sigmadelta_inst/Maccum_sigma_latch1_cy<0>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_0__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_1__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_10_ "sigmadelta_inst/Maccum_sigma_latch1_cy<10>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_10__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_11__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_11_ "sigmadelta_inst/Maccum_sigma_latch1_cy<11>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_11__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_12__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_12_ "sigmadelta_inst/Maccum_sigma_latch1_cy<12>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_12__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_13__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_13_ "sigmadelta_inst/Maccum_sigma_latch1_cy<13>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_13__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_14__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_14_ "sigmadelta_inst/Maccum_sigma_latch1_cy<14>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_14__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_15__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_15_ "sigmadelta_inst/Maccum_sigma_latch1_cy<15>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_15__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_16__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_16_ "sigmadelta_inst/Maccum_sigma_latch1_cy<16>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_16__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_17__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_1_ "sigmadelta_inst/Maccum_sigma_latch1_cy<1>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_1__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_2__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_2_ "sigmadelta_inst/Maccum_sigma_latch1_cy<2>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_2__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_3__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_3_ "sigmadelta_inst/Maccum_sigma_latch1_cy<3>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_3__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_4__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_4_ "sigmadelta_inst/Maccum_sigma_latch1_cy<4>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_4__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_5__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_5_ "sigmadelta_inst/Maccum_sigma_latch1_cy<5>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_5__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_6__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_6_ "sigmadelta_inst/Maccum_sigma_latch1_cy<6>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_6__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_7__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_7_ "sigmadelta_inst/Maccum_sigma_latch1_cy<7>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_7__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_8__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_8_ "sigmadelta_inst/Maccum_sigma_latch1_cy<8>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_8__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_9__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_cy_9_ "sigmadelta_inst/Maccum_sigma_latch1_cy<9>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_9__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_10__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_0_ "sigmadelta_inst/Maccum_sigma_latch1_lut<0>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_0__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_0__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_0__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_10_ "sigmadelta_inst/Maccum_sigma_latch1_lut<10>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_10__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_10__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_11_ "sigmadelta_inst/Maccum_sigma_latch1_lut<11>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_11__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_11__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_12_ "sigmadelta_inst/Maccum_sigma_latch1_lut<12>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_12__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_12__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_13_ "sigmadelta_inst/Maccum_sigma_latch1_lut<13>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_13__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_13__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_14_ "sigmadelta_inst/Maccum_sigma_latch1_lut<14>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_14__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_14__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_15_ "sigmadelta_inst/Maccum_sigma_latch1_lut<15>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_15__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_15__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_16_ "sigmadelta_inst/Maccum_sigma_latch1_lut<16>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_16__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_16__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_1_ "sigmadelta_inst/Maccum_sigma_latch1_lut<1>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_1__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_1__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_2_ "sigmadelta_inst/Maccum_sigma_latch1_lut<2>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_2__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_2__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_3_ "sigmadelta_inst/Maccum_sigma_latch1_lut<3>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_3__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_3__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_4_ "sigmadelta_inst/Maccum_sigma_latch1_lut<4>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_4__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_4__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_5_ "sigmadelta_inst/Maccum_sigma_latch1_lut<5>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_5__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_5__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_6_ "sigmadelta_inst/Maccum_sigma_latch1_lut<6>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_6__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_6__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_7_ "sigmadelta_inst/Maccum_sigma_latch1_lut<7>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_7__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_7__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_8_ "sigmadelta_inst/Maccum_sigma_latch1_lut<8>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_8__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_8__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch1_lut_9_ "sigmadelta_inst/Maccum_sigma_latch1_lut<9>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_9__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_9__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_0_ "sigmadelta_inst/Maccum_sigma_latch2_cy<0>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_0__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_1__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_10_ "sigmadelta_inst/Maccum_sigma_latch2_cy<10>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_10__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_11__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_11_ "sigmadelta_inst/Maccum_sigma_latch2_cy<11>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_11__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_12__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_12_ "sigmadelta_inst/Maccum_sigma_latch2_cy<12>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_12__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_13__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_13_ "sigmadelta_inst/Maccum_sigma_latch2_cy<13>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_13__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_14__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_14_ "sigmadelta_inst/Maccum_sigma_latch2_cy<14>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_14__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_15__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_15_ "sigmadelta_inst/Maccum_sigma_latch2_cy<15>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_15__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_16__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_16_ "sigmadelta_inst/Maccum_sigma_latch2_cy<16>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_16__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_17__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_1_ "sigmadelta_inst/Maccum_sigma_latch2_cy<1>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_1__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_2__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_2_ "sigmadelta_inst/Maccum_sigma_latch2_cy<2>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_2__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_3__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_3_ "sigmadelta_inst/Maccum_sigma_latch2_cy<3>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_3__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_4__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_4_ "sigmadelta_inst/Maccum_sigma_latch2_cy<4>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_4__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_5__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_5_ "sigmadelta_inst/Maccum_sigma_latch2_cy<5>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_5__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_6__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_6_ "sigmadelta_inst/Maccum_sigma_latch2_cy<6>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_6__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_7__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_7_ "sigmadelta_inst/Maccum_sigma_latch2_cy<7>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_7__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_8__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_8_ "sigmadelta_inst/Maccum_sigma_latch2_cy<8>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_8__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_9__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_cy_9_ "sigmadelta_inst/Maccum_sigma_latch2_cy<9>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_9__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_10__)) - (portRef CI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_0_ "sigmadelta_inst/Maccum_sigma_latch2_lut<0>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_0__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_0__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_0__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_10_ "sigmadelta_inst/Maccum_sigma_latch2_lut<10>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_10__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_10__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_11_ "sigmadelta_inst/Maccum_sigma_latch2_lut<11>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_11__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_11__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_12_ "sigmadelta_inst/Maccum_sigma_latch2_lut<12>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_12__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_12__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_13_ "sigmadelta_inst/Maccum_sigma_latch2_lut<13>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_13__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_13__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_14_ "sigmadelta_inst/Maccum_sigma_latch2_lut<14>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_14__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_14__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_15_ "sigmadelta_inst/Maccum_sigma_latch2_lut<15>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_15__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_15__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_16_ "sigmadelta_inst/Maccum_sigma_latch2_lut<16>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_16__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_16__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_1_ "sigmadelta_inst/Maccum_sigma_latch2_lut<1>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_1__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_1__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_2_ "sigmadelta_inst/Maccum_sigma_latch2_lut<2>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_2__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_2__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_3_ "sigmadelta_inst/Maccum_sigma_latch2_lut<3>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_3__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_3__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_4_ "sigmadelta_inst/Maccum_sigma_latch2_lut<4>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_4__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_4__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_5_ "sigmadelta_inst/Maccum_sigma_latch2_lut<5>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_5__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_5__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_6_ "sigmadelta_inst/Maccum_sigma_latch2_lut<6>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_6__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_6__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_7_ "sigmadelta_inst/Maccum_sigma_latch2_lut<7>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_7__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_7__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_8_ "sigmadelta_inst/Maccum_sigma_latch2_lut<8>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_8__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_8__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Maccum_sigma_latch2_lut_9_ "sigmadelta_inst/Maccum_sigma_latch2_lut<9>") - (joined - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_9__)) - (portRef S (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_9__)) - (portRef LI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Result_0_ "sigmadelta_inst/Result<0>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_0__)) - ) - ) - (net (rename sigmadelta_inst_Result_0_1 "sigmadelta_inst/Result<0>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_0__)) - ) - ) - (net (rename sigmadelta_inst_Result_10_ "sigmadelta_inst/Result<10>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Result_10_1 "sigmadelta_inst/Result<10>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_10__)) - ) - ) - (net (rename sigmadelta_inst_Result_11_ "sigmadelta_inst/Result<11>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Result_11_1 "sigmadelta_inst/Result<11>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_11__)) - ) - ) - (net (rename sigmadelta_inst_Result_12_ "sigmadelta_inst/Result<12>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Result_12_1 "sigmadelta_inst/Result<12>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_12__)) - ) - ) - (net (rename sigmadelta_inst_Result_13_ "sigmadelta_inst/Result<13>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Result_13_1 "sigmadelta_inst/Result<13>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_13__)) - ) - ) - (net (rename sigmadelta_inst_Result_14_ "sigmadelta_inst/Result<14>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Result_14_1 "sigmadelta_inst/Result<14>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_14__)) - ) - ) - (net (rename sigmadelta_inst_Result_15_ "sigmadelta_inst/Result<15>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Result_15_1 "sigmadelta_inst/Result<15>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_15__)) - ) - ) - (net (rename sigmadelta_inst_Result_16_ "sigmadelta_inst/Result<16>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Result_16_1 "sigmadelta_inst/Result<16>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_16__)) - ) - ) - (net (rename sigmadelta_inst_Result_17_ "sigmadelta_inst/Result<17>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_17)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_17__)) - ) - ) - (net (rename sigmadelta_inst_Result_17_1 "sigmadelta_inst/Result<17>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_17)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_17__)) - ) - ) - (net (rename sigmadelta_inst_Result_1_ "sigmadelta_inst/Result<1>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Result_1_1 "sigmadelta_inst/Result<1>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_1__)) - ) - ) - (net (rename sigmadelta_inst_Result_2_ "sigmadelta_inst/Result<2>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Result_2_1 "sigmadelta_inst/Result<2>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_2__)) - ) - ) - (net (rename sigmadelta_inst_Result_3_ "sigmadelta_inst/Result<3>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Result_3_1 "sigmadelta_inst/Result<3>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_3__)) - ) - ) - (net (rename sigmadelta_inst_Result_4_ "sigmadelta_inst/Result<4>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Result_4_1 "sigmadelta_inst/Result<4>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_4__)) - ) - ) - (net (rename sigmadelta_inst_Result_5_ "sigmadelta_inst/Result<5>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Result_5_1 "sigmadelta_inst/Result<5>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_5__)) - ) - ) - (net (rename sigmadelta_inst_Result_6_ "sigmadelta_inst/Result<6>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Result_6_1 "sigmadelta_inst/Result<6>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_6__)) - ) - ) - (net (rename sigmadelta_inst_Result_7_ "sigmadelta_inst/Result<7>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Result_7_1 "sigmadelta_inst/Result<7>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_7__)) - ) - ) - (net (rename sigmadelta_inst_Result_8_ "sigmadelta_inst/Result<8>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Result_8_1 "sigmadelta_inst/Result<8>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_8__)) - ) - ) - (net (rename sigmadelta_inst_Result_9_ "sigmadelta_inst/Result<9>") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch1_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_Result_9_1 "sigmadelta_inst/Result<9>1") - (joined - (portRef D (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef O (instanceRef sigmadelta_inst_Maccum_sigma_latch2_xor_9__)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_0_ "sigmadelta_inst/dat_q1<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_0)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_0_mux0000 "sigmadelta_inst/dat_q1_0_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_0_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_1_ "sigmadelta_inst/dat_q1<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_1)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_10_ "sigmadelta_inst/dat_q1<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_10)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_10_mux0000 "sigmadelta_inst/dat_q1_10_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_10_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_11_ "sigmadelta_inst/dat_q1<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_11)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_11_mux0000 "sigmadelta_inst/dat_q1_11_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_11_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_12_ "sigmadelta_inst/dat_q1<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_12)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_12_mux0000 "sigmadelta_inst/dat_q1_12_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_12_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_13_ "sigmadelta_inst/dat_q1<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_13)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_13_mux0000 "sigmadelta_inst/dat_q1_13_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_13_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_14_ "sigmadelta_inst/dat_q1<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_14)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_14_mux0000 "sigmadelta_inst/dat_q1_14_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_14_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_15_ "sigmadelta_inst/dat_q1<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_15)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_15_mux0000 "sigmadelta_inst/dat_q1_15_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_15_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_1_mux0000 "sigmadelta_inst/dat_q1_1_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_1_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_2_ "sigmadelta_inst/dat_q1<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_2)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_2_mux0000 "sigmadelta_inst/dat_q1_2_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_2_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_3_ "sigmadelta_inst/dat_q1<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_3)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_3_mux0000 "sigmadelta_inst/dat_q1_3_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_3_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_4_ "sigmadelta_inst/dat_q1<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_4)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_4_mux0000 "sigmadelta_inst/dat_q1_4_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_4_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_5_ "sigmadelta_inst/dat_q1<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_5)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_5_mux0000 "sigmadelta_inst/dat_q1_5_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_5_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_6_ "sigmadelta_inst/dat_q1<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_6)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_6_mux0000 "sigmadelta_inst/dat_q1_6_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_6_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_7_ "sigmadelta_inst/dat_q1<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_7)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_7_mux0000 "sigmadelta_inst/dat_q1_7_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_7_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_8_ "sigmadelta_inst/dat_q1<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_8)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_8_mux0000 "sigmadelta_inst/dat_q1_8_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_8_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_9_ "sigmadelta_inst/dat_q1<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q1_9)) - ) - ) - (net (rename sigmadelta_inst_dat_q1_9_mux0000 "sigmadelta_inst/dat_q1_9_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef O (instanceRef sigmadelta_inst_dat_q1_9_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_0_ "sigmadelta_inst/dat_q2<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_0)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_0_mux0000 "sigmadelta_inst/dat_q2_0_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_0_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_0_not0001 "sigmadelta_inst/dat_q2_0_not0001") - (joined - (portRef CE (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef CE (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef CE (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_1_ "sigmadelta_inst/dat_q2<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_1)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_10_ "sigmadelta_inst/dat_q2<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_10)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_10_mux0000 "sigmadelta_inst/dat_q2_10_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_10_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_11_ "sigmadelta_inst/dat_q2<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_11)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_11_mux0000 "sigmadelta_inst/dat_q2_11_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_11_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_12_ "sigmadelta_inst/dat_q2<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_12)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_12_mux0000 "sigmadelta_inst/dat_q2_12_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_12_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_13_ "sigmadelta_inst/dat_q2<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_13)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_13_mux0000 "sigmadelta_inst/dat_q2_13_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_13_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_14_ "sigmadelta_inst/dat_q2<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_14)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_14_mux0000 "sigmadelta_inst/dat_q2_14_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_14_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_15_ "sigmadelta_inst/dat_q2<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_15)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_15_mux0000 "sigmadelta_inst/dat_q2_15_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_15_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_1_mux0000 "sigmadelta_inst/dat_q2_1_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_1_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_2_ "sigmadelta_inst/dat_q2<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_2)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_2_mux0000 "sigmadelta_inst/dat_q2_2_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_2_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_3_ "sigmadelta_inst/dat_q2<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_3)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_3_mux0000 "sigmadelta_inst/dat_q2_3_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_3_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_4_ "sigmadelta_inst/dat_q2<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_4)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_4_mux0000 "sigmadelta_inst/dat_q2_4_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_4_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_5_ "sigmadelta_inst/dat_q2<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_5)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_5_mux0000 "sigmadelta_inst/dat_q2_5_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_5_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_6_ "sigmadelta_inst/dat_q2<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_6)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_6_mux0000 "sigmadelta_inst/dat_q2_6_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_6_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_7_ "sigmadelta_inst/dat_q2<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_7)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_7_mux0000 "sigmadelta_inst/dat_q2_7_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_7_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_8_ "sigmadelta_inst/dat_q2<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_8)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_8_mux0000 "sigmadelta_inst/dat_q2_8_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_8_mux00001)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_9_ "sigmadelta_inst/dat_q2<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef D (instanceRef sigmadelta_inst_sync_dat_q2_9)) - ) - ) - (net (rename sigmadelta_inst_dat_q2_9_mux0000 "sigmadelta_inst/dat_q2_9_mux0000") - (joined - (portRef D (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef O (instanceRef sigmadelta_inst_dat_q2_9_mux00001)) - ) - ) - (net (rename sigmadelta_inst_le_q "sigmadelta_inst/le_q") - (joined - (portRef Q (instanceRef sigmadelta_inst_le_q_renamed_763)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_9_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_8_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_7_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_6_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_5_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_4_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_3_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_2_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_1_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_15_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_14_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_13_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_12_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_11_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_10_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_0_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_9_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_8_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_7_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_6_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_5_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_4_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_3_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_2_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_1_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_15_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_14_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_13_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_12_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_11_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_10_mux00001)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q1_0_mux00001)) - ) - ) - (net (rename sigmadelta_inst_le_q_not0001 "sigmadelta_inst/le_q_not0001") - (joined - (portRef CE (instanceRef sigmadelta_inst_le_q_renamed_763)) - (portRef O (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - ) - ) - (net (rename sigmadelta_inst_sd_en_q_0_ "sigmadelta_inst/sd_en_q<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef CE (instanceRef sigmadelta_inst_sdout_0)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch1_17)) - ) - ) - (net (rename sigmadelta_inst_sd_en_q_0_not0001 "sigmadelta_inst/sd_en_q_0_not0001") - (joined - (portRef CE (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef CE (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef O (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - ) - ) - (net (rename sigmadelta_inst_sd_en_q_1_ "sigmadelta_inst/sd_en_q<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef CE (instanceRef sigmadelta_inst_sdout_1)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef CE (instanceRef sigmadelta_inst_sigma_latch2_17)) - ) - ) - (net (rename sigmadelta_inst_sdout_0_ "sigmadelta_inst/sdout<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sdout_0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000224_renamed_1880)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000224_renamed_1881)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000224_renamed_1882)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000224_renamed_1883)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000224_renamed_1884)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000224_renamed_1885)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000224_renamed_1886)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000224_renamed_1887)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000224_renamed_1888)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000224_renamed_1889)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000224_renamed_1890)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000224_renamed_1891)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000224_renamed_1892)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000224_renamed_1893)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000224_renamed_1894)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000224_renamed_1895)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000224_renamed_1896)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000224_renamed_1897)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000224_renamed_1898)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000224_renamed_1899)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000224_renamed_1900)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000224_renamed_1901)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000224_renamed_1902)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000224_renamed_1903)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000224_renamed_1904)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000224_renamed_1905)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000224_renamed_1906)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000224_renamed_1907)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000224_renamed_1908)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000224_renamed_1909)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000224_renamed_1910)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000224_renamed_1911)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000224_renamed_1912)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000224_renamed_1913)) - ) - ) - (net (rename sigmadelta_inst_sdout_1_ "sigmadelta_inst/sdout<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sdout_1)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000294_renamed_1249)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000294_renamed_1253)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000294_renamed_1257)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000294_renamed_1261)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000294_renamed_1265)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000294_renamed_1269)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000294_renamed_1273)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000294_renamed_1277)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000294_renamed_1281)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000294_renamed_1285)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000294_renamed_1289)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000294_renamed_1293)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000294_renamed_1297)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000294_renamed_1301)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000294_renamed_1305)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000294_renamed_1309)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000294_renamed_1313)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000294_renamed_1317)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000294_renamed_1321)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000294_renamed_1325)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000294_renamed_1329)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000294_renamed_1333)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000294_renamed_1337)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000294_renamed_1341)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000294_renamed_1345)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000294_renamed_1349)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000294_renamed_1353)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000294_renamed_1357)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000294_renamed_1361)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000294_renamed_1365)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000294_renamed_1369)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000294_renamed_1373)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000294_renamed_1377)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000294_renamed_1381)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_0_ "sigmadelta_inst/sigma_latch1<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_0__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_0__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_1_ "sigmadelta_inst/sigma_latch1<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_1__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_1__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_10_ "sigmadelta_inst/sigma_latch1<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_10__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_10__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_11_ "sigmadelta_inst/sigma_latch1<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_11__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_11__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_12_ "sigmadelta_inst/sigma_latch1<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_12__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_12__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_13_ "sigmadelta_inst/sigma_latch1<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_13__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_13__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_14_ "sigmadelta_inst/sigma_latch1<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_14__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_14__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_15_ "sigmadelta_inst/sigma_latch1<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_15__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_15__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_16_ "sigmadelta_inst/sigma_latch1<16>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_16__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_16__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_17_ "sigmadelta_inst/sigma_latch1<17>") - (joined - (portRef D (instanceRef sigmadelta_inst_sdout_0)) - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_17)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_16__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_2_ "sigmadelta_inst/sigma_latch1<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_2__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_2__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_3_ "sigmadelta_inst/sigma_latch1<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_3__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_3__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_4_ "sigmadelta_inst/sigma_latch1<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_4__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_4__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_5_ "sigmadelta_inst/sigma_latch1<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_5__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_5__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_6_ "sigmadelta_inst/sigma_latch1<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_6__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_6__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_7_ "sigmadelta_inst/sigma_latch1<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_7__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_7__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_8_ "sigmadelta_inst/sigma_latch1<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_8__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_8__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch1_9_ "sigmadelta_inst/sigma_latch1<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_9__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch1_cy_9__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_0_ "sigmadelta_inst/sigma_latch2<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_0__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_0__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_1_ "sigmadelta_inst/sigma_latch2<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_1__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_1__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_10_ "sigmadelta_inst/sigma_latch2<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_10__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_10__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_11_ "sigmadelta_inst/sigma_latch2<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_11__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_11__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_12_ "sigmadelta_inst/sigma_latch2<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_12__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_12__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_13_ "sigmadelta_inst/sigma_latch2<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_13__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_13__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_14_ "sigmadelta_inst/sigma_latch2<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_14__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_14__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_15_ "sigmadelta_inst/sigma_latch2<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_15__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_15__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_16_ "sigmadelta_inst/sigma_latch2<16>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_16__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_16__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_17_ "sigmadelta_inst/sigma_latch2<17>") - (joined - (portRef D (instanceRef sigmadelta_inst_sdout_1)) - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_17)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_16__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_2_ "sigmadelta_inst/sigma_latch2<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_2__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_2__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_3_ "sigmadelta_inst/sigma_latch2<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_3__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_3__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_4_ "sigmadelta_inst/sigma_latch2<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_4__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_4__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_5_ "sigmadelta_inst/sigma_latch2<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_5__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_5__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_6_ "sigmadelta_inst/sigma_latch2<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_6__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_6__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_7_ "sigmadelta_inst/sigma_latch2<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_7__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_7__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_8_ "sigmadelta_inst/sigma_latch2<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_8__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_8__)) - ) - ) - (net (rename sigmadelta_inst_sigma_latch2_9_ "sigmadelta_inst/sigma_latch2<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef I0 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_9__)) - (portRef DI (instanceRef sigmadelta_inst_Maccum_sigma_latch2_cy_9__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_0_ "sigmadelta_inst/sync_dat_q1<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_0)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_0__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_1_ "sigmadelta_inst/sync_dat_q1<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_1)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_1__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_10_ "sigmadelta_inst/sync_dat_q1<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_10)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_10__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_11_ "sigmadelta_inst/sync_dat_q1<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_11)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_11__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_12_ "sigmadelta_inst/sync_dat_q1<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_12)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_12__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_13_ "sigmadelta_inst/sync_dat_q1<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_13)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_13__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_14_ "sigmadelta_inst/sync_dat_q1<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_14)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_14__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_15_ "sigmadelta_inst/sync_dat_q1<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_15)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_15__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_2_ "sigmadelta_inst/sync_dat_q1<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_2)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_2__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_3_ "sigmadelta_inst/sync_dat_q1<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_3)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_3__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_4_ "sigmadelta_inst/sync_dat_q1<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_4)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_4__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_5_ "sigmadelta_inst/sync_dat_q1<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_5)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_5__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_6_ "sigmadelta_inst/sync_dat_q1<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_6)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_6__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_7_ "sigmadelta_inst/sync_dat_q1<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_7)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_7__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_8_ "sigmadelta_inst/sync_dat_q1<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_8)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_8__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q1_9_ "sigmadelta_inst/sync_dat_q1<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q1_9)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch1_lut_9__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_0_ "sigmadelta_inst/sync_dat_q2<0>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_0)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_0__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_1_ "sigmadelta_inst/sync_dat_q2<1>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_1)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_1__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_10_ "sigmadelta_inst/sync_dat_q2<10>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_10)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_10__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_11_ "sigmadelta_inst/sync_dat_q2<11>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_11)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_11__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_12_ "sigmadelta_inst/sync_dat_q2<12>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_12)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_12__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_13_ "sigmadelta_inst/sync_dat_q2<13>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_13)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_13__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_14_ "sigmadelta_inst/sync_dat_q2<14>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_14)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_14__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_15_ "sigmadelta_inst/sync_dat_q2<15>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_15)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_15__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_2_ "sigmadelta_inst/sync_dat_q2<2>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_2)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_2__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_3_ "sigmadelta_inst/sync_dat_q2<3>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_3)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_3__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_4_ "sigmadelta_inst/sync_dat_q2<4>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_4)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_4__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_5_ "sigmadelta_inst/sync_dat_q2<5>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_5)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_5__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_6_ "sigmadelta_inst/sync_dat_q2<6>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_6)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_6__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_7_ "sigmadelta_inst/sync_dat_q2<7>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_7)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_7__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_8_ "sigmadelta_inst/sync_dat_q2<8>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_8)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_8__)) - ) - ) - (net (rename sigmadelta_inst_sync_dat_q2_9_ "sigmadelta_inst/sync_dat_q2<9>") - (joined - (portRef Q (instanceRef sigmadelta_inst_sync_dat_q2_9)) - (portRef I1 (instanceRef sigmadelta_inst_Maccum_sigma_latch2_lut_9__)) - ) - ) - (net (rename slot0_cpol "slot0/cpol") - (joined - (portRef Q (instanceRef slot0_cpol_renamed_326)) - (portRef I1 (instanceRef slot0_wb_dat_o_4_mux00001)) - (portRef I0 (instanceRef slot0_zspiclk_spiclk_mux00001)) - ) - ) - (net (rename slot0_cpol_not0001 "slot0/cpol_not0001") - (joined - (portRef CE (instanceRef slot0_cpol_renamed_326)) - (portRef CE (instanceRef slot0_spi_samprise_renamed_320)) - (portRef CE (instanceRef slot0_spi_clk_pres_0)) - (portRef CE (instanceRef slot0_spi_clk_pres_1)) - (portRef CE (instanceRef slot0_spi_clk_pres_2)) - (portRef O (instanceRef slot0_cpol_not00011)) - ) - ) - (net (rename slot0_spi_clk_en "slot0/spi_clk_en") - (joined - (portRef CE (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef I0 (instanceRef slot0_zspiclk_prescale_q_not00011)) - (portRef I1 (instanceRef slot0_zspiclk_spiclk_mux00001)) - (portRef I1 (instanceRef slot0_zspiclk_clk_i_or00001)) - (portRef I3 (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - (portRef I1 (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - (portRef I1 (instanceRef slot0_zspiclk_running_q_or00001)) - (portRef O (instanceRef slot0_zspi_clk_en11_f5)) - ) - ) - (net (rename slot0_spi_clk_pres_0_ "slot0/spi_clk_pres<0>") - (joined - (portRef Q (instanceRef slot0_spi_clk_pres_0)) - (portRef D (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef I1 (instanceRef slot0_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot0_spi_clk_pres_1_ "slot0/spi_clk_pres<1>") - (joined - (portRef Q (instanceRef slot0_spi_clk_pres_1)) - (portRef D (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef I1 (instanceRef slot0_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot0_spi_clk_pres_2_ "slot0/spi_clk_pres<2>") - (joined - (portRef Q (instanceRef slot0_spi_clk_pres_2)) - (portRef D (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef I1 (instanceRef slot0_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot0_spi_en "slot0/spi_en") - (joined - (portRef Q (instanceRef slot0_spi_en_renamed_324)) - (portRef I1 (instanceRef slot0_zspi_ignore_sample_q_not00011)) - (portRef I1 (instanceRef slot0_zspi_count_not00011_f5)) - (portRef I1 (instanceRef slot0_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot0_spi_en_mux0007 "slot0/spi_en_mux0007") - (joined - (portRef D (instanceRef slot0_spi_en_renamed_324)) - (portRef O (instanceRef slot0_spi_en_mux00071)) - ) - ) - (net (rename slot0_spi_en_or0000 "slot0/spi_en_or0000") - (joined - (portRef R (instanceRef slot0_spi_en_renamed_324)) - (portRef O (instanceRef slot0_spi_en_or0000_renamed_2173)) - ) - ) - (net (rename slot0_spi_enable_q "slot0/spi_enable_q") - (joined - (portRef Q (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef I1 (instanceRef slot0_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot0_spi_samprise "slot0/spi_samprise") - (joined - (portRef Q (instanceRef slot0_spi_samprise_renamed_320)) - (portRef I0 (instanceRef slot0_zspi_ignore_sample_q_mux00001)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - (portRef I0 (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I1 (instanceRef slot0_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot0_spi_transfersize_q_0_ "slot0/spi_transfersize_q<0>") - (joined - (portRef Q (instanceRef slot0_spi_transfersize_q_0)) - (portRef I0 (instanceRef slot0_zspi_Mmux_mosi_mux0001_3_renamed_800)) - (portRef I0 (instanceRef slot0_zspi_Mmux_mosi_mux0001_4_renamed_801)) - (portRef I1 (instanceRef slot_address_0__26__929_renamed_1926)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count41_renamed_2223)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count51_renamed_2295)) - (portRef I (instanceRef slot0_zspi_Mcount_count_xor_3_11_INV_0)) - ) - ) - (net (rename slot0_spi_transfersize_q_1_ "slot0/spi_transfersize_q<1>") - (joined - (portRef Q (instanceRef slot0_spi_transfersize_q_1)) - (portRef S (instanceRef slot0_zspi_Mmux_mosi_mux0001_2_f5)) - (portRef I1 (instanceRef slot_address_0__26__930_renamed_1925)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count41_renamed_2223)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count51_renamed_2295)) - ) - ) - (net (rename slot0_spi_transfersize_q_not0001 "slot0/spi_transfersize_q_not0001") - (joined - (portRef CE (instanceRef slot0_spi_transfersize_q_0)) - (portRef CE (instanceRef slot0_spi_transfersize_q_1)) - (portRef O (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - ) - ) - (net (rename slot0_spi_txblock_q "slot0/spi_txblock_q") - (joined - (portRef Q (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef I1 (instanceRef slot0_spi_en_mux00071)) - (portRef I2 (instanceRef slot0_trans_or00011)) - (portRef I1 (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef slot0_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot0_spi_txblock_q_not0001 "slot0/spi_txblock_q_not0001") - (joined - (portRef CE (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef CE (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef O (instanceRef slot0_spi_txblock_q_not00011)) - ) - ) - (net (rename slot0_trans "slot0/trans") - (joined - (portRef Q (instanceRef slot0_trans_renamed_325)) - (portRef I0 (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I0 (instanceRef slot0_trans_or00001)) - (portRef I2 (instanceRef slot0_spi_en_or0000_renamed_2173)) - ) - ) - (net (rename slot0_trans_or0000 "slot0/trans_or0000") - (joined - (portRef R (instanceRef slot0_trans_renamed_325)) - (portRef R (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef O (instanceRef slot0_trans_or00001)) - ) - ) - (net (rename slot0_trans_or0001 "slot0/trans_or0001") - (joined - (portRef S (instanceRef slot0_trans_renamed_325)) - (portRef S (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef O (instanceRef slot0_trans_or00011)) - ) - ) - (net (rename slot0_wb_ack_o "slot0/wb_ack_o") - (joined - (portRef Q (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef I0 (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - ) - ) - (net (rename slot0_zspi_Mcount_count "slot0/zspi/Mcount_count") - (joined - (portRef D (instanceRef slot0_zspi_count_0)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_0_11)) - ) - ) - (net (rename slot0_zspi_Mcount_count1 "slot0/zspi/Mcount_count1") - (joined - (portRef D (instanceRef slot0_zspi_count_1)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_1_11)) - ) - ) - (net (rename slot0_zspi_Mcount_count2 "slot0/zspi/Mcount_count2") - (joined - (portRef D (instanceRef slot0_zspi_count_2)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - ) - ) - (net (rename slot0_zspi_Mcount_count3 "slot0/zspi/Mcount_count3") - (joined - (portRef D (instanceRef slot0_zspi_count_3)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_3_1_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count4 "slot0/zspi/Mcount_count4") - (joined - (portRef D (instanceRef slot0_zspi_count_4)) - (portRef O (instanceRef slot0_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count41 "slot0/zspi/Mcount_count41") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count41_renamed_2223)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count42 "slot0/zspi/Mcount_count42") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count42_renamed_2224)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count4_bdd0 "slot0/zspi/Mcount_count4_bdd0") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count411)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count42_renamed_2224)) - (portRef I2 (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef I2 (instanceRef slot0_zspi_count_not000111)) - (portRef I1 (instanceRef slot0_zspi_ready_q_not000111)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count52_renamed_2296)) - ) - ) - (net (rename slot0_zspi_Mcount_count5 "slot0/zspi/Mcount_count5") - (joined - (portRef D (instanceRef slot0_zspi_count_5)) - (portRef O (instanceRef slot0_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count51 "slot0/zspi/Mcount_count51") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count51_renamed_2295)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count52 "slot0/zspi/Mcount_count52") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count52_renamed_2296)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot0_zspi_Mcount_count_xor_3_1 "slot0/zspi/Mcount_count_xor<3>1") - (joined - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_3_1_f5)) - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_3_11_INV_0)) - ) - ) - (net (rename slot0_zspi_Mcount_count_xor_3_11 "slot0/zspi/Mcount_count_xor<3>11") - (joined - (portRef O (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_3_1_f5)) - ) - ) - (net (rename slot0_zspi_Mmux_mosi_mux0001_3 "slot0/zspi/Mmux_mosi_mux0001_3") - (joined - (portRef O (instanceRef slot0_zspi_Mmux_mosi_mux0001_3_renamed_800)) - (portRef I1 (instanceRef slot0_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot0_zspi_Mmux_mosi_mux0001_4 "slot0/zspi/Mmux_mosi_mux0001_4") - (joined - (portRef O (instanceRef slot0_zspi_Mmux_mosi_mux0001_4_renamed_801)) - (portRef I0 (instanceRef slot0_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot0_zspi_N01 "slot0/zspi/N01") - (joined - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_0_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - (portRef I1 (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - ) - ) - (net (rename slot0_zspi_N7 "slot0/zspi/N7") - (joined - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - (portRef I3 (instanceRef slot0_zspi_ignore_sample_q_not00011)) - (portRef O (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot0_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot0_zspi_clk_en11 "slot0/zspi/clk_en11") - (joined - (portRef O (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef I1 (instanceRef slot0_zspi_clk_en11_f5)) - ) - ) - (net (rename slot0_zspi_clk_en111 "slot0/zspi/clk_en111") - (joined - (portRef I0 (instanceRef slot0_zspi_clk_en11_f5)) - (portRef O (instanceRef slot0_zspi_clk_en112_INV_0)) - ) - ) - (net (rename slot0_zspi_count_0_ "slot0/zspi/count<0>") - (joined - (portRef Q (instanceRef slot0_zspi_count_0)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_0_11)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_1_11)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count411)) - (portRef I3 (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot0_zspi_count_1_ "slot0/zspi/count<1>") - (joined - (portRef Q (instanceRef slot0_zspi_count_1)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count_xor_1_11)) - (portRef I3 (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count411)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot0_zspi_count_2_ "slot0/zspi/count<2>") - (joined - (portRef Q (instanceRef slot0_zspi_count_2)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - (portRef I2 (instanceRef slot0_zspi_Mcount_count411)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot0_zspi_count_3_ "slot0/zspi/count<3>") - (joined - (portRef Q (instanceRef slot0_zspi_count_3)) - (portRef I3 (instanceRef slot0_zspi_Mcount_count411)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot0_zspi_count_4_ "slot0/zspi/count<4>") - (joined - (portRef Q (instanceRef slot0_zspi_count_4)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count42_renamed_2224)) - (portRef I0 (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef I1 (instanceRef slot0_zspi_count_not000111)) - (portRef I3 (instanceRef slot0_zspi_ready_q_not000111)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count52_renamed_2296)) - ) - ) - (net (rename slot0_zspi_count_5_ "slot0/zspi/count<5>") - (joined - (portRef Q (instanceRef slot0_zspi_count_5)) - (portRef I3 (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef I3 (instanceRef slot0_zspi_count_not000111)) - (portRef I0 (instanceRef slot0_zspi_ready_q_not000111)) - (portRef I1 (instanceRef slot0_zspi_Mcount_count52_renamed_2296)) - ) - ) - (net (rename slot0_zspi_count_not0001 "slot0/zspi/count_not0001") - (joined - (portRef CE (instanceRef slot0_zspi_count_0)) - (portRef CE (instanceRef slot0_zspi_count_1)) - (portRef CE (instanceRef slot0_zspi_count_2)) - (portRef CE (instanceRef slot0_zspi_count_3)) - (portRef CE (instanceRef slot0_zspi_count_4)) - (portRef CE (instanceRef slot0_zspi_count_5)) - (portRef O (instanceRef slot0_zspi_count_not00011_f5)) - ) - ) - (net (rename slot0_zspi_count_not00011 "slot0/zspi/count_not00011") - (joined - (portRef O (instanceRef slot0_zspi_count_not000111)) - (portRef I0 (instanceRef slot0_zspi_count_not00011_f5)) - ) - ) - (net (rename slot0_zspi_do_shift "slot0/zspi/do_shift") - (joined - (portRef CE (instanceRef slot0_zspi_mosi_renamed_802)) - (portRef O (instanceRef slot0_zspi_do_shift_and00001)) - ) - ) - (net (rename slot0_zspi_ignore_sample_q "slot0/zspi/ignore_sample_q") - (joined - (portRef Q (instanceRef slot0_zspi_ignore_sample_q_renamed_804)) - (portRef I3 (instanceRef slot0_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot0_zspi_ignore_sample_q_mux0000 "slot0/zspi/ignore_sample_q_mux0000") - (joined - (portRef D (instanceRef slot0_zspi_ignore_sample_q_renamed_804)) - (portRef O (instanceRef slot0_zspi_ignore_sample_q_mux00001)) - ) - ) - (net (rename slot0_zspi_ignore_sample_q_not0001 "slot0/zspi/ignore_sample_q_not0001") - (joined - (portRef CE (instanceRef slot0_zspi_ignore_sample_q_renamed_804)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_0)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_1)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_2)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_3)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_4)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_5)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_6)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_7)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_8)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_9)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_10)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_11)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_12)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_13)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_14)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_15)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_16)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_17)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_18)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_19)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_20)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_21)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_22)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_23)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_24)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_25)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_26)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_27)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_28)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_29)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_30)) - (portRef CE (instanceRef slot0_zspi_write_reg_q_31)) - (portRef O (instanceRef slot0_zspi_ignore_sample_q_not00011)) - ) - ) - (net (rename slot0_zspi_mosi "slot0/zspi/mosi") - (joined - (portRef I (instanceRef ospimosi_obufi)) - (portRef Q (instanceRef slot0_zspi_mosi_renamed_802)) - ) - ) - (net (rename slot0_zspi_mosi_mux0001 "slot0/zspi/mosi_mux0001") - (joined - (portRef D (instanceRef slot0_zspi_mosi_renamed_802)) - (portRef O (instanceRef slot0_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot0_zspi_read_reg_q_0_ "slot0/zspi/read_reg_q<0>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_0)) - (portRef D (instanceRef slot0_zspi_read_reg_q_1)) - (portRef I2 (instanceRef slot0_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_1_ "slot0/zspi/read_reg_q<1>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_1)) - (portRef D (instanceRef slot0_zspi_read_reg_q_2)) - (portRef I2 (instanceRef slot0_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_10_ "slot0/zspi/read_reg_q<10>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_10)) - (portRef D (instanceRef slot0_zspi_read_reg_q_11)) - (portRef I1 (instanceRef slot_address_0__26__9_renamed_1964)) - ) - ) - (net (rename slot0_zspi_read_reg_q_11_ "slot0/zspi/read_reg_q<11>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_11)) - (portRef D (instanceRef slot0_zspi_read_reg_q_12)) - (portRef I1 (instanceRef slot_address_0__26__91_renamed_1963)) - ) - ) - (net (rename slot0_zspi_read_reg_q_12_ "slot0/zspi/read_reg_q<12>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_12)) - (portRef D (instanceRef slot0_zspi_read_reg_q_13)) - (portRef I1 (instanceRef slot_address_0__26__92_renamed_1962)) - ) - ) - (net (rename slot0_zspi_read_reg_q_13_ "slot0/zspi/read_reg_q<13>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_13)) - (portRef D (instanceRef slot0_zspi_read_reg_q_14)) - (portRef I1 (instanceRef slot_address_0__26__93_renamed_1961)) - ) - ) - (net (rename slot0_zspi_read_reg_q_14_ "slot0/zspi/read_reg_q<14>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_14)) - (portRef D (instanceRef slot0_zspi_read_reg_q_15)) - (portRef I1 (instanceRef slot_address_0__26__94_renamed_1960)) - ) - ) - (net (rename slot0_zspi_read_reg_q_15_ "slot0/zspi/read_reg_q<15>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_15)) - (portRef D (instanceRef slot0_zspi_read_reg_q_16)) - (portRef I1 (instanceRef slot_address_0__26__95_renamed_1959)) - ) - ) - (net (rename slot0_zspi_read_reg_q_16_ "slot0/zspi/read_reg_q<16>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_16)) - (portRef D (instanceRef slot0_zspi_read_reg_q_17)) - (portRef I1 (instanceRef slot_address_0__26__96_renamed_1957)) - ) - ) - (net (rename slot0_zspi_read_reg_q_17_ "slot0/zspi/read_reg_q<17>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_17)) - (portRef D (instanceRef slot0_zspi_read_reg_q_18)) - (portRef I1 (instanceRef slot_address_0__26__97_renamed_1955)) - ) - ) - (net (rename slot0_zspi_read_reg_q_18_ "slot0/zspi/read_reg_q<18>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_18)) - (portRef D (instanceRef slot0_zspi_read_reg_q_19)) - (portRef I1 (instanceRef slot_address_0__26__98_renamed_1953)) - ) - ) - (net (rename slot0_zspi_read_reg_q_19_ "slot0/zspi/read_reg_q<19>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_19)) - (portRef D (instanceRef slot0_zspi_read_reg_q_20)) - (portRef I1 (instanceRef slot_address_0__26__99_renamed_1951)) - ) - ) - (net (rename slot0_zspi_read_reg_q_2_ "slot0/zspi/read_reg_q<2>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_2)) - (portRef D (instanceRef slot0_zspi_read_reg_q_3)) - (portRef I2 (instanceRef slot0_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_20_ "slot0/zspi/read_reg_q<20>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_20)) - (portRef D (instanceRef slot0_zspi_read_reg_q_21)) - (portRef I1 (instanceRef slot_address_0__26__911_renamed_1949)) - ) - ) - (net (rename slot0_zspi_read_reg_q_21_ "slot0/zspi/read_reg_q<21>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_21)) - (portRef D (instanceRef slot0_zspi_read_reg_q_22)) - (portRef I1 (instanceRef slot_address_0__26__912_renamed_1947)) - ) - ) - (net (rename slot0_zspi_read_reg_q_22_ "slot0/zspi/read_reg_q<22>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_22)) - (portRef D (instanceRef slot0_zspi_read_reg_q_23)) - (portRef I1 (instanceRef slot_address_0__26__913_renamed_1945)) - ) - ) - (net (rename slot0_zspi_read_reg_q_23_ "slot0/zspi/read_reg_q<23>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_23)) - (portRef D (instanceRef slot0_zspi_read_reg_q_24)) - (portRef I1 (instanceRef slot_address_0__26__914_renamed_1943)) - ) - ) - (net (rename slot0_zspi_read_reg_q_24_ "slot0/zspi/read_reg_q<24>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_24)) - (portRef D (instanceRef slot0_zspi_read_reg_q_25)) - (portRef I1 (instanceRef slot_address_0__26__915_renamed_1941)) - ) - ) - (net (rename slot0_zspi_read_reg_q_25_ "slot0/zspi/read_reg_q<25>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_25)) - (portRef D (instanceRef slot0_zspi_read_reg_q_26)) - (portRef I1 (instanceRef slot_address_0__26__916_renamed_1939)) - ) - ) - (net (rename slot0_zspi_read_reg_q_26_ "slot0/zspi/read_reg_q<26>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_26)) - (portRef D (instanceRef slot0_zspi_read_reg_q_27)) - (portRef I1 (instanceRef slot_address_0__26__917_renamed_1937)) - ) - ) - (net (rename slot0_zspi_read_reg_q_27_ "slot0/zspi/read_reg_q<27>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_27)) - (portRef D (instanceRef slot0_zspi_read_reg_q_28)) - (portRef I1 (instanceRef slot_address_0__26__918_renamed_1935)) - ) - ) - (net (rename slot0_zspi_read_reg_q_28_ "slot0/zspi/read_reg_q<28>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_28)) - (portRef D (instanceRef slot0_zspi_read_reg_q_29)) - (portRef I1 (instanceRef slot_address_0__26__919_renamed_1933)) - ) - ) - (net (rename slot0_zspi_read_reg_q_29_ "slot0/zspi/read_reg_q<29>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_29)) - (portRef D (instanceRef slot0_zspi_read_reg_q_30)) - (portRef I1 (instanceRef slot_address_0__26__920_renamed_1931)) - ) - ) - (net (rename slot0_zspi_read_reg_q_3_ "slot0/zspi/read_reg_q<3>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_3)) - (portRef D (instanceRef slot0_zspi_read_reg_q_4)) - (portRef I2 (instanceRef slot0_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_30_ "slot0/zspi/read_reg_q<30>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_30)) - (portRef D (instanceRef slot0_zspi_read_reg_q_31)) - (portRef I1 (instanceRef slot_address_0__26__922_renamed_1929)) - ) - ) - (net (rename slot0_zspi_read_reg_q_31_ "slot0/zspi/read_reg_q<31>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_31)) - (portRef I1 (instanceRef slot_address_0__26__923_renamed_1927)) - ) - ) - (net (rename slot0_zspi_read_reg_q_4_ "slot0/zspi/read_reg_q<4>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_4)) - (portRef D (instanceRef slot0_zspi_read_reg_q_5)) - (portRef I2 (instanceRef slot0_wb_dat_o_4_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_5_ "slot0/zspi/read_reg_q<5>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_5)) - (portRef D (instanceRef slot0_zspi_read_reg_q_6)) - (portRef I2 (instanceRef slot0_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_6_ "slot0/zspi/read_reg_q<6>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_6)) - (portRef D (instanceRef slot0_zspi_read_reg_q_7)) - (portRef I2 (instanceRef slot0_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_7_ "slot0/zspi/read_reg_q<7>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_7)) - (portRef D (instanceRef slot0_zspi_read_reg_q_8)) - (portRef I2 (instanceRef slot0_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot0_zspi_read_reg_q_8_ "slot0/zspi/read_reg_q<8>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_8)) - (portRef D (instanceRef slot0_zspi_read_reg_q_9)) - (portRef I3 (instanceRef slot_address_0__26__929_renamed_1926)) - ) - ) - (net (rename slot0_zspi_read_reg_q_9_ "slot0/zspi/read_reg_q<9>") - (joined - (portRef Q (instanceRef slot0_zspi_read_reg_q_9)) - (portRef D (instanceRef slot0_zspi_read_reg_q_10)) - (portRef I3 (instanceRef slot_address_0__26__930_renamed_1925)) - ) - ) - (net (rename slot0_zspi_read_reg_q_not0001 "slot0/zspi/read_reg_q_not0001") - (joined - (portRef CE (instanceRef slot0_zspi_read_reg_q_0)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_1)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_2)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_3)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_4)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_5)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_6)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_7)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_8)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_9)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_10)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_11)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_12)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_13)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_14)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_15)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_16)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_17)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_18)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_19)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_20)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_21)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_22)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_23)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_24)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_25)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_26)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_27)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_28)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_29)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_30)) - (portRef CE (instanceRef slot0_zspi_read_reg_q_31)) - (portRef O (instanceRef slot0_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot0_zspi_ready_q "slot0/zspi/ready_q") - (joined - (portRef Q (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_0_11)) - (portRef I2 (instanceRef slot0_zspi_ignore_sample_q_mux00001)) - (portRef I2 (instanceRef slot0_spi_en_mux00071)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_1_11)) - (portRef I0 (instanceRef slot0_zspi_Mcount_count_xor_2_11)) - (portRef I1 (instanceRef slot0_zspi_do_shift_and00001)) - (portRef I0 (instanceRef slot0_trans_or00011)) - (portRef I3 (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - (portRef I0 (instanceRef slot0_zspi_ignore_sample_q_not00011)) - (portRef I2 (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef slot0_wb_dat_o_0_mux00001)) - (portRef I3 (instanceRef slot0_zspiclk_spiclk_not00011)) - (portRef I (instanceRef slot0_zspi_ready_q_mux00001_INV_0)) - (portRef S (instanceRef slot0_zspi_Mcount_count4_f5)) - (portRef S (instanceRef slot0_zspi_Mcount_count_xor_3_1_f5)) - (portRef I1 (instanceRef slot0_zspi_clk_en111_renamed_2255)) - (portRef S (instanceRef slot0_zspi_count_not00011_f5)) - (portRef S (instanceRef slot0_zspi_ready_q_not00011_f5)) - (portRef S (instanceRef slot0_zspi_Mcount_count5_f5)) - (portRef I (instanceRef slot0_zspi_clk_en112_INV_0)) - ) - ) - (net (rename slot0_zspi_ready_q_mux0000 "slot0/zspi/ready_q_mux0000") - (joined - (portRef D (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef O (instanceRef slot0_zspi_ready_q_mux00001_INV_0)) - ) - ) - (net (rename slot0_zspi_ready_q_not0001 "slot0/zspi/ready_q_not0001") - (joined - (portRef CE (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef O (instanceRef slot0_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot0_zspi_ready_q_not00011 "slot0/zspi/ready_q_not00011") - (joined - (portRef O (instanceRef slot0_zspi_ready_q_not000111)) - (portRef I0 (instanceRef slot0_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot0_zspi_write_reg_q_0_ "slot0/zspi/write_reg_q<0>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_0)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_1_ "slot0/zspi/write_reg_q<1>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_1)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_10_ "slot0/zspi/write_reg_q<10>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_10)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_11_ "slot0/zspi/write_reg_q<11>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_11)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_12_ "slot0/zspi/write_reg_q<12>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_12)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_13_ "slot0/zspi/write_reg_q<13>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_13)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_14_ "slot0/zspi/write_reg_q<14>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_14)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_15_ "slot0/zspi/write_reg_q<15>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_15)) - (portRef I2 (instanceRef slot0_zspi_Mmux_mosi_mux0001_4_renamed_801)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_16_ "slot0/zspi/write_reg_q<16>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_16)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_17_ "slot0/zspi/write_reg_q<17>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_17)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_18_ "slot0/zspi/write_reg_q<18>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_18)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_19_ "slot0/zspi/write_reg_q<19>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_19)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_2_ "slot0/zspi/write_reg_q<2>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_2)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_20_ "slot0/zspi/write_reg_q<20>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_20)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_21_ "slot0/zspi/write_reg_q<21>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_21)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_22_ "slot0/zspi/write_reg_q<22>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_22)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_23_ "slot0/zspi/write_reg_q<23>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_23)) - (portRef I1 (instanceRef slot0_zspi_Mmux_mosi_mux0001_3_renamed_800)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_24_ "slot0/zspi/write_reg_q<24>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_24)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_25_ "slot0/zspi/write_reg_q<25>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_25)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_26_ "slot0/zspi/write_reg_q<26>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_26)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_27_ "slot0/zspi/write_reg_q<27>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_27)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_28_ "slot0/zspi/write_reg_q<28>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_28)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_29_ "slot0/zspi/write_reg_q<29>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_29)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_3_ "slot0/zspi/write_reg_q<3>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_3)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_30_ "slot0/zspi/write_reg_q<30>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_30)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_31_ "slot0/zspi/write_reg_q<31>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_31)) - (portRef I2 (instanceRef slot0_zspi_Mmux_mosi_mux0001_3_renamed_800)) - ) - ) - (net (rename slot0_zspi_write_reg_q_4_ "slot0/zspi/write_reg_q<4>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_4)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_5_ "slot0/zspi/write_reg_q<5>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_5)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_6_ "slot0/zspi/write_reg_q<6>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_6)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_7_ "slot0/zspi/write_reg_q<7>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_7)) - (portRef I1 (instanceRef slot0_zspi_Mmux_mosi_mux0001_4_renamed_801)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_8_ "slot0/zspi/write_reg_q<8>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_8)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_9_ "slot0/zspi/write_reg_q<9>") - (joined - (portRef Q (instanceRef slot0_zspi_write_reg_q_9)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_0_ "slot0/zspi/write_reg_q_mux0000<0>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_0)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_0_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_10_ "slot0/zspi/write_reg_q_mux0000<10>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_10)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_11_ "slot0/zspi/write_reg_q_mux0000<11>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_11)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_12_ "slot0/zspi/write_reg_q_mux0000<12>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_12)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_13_ "slot0/zspi/write_reg_q_mux0000<13>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_13)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_14_ "slot0/zspi/write_reg_q_mux0000<14>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_14)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_15_ "slot0/zspi/write_reg_q_mux0000<15>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_15)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_16_ "slot0/zspi/write_reg_q_mux0000<16>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_16)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_17_ "slot0/zspi/write_reg_q_mux0000<17>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_17)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_18_ "slot0/zspi/write_reg_q_mux0000<18>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_18)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_19_ "slot0/zspi/write_reg_q_mux0000<19>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_19)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_1_ "slot0/zspi/write_reg_q_mux0000<1>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_1)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_20_ "slot0/zspi/write_reg_q_mux0000<20>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_20)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_21_ "slot0/zspi/write_reg_q_mux0000<21>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_21)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_22_ "slot0/zspi/write_reg_q_mux0000<22>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_22)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_23_ "slot0/zspi/write_reg_q_mux0000<23>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_23)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_24_ "slot0/zspi/write_reg_q_mux0000<24>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_24)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_25_ "slot0/zspi/write_reg_q_mux0000<25>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_25)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_26_ "slot0/zspi/write_reg_q_mux0000<26>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_26)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_27_ "slot0/zspi/write_reg_q_mux0000<27>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_27)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_28_ "slot0/zspi/write_reg_q_mux0000<28>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_28)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_29_ "slot0/zspi/write_reg_q_mux0000<29>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_29)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_2_ "slot0/zspi/write_reg_q_mux0000<2>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_2)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_30_ "slot0/zspi/write_reg_q_mux0000<30>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_30)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_31_ "slot0/zspi/write_reg_q_mux0000<31>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_31)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_3_ "slot0/zspi/write_reg_q_mux0000<3>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_3)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_4_ "slot0/zspi/write_reg_q_mux0000<4>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_4)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_5_ "slot0/zspi/write_reg_q_mux0000<5>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_5)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_6_ "slot0/zspi/write_reg_q_mux0000<6>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_6)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_7_ "slot0/zspi/write_reg_q_mux0000<7>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_7)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_8_ "slot0/zspi/write_reg_q_mux0000<8>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_8)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - ) - ) - (net (rename slot0_zspi_write_reg_q_mux0000_9_ "slot0/zspi/write_reg_q_mux0000<9>") - (joined - (portRef D (instanceRef slot0_zspi_write_reg_q_9)) - (portRef O (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - ) - ) - (net (rename slot0_zspiclk_clk_i "slot0/zspiclk/clk_i") - (joined - (portRef Q (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef I0 (instanceRef slot0_zspiclk_clkrise_i_or0000_SW1)) - (portRef I0 (instanceRef slot0_zspiclk_clkfall_i_or0000_SW1)) - (portRef I (instanceRef slot0_zspiclk_clk_i_not00011_INV_0)) - ) - ) - (net (rename slot0_zspiclk_clk_i_not0001 "slot0/zspiclk/clk_i_not0001") - (joined - (portRef D (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef O (instanceRef slot0_zspiclk_clk_i_not00011_INV_0)) - ) - ) - (net (rename slot0_zspiclk_clk_i_or0000 "slot0/zspiclk/clk_i_or0000") - (joined - (portRef R (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef O (instanceRef slot0_zspiclk_clk_i_or00001)) - ) - ) - (net (rename slot0_zspiclk_clkfall_i "slot0/zspiclk/clkfall_i") - (joined - (portRef Q (instanceRef slot0_zspiclk_clkfall_i_renamed_825)) - (portRef I2 (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - (portRef I1 (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot0_zspiclk_spiclk_mux00001)) - (portRef I0 (instanceRef slot0_zspiclk_spiclk_not00011)) - ) - ) - (net (rename slot0_zspiclk_clkfall_i_or0000 "slot0/zspiclk/clkfall_i_or0000") - (joined - (portRef R (instanceRef slot0_zspiclk_clkfall_i_renamed_825)) - (portRef O (instanceRef slot0_zspiclk_clkfall_i_or0000_renamed_2166)) - ) - ) - (net (rename slot0_zspiclk_clkrise_i "slot0/zspiclk/clkrise_i") - (joined - (portRef Q (instanceRef slot0_zspiclk_clkrise_i_renamed_826)) - (portRef I1 (instanceRef slot0_zspi_ignore_sample_q_mux00001)) - (portRef I0 (instanceRef slot0_zspi_do_shift_and00001)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_10_11)) - (portRef I3 (instanceRef slot0_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot0_zspiclk_spiclk_not00011)) - (portRef S (instanceRef slot0_zspi_clk_en11_f5)) - (portRef I0 (instanceRef slot0_zspi_count_not000111)) - (portRef I2 (instanceRef slot0_zspi_ready_q_not000111)) - ) - ) - (net (rename slot0_zspiclk_clkrise_i_or0000 "slot0/zspiclk/clkrise_i_or0000") - (joined - (portRef R (instanceRef slot0_zspiclk_clkrise_i_renamed_826)) - (portRef O (instanceRef slot0_zspiclk_clkrise_i_or0000_renamed_2165)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_0_ "slot0/zspiclk/pr/Mcount_counter_cy<0>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_1_ "slot0/zspiclk/pr/Mcount_counter_cy<1>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_1__rt "slot0/zspiclk/pr/Mcount_counter_cy<1>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1752)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_2_ "slot0/zspiclk/pr/Mcount_counter_cy<2>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_2__rt "slot0/zspiclk/pr/Mcount_counter_cy<2>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1753)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_3_ "slot0/zspiclk/pr/Mcount_counter_cy<3>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_3__rt "slot0/zspiclk/pr/Mcount_counter_cy<3>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1754)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_4_ "slot0/zspiclk/pr/Mcount_counter_cy<4>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_4__rt "slot0/zspiclk/pr/Mcount_counter_cy<4>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1755)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_5_ "slot0/zspiclk/pr/Mcount_counter_cy<5>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_5__rt "slot0/zspiclk/pr/Mcount_counter_cy<5>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1756)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_6_ "slot0/zspiclk/pr/Mcount_counter_cy<6>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_6__rt "slot0/zspiclk/pr/Mcount_counter_cy<6>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1757)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_7_ "slot0/zspiclk/pr/Mcount_counter_cy<7>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_7__rt "slot0/zspiclk/pr/Mcount_counter_cy<7>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1758)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_8_ "slot0/zspiclk/pr/Mcount_counter_cy<8>") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_cy_8__rt "slot0/zspiclk/pr/Mcount_counter_cy<8>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1759)) - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_lut_0_ "slot0/zspiclk/pr/Mcount_counter_lut<0>") - (joined - (portRef S (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_0__)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename slot0_zspiclk_pr_Mcount_counter_xor_9__rt "slot0/zspiclk/pr/Mcount_counter_xor<9>_rt") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1840)) - (portRef LI (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_3_f5 "slot0/zspiclk/pr/Mmux_event_i_3_f5") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_4 "slot0/zspiclk/pr/Mmux_event_i_4") - (joined - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_4_f5 "slot0/zspiclk/pr/Mmux_event_i_4_f5") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_5 "slot0/zspiclk/pr/Mmux_event_i_5") - (joined - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_51 "slot0/zspiclk/pr/Mmux_event_i_51") - (joined - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - ) - ) - (net (rename slot0_zspiclk_pr_Mmux_event_i_6 "slot0/zspiclk/pr/Mmux_event_i_6") - (joined - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_0_ "slot0/zspiclk/pr/Result<0>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_0)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_0__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_1_ "slot0/zspiclk/pr/Result<1>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_1)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_2_ "slot0/zspiclk/pr/Result<2>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_2)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_3_ "slot0/zspiclk/pr/Result<3>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_3)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_4_ "slot0/zspiclk/pr/Result<4>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_4)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_5_ "slot0/zspiclk/pr/Result<5>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_5)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_6_ "slot0/zspiclk/pr/Result<6>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_6)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_7_ "slot0/zspiclk/pr/Result<7>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_7)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_8_ "slot0/zspiclk/pr/Result<8>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_8)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot0_zspiclk_pr_Result_9_ "slot0/zspiclk/pr/Result<9>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_counter_9)) - (portRef O (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot0_zspiclk_pr_ck1024_q "slot0/zspiclk/pr/ck1024_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck1024_q_renamed_813)) - (portRef I1 (instanceRef slot0_zspiclk_pr_event_i_and00001)) - ) - ) - (net (rename slot0_zspiclk_pr_ck16_q "slot0/zspiclk/pr/ck16_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck16_q_renamed_815)) - (portRef I2 (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - ) - ) - (net (rename slot0_zspiclk_pr_ck256_q "slot0/zspiclk/pr/ck256_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck256_q_renamed_818)) - (portRef I2 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_pr_ck2_q "slot0/zspiclk/pr/ck2_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck2_q_renamed_816)) - (portRef I2 (instanceRef slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966)) - ) - ) - (net (rename slot0_zspiclk_pr_ck4_q "slot0/zspiclk/pr/ck4_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck4_q_renamed_817)) - (portRef I2 (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - ) - ) - (net (rename slot0_zspiclk_pr_ck64_q "slot0/zspiclk/pr/ck64_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck64_q_renamed_812)) - (portRef I1 (instanceRef slot0_zspiclk_pr_event_i_and00021)) - ) - ) - (net (rename slot0_zspiclk_pr_ck8_q "slot0/zspiclk/pr/ck8_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_ck8_q_renamed_814)) - (portRef I1 (instanceRef slot0_zspiclk_pr_event_i_and00041)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_0_ "slot0/zspiclk/pr/counter<0>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck2_q_renamed_816)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_0)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966)) - (portRef I (instanceRef slot0_zspiclk_pr_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_1_ "slot0/zspiclk/pr/counter<1>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck4_q_renamed_817)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_1)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1752)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_2_ "slot0/zspiclk/pr/counter<2>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck8_q_renamed_814)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_2)) - (portRef I0 (instanceRef slot0_zspiclk_pr_event_i_and00041)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1753)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_3_ "slot0/zspiclk/pr/counter<3>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck16_q_renamed_815)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_3)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1754)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_4_ "slot0/zspiclk/pr/counter<4>") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_counter_4)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1755)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_5_ "slot0/zspiclk/pr/counter<5>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck64_q_renamed_812)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_5)) - (portRef I0 (instanceRef slot0_zspiclk_pr_event_i_and00021)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1756)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_6_ "slot0/zspiclk/pr/counter<6>") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_counter_6)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1757)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_7_ "slot0/zspiclk/pr/counter<7>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck256_q_renamed_818)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_7)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1758)) - (portRef I1 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_8_ "slot0/zspiclk/pr/counter<8>") - (joined - (portRef Q (instanceRef slot0_zspiclk_pr_counter_8)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1759)) - ) - ) - (net (rename slot0_zspiclk_pr_counter_9_ "slot0/zspiclk/pr/counter<9>") - (joined - (portRef D (instanceRef slot0_zspiclk_pr_ck1024_q_renamed_813)) - (portRef Q (instanceRef slot0_zspiclk_pr_counter_9)) - (portRef I0 (instanceRef slot0_zspiclk_pr_event_i_and00001)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1840)) - ) - ) - (net (rename slot0_zspiclk_pr_event_i_and0000 "slot0/zspiclk/pr/event_i_and0000") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_event_i_and00001)) - (portRef I3 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_pr_event_i_and0002 "slot0/zspiclk/pr/event_i_and0002") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_event_i_and00021)) - (portRef I3 (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - ) - ) - (net (rename slot0_zspiclk_pr_event_i_and0004 "slot0/zspiclk/pr/event_i_and0004") - (joined - (portRef O (instanceRef slot0_zspiclk_pr_event_i_and00041)) - (portRef I3 (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - ) - ) - (net (rename slot0_zspiclk_prescale_event "slot0/zspiclk/prescale_event") - (joined - (portRef CE (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef O (instanceRef slot0_zspiclk_pr_Mmux_event_i_2_f6)) - (portRef I1 (instanceRef slot0_zspiclk_clkrise_i_or0000_SW1)) - (portRef I1 (instanceRef slot0_zspiclk_clkfall_i_or0000_SW1)) - ) - ) - (net (rename slot0_zspiclk_prescale_q_0_ "slot0/zspiclk/prescale_q<0>") - (joined - (portRef Q (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_6_renamed_1966)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_51_renamed_1975)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_5_renamed_1976)) - (portRef I0 (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_renamed_1977)) - ) - ) - (net (rename slot0_zspiclk_prescale_q_1_ "slot0/zspiclk/prescale_q<1>") - (joined - (portRef Q (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef S (instanceRef slot0_zspiclk_pr_Mmux_event_i_3_f5_renamed_820)) - (portRef S (instanceRef slot0_zspiclk_pr_Mmux_event_i_4_f5_renamed_819)) - ) - ) - (net (rename slot0_zspiclk_prescale_q_2_ "slot0/zspiclk/prescale_q<2>") - (joined - (portRef Q (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef S (instanceRef slot0_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot0_zspiclk_prescale_q_not0001 "slot0/zspiclk/prescale_q_not0001") - (joined - (portRef CE (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef CE (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef CE (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef O (instanceRef slot0_zspiclk_prescale_q_not00011)) - ) - ) - (net (rename slot0_zspiclk_prescale_reset "slot0/zspiclk/prescale_reset") - (joined - (portRef Q (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef R (instanceRef slot0_zspiclk_pr_ck64_q_renamed_812)) - (portRef R (instanceRef slot0_zspiclk_pr_ck1024_q_renamed_813)) - (portRef R (instanceRef slot0_zspiclk_pr_ck8_q_renamed_814)) - (portRef R (instanceRef slot0_zspiclk_pr_ck16_q_renamed_815)) - (portRef R (instanceRef slot0_zspiclk_pr_ck2_q_renamed_816)) - (portRef R (instanceRef slot0_zspiclk_pr_ck4_q_renamed_817)) - (portRef R (instanceRef slot0_zspiclk_pr_ck256_q_renamed_818)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_0)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_1)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_2)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_3)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_4)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_5)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_6)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_7)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_8)) - (portRef R (instanceRef slot0_zspiclk_pr_counter_9)) - ) - ) - (net (rename slot0_zspiclk_prescale_reset_mux0001 "slot0/zspiclk/prescale_reset_mux0001") - (joined - (portRef D (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef O (instanceRef slot0_zspiclk_prescale_reset_mux00011_INV_0)) - ) - ) - (net (rename slot0_zspiclk_running_q "slot0/zspiclk/running_q") - (joined - (portRef Q (instanceRef slot0_zspiclk_running_q_renamed_823)) - (portRef I1 (instanceRef slot0_zspiclk_prescale_q_not00011)) - (portRef I2 (instanceRef slot0_zspiclk_clk_i_or00001)) - (portRef I2 (instanceRef slot0_zspiclk_clkrise_i_or0000_SW1)) - (portRef I2 (instanceRef slot0_zspiclk_clkfall_i_or0000_SW1)) - (portRef I (instanceRef slot0_zspiclk_prescale_reset_mux00011_INV_0)) - ) - ) - (net (rename slot0_zspiclk_running_q_or0000 "slot0/zspiclk/running_q_or0000") - (joined - (portRef R (instanceRef slot0_zspiclk_running_q_renamed_823)) - (portRef O (instanceRef slot0_zspiclk_running_q_or00001)) - ) - ) - (net (rename slot0_zspiclk_spiclk "slot0/zspiclk/spiclk") - (joined - (portRef I (instanceRef ospiclk_obufi)) - (portRef Q (instanceRef slot0_zspiclk_spiclk_renamed_822)) - ) - ) - (net (rename slot0_zspiclk_spiclk_mux0000 "slot0/zspiclk/spiclk_mux0000") - (joined - (portRef D (instanceRef slot0_zspiclk_spiclk_renamed_822)) - (portRef O (instanceRef slot0_zspiclk_spiclk_mux00001)) - ) - ) - (net (rename slot0_zspiclk_spiclk_not0001 "slot0/zspiclk/spiclk_not0001") - (joined - (portRef CE (instanceRef slot0_zspiclk_spiclk_renamed_822)) - (portRef O (instanceRef slot0_zspiclk_spiclk_not00011)) - ) - ) - (net (rename slot1_cpol "slot1/cpol") - (joined - (portRef Q (instanceRef slot1_cpol_renamed_319)) - (portRef I1 (instanceRef slot1_wb_dat_o_4_mux00001)) - (portRef I0 (instanceRef slot1_zspiclk_spiclk_mux00001)) - ) - ) - (net (rename slot1_cpol_not0001 "slot1/cpol_not0001") - (joined - (portRef CE (instanceRef slot1_cpol_renamed_319)) - (portRef CE (instanceRef slot1_spi_samprise_renamed_313)) - (portRef CE (instanceRef slot1_spi_clk_pres_0)) - (portRef CE (instanceRef slot1_spi_clk_pres_1)) - (portRef CE (instanceRef slot1_spi_clk_pres_2)) - (portRef O (instanceRef slot1_cpol_not00011)) - ) - ) - (net (rename slot1_spi_clk_en "slot1/spi_clk_en") - (joined - (portRef CE (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef I0 (instanceRef slot1_zspiclk_prescale_q_not00011)) - (portRef I1 (instanceRef slot1_zspiclk_spiclk_mux00001)) - (portRef I1 (instanceRef slot1_zspiclk_clk_i_or00001)) - (portRef I3 (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - (portRef I1 (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - (portRef I1 (instanceRef slot1_zspiclk_running_q_or00001)) - (portRef O (instanceRef slot1_zspi_clk_en11_f5)) - ) - ) - (net (rename slot1_spi_clk_pres_0_ "slot1/spi_clk_pres<0>") - (joined - (portRef Q (instanceRef slot1_spi_clk_pres_0)) - (portRef D (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef I1 (instanceRef slot1_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot1_spi_clk_pres_1_ "slot1/spi_clk_pres<1>") - (joined - (portRef Q (instanceRef slot1_spi_clk_pres_1)) - (portRef D (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef I1 (instanceRef slot1_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot1_spi_clk_pres_2_ "slot1/spi_clk_pres<2>") - (joined - (portRef Q (instanceRef slot1_spi_clk_pres_2)) - (portRef D (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef I1 (instanceRef slot1_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot1_spi_en "slot1/spi_en") - (joined - (portRef Q (instanceRef slot1_spi_en_renamed_317)) - (portRef I1 (instanceRef slot1_zspi_ignore_sample_q_not00011)) - (portRef I1 (instanceRef slot1_zspi_count_not00011_f5)) - (portRef I1 (instanceRef slot1_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot1_spi_en_mux0007 "slot1/spi_en_mux0007") - (joined - (portRef D (instanceRef slot1_spi_en_renamed_317)) - (portRef O (instanceRef slot1_spi_en_mux00071)) - ) - ) - (net (rename slot1_spi_en_or0000 "slot1/spi_en_or0000") - (joined - (portRef R (instanceRef slot1_spi_en_renamed_317)) - (portRef O (instanceRef slot1_spi_en_or0000_renamed_2174)) - ) - ) - (net (rename slot1_spi_enable_q "slot1/spi_enable_q") - (joined - (portRef Q (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef I1 (instanceRef slot1_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot1_spi_samprise "slot1/spi_samprise") - (joined - (portRef Q (instanceRef slot1_spi_samprise_renamed_313)) - (portRef I0 (instanceRef slot1_zspi_ignore_sample_q_mux00001)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - (portRef I0 (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I1 (instanceRef slot1_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot1_spi_transfersize_q_0_ "slot1/spi_transfersize_q<0>") - (joined - (portRef Q (instanceRef slot1_spi_transfersize_q_0)) - (portRef I0 (instanceRef slot1_zspi_Mmux_mosi_mux0001_3_renamed_805)) - (portRef I0 (instanceRef slot1_zspi_Mmux_mosi_mux0001_4_renamed_806)) - (portRef I1 (instanceRef slot1_wb_dat_o_8_mux00001)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count41_renamed_2221)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count51_renamed_2293)) - (portRef I (instanceRef slot1_zspi_Mcount_count_xor_3_11_INV_0)) - ) - ) - (net (rename slot1_spi_transfersize_q_1_ "slot1/spi_transfersize_q<1>") - (joined - (portRef Q (instanceRef slot1_spi_transfersize_q_1)) - (portRef S (instanceRef slot1_zspi_Mmux_mosi_mux0001_2_f5)) - (portRef I1 (instanceRef slot1_wb_dat_o_9_mux00001)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count41_renamed_2221)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count51_renamed_2293)) - ) - ) - (net (rename slot1_spi_transfersize_q_not0001 "slot1/spi_transfersize_q_not0001") - (joined - (portRef CE (instanceRef slot1_spi_transfersize_q_0)) - (portRef CE (instanceRef slot1_spi_transfersize_q_1)) - (portRef O (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - ) - ) - (net (rename slot1_spi_txblock_q "slot1/spi_txblock_q") - (joined - (portRef Q (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef I1 (instanceRef slot1_spi_en_mux00071)) - (portRef I2 (instanceRef slot1_trans_or00011)) - (portRef I1 (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef slot1_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot1_spi_txblock_q_not0001 "slot1/spi_txblock_q_not0001") - (joined - (portRef CE (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef CE (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef O (instanceRef slot1_spi_txblock_q_not00011)) - ) - ) - (net (rename slot1_trans "slot1/trans") - (joined - (portRef Q (instanceRef slot1_trans_renamed_318)) - (portRef I0 (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I0 (instanceRef slot1_trans_or00001)) - (portRef I2 (instanceRef slot1_spi_en_or0000_renamed_2174)) - ) - ) - (net (rename slot1_trans_or0000 "slot1/trans_or0000") - (joined - (portRef R (instanceRef slot1_trans_renamed_318)) - (portRef R (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef O (instanceRef slot1_trans_or00001)) - ) - ) - (net (rename slot1_trans_or0001 "slot1/trans_or0001") - (joined - (portRef S (instanceRef slot1_trans_renamed_318)) - (portRef S (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef O (instanceRef slot1_trans_or00011)) - ) - ) - (net (rename slot1_wb_ack_o "slot1/wb_ack_o") - (joined - (portRef Q (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef I3 (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - ) - ) - (net (rename slot1_zspi_Mcount_count "slot1/zspi/Mcount_count") - (joined - (portRef D (instanceRef slot1_zspi_count_0)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_0_11)) - ) - ) - (net (rename slot1_zspi_Mcount_count1 "slot1/zspi/Mcount_count1") - (joined - (portRef D (instanceRef slot1_zspi_count_1)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_1_11)) - ) - ) - (net (rename slot1_zspi_Mcount_count2 "slot1/zspi/Mcount_count2") - (joined - (portRef D (instanceRef slot1_zspi_count_2)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - ) - ) - (net (rename slot1_zspi_Mcount_count3 "slot1/zspi/Mcount_count3") - (joined - (portRef D (instanceRef slot1_zspi_count_3)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_3_1_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count4 "slot1/zspi/Mcount_count4") - (joined - (portRef D (instanceRef slot1_zspi_count_4)) - (portRef O (instanceRef slot1_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count41 "slot1/zspi/Mcount_count41") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count41_renamed_2221)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count42 "slot1/zspi/Mcount_count42") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count42_renamed_2222)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count4_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count4_bdd0 "slot1/zspi/Mcount_count4_bdd0") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count411)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count42_renamed_2222)) - (portRef I2 (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef I2 (instanceRef slot1_zspi_count_not000111)) - (portRef I1 (instanceRef slot1_zspi_ready_q_not000111)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count52_renamed_2294)) - ) - ) - (net (rename slot1_zspi_Mcount_count5 "slot1/zspi/Mcount_count5") - (joined - (portRef D (instanceRef slot1_zspi_count_5)) - (portRef O (instanceRef slot1_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count51 "slot1/zspi/Mcount_count51") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count51_renamed_2293)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count52 "slot1/zspi/Mcount_count52") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count52_renamed_2294)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count5_f5)) - ) - ) - (net (rename slot1_zspi_Mcount_count_xor_3_1 "slot1/zspi/Mcount_count_xor<3>1") - (joined - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_3_1_f5)) - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_3_11_INV_0)) - ) - ) - (net (rename slot1_zspi_Mcount_count_xor_3_11 "slot1/zspi/Mcount_count_xor<3>11") - (joined - (portRef O (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_3_1_f5)) - ) - ) - (net (rename slot1_zspi_Mmux_mosi_mux0001_3 "slot1/zspi/Mmux_mosi_mux0001_3") - (joined - (portRef O (instanceRef slot1_zspi_Mmux_mosi_mux0001_3_renamed_805)) - (portRef I1 (instanceRef slot1_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot1_zspi_Mmux_mosi_mux0001_4 "slot1/zspi/Mmux_mosi_mux0001_4") - (joined - (portRef O (instanceRef slot1_zspi_Mmux_mosi_mux0001_4_renamed_806)) - (portRef I0 (instanceRef slot1_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot1_zspi_N01 "slot1/zspi/N01") - (joined - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_0_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - (portRef I1 (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - ) - ) - (net (rename slot1_zspi_N7 "slot1/zspi/N7") - (joined - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - (portRef I3 (instanceRef slot1_zspi_ignore_sample_q_not00011)) - (portRef O (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot1_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot1_zspi_clk_en11 "slot1/zspi/clk_en11") - (joined - (portRef O (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef I1 (instanceRef slot1_zspi_clk_en11_f5)) - ) - ) - (net (rename slot1_zspi_clk_en111 "slot1/zspi/clk_en111") - (joined - (portRef I0 (instanceRef slot1_zspi_clk_en11_f5)) - (portRef O (instanceRef slot1_zspi_clk_en112_INV_0)) - ) - ) - (net (rename slot1_zspi_count_0_ "slot1/zspi/count<0>") - (joined - (portRef Q (instanceRef slot1_zspi_count_0)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_0_11)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_1_11)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count411)) - (portRef I3 (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot1_zspi_count_1_ "slot1/zspi/count<1>") - (joined - (portRef Q (instanceRef slot1_zspi_count_1)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count_xor_1_11)) - (portRef I3 (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count411)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot1_zspi_count_2_ "slot1/zspi/count<2>") - (joined - (portRef Q (instanceRef slot1_zspi_count_2)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - (portRef I2 (instanceRef slot1_zspi_Mcount_count411)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot1_zspi_count_3_ "slot1/zspi/count<3>") - (joined - (portRef Q (instanceRef slot1_zspi_count_3)) - (portRef I3 (instanceRef slot1_zspi_Mcount_count411)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count_xor_3_12)) - ) - ) - (net (rename slot1_zspi_count_4_ "slot1/zspi/count<4>") - (joined - (portRef Q (instanceRef slot1_zspi_count_4)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count42_renamed_2222)) - (portRef I0 (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef I1 (instanceRef slot1_zspi_count_not000111)) - (portRef I3 (instanceRef slot1_zspi_ready_q_not000111)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count52_renamed_2294)) - ) - ) - (net (rename slot1_zspi_count_5_ "slot1/zspi/count<5>") - (joined - (portRef Q (instanceRef slot1_zspi_count_5)) - (portRef I3 (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef I3 (instanceRef slot1_zspi_count_not000111)) - (portRef I0 (instanceRef slot1_zspi_ready_q_not000111)) - (portRef I1 (instanceRef slot1_zspi_Mcount_count52_renamed_2294)) - ) - ) - (net (rename slot1_zspi_count_not0001 "slot1/zspi/count_not0001") - (joined - (portRef CE (instanceRef slot1_zspi_count_0)) - (portRef CE (instanceRef slot1_zspi_count_1)) - (portRef CE (instanceRef slot1_zspi_count_2)) - (portRef CE (instanceRef slot1_zspi_count_3)) - (portRef CE (instanceRef slot1_zspi_count_4)) - (portRef CE (instanceRef slot1_zspi_count_5)) - (portRef O (instanceRef slot1_zspi_count_not00011_f5)) - ) - ) - (net (rename slot1_zspi_count_not00011 "slot1/zspi/count_not00011") - (joined - (portRef O (instanceRef slot1_zspi_count_not000111)) - (portRef I0 (instanceRef slot1_zspi_count_not00011_f5)) - ) - ) - (net (rename slot1_zspi_do_shift "slot1/zspi/do_shift") - (joined - (portRef CE (instanceRef slot1_zspi_mosi_renamed_807)) - (portRef O (instanceRef slot1_zspi_do_shift_and00001)) - ) - ) - (net (rename slot1_zspi_ignore_sample_q "slot1/zspi/ignore_sample_q") - (joined - (portRef Q (instanceRef slot1_zspi_ignore_sample_q_renamed_809)) - (portRef I3 (instanceRef slot1_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot1_zspi_ignore_sample_q_mux0000 "slot1/zspi/ignore_sample_q_mux0000") - (joined - (portRef D (instanceRef slot1_zspi_ignore_sample_q_renamed_809)) - (portRef O (instanceRef slot1_zspi_ignore_sample_q_mux00001)) - ) - ) - (net (rename slot1_zspi_ignore_sample_q_not0001 "slot1/zspi/ignore_sample_q_not0001") - (joined - (portRef CE (instanceRef slot1_zspi_ignore_sample_q_renamed_809)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_0)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_1)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_2)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_3)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_4)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_5)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_6)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_7)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_8)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_9)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_10)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_11)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_12)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_13)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_14)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_15)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_16)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_17)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_18)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_19)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_20)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_21)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_22)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_23)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_24)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_25)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_26)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_27)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_28)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_29)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_30)) - (portRef CE (instanceRef slot1_zspi_write_reg_q_31)) - (portRef O (instanceRef slot1_zspi_ignore_sample_q_not00011)) - ) - ) - (net (rename slot1_zspi_mosi "slot1/zspi/mosi") - (joined - (portRef Q (instanceRef slot1_zspi_mosi_renamed_807)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - ) - ) - (net (rename slot1_zspi_mosi_mux0001 "slot1/zspi/mosi_mux0001") - (joined - (portRef D (instanceRef slot1_zspi_mosi_renamed_807)) - (portRef O (instanceRef slot1_zspi_Mmux_mosi_mux0001_2_f5)) - ) - ) - (net (rename slot1_zspi_read_reg_q_0_ "slot1/zspi/read_reg_q<0>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_0)) - (portRef D (instanceRef slot1_zspi_read_reg_q_1)) - (portRef I2 (instanceRef slot1_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_1_ "slot1/zspi/read_reg_q<1>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_1)) - (portRef D (instanceRef slot1_zspi_read_reg_q_2)) - (portRef I2 (instanceRef slot1_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_10_ "slot1/zspi/read_reg_q<10>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_10)) - (portRef D (instanceRef slot1_zspi_read_reg_q_11)) - (portRef I3 (instanceRef slot_address_0__26__7_renamed_1991)) - ) - ) - (net (rename slot1_zspi_read_reg_q_11_ "slot1/zspi/read_reg_q<11>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_11)) - (portRef D (instanceRef slot1_zspi_read_reg_q_12)) - (portRef I3 (instanceRef slot_address_0__26__71_renamed_1990)) - ) - ) - (net (rename slot1_zspi_read_reg_q_12_ "slot1/zspi/read_reg_q<12>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_12)) - (portRef D (instanceRef slot1_zspi_read_reg_q_13)) - (portRef I3 (instanceRef slot_address_0__26__72_renamed_1989)) - ) - ) - (net (rename slot1_zspi_read_reg_q_13_ "slot1/zspi/read_reg_q<13>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_13)) - (portRef D (instanceRef slot1_zspi_read_reg_q_14)) - (portRef I3 (instanceRef slot_address_0__26__73_renamed_1988)) - ) - ) - (net (rename slot1_zspi_read_reg_q_14_ "slot1/zspi/read_reg_q<14>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_14)) - (portRef D (instanceRef slot1_zspi_read_reg_q_15)) - (portRef I3 (instanceRef slot_address_0__26__74_renamed_1987)) - ) - ) - (net (rename slot1_zspi_read_reg_q_15_ "slot1/zspi/read_reg_q<15>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_15)) - (portRef D (instanceRef slot1_zspi_read_reg_q_16)) - (portRef I3 (instanceRef slot_address_0__26__75_renamed_1986)) - ) - ) - (net (rename slot1_zspi_read_reg_q_16_ "slot1/zspi/read_reg_q<16>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_16)) - (portRef D (instanceRef slot1_zspi_read_reg_q_17)) - (portRef I1 (instanceRef slot_address_0__26__76_renamed_1958)) - ) - ) - (net (rename slot1_zspi_read_reg_q_17_ "slot1/zspi/read_reg_q<17>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_17)) - (portRef D (instanceRef slot1_zspi_read_reg_q_18)) - (portRef I1 (instanceRef slot_address_0__26__77_renamed_1956)) - ) - ) - (net (rename slot1_zspi_read_reg_q_18_ "slot1/zspi/read_reg_q<18>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_18)) - (portRef D (instanceRef slot1_zspi_read_reg_q_19)) - (portRef I1 (instanceRef slot_address_0__26__78_renamed_1954)) - ) - ) - (net (rename slot1_zspi_read_reg_q_19_ "slot1/zspi/read_reg_q<19>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_19)) - (portRef D (instanceRef slot1_zspi_read_reg_q_20)) - (portRef I1 (instanceRef slot_address_0__26__79_renamed_1952)) - ) - ) - (net (rename slot1_zspi_read_reg_q_2_ "slot1/zspi/read_reg_q<2>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_2)) - (portRef D (instanceRef slot1_zspi_read_reg_q_3)) - (portRef I2 (instanceRef slot1_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_20_ "slot1/zspi/read_reg_q<20>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_20)) - (portRef D (instanceRef slot1_zspi_read_reg_q_21)) - (portRef I1 (instanceRef slot_address_0__26__711_renamed_1950)) - ) - ) - (net (rename slot1_zspi_read_reg_q_21_ "slot1/zspi/read_reg_q<21>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_21)) - (portRef D (instanceRef slot1_zspi_read_reg_q_22)) - (portRef I1 (instanceRef slot_address_0__26__712_renamed_1948)) - ) - ) - (net (rename slot1_zspi_read_reg_q_22_ "slot1/zspi/read_reg_q<22>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_22)) - (portRef D (instanceRef slot1_zspi_read_reg_q_23)) - (portRef I1 (instanceRef slot_address_0__26__713_renamed_1946)) - ) - ) - (net (rename slot1_zspi_read_reg_q_23_ "slot1/zspi/read_reg_q<23>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_23)) - (portRef D (instanceRef slot1_zspi_read_reg_q_24)) - (portRef I1 (instanceRef slot_address_0__26__714_renamed_1944)) - ) - ) - (net (rename slot1_zspi_read_reg_q_24_ "slot1/zspi/read_reg_q<24>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_24)) - (portRef D (instanceRef slot1_zspi_read_reg_q_25)) - (portRef I1 (instanceRef slot_address_0__26__715_renamed_1942)) - ) - ) - (net (rename slot1_zspi_read_reg_q_25_ "slot1/zspi/read_reg_q<25>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_25)) - (portRef D (instanceRef slot1_zspi_read_reg_q_26)) - (portRef I1 (instanceRef slot_address_0__26__716_renamed_1940)) - ) - ) - (net (rename slot1_zspi_read_reg_q_26_ "slot1/zspi/read_reg_q<26>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_26)) - (portRef D (instanceRef slot1_zspi_read_reg_q_27)) - (portRef I1 (instanceRef slot_address_0__26__717_renamed_1938)) - ) - ) - (net (rename slot1_zspi_read_reg_q_27_ "slot1/zspi/read_reg_q<27>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_27)) - (portRef D (instanceRef slot1_zspi_read_reg_q_28)) - (portRef I1 (instanceRef slot_address_0__26__718_renamed_1936)) - ) - ) - (net (rename slot1_zspi_read_reg_q_28_ "slot1/zspi/read_reg_q<28>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_28)) - (portRef D (instanceRef slot1_zspi_read_reg_q_29)) - (portRef I1 (instanceRef slot_address_0__26__719_renamed_1934)) - ) - ) - (net (rename slot1_zspi_read_reg_q_29_ "slot1/zspi/read_reg_q<29>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_29)) - (portRef D (instanceRef slot1_zspi_read_reg_q_30)) - (portRef I1 (instanceRef slot_address_0__26__720_renamed_1932)) - ) - ) - (net (rename slot1_zspi_read_reg_q_3_ "slot1/zspi/read_reg_q<3>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_3)) - (portRef D (instanceRef slot1_zspi_read_reg_q_4)) - (portRef I2 (instanceRef slot1_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_30_ "slot1/zspi/read_reg_q<30>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_30)) - (portRef D (instanceRef slot1_zspi_read_reg_q_31)) - (portRef I1 (instanceRef slot_address_0__26__722_renamed_1930)) - ) - ) - (net (rename slot1_zspi_read_reg_q_31_ "slot1/zspi/read_reg_q<31>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_31)) - (portRef I1 (instanceRef slot_address_0__26__723_renamed_1928)) - ) - ) - (net (rename slot1_zspi_read_reg_q_4_ "slot1/zspi/read_reg_q<4>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_4)) - (portRef D (instanceRef slot1_zspi_read_reg_q_5)) - (portRef I2 (instanceRef slot1_wb_dat_o_4_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_5_ "slot1/zspi/read_reg_q<5>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_5)) - (portRef D (instanceRef slot1_zspi_read_reg_q_6)) - (portRef I2 (instanceRef slot1_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_6_ "slot1/zspi/read_reg_q<6>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_6)) - (portRef D (instanceRef slot1_zspi_read_reg_q_7)) - (portRef I2 (instanceRef slot1_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_7_ "slot1/zspi/read_reg_q<7>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_7)) - (portRef D (instanceRef slot1_zspi_read_reg_q_8)) - (portRef I2 (instanceRef slot1_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_8_ "slot1/zspi/read_reg_q<8>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_8)) - (portRef D (instanceRef slot1_zspi_read_reg_q_9)) - (portRef I2 (instanceRef slot1_wb_dat_o_8_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_9_ "slot1/zspi/read_reg_q<9>") - (joined - (portRef Q (instanceRef slot1_zspi_read_reg_q_9)) - (portRef D (instanceRef slot1_zspi_read_reg_q_10)) - (portRef I2 (instanceRef slot1_wb_dat_o_9_mux00001)) - ) - ) - (net (rename slot1_zspi_read_reg_q_not0001 "slot1/zspi/read_reg_q_not0001") - (joined - (portRef CE (instanceRef slot1_zspi_read_reg_q_0)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_1)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_2)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_3)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_4)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_5)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_6)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_7)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_8)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_9)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_10)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_11)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_12)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_13)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_14)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_15)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_16)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_17)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_18)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_19)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_20)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_21)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_22)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_23)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_24)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_25)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_26)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_27)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_28)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_29)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_30)) - (portRef CE (instanceRef slot1_zspi_read_reg_q_31)) - (portRef O (instanceRef slot1_zspi_read_reg_q_not00011)) - ) - ) - (net (rename slot1_zspi_ready_q "slot1/zspi/ready_q") - (joined - (portRef Q (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_0_11)) - (portRef I2 (instanceRef slot1_zspi_ignore_sample_q_mux00001)) - (portRef I2 (instanceRef slot1_spi_en_mux00071)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_1_11)) - (portRef I0 (instanceRef slot1_zspi_Mcount_count_xor_2_11)) - (portRef I1 (instanceRef slot1_zspi_do_shift_and00001)) - (portRef I0 (instanceRef slot1_trans_or00011)) - (portRef I3 (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - (portRef I0 (instanceRef slot1_zspi_ignore_sample_q_not00011)) - (portRef I2 (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef slot1_wb_dat_o_0_mux00001)) - (portRef I3 (instanceRef slot1_zspiclk_spiclk_not00011)) - (portRef I (instanceRef slot1_zspi_ready_q_mux00001_INV_0)) - (portRef S (instanceRef slot1_zspi_Mcount_count4_f5)) - (portRef S (instanceRef slot1_zspi_Mcount_count_xor_3_1_f5)) - (portRef I1 (instanceRef slot1_zspi_clk_en111_renamed_2254)) - (portRef S (instanceRef slot1_zspi_count_not00011_f5)) - (portRef S (instanceRef slot1_zspi_ready_q_not00011_f5)) - (portRef S (instanceRef slot1_zspi_Mcount_count5_f5)) - (portRef I (instanceRef slot1_zspi_clk_en112_INV_0)) - ) - ) - (net (rename slot1_zspi_ready_q_mux0000 "slot1/zspi/ready_q_mux0000") - (joined - (portRef D (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef O (instanceRef slot1_zspi_ready_q_mux00001_INV_0)) - ) - ) - (net (rename slot1_zspi_ready_q_not0001 "slot1/zspi/ready_q_not0001") - (joined - (portRef CE (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef O (instanceRef slot1_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot1_zspi_ready_q_not00011 "slot1/zspi/ready_q_not00011") - (joined - (portRef O (instanceRef slot1_zspi_ready_q_not000111)) - (portRef I0 (instanceRef slot1_zspi_ready_q_not00011_f5)) - ) - ) - (net (rename slot1_zspi_write_reg_q_0_ "slot1/zspi/write_reg_q<0>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_0)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_1_ "slot1/zspi/write_reg_q<1>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_1)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_10_ "slot1/zspi/write_reg_q<10>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_10)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_11_ "slot1/zspi/write_reg_q<11>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_11)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_12_ "slot1/zspi/write_reg_q<12>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_12)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_13_ "slot1/zspi/write_reg_q<13>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_13)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_14_ "slot1/zspi/write_reg_q<14>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_14)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_15_ "slot1/zspi/write_reg_q<15>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_15)) - (portRef I2 (instanceRef slot1_zspi_Mmux_mosi_mux0001_4_renamed_806)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_16_ "slot1/zspi/write_reg_q<16>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_16)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_17_ "slot1/zspi/write_reg_q<17>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_17)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_18_ "slot1/zspi/write_reg_q<18>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_18)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_19_ "slot1/zspi/write_reg_q<19>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_19)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_2_ "slot1/zspi/write_reg_q<2>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_2)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_20_ "slot1/zspi/write_reg_q<20>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_20)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_21_ "slot1/zspi/write_reg_q<21>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_21)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_22_ "slot1/zspi/write_reg_q<22>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_22)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_23_ "slot1/zspi/write_reg_q<23>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_23)) - (portRef I1 (instanceRef slot1_zspi_Mmux_mosi_mux0001_3_renamed_805)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_24_ "slot1/zspi/write_reg_q<24>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_24)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_25_ "slot1/zspi/write_reg_q<25>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_25)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_26_ "slot1/zspi/write_reg_q<26>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_26)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_27_ "slot1/zspi/write_reg_q<27>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_27)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_28_ "slot1/zspi/write_reg_q<28>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_28)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_29_ "slot1/zspi/write_reg_q<29>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_29)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_3_ "slot1/zspi/write_reg_q<3>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_3)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_30_ "slot1/zspi/write_reg_q<30>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_30)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_31_ "slot1/zspi/write_reg_q<31>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_31)) - (portRef I2 (instanceRef slot1_zspi_Mmux_mosi_mux0001_3_renamed_805)) - ) - ) - (net (rename slot1_zspi_write_reg_q_4_ "slot1/zspi/write_reg_q<4>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_4)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_5_ "slot1/zspi/write_reg_q<5>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_5)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_6_ "slot1/zspi/write_reg_q<6>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_6)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_7_ "slot1/zspi/write_reg_q<7>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_7)) - (portRef I1 (instanceRef slot1_zspi_Mmux_mosi_mux0001_4_renamed_806)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_8_ "slot1/zspi/write_reg_q<8>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_8)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_9_ "slot1/zspi/write_reg_q<9>") - (joined - (portRef Q (instanceRef slot1_zspi_write_reg_q_9)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_0_ "slot1/zspi/write_reg_q_mux0000<0>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_0)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_0_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_10_ "slot1/zspi/write_reg_q_mux0000<10>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_10)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_11_ "slot1/zspi/write_reg_q_mux0000<11>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_11)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_12_ "slot1/zspi/write_reg_q_mux0000<12>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_12)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_13_ "slot1/zspi/write_reg_q_mux0000<13>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_13)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_14_ "slot1/zspi/write_reg_q_mux0000<14>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_14)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_15_ "slot1/zspi/write_reg_q_mux0000<15>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_15)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_16_ "slot1/zspi/write_reg_q_mux0000<16>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_16)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_17_ "slot1/zspi/write_reg_q_mux0000<17>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_17)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_18_ "slot1/zspi/write_reg_q_mux0000<18>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_18)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_19_ "slot1/zspi/write_reg_q_mux0000<19>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_19)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_1_ "slot1/zspi/write_reg_q_mux0000<1>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_1)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_20_ "slot1/zspi/write_reg_q_mux0000<20>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_20)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_21_ "slot1/zspi/write_reg_q_mux0000<21>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_21)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_22_ "slot1/zspi/write_reg_q_mux0000<22>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_22)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_23_ "slot1/zspi/write_reg_q_mux0000<23>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_23)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_24_ "slot1/zspi/write_reg_q_mux0000<24>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_24)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_25_ "slot1/zspi/write_reg_q_mux0000<25>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_25)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_26_ "slot1/zspi/write_reg_q_mux0000<26>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_26)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_27_ "slot1/zspi/write_reg_q_mux0000<27>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_27)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_28_ "slot1/zspi/write_reg_q_mux0000<28>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_28)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_29_ "slot1/zspi/write_reg_q_mux0000<29>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_29)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_2_ "slot1/zspi/write_reg_q_mux0000<2>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_2)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_30_ "slot1/zspi/write_reg_q_mux0000<30>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_30)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_31_ "slot1/zspi/write_reg_q_mux0000<31>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_31)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_3_ "slot1/zspi/write_reg_q_mux0000<3>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_3)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_4_ "slot1/zspi/write_reg_q_mux0000<4>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_4)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_5_ "slot1/zspi/write_reg_q_mux0000<5>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_5)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_6_ "slot1/zspi/write_reg_q_mux0000<6>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_6)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_7_ "slot1/zspi/write_reg_q_mux0000<7>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_7)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_8_ "slot1/zspi/write_reg_q_mux0000<8>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_8)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - ) - ) - (net (rename slot1_zspi_write_reg_q_mux0000_9_ "slot1/zspi/write_reg_q_mux0000<9>") - (joined - (portRef D (instanceRef slot1_zspi_write_reg_q_9)) - (portRef O (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - ) - ) - (net (rename slot1_zspiclk_clk_i "slot1/zspiclk/clk_i") - (joined - (portRef Q (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef I0 (instanceRef slot1_zspiclk_clkrise_i_or0000_SW1)) - (portRef I0 (instanceRef slot1_zspiclk_clkfall_i_or0000_SW1)) - (portRef I (instanceRef slot1_zspiclk_clk_i_not00011_INV_0)) - ) - ) - (net (rename slot1_zspiclk_clk_i_not0001 "slot1/zspiclk/clk_i_not0001") - (joined - (portRef D (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef O (instanceRef slot1_zspiclk_clk_i_not00011_INV_0)) - ) - ) - (net (rename slot1_zspiclk_clk_i_or0000 "slot1/zspiclk/clk_i_or0000") - (joined - (portRef R (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef O (instanceRef slot1_zspiclk_clk_i_or00001)) - ) - ) - (net (rename slot1_zspiclk_clkfall_i "slot1/zspiclk/clkfall_i") - (joined - (portRef Q (instanceRef slot1_zspiclk_clkfall_i_renamed_840)) - (portRef I2 (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - (portRef I1 (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot1_zspiclk_spiclk_mux00001)) - (portRef I0 (instanceRef slot1_zspiclk_spiclk_not00011)) - ) - ) - (net (rename slot1_zspiclk_clkfall_i_or0000 "slot1/zspiclk/clkfall_i_or0000") - (joined - (portRef R (instanceRef slot1_zspiclk_clkfall_i_renamed_840)) - (portRef O (instanceRef slot1_zspiclk_clkfall_i_or0000_renamed_2164)) - ) - ) - (net (rename slot1_zspiclk_clkrise_i "slot1/zspiclk/clkrise_i") - (joined - (portRef Q (instanceRef slot1_zspiclk_clkrise_i_renamed_841)) - (portRef I1 (instanceRef slot1_zspi_ignore_sample_q_mux00001)) - (portRef I0 (instanceRef slot1_zspi_do_shift_and00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_10_11)) - (portRef I3 (instanceRef slot1_zspi_ignore_sample_q_not000121)) - (portRef I2 (instanceRef slot1_zspiclk_spiclk_not00011)) - (portRef S (instanceRef slot1_zspi_clk_en11_f5)) - (portRef I0 (instanceRef slot1_zspi_count_not000111)) - (portRef I2 (instanceRef slot1_zspi_ready_q_not000111)) - ) - ) - (net (rename slot1_zspiclk_clkrise_i_or0000 "slot1/zspiclk/clkrise_i_or0000") - (joined - (portRef R (instanceRef slot1_zspiclk_clkrise_i_renamed_841)) - (portRef O (instanceRef slot1_zspiclk_clkrise_i_or0000_renamed_2163)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_0_ "slot1/zspiclk/pr/Mcount_counter_cy<0>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_1_ "slot1/zspiclk/pr/Mcount_counter_cy<1>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_1__rt "slot1/zspiclk/pr/Mcount_counter_cy<1>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1760)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_2_ "slot1/zspiclk/pr/Mcount_counter_cy<2>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_2__rt "slot1/zspiclk/pr/Mcount_counter_cy<2>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1761)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_3_ "slot1/zspiclk/pr/Mcount_counter_cy<3>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_3__rt "slot1/zspiclk/pr/Mcount_counter_cy<3>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1762)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_4_ "slot1/zspiclk/pr/Mcount_counter_cy<4>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_4__rt "slot1/zspiclk/pr/Mcount_counter_cy<4>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1763)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_5_ "slot1/zspiclk/pr/Mcount_counter_cy<5>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_5__rt "slot1/zspiclk/pr/Mcount_counter_cy<5>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1764)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_6_ "slot1/zspiclk/pr/Mcount_counter_cy<6>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_6__rt "slot1/zspiclk/pr/Mcount_counter_cy<6>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1765)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_7_ "slot1/zspiclk/pr/Mcount_counter_cy<7>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_7__rt "slot1/zspiclk/pr/Mcount_counter_cy<7>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1766)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_8_ "slot1/zspiclk/pr/Mcount_counter_cy<8>") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef CI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_cy_8__rt "slot1/zspiclk/pr/Mcount_counter_cy<8>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1767)) - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_lut_0_ "slot1/zspiclk/pr/Mcount_counter_lut<0>") - (joined - (portRef S (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_0__)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_0__)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename slot1_zspiclk_pr_Mcount_counter_xor_9__rt "slot1/zspiclk/pr/Mcount_counter_xor<9>_rt") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1841)) - (portRef LI (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_3_f5 "slot1/zspiclk/pr/Mmux_event_i_3_f5") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_4 "slot1/zspiclk/pr/Mmux_event_i_4") - (joined - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_4_f5 "slot1/zspiclk/pr/Mmux_event_i_4_f5") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_5 "slot1/zspiclk/pr/Mmux_event_i_5") - (joined - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_51 "slot1/zspiclk/pr/Mmux_event_i_51") - (joined - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - ) - ) - (net (rename slot1_zspiclk_pr_Mmux_event_i_6 "slot1/zspiclk/pr/Mmux_event_i_6") - (joined - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_0_ "slot1/zspiclk/pr/Result<0>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_0)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_0__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_1_ "slot1/zspiclk/pr/Result<1>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_1)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_1__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_2_ "slot1/zspiclk/pr/Result<2>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_2)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_2__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_3_ "slot1/zspiclk/pr/Result<3>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_3)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_3__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_4_ "slot1/zspiclk/pr/Result<4>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_4)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_4__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_5_ "slot1/zspiclk/pr/Result<5>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_5)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_5__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_6_ "slot1/zspiclk/pr/Result<6>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_6)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_6__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_7_ "slot1/zspiclk/pr/Result<7>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_7)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_7__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_8_ "slot1/zspiclk/pr/Result<8>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_8)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_8__)) - ) - ) - (net (rename slot1_zspiclk_pr_Result_9_ "slot1/zspiclk/pr/Result<9>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_counter_9)) - (portRef O (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__)) - ) - ) - (net (rename slot1_zspiclk_pr_ck1024_q "slot1/zspiclk/pr/ck1024_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck1024_q_renamed_828)) - (portRef I1 (instanceRef slot1_zspiclk_pr_event_i_and00001)) - ) - ) - (net (rename slot1_zspiclk_pr_ck16_q "slot1/zspiclk/pr/ck16_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck16_q_renamed_830)) - (portRef I2 (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - ) - ) - (net (rename slot1_zspiclk_pr_ck256_q "slot1/zspiclk/pr/ck256_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck256_q_renamed_833)) - (portRef I2 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_pr_ck2_q "slot1/zspiclk/pr/ck2_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck2_q_renamed_831)) - (portRef I2 (instanceRef slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967)) - ) - ) - (net (rename slot1_zspiclk_pr_ck4_q "slot1/zspiclk/pr/ck4_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck4_q_renamed_832)) - (portRef I2 (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - ) - ) - (net (rename slot1_zspiclk_pr_ck64_q "slot1/zspiclk/pr/ck64_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck64_q_renamed_827)) - (portRef I1 (instanceRef slot1_zspiclk_pr_event_i_and00021)) - ) - ) - (net (rename slot1_zspiclk_pr_ck8_q "slot1/zspiclk/pr/ck8_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_ck8_q_renamed_829)) - (portRef I1 (instanceRef slot1_zspiclk_pr_event_i_and00041)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_0_ "slot1/zspiclk/pr/counter<0>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck2_q_renamed_831)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_0)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967)) - (portRef I (instanceRef slot1_zspiclk_pr_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_1_ "slot1/zspiclk/pr/counter<1>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck4_q_renamed_832)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_1)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_1__rt_renamed_1760)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_2_ "slot1/zspiclk/pr/counter<2>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck8_q_renamed_829)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_2)) - (portRef I0 (instanceRef slot1_zspiclk_pr_event_i_and00041)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_2__rt_renamed_1761)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_3_ "slot1/zspiclk/pr/counter<3>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck16_q_renamed_830)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_3)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_3__rt_renamed_1762)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_4_ "slot1/zspiclk/pr/counter<4>") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_counter_4)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_4__rt_renamed_1763)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_5_ "slot1/zspiclk/pr/counter<5>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck64_q_renamed_827)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_5)) - (portRef I0 (instanceRef slot1_zspiclk_pr_event_i_and00021)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_5__rt_renamed_1764)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_6_ "slot1/zspiclk/pr/counter<6>") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_counter_6)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_6__rt_renamed_1765)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_7_ "slot1/zspiclk/pr/counter<7>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck256_q_renamed_833)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_7)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_7__rt_renamed_1766)) - (portRef I1 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_8_ "slot1/zspiclk/pr/counter<8>") - (joined - (portRef Q (instanceRef slot1_zspiclk_pr_counter_8)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_cy_8__rt_renamed_1767)) - ) - ) - (net (rename slot1_zspiclk_pr_counter_9_ "slot1/zspiclk/pr/counter<9>") - (joined - (portRef D (instanceRef slot1_zspiclk_pr_ck1024_q_renamed_828)) - (portRef Q (instanceRef slot1_zspiclk_pr_counter_9)) - (portRef I0 (instanceRef slot1_zspiclk_pr_event_i_and00001)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mcount_counter_xor_9__rt_renamed_1841)) - ) - ) - (net (rename slot1_zspiclk_pr_event_i_and0000 "slot1/zspiclk/pr/event_i_and0000") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_event_i_and00001)) - (portRef I3 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_pr_event_i_and0002 "slot1/zspiclk/pr/event_i_and0002") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_event_i_and00021)) - (portRef I3 (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - ) - ) - (net (rename slot1_zspiclk_pr_event_i_and0004 "slot1/zspiclk/pr/event_i_and0004") - (joined - (portRef O (instanceRef slot1_zspiclk_pr_event_i_and00041)) - (portRef I3 (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - ) - ) - (net (rename slot1_zspiclk_prescale_event "slot1/zspiclk/prescale_event") - (joined - (portRef CE (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef O (instanceRef slot1_zspiclk_pr_Mmux_event_i_2_f6)) - (portRef I1 (instanceRef slot1_zspiclk_clkrise_i_or0000_SW1)) - (portRef I1 (instanceRef slot1_zspiclk_clkfall_i_or0000_SW1)) - ) - ) - (net (rename slot1_zspiclk_prescale_q_0_ "slot1/zspiclk/prescale_q<0>") - (joined - (portRef Q (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_6_renamed_1967)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_51_renamed_1978)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_5_renamed_1979)) - (portRef I0 (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_renamed_1980)) - ) - ) - (net (rename slot1_zspiclk_prescale_q_1_ "slot1/zspiclk/prescale_q<1>") - (joined - (portRef Q (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef S (instanceRef slot1_zspiclk_pr_Mmux_event_i_3_f5_renamed_835)) - (portRef S (instanceRef slot1_zspiclk_pr_Mmux_event_i_4_f5_renamed_834)) - ) - ) - (net (rename slot1_zspiclk_prescale_q_2_ "slot1/zspiclk/prescale_q<2>") - (joined - (portRef Q (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef S (instanceRef slot1_zspiclk_pr_Mmux_event_i_2_f6)) - ) - ) - (net (rename slot1_zspiclk_prescale_q_not0001 "slot1/zspiclk/prescale_q_not0001") - (joined - (portRef CE (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef CE (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef CE (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef O (instanceRef slot1_zspiclk_prescale_q_not00011)) - ) - ) - (net (rename slot1_zspiclk_prescale_reset "slot1/zspiclk/prescale_reset") - (joined - (portRef Q (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef R (instanceRef slot1_zspiclk_pr_ck64_q_renamed_827)) - (portRef R (instanceRef slot1_zspiclk_pr_ck1024_q_renamed_828)) - (portRef R (instanceRef slot1_zspiclk_pr_ck8_q_renamed_829)) - (portRef R (instanceRef slot1_zspiclk_pr_ck16_q_renamed_830)) - (portRef R (instanceRef slot1_zspiclk_pr_ck2_q_renamed_831)) - (portRef R (instanceRef slot1_zspiclk_pr_ck4_q_renamed_832)) - (portRef R (instanceRef slot1_zspiclk_pr_ck256_q_renamed_833)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_0)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_1)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_2)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_3)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_4)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_5)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_6)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_7)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_8)) - (portRef R (instanceRef slot1_zspiclk_pr_counter_9)) - ) - ) - (net (rename slot1_zspiclk_prescale_reset_mux0001 "slot1/zspiclk/prescale_reset_mux0001") - (joined - (portRef D (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef O (instanceRef slot1_zspiclk_prescale_reset_mux00011_INV_0)) - ) - ) - (net (rename slot1_zspiclk_running_q "slot1/zspiclk/running_q") - (joined - (portRef Q (instanceRef slot1_zspiclk_running_q_renamed_838)) - (portRef I1 (instanceRef slot1_zspiclk_prescale_q_not00011)) - (portRef I2 (instanceRef slot1_zspiclk_clk_i_or00001)) - (portRef I2 (instanceRef slot1_zspiclk_clkrise_i_or0000_SW1)) - (portRef I2 (instanceRef slot1_zspiclk_clkfall_i_or0000_SW1)) - (portRef I (instanceRef slot1_zspiclk_prescale_reset_mux00011_INV_0)) - ) - ) - (net (rename slot1_zspiclk_running_q_or0000 "slot1/zspiclk/running_q_or0000") - (joined - (portRef R (instanceRef slot1_zspiclk_running_q_renamed_838)) - (portRef O (instanceRef slot1_zspiclk_running_q_or00001)) - ) - ) - (net (rename slot1_zspiclk_spiclk "slot1/zspiclk/spiclk") - (joined - (portRef Q (instanceRef slot1_zspiclk_spiclk_renamed_837)) - (portRef I0 (instanceRef gpio_inst_gpio_o_9_mux000285_renamed_1248)) - (portRef I0 (instanceRef gpio_inst_gpio_o_8_mux000285_renamed_1252)) - (portRef I0 (instanceRef gpio_inst_gpio_o_7_mux000285_renamed_1256)) - (portRef I0 (instanceRef gpio_inst_gpio_o_6_mux000285_renamed_1260)) - (portRef I0 (instanceRef gpio_inst_gpio_o_5_mux000285_renamed_1264)) - (portRef I0 (instanceRef gpio_inst_gpio_o_4_mux000285_renamed_1268)) - (portRef I0 (instanceRef gpio_inst_gpio_o_47_mux000285_renamed_1272)) - (portRef I0 (instanceRef gpio_inst_gpio_o_46_mux000285_renamed_1276)) - (portRef I0 (instanceRef gpio_inst_gpio_o_45_mux000285_renamed_1280)) - (portRef I0 (instanceRef gpio_inst_gpio_o_44_mux000285_renamed_1284)) - (portRef I0 (instanceRef gpio_inst_gpio_o_43_mux000285_renamed_1288)) - (portRef I0 (instanceRef gpio_inst_gpio_o_42_mux000285_renamed_1292)) - (portRef I0 (instanceRef gpio_inst_gpio_o_41_mux000285_renamed_1296)) - (portRef I0 (instanceRef gpio_inst_gpio_o_40_mux000285_renamed_1300)) - (portRef I0 (instanceRef gpio_inst_gpio_o_3_mux000285_renamed_1304)) - (portRef I0 (instanceRef gpio_inst_gpio_o_33_mux000285_renamed_1308)) - (portRef I0 (instanceRef gpio_inst_gpio_o_32_mux000285_renamed_1312)) - (portRef I0 (instanceRef gpio_inst_gpio_o_31_mux000285_renamed_1316)) - (portRef I0 (instanceRef gpio_inst_gpio_o_30_mux000285_renamed_1320)) - (portRef I0 (instanceRef gpio_inst_gpio_o_2_mux000285_renamed_1324)) - (portRef I0 (instanceRef gpio_inst_gpio_o_29_mux000285_renamed_1328)) - (portRef I0 (instanceRef gpio_inst_gpio_o_28_mux000285_renamed_1332)) - (portRef I0 (instanceRef gpio_inst_gpio_o_27_mux000285_renamed_1336)) - (portRef I0 (instanceRef gpio_inst_gpio_o_26_mux000285_renamed_1340)) - (portRef I0 (instanceRef gpio_inst_gpio_o_25_mux000285_renamed_1344)) - (portRef I0 (instanceRef gpio_inst_gpio_o_24_mux000285_renamed_1348)) - (portRef I0 (instanceRef gpio_inst_gpio_o_1_mux000285_renamed_1352)) - (portRef I0 (instanceRef gpio_inst_gpio_o_15_mux000285_renamed_1356)) - (portRef I0 (instanceRef gpio_inst_gpio_o_14_mux000285_renamed_1360)) - (portRef I0 (instanceRef gpio_inst_gpio_o_13_mux000285_renamed_1364)) - (portRef I0 (instanceRef gpio_inst_gpio_o_12_mux000285_renamed_1368)) - (portRef I0 (instanceRef gpio_inst_gpio_o_11_mux000285_renamed_1372)) - (portRef I0 (instanceRef gpio_inst_gpio_o_10_mux000285_renamed_1376)) - (portRef I0 (instanceRef gpio_inst_gpio_o_0_mux000285_renamed_1380)) - ) - ) - (net (rename slot1_zspiclk_spiclk_mux0000 "slot1/zspiclk/spiclk_mux0000") - (joined - (portRef D (instanceRef slot1_zspiclk_spiclk_renamed_837)) - (portRef O (instanceRef slot1_zspiclk_spiclk_mux00001)) - ) - ) - (net (rename slot1_zspiclk_spiclk_not0001 "slot1/zspiclk/spiclk_not0001") - (joined - (portRef CE (instanceRef slot1_zspiclk_spiclk_renamed_837)) - (portRef O (instanceRef slot1_zspiclk_spiclk_not00011)) - ) - ) - (net (rename slot11_N22 "slot11/N22") - (joined - (portRef O (instanceRef slot11_tx_core_loaded_r_mux000011)) - (portRef I0 (instanceRef slot11_tx_core_bitpos_mux0002_3_1)) - (portRef I3 (instanceRef slot11_tx_core_loaded_r_mux00001)) - ) - ) - (net (rename slot11_N3 "slot11/N3") - (joined - (portRef I0 (instanceRef slot11_tx_core_intx_o_not00011)) - (portRef I0 (instanceRef slot11_tx_core_idle_not00011)) - (portRef I2 (instanceRef slot11_tx_core_t_r_not00011)) - (portRef O (instanceRef slot11_tx_core_loaded_r_not00011_f5)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not00013_renamed_2233)) - ) - ) - (net (rename slot11_N4 "slot11/N4") - (joined - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0_2_renamed_2228)) - ) - ) - (net (rename slot11_N5 "slot11/N5") - (joined - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_0_2_renamed_2228)) - ) - ) - (net (rename slot11_N6 "slot11/N6") - (joined - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_1_11)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - ) - ) - (net (rename slot11_Result_0_ "slot11/Result<0>") - (joined - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0)) - ) - ) - (net (rename slot11_Result_1_ "slot11/Result<1>") - (joined - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - ) - ) - (net (rename slot11_Result_2_ "slot11/Result<2>") - (joined - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - ) - ) - (net (rename slot11_Result_3_ "slot11/Result<3>") - (joined - (portRef D (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - ) - ) - (net (rename slot11_data_ready_dly_q "slot11/data_ready_dly_q") - (joined - (portRef Q (instanceRef slot11_data_ready_dly_q_renamed_1115)) - (portRef I0 (instanceRef slot11_dready_q_or00001)) - ) - ) - (net (rename slot11_divider_rx_q_0_ "slot11/divider_rx_q<0>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_0)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_divider_rx_q_1_ "slot11/divider_rx_q<1>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_1)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_divider_rx_q_10_ "slot11/divider_rx_q<10>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_10)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_divider_rx_q_11_ "slot11/divider_rx_q<11>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_11)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_divider_rx_q_12_ "slot11/divider_rx_q<12>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_12)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_divider_rx_q_13_ "slot11/divider_rx_q<13>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_13)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_divider_rx_q_14_ "slot11/divider_rx_q<14>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_14)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_divider_rx_q_15_ "slot11/divider_rx_q<15>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_15)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_divider_rx_q_2_ "slot11/divider_rx_q<2>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_2)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_divider_rx_q_3_ "slot11/divider_rx_q<3>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_3)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_divider_rx_q_4_ "slot11/divider_rx_q<4>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_4)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_divider_rx_q_5_ "slot11/divider_rx_q<5>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_5)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_divider_rx_q_6_ "slot11/divider_rx_q<6>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_6)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_divider_rx_q_7_ "slot11/divider_rx_q<7>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_7)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_divider_rx_q_8_ "slot11/divider_rx_q<8>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_8)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_divider_rx_q_9_ "slot11/divider_rx_q<9>") - (joined - (portRef Q (instanceRef slot11_divider_rx_q_9)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_divider_rx_q_not0001 "slot11/divider_rx_q_not0001") - (joined - (portRef CE (instanceRef slot11_divider_rx_q_0)) - (portRef CE (instanceRef slot11_divider_rx_q_1)) - (portRef CE (instanceRef slot11_divider_rx_q_2)) - (portRef CE (instanceRef slot11_divider_rx_q_3)) - (portRef CE (instanceRef slot11_divider_rx_q_4)) - (portRef CE (instanceRef slot11_divider_rx_q_5)) - (portRef CE (instanceRef slot11_divider_rx_q_6)) - (portRef CE (instanceRef slot11_divider_rx_q_7)) - (portRef CE (instanceRef slot11_divider_rx_q_8)) - (portRef CE (instanceRef slot11_divider_rx_q_9)) - (portRef CE (instanceRef slot11_divider_rx_q_10)) - (portRef CE (instanceRef slot11_divider_rx_q_11)) - (portRef CE (instanceRef slot11_divider_rx_q_12)) - (portRef CE (instanceRef slot11_divider_rx_q_13)) - (portRef CE (instanceRef slot11_divider_rx_q_14)) - (portRef CE (instanceRef slot11_divider_rx_q_15)) - (portRef O (instanceRef slot11_divider_rx_q_not00011)) - ) - ) - (net (rename slot11_dready_q "slot11/dready_q") - (joined - (portRef Q (instanceRef slot11_dready_q_renamed_1116)) - (portRef I2 (instanceRef slot11_fifo_instance__and000011)) - (portRef I2 (instanceRef slot11_fifo_instance__and00001)) - (portRef I3 (instanceRef slot11_rx_inst_dataready_not00011)) - ) - ) - (net (rename slot11_dready_q_or0000 "slot11/dready_q_or0000") - (joined - (portRef R (instanceRef slot11_dready_q_renamed_1116)) - (portRef O (instanceRef slot11_dready_q_or00001)) - ) - ) - (net (rename slot11_fifo_instance_N01 "slot11/fifo_instance/N01") - (joined - (portRef I3 (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - (portRef O (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - (portRef I2 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - ) - ) - (net (rename slot11_fifo_instance_N3 "slot11/fifo_instance/N3") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq0000421)) - (portRef I0 (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - ) - ) - (net (rename slot11_fifo_instance_Result_0_ "slot11/fifo_instance/Result<0>") - (joined - (portRef D (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_0_11_INV_0)) - ) - ) - (net (rename slot11_fifo_instance_Result_0_1 "slot11/fifo_instance/Result<0>1") - (joined - (portRef D (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_0_11_INV_0)) - ) - ) - (net (rename slot11_fifo_instance_Result_1_ "slot11/fifo_instance/Result<1>") - (joined - (portRef D (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_1_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_1_1 "slot11/fifo_instance/Result<1>1") - (joined - (portRef D (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_1_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_2_ "slot11/fifo_instance/Result<2>") - (joined - (portRef D (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_2_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_2_1 "slot11/fifo_instance/Result<2>1") - (joined - (portRef D (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_2_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_3_ "slot11/fifo_instance/Result<3>") - (joined - (portRef D (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - ) - ) - (net (rename slot11_fifo_instance_Result_3_1 "slot11/fifo_instance/Result<3>1") - (joined - (portRef D (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef O (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - ) - ) - (net (rename slot11_fifo_instance__and0000 "slot11/fifo_instance/_and0000") - (joined - (portRef CE (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef CE (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef CE (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef CE (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef O (instanceRef slot11_fifo_instance__and00001)) - ) - ) - (net (rename slot11_fifo_instance__and0000_0 "slot11/fifo_instance/_and0000_0") - (joined - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef WE (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef O (instanceRef slot11_fifo_instance__and000011)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_0_ "slot11/fifo_instance/_varindex0000<0>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_0)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory1)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_1_ "slot11/fifo_instance/_varindex0000<1>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_1)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory2)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_2_ "slot11/fifo_instance/_varindex0000<2>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_2)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory3)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_3_ "slot11/fifo_instance/_varindex0000<3>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_3)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory4)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_4_ "slot11/fifo_instance/_varindex0000<4>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_4)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory5)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_5_ "slot11/fifo_instance/_varindex0000<5>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_5)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory6)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_6_ "slot11/fifo_instance/_varindex0000<6>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_6)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory7)) - ) - ) - (net (rename slot11_fifo_instance__varindex0000_7_ "slot11/fifo_instance/_varindex0000<7>") - (joined - (portRef D (instanceRef slot11_fifo_instance_read_7)) - (portRef DPO (instanceRef slot11_fifo_instance_Mram_memory8)) - ) - ) - (net (rename slot11_fifo_instance_empty "slot11/fifo_instance/empty") - (joined - (portRef Q (instanceRef slot11_fifo_instance_empty_renamed_1102)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - ) - ) - (net (rename slot11_fifo_instance_empty_v_cmp_eq0000 "slot11/fifo_instance/empty_v_cmp_eq0000") - (joined - (portRef D (instanceRef slot11_fifo_instance_empty_renamed_1102)) - (portRef I1 (instanceRef slot11_fifo_instance_rdaddr_and00001)) - (portRef O (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq00004104 "slot11/fifo_instance/full_v_cmp_eq00004104") - (joined - (portRef I3 (instanceRef slot11_fifo_instance__and000011)) - (portRef I1 (instanceRef slot11_fifo_instance__and00001)) - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq00004104_f5)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq000041041 "slot11/fifo_instance/full_v_cmp_eq000041041") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq00004104_f5)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq000041042 "slot11/fifo_instance/full_v_cmp_eq000041042") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq00004104_f5)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq0000468 "slot11/fifo_instance/full_v_cmp_eq0000468") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - (portRef I2 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - ) - ) - (net (rename slot11_fifo_instance_full_v_cmp_eq000049 "slot11/fifo_instance/full_v_cmp_eq000049") - (joined - (portRef O (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - (portRef I1 (instanceRef slot11_fifo_instance__and000011)) - (portRef I0 (instanceRef slot11_fifo_instance__and00001)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_0_ "slot11/fifo_instance/rdaddr<0>") - (joined - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef DPRA0 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_1_11)) - (portRef I2 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_2_11)) - (portRef I2 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - (portRef I1 (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - (portRef I2 (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - (portRef I (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_0_11_INV_0)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - (portRef I3 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_1_ "slot11/fifo_instance/rdaddr<1>") - (joined - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef DPRA1 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_1_11)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_2_11)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000421)) - (portRef I3 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_2_ "slot11/fifo_instance/rdaddr<2>") - (joined - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef DPRA2 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_2_11)) - (portRef I3 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - (portRef I2 (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I2 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_3_ "slot11/fifo_instance/rdaddr<3>") - (joined - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef DPRA3 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_rdaddr_xor_3_11)) - (portRef I0 (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - ) - ) - (net (rename slot11_fifo_instance_rdaddr_and0000 "slot11/fifo_instance/rdaddr_and0000") - (joined - (portRef CE (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef CE (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef CE (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef CE (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef O (instanceRef slot11_fifo_instance_rdaddr_and00001)) - ) - ) - (net (rename slot11_fifo_instance_read_0_ "slot11/fifo_instance/read<0>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - ) - ) - (net (rename slot11_fifo_instance_read_1_ "slot11/fifo_instance/read<1>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_1)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - ) - ) - (net (rename slot11_fifo_instance_read_2_ "slot11/fifo_instance/read<2>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_2)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - ) - ) - (net (rename slot11_fifo_instance_read_3_ "slot11/fifo_instance/read<3>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_3)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - ) - ) - (net (rename slot11_fifo_instance_read_4_ "slot11/fifo_instance/read<4>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_4)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - ) - ) - (net (rename slot11_fifo_instance_read_5_ "slot11/fifo_instance/read<5>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - ) - ) - (net (rename slot11_fifo_instance_read_6_ "slot11/fifo_instance/read<6>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_6)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - ) - ) - (net (rename slot11_fifo_instance_read_7_ "slot11/fifo_instance/read<7>") - (joined - (portRef Q (instanceRef slot11_fifo_instance_read_7)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - ) - ) - (net (rename slot11_fifo_instance_wraddr_0_ "slot11/fifo_instance/wraddr<0>") - (joined - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef A0 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_1_11)) - (portRef I2 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_2_11)) - (portRef I2 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - (portRef I2 (instanceRef slot11_fifo_instance_empty_v_cmp_eq000042)) - (portRef I3 (instanceRef slot11_fifo_instance_full_v_cmp_eq000049_renamed_1241)) - (portRef I (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_0_11_INV_0)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041041_renamed_2283)) - (portRef I0 (instanceRef slot11_fifo_instance_full_v_cmp_eq000041042_renamed_2284)) - ) - ) - (net (rename slot11_fifo_instance_wraddr_1_ "slot11/fifo_instance/wraddr<1>") - (joined - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef A1 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_1_11)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_2_11)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000421)) - (portRef S (instanceRef slot11_fifo_instance_full_v_cmp_eq00004104_f5)) - ) - ) - (net (rename slot11_fifo_instance_wraddr_2_ "slot11/fifo_instance/wraddr<2>") - (joined - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef A2 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef I1 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_2_11)) - (portRef I3 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - (portRef I3 (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I3 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - ) - ) - (net (rename slot11_fifo_instance_wraddr_3_ "slot11/fifo_instance/wraddr<3>") - (joined - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef A3 (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef Q (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef I0 (instanceRef slot11_fifo_instance_Mcount_wraddr_xor_3_11)) - (portRef I1 (instanceRef slot11_fifo_instance_empty_v_cmp_eq0000411)) - (portRef I1 (instanceRef slot11_fifo_instance_full_v_cmp_eq0000468_renamed_1242)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1830)) - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9_ "slot11/rx_inst/baudgen/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_clkout "slot11/rx_inst/baudgen/clkout") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_clkout_renamed_1105)) - (portRef I2 (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_1_11)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I3 (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I0 (instanceRef slot11_rx_inst_rxd_0_not00011)) - (portRef I3 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - ) - ) - (net (rename slot11_rx_inst_baudgen_clkout_or0000 "slot11/rx_inst/baudgen/clkout_or0000") - (joined - (portRef R (instanceRef slot11_rx_inst_baudgen_clkout_renamed_1105)) - (portRef O (instanceRef slot11_rx_inst_baudgen_clkout_or00001)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_0_ "slot11/rx_inst/baudgen/cnt<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_0)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1830)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_1_ "slot11/rx_inst/baudgen/cnt<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_1)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_10_ "slot11/rx_inst/baudgen/cnt<10>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_10)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_11_ "slot11/rx_inst/baudgen/cnt<11>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_11)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_12_ "slot11/rx_inst/baudgen/cnt<12>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_12)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_13_ "slot11/rx_inst/baudgen/cnt<13>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_13)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_14_ "slot11/rx_inst/baudgen/cnt<14>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_14)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_15_ "slot11/rx_inst/baudgen/cnt<15>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_15)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_2_ "slot11/rx_inst/baudgen/cnt<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_2)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_3_ "slot11/rx_inst/baudgen/cnt<3>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_3)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_4_ "slot11/rx_inst/baudgen/cnt<4>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_4)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_5_ "slot11/rx_inst/baudgen/cnt<5>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_5)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_6_ "slot11/rx_inst/baudgen/cnt<6>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_6)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_7_ "slot11/rx_inst/baudgen/cnt<7>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_7)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_8_ "slot11/rx_inst/baudgen/cnt<8>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_8)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_9_ "slot11/rx_inst/baudgen/cnt<9>") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudgen_cnt_9)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_0_ "slot11/rx_inst/baudgen/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_10_ "slot11/rx_inst/baudgen/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_11_ "slot11/rx_inst/baudgen/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_12_ "slot11/rx_inst/baudgen/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_13_ "slot11/rx_inst/baudgen/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_14_ "slot11/rx_inst/baudgen/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_15_ "slot11/rx_inst/baudgen/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_1_ "slot11/rx_inst/baudgen/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_2_ "slot11/rx_inst/baudgen/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_3_ "slot11/rx_inst/baudgen/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_4_ "slot11/rx_inst/baudgen/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_5_ "slot11/rx_inst/baudgen/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_6_ "slot11/rx_inst/baudgen/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_7_ "slot11/rx_inst/baudgen/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_8_ "slot11/rx_inst/baudgen/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_addsub0000_9_ "slot11/rx_inst/baudgen/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq0000 "slot11/rx_inst/baudgen/cnt_cmp_eq0000") - (joined - (portRef I2 (instanceRef slot11_rx_inst_baudgen_clkout_or00001)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_0_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_1_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_2_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_3_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_4_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_5_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_6_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_7_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_8_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_9_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_15_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_14_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_13_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_12_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_11_1)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq000012 "slot11/rx_inst/baudgen/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1173)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq000025 "slot11/rx_inst/baudgen/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1174)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq000049 "slot11/rx_inst/baudgen/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1175)) - (portRef I2 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_cmp_eq000062 "slot11/rx_inst/baudgen/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1176)) - (portRef I3 (instanceRef slot11_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_0_ "slot11/rx_inst/baudgen/cnt_mux0001<0>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_0)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_10_ "slot11/rx_inst/baudgen/cnt_mux0001<10>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_10)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_11_ "slot11/rx_inst/baudgen/cnt_mux0001<11>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_11)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_12_ "slot11/rx_inst/baudgen/cnt_mux0001<12>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_12)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_13_ "slot11/rx_inst/baudgen/cnt_mux0001<13>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_13)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_14_ "slot11/rx_inst/baudgen/cnt_mux0001<14>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_14)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_15_ "slot11/rx_inst/baudgen/cnt_mux0001<15>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_15)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_1_ "slot11/rx_inst/baudgen/cnt_mux0001<1>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_1)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_2_ "slot11/rx_inst/baudgen/cnt_mux0001<2>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_2)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_3_ "slot11/rx_inst/baudgen/cnt_mux0001<3>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_3)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_4_ "slot11/rx_inst/baudgen/cnt_mux0001<4>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_4)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_5_ "slot11/rx_inst/baudgen/cnt_mux0001<5>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_5)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_6_ "slot11/rx_inst/baudgen/cnt_mux0001<6>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_6)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_7_ "slot11/rx_inst/baudgen/cnt_mux0001<7>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_7)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_8_ "slot11/rx_inst/baudgen/cnt_mux0001<8>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_8)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_mux0001_9_ "slot11/rx_inst/baudgen/cnt_mux0001<9>") - (joined - (portRef D (instanceRef slot11_rx_inst_baudgen_cnt_9)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_rx_inst_baudgen_cnt_not0002 "slot11/rx_inst/baudgen/cnt_not0002") - (joined - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_0)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_1)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_2)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_3)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_4)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_5)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_6)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_7)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_8)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_9)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_10)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_11)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_12)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_13)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_14)) - (portRef CE (instanceRef slot11_rx_inst_baudgen_cnt_15)) - (portRef O (instanceRef slot11_rx_inst_baudgen_cnt_not00021)) - ) - ) - (net (rename slot11_rx_inst_baudreset "slot11/rx_inst/baudreset") - (joined - (portRef Q (instanceRef slot11_rx_inst_baudreset_renamed_1108)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_not00021)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_clkout_or00001)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_0_1)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_1_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_2_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_3_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_4_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_5_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_6_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_7_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_8_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_9_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_15_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_14_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_13_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_12_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_11_1)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_inst_baudreset_or0000 "slot11/rx_inst/baudreset_or0000") - (joined - (portRef R (instanceRef slot11_rx_inst_baudreset_renamed_1108)) - (portRef O (instanceRef slot11_rx_inst_baudreset_or00001_f5)) - ) - ) - (net (rename slot11_rx_inst_baudreset_or00001 "slot11/rx_inst/baudreset_or00001") - (joined - (portRef O (instanceRef slot11_rx_inst_baudreset_or000011)) - (portRef I0 (instanceRef slot11_rx_inst_baudreset_or00001_f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_0_ "slot11/rx_inst/datacount<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datacount_0)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd2_In15_SW0)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - ) - ) - (net (rename slot11_rx_inst_datacount_1_ "slot11/rx_inst/datacount<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datacount_1)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd2_In15_SW0)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0_2_renamed_2228)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - ) - ) - (net (rename slot11_rx_inst_datacount_2_ "slot11/rx_inst/datacount<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datacount_2)) - (portRef I2 (instanceRef slot11_rx_inst_state_FSM_FFd2_In15_SW0)) - (portRef S (instanceRef slot11_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_0_ "slot11/rx_inst/datacount_mux0000<0>") - (joined - (portRef D (instanceRef slot11_rx_inst_datacount_2)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_0_1 "slot11/rx_inst/datacount_mux0000<0>1") - (joined - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0_1_renamed_2227)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_0_2 "slot11/rx_inst/datacount_mux0000<0>2") - (joined - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_0_2_renamed_2228)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_1_ "slot11/rx_inst/datacount_mux0000<1>") - (joined - (portRef D (instanceRef slot11_rx_inst_datacount_1)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_1_1 "slot11/rx_inst/datacount_mux0000<1>1") - (joined - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_1_2 "slot11/rx_inst/datacount_mux0000<1>2") - (joined - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename slot11_rx_inst_datacount_mux0000_2_ "slot11/rx_inst/datacount_mux0000<2>") - (joined - (portRef D (instanceRef slot11_rx_inst_datacount_0)) - (portRef O (instanceRef slot11_rx_inst_datacount_mux0000_2_1)) - ) - ) - (net (rename slot11_rx_inst_datao_0_ "slot11/rx_inst/datao<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_0)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory1)) - ) - ) - (net (rename slot11_rx_inst_datao_1_ "slot11/rx_inst/datao<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_1)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory2)) - ) - ) - (net (rename slot11_rx_inst_datao_2_ "slot11/rx_inst/datao<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_2)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory3)) - ) - ) - (net (rename slot11_rx_inst_datao_3_ "slot11/rx_inst/datao<3>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_3)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory4)) - ) - ) - (net (rename slot11_rx_inst_datao_4_ "slot11/rx_inst/datao<4>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_4)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory5)) - ) - ) - (net (rename slot11_rx_inst_datao_5_ "slot11/rx_inst/datao<5>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_5)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory6)) - ) - ) - (net (rename slot11_rx_inst_datao_6_ "slot11/rx_inst/datao<6>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_6)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory7)) - ) - ) - (net (rename slot11_rx_inst_datao_7_ "slot11/rx_inst/datao<7>") - (joined - (portRef Q (instanceRef slot11_rx_inst_datao_7)) - (portRef D (instanceRef slot11_fifo_instance_Mram_memory8)) - ) - ) - (net (rename slot11_rx_inst_datao_not0001 "slot11/rx_inst/datao_not0001") - (joined - (portRef CE (instanceRef slot11_rx_inst_datao_0)) - (portRef CE (instanceRef slot11_rx_inst_datao_1)) - (portRef CE (instanceRef slot11_rx_inst_datao_2)) - (portRef CE (instanceRef slot11_rx_inst_datao_3)) - (portRef CE (instanceRef slot11_rx_inst_datao_4)) - (portRef CE (instanceRef slot11_rx_inst_datao_5)) - (portRef CE (instanceRef slot11_rx_inst_datao_6)) - (portRef CE (instanceRef slot11_rx_inst_datao_7)) - (portRef O (instanceRef slot11_rx_inst_datao_not00012)) - ) - ) - (net (rename slot11_rx_inst_dataready "slot11/rx_inst/dataready") - (joined - (portRef D (instanceRef slot11_data_ready_dly_q_renamed_1115)) - (portRef Q (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef I3 (instanceRef slot11_dready_q_or00001)) - ) - ) - (net (rename slot11_rx_inst_dataready_mux0000 "slot11/rx_inst/dataready_mux0000") - (joined - (portRef D (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef O (instanceRef slot11_rx_inst_datao_not000111)) - ) - ) - (net (rename slot11_rx_inst_dataready_not0001 "slot11/rx_inst/dataready_not0001") - (joined - (portRef CE (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef O (instanceRef slot11_rx_inst_dataready_not00011)) - ) - ) - (net (rename slot11_rx_inst_rst_inv "slot11/rx_inst/rst_inv") - (joined - (portRef CE (instanceRef slot11_rx_inst_datacount_0)) - (portRef CE (instanceRef slot11_rx_inst_datacount_1)) - (portRef CE (instanceRef slot11_rx_inst_datacount_2)) - (portRef CE (instanceRef uart_inst_rx_inst_datacount_0)) - (portRef CE (instanceRef uart_inst_rx_inst_datacount_1)) - (portRef CE (instanceRef uart_inst_rx_inst_datacount_2)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_in_q_3)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_in_q_4)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_1_renamed_11)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_3_renamed_12)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_4_renamed_13)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_5_renamed_14)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_6_renamed_15)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_8_renamed_16)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_9_renamed_17)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_12_renamed_18)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_14_renamed_19)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_15_renamed_20)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_19_renamed_21)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_22_renamed_22)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_23_renamed_23)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_24_renamed_24)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_25_renamed_25)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_27_renamed_26)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_30_renamed_27)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_31_renamed_28)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_32_renamed_29)) - (portRef CE (instanceRef zpuino_core_decr_decodedOpcode_33_renamed_30)) - (portRef CE (instanceRef zpuino_core_decr_stackOperation_1_renamed_150)) - (portRef CE (instanceRef zpuino_core_decr_stackOperation_2_renamed_151)) - (portRef CE (instanceRef zpuino_core_decr_stackOperation_3_renamed_152)) - (portRef CE (instanceRef zpuino_core_decr_opWillFreeze_renamed_140)) - (portRef CE (instanceRef zpuino_core_decr_opcode_0_renamed_133)) - (portRef CE (instanceRef zpuino_core_decr_opcode_1_renamed_134)) - (portRef CE (instanceRef zpuino_core_decr_opcode_2_renamed_135)) - (portRef CE (instanceRef zpuino_core_decr_opcode_3_renamed_136)) - (portRef CE (instanceRef zpuino_core_decr_opcode_4_renamed_137)) - (portRef CE (instanceRef zpuino_core_decr_opcode_5_renamed_138)) - (portRef CE (instanceRef zpuino_core_decr_opcode_6_renamed_139)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_0_renamed_128)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_1_renamed_129)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_2_renamed_130)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_3_renamed_131)) - (portRef CE (instanceRef zpuino_core_decr_spOffset_4_renamed_132)) - (portRef CE (instanceRef zpuino_core_wroteback_q_renamed_127)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_0_renamed_95)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_1_renamed_96)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_2_renamed_97)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_3_renamed_98)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_4_renamed_99)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_5_renamed_100)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_6_renamed_101)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_7_renamed_102)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_8_renamed_103)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_9_renamed_104)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_10_renamed_105)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_11_renamed_106)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_12_renamed_107)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_13_renamed_108)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_14_renamed_109)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_15_renamed_110)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_16_renamed_111)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_17_renamed_112)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_18_renamed_113)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_19_renamed_114)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_20_renamed_115)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_21_renamed_116)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_22_renamed_117)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_23_renamed_118)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_24_renamed_119)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_25_renamed_120)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_26_renamed_121)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_27_renamed_122)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_28_renamed_123)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_29_renamed_124)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_30_renamed_125)) - (portRef CE (instanceRef zpuino_core_exr_nos_save_31_renamed_126)) - (portRef CE (instanceRef zpuino_core_exr_wb_we_renamed_78)) - (portRef CE (instanceRef zpuino_core_shl_d_0)) - (portRef CE (instanceRef zpuino_core_shl_d_2)) - (portRef CE (instanceRef zpuino_core_shl_d_1)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_0_renamed_153)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_1_renamed_154)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_2_renamed_155)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_3_renamed_156)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_4_renamed_157)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_5_renamed_158)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_6_renamed_159)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_7_renamed_160)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_8_renamed_161)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_9_renamed_162)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_10_renamed_163)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_11_renamed_164)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_12_renamed_165)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_13_renamed_166)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_14_renamed_167)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_15_renamed_168)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_16_renamed_169)) - (portRef CE (instanceRef zpuino_core_decr_tosSource_17_renamed_170)) - (portRef CE (instanceRef zpuino_core_exr_tos_0_renamed_46)) - (portRef CE (instanceRef zpuino_core_exr_tos_1_renamed_47)) - (portRef CE (instanceRef zpuino_core_exr_tos_2_renamed_48)) - (portRef CE (instanceRef zpuino_core_exr_tos_3_renamed_49)) - (portRef CE (instanceRef zpuino_core_exr_tos_4_renamed_50)) - (portRef CE (instanceRef zpuino_core_exr_tos_5_renamed_51)) - (portRef CE (instanceRef zpuino_core_exr_tos_6_renamed_52)) - (portRef CE (instanceRef zpuino_core_exr_tos_7_renamed_53)) - (portRef CE (instanceRef zpuino_core_exr_tos_8_renamed_54)) - (portRef CE (instanceRef zpuino_core_exr_tos_9_renamed_55)) - (portRef CE (instanceRef zpuino_core_exr_tos_10_renamed_56)) - (portRef CE (instanceRef zpuino_core_exr_tos_11_renamed_57)) - (portRef CE (instanceRef zpuino_core_exr_tos_12_renamed_58)) - (portRef CE (instanceRef zpuino_core_exr_tos_13_renamed_59)) - (portRef CE (instanceRef zpuino_core_exr_tos_14_renamed_60)) - (portRef CE (instanceRef zpuino_core_exr_tos_15_renamed_61)) - (portRef CE (instanceRef zpuino_core_exr_tos_16_renamed_62)) - (portRef CE (instanceRef zpuino_core_exr_tos_17_renamed_63)) - (portRef CE (instanceRef zpuino_core_exr_tos_18_renamed_64)) - (portRef CE (instanceRef zpuino_core_exr_tos_19_renamed_65)) - (portRef CE (instanceRef zpuino_core_exr_tos_20_renamed_66)) - (portRef CE (instanceRef zpuino_core_exr_tos_21_renamed_67)) - (portRef CE (instanceRef zpuino_core_exr_tos_22_renamed_68)) - (portRef CE (instanceRef zpuino_core_exr_tos_23_renamed_69)) - (portRef CE (instanceRef zpuino_core_exr_tos_24_renamed_70)) - (portRef CE (instanceRef zpuino_core_exr_tos_25_renamed_71)) - (portRef CE (instanceRef zpuino_core_exr_tos_26_renamed_72)) - (portRef CE (instanceRef zpuino_core_exr_tos_27_renamed_73)) - (portRef CE (instanceRef zpuino_core_exr_tos_28_renamed_74)) - (portRef CE (instanceRef zpuino_core_exr_tos_29_renamed_75)) - (portRef CE (instanceRef zpuino_core_exr_tos_30_renamed_76)) - (portRef CE (instanceRef zpuino_core_exr_tos_31_renamed_77)) - (portRef CEA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef CEA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef CEA (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_presrst_renamed_878)) - (portRef O (instanceRef zpuino_io_intr_inst_wb_rst_i_inv1)) - ) - ) - (net (rename slot11_rx_inst_rxd_0_ "slot11/rx_inst/rxd<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_0)) - (portRef D (instanceRef slot11_rx_inst_datao_0)) - ) - ) - (net (rename slot11_rx_inst_rxd_0_not0001 "slot11/rx_inst/rxd_0_not0001") - (joined - (portRef CE (instanceRef slot11_rx_inst_rxd_7)) - (portRef CE (instanceRef slot11_rx_inst_rxd_6)) - (portRef CE (instanceRef slot11_rx_inst_rxd_5)) - (portRef CE (instanceRef slot11_rx_inst_rxd_4)) - (portRef CE (instanceRef slot11_rx_inst_rxd_3)) - (portRef CE (instanceRef slot11_rx_inst_rxd_2)) - (portRef CE (instanceRef slot11_rx_inst_rxd_1)) - (portRef CE (instanceRef slot11_rx_inst_rxd_0)) - (portRef O (instanceRef slot11_rx_inst_rxd_0_not00011)) - ) - ) - (net (rename slot11_rx_inst_rxd_1_ "slot11/rx_inst/rxd<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_1)) - (portRef D (instanceRef slot11_rx_inst_rxd_0)) - (portRef D (instanceRef slot11_rx_inst_datao_1)) - ) - ) - (net (rename slot11_rx_inst_rxd_2_ "slot11/rx_inst/rxd<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_2)) - (portRef D (instanceRef slot11_rx_inst_rxd_1)) - (portRef D (instanceRef slot11_rx_inst_datao_2)) - ) - ) - (net (rename slot11_rx_inst_rxd_3_ "slot11/rx_inst/rxd<3>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_3)) - (portRef D (instanceRef slot11_rx_inst_rxd_2)) - (portRef D (instanceRef slot11_rx_inst_datao_3)) - ) - ) - (net (rename slot11_rx_inst_rxd_4_ "slot11/rx_inst/rxd<4>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_4)) - (portRef D (instanceRef slot11_rx_inst_rxd_3)) - (portRef D (instanceRef slot11_rx_inst_datao_4)) - ) - ) - (net (rename slot11_rx_inst_rxd_5_ "slot11/rx_inst/rxd<5>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_5)) - (portRef D (instanceRef slot11_rx_inst_rxd_4)) - (portRef D (instanceRef slot11_rx_inst_datao_5)) - ) - ) - (net (rename slot11_rx_inst_rxd_6_ "slot11/rx_inst/rxd<6>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_6)) - (portRef D (instanceRef slot11_rx_inst_rxd_5)) - (portRef D (instanceRef slot11_rx_inst_datao_6)) - ) - ) - (net (rename slot11_rx_inst_rxd_7_ "slot11/rx_inst/rxd<7>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxd_7)) - (portRef D (instanceRef slot11_rx_inst_rxd_6)) - (portRef D (instanceRef slot11_rx_inst_datao_7)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_0_ "slot11/rx_inst/rxmvfilter/count_q<0>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_1_ "slot11/rx_inst/rxmvfilter/count_q<1>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_2_ "slot11/rx_inst/rxmvfilter/count_q<2>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef I1 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I3 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_3_ "slot11/rx_inst/rxmvfilter/count_q<3>") - (joined - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I0 (instanceRef slot11_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_not0001 "slot11/rx_inst/rxmvfilter/count_q_not0001") - (joined - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_count_q_not00011)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_count_q_or0000 "slot11/rx_inst/rxmvfilter/count_q_or0000") - (joined - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef R (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_count_q_or00001)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_sout "slot11/rx_inst/rxmvfilter/sout") - (joined - (portRef D (instanceRef slot11_rx_inst_rxd_7)) - (portRef Q (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - (portRef I3 (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I1 (instanceRef slot11_rx_inst_datao_not000111)) - (portRef I1 (instanceRef slot11_rx_inst_dataready_not00011)) - (portRef I1 (instanceRef slot11_rx_inst_datao_not00012)) - (portRef I2 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - ) - ) - (net (rename slot11_rx_inst_rxmvfilter_sout_cmp_ge0000 "slot11/rx_inst/rxmvfilter/sout_cmp_ge0000") - (joined - (portRef CE (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef O (instanceRef slot11_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename slot11_rx_inst_state_FSM_FFd1 "slot11/rx_inst/state_FSM_FFd1") - (joined - (portRef Q (instanceRef slot11_rx_inst_state_FSM_FFd1_renamed_1107)) - (portRef I3 (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I2 (instanceRef slot11_rx_inst_datao_not000111)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_1_11)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I2 (instanceRef slot11_rx_inst_dataready_not00011)) - (portRef I2 (instanceRef slot11_rx_inst_rxd_0_not00011)) - (portRef I2 (instanceRef slot11_rx_inst_datao_not00012)) - (portRef I1 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_F)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd2_In44_G)) - (portRef I3 (instanceRef slot11_rx_inst_baudreset_or000011)) - (portRef S (instanceRef slot11_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename slot11_rx_inst_state_FSM_FFd1_In "slot11/rx_inst/state_FSM_FFd1-In") - (joined - (portRef D (instanceRef slot11_rx_inst_state_FSM_FFd1_renamed_1107)) - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - ) - ) - (net (rename slot11_rx_inst_state_FSM_FFd2 "slot11/rx_inst/state_FSM_FFd2") - (joined - (portRef Q (instanceRef slot11_rx_inst_state_FSM_FFd2_renamed_1106)) - (portRef I0 (instanceRef slot11_rx_inst_state_FSM_FFd1_In1)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_0_21)) - (portRef I0 (instanceRef slot11_rx_inst_datao_not000111)) - (portRef I0 (instanceRef slot11_rx_inst_datacount_mux0000_1_11)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_0_11)) - (portRef I0 (instanceRef slot11_rx_inst_dataready_not00011)) - (portRef I1 (instanceRef slot11_rx_inst_rxd_0_not00011)) - (portRef I0 (instanceRef slot11_rx_inst_datao_not00012)) - (portRef S (instanceRef slot11_rx_inst_state_FSM_FFd2_In44)) - (portRef I0 (instanceRef slot11_rx_inst_baudreset_or000011)) - (portRef I2 (instanceRef slot11_rx_inst_datacount_mux0000_1_1_renamed_2300)) - (portRef I1 (instanceRef slot11_rx_inst_datacount_mux0000_1_2_renamed_2301)) - ) - ) - (net (rename slot11_rx_inst_state_FSM_FFd2_In "slot11/rx_inst/state_FSM_FFd2-In") - (joined - (portRef D (instanceRef slot11_rx_inst_state_FSM_FFd2_renamed_1106)) - (portRef O (instanceRef slot11_rx_inst_state_FSM_FFd2_In44)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_0_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_0__rt "slot11/rx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1828)) - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_10_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_11_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_12_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_13_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_14_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_1_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_2_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_3_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_4_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_5_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_6_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_7_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_8_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_cy_9_ "slot11/rx_timer/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_10_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_11_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_12_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_13_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_14_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_15_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_1_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_2_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_3_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_4_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_5_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_6_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_7_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_8_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_rx_timer_Msub_cnt_addsub0000_lut_9_ "slot11/rx_timer/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_rx_timer_clkout "slot11/rx_timer/clkout") - (joined - (portRef Q (instanceRef slot11_rx_timer_clkout_renamed_1103)) - (portRef I0 (instanceRef slot11_rx_inst_baudgen_cnt_not00021)) - (portRef I1 (instanceRef slot11_rx_inst_baudgen_clkout_or00001)) - (portRef I2 (instanceRef slot11_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_not00021)) - (portRef I1 (instanceRef slot11_tx_timer_clkout_or00001)) - ) - ) - (net (rename slot11_rx_timer_clkout_or0000 "slot11/rx_timer/clkout_or0000") - (joined - (portRef R (instanceRef slot11_rx_timer_clkout_renamed_1103)) - (portRef O (instanceRef slot11_rx_timer_clkout_or00001)) - ) - ) - (net (rename slot11_rx_timer_cnt_0_ "slot11/rx_timer/cnt<0>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_0)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I0 (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1828)) - ) - ) - (net (rename slot11_rx_timer_cnt_1_ "slot11/rx_timer/cnt<1>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_10_ "slot11/rx_timer/cnt<10>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_10)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_11_ "slot11/rx_timer/cnt<11>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_11)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_12_ "slot11/rx_timer/cnt<12>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_12)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_13_ "slot11/rx_timer/cnt<13>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_13)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_14_ "slot11/rx_timer/cnt<14>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_14)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_15_ "slot11/rx_timer/cnt<15>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_15)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_2_ "slot11/rx_timer/cnt<2>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_2)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_3_ "slot11/rx_timer/cnt<3>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_3)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_4_ "slot11/rx_timer/cnt<4>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_4)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_5_ "slot11/rx_timer/cnt<5>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_5)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_6_ "slot11/rx_timer/cnt<6>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_6)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_7_ "slot11/rx_timer/cnt<7>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_7)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_8_ "slot11/rx_timer/cnt<8>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_8)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_9_ "slot11/rx_timer/cnt<9>") - (joined - (portRef Q (instanceRef slot11_rx_timer_cnt_9)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_0_ "slot11/rx_timer/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_10_ "slot11/rx_timer/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_11_ "slot11/rx_timer/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_12_ "slot11/rx_timer/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_13_ "slot11/rx_timer/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_14_ "slot11/rx_timer/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_15_ "slot11/rx_timer/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_1_ "slot11/rx_timer/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_2_ "slot11/rx_timer/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_3_ "slot11/rx_timer/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_4_ "slot11/rx_timer/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_5_ "slot11/rx_timer/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_6_ "slot11/rx_timer/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_7_ "slot11/rx_timer/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_8_ "slot11/rx_timer/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_addsub0000_9_ "slot11/rx_timer/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef slot11_rx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq0000 "slot11/rx_timer/cnt_cmp_eq0000") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - (portRef I1 (instanceRef slot11_rx_timer_clkout_or00001)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq000012 "slot11/rx_timer/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000012_renamed_1169)) - (portRef I0 (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq000025 "slot11/rx_timer/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000025_renamed_1170)) - (portRef I1 (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq000049 "slot11/rx_timer/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000049_renamed_1171)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_timer_cnt_cmp_eq000062 "slot11/rx_timer/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef slot11_rx_timer_cnt_cmp_eq000062_renamed_1172)) - (portRef I3 (instanceRef slot11_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_0_ "slot11/rx_timer/cnt_mux0001<0>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_0)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_10_ "slot11/rx_timer/cnt_mux0001<10>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_10)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_11_ "slot11/rx_timer/cnt_mux0001<11>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_11)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_12_ "slot11/rx_timer/cnt_mux0001<12>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_12)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_13_ "slot11/rx_timer/cnt_mux0001<13>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_13)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_14_ "slot11/rx_timer/cnt_mux0001<14>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_14)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_15_ "slot11/rx_timer/cnt_mux0001<15>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_15)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_1_ "slot11/rx_timer/cnt_mux0001<1>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_1)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_2_ "slot11/rx_timer/cnt_mux0001<2>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_2)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_3_ "slot11/rx_timer/cnt_mux0001<3>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_3)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_4_ "slot11/rx_timer/cnt_mux0001<4>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_4)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_5_ "slot11/rx_timer/cnt_mux0001<5>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_5)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_6_ "slot11/rx_timer/cnt_mux0001<6>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_6)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_7_ "slot11/rx_timer/cnt_mux0001<7>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_7)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_8_ "slot11/rx_timer/cnt_mux0001<8>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_8)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_rx_timer_cnt_mux0001_9_ "slot11/rx_timer/cnt_mux0001<9>") - (joined - (portRef D (instanceRef slot11_rx_timer_cnt_9)) - (portRef O (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_tx_core_bitpos_0_ "slot11/tx_core/bitpos<0>") - (joined - (portRef Q (instanceRef slot11_tx_core_bitpos_0)) - (portRef I2 (instanceRef slot11_tx_core_bitpos_mux0002_1_1)) - (portRef I0 (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - (portRef I3 (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - (portRef I1 (instanceRef slot11_tx_core_bitpos_mux0002_3_1)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_mux00001)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - (portRef S (instanceRef slot11_tx_core_txd_r_mux000184_renamed_2218)) - (portRef I3 (instanceRef slot11_tx_core_loaded_r_not000111)) - ) - ) - (net (rename slot11_tx_core_bitpos_1_ "slot11/tx_core/bitpos<1>") - (joined - (portRef Q (instanceRef slot11_tx_core_bitpos_1)) - (portRef I0 (instanceRef slot11_tx_core_bitpos_mux0002_1_1)) - (portRef I1 (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - (portRef I2 (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - (portRef I2 (instanceRef slot11_tx_core_loaded_r_mux000011)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux0001121_renamed_1152)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000184_F)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000184_G)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not000111)) - ) - ) - (net (rename slot11_tx_core_bitpos_2_ "slot11/tx_core/bitpos<2>") - (joined - (portRef Q (instanceRef slot11_tx_core_bitpos_2)) - (portRef I1 (instanceRef slot11_tx_core_bitpos_mux0002_1_1)) - (portRef I2 (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - (portRef I0 (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_mux000011)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux0001121_renamed_1152)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000184_F)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000184_G)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not000111)) - ) - ) - (net (rename slot11_tx_core_bitpos_3_ "slot11/tx_core/bitpos<3>") - (joined - (portRef Q (instanceRef slot11_tx_core_bitpos_3)) - (portRef I3 (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - (portRef I1 (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_mux000011)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux0001132)) - (portRef I2 (instanceRef slot11_tx_core_loaded_r_not000111)) - ) - ) - (net (rename slot11_tx_core_bitpos_mux0002_0_ "slot11/tx_core/bitpos_mux0002<0>") - (joined - (portRef D (instanceRef slot11_tx_core_bitpos_3)) - (portRef O (instanceRef slot11_tx_core_bitpos_mux0002_0_1)) - ) - ) - (net (rename slot11_tx_core_bitpos_mux0002_1_ "slot11/tx_core/bitpos_mux0002<1>") - (joined - (portRef D (instanceRef slot11_tx_core_bitpos_2)) - (portRef O (instanceRef slot11_tx_core_bitpos_mux0002_1_1)) - ) - ) - (net (rename slot11_tx_core_bitpos_mux0002_2_ "slot11/tx_core/bitpos_mux0002<2>") - (joined - (portRef D (instanceRef slot11_tx_core_bitpos_1)) - (portRef O (instanceRef slot11_tx_core_bitpos_mux0002_2_1)) - ) - ) - (net (rename slot11_tx_core_bitpos_mux0002_3_ "slot11/tx_core/bitpos_mux0002<3>") - (joined - (portRef D (instanceRef slot11_tx_core_bitpos_0)) - (portRef O (instanceRef slot11_tx_core_bitpos_mux0002_3_1)) - ) - ) - (net (rename slot11_tx_core_idle "slot11/tx_core/idle") - (joined - (portRef Q (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef I1 (instanceRef slot11_tx_core_intx_o_not00011)) - (portRef I1 (instanceRef slot11_tx_core_idle_not00011)) - ) - ) - (net (rename slot11_tx_core_idle_mux0000 "slot11/tx_core/idle_mux0000") - (joined - (portRef D (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef O (instanceRef slot11_tx_core_idle_mux00001_INV_0)) - ) - ) - (net (rename slot11_tx_core_idle_not0001 "slot11/tx_core/idle_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef O (instanceRef slot11_tx_core_idle_not00011)) - ) - ) - (net (rename slot11_tx_core_intx_o "slot11/tx_core/intx_o") - (joined - (portRef Q (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - ) - ) - (net (rename slot11_tx_core_intx_o_not0001 "slot11/tx_core/intx_o_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef O (instanceRef slot11_tx_core_intx_o_not00011)) - ) - ) - (net (rename slot11_tx_core_loaded_r "slot11/tx_core/loaded_r") - (joined - (portRef Q (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef D (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef I2 (instanceRef slot11_tx_core_bitpos_mux0002_3_1)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_mux00001)) - (portRef I2 (instanceRef slot11_tx_core_intx_o_not00011)) - (portRef I2 (instanceRef slot11_tx_core_idle_not00011)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - (portRef I1 (instanceRef slot11_tx_core_t_r_not00011)) - (portRef I (instanceRef slot11_tx_core_idle_mux00001_INV_0)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not00013_renamed_2233)) - ) - ) - (net (rename slot11_tx_core_loaded_r_mux0000 "slot11/tx_core/loaded_r_mux0000") - (joined - (portRef D (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef O (instanceRef slot11_tx_core_loaded_r_mux00001)) - ) - ) - (net (rename slot11_tx_core_loaded_r_not0001 "slot11/tx_core/loaded_r_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef O (instanceRef slot11_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename slot11_tx_core_loaded_r_not00011 "slot11/tx_core/loaded_r_not00011") - (joined - (portRef O (instanceRef slot11_tx_core_loaded_r_not000111)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not00011_f5)) - ) - ) - (net (rename slot11_tx_core_loaded_r_not00012 "slot11/tx_core/loaded_r_not00012") - (joined - (portRef O (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef I1 (instanceRef slot11_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename slot11_tx_core_loaded_r_not00013 "slot11/tx_core/loaded_r_not00013") - (joined - (portRef O (instanceRef slot11_tx_core_loaded_r_not00013_renamed_2233)) - (portRef I0 (instanceRef slot11_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename slot11_tx_core_t_r_0_ "slot11/tx_core/t_r<0>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_0)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - ) - ) - (net (rename slot11_tx_core_t_r_1_ "slot11/tx_core/t_r<1>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_1)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - ) - ) - (net (rename slot11_tx_core_t_r_2_ "slot11/tx_core/t_r<2>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_2)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux000184_F)) - ) - ) - (net (rename slot11_tx_core_t_r_3_ "slot11/tx_core/t_r<3>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_3)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux000184_G)) - ) - ) - (net (rename slot11_tx_core_t_r_4_ "slot11/tx_core/t_r<4>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_4)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - ) - ) - (net (rename slot11_tx_core_t_r_5_ "slot11/tx_core/t_r<5>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_5)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - ) - ) - (net (rename slot11_tx_core_t_r_6_ "slot11/tx_core/t_r<6>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_6)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - ) - ) - (net (rename slot11_tx_core_t_r_7_ "slot11/tx_core/t_r<7>") - (joined - (portRef Q (instanceRef slot11_tx_core_t_r_7)) - (portRef I2 (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - ) - ) - (net (rename slot11_tx_core_t_r_not0001 "slot11/tx_core/t_r_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_t_r_0)) - (portRef CE (instanceRef slot11_tx_core_t_r_1)) - (portRef CE (instanceRef slot11_tx_core_t_r_2)) - (portRef CE (instanceRef slot11_tx_core_t_r_3)) - (portRef CE (instanceRef slot11_tx_core_t_r_4)) - (portRef CE (instanceRef slot11_tx_core_t_r_5)) - (portRef CE (instanceRef slot11_tx_core_t_r_6)) - (portRef CE (instanceRef slot11_tx_core_t_r_7)) - (portRef O (instanceRef slot11_tx_core_t_r_not00011)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_0_ "slot11/tx_core/tbuff_r<0>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_0)) - (portRef D (instanceRef slot11_tx_core_t_r_0)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_1_ "slot11/tx_core/tbuff_r<1>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_1)) - (portRef D (instanceRef slot11_tx_core_t_r_1)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_2_ "slot11/tx_core/tbuff_r<2>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_2)) - (portRef D (instanceRef slot11_tx_core_t_r_2)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_3_ "slot11/tx_core/tbuff_r<3>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_3)) - (portRef D (instanceRef slot11_tx_core_t_r_3)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_4_ "slot11/tx_core/tbuff_r<4>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_4)) - (portRef D (instanceRef slot11_tx_core_t_r_4)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_5_ "slot11/tx_core/tbuff_r<5>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_5)) - (portRef D (instanceRef slot11_tx_core_t_r_5)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_6_ "slot11/tx_core/tbuff_r<6>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_6)) - (portRef D (instanceRef slot11_tx_core_t_r_6)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_7_ "slot11/tx_core/tbuff_r<7>") - (joined - (portRef Q (instanceRef slot11_tx_core_tbuff_r_7)) - (portRef D (instanceRef slot11_tx_core_t_r_7)) - ) - ) - (net (rename slot11_tx_core_tbuff_r_not0001 "slot11/tx_core/tbuff_r_not0001") - (joined - (portRef CE (instanceRef slot11_tx_core_tbuff_r_0)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_1)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_2)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_3)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_4)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_5)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_6)) - (portRef CE (instanceRef slot11_tx_core_tbuff_r_7)) - (portRef O (instanceRef slot11_tx_core_tbuff_r_not00011)) - ) - ) - (net (rename slot11_tx_core_txd_r "slot11/tx_core/txd_r") - (joined - (portRef Q (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux0001 "slot11/tx_core/txd_r_mux0001") - (joined - (portRef D (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef O (instanceRef slot11_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux0001117 "slot11/tx_core/txd_r_mux0001117") - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux0001117_renamed_1151)) - (portRef I0 (instanceRef slot11_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux000112 "slot11/tx_core/txd_r_mux000112") - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux000112_renamed_1149)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux000184_G)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux0001121 "slot11/tx_core/txd_r_mux0001121") - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux0001121_renamed_1152)) - (portRef I1 (instanceRef slot11_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux000148 "slot11/tx_core/txd_r_mux000148") - (joined - (portRef O (instanceRef slot11_tx_core_txd_r_mux000148_renamed_1150)) - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux000184_F)) - ) - ) - (net (rename slot11_tx_core_txd_r_mux000184 "slot11/tx_core/txd_r_mux000184") - (joined - (portRef I3 (instanceRef slot11_tx_core_txd_r_mux0001132)) - (portRef O (instanceRef slot11_tx_core_txd_r_mux000184_renamed_2218)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_0_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_0__rt "slot11/tx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1829)) - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_10_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_11_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_12_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_13_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_14_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_1_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_2_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_3_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_4_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_5_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_6_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_7_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_8_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_cy_9_ "slot11/tx_timer/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_10_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_11_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_12_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_13_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_14_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_15_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_1_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_2_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_3_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_4_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_5_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_6_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_7_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_8_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_tx_timer_Msub_cnt_addsub0000_lut_9_ "slot11/tx_timer/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_tx_timer_clkout "slot11/tx_timer/clkout") - (joined - (portRef CE (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef CE (instanceRef slot11_tx_core_bitpos_0)) - (portRef CE (instanceRef slot11_tx_core_bitpos_1)) - (portRef CE (instanceRef slot11_tx_core_bitpos_2)) - (portRef CE (instanceRef slot11_tx_core_bitpos_3)) - (portRef Q (instanceRef slot11_tx_timer_clkout_renamed_1104)) - (portRef I2 (instanceRef slot11_tx_core_loaded_r_mux00001)) - (portRef S (instanceRef slot11_tx_core_loaded_r_not00011_f5)) - ) - ) - (net (rename slot11_tx_timer_clkout_or0000 "slot11/tx_timer/clkout_or0000") - (joined - (portRef R (instanceRef slot11_tx_timer_clkout_renamed_1104)) - (portRef O (instanceRef slot11_tx_timer_clkout_or00001)) - ) - ) - (net (rename slot11_tx_timer_cnt_0_ "slot11/tx_timer/cnt<0>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_0)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I0 (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1829)) - ) - ) - (net (rename slot11_tx_timer_cnt_1_ "slot11/tx_timer/cnt<1>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_1)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_10_ "slot11/tx_timer/cnt<10>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_10)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_11_ "slot11/tx_timer/cnt<11>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_11)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_12_ "slot11/tx_timer/cnt<12>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_12)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_13_ "slot11/tx_timer/cnt<13>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_13)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_14_ "slot11/tx_timer/cnt<14>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_14)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_15_ "slot11/tx_timer/cnt<15>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_15)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_2_ "slot11/tx_timer/cnt<2>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_2)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_3_ "slot11/tx_timer/cnt<3>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_3)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_4_ "slot11/tx_timer/cnt<4>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_4)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_5_ "slot11/tx_timer/cnt<5>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_5)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_6_ "slot11/tx_timer/cnt<6>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_6)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_7_ "slot11/tx_timer/cnt<7>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_7)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_8_ "slot11/tx_timer/cnt<8>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_8)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_9_ "slot11/tx_timer/cnt<9>") - (joined - (portRef Q (instanceRef slot11_tx_timer_cnt_9)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_0_ "slot11/tx_timer/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_10_ "slot11/tx_timer/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_11_ "slot11/tx_timer/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_12_ "slot11/tx_timer/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_13_ "slot11/tx_timer/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_14_ "slot11/tx_timer/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_15_ "slot11/tx_timer/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_1_ "slot11/tx_timer/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_2_ "slot11/tx_timer/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_3_ "slot11/tx_timer/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_4_ "slot11/tx_timer/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_5_ "slot11/tx_timer/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_6_ "slot11/tx_timer/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_7_ "slot11/tx_timer/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_8_ "slot11/tx_timer/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_addsub0000_9_ "slot11/tx_timer/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef slot11_tx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq0000 "slot11/tx_timer/cnt_cmp_eq0000") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - (portRef I2 (instanceRef slot11_tx_timer_clkout_or00001)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq000012 "slot11/tx_timer/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000012_renamed_1165)) - (portRef I0 (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq000025 "slot11/tx_timer/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000025_renamed_1166)) - (portRef I1 (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq000049 "slot11/tx_timer/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000049_renamed_1167)) - (portRef I2 (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_tx_timer_cnt_cmp_eq000062 "slot11/tx_timer/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef slot11_tx_timer_cnt_cmp_eq000062_renamed_1168)) - (portRef I3 (instanceRef slot11_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_0_ "slot11/tx_timer/cnt_mux0001<0>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_0)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_10_ "slot11/tx_timer/cnt_mux0001<10>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_10)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_11_ "slot11/tx_timer/cnt_mux0001<11>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_11)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_12_ "slot11/tx_timer/cnt_mux0001<12>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_12)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_13_ "slot11/tx_timer/cnt_mux0001<13>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_13)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_14_ "slot11/tx_timer/cnt_mux0001<14>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_14)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_15_ "slot11/tx_timer/cnt_mux0001<15>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_15)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_1_ "slot11/tx_timer/cnt_mux0001<1>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_1)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_2_ "slot11/tx_timer/cnt_mux0001<2>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_2)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_3_ "slot11/tx_timer/cnt_mux0001<3>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_3)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_4_ "slot11/tx_timer/cnt_mux0001<4>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_4)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_5_ "slot11/tx_timer/cnt_mux0001<5>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_5)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_6_ "slot11/tx_timer/cnt_mux0001<6>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_6)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_7_ "slot11/tx_timer/cnt_mux0001<7>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_7)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_8_ "slot11/tx_timer/cnt_mux0001<8>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_8)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_mux0001_9_ "slot11/tx_timer/cnt_mux0001<9>") - (joined - (portRef D (instanceRef slot11_tx_timer_cnt_9)) - (portRef O (instanceRef slot11_tx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename slot11_tx_timer_cnt_not0002 "slot11/tx_timer/cnt_not0002") - (joined - (portRef CE (instanceRef slot11_tx_timer_cnt_0)) - (portRef CE (instanceRef slot11_tx_timer_cnt_1)) - (portRef CE (instanceRef slot11_tx_timer_cnt_2)) - (portRef CE (instanceRef slot11_tx_timer_cnt_3)) - (portRef CE (instanceRef slot11_tx_timer_cnt_4)) - (portRef CE (instanceRef slot11_tx_timer_cnt_5)) - (portRef CE (instanceRef slot11_tx_timer_cnt_6)) - (portRef CE (instanceRef slot11_tx_timer_cnt_7)) - (portRef CE (instanceRef slot11_tx_timer_cnt_8)) - (portRef CE (instanceRef slot11_tx_timer_cnt_9)) - (portRef CE (instanceRef slot11_tx_timer_cnt_10)) - (portRef CE (instanceRef slot11_tx_timer_cnt_11)) - (portRef CE (instanceRef slot11_tx_timer_cnt_12)) - (portRef CE (instanceRef slot11_tx_timer_cnt_13)) - (portRef CE (instanceRef slot11_tx_timer_cnt_14)) - (portRef CE (instanceRef slot11_tx_timer_cnt_15)) - (portRef O (instanceRef slot11_tx_timer_cnt_not00021)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_10_ "slot9/Maccum_vga_v_offset_cy<10>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_10__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_11__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_11__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_10__rt "slot9/Maccum_vga_v_offset_cy<10>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_10__rt_renamed_1748)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_10__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_10__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_11_ "slot9/Maccum_vga_v_offset_cy<11>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_11__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_12__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_12__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_11__rt "slot9/Maccum_vga_v_offset_cy<11>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_11__rt_renamed_1749)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_11__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_11__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_12_ "slot9/Maccum_vga_v_offset_cy<12>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_12__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_13__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_13__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_12__rt "slot9/Maccum_vga_v_offset_cy<12>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_12__rt_renamed_1750)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_12__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_12__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_13_ "slot9/Maccum_vga_v_offset_cy<13>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_13__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_14__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_13__rt "slot9/Maccum_vga_v_offset_cy<13>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_13__rt_renamed_1751)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_13__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_13__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_5_ "slot9/Maccum_vga_v_offset_cy<5>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_5__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_6__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_6__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_6_ "slot9/Maccum_vga_v_offset_cy<6>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_6__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_7__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_7__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_6__rt "slot9/Maccum_vga_v_offset_cy<6>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_6__rt_renamed_1745)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_6__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_6__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_7_ "slot9/Maccum_vga_v_offset_cy<7>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_7__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_8__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_8__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_8_ "slot9/Maccum_vga_v_offset_cy<8>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_8__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_9__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_9__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_8__rt "slot9/Maccum_vga_v_offset_cy<8>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_8__rt_renamed_1746)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_8__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_8__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_9_ "slot9/Maccum_vga_v_offset_cy<9>") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_9__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_cy_10__)) - (portRef CI (instanceRef slot9_Maccum_vga_v_offset_xor_10__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_cy_9__rt "slot9/Maccum_vga_v_offset_cy<9>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_cy_9__rt_renamed_1747)) - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_9__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_9__)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_lut_5__ "slot9/Maccum_vga_v_offset_lut<5>") - (joined - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_5__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_5__)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_lut_5__INV_0)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_lut_7__ "slot9/Maccum_vga_v_offset_lut<7>") - (joined - (portRef S (instanceRef slot9_Maccum_vga_v_offset_cy_7__)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_7__)) - (portRef O (instanceRef slot9_Maccum_vga_v_offset_lut_7__INV_0)) - ) - ) - (net (rename slot9_Maccum_vga_v_offset_xor_14__rt "slot9/Maccum_vga_v_offset_xor<14>_rt") - (joined - (portRef O (instanceRef slot9_Maccum_vga_v_offset_xor_14__rt_renamed_1839)) - (portRef LI (instanceRef slot9_Maccum_vga_v_offset_xor_14__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_0_ "slot9/Madd_vga_ram_address_cy<0>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_0__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_1__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_1__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_0__rt "slot9/Madd_vga_ram_address_cy<0>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_0__rt_renamed_1685)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_0__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_0__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_10_ "slot9/Madd_vga_ram_address_cy<10>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_10__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_11__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_11__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_11_ "slot9/Madd_vga_ram_address_cy<11>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_11__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_12__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_12__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_12_ "slot9/Madd_vga_ram_address_cy<12>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_12__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_13__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_13__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_12__rt "slot9/Madd_vga_ram_address_cy<12>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_12__rt_renamed_1690)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_12__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_12__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_13_ "slot9/Madd_vga_ram_address_cy<13>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_13__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_14__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_13__rt "slot9/Madd_vga_ram_address_cy<13>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_13__rt_renamed_1691)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_13__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_13__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_1_ "slot9/Madd_vga_ram_address_cy<1>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_1__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_2__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_2__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_1__rt "slot9/Madd_vga_ram_address_cy<1>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_1__rt_renamed_1686)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_1__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_1__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_2_ "slot9/Madd_vga_ram_address_cy<2>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_2__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_3__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_3__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_2__rt "slot9/Madd_vga_ram_address_cy<2>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_2__rt_renamed_1687)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_2__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_2__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_3_ "slot9/Madd_vga_ram_address_cy<3>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_3__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_4__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_4__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_3__rt "slot9/Madd_vga_ram_address_cy<3>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_3__rt_renamed_1688)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_3__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_3__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_4_ "slot9/Madd_vga_ram_address_cy<4>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_4__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_5__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_5__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_4__rt "slot9/Madd_vga_ram_address_cy<4>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_4__rt_renamed_1689)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_4__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_4__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_5_ "slot9/Madd_vga_ram_address_cy<5>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_5__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_6__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_6__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_6_ "slot9/Madd_vga_ram_address_cy<6>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_6__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_7__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_7__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_7_ "slot9/Madd_vga_ram_address_cy<7>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_7__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_8__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_8__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_8_ "slot9/Madd_vga_ram_address_cy<8>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_8__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_9__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_9__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_cy_9_ "slot9/Madd_vga_ram_address_cy<9>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_cy_9__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_cy_10__)) - (portRef CI (instanceRef slot9_Madd_vga_ram_address_xor_10__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_10_ "slot9/Madd_vga_ram_address_lut<10>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_10__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_10__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_10__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_11_ "slot9/Madd_vga_ram_address_lut<11>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_11__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_11__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_11__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_5_ "slot9/Madd_vga_ram_address_lut<5>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_5__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_5__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_5__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_6_ "slot9/Madd_vga_ram_address_lut<6>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_6__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_6__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_6__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_7_ "slot9/Madd_vga_ram_address_lut<7>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_7__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_7__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_7__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_8_ "slot9/Madd_vga_ram_address_lut<8>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_8__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_8__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_8__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_lut_9_ "slot9/Madd_vga_ram_address_lut<9>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_lut_9__)) - (portRef S (instanceRef slot9_Madd_vga_ram_address_cy_9__)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_9__)) - ) - ) - (net (rename slot9_Madd_vga_ram_address_xor_14__rt "slot9/Madd_vga_ram_address_xor<14>_rt") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_14__rt_renamed_1831)) - (portRef LI (instanceRef slot9_Madd_vga_ram_address_xor_14__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_0_ "slot9/Mcount_hcount_q_cy<0>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_1__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_1_ "slot9/Mcount_hcount_q_cy<1>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_2__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_1__rt "slot9/Mcount_hcount_q_cy<1>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_1__rt_renamed_1726)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_1__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_1__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_2_ "slot9/Mcount_hcount_q_cy<2>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_3__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_2__rt "slot9/Mcount_hcount_q_cy<2>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_2__rt_renamed_1727)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_2__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_2__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_3_ "slot9/Mcount_hcount_q_cy<3>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_4__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_3__rt "slot9/Mcount_hcount_q_cy<3>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_3__rt_renamed_1728)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_3__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_3__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_4_ "slot9/Mcount_hcount_q_cy<4>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_5__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_4__rt "slot9/Mcount_hcount_q_cy<4>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_4__rt_renamed_1729)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_4__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_4__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_5_ "slot9/Mcount_hcount_q_cy<5>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_6__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_5__rt "slot9/Mcount_hcount_q_cy<5>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_5__rt_renamed_1730)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_5__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_5__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_6_ "slot9/Mcount_hcount_q_cy<6>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_7__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_6__rt "slot9/Mcount_hcount_q_cy<6>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_6__rt_renamed_1731)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_6__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_6__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_7_ "slot9/Mcount_hcount_q_cy<7>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_8__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_7__rt "slot9/Mcount_hcount_q_cy<7>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_7__rt_renamed_1732)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_7__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_7__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_8_ "slot9/Mcount_hcount_q_cy<8>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_9__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_8__rt "slot9/Mcount_hcount_q_cy<8>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_8__rt_renamed_1733)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_8__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_8__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_9_ "slot9/Mcount_hcount_q_cy<9>") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hcount_q_xor_10__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_cy_9__rt "slot9/Mcount_hcount_q_cy<9>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_cy_9__rt_renamed_1734)) - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_9__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_9__)) - ) - ) - (net (rename slot9_Mcount_hcount_q_lut_0_ "slot9/Mcount_hcount_q_lut<0>") - (joined - (portRef S (instanceRef slot9_Mcount_hcount_q_cy_0__)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_0__)) - (portRef O (instanceRef slot9_Mcount_hcount_q_lut_0__INV_0)) - ) - ) - (net (rename slot9_Mcount_hcount_q_val "slot9/Mcount_hcount_q_val") - (joined - (portRef R (instanceRef slot9_hcount_q_5)) - (portRef R (instanceRef slot9_hcount_q_10)) - (portRef R (instanceRef slot9_hcount_q_7)) - (portRef O (instanceRef slot9_Mcount_hcount_q_val1)) - ) - ) - (net (rename slot9_Mcount_hcount_q_xor_10__rt "slot9/Mcount_hcount_q_xor<10>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hcount_q_xor_10__rt_renamed_1837)) - (portRef LI (instanceRef slot9_Mcount_hcount_q_xor_10__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_0_ "slot9/Mcount_hdisp_cy<0>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_1__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_10_ "slot9/Mcount_hdisp_cy<10>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_10__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_11__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_10__rt "slot9/Mcount_hdisp_cy<10>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_10__rt_renamed_1744)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_10__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_10__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_1_ "slot9/Mcount_hdisp_cy<1>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_2__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_1__rt "slot9/Mcount_hdisp_cy<1>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_1__rt_renamed_1735)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_1__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_1__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_2_ "slot9/Mcount_hdisp_cy<2>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_3__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_2__rt "slot9/Mcount_hdisp_cy<2>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_2__rt_renamed_1736)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_2__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_2__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_3_ "slot9/Mcount_hdisp_cy<3>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_4__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_3__rt "slot9/Mcount_hdisp_cy<3>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_3__rt_renamed_1737)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_3__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_3__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_4_ "slot9/Mcount_hdisp_cy<4>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_5__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_4__rt "slot9/Mcount_hdisp_cy<4>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_4__rt_renamed_1738)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_4__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_4__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_5_ "slot9/Mcount_hdisp_cy<5>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_6__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_5__rt "slot9/Mcount_hdisp_cy<5>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_5__rt_renamed_1739)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_5__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_5__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_6_ "slot9/Mcount_hdisp_cy<6>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_7__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_6__rt "slot9/Mcount_hdisp_cy<6>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_6__rt_renamed_1740)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_6__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_6__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_7_ "slot9/Mcount_hdisp_cy<7>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_8__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_7__rt "slot9/Mcount_hdisp_cy<7>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_7__rt_renamed_1741)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_7__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_7__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_8_ "slot9/Mcount_hdisp_cy<8>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_9__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_8__rt "slot9/Mcount_hdisp_cy<8>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_8__rt_renamed_1742)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_8__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_8__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_9_ "slot9/Mcount_hdisp_cy<9>") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_9__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_cy_10__)) - (portRef CI (instanceRef slot9_Mcount_hdisp_xor_10__)) - ) - ) - (net (rename slot9_Mcount_hdisp_cy_9__rt "slot9/Mcount_hdisp_cy<9>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_cy_9__rt_renamed_1743)) - (portRef S (instanceRef slot9_Mcount_hdisp_cy_9__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_9__)) - ) - ) - (net (rename slot9_Mcount_hdisp_lut_0_ "slot9/Mcount_hdisp_lut<0>") - (joined - (portRef S (instanceRef slot9_Mcount_hdisp_cy_0__)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_0__)) - (portRef O (instanceRef slot9_Mcount_hdisp_lut_0__INV_0)) - ) - ) - (net (rename slot9_Mcount_hdisp_xor_11__rt "slot9/Mcount_hdisp_xor<11>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_hdisp_xor_11__rt_renamed_1838)) - (portRef LI (instanceRef slot9_Mcount_hdisp_xor_11__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_0_ "slot9/Mcount_vcount_q_cy<0>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_0__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_1__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_1_ "slot9/Mcount_vcount_q_cy<1>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_1__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_2__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_1__rt "slot9/Mcount_vcount_q_cy<1>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_1__rt_renamed_1718)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_1__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_1__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_2_ "slot9/Mcount_vcount_q_cy<2>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_2__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_3__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_2__rt "slot9/Mcount_vcount_q_cy<2>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_2__rt_renamed_1719)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_2__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_2__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_3_ "slot9/Mcount_vcount_q_cy<3>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_3__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_4__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_3__rt "slot9/Mcount_vcount_q_cy<3>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_3__rt_renamed_1720)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_3__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_3__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_4_ "slot9/Mcount_vcount_q_cy<4>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_4__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_5__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_4__rt "slot9/Mcount_vcount_q_cy<4>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_4__rt_renamed_1721)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_4__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_4__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_5_ "slot9/Mcount_vcount_q_cy<5>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_5__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_6__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_5__rt "slot9/Mcount_vcount_q_cy<5>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_5__rt_renamed_1722)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_5__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_5__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_6_ "slot9/Mcount_vcount_q_cy<6>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_6__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_7__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_6__rt "slot9/Mcount_vcount_q_cy<6>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_6__rt_renamed_1723)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_6__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_6__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_7_ "slot9/Mcount_vcount_q_cy<7>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_7__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_8__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_7__rt "slot9/Mcount_vcount_q_cy<7>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_7__rt_renamed_1724)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_7__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_7__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_8_ "slot9/Mcount_vcount_q_cy<8>") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_8__)) - (portRef CI (instanceRef slot9_Mcount_vcount_q_xor_9__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_cy_8__rt "slot9/Mcount_vcount_q_cy<8>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_cy_8__rt_renamed_1725)) - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_8__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_8__)) - ) - ) - (net (rename slot9_Mcount_vcount_q_lut_0_ "slot9/Mcount_vcount_q_lut<0>") - (joined - (portRef S (instanceRef slot9_Mcount_vcount_q_cy_0__)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_0__)) - (portRef O (instanceRef slot9_Mcount_vcount_q_lut_0__INV_0)) - ) - ) - (net (rename slot9_Mcount_vcount_q_val "slot9/Mcount_vcount_q_val") - (joined - (portRef R (instanceRef slot9_vcount_q_0)) - (portRef R (instanceRef slot9_vcount_q_8)) - (portRef R (instanceRef slot9_vcount_q_7)) - (portRef R (instanceRef slot9_vcount_q_4)) - (portRef R (instanceRef slot9_vga_v_offset_5)) - (portRef R (instanceRef slot9_vga_v_offset_6)) - (portRef R (instanceRef slot9_vga_v_offset_7)) - (portRef R (instanceRef slot9_vga_v_offset_8)) - (portRef R (instanceRef slot9_vga_v_offset_9)) - (portRef R (instanceRef slot9_vga_v_offset_10)) - (portRef R (instanceRef slot9_vga_v_offset_11)) - (portRef R (instanceRef slot9_vga_v_offset_12)) - (portRef R (instanceRef slot9_vga_v_offset_13)) - (portRef R (instanceRef slot9_vga_v_offset_14)) - (portRef O (instanceRef slot9_Mcount_vcount_q_val1)) - ) - ) - (net (rename slot9_Mcount_vcount_q_xor_9__rt "slot9/Mcount_vcount_q_xor<9>_rt") - (joined - (portRef O (instanceRef slot9_Mcount_vcount_q_xor_9__rt_renamed_1836)) - (portRef LI (instanceRef slot9_Mcount_vcount_q_xor_9__)) - ) - ) - (net (rename slot9_h_sync_tick "slot9/h_sync_tick") - (joined - (portRef Q (instanceRef slot9_h_sync_tick_renamed_294)) - (portRef CE (instanceRef slot9_voff_0)) - (portRef CE (instanceRef slot9_voff_1)) - (portRef CE (instanceRef slot9_voff_2)) - (portRef CE (instanceRef slot9_vcount_q_0)) - (portRef CE (instanceRef slot9_vcount_q_1)) - (portRef CE (instanceRef slot9_vcount_q_2)) - (portRef CE (instanceRef slot9_vcount_q_5)) - (portRef CE (instanceRef slot9_vcount_q_3)) - (portRef CE (instanceRef slot9_vcount_q_4)) - (portRef CE (instanceRef slot9_vcount_q_6)) - (portRef CE (instanceRef slot9_vcount_q_7)) - (portRef CE (instanceRef slot9_vcount_q_8)) - (portRef CE (instanceRef slot9_vcount_q_9)) - (portRef I1 (instanceRef slot9_vga_v_offset_not00011)) - (portRef I1 (instanceRef slot9_voff_or0000_renamed_1120)) - ) - ) - (net (rename slot9_h_sync_tick_cmp_eq0000 "slot9/h_sync_tick_cmp_eq0000") - (joined - (portRef D (instanceRef slot9_h_sync_tick_renamed_294)) - (portRef I3 (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - (portRef O (instanceRef slot9_vga_hsync_mux00002_f5)) - ) - ) - (net (rename slot9_hcount_q_0_ "slot9/hcount_q<0>") - (joined - (portRef Q (instanceRef slot9_hcount_q_0)) - (portRef I0 (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I0 (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I (instanceRef slot9_Mcount_hcount_q_lut_0__INV_0)) - ) - ) - (net (rename slot9_hcount_q_1_ "slot9/hcount_q<1>") - (joined - (portRef Q (instanceRef slot9_hcount_q_1)) - (portRef I2 (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I1 (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_1__rt_renamed_1726)) - ) - ) - (net (rename slot9_hcount_q_10_ "slot9/hcount_q<10>") - (joined - (portRef Q (instanceRef slot9_hcount_q_10)) - (portRef I0 (instanceRef slot9_h_sync_tick_cmp_eq00001)) - (portRef I2 (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I3 (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_xor_10__rt_renamed_1837)) - ) - ) - (net (rename slot9_hcount_q_2_ "slot9/hcount_q<2>") - (joined - (portRef Q (instanceRef slot9_hcount_q_2)) - (portRef I1 (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I2 (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_2__rt_renamed_1727)) - ) - ) - (net (rename slot9_hcount_q_3_ "slot9/hcount_q<3>") - (joined - (portRef Q (instanceRef slot9_hcount_q_3)) - (portRef I2 (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I3 (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_3__rt_renamed_1728)) - (portRef I3 (instanceRef slot9_vga_hsync_mux000021)) - ) - ) - (net (rename slot9_hcount_q_4_ "slot9/hcount_q<4>") - (joined - (portRef Q (instanceRef slot9_hcount_q_4)) - (portRef I1 (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_4__rt_renamed_1729)) - (portRef I1 (instanceRef slot9_vga_hsync_mux000021)) - ) - ) - (net (rename slot9_hcount_q_5_ "slot9/hcount_q<5>") - (joined - (portRef Q (instanceRef slot9_hcount_q_5)) - (portRef I3 (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_hdisp_cmp_eq000011)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_5__rt_renamed_1730)) - (portRef I2 (instanceRef slot9_vga_hsync_mux000021)) - ) - ) - (net (rename slot9_hcount_q_6_ "slot9/hcount_q<6>") - (joined - (portRef Q (instanceRef slot9_hcount_q_6)) - (portRef I1 (instanceRef slot9_h_sync_tick_cmp_eq00001)) - (portRef I0 (instanceRef slot9_hdisp_cmp_eq000011)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_6__rt_renamed_1731)) - ) - ) - (net (rename slot9_hcount_q_7_ "slot9/hcount_q<7>") - (joined - (portRef Q (instanceRef slot9_hcount_q_7)) - (portRef I0 (instanceRef slot9_vga_hsync_mux0000_SW0)) - (portRef I2 (instanceRef slot9_hdisp_cmp_eq000011)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_7__rt_renamed_1732)) - (portRef I0 (instanceRef slot9_vga_hsync_mux000021)) - ) - ) - (net (rename slot9_hcount_q_8_ "slot9/hcount_q<8>") - (joined - (portRef Q (instanceRef slot9_hcount_q_8)) - (portRef I2 (instanceRef slot9_h_sync_tick_cmp_eq00001)) - (portRef I0 (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I2 (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_8__rt_renamed_1733)) - ) - ) - (net (rename slot9_hcount_q_9_ "slot9/hcount_q<9>") - (joined - (portRef Q (instanceRef slot9_hcount_q_9)) - (portRef I3 (instanceRef slot9_h_sync_tick_cmp_eq00001_SW0)) - (portRef I3 (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I1 (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_Mcount_hcount_q_cy_9__rt_renamed_1734)) - ) - ) - (net (rename slot9_hdisp_10_ "slot9/hdisp<10>") - (joined - (portRef Q (instanceRef slot9_hdisp_10)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_8__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_8__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_8__rt_renamed_1742)) - ) - ) - (net (rename slot9_hdisp_11_ "slot9/hdisp<11>") - (joined - (portRef Q (instanceRef slot9_hdisp_11)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_9__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_9__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_9__rt_renamed_1743)) - ) - ) - (net (rename slot9_hdisp_12_ "slot9/hdisp<12>") - (joined - (portRef Q (instanceRef slot9_hdisp_12)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_10__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_10__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_10__rt_renamed_1744)) - ) - ) - (net (rename slot9_hdisp_13_ "slot9/hdisp<13>") - (joined - (portRef Q (instanceRef slot9_hdisp_13)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_11__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_11__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_xor_11__rt_renamed_1838)) - ) - ) - (net (rename slot9_hdisp_2_ "slot9/hdisp<2>") - (joined - (portRef Q (instanceRef slot9_hdisp_2)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_0__rt_renamed_1685)) - (portRef I (instanceRef slot9_Mcount_hdisp_lut_0__INV_0)) - ) - ) - (net (rename slot9_hdisp_3_ "slot9/hdisp<3>") - (joined - (portRef Q (instanceRef slot9_hdisp_3)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_1__rt_renamed_1686)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_1__rt_renamed_1735)) - ) - ) - (net (rename slot9_hdisp_4_ "slot9/hdisp<4>") - (joined - (portRef Q (instanceRef slot9_hdisp_4)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_2__rt_renamed_1687)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_2__rt_renamed_1736)) - ) - ) - (net (rename slot9_hdisp_5_ "slot9/hdisp<5>") - (joined - (portRef Q (instanceRef slot9_hdisp_5)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_3__rt_renamed_1688)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_3__rt_renamed_1737)) - ) - ) - (net (rename slot9_hdisp_6_ "slot9/hdisp<6>") - (joined - (portRef Q (instanceRef slot9_hdisp_6)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_4__rt_renamed_1689)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_4__rt_renamed_1738)) - ) - ) - (net (rename slot9_hdisp_7_ "slot9/hdisp<7>") - (joined - (portRef Q (instanceRef slot9_hdisp_7)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_5__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_5__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_5__rt_renamed_1739)) - ) - ) - (net (rename slot9_hdisp_8_ "slot9/hdisp<8>") - (joined - (portRef Q (instanceRef slot9_hdisp_8)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_6__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_6__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_6__rt_renamed_1740)) - ) - ) - (net (rename slot9_hdisp_9_ "slot9/hdisp<9>") - (joined - (portRef Q (instanceRef slot9_hdisp_9)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_lut_7__)) - (portRef DI (instanceRef slot9_Madd_vga_ram_address_cy_7__)) - (portRef I0 (instanceRef slot9_Mcount_hdisp_cy_7__rt_renamed_1741)) - ) - ) - (net (rename slot9_hdisp_and0000 "slot9/hdisp_and0000") - (joined - (portRef R (instanceRef slot9_hcount_q_0)) - (portRef R (instanceRef slot9_hcount_q_1)) - (portRef R (instanceRef slot9_hcount_q_2)) - (portRef R (instanceRef slot9_hcount_q_3)) - (portRef R (instanceRef slot9_hcount_q_4)) - (portRef R (instanceRef slot9_hcount_q_6)) - (portRef R (instanceRef slot9_hcount_q_8)) - (portRef R (instanceRef slot9_hcount_q_9)) - (portRef R (instanceRef slot9_hdisp_2)) - (portRef R (instanceRef slot9_hdisp_3)) - (portRef R (instanceRef slot9_hdisp_4)) - (portRef R (instanceRef slot9_hdisp_5)) - (portRef R (instanceRef slot9_hdisp_6)) - (portRef R (instanceRef slot9_hdisp_7)) - (portRef R (instanceRef slot9_hdisp_8)) - (portRef R (instanceRef slot9_hdisp_9)) - (portRef R (instanceRef slot9_hdisp_10)) - (portRef R (instanceRef slot9_hdisp_11)) - (portRef R (instanceRef slot9_hdisp_12)) - (portRef R (instanceRef slot9_hdisp_13)) - (portRef O (instanceRef slot9_hdisp_and00001)) - ) - ) - (net (rename slot9_hdisp_cmp_eq0000 "slot9/hdisp_cmp_eq0000") - (joined - (portRef I0 (instanceRef slot9_hoff_and0000_renamed_1121)) - (portRef O (instanceRef slot9_hdisp_cmp_eq000031)) - ) - ) - (net (rename slot9_hdisp_cmp_eq000010 "slot9/hdisp_cmp_eq000010") - (joined - (portRef O (instanceRef slot9_hdisp_cmp_eq000010_renamed_1122)) - (portRef I1 (instanceRef slot9_hdisp_cmp_eq000031)) - (portRef I1 (instanceRef slot9_hdisp_and00001)) - (portRef I1 (instanceRef slot9_Mcount_hcount_q_val1)) - ) - ) - (net (rename slot9_hdisp_cmp_eq000029 "slot9/hdisp_cmp_eq000029") - (joined - (portRef O (instanceRef slot9_hdisp_cmp_eq000029_renamed_1123)) - (portRef I2 (instanceRef slot9_hdisp_cmp_eq000031)) - (portRef I2 (instanceRef slot9_hdisp_and00001)) - (portRef I2 (instanceRef slot9_Mcount_hcount_q_val1)) - ) - ) - (net (rename slot9_hdisp_not0001 "slot9/hdisp_not0001") - (joined - (portRef CE (instanceRef slot9_hdisp_2)) - (portRef CE (instanceRef slot9_hdisp_3)) - (portRef CE (instanceRef slot9_hdisp_4)) - (portRef CE (instanceRef slot9_hdisp_5)) - (portRef CE (instanceRef slot9_hdisp_6)) - (portRef CE (instanceRef slot9_hdisp_7)) - (portRef CE (instanceRef slot9_hdisp_8)) - (portRef CE (instanceRef slot9_hdisp_9)) - (portRef CE (instanceRef slot9_hdisp_10)) - (portRef CE (instanceRef slot9_hdisp_11)) - (portRef CE (instanceRef slot9_hdisp_12)) - (portRef CE (instanceRef slot9_hdisp_13)) - (portRef O (instanceRef slot9_hdisp_not00011)) - ) - ) - (net (rename slot9_hoff_0_ "slot9/hoff<0>") - (joined - (portRef Q (instanceRef slot9_hoff_0)) - (portRef I1 (instanceRef slot9_Mcount_hoff_xor_1_11)) - (portRef I0 (instanceRef slot9_Mcount_hoff_xor_2_11)) - (portRef I0 (instanceRef slot9_hdisp_not00011)) - (portRef I1 (instanceRef slot9_hoff_and0000_SW0)) - (portRef I (instanceRef slot9_Mcount_hoff_xor_0_11_INV_0)) - ) - ) - (net (rename slot9_hoff_1_ "slot9/hoff<1>") - (joined - (portRef Q (instanceRef slot9_hoff_1)) - (portRef I0 (instanceRef slot9_Mcount_hoff_xor_1_11)) - (portRef I2 (instanceRef slot9_Mcount_hoff_xor_2_11)) - (portRef I3 (instanceRef slot9_hdisp_not00011)) - (portRef I2 (instanceRef slot9_hoff_and0000_renamed_1121)) - ) - ) - (net (rename slot9_hoff_2_ "slot9/hoff<2>") - (joined - (portRef Q (instanceRef slot9_hoff_2)) - (portRef I1 (instanceRef slot9_Mcount_hoff_xor_2_11)) - (portRef I2 (instanceRef slot9_hdisp_not00011)) - (portRef I0 (instanceRef slot9_hoff_and0000_SW0)) - ) - ) - (net (rename slot9_hoff_and0000 "slot9/hoff_and0000") - (joined - (portRef R (instanceRef slot9_hoff_0)) - (portRef R (instanceRef slot9_hoff_1)) - (portRef R (instanceRef slot9_hoff_2)) - (portRef O (instanceRef slot9_hoff_and0000_renamed_1121)) - ) - ) - (net (rename slot9_ram_mi_addrh_q "slot9/ram/mi_addrh_q") - (joined - (portRef Q (instanceRef slot9_ram_mi_addrh_q_renamed_311)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_0_ "slot9/ram/mi_ram_0_dat_o<0>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_1_ "slot9/ram/mi_ram_0_dat_o<1>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_2_ "slot9/ram/mi_ram_0_dat_o<2>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_3_ "slot9/ram/mi_ram_0_dat_o<3>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_4_ "slot9/ram/mi_ram_0_dat_o<4>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_5_ "slot9/ram/mi_ram_0_dat_o<5>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_6_ "slot9/ram/mi_ram_0_dat_o<6>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - ) - ) - (net (rename slot9_ram_mi_ram_0_dat_o_7_ "slot9/ram/mi_ram_0_dat_o<7>") - (joined - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_0_ "slot9/ram/mi_ram_1_dat_o<0>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - (portRef (member DOA 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_1_ "slot9/ram/mi_ram_1_dat_o<1>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef (member DOA 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_2_ "slot9/ram/mi_ram_1_dat_o<2>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef (member DOA 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_3_ "slot9/ram/mi_ram_1_dat_o<3>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_4_ "slot9/ram/mi_ram_1_dat_o<4>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef (member DOA 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_5_ "slot9/ram/mi_ram_1_dat_o<5>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef (member DOA 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_6_ "slot9/ram/mi_ram_1_dat_o<6>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef (member DOA 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_mi_ram_1_dat_o_7_ "slot9/ram/mi_ram_1_dat_o<7>") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef (member DOA 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_addrh_q "slot9/ram/v_addrh_q") - (joined - (portRef Q (instanceRef slot9_ram_v_addrh_q_renamed_6)) - (portRef I0 (instanceRef slot9_ram_v_data_7_1)) - (portRef I0 (instanceRef slot9_ram_v_data_6_1)) - (portRef I0 (instanceRef slot9_ram_v_data_5_1)) - (portRef I0 (instanceRef slot9_ram_v_data_4_1)) - (portRef I0 (instanceRef slot9_ram_v_data_3_1)) - (portRef I0 (instanceRef slot9_ram_v_data_2_1)) - (portRef I0 (instanceRef slot9_ram_v_data_1_1)) - (portRef I0 (instanceRef slot9_ram_v_data_0_1)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_0_ "slot9/ram/v_ram_0_data<0>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_0_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_1_ "slot9/ram/v_ram_0_data<1>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_1_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_2_ "slot9/ram/v_ram_0_data<2>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_2_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_3_ "slot9/ram/v_ram_0_data<3>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_3_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_4_ "slot9/ram/v_ram_0_data<4>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_4_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_5_ "slot9/ram/v_ram_0_data<5>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_5_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_6_ "slot9/ram/v_ram_0_data<6>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_6_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - ) - ) - (net (rename slot9_ram_v_ram_0_data_7_ "slot9/ram/v_ram_0_data<7>") - (joined - (portRef I1 (instanceRef slot9_ram_v_data_7_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_ram_v_ram_0_en "slot9/ram/v_ram_0_en") - (joined - (portRef O (instanceRef slot9_ram_v_ram_0_en1_INV_0)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef ENB (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_0_ "slot9/ram/v_ram_1_data<0>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_0_1)) - (portRef (member DOB 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_1_ "slot9/ram/v_ram_1_data<1>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_1_1)) - (portRef (member DOB 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_2_ "slot9/ram/v_ram_1_data<2>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_2_1)) - (portRef (member DOB 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_3_ "slot9/ram/v_ram_1_data<3>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_3_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_4_ "slot9/ram/v_ram_1_data<4>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_4_1)) - (portRef (member DOB 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_5_ "slot9/ram/v_ram_1_data<5>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_5_1)) - (portRef (member DOB 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_6_ "slot9/ram/v_ram_1_data<6>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_6_1)) - (portRef (member DOB 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_v_ram_1_data_7_ "slot9/ram/v_ram_1_data<7>") - (joined - (portRef I2 (instanceRef slot9_ram_v_data_7_1)) - (portRef (member DOB 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_ram_we "slot9/ram_we") - (joined - (portRef O (instanceRef slot9_ram_we1)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef WEA (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef WEA (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef WEA (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_read_ended "slot9/read_ended") - (joined - (portRef Q (instanceRef slot9_read_ended_renamed_8)) - (portRef I1 (instanceRef zpuino_io_io_device_ack118_SW0)) - ) - ) - (net (rename slot9_read_ended_and0000 "slot9/read_ended_and0000") - (joined - (portRef D (instanceRef slot9_read_ended_renamed_8)) - (portRef O (instanceRef slot9_read_ended_and00001_f5)) - ) - ) - (net (rename slot9_read_ended_and00001 "slot9/read_ended_and00001") - (joined - (portRef O (instanceRef slot9_read_ended_and000011)) - (portRef I0 (instanceRef slot9_read_ended_and00001_f5)) - ) - ) - (net (rename slot9_rstq1 "slot9/rstq1") - (joined - (portRef S (instanceRef slot9_hcount_q_0)) - (portRef S (instanceRef slot9_hcount_q_1)) - (portRef S (instanceRef slot9_hcount_q_2)) - (portRef S (instanceRef slot9_hcount_q_3)) - (portRef S (instanceRef slot9_hcount_q_4)) - (portRef S (instanceRef slot9_hcount_q_6)) - (portRef S (instanceRef slot9_hcount_q_8)) - (portRef S (instanceRef slot9_hcount_q_9)) - (portRef Q (instanceRef slot9_rstq1_renamed_173)) - (portRef R (instanceRef slot9_vga_hsync_renamed_292)) - (portRef R (instanceRef slot9_vga_vsync_renamed_293)) - (portRef S (instanceRef slot9_vcount_q_1)) - (portRef S (instanceRef slot9_vcount_q_2)) - (portRef S (instanceRef slot9_vcount_q_5)) - (portRef S (instanceRef slot9_vcount_q_3)) - (portRef S (instanceRef slot9_vcount_q_6)) - (portRef S (instanceRef slot9_vcount_q_9)) - (portRef I1 (instanceRef slot9_hdisp_not00011)) - (portRef I0 (instanceRef slot9_voff_or0000_renamed_1120)) - (portRef I1 (instanceRef slot9_vcount_q_and00001)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_val1)) - (portRef I1 (instanceRef slot9_hoff_and0000_renamed_1121)) - (portRef I3 (instanceRef slot9_hdisp_and00001)) - (portRef I3 (instanceRef slot9_Mcount_hcount_q_val1)) - (portRef I (instanceRef slot9_rstq1_inv1_INV_0)) - ) - ) - (net (rename slot9_rstq1_inv "slot9/rstq1_inv") - (joined - (portRef CE (instanceRef slot9_h_sync_tick_renamed_294)) - (portRef CE (instanceRef slot9_hoff_0)) - (portRef CE (instanceRef slot9_hoff_1)) - (portRef CE (instanceRef slot9_hoff_2)) - (portRef O (instanceRef slot9_rstq1_inv1_INV_0)) - ) - ) - (net (rename slot9_rstq2 "slot9/rstq2") - (joined - (portRef Q (instanceRef slot9_rstq2_renamed_7)) - (portRef D (instanceRef slot9_rstq1_renamed_173)) - ) - ) - (net (rename slot9_v_display "slot9/v_display") - (joined - (portRef Q (instanceRef slot9_v_display_renamed_0)) - (portRef I (instanceRef slot9_v_display_inv1_INV_0)) - ) - ) - (net (rename slot9_v_display_inv "slot9/v_display_inv") - (joined - (portRef R (instanceRef slot9_vga_r_0)) - (portRef R (instanceRef slot9_vga_r_1)) - (portRef R (instanceRef slot9_vga_r_2)) - (portRef R (instanceRef slot9_vga_b_0)) - (portRef R (instanceRef slot9_vga_b_1)) - (portRef R (instanceRef slot9_vga_g_0)) - (portRef R (instanceRef slot9_vga_g_1)) - (portRef R (instanceRef slot9_vga_g_2)) - (portRef O (instanceRef slot9_v_display_inv1_INV_0)) - ) - ) - (net (rename slot9_v_display_not0001 "slot9/v_display_not0001") - (joined - (portRef R (instanceRef slot9_v_display_renamed_0)) - (portRef O (instanceRef slot9_v_display_not000127)) - ) - ) - (net (rename slot9_v_display_not000115 "slot9/v_display_not000115") - (joined - (portRef O (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I3 (instanceRef slot9_v_display_not000122_renamed_1981)) - ) - ) - (net (rename slot9_v_display_not000122 "slot9/v_display_not000122") - (joined - (portRef I1 (instanceRef slot9_v_display_not000127)) - (portRef O (instanceRef slot9_v_display_not000122_renamed_1981)) - ) - ) - (net (rename slot9_v_display_not00018 "slot9/v_display_not00018") - (joined - (portRef O (instanceRef slot9_v_display_not00018_renamed_1126)) - (portRef I0 (instanceRef slot9_v_display_not000127)) - ) - ) - (net (rename slot9_vcount_q_0_ "slot9/vcount_q<0>") - (joined - (portRef Q (instanceRef slot9_vcount_q_0)) - (portRef I1 (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - (portRef I3 (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I (instanceRef slot9_Mcount_vcount_q_lut_0__INV_0)) - ) - ) - (net (rename slot9_vcount_q_1_ "slot9/vcount_q<1>") - (joined - (portRef Q (instanceRef slot9_vcount_q_1)) - (portRef I3 (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I2 (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_1__rt_renamed_1718)) - ) - ) - (net (rename slot9_vcount_q_2_ "slot9/vcount_q<2>") - (joined - (portRef Q (instanceRef slot9_vcount_q_2)) - (portRef I0 (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - (portRef I0 (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_2__rt_renamed_1719)) - ) - ) - (net (rename slot9_vcount_q_3_ "slot9/vcount_q<3>") - (joined - (portRef Q (instanceRef slot9_vcount_q_3)) - (portRef I1 (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I1 (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_3__rt_renamed_1720)) - ) - ) - (net (rename slot9_vcount_q_4_ "slot9/vcount_q<4>") - (joined - (portRef Q (instanceRef slot9_vcount_q_4)) - (portRef I2 (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I2 (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I2 (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_4__rt_renamed_1721)) - ) - ) - (net (rename slot9_vcount_q_5_ "slot9/vcount_q<5>") - (joined - (portRef Q (instanceRef slot9_vcount_q_5)) - (portRef I2 (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I3 (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I3 (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_5__rt_renamed_1722)) - ) - ) - (net (rename slot9_vcount_q_6_ "slot9/vcount_q<6>") - (joined - (portRef Q (instanceRef slot9_vcount_q_6)) - (portRef I1 (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I0 (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I0 (instanceRef slot9_v_display_not000115_renamed_1127)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_6__rt_renamed_1723)) - ) - ) - (net (rename slot9_vcount_q_7_ "slot9/vcount_q<7>") - (joined - (portRef Q (instanceRef slot9_vcount_q_7)) - (portRef I3 (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I1 (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_7__rt_renamed_1724)) - (portRef I1 (instanceRef slot9_v_display_not000122_renamed_1981)) - ) - ) - (net (rename slot9_vcount_q_8_ "slot9/vcount_q<8>") - (joined - (portRef Q (instanceRef slot9_vcount_q_8)) - (portRef I0 (instanceRef slot9_vga_vsync_and0000_SW0)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_cy_8__rt_renamed_1725)) - (portRef I2 (instanceRef slot9_v_display_not000122_renamed_1981)) - (portRef I3 (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vcount_q_9_ "slot9/vcount_q<9>") - (joined - (portRef Q (instanceRef slot9_vcount_q_9)) - (portRef I2 (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - (portRef I0 (instanceRef slot9_Mcount_vcount_q_xor_9__rt_renamed_1836)) - (portRef I0 (instanceRef slot9_v_display_not000122_renamed_1981)) - (portRef I1 (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vcount_q_and0000 "slot9/vcount_q_and0000") - (joined - (portRef R (instanceRef slot9_vcount_q_1)) - (portRef R (instanceRef slot9_vcount_q_2)) - (portRef R (instanceRef slot9_vcount_q_5)) - (portRef R (instanceRef slot9_vcount_q_3)) - (portRef R (instanceRef slot9_vcount_q_6)) - (portRef R (instanceRef slot9_vcount_q_9)) - (portRef O (instanceRef slot9_vcount_q_and00001)) - ) - ) - (net (rename slot9_vga_b_0_ "slot9/vga_b<0>") - (joined - (portRef Q (instanceRef slot9_vga_b_0)) - (portRef I (instanceRef pin18_obufi)) - ) - ) - (net (rename slot9_vga_b_1_ "slot9/vga_b<1>") - (joined - (portRef Q (instanceRef slot9_vga_b_1)) - (portRef I (instanceRef pin19_obufi)) - ) - ) - (net (rename slot9_vga_g_0_ "slot9/vga_g<0>") - (joined - (portRef Q (instanceRef slot9_vga_g_0)) - (portRef I (instanceRef pin21_obufi)) - ) - ) - (net (rename slot9_vga_g_1_ "slot9/vga_g<1>") - (joined - (portRef Q (instanceRef slot9_vga_g_1)) - (portRef I (instanceRef pin22_obufi)) - ) - ) - (net (rename slot9_vga_g_2_ "slot9/vga_g<2>") - (joined - (portRef Q (instanceRef slot9_vga_g_2)) - (portRef I (instanceRef pin23_obufi)) - ) - ) - (net (rename slot9_vga_hsync "slot9/vga_hsync") - (joined - (portRef Q (instanceRef slot9_vga_hsync_renamed_292)) - (portRef I (instanceRef pin35_obufi)) - (portRef I0 (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - ) - ) - (net (rename slot9_vga_hsync_and0000 "slot9/vga_hsync_and0000") - (joined - (portRef I2 (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - (portRef O (instanceRef slot9_h_sync_tick_cmp_eq00001)) - (portRef S (instanceRef slot9_vga_hsync_mux00002_f5)) - ) - ) - (net (rename slot9_vga_hsync_mux0000 "slot9/vga_hsync_mux0000") - (joined - (portRef D (instanceRef slot9_vga_hsync_renamed_292)) - (portRef O (instanceRef slot9_vga_hsync_mux0000_renamed_1119)) - ) - ) - (net (rename slot9_vga_hsync_mux00002 "slot9/vga_hsync_mux00002") - (joined - (portRef O (instanceRef slot9_vga_hsync_mux000021)) - (portRef I1 (instanceRef slot9_vga_hsync_mux00002_f5)) - ) - ) - (net (rename slot9_vga_r_0_ "slot9/vga_r<0>") - (joined - (portRef Q (instanceRef slot9_vga_r_0)) - (portRef I (instanceRef pin37_obufi)) - ) - ) - (net (rename slot9_vga_r_1_ "slot9/vga_r<1>") - (joined - (portRef Q (instanceRef slot9_vga_r_1)) - (portRef I (instanceRef pin38_obufi)) - ) - ) - (net (rename slot9_vga_r_2_ "slot9/vga_r<2>") - (joined - (portRef Q (instanceRef slot9_vga_r_2)) - (portRef I (instanceRef pin39_obufi)) - ) - ) - (net (rename slot9_vga_ram_address_0_ "slot9/vga_ram_address<0>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_0__)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 13) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_10_ "slot9/vga_ram_address<10>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_10__)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_11_ "slot9/vga_ram_address<11>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_11__)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_12_ "slot9/vga_ram_address<12>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_12__)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 1) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_vga_ram_address_13_ "slot9/vga_ram_address<13>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_13__)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot9_vga_ram_address_14_ "slot9/vga_ram_address<14>") - (joined - (portRef D (instanceRef slot9_ram_v_addrh_q_renamed_6)) - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_14__)) - (portRef I (instanceRef slot9_ram_v_ram_0_en1_INV_0)) - (portRef ENB (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef ENB (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_1_ "slot9/vga_ram_address<1>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_1__)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 12) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_2_ "slot9/vga_ram_address<2>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_2__)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 11) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_3_ "slot9/vga_ram_address<3>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_3__)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 10) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_4_ "slot9/vga_ram_address<4>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_4__)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 9) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_5_ "slot9/vga_ram_address<5>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_5__)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 8) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_6_ "slot9/vga_ram_address<6>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_6__)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 7) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_7_ "slot9/vga_ram_address<7>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_7__)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 6) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_8_ "slot9/vga_ram_address<8>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_8__)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 5) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_address_9_ "slot9/vga_ram_address<9>") - (joined - (portRef O (instanceRef slot9_Madd_vga_ram_address_xor_9__)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRB 4) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRB 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename slot9_vga_ram_data_0_ "slot9/vga_ram_data<0>") - (joined - (portRef D (instanceRef slot9_vga_b_0)) - (portRef O (instanceRef slot9_ram_v_data_0_1)) - ) - ) - (net (rename slot9_vga_ram_data_1_ "slot9/vga_ram_data<1>") - (joined - (portRef D (instanceRef slot9_vga_b_1)) - (portRef O (instanceRef slot9_ram_v_data_1_1)) - ) - ) - (net (rename slot9_vga_ram_data_2_ "slot9/vga_ram_data<2>") - (joined - (portRef D (instanceRef slot9_vga_g_0)) - (portRef O (instanceRef slot9_ram_v_data_2_1)) - ) - ) - (net (rename slot9_vga_ram_data_3_ "slot9/vga_ram_data<3>") - (joined - (portRef D (instanceRef slot9_vga_g_1)) - (portRef O (instanceRef slot9_ram_v_data_3_1)) - ) - ) - (net (rename slot9_vga_ram_data_4_ "slot9/vga_ram_data<4>") - (joined - (portRef D (instanceRef slot9_vga_g_2)) - (portRef O (instanceRef slot9_ram_v_data_4_1)) - ) - ) - (net (rename slot9_vga_ram_data_5_ "slot9/vga_ram_data<5>") - (joined - (portRef D (instanceRef slot9_vga_r_0)) - (portRef O (instanceRef slot9_ram_v_data_5_1)) - ) - ) - (net (rename slot9_vga_ram_data_6_ "slot9/vga_ram_data<6>") - (joined - (portRef D (instanceRef slot9_vga_r_1)) - (portRef O (instanceRef slot9_ram_v_data_6_1)) - ) - ) - (net (rename slot9_vga_ram_data_7_ "slot9/vga_ram_data<7>") - (joined - (portRef D (instanceRef slot9_vga_r_2)) - (portRef O (instanceRef slot9_ram_v_data_7_1)) - ) - ) - (net (rename slot9_vga_v_offset_10_ "slot9/vga_v_offset<10>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_10)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_10__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_10__rt_renamed_1748)) - ) - ) - (net (rename slot9_vga_v_offset_11_ "slot9/vga_v_offset<11>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_11)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_11__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_11__rt_renamed_1749)) - ) - ) - (net (rename slot9_vga_v_offset_12_ "slot9/vga_v_offset<12>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_12)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_12__rt_renamed_1690)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_12__rt_renamed_1750)) - ) - ) - (net (rename slot9_vga_v_offset_13_ "slot9/vga_v_offset<13>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_13)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_cy_13__rt_renamed_1691)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_13__rt_renamed_1751)) - ) - ) - (net (rename slot9_vga_v_offset_14_ "slot9/vga_v_offset<14>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_14)) - (portRef I0 (instanceRef slot9_Madd_vga_ram_address_xor_14__rt_renamed_1831)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_xor_14__rt_renamed_1839)) - ) - ) - (net (rename slot9_vga_v_offset_5_ "slot9/vga_v_offset<5>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_5)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_5__)) - (portRef I (instanceRef slot9_Maccum_vga_v_offset_lut_5__INV_0)) - ) - ) - (net (rename slot9_vga_v_offset_6_ "slot9/vga_v_offset<6>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_6)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_6__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_6__rt_renamed_1745)) - ) - ) - (net (rename slot9_vga_v_offset_7_ "slot9/vga_v_offset<7>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_7)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_7__)) - (portRef I (instanceRef slot9_Maccum_vga_v_offset_lut_7__INV_0)) - ) - ) - (net (rename slot9_vga_v_offset_8_ "slot9/vga_v_offset<8>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_8)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_8__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_8__rt_renamed_1746)) - ) - ) - (net (rename slot9_vga_v_offset_9_ "slot9/vga_v_offset<9>") - (joined - (portRef Q (instanceRef slot9_vga_v_offset_9)) - (portRef I1 (instanceRef slot9_Madd_vga_ram_address_lut_9__)) - (portRef I0 (instanceRef slot9_Maccum_vga_v_offset_cy_9__rt_renamed_1747)) - ) - ) - (net (rename slot9_vga_v_offset_cmp_eq0000 "slot9/vga_v_offset_cmp_eq0000") - (joined - (portRef I3 (instanceRef slot9_voff_or0000_renamed_1120)) - (portRef I0 (instanceRef slot9_vcount_q_and00001)) - (portRef I1 (instanceRef slot9_Mcount_vcount_q_val1)) - (portRef O (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vga_v_offset_cmp_eq000010 "slot9/vga_v_offset_cmp_eq000010") - (joined - (portRef O (instanceRef slot9_vga_v_offset_cmp_eq000010_renamed_1124)) - (portRef I2 (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vga_v_offset_cmp_eq000024 "slot9/vga_v_offset_cmp_eq000024") - (joined - (portRef O (instanceRef slot9_vga_v_offset_cmp_eq000024_renamed_1125)) - (portRef I0 (instanceRef slot9_vga_v_offset_cmp_eq000034)) - ) - ) - (net (rename slot9_vga_v_offset_not0001 "slot9/vga_v_offset_not0001") - (joined - (portRef CE (instanceRef slot9_vga_v_offset_5)) - (portRef CE (instanceRef slot9_vga_v_offset_6)) - (portRef CE (instanceRef slot9_vga_v_offset_7)) - (portRef CE (instanceRef slot9_vga_v_offset_8)) - (portRef CE (instanceRef slot9_vga_v_offset_9)) - (portRef CE (instanceRef slot9_vga_v_offset_10)) - (portRef CE (instanceRef slot9_vga_v_offset_11)) - (portRef CE (instanceRef slot9_vga_v_offset_12)) - (portRef CE (instanceRef slot9_vga_v_offset_13)) - (portRef CE (instanceRef slot9_vga_v_offset_14)) - (portRef O (instanceRef slot9_vga_v_offset_not00011)) - ) - ) - (net (rename slot9_vga_vsync "slot9/vga_vsync") - (joined - (portRef Q (instanceRef slot9_vga_vsync_renamed_293)) - (portRef I (instanceRef pin34_obufi)) - (portRef I0 (instanceRef slot9_vga_vsync_mux0000_SW0)) - (portRef I1 (instanceRef slot9_vga_vsync_mux0000_renamed_1117)) - ) - ) - (net (rename slot9_vga_vsync_and0000 "slot9/vga_vsync_and0000") - (joined - (portRef I0 (instanceRef slot9_vga_vsync_mux0000_renamed_1117)) - (portRef O (instanceRef slot9_vga_vsync_and0000_renamed_1118)) - ) - ) - (net (rename slot9_vga_vsync_mux0000 "slot9/vga_vsync_mux0000") - (joined - (portRef D (instanceRef slot9_vga_vsync_renamed_293)) - (portRef O (instanceRef slot9_vga_vsync_mux0000_renamed_1117)) - ) - ) - (net (rename slot9_voff_0_ "slot9/voff<0>") - (joined - (portRef Q (instanceRef slot9_voff_0)) - (portRef I1 (instanceRef slot9_Mcount_voff_xor_1_11)) - (portRef I0 (instanceRef slot9_Mcount_voff_xor_2_11)) - (portRef I0 (instanceRef slot9_vga_v_offset_not00011)) - (portRef I1 (instanceRef slot9_voff_or0000_SW0)) - (portRef I (instanceRef slot9_Mcount_voff_xor_0_11_INV_0)) - ) - ) - (net (rename slot9_voff_1_ "slot9/voff<1>") - (joined - (portRef Q (instanceRef slot9_voff_1)) - (portRef I0 (instanceRef slot9_Mcount_voff_xor_1_11)) - (portRef I2 (instanceRef slot9_Mcount_voff_xor_2_11)) - (portRef I3 (instanceRef slot9_vga_v_offset_not00011)) - (portRef I0 (instanceRef slot9_voff_or0000_SW0)) - ) - ) - (net (rename slot9_voff_2_ "slot9/voff<2>") - (joined - (portRef Q (instanceRef slot9_voff_2)) - (portRef I1 (instanceRef slot9_Mcount_voff_xor_2_11)) - (portRef I2 (instanceRef slot9_vga_v_offset_not00011)) - (portRef I2 (instanceRef slot9_voff_or0000_SW0)) - ) - ) - (net (rename slot9_voff_or0000 "slot9/voff_or0000") - (joined - (portRef R (instanceRef slot9_voff_0)) - (portRef R (instanceRef slot9_voff_1)) - (portRef R (instanceRef slot9_voff_2)) - (portRef O (instanceRef slot9_voff_or0000_renamed_1120)) - ) - ) - (net (rename slot_ack_11__ "slot_ack<11>") - (joined - (portRef I2 (instanceRef slot11_tx_core_tbuff_r_not00011)) - (portRef I2 (instanceRef slot11_fifo_instance_rdaddr_and00001)) - (portRef I3 (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef O (instanceRef slot11_fifo_rd_and000011)) - (portRef I2 (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - ) - ) - (net (rename slot_ack_1__ "slot_ack<1>") - (joined - (portRef I2 (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - (portRef I2 (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - (portRef I2 (instanceRef uart_inst_divider_rx_q_not00011)) - (portRef O (instanceRef uart_inst_fifo_rd_and000011)) - (portRef I3 (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - ) - ) - (net (rename slot_ack_7__ "slot_ack<7>") - (joined - (portRef I2 (instanceRef crc16_inst_crcA_q_not00011)) - (portRef O (instanceRef crc16_inst_poly_q_not000111)) - (portRef I3 (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - ) - ) - (net (rename slot_address_0__16__inv "slot_address<0><16>_inv") - (joined - (portRef O (instanceRef slot_address_0__16__inv_INV_0)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef ENA (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename slot_address_0__26__5_f6 "slot_address<0><26>_5_f6") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_renamed_398)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_10_70)) - ) - ) - (net (rename slot_address_0__26__5_f61 "slot_address<0><26>_5_f61") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_11_70)) - ) - ) - (net (rename slot_address_0__26__5_f610 "slot_address<0><26>_5_f610") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_9)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_123_F)) - ) - ) - (net (rename slot_address_0__26__5_f611 "slot_address<0><26>_5_f611") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_10)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_20__)) - ) - ) - (net (rename slot_address_0__26__5_f612 "slot_address<0><26>_5_f612") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_11)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_21__)) - ) - ) - (net (rename slot_address_0__26__5_f613 "slot_address<0><26>_5_f613") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_12)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_22__)) - ) - ) - (net (rename slot_address_0__26__5_f614 "slot_address<0><26>_5_f614") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_13)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_23__)) - ) - ) - (net (rename slot_address_0__26__5_f615 "slot_address<0><26>_5_f615") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_14)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_24__)) - ) - ) - (net (rename slot_address_0__26__5_f616 "slot_address<0><26>_5_f616") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_15)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_25__)) - ) - ) - (net (rename slot_address_0__26__5_f617 "slot_address<0><26>_5_f617") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_16)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_26__)) - ) - ) - (net (rename slot_address_0__26__5_f618 "slot_address<0><26>_5_f618") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_17)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_27__)) - ) - ) - (net (rename slot_address_0__26__5_f619 "slot_address<0><26>_5_f619") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_18)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_28__)) - ) - ) - (net (rename slot_address_0__26__5_f62 "slot_address<0><26>_5_f62") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_1)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_12_70)) - ) - ) - (net (rename slot_address_0__26__5_f620 "slot_address<0><26>_5_f620") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_19)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_29__)) - ) - ) - (net (rename slot_address_0__26__5_f621 "slot_address<0><26>_5_f621") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_20)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_123_F)) - ) - ) - (net (rename slot_address_0__26__5_f622 "slot_address<0><26>_5_f622") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_21)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_30__)) - ) - ) - (net (rename slot_address_0__26__5_f623 "slot_address<0><26>_5_f623") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_22)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_31__)) - ) - ) - (net (rename slot_address_0__26__5_f624 "slot_address<0><26>_5_f624") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_23)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f625 "slot_address<0><26>_5_f625") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_24)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f626 "slot_address<0><26>_5_f626") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_25)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f627 "slot_address<0><26>_5_f627") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_26)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f628 "slot_address<0><26>_5_f628") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_27)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - ) - ) - (net (rename slot_address_0__26__5_f629 "slot_address<0><26>_5_f629") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_28)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_8_70)) - ) - ) - (net (rename slot_address_0__26__5_f63 "slot_address<0><26>_5_f63") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_2)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_13_70)) - ) - ) - (net (rename slot_address_0__26__5_f630 "slot_address<0><26>_5_f630") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_29)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_70)) - ) - ) - (net (rename slot_address_0__26__5_f64 "slot_address<0><26>_5_f64") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_3)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_14_70)) - ) - ) - (net (rename slot_address_0__26__5_f65 "slot_address<0><26>_5_f65") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_4)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_15_70)) - ) - ) - (net (rename slot_address_0__26__5_f66 "slot_address<0><26>_5_f66") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_16_86)) - ) - ) - (net (rename slot_address_0__26__5_f67 "slot_address<0><26>_5_f67") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_6)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_86)) - ) - ) - (net (rename slot_address_0__26__5_f68 "slot_address<0><26>_5_f68") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_7)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_18__)) - ) - ) - (net (rename slot_address_0__26__5_f69 "slot_address<0><26>_5_f69") - (joined - (portRef O (instanceRef slot_address_0__26__5_f6_8)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_19__)) - ) - ) - (net (rename slot_address_0__26__6_f5 "slot_address<0><26>_6_f5") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_renamed_401)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_renamed_398)) - ) - ) - (net (rename slot_address_0__26__6_f51 "slot_address<0><26>_6_f51") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_0)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_0)) - ) - ) - (net (rename slot_address_0__26__6_f510 "slot_address<0><26>_6_f510") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_9)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_9)) - ) - ) - (net (rename slot_address_0__26__6_f511 "slot_address<0><26>_6_f511") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_10)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_10)) - ) - ) - (net (rename slot_address_0__26__6_f512 "slot_address<0><26>_6_f512") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_11)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_11)) - ) - ) - (net (rename slot_address_0__26__6_f513 "slot_address<0><26>_6_f513") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_12)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_12)) - ) - ) - (net (rename slot_address_0__26__6_f514 "slot_address<0><26>_6_f514") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_13)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_13)) - ) - ) - (net (rename slot_address_0__26__6_f515 "slot_address<0><26>_6_f515") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_14)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_14)) - ) - ) - (net (rename slot_address_0__26__6_f516 "slot_address<0><26>_6_f516") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_15)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_15)) - ) - ) - (net (rename slot_address_0__26__6_f517 "slot_address<0><26>_6_f517") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_16)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_16)) - ) - ) - (net (rename slot_address_0__26__6_f518 "slot_address<0><26>_6_f518") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_17)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_17)) - ) - ) - (net (rename slot_address_0__26__6_f519 "slot_address<0><26>_6_f519") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_18)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_18)) - ) - ) - (net (rename slot_address_0__26__6_f52 "slot_address<0><26>_6_f52") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_1)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_1)) - ) - ) - (net (rename slot_address_0__26__6_f520 "slot_address<0><26>_6_f520") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_19)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_19)) - ) - ) - (net (rename slot_address_0__26__6_f521 "slot_address<0><26>_6_f521") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_20)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_20)) - ) - ) - (net (rename slot_address_0__26__6_f522 "slot_address<0><26>_6_f522") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_21)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_21)) - ) - ) - (net (rename slot_address_0__26__6_f523 "slot_address<0><26>_6_f523") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_22)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_22)) - ) - ) - (net (rename slot_address_0__26__6_f524 "slot_address<0><26>_6_f524") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_23)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_23)) - ) - ) - (net (rename slot_address_0__26__6_f525 "slot_address<0><26>_6_f525") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_24)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_24)) - ) - ) - (net (rename slot_address_0__26__6_f526 "slot_address<0><26>_6_f526") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_25)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_25)) - ) - ) - (net (rename slot_address_0__26__6_f527 "slot_address<0><26>_6_f527") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_26)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_26)) - ) - ) - (net (rename slot_address_0__26__6_f528 "slot_address<0><26>_6_f528") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_27)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_27)) - ) - ) - (net (rename slot_address_0__26__6_f529 "slot_address<0><26>_6_f529") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_28)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_28)) - ) - ) - (net (rename slot_address_0__26__6_f53 "slot_address<0><26>_6_f53") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_2)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_2)) - ) - ) - (net (rename slot_address_0__26__6_f530 "slot_address<0><26>_6_f530") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_29)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_29)) - ) - ) - (net (rename slot_address_0__26__6_f54 "slot_address<0><26>_6_f54") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_3)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_3)) - ) - ) - (net (rename slot_address_0__26__6_f55 "slot_address<0><26>_6_f55") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_4)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_4)) - ) - ) - (net (rename slot_address_0__26__6_f56 "slot_address<0><26>_6_f56") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_5)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_5)) - ) - ) - (net (rename slot_address_0__26__6_f57 "slot_address<0><26>_6_f57") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_6)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_6)) - ) - ) - (net (rename slot_address_0__26__6_f58 "slot_address<0><26>_6_f58") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_7)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_7)) - ) - ) - (net (rename slot_address_0__26__6_f59 "slot_address<0><26>_6_f59") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_8)) - (portRef I1 (instanceRef slot_address_0__26__5_f6_8)) - ) - ) - (net (rename slot_address_0__26__6_f5_0_rt "slot_address<0><26>_6_f5_0_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_0_rt_renamed_1875)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_0)) - ) - ) - (net (rename slot_address_0__26__6_f5_10_rt "slot_address<0><26>_6_f5_10_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_10_rt_renamed_1865)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_10)) - ) - ) - (net (rename slot_address_0__26__6_f5_11_rt "slot_address<0><26>_6_f5_11_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_11_rt_renamed_1864)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_11)) - ) - ) - (net (rename slot_address_0__26__6_f5_12_rt "slot_address<0><26>_6_f5_12_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_12_rt_renamed_1863)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_12)) - ) - ) - (net (rename slot_address_0__26__6_f5_13_rt "slot_address<0><26>_6_f5_13_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_13_rt_renamed_1862)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_13)) - ) - ) - (net (rename slot_address_0__26__6_f5_14_rt "slot_address<0><26>_6_f5_14_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_14_rt_renamed_1861)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_14)) - ) - ) - (net (rename slot_address_0__26__6_f5_15_rt "slot_address<0><26>_6_f5_15_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_15_rt_renamed_1860)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_15)) - ) - ) - (net (rename slot_address_0__26__6_f5_16_rt "slot_address<0><26>_6_f5_16_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_16_rt_renamed_1859)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_16)) - ) - ) - (net (rename slot_address_0__26__6_f5_17_rt "slot_address<0><26>_6_f5_17_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_17_rt_renamed_1858)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_17)) - ) - ) - (net (rename slot_address_0__26__6_f5_18_rt "slot_address<0><26>_6_f5_18_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_18_rt_renamed_1857)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_18)) - ) - ) - (net (rename slot_address_0__26__6_f5_19_rt "slot_address<0><26>_6_f5_19_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_19_rt_renamed_1856)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_19)) - ) - ) - (net (rename slot_address_0__26__6_f5_1_rt "slot_address<0><26>_6_f5_1_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_1_rt_renamed_1874)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_1)) - ) - ) - (net (rename slot_address_0__26__6_f5_20_rt "slot_address<0><26>_6_f5_20_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_20_rt_renamed_1855)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_20)) - ) - ) - (net (rename slot_address_0__26__6_f5_21_rt "slot_address<0><26>_6_f5_21_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_21_rt_renamed_1854)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_21)) - ) - ) - (net (rename slot_address_0__26__6_f5_22_rt "slot_address<0><26>_6_f5_22_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_22_rt_renamed_1853)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_22)) - ) - ) - (net (rename slot_address_0__26__6_f5_23_rt "slot_address<0><26>_6_f5_23_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_23_rt_renamed_1852)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_23)) - ) - ) - (net (rename slot_address_0__26__6_f5_24_rt "slot_address<0><26>_6_f5_24_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_24_rt_renamed_1851)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_24)) - ) - ) - (net (rename slot_address_0__26__6_f5_25_rt "slot_address<0><26>_6_f5_25_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_25_rt_renamed_1850)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_25)) - ) - ) - (net (rename slot_address_0__26__6_f5_26_rt "slot_address<0><26>_6_f5_26_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_26_rt_renamed_1849)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_26)) - ) - ) - (net (rename slot_address_0__26__6_f5_27_rt "slot_address<0><26>_6_f5_27_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_27_rt_renamed_1848)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_27)) - ) - ) - (net (rename slot_address_0__26__6_f5_28_rt "slot_address<0><26>_6_f5_28_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_28_rt_renamed_1847)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_28)) - ) - ) - (net (rename slot_address_0__26__6_f5_29_rt "slot_address<0><26>_6_f5_29_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_29_rt_renamed_1846)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_29)) - ) - ) - (net (rename slot_address_0__26__6_f5_2_rt "slot_address<0><26>_6_f5_2_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_2_rt_renamed_1873)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_2)) - ) - ) - (net (rename slot_address_0__26__6_f5_3_rt "slot_address<0><26>_6_f5_3_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_3_rt_renamed_1872)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_3)) - ) - ) - (net (rename slot_address_0__26__6_f5_4_rt "slot_address<0><26>_6_f5_4_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_4_rt_renamed_1871)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_4)) - ) - ) - (net (rename slot_address_0__26__6_f5_5_rt "slot_address<0><26>_6_f5_5_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_5_rt_renamed_1870)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_5)) - ) - ) - (net (rename slot_address_0__26__6_f5_6_rt "slot_address<0><26>_6_f5_6_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_6_rt_renamed_1869)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_6)) - ) - ) - (net (rename slot_address_0__26__6_f5_7_rt "slot_address<0><26>_6_f5_7_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_7_rt_renamed_1868)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_7)) - ) - ) - (net (rename slot_address_0__26__6_f5_8_rt "slot_address<0><26>_6_f5_8_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_8_rt_renamed_1867)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_8)) - ) - ) - (net (rename slot_address_0__26__6_f5_9_rt "slot_address<0><26>_6_f5_9_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_9_rt_renamed_1866)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_9)) - ) - ) - (net (rename slot_address_0__26__6_f5_rt "slot_address<0><26>_6_f5_rt") - (joined - (portRef O (instanceRef slot_address_0__26__6_f5_rt_renamed_1876)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_renamed_401)) - ) - ) - (net (rename slot_address_0__26__7 "slot_address<0><26>_7") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_renamed_401)) - (portRef O (instanceRef slot_address_0__26__7_renamed_1991)) - ) - ) - (net (rename slot_address_0__26__71 "slot_address<0><26>_71") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_0)) - (portRef O (instanceRef slot_address_0__26__71_renamed_1990)) - ) - ) - (net (rename slot_address_0__26__710 "slot_address<0><26>_710") - (joined - (portRef O (instanceRef slot_address_0__26__710_renamed_388)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_9)) - ) - ) - (net (rename slot_address_0__26__711 "slot_address<0><26>_711") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_10)) - (portRef O (instanceRef slot_address_0__26__711_renamed_1950)) - ) - ) - (net (rename slot_address_0__26__712 "slot_address<0><26>_712") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_11)) - (portRef O (instanceRef slot_address_0__26__712_renamed_1948)) - ) - ) - (net (rename slot_address_0__26__713 "slot_address<0><26>_713") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_12)) - (portRef O (instanceRef slot_address_0__26__713_renamed_1946)) - ) - ) - (net (rename slot_address_0__26__714 "slot_address<0><26>_714") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_13)) - (portRef O (instanceRef slot_address_0__26__714_renamed_1944)) - ) - ) - (net (rename slot_address_0__26__715 "slot_address<0><26>_715") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_14)) - (portRef O (instanceRef slot_address_0__26__715_renamed_1942)) - ) - ) - (net (rename slot_address_0__26__716 "slot_address<0><26>_716") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_15)) - (portRef O (instanceRef slot_address_0__26__716_renamed_1940)) - ) - ) - (net (rename slot_address_0__26__717 "slot_address<0><26>_717") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_16)) - (portRef O (instanceRef slot_address_0__26__717_renamed_1938)) - ) - ) - (net (rename slot_address_0__26__718 "slot_address<0><26>_718") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_17)) - (portRef O (instanceRef slot_address_0__26__718_renamed_1936)) - ) - ) - (net (rename slot_address_0__26__719 "slot_address<0><26>_719") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_18)) - (portRef O (instanceRef slot_address_0__26__719_renamed_1934)) - ) - ) - (net (rename slot_address_0__26__72 "slot_address<0><26>_72") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_1)) - (portRef O (instanceRef slot_address_0__26__72_renamed_1989)) - ) - ) - (net (rename slot_address_0__26__720 "slot_address<0><26>_720") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_19)) - (portRef O (instanceRef slot_address_0__26__720_renamed_1932)) - ) - ) - (net (rename slot_address_0__26__721 "slot_address<0><26>_721") - (joined - (portRef O (instanceRef slot_address_0__26__721_renamed_375)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_20)) - ) - ) - (net (rename slot_address_0__26__722 "slot_address<0><26>_722") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_21)) - (portRef O (instanceRef slot_address_0__26__722_renamed_1930)) - ) - ) - (net (rename slot_address_0__26__723 "slot_address<0><26>_723") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_22)) - (portRef O (instanceRef slot_address_0__26__723_renamed_1928)) - ) - ) - (net (rename slot_address_0__26__724 "slot_address<0><26>_724") - (joined - (portRef O (instanceRef slot_address_0__26__724_renamed_370)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_23)) - ) - ) - (net (rename slot_address_0__26__725 "slot_address<0><26>_725") - (joined - (portRef O (instanceRef slot_address_0__26__725_renamed_368)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_24)) - ) - ) - (net (rename slot_address_0__26__726 "slot_address<0><26>_726") - (joined - (portRef O (instanceRef slot_address_0__26__726_renamed_366)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_25)) - ) - ) - (net (rename slot_address_0__26__727 "slot_address<0><26>_727") - (joined - (portRef O (instanceRef slot_address_0__26__727_renamed_364)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_26)) - ) - ) - (net (rename slot_address_0__26__728 "slot_address<0><26>_728") - (joined - (portRef O (instanceRef slot_address_0__26__728_renamed_362)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_27)) - ) - ) - (net (rename slot_address_0__26__729 "slot_address<0><26>_729") - (joined - (portRef O (instanceRef slot_address_0__26__729_renamed_360)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_28)) - ) - ) - (net (rename slot_address_0__26__73 "slot_address<0><26>_73") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_2)) - (portRef O (instanceRef slot_address_0__26__73_renamed_1988)) - ) - ) - (net (rename slot_address_0__26__730 "slot_address<0><26>_730") - (joined - (portRef O (instanceRef slot_address_0__26__730_renamed_358)) - (portRef I1 (instanceRef slot_address_0__26__6_f5_29)) - ) - ) - (net (rename slot_address_0__26__74 "slot_address<0><26>_74") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_3)) - (portRef O (instanceRef slot_address_0__26__74_renamed_1987)) - ) - ) - (net (rename slot_address_0__26__75 "slot_address<0><26>_75") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_4)) - (portRef O (instanceRef slot_address_0__26__75_renamed_1986)) - ) - ) - (net (rename slot_address_0__26__76 "slot_address<0><26>_76") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_5)) - (portRef O (instanceRef slot_address_0__26__76_renamed_1958)) - ) - ) - (net (rename slot_address_0__26__77 "slot_address<0><26>_77") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_6)) - (portRef O (instanceRef slot_address_0__26__77_renamed_1956)) - ) - ) - (net (rename slot_address_0__26__78 "slot_address<0><26>_78") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_7)) - (portRef O (instanceRef slot_address_0__26__78_renamed_1954)) - ) - ) - (net (rename slot_address_0__26__79 "slot_address<0><26>_79") - (joined - (portRef I1 (instanceRef slot_address_0__26__6_f5_8)) - (portRef O (instanceRef slot_address_0__26__79_renamed_1952)) - ) - ) - (net (rename slot_address_0__26__7_f5 "slot_address<0><26>_7_f5") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_renamed_399)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_renamed_398)) - ) - ) - (net (rename slot_address_0__26__7_f51 "slot_address<0><26>_7_f51") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_0)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_0)) - ) - ) - (net (rename slot_address_0__26__7_f510 "slot_address<0><26>_7_f510") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_9)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_9)) - ) - ) - (net (rename slot_address_0__26__7_f511 "slot_address<0><26>_7_f511") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_10)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_10)) - ) - ) - (net (rename slot_address_0__26__7_f512 "slot_address<0><26>_7_f512") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_11)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_11)) - ) - ) - (net (rename slot_address_0__26__7_f513 "slot_address<0><26>_7_f513") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_12)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_12)) - ) - ) - (net (rename slot_address_0__26__7_f514 "slot_address<0><26>_7_f514") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_13)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_13)) - ) - ) - (net (rename slot_address_0__26__7_f515 "slot_address<0><26>_7_f515") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_14)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_14)) - ) - ) - (net (rename slot_address_0__26__7_f516 "slot_address<0><26>_7_f516") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_15)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_15)) - ) - ) - (net (rename slot_address_0__26__7_f517 "slot_address<0><26>_7_f517") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_16)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_16)) - ) - ) - (net (rename slot_address_0__26__7_f518 "slot_address<0><26>_7_f518") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_17)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_17)) - ) - ) - (net (rename slot_address_0__26__7_f519 "slot_address<0><26>_7_f519") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_18)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_18)) - ) - ) - (net (rename slot_address_0__26__7_f52 "slot_address<0><26>_7_f52") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_1)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_1)) - ) - ) - (net (rename slot_address_0__26__7_f520 "slot_address<0><26>_7_f520") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_19)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_19)) - ) - ) - (net (rename slot_address_0__26__7_f521 "slot_address<0><26>_7_f521") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_20)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_20)) - ) - ) - (net (rename slot_address_0__26__7_f522 "slot_address<0><26>_7_f522") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_21)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_21)) - ) - ) - (net (rename slot_address_0__26__7_f523 "slot_address<0><26>_7_f523") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_22)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_22)) - ) - ) - (net (rename slot_address_0__26__7_f524 "slot_address<0><26>_7_f524") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_23)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_23)) - ) - ) - (net (rename slot_address_0__26__7_f525 "slot_address<0><26>_7_f525") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_24)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_24)) - ) - ) - (net (rename slot_address_0__26__7_f526 "slot_address<0><26>_7_f526") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_25)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_25)) - ) - ) - (net (rename slot_address_0__26__7_f527 "slot_address<0><26>_7_f527") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_26)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_26)) - ) - ) - (net (rename slot_address_0__26__7_f528 "slot_address<0><26>_7_f528") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_27)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_27)) - ) - ) - (net (rename slot_address_0__26__7_f529 "slot_address<0><26>_7_f529") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_28)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_28)) - ) - ) - (net (rename slot_address_0__26__7_f53 "slot_address<0><26>_7_f53") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_2)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_2)) - ) - ) - (net (rename slot_address_0__26__7_f530 "slot_address<0><26>_7_f530") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_29)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_29)) - ) - ) - (net (rename slot_address_0__26__7_f54 "slot_address<0><26>_7_f54") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_3)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_3)) - ) - ) - (net (rename slot_address_0__26__7_f55 "slot_address<0><26>_7_f55") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_4)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_4)) - ) - ) - (net (rename slot_address_0__26__7_f56 "slot_address<0><26>_7_f56") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_5)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_5)) - ) - ) - (net (rename slot_address_0__26__7_f57 "slot_address<0><26>_7_f57") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_6)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_6)) - ) - ) - (net (rename slot_address_0__26__7_f58 "slot_address<0><26>_7_f58") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_7)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_7)) - ) - ) - (net (rename slot_address_0__26__7_f59 "slot_address<0><26>_7_f59") - (joined - (portRef O (instanceRef slot_address_0__26__7_f5_8)) - (portRef I0 (instanceRef slot_address_0__26__5_f6_8)) - ) - ) - (net (rename slot_address_0__26__81 "slot_address<0><26>_81") - (joined - (portRef O (instanceRef slot_address_0__26__81_renamed_400)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_renamed_399)) - ) - ) - (net (rename slot_address_0__26__811 "slot_address<0><26>_811") - (joined - (portRef O (instanceRef slot_address_0__26__811_renamed_393)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_4)) - ) - ) - (net (rename slot_address_0__26__813 "slot_address<0><26>_813") - (joined - (portRef O (instanceRef slot_address_0__26__813_renamed_392)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_5)) - ) - ) - (net (rename slot_address_0__26__815 "slot_address<0><26>_815") - (joined - (portRef O (instanceRef slot_address_0__26__815_renamed_391)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_6)) - ) - ) - (net (rename slot_address_0__26__817 "slot_address<0><26>_817") - (joined - (portRef O (instanceRef slot_address_0__26__817_renamed_390)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_7)) - ) - ) - (net (rename slot_address_0__26__819 "slot_address<0><26>_819") - (joined - (portRef O (instanceRef slot_address_0__26__819_renamed_389)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_8)) - ) - ) - (net (rename slot_address_0__26__821 "slot_address<0><26>_821") - (joined - (portRef O (instanceRef slot_address_0__26__821_renamed_387)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_9)) - ) - ) - (net (rename slot_address_0__26__823 "slot_address<0><26>_823") - (joined - (portRef O (instanceRef slot_address_0__26__823_renamed_385)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_10)) - ) - ) - (net (rename slot_address_0__26__825 "slot_address<0><26>_825") - (joined - (portRef O (instanceRef slot_address_0__26__825_renamed_384)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_11)) - ) - ) - (net (rename slot_address_0__26__827 "slot_address<0><26>_827") - (joined - (portRef O (instanceRef slot_address_0__26__827_renamed_383)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_12)) - ) - ) - (net (rename slot_address_0__26__829 "slot_address<0><26>_829") - (joined - (portRef O (instanceRef slot_address_0__26__829_renamed_382)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_13)) - ) - ) - (net (rename slot_address_0__26__83 "slot_address<0><26>_83") - (joined - (portRef O (instanceRef slot_address_0__26__83_renamed_397)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_0)) - ) - ) - (net (rename slot_address_0__26__831 "slot_address<0><26>_831") - (joined - (portRef O (instanceRef slot_address_0__26__831_renamed_381)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_14)) - ) - ) - (net (rename slot_address_0__26__833 "slot_address<0><26>_833") - (joined - (portRef O (instanceRef slot_address_0__26__833_renamed_380)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_15)) - ) - ) - (net (rename slot_address_0__26__835 "slot_address<0><26>_835") - (joined - (portRef O (instanceRef slot_address_0__26__835_renamed_379)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_16)) - ) - ) - (net (rename slot_address_0__26__837 "slot_address<0><26>_837") - (joined - (portRef O (instanceRef slot_address_0__26__837_renamed_378)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_17)) - ) - ) - (net (rename slot_address_0__26__839 "slot_address<0><26>_839") - (joined - (portRef O (instanceRef slot_address_0__26__839_renamed_377)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_18)) - ) - ) - (net (rename slot_address_0__26__841 "slot_address<0><26>_841") - (joined - (portRef O (instanceRef slot_address_0__26__841_renamed_376)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_19)) - ) - ) - (net (rename slot_address_0__26__843 "slot_address<0><26>_843") - (joined - (portRef O (instanceRef slot_address_0__26__843_renamed_374)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_20)) - ) - ) - (net (rename slot_address_0__26__845 "slot_address<0><26>_845") - (joined - (portRef O (instanceRef slot_address_0__26__845_renamed_372)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_21)) - ) - ) - (net (rename slot_address_0__26__847 "slot_address<0><26>_847") - (joined - (portRef O (instanceRef slot_address_0__26__847_renamed_371)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_22)) - ) - ) - (net (rename slot_address_0__26__849 "slot_address<0><26>_849") - (joined - (portRef O (instanceRef slot_address_0__26__849_renamed_369)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_23)) - ) - ) - (net (rename slot_address_0__26__85 "slot_address<0><26>_85") - (joined - (portRef O (instanceRef slot_address_0__26__85_renamed_396)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_1)) - ) - ) - (net (rename slot_address_0__26__851 "slot_address<0><26>_851") - (joined - (portRef O (instanceRef slot_address_0__26__851_renamed_367)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_24)) - ) - ) - (net (rename slot_address_0__26__853 "slot_address<0><26>_853") - (joined - (portRef O (instanceRef slot_address_0__26__853_renamed_365)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_25)) - ) - ) - (net (rename slot_address_0__26__855 "slot_address<0><26>_855") - (joined - (portRef O (instanceRef slot_address_0__26__855_renamed_363)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_26)) - ) - ) - (net (rename slot_address_0__26__857 "slot_address<0><26>_857") - (joined - (portRef O (instanceRef slot_address_0__26__857_renamed_361)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_27)) - ) - ) - (net (rename slot_address_0__26__859 "slot_address<0><26>_859") - (joined - (portRef O (instanceRef slot_address_0__26__859_renamed_359)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_28)) - ) - ) - (net (rename slot_address_0__26__861 "slot_address<0><26>_861") - (joined - (portRef O (instanceRef slot_address_0__26__861_renamed_357)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_29)) - ) - ) - (net (rename slot_address_0__26__87 "slot_address<0><26>_87") - (joined - (portRef O (instanceRef slot_address_0__26__87_renamed_395)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_2)) - ) - ) - (net (rename slot_address_0__26__89 "slot_address<0><26>_89") - (joined - (portRef O (instanceRef slot_address_0__26__89_renamed_394)) - (portRef I1 (instanceRef slot_address_0__26__7_f5_3)) - ) - ) - (net (rename slot_address_0__26__9 "slot_address<0><26>_9") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_renamed_399)) - (portRef O (instanceRef slot_address_0__26__9_renamed_1964)) - ) - ) - (net (rename slot_address_0__26__91 "slot_address<0><26>_91") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_0)) - (portRef O (instanceRef slot_address_0__26__91_renamed_1963)) - ) - ) - (net (rename slot_address_0__26__910 "slot_address<0><26>_910") - (joined - (portRef O (instanceRef slot_address_0__26__910_renamed_386)) - (portRef I0 (instanceRef slot_address_0__26__7_f5_9)) - ) - ) - (net (rename slot_address_0__26__911 "slot_address<0><26>_911") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_10)) - (portRef O (instanceRef slot_address_0__26__911_renamed_1949)) - ) - ) - (net (rename slot_address_0__26__912 "slot_address<0><26>_912") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_11)) - (portRef O (instanceRef slot_address_0__26__912_renamed_1947)) - ) - ) - (net (rename slot_address_0__26__913 "slot_address<0><26>_913") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_12)) - (portRef O (instanceRef slot_address_0__26__913_renamed_1945)) - ) - ) - (net (rename slot_address_0__26__914 "slot_address<0><26>_914") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_13)) - (portRef O (instanceRef slot_address_0__26__914_renamed_1943)) - ) - ) - (net (rename slot_address_0__26__915 "slot_address<0><26>_915") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_14)) - (portRef O (instanceRef slot_address_0__26__915_renamed_1941)) - ) - ) - (net (rename slot_address_0__26__916 "slot_address<0><26>_916") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_15)) - (portRef O (instanceRef slot_address_0__26__916_renamed_1939)) - ) - ) - (net (rename slot_address_0__26__917 "slot_address<0><26>_917") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_16)) - (portRef O (instanceRef slot_address_0__26__917_renamed_1937)) - ) - ) - (net (rename slot_address_0__26__918 "slot_address<0><26>_918") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_17)) - (portRef O (instanceRef slot_address_0__26__918_renamed_1935)) - ) - ) - (net (rename slot_address_0__26__919 "slot_address<0><26>_919") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_18)) - (portRef O (instanceRef slot_address_0__26__919_renamed_1933)) - ) - ) - (net (rename slot_address_0__26__92 "slot_address<0><26>_92") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_1)) - (portRef O (instanceRef slot_address_0__26__92_renamed_1962)) - ) - ) - (net (rename slot_address_0__26__920 "slot_address<0><26>_920") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_19)) - (portRef O (instanceRef slot_address_0__26__920_renamed_1931)) - ) - ) - (net (rename slot_address_0__26__921 "slot_address<0><26>_921") - (joined - (portRef O (instanceRef slot_address_0__26__921_renamed_373)) - (portRef I0 (instanceRef slot_address_0__26__7_f5_20)) - ) - ) - (net (rename slot_address_0__26__922 "slot_address<0><26>_922") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_21)) - (portRef O (instanceRef slot_address_0__26__922_renamed_1929)) - ) - ) - (net (rename slot_address_0__26__923 "slot_address<0><26>_923") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_22)) - (portRef O (instanceRef slot_address_0__26__923_renamed_1927)) - ) - ) - (net (rename slot_address_0__26__924 "slot_address<0><26>_924") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_23)) - (portRef O (instanceRef slot_address_0__26__924_renamed_1920)) - ) - ) - (net (rename slot_address_0__26__925 "slot_address<0><26>_925") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_24)) - (portRef O (instanceRef slot_address_0__26__925_renamed_1919)) - ) - ) - (net (rename slot_address_0__26__926 "slot_address<0><26>_926") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_25)) - (portRef O (instanceRef slot_address_0__26__926_renamed_1918)) - ) - ) - (net (rename slot_address_0__26__927 "slot_address<0><26>_927") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_26)) - (portRef O (instanceRef slot_address_0__26__927_renamed_1917)) - ) - ) - (net (rename slot_address_0__26__928 "slot_address<0><26>_928") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_27)) - (portRef O (instanceRef slot_address_0__26__928_renamed_1916)) - ) - ) - (net (rename slot_address_0__26__929 "slot_address<0><26>_929") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_28)) - (portRef O (instanceRef slot_address_0__26__929_renamed_1926)) - ) - ) - (net (rename slot_address_0__26__93 "slot_address<0><26>_93") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_2)) - (portRef O (instanceRef slot_address_0__26__93_renamed_1961)) - ) - ) - (net (rename slot_address_0__26__930 "slot_address<0><26>_930") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_29)) - (portRef O (instanceRef slot_address_0__26__930_renamed_1925)) - ) - ) - (net (rename slot_address_0__26__94 "slot_address<0><26>_94") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_3)) - (portRef O (instanceRef slot_address_0__26__94_renamed_1960)) - ) - ) - (net (rename slot_address_0__26__95 "slot_address<0><26>_95") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_4)) - (portRef O (instanceRef slot_address_0__26__95_renamed_1959)) - ) - ) - (net (rename slot_address_0__26__96 "slot_address<0><26>_96") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_5)) - (portRef O (instanceRef slot_address_0__26__96_renamed_1957)) - ) - ) - (net (rename slot_address_0__26__97 "slot_address<0><26>_97") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_6)) - (portRef O (instanceRef slot_address_0__26__97_renamed_1955)) - ) - ) - (net (rename slot_address_0__26__98 "slot_address<0><26>_98") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_7)) - (portRef O (instanceRef slot_address_0__26__98_renamed_1953)) - ) - ) - (net (rename slot_address_0__26__99 "slot_address<0><26>_99") - (joined - (portRef I0 (instanceRef slot_address_0__26__7_f5_8)) - (portRef O (instanceRef slot_address_0__26__99_renamed_1951)) - ) - ) - (net (rename slot_cyc_0__ "slot_cyc<0>") - (joined - (portRef I1 (instanceRef slot0_cpol_not00011)) - (portRef I1 (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - (portRef I2 (instanceRef slot0_trans_or00001)) - (portRef I0 (instanceRef slot0_spi_txblock_q_not00011)) - (portRef I0 (instanceRef slot0_spi_en_or0000_SW1)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_0_mux0000_f5)) - ) - ) - (net (rename slot_cyc_2__ "slot_cyc<2>") - (joined - (portRef O (instanceRef zpuino_io_slot_cyc_i_2_mux00001)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_0_and00007)) - (portRef I0 (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I1 (instanceRef gpio_inst_gpio_q_0_not00015)) - (portRef I1 (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - ) - ) - (net (rename slot_cyc_5__ "slot_cyc<5>") - (joined - (portRef I0 (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - (portRef I3 (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_5_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_le_q_not0001_renamed_2149)) - ) - ) - (net (rename slot_cyc_6__ "slot_cyc<6>") - (joined - (portRef I1 (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - (portRef I1 (instanceRef slot1_cpol_not00011)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_6_mux00001)) - (portRef I2 (instanceRef slot1_trans_or00001)) - (portRef I0 (instanceRef slot1_spi_txblock_q_not00011)) - (portRef I0 (instanceRef slot1_spi_en_or0000_SW1)) - ) - ) - (net (rename slot_cyc_7__ "slot_cyc<7>") - (joined - (portRef I1 (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - (portRef I0 (instanceRef crc16_inst_data_q_and000011)) - (portRef I1 (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - (portRef I0 (instanceRef crc16_inst_ready_q_not0001_G)) - (portRef O (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - ) - ) - (net (rename slot_read_0__0__ "slot_read<0><0>") - (joined - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_9_renamed_404)) - (portRef O (instanceRef slot0_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot_read_0__1__ "slot_read<0><1>") - (joined - (portRef I1 (instanceRef slot_address_0__26__910_renamed_386)) - (portRef O (instanceRef slot0_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot_read_0__2__ "slot_read<0><2>") - (joined - (portRef I1 (instanceRef slot_address_0__26__921_renamed_373)) - (portRef O (instanceRef slot0_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot_read_0__3__ "slot_read<0><3>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_3_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__924_renamed_1920)) - ) - ) - (net (rename slot_read_0__4__ "slot_read<0><4>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_4_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__925_renamed_1919)) - ) - ) - (net (rename slot_read_0__5__ "slot_read<0><5>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_5_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__926_renamed_1918)) - ) - ) - (net (rename slot_read_0__6__ "slot_read<0><6>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_6_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__927_renamed_1917)) - ) - ) - (net (rename slot_read_0__7__ "slot_read<0><7>") - (joined - (portRef O (instanceRef slot0_wb_dat_o_7_mux00001)) - (portRef I3 (instanceRef slot_address_0__26__928_renamed_1916)) - ) - ) - (net (rename slot_read_1__0__ "slot_read<1><0>") - (joined - (portRef I2 (instanceRef zpuino_io_Mmux__varindex0000_9_renamed_404)) - (portRef O (instanceRef uart_inst_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot_read_1__1__ "slot_read<1><1>") - (joined - (portRef I2 (instanceRef slot_address_0__26__910_renamed_386)) - (portRef O (instanceRef uart_inst_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot_read_1__2__ "slot_read<1><2>") - (joined - (portRef I2 (instanceRef slot_address_0__26__921_renamed_373)) - (portRef O (instanceRef uart_inst_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot_read_2__0__ "slot_read<2><0>") - (joined - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_81_renamed_405)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6)) - ) - ) - (net (rename slot_read_2__10__ "slot_read<2><10>") - (joined - (portRef I1 (instanceRef slot_address_0__26__81_renamed_400)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_0)) - ) - ) - (net (rename slot_read_2__11__ "slot_read<2><11>") - (joined - (portRef I1 (instanceRef slot_address_0__26__83_renamed_397)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_1)) - ) - ) - (net (rename slot_read_2__12__ "slot_read<2><12>") - (joined - (portRef I1 (instanceRef slot_address_0__26__85_renamed_396)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_2)) - ) - ) - (net (rename slot_read_2__13__ "slot_read<2><13>") - (joined - (portRef I1 (instanceRef slot_address_0__26__87_renamed_395)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_3)) - ) - ) - (net (rename slot_read_2__14__ "slot_read<2><14>") - (joined - (portRef I1 (instanceRef slot_address_0__26__89_renamed_394)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_4)) - ) - ) - (net (rename slot_read_2__15__ "slot_read<2><15>") - (joined - (portRef I1 (instanceRef slot_address_0__26__811_renamed_393)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_5)) - ) - ) - (net (rename slot_read_2__16__ "slot_read<2><16>") - (joined - (portRef I1 (instanceRef slot_address_0__26__813_renamed_392)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_6)) - ) - ) - (net (rename slot_read_2__17__ "slot_read<2><17>") - (joined - (portRef I1 (instanceRef slot_address_0__26__815_renamed_391)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_7)) - ) - ) - (net (rename slot_read_2__18__ "slot_read<2><18>") - (joined - (portRef I1 (instanceRef slot_address_0__26__817_renamed_390)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_8)) - ) - ) - (net (rename slot_read_2__19__ "slot_read<2><19>") - (joined - (portRef I1 (instanceRef slot_address_0__26__819_renamed_389)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_9)) - ) - ) - (net (rename slot_read_2__1__ "slot_read<2><1>") - (joined - (portRef I1 (instanceRef slot_address_0__26__821_renamed_387)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_10)) - ) - ) - (net (rename slot_read_2__20__ "slot_read<2><20>") - (joined - (portRef I1 (instanceRef slot_address_0__26__823_renamed_385)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_11)) - ) - ) - (net (rename slot_read_2__21__ "slot_read<2><21>") - (joined - (portRef I1 (instanceRef slot_address_0__26__825_renamed_384)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_12)) - ) - ) - (net (rename slot_read_2__22__ "slot_read<2><22>") - (joined - (portRef I1 (instanceRef slot_address_0__26__827_renamed_383)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_13)) - ) - ) - (net (rename slot_read_2__23__ "slot_read<2><23>") - (joined - (portRef I1 (instanceRef slot_address_0__26__829_renamed_382)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_14)) - ) - ) - (net (rename slot_read_2__24__ "slot_read<2><24>") - (joined - (portRef I1 (instanceRef slot_address_0__26__831_renamed_381)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_15)) - ) - ) - (net (rename slot_read_2__25__ "slot_read<2><25>") - (joined - (portRef I1 (instanceRef slot_address_0__26__833_renamed_380)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_16)) - ) - ) - (net (rename slot_read_2__26__ "slot_read<2><26>") - (joined - (portRef I1 (instanceRef slot_address_0__26__835_renamed_379)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_17)) - ) - ) - (net (rename slot_read_2__27__ "slot_read<2><27>") - (joined - (portRef I1 (instanceRef slot_address_0__26__837_renamed_378)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_18)) - ) - ) - (net (rename slot_read_2__28__ "slot_read<2><28>") - (joined - (portRef I1 (instanceRef slot_address_0__26__839_renamed_377)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_19)) - ) - ) - (net (rename slot_read_2__29__ "slot_read<2><29>") - (joined - (portRef I1 (instanceRef slot_address_0__26__841_renamed_376)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_20)) - ) - ) - (net (rename slot_read_2__2__ "slot_read<2><2>") - (joined - (portRef I1 (instanceRef slot_address_0__26__843_renamed_374)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_21)) - ) - ) - (net (rename slot_read_2__30__ "slot_read<2><30>") - (joined - (portRef I1 (instanceRef slot_address_0__26__845_renamed_372)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_22)) - ) - ) - (net (rename slot_read_2__31__ "slot_read<2><31>") - (joined - (portRef I1 (instanceRef slot_address_0__26__847_renamed_371)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_23)) - ) - ) - (net (rename slot_read_2__3__ "slot_read<2><3>") - (joined - (portRef I1 (instanceRef slot_address_0__26__849_renamed_369)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_24)) - ) - ) - (net (rename slot_read_2__4__ "slot_read<2><4>") - (joined - (portRef I1 (instanceRef slot_address_0__26__851_renamed_367)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_25)) - ) - ) - (net (rename slot_read_2__5__ "slot_read<2><5>") - (joined - (portRef I1 (instanceRef slot_address_0__26__853_renamed_365)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_26)) - ) - ) - (net (rename slot_read_2__6__ "slot_read<2><6>") - (joined - (portRef I1 (instanceRef slot_address_0__26__855_renamed_363)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_27)) - ) - ) - (net (rename slot_read_2__7__ "slot_read<2><7>") - (joined - (portRef I1 (instanceRef slot_address_0__26__857_renamed_361)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_28)) - ) - ) - (net (rename slot_read_2__8__ "slot_read<2><8>") - (joined - (portRef I1 (instanceRef slot_address_0__26__859_renamed_359)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_29)) - ) - ) - (net (rename slot_read_2__9__ "slot_read<2><9>") - (joined - (portRef I1 (instanceRef slot_address_0__26__861_renamed_357)) - (portRef O (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_30)) - ) - ) - (net (rename slot_read_3__0__ "slot_read<3><0>") - (joined - (portRef I2 (instanceRef zpuino_io_Mmux__varindex0000_81_renamed_405)) - (portRef O (instanceRef timers_inst_wb_dat_o_0_40)) - ) - ) - (net (rename slot_read_3__10__ "slot_read<3><10>") - (joined - (portRef I2 (instanceRef slot_address_0__26__81_renamed_400)) - (portRef O (instanceRef timers_inst_wb_dat_o_10_40)) - ) - ) - (net (rename slot_read_3__11__ "slot_read<3><11>") - (joined - (portRef I2 (instanceRef slot_address_0__26__83_renamed_397)) - (portRef O (instanceRef timers_inst_wb_dat_o_11_49)) - ) - ) - (net (rename slot_read_3__12__ "slot_read<3><12>") - (joined - (portRef I2 (instanceRef slot_address_0__26__85_renamed_396)) - (portRef O (instanceRef timers_inst_wb_dat_o_12_49)) - ) - ) - (net (rename slot_read_3__13__ "slot_read<3><13>") - (joined - (portRef I2 (instanceRef slot_address_0__26__87_renamed_395)) - (portRef O (instanceRef timers_inst_wb_dat_o_13_49)) - ) - ) - (net (rename slot_read_3__14__ "slot_read<3><14>") - (joined - (portRef I2 (instanceRef slot_address_0__26__89_renamed_394)) - (portRef O (instanceRef timers_inst_wb_dat_o_14_49)) - ) - ) - (net (rename slot_read_3__15__ "slot_read<3><15>") - (joined - (portRef I2 (instanceRef slot_address_0__26__811_renamed_393)) - (portRef O (instanceRef timers_inst_wb_dat_o_15_49)) - ) - ) - (net (rename slot_read_3__16__ "slot_read<3><16>") - (joined - (portRef I2 (instanceRef slot_address_0__26__813_renamed_392)) - (portRef O (instanceRef timers_inst_wb_dat_o_16__)) - ) - ) - (net (rename slot_read_3__17__ "slot_read<3><17>") - (joined - (portRef I2 (instanceRef slot_address_0__26__815_renamed_391)) - (portRef O (instanceRef timers_inst_wb_dat_o_17__)) - ) - ) - (net (rename slot_read_3__18__ "slot_read<3><18>") - (joined - (portRef I2 (instanceRef slot_address_0__26__817_renamed_390)) - (portRef O (instanceRef timers_inst_wb_dat_o_18__)) - ) - ) - (net (rename slot_read_3__19__ "slot_read<3><19>") - (joined - (portRef I2 (instanceRef slot_address_0__26__819_renamed_389)) - (portRef O (instanceRef timers_inst_wb_dat_o_19__)) - ) - ) - (net (rename slot_read_3__1__ "slot_read<3><1>") - (joined - (portRef I2 (instanceRef slot_address_0__26__821_renamed_387)) - (portRef O (instanceRef timers_inst_wb_dat_o_1_40)) - ) - ) - (net (rename slot_read_3__20__ "slot_read<3><20>") - (joined - (portRef I2 (instanceRef slot_address_0__26__823_renamed_385)) - (portRef O (instanceRef timers_inst_wb_dat_o_20__)) - ) - ) - (net (rename slot_read_3__21__ "slot_read<3><21>") - (joined - (portRef I2 (instanceRef slot_address_0__26__825_renamed_384)) - (portRef O (instanceRef timers_inst_wb_dat_o_21__)) - ) - ) - (net (rename slot_read_3__22__ "slot_read<3><22>") - (joined - (portRef I2 (instanceRef slot_address_0__26__827_renamed_383)) - (portRef O (instanceRef timers_inst_wb_dat_o_22__)) - ) - ) - (net (rename slot_read_3__23__ "slot_read<3><23>") - (joined - (portRef I2 (instanceRef slot_address_0__26__829_renamed_382)) - (portRef O (instanceRef timers_inst_wb_dat_o_23__)) - ) - ) - (net (rename slot_read_3__24__ "slot_read<3><24>") - (joined - (portRef I2 (instanceRef slot_address_0__26__831_renamed_381)) - (portRef O (instanceRef timers_inst_wb_dat_o_24_1)) - ) - ) - (net (rename slot_read_3__25__ "slot_read<3><25>") - (joined - (portRef I2 (instanceRef slot_address_0__26__833_renamed_380)) - (portRef O (instanceRef timers_inst_wb_dat_o_25_1)) - ) - ) - (net (rename slot_read_3__26__ "slot_read<3><26>") - (joined - (portRef I2 (instanceRef slot_address_0__26__835_renamed_379)) - (portRef O (instanceRef timers_inst_wb_dat_o_26_1)) - ) - ) - (net (rename slot_read_3__27__ "slot_read<3><27>") - (joined - (portRef I2 (instanceRef slot_address_0__26__837_renamed_378)) - (portRef O (instanceRef timers_inst_wb_dat_o_27_1)) - ) - ) - (net (rename slot_read_3__28__ "slot_read<3><28>") - (joined - (portRef I2 (instanceRef slot_address_0__26__839_renamed_377)) - (portRef O (instanceRef timers_inst_wb_dat_o_28_1)) - ) - ) - (net (rename slot_read_3__29__ "slot_read<3><29>") - (joined - (portRef I2 (instanceRef slot_address_0__26__841_renamed_376)) - (portRef O (instanceRef timers_inst_wb_dat_o_29_1)) - ) - ) - (net (rename slot_read_3__2__ "slot_read<3><2>") - (joined - (portRef I2 (instanceRef slot_address_0__26__843_renamed_374)) - (portRef O (instanceRef timers_inst_wb_dat_o_2_40)) - ) - ) - (net (rename slot_read_3__30__ "slot_read<3><30>") - (joined - (portRef I2 (instanceRef slot_address_0__26__845_renamed_372)) - (portRef O (instanceRef timers_inst_wb_dat_o_30_1)) - ) - ) - (net (rename slot_read_3__31__ "slot_read<3><31>") - (joined - (portRef I2 (instanceRef slot_address_0__26__847_renamed_371)) - (portRef O (instanceRef timers_inst_wb_dat_o_31_1)) - ) - ) - (net (rename slot_read_3__3__ "slot_read<3><3>") - (joined - (portRef I2 (instanceRef slot_address_0__26__849_renamed_369)) - (portRef O (instanceRef timers_inst_wb_dat_o_3_40)) - ) - ) - (net (rename slot_read_3__4__ "slot_read<3><4>") - (joined - (portRef I2 (instanceRef slot_address_0__26__851_renamed_367)) - (portRef O (instanceRef timers_inst_wb_dat_o_4_40)) - ) - ) - (net (rename slot_read_3__5__ "slot_read<3><5>") - (joined - (portRef I2 (instanceRef slot_address_0__26__853_renamed_365)) - (portRef O (instanceRef timers_inst_wb_dat_o_5_40)) - ) - ) - (net (rename slot_read_3__6__ "slot_read<3><6>") - (joined - (portRef I2 (instanceRef slot_address_0__26__855_renamed_363)) - (portRef O (instanceRef timers_inst_wb_dat_o_6_40)) - ) - ) - (net (rename slot_read_3__7__ "slot_read<3><7>") - (joined - (portRef I2 (instanceRef slot_address_0__26__857_renamed_361)) - (portRef O (instanceRef timers_inst_wb_dat_o_7_40)) - ) - ) - (net (rename slot_read_3__8__ "slot_read<3><8>") - (joined - (portRef I2 (instanceRef slot_address_0__26__859_renamed_359)) - (portRef O (instanceRef timers_inst_wb_dat_o_8_49)) - ) - ) - (net (rename slot_read_3__9__ "slot_read<3><9>") - (joined - (portRef I2 (instanceRef slot_address_0__26__861_renamed_357)) - (portRef O (instanceRef timers_inst_wb_dat_o_9_40)) - ) - ) - (net (rename slot_read_6__0__ "slot_read<6><0>") - (joined - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_7_renamed_407)) - (portRef O (instanceRef slot1_wb_dat_o_0_mux00001)) - ) - ) - (net (rename slot_read_6__1__ "slot_read<6><1>") - (joined - (portRef I1 (instanceRef slot_address_0__26__710_renamed_388)) - (portRef O (instanceRef slot1_wb_dat_o_1_mux00001)) - ) - ) - (net (rename slot_read_6__2__ "slot_read<6><2>") - (joined - (portRef I1 (instanceRef slot_address_0__26__721_renamed_375)) - (portRef O (instanceRef slot1_wb_dat_o_2_mux00001)) - ) - ) - (net (rename slot_read_6__3__ "slot_read<6><3>") - (joined - (portRef I1 (instanceRef slot_address_0__26__724_renamed_370)) - (portRef O (instanceRef slot1_wb_dat_o_3_mux00001)) - ) - ) - (net (rename slot_read_6__4__ "slot_read<6><4>") - (joined - (portRef I1 (instanceRef slot_address_0__26__725_renamed_368)) - (portRef O (instanceRef slot1_wb_dat_o_4_mux00001)) - ) - ) - (net (rename slot_read_6__5__ "slot_read<6><5>") - (joined - (portRef I1 (instanceRef slot_address_0__26__726_renamed_366)) - (portRef O (instanceRef slot1_wb_dat_o_5_mux00001)) - ) - ) - (net (rename slot_read_6__6__ "slot_read<6><6>") - (joined - (portRef I1 (instanceRef slot_address_0__26__727_renamed_364)) - (portRef O (instanceRef slot1_wb_dat_o_6_mux00001)) - ) - ) - (net (rename slot_read_6__7__ "slot_read<6><7>") - (joined - (portRef I1 (instanceRef slot_address_0__26__728_renamed_362)) - (portRef O (instanceRef slot1_wb_dat_o_7_mux00001)) - ) - ) - (net (rename slot_read_6__8__ "slot_read<6><8>") - (joined - (portRef I1 (instanceRef slot_address_0__26__729_renamed_360)) - (portRef O (instanceRef slot1_wb_dat_o_8_mux00001)) - ) - ) - (net (rename slot_read_6__9__ "slot_read<6><9>") - (joined - (portRef I1 (instanceRef slot_address_0__26__730_renamed_358)) - (portRef O (instanceRef slot1_wb_dat_o_9_mux00001)) - ) - ) - (net (rename slot_read_7__0__ "slot_read<7><0>") - (joined - (portRef I2 (instanceRef zpuino_io_Mmux__varindex0000_7_renamed_407)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename slot_read_7__10__ "slot_read<7><10>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_10__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__7_renamed_1991)) - ) - ) - (net (rename slot_read_7__11__ "slot_read<7><11>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_11__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__71_renamed_1990)) - ) - ) - (net (rename slot_read_7__12__ "slot_read<7><12>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_12__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__72_renamed_1989)) - ) - ) - (net (rename slot_read_7__13__ "slot_read<7><13>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_13__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__73_renamed_1988)) - ) - ) - (net (rename slot_read_7__14__ "slot_read<7><14>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_14__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__74_renamed_1987)) - ) - ) - (net (rename slot_read_7__15__ "slot_read<7><15>") - (joined - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_15__2_f5)) - (portRef I1 (instanceRef slot_address_0__26__75_renamed_1986)) - ) - ) - (net (rename slot_read_7__1__ "slot_read<7><1>") - (joined - (portRef I2 (instanceRef slot_address_0__26__710_renamed_388)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename slot_read_7__2__ "slot_read<7><2>") - (joined - (portRef I2 (instanceRef slot_address_0__26__721_renamed_375)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename slot_read_7__3__ "slot_read<7><3>") - (joined - (portRef I2 (instanceRef slot_address_0__26__724_renamed_370)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename slot_read_7__4__ "slot_read<7><4>") - (joined - (portRef I2 (instanceRef slot_address_0__26__725_renamed_368)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename slot_read_7__5__ "slot_read<7><5>") - (joined - (portRef I2 (instanceRef slot_address_0__26__726_renamed_366)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename slot_read_7__6__ "slot_read<7><6>") - (joined - (portRef I2 (instanceRef slot_address_0__26__727_renamed_364)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename slot_read_7__7__ "slot_read<7><7>") - (joined - (portRef I2 (instanceRef slot_address_0__26__728_renamed_362)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename slot_read_7__8__ "slot_read<7><8>") - (joined - (portRef I2 (instanceRef slot_address_0__26__729_renamed_360)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_8__2_f5)) - ) - ) - (net (rename slot_read_7__9__ "slot_read<7><9>") - (joined - (portRef I2 (instanceRef slot_address_0__26__730_renamed_358)) - (portRef O (instanceRef crc16_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net sysclk - (joined - (portRef C (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef C (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef C (instanceRef zpuino_io_io_stb_renamed_3)) - (portRef C (instanceRef zpuino_io_wb_dat_o_0)) - (portRef C (instanceRef zpuino_io_wb_dat_o_1)) - (portRef C (instanceRef zpuino_io_wb_dat_o_2)) - (portRef C (instanceRef zpuino_io_wb_dat_o_3)) - (portRef C (instanceRef zpuino_io_wb_dat_o_4)) - (portRef C (instanceRef zpuino_io_wb_dat_o_5)) - (portRef C (instanceRef zpuino_io_wb_dat_o_6)) - (portRef C (instanceRef zpuino_io_wb_dat_o_7)) - (portRef C (instanceRef zpuino_io_wb_dat_o_8)) - (portRef C (instanceRef zpuino_io_wb_dat_o_9)) - (portRef C (instanceRef zpuino_io_wb_dat_o_10)) - (portRef C (instanceRef zpuino_io_wb_dat_o_11)) - (portRef C (instanceRef zpuino_io_wb_dat_o_12)) - (portRef C (instanceRef zpuino_io_wb_dat_o_13)) - (portRef C (instanceRef zpuino_io_wb_dat_o_14)) - (portRef C (instanceRef zpuino_io_wb_dat_o_15)) - (portRef C (instanceRef zpuino_io_wb_dat_o_16)) - (portRef C (instanceRef zpuino_io_wb_dat_o_17)) - (portRef C (instanceRef zpuino_io_wb_dat_o_18)) - (portRef C (instanceRef zpuino_io_wb_dat_o_19)) - (portRef C (instanceRef zpuino_io_wb_dat_o_20)) - (portRef C (instanceRef zpuino_io_wb_dat_o_21)) - (portRef C (instanceRef zpuino_io_wb_dat_o_22)) - (portRef C (instanceRef zpuino_io_wb_dat_o_23)) - (portRef C (instanceRef zpuino_io_wb_dat_o_24)) - (portRef C (instanceRef zpuino_io_wb_dat_o_25)) - (portRef C (instanceRef zpuino_io_wb_dat_o_26)) - (portRef C (instanceRef zpuino_io_wb_dat_o_27)) - (portRef C (instanceRef zpuino_io_wb_dat_o_28)) - (portRef C (instanceRef zpuino_io_wb_dat_o_29)) - (portRef C (instanceRef zpuino_io_wb_dat_o_30)) - (portRef C (instanceRef zpuino_io_wb_dat_o_31)) - (portRef C (instanceRef zpuino_io_wb_in_transaction_renamed_4)) - (portRef C (instanceRef zpuino_core_prefr_recompute_sp_renamed_5)) - (portRef C (instanceRef slot9_read_ended_renamed_8)) - (portRef C (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef C (instanceRef zpuino_io_wb_ack_o_renamed_10)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_1_renamed_11)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_3_renamed_12)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_4_renamed_13)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_5_renamed_14)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_6_renamed_15)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_8_renamed_16)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_9_renamed_17)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_12_renamed_18)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_14_renamed_19)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_15_renamed_20)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_19_renamed_21)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_22_renamed_22)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_23_renamed_23)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_24_renamed_24)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_25_renamed_25)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_27_renamed_26)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_30_renamed_27)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_31_renamed_28)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_32_renamed_29)) - (portRef C (instanceRef zpuino_core_decr_decodedOpcode_33_renamed_30)) - (portRef C (instanceRef zpuino_core_decr_pcint_0_renamed_31)) - (portRef C (instanceRef zpuino_core_decr_pcint_1_renamed_32)) - (portRef C (instanceRef zpuino_core_decr_pcint_2_renamed_33)) - (portRef C (instanceRef zpuino_core_decr_pcint_3_renamed_34)) - (portRef C (instanceRef zpuino_core_decr_pcint_4_renamed_35)) - (portRef C (instanceRef zpuino_core_decr_pcint_5_renamed_36)) - (portRef C (instanceRef zpuino_core_decr_pcint_6_renamed_37)) - (portRef C (instanceRef zpuino_core_decr_pcint_7_renamed_38)) - (portRef C (instanceRef zpuino_core_decr_pcint_8_renamed_39)) - (portRef C (instanceRef zpuino_core_decr_pcint_9_renamed_40)) - (portRef C (instanceRef zpuino_core_decr_pcint_10_renamed_41)) - (portRef C (instanceRef zpuino_core_decr_pcint_11_renamed_42)) - (portRef C (instanceRef zpuino_core_decr_pcint_12_renamed_43)) - (portRef C (instanceRef zpuino_core_decr_pcint_13_renamed_44)) - (portRef C (instanceRef zpuino_core_decr_break_renamed_45)) - (portRef C (instanceRef zpuino_core_exr_tos_0_renamed_46)) - (portRef C (instanceRef zpuino_core_exr_tos_1_renamed_47)) - (portRef C (instanceRef zpuino_core_exr_tos_2_renamed_48)) - (portRef C (instanceRef zpuino_core_exr_tos_3_renamed_49)) - (portRef C (instanceRef zpuino_core_exr_tos_4_renamed_50)) - (portRef C (instanceRef zpuino_core_exr_tos_5_renamed_51)) - (portRef C (instanceRef zpuino_core_exr_tos_6_renamed_52)) - (portRef C (instanceRef zpuino_core_exr_tos_7_renamed_53)) - (portRef C (instanceRef zpuino_core_exr_tos_8_renamed_54)) - (portRef C (instanceRef zpuino_core_exr_tos_9_renamed_55)) - (portRef C (instanceRef zpuino_core_exr_tos_10_renamed_56)) - (portRef C (instanceRef zpuino_core_exr_tos_11_renamed_57)) - (portRef C (instanceRef zpuino_core_exr_tos_12_renamed_58)) - (portRef C (instanceRef zpuino_core_exr_tos_13_renamed_59)) - (portRef C (instanceRef zpuino_core_exr_tos_14_renamed_60)) - (portRef C (instanceRef zpuino_core_exr_tos_15_renamed_61)) - (portRef C (instanceRef zpuino_core_exr_tos_16_renamed_62)) - (portRef C (instanceRef zpuino_core_exr_tos_17_renamed_63)) - (portRef C (instanceRef zpuino_core_exr_tos_18_renamed_64)) - (portRef C (instanceRef zpuino_core_exr_tos_19_renamed_65)) - (portRef C (instanceRef zpuino_core_exr_tos_20_renamed_66)) - (portRef C (instanceRef zpuino_core_exr_tos_21_renamed_67)) - (portRef C (instanceRef zpuino_core_exr_tos_22_renamed_68)) - (portRef C (instanceRef zpuino_core_exr_tos_23_renamed_69)) - (portRef C (instanceRef zpuino_core_exr_tos_24_renamed_70)) - (portRef C (instanceRef zpuino_core_exr_tos_25_renamed_71)) - (portRef C (instanceRef zpuino_core_exr_tos_26_renamed_72)) - (portRef C (instanceRef zpuino_core_exr_tos_27_renamed_73)) - (portRef C (instanceRef zpuino_core_exr_tos_28_renamed_74)) - (portRef C (instanceRef zpuino_core_exr_tos_29_renamed_75)) - (portRef C (instanceRef zpuino_core_exr_tos_30_renamed_76)) - (portRef C (instanceRef zpuino_core_exr_tos_31_renamed_77)) - (portRef C (instanceRef zpuino_core_exr_wb_we_renamed_78)) - (portRef C (instanceRef zpuino_core_decr_valid_renamed_79)) - (portRef C (instanceRef zpuino_core_decr_im_renamed_80)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_0_renamed_81)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_1_renamed_82)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_2_renamed_83)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_3_renamed_84)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_4_renamed_85)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_5_renamed_86)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_6_renamed_87)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_7_renamed_88)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_8_renamed_89)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_9_renamed_90)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_10_renamed_91)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_11_renamed_92)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_12_renamed_93)) - (portRef C (instanceRef zpuino_core_decr_fetchpc_13_renamed_94)) - (portRef C (instanceRef zpuino_core_exr_nos_save_0_renamed_95)) - (portRef C (instanceRef zpuino_core_exr_nos_save_1_renamed_96)) - (portRef C (instanceRef zpuino_core_exr_nos_save_2_renamed_97)) - (portRef C (instanceRef zpuino_core_exr_nos_save_3_renamed_98)) - (portRef C (instanceRef zpuino_core_exr_nos_save_4_renamed_99)) - (portRef C (instanceRef zpuino_core_exr_nos_save_5_renamed_100)) - (portRef C (instanceRef zpuino_core_exr_nos_save_6_renamed_101)) - (portRef C (instanceRef zpuino_core_exr_nos_save_7_renamed_102)) - (portRef C (instanceRef zpuino_core_exr_nos_save_8_renamed_103)) - (portRef C (instanceRef zpuino_core_exr_nos_save_9_renamed_104)) - (portRef C (instanceRef zpuino_core_exr_nos_save_10_renamed_105)) - (portRef C (instanceRef zpuino_core_exr_nos_save_11_renamed_106)) - (portRef C (instanceRef zpuino_core_exr_nos_save_12_renamed_107)) - (portRef C (instanceRef zpuino_core_exr_nos_save_13_renamed_108)) - (portRef C (instanceRef zpuino_core_exr_nos_save_14_renamed_109)) - (portRef C (instanceRef zpuino_core_exr_nos_save_15_renamed_110)) - (portRef C (instanceRef zpuino_core_exr_nos_save_16_renamed_111)) - (portRef C (instanceRef zpuino_core_exr_nos_save_17_renamed_112)) - (portRef C (instanceRef zpuino_core_exr_nos_save_18_renamed_113)) - (portRef C (instanceRef zpuino_core_exr_nos_save_19_renamed_114)) - (portRef C (instanceRef zpuino_core_exr_nos_save_20_renamed_115)) - (portRef C (instanceRef zpuino_core_exr_nos_save_21_renamed_116)) - (portRef C (instanceRef zpuino_core_exr_nos_save_22_renamed_117)) - (portRef C (instanceRef zpuino_core_exr_nos_save_23_renamed_118)) - (portRef C (instanceRef zpuino_core_exr_nos_save_24_renamed_119)) - (portRef C (instanceRef zpuino_core_exr_nos_save_25_renamed_120)) - (portRef C (instanceRef zpuino_core_exr_nos_save_26_renamed_121)) - (portRef C (instanceRef zpuino_core_exr_nos_save_27_renamed_122)) - (portRef C (instanceRef zpuino_core_exr_nos_save_28_renamed_123)) - (portRef C (instanceRef zpuino_core_exr_nos_save_29_renamed_124)) - (portRef C (instanceRef zpuino_core_exr_nos_save_30_renamed_125)) - (portRef C (instanceRef zpuino_core_exr_nos_save_31_renamed_126)) - (portRef C (instanceRef zpuino_core_wroteback_q_renamed_127)) - (portRef C (instanceRef zpuino_core_decr_spOffset_0_renamed_128)) - (portRef C (instanceRef zpuino_core_decr_spOffset_1_renamed_129)) - (portRef C (instanceRef zpuino_core_decr_spOffset_2_renamed_130)) - (portRef C (instanceRef zpuino_core_decr_spOffset_3_renamed_131)) - (portRef C (instanceRef zpuino_core_decr_spOffset_4_renamed_132)) - (portRef C (instanceRef zpuino_core_decr_opcode_0_renamed_133)) - (portRef C (instanceRef zpuino_core_decr_opcode_1_renamed_134)) - (portRef C (instanceRef zpuino_core_decr_opcode_2_renamed_135)) - (portRef C (instanceRef zpuino_core_decr_opcode_3_renamed_136)) - (portRef C (instanceRef zpuino_core_decr_opcode_4_renamed_137)) - (portRef C (instanceRef zpuino_core_decr_opcode_5_renamed_138)) - (portRef C (instanceRef zpuino_core_decr_opcode_6_renamed_139)) - (portRef C (instanceRef zpuino_core_decr_opWillFreeze_renamed_140)) - (portRef C (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef C (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef C (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef C (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef C (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef C (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef C (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef C (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef C (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef C (instanceRef zpuino_core_decr_stackOperation_1_renamed_150)) - (portRef C (instanceRef zpuino_core_decr_stackOperation_2_renamed_151)) - (portRef C (instanceRef zpuino_core_decr_stackOperation_3_renamed_152)) - (portRef C (instanceRef zpuino_core_decr_tosSource_0_renamed_153)) - (portRef C (instanceRef zpuino_core_decr_tosSource_1_renamed_154)) - (portRef C (instanceRef zpuino_core_decr_tosSource_2_renamed_155)) - (portRef C (instanceRef zpuino_core_decr_tosSource_3_renamed_156)) - (portRef C (instanceRef zpuino_core_decr_tosSource_4_renamed_157)) - (portRef C (instanceRef zpuino_core_decr_tosSource_5_renamed_158)) - (portRef C (instanceRef zpuino_core_decr_tosSource_6_renamed_159)) - (portRef C (instanceRef zpuino_core_decr_tosSource_7_renamed_160)) - (portRef C (instanceRef zpuino_core_decr_tosSource_8_renamed_161)) - (portRef C (instanceRef zpuino_core_decr_tosSource_9_renamed_162)) - (portRef C (instanceRef zpuino_core_decr_tosSource_10_renamed_163)) - (portRef C (instanceRef zpuino_core_decr_tosSource_11_renamed_164)) - (portRef C (instanceRef zpuino_core_decr_tosSource_12_renamed_165)) - (portRef C (instanceRef zpuino_core_decr_tosSource_13_renamed_166)) - (portRef C (instanceRef zpuino_core_decr_tosSource_14_renamed_167)) - (portRef C (instanceRef zpuino_core_decr_tosSource_15_renamed_168)) - (portRef C (instanceRef zpuino_core_decr_tosSource_16_renamed_169)) - (portRef C (instanceRef zpuino_core_decr_tosSource_17_renamed_170)) - (portRef C (instanceRef zpuino_core_exr_wb_cyc_renamed_171)) - (portRef C (instanceRef zpuino_core_exr_inInterrupt_renamed_172)) - (portRef C (instanceRef zpuino_core_shl_d_1)) - (portRef C (instanceRef zpuino_core_shl_d_2)) - (portRef C (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef C (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef C (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef C (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef C (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef C (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef C (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef C (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef C (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef C (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef C (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef C (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef C (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef C (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef C (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef C (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef C (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef C (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef C (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef C (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef C (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef C (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef C (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef C (instanceRef zpuino_core_prefr_opcode_0_renamed_197)) - (portRef C (instanceRef zpuino_core_prefr_opcode_1_renamed_198)) - (portRef C (instanceRef zpuino_core_prefr_opcode_2_renamed_199)) - (portRef C (instanceRef zpuino_core_prefr_opcode_3_renamed_200)) - (portRef C (instanceRef zpuino_core_prefr_opcode_4_renamed_201)) - (portRef C (instanceRef zpuino_core_prefr_opcode_5_renamed_202)) - (portRef C (instanceRef zpuino_core_prefr_opcode_6_renamed_203)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_0_renamed_204)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_1_renamed_205)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_2_renamed_206)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_3_renamed_207)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_4_renamed_208)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_5_renamed_209)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_6_renamed_210)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_7_renamed_211)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_8_renamed_212)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_9_renamed_213)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_10_renamed_214)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_11_renamed_215)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_12_renamed_216)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_13_renamed_217)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_14_renamed_218)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_15_renamed_219)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_16_renamed_220)) - (portRef C (instanceRef zpuino_core_prefr_tosSource_17_renamed_221)) - (portRef C (instanceRef zpuino_core_prefr_break_renamed_222)) - (portRef C (instanceRef zpuino_core_exr_tos_save_0_renamed_223)) - (portRef C (instanceRef zpuino_core_exr_tos_save_1_renamed_224)) - (portRef C (instanceRef zpuino_core_exr_tos_save_2_renamed_225)) - (portRef C (instanceRef zpuino_core_exr_tos_save_3_renamed_226)) - (portRef C (instanceRef zpuino_core_exr_tos_save_4_renamed_227)) - (portRef C (instanceRef zpuino_core_exr_tos_save_5_renamed_228)) - (portRef C (instanceRef zpuino_core_exr_tos_save_6_renamed_229)) - (portRef C (instanceRef zpuino_core_exr_tos_save_7_renamed_230)) - (portRef C (instanceRef zpuino_core_exr_tos_save_8_renamed_231)) - (portRef C (instanceRef zpuino_core_exr_tos_save_9_renamed_232)) - (portRef C (instanceRef zpuino_core_exr_tos_save_10_renamed_233)) - (portRef C (instanceRef zpuino_core_exr_tos_save_11_renamed_234)) - (portRef C (instanceRef zpuino_core_exr_tos_save_12_renamed_235)) - (portRef C (instanceRef zpuino_core_exr_tos_save_13_renamed_236)) - (portRef C (instanceRef zpuino_core_exr_tos_save_14_renamed_237)) - (portRef C (instanceRef zpuino_core_exr_tos_save_15_renamed_238)) - (portRef C (instanceRef zpuino_core_exr_tos_save_16_renamed_239)) - (portRef C (instanceRef zpuino_core_exr_tos_save_17_renamed_240)) - (portRef C (instanceRef zpuino_core_exr_tos_save_18_renamed_241)) - (portRef C (instanceRef zpuino_core_exr_tos_save_19_renamed_242)) - (portRef C (instanceRef zpuino_core_exr_tos_save_20_renamed_243)) - (portRef C (instanceRef zpuino_core_exr_tos_save_21_renamed_244)) - (portRef C (instanceRef zpuino_core_exr_tos_save_22_renamed_245)) - (portRef C (instanceRef zpuino_core_exr_tos_save_23_renamed_246)) - (portRef C (instanceRef zpuino_core_exr_tos_save_24_renamed_247)) - (portRef C (instanceRef zpuino_core_exr_tos_save_25_renamed_248)) - (portRef C (instanceRef zpuino_core_exr_tos_save_26_renamed_249)) - (portRef C (instanceRef zpuino_core_exr_tos_save_27_renamed_250)) - (portRef C (instanceRef zpuino_core_exr_tos_save_28_renamed_251)) - (portRef C (instanceRef zpuino_core_exr_tos_save_29_renamed_252)) - (portRef C (instanceRef zpuino_core_exr_tos_save_30_renamed_253)) - (portRef C (instanceRef zpuino_core_exr_tos_save_31_renamed_254)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_0_renamed_255)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_1_renamed_256)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_2_renamed_257)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_3_renamed_258)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_4_renamed_259)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_5_renamed_260)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_6_renamed_261)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_7_renamed_262)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_8_renamed_263)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_9_renamed_264)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_10_renamed_265)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_11_renamed_266)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_12_renamed_267)) - (portRef C (instanceRef zpuino_core_prefr_fetchpc_13_renamed_268)) - (portRef C (instanceRef zpuino_core_prefr_valid_renamed_269)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_1_renamed_270)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_3_renamed_271)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_4_renamed_272)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_5_renamed_273)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_6_renamed_274)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_8_renamed_275)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_9_renamed_276)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_12_renamed_277)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_14_renamed_278)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_15_renamed_279)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_19_renamed_280)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_22_renamed_281)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_23_renamed_282)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_24_renamed_283)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_25_renamed_284)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_27_renamed_285)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_30_renamed_286)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_31_renamed_287)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_32_renamed_288)) - (portRef C (instanceRef zpuino_core_prefr_decodedOpcode_33_renamed_289)) - (portRef C (instanceRef zpuino_core_prefr_opWillFreeze_renamed_290)) - (portRef C (instanceRef zpuino_core_shl_done_renamed_291)) - (portRef C (instanceRef zpuino_core_prefr_pc_0_renamed_295)) - (portRef C (instanceRef zpuino_core_prefr_pc_1_renamed_296)) - (portRef C (instanceRef zpuino_core_prefr_pc_2_renamed_297)) - (portRef C (instanceRef zpuino_core_prefr_pc_3_renamed_298)) - (portRef C (instanceRef zpuino_core_prefr_pc_4_renamed_299)) - (portRef C (instanceRef zpuino_core_prefr_pc_5_renamed_300)) - (portRef C (instanceRef zpuino_core_prefr_pc_6_renamed_301)) - (portRef C (instanceRef zpuino_core_prefr_pc_7_renamed_302)) - (portRef C (instanceRef zpuino_core_prefr_pc_8_renamed_303)) - (portRef C (instanceRef zpuino_core_prefr_pc_9_renamed_304)) - (portRef C (instanceRef zpuino_core_prefr_pc_10_renamed_305)) - (portRef C (instanceRef zpuino_core_prefr_pc_11_renamed_306)) - (portRef C (instanceRef zpuino_core_prefr_pc_12_renamed_307)) - (portRef C (instanceRef zpuino_core_prefr_pc_13_renamed_308)) - (portRef C (instanceRef zpuino_io_write_save_q_0)) - (portRef C (instanceRef zpuino_io_write_save_q_1)) - (portRef C (instanceRef zpuino_io_write_save_q_2)) - (portRef C (instanceRef zpuino_io_write_save_q_3)) - (portRef C (instanceRef zpuino_io_write_save_q_4)) - (portRef C (instanceRef zpuino_io_write_save_q_5)) - (portRef C (instanceRef zpuino_io_write_save_q_6)) - (portRef C (instanceRef zpuino_io_write_save_q_7)) - (portRef C (instanceRef zpuino_io_write_save_q_8)) - (portRef C (instanceRef zpuino_io_write_save_q_9)) - (portRef C (instanceRef zpuino_io_write_save_q_10)) - (portRef C (instanceRef zpuino_io_write_save_q_11)) - (portRef C (instanceRef zpuino_io_write_save_q_12)) - (portRef C (instanceRef zpuino_io_write_save_q_13)) - (portRef C (instanceRef zpuino_io_write_save_q_14)) - (portRef C (instanceRef zpuino_io_write_save_q_15)) - (portRef C (instanceRef zpuino_io_write_save_q_16)) - (portRef C (instanceRef zpuino_io_write_save_q_17)) - (portRef C (instanceRef zpuino_io_write_save_q_18)) - (portRef C (instanceRef zpuino_io_write_save_q_19)) - (portRef C (instanceRef zpuino_io_write_save_q_20)) - (portRef C (instanceRef zpuino_io_write_save_q_21)) - (portRef C (instanceRef zpuino_io_write_save_q_22)) - (portRef C (instanceRef zpuino_io_write_save_q_23)) - (portRef C (instanceRef zpuino_io_write_save_q_24)) - (portRef C (instanceRef zpuino_io_write_save_q_25)) - (portRef C (instanceRef zpuino_io_write_save_q_26)) - (portRef C (instanceRef zpuino_io_write_save_q_27)) - (portRef C (instanceRef zpuino_io_write_save_q_28)) - (portRef C (instanceRef zpuino_io_write_save_q_29)) - (portRef C (instanceRef zpuino_io_write_save_q_30)) - (portRef C (instanceRef zpuino_io_write_save_q_31)) - (portRef C (instanceRef zpuino_io_addr_save_q_2)) - (portRef C (instanceRef zpuino_io_addr_save_q_3)) - (portRef C (instanceRef zpuino_io_addr_save_q_4)) - (portRef C (instanceRef zpuino_io_addr_save_q_5)) - (portRef C (instanceRef zpuino_io_addr_save_q_6)) - (portRef C (instanceRef zpuino_io_addr_save_q_7)) - (portRef C (instanceRef zpuino_io_addr_save_q_8)) - (portRef C (instanceRef zpuino_io_addr_save_q_9)) - (portRef C (instanceRef zpuino_io_addr_save_q_10)) - (portRef C (instanceRef zpuino_io_addr_save_q_11)) - (portRef C (instanceRef zpuino_io_addr_save_q_12)) - (portRef C (instanceRef zpuino_io_addr_save_q_13)) - (portRef C (instanceRef zpuino_io_addr_save_q_14)) - (portRef C (instanceRef zpuino_io_addr_save_q_15)) - (portRef C (instanceRef zpuino_io_addr_save_q_16)) - (portRef C (instanceRef zpuino_io_addr_save_q_23)) - (portRef C (instanceRef zpuino_io_addr_save_q_24)) - (portRef C (instanceRef zpuino_io_addr_save_q_25)) - (portRef C (instanceRef zpuino_io_addr_save_q_26)) - (portRef C (instanceRef zpuino_io_io_cyc_renamed_309)) - (portRef C (instanceRef zpuino_io_io_we_renamed_310)) - (portRef C (instanceRef slot9_ram_mi_addrh_q_renamed_311)) - (portRef C (instanceRef zpuino_core_shl_d_0)) - (portRef C (instanceRef rstgen_rstcount_zero_q_renamed_312)) - (portRef C (instanceRef slot1_cpol_renamed_319)) - (portRef C (instanceRef slot1_trans_renamed_318)) - (portRef C (instanceRef slot1_spi_transfersize_q_0)) - (portRef C (instanceRef slot1_spi_transfersize_q_1)) - (portRef C (instanceRef slot1_spi_en_renamed_317)) - (portRef C (instanceRef slot1_wb_ack_o_renamed_316)) - (portRef C (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef C (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef C (instanceRef slot1_spi_samprise_renamed_313)) - (portRef C (instanceRef slot1_spi_clk_pres_0)) - (portRef C (instanceRef slot1_spi_clk_pres_1)) - (portRef C (instanceRef slot1_spi_clk_pres_2)) - (portRef C (instanceRef slot0_cpol_renamed_326)) - (portRef C (instanceRef slot0_trans_renamed_325)) - (portRef C (instanceRef slot0_spi_transfersize_q_0)) - (portRef C (instanceRef slot0_spi_transfersize_q_1)) - (portRef C (instanceRef slot0_spi_en_renamed_324)) - (portRef C (instanceRef slot0_wb_ack_o_renamed_323)) - (portRef C (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef C (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef C (instanceRef slot0_spi_samprise_renamed_320)) - (portRef C (instanceRef slot0_spi_clk_pres_0)) - (portRef C (instanceRef slot0_spi_clk_pres_1)) - (portRef C (instanceRef slot0_spi_clk_pres_2)) - (portRef CLK (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef CLK (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef CLK (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef C (instanceRef rstgen_rstcount_0)) - (portRef C (instanceRef rstgen_rstcount_1)) - (portRef C (instanceRef rstgen_rstcount_2)) - (portRef C (instanceRef rstgen_rstcount_5)) - (portRef C (instanceRef rstgen_rstcount_3)) - (portRef C (instanceRef rstgen_rstcount_4)) - (portRef C (instanceRef rstgen_rstcount_6)) - (portRef C (instanceRef rstgen_rstcount_7)) - (portRef C (instanceRef rstgen_rstcount_8)) - (portRef C (instanceRef rstgen_rstcount_9)) - (portRef C (instanceRef rstgen_rstcount_10)) - (portRef C (instanceRef rstgen_rstcount_11)) - (portRef C (instanceRef rstgen_rstcount_12)) - (portRef C (instanceRef rstgen_rstcount_13)) - (portRef C (instanceRef rstgen_rstcount_14)) - (portRef C (instanceRef rstgen_rstcount_15)) - (portRef C (instanceRef rstgen_rstcount_16)) - (portRef C (instanceRef rstgen_rstcount_17)) - (portRef C (instanceRef rstgen_rstcount_20)) - (portRef C (instanceRef rstgen_rstcount_18)) - (portRef C (instanceRef rstgen_rstcount_19)) - (portRef C (instanceRef rstgen_rstcount_21)) - (portRef C (instanceRef rstgen_rstcount_22)) - (portRef C (instanceRef rstgen_rstcount_23)) - (portRef C (instanceRef rstgen_rstcount_24)) - (portRef C (instanceRef rstgen_rstcount_25)) - (portRef C (instanceRef rstgen_rstcount_26)) - (portRef C (instanceRef rstgen_rstcount_27)) - (portRef C (instanceRef rstgen_rstcount_28)) - (portRef C (instanceRef rstgen_rstcount_29)) - (portRef C (instanceRef rstgen_rstcount_30)) - (portRef C (instanceRef rstgen_rstcount_31)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355)) - (portRef C (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356)) - (portRef C (instanceRef zpuino_core_decr_state_FSM_FFd2_renamed_408)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd14_renamed_421)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd13_renamed_420)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd12_renamed_419)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd11_renamed_418)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd10_renamed_417)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd9_renamed_416)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd7_renamed_415)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd6_renamed_414)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd5_renamed_413)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd4_renamed_412)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd3_renamed_411)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd2_renamed_410)) - (portRef C (instanceRef zpuino_core_exr_state_FSM_FFd1_renamed_409)) - (portRef C (instanceRef sid_sd_data_out_renamed_422)) - (portRef C (instanceRef sid_sd_sigma_latch_18)) - (portRef C (instanceRef sid_sd_sigma_latch_19)) - (portRef C (instanceRef gpio_inst_ppspin_q_98)) - (portRef C (instanceRef gpio_inst_ppspin_q_96)) - (portRef C (instanceRef gpio_inst_ppspin_q_97)) - (portRef C (instanceRef gpio_inst_gpio_q_1)) - (portRef C (instanceRef gpio_inst_ppspin_q_99)) - (portRef C (instanceRef gpio_inst_gpio_q_0)) - (portRef C (instanceRef gpio_inst_gpio_q_4)) - (portRef C (instanceRef gpio_inst_gpio_q_2)) - (portRef C (instanceRef gpio_inst_gpio_q_3)) - (portRef C (instanceRef gpio_inst_gpio_q_7)) - (portRef C (instanceRef gpio_inst_gpio_q_5)) - (portRef C (instanceRef gpio_inst_gpio_q_6)) - (portRef C (instanceRef gpio_inst_gpio_q_8)) - (portRef C (instanceRef gpio_inst_gpio_q_9)) - (portRef C (instanceRef gpio_inst_gpio_q_12)) - (portRef C (instanceRef gpio_inst_gpio_q_10)) - (portRef C (instanceRef gpio_inst_gpio_q_11)) - (portRef C (instanceRef gpio_inst_gpio_q_13)) - (portRef C (instanceRef gpio_inst_gpio_q_14)) - (portRef C (instanceRef gpio_inst_gpio_q_15)) - (portRef C (instanceRef gpio_inst_gpio_q_24)) - (portRef C (instanceRef gpio_inst_gpio_q_31)) - (portRef C (instanceRef gpio_inst_gpio_q_30)) - (portRef C (instanceRef gpio_inst_gpio_q_25)) - (portRef C (instanceRef gpio_inst_gpio_q_27)) - (portRef C (instanceRef gpio_inst_gpio_q_26)) - (portRef C (instanceRef gpio_inst_gpio_q_32)) - (portRef C (instanceRef gpio_inst_gpio_q_28)) - (portRef C (instanceRef gpio_inst_gpio_q_33)) - (portRef C (instanceRef gpio_inst_gpio_q_29)) - (portRef C (instanceRef gpio_inst_gpio_q_41)) - (portRef C (instanceRef gpio_inst_gpio_q_40)) - (portRef C (instanceRef gpio_inst_gpio_q_42)) - (portRef C (instanceRef gpio_inst_gpio_q_44)) - (portRef C (instanceRef gpio_inst_gpio_q_43)) - (portRef C (instanceRef gpio_inst_gpio_q_47)) - (portRef C (instanceRef gpio_inst_gpio_q_45)) - (portRef C (instanceRef gpio_inst_gpio_q_46)) - (portRef C (instanceRef gpio_inst_ppspin_q_101)) - (portRef C (instanceRef gpio_inst_gpio_q_48)) - (portRef C (instanceRef gpio_inst_ppspin_q_100)) - (portRef C (instanceRef gpio_inst_ppspin_q_104)) - (portRef C (instanceRef gpio_inst_ppspin_q_102)) - (portRef C (instanceRef gpio_inst_ppspin_q_103)) - (portRef C (instanceRef gpio_inst_ppspin_q_106)) - (portRef C (instanceRef gpio_inst_ppspin_q_105)) - (portRef C (instanceRef gpio_inst_ppspin_q_110)) - (portRef C (instanceRef gpio_inst_ppspin_q_112)) - (portRef C (instanceRef gpio_inst_ppspin_q_111)) - (portRef C (instanceRef gpio_inst_ppspin_q_107)) - (portRef C (instanceRef gpio_inst_ppspin_q_113)) - (portRef C (instanceRef gpio_inst_ppspin_q_108)) - (portRef C (instanceRef gpio_inst_ppspin_q_114)) - (portRef C (instanceRef gpio_inst_ppspin_q_109)) - (portRef C (instanceRef gpio_inst_ppspin_q_120)) - (portRef C (instanceRef gpio_inst_ppspin_q_115)) - (portRef C (instanceRef gpio_inst_ppspin_q_121)) - (portRef C (instanceRef gpio_inst_ppspin_q_116)) - (portRef C (instanceRef gpio_inst_ppspin_q_122)) - (portRef C (instanceRef gpio_inst_ppspin_q_117)) - (portRef C (instanceRef gpio_inst_ppspin_q_118)) - (portRef C (instanceRef gpio_inst_ppspin_q_123)) - (portRef C (instanceRef gpio_inst_ppspin_q_124)) - (portRef C (instanceRef gpio_inst_ppspin_q_119)) - (portRef C (instanceRef gpio_inst_ppspin_q_125)) - (portRef C (instanceRef gpio_inst_ppspin_q_126)) - (portRef C (instanceRef gpio_inst_ppspin_q_127)) - (portRef C (instanceRef gpio_inst_ppspin_q_0)) - (portRef C (instanceRef gpio_inst_ppspin_q_1)) - (portRef C (instanceRef gpio_inst_ppspin_q_3)) - (portRef C (instanceRef gpio_inst_ppspin_q_2)) - (portRef C (instanceRef gpio_inst_ppspin_q_4)) - (portRef C (instanceRef gpio_inst_ppspin_q_5)) - (portRef C (instanceRef gpio_inst_ppspin_q_6)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef C (instanceRef gpio_inst_ppspin_q_7)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef C (instanceRef gpio_inst_ppspin_q_8)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef C (instanceRef gpio_inst_ppspin_q_9)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef C (instanceRef gpio_inst_ppspin_q_10)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef C (instanceRef gpio_inst_ppspin_q_13)) - (portRef C (instanceRef gpio_inst_ppspin_q_11)) - (portRef C (instanceRef gpio_inst_ppspin_q_12)) - (portRef C (instanceRef gpio_inst_ppspin_q_15)) - (portRef C (instanceRef gpio_inst_ppspin_q_14)) - (portRef C (instanceRef gpio_inst_ppspin_q_20)) - (portRef C (instanceRef gpio_inst_ppspin_q_21)) - (portRef C (instanceRef gpio_inst_ppspin_q_16)) - (portRef C (instanceRef gpio_inst_ppspin_q_23)) - (portRef C (instanceRef gpio_inst_ppspin_q_22)) - (portRef C (instanceRef gpio_inst_ppspin_q_17)) - (portRef C (instanceRef gpio_inst_ppspin_q_19)) - (portRef C (instanceRef gpio_inst_ppspin_q_18)) - (portRef C (instanceRef gpio_inst_ppspin_q_24)) - (portRef C (instanceRef gpio_inst_ppspin_q_31)) - (portRef C (instanceRef gpio_inst_ppspin_q_25)) - (portRef C (instanceRef gpio_inst_ppspin_q_30)) - (portRef C (instanceRef gpio_inst_ppspin_q_32)) - (portRef C (instanceRef gpio_inst_ppspin_q_26)) - (portRef C (instanceRef gpio_inst_ppspin_q_27)) - (portRef C (instanceRef gpio_inst_ppspin_q_29)) - (portRef C (instanceRef gpio_inst_ppspin_q_28)) - (portRef C (instanceRef gpio_inst_ppspin_q_33)) - (portRef C (instanceRef gpio_inst_ppspin_q_35)) - (portRef C (instanceRef gpio_inst_ppspin_q_34)) - (portRef C (instanceRef gpio_inst_ppspin_q_40)) - (portRef C (instanceRef gpio_inst_ppspin_q_36)) - (portRef C (instanceRef gpio_inst_ppspin_q_41)) - (portRef C (instanceRef gpio_inst_ppspin_q_37)) - (portRef C (instanceRef gpio_inst_ppspin_q_42)) - (portRef C (instanceRef gpio_inst_ppspin_q_38)) - (portRef C (instanceRef gpio_inst_ppspin_q_43)) - (portRef C (instanceRef gpio_inst_ppspin_q_39)) - (portRef C (instanceRef gpio_inst_ppspin_q_44)) - (portRef C (instanceRef gpio_inst_ppspin_q_45)) - (portRef C (instanceRef gpio_inst_ppspin_q_50)) - (portRef C (instanceRef gpio_inst_ppspin_q_46)) - (portRef C (instanceRef gpio_inst_ppspin_q_51)) - (portRef C (instanceRef gpio_inst_ppspin_q_47)) - (portRef C (instanceRef gpio_inst_ppspin_q_52)) - (portRef C (instanceRef gpio_inst_ppspin_q_48)) - (portRef C (instanceRef gpio_inst_ppspin_q_53)) - (portRef C (instanceRef gpio_inst_ppspin_q_49)) - (portRef C (instanceRef gpio_inst_ppspin_q_54)) - (portRef C (instanceRef gpio_inst_ppspin_q_55)) - (portRef C (instanceRef gpio_inst_ppspin_q_60)) - (portRef C (instanceRef gpio_inst_ppspin_q_61)) - (portRef C (instanceRef gpio_inst_ppspin_q_56)) - (portRef C (instanceRef gpio_inst_ppspin_q_58)) - (portRef C (instanceRef gpio_inst_ppspin_q_57)) - (portRef C (instanceRef gpio_inst_ppspin_q_62)) - (portRef C (instanceRef gpio_inst_ppspin_q_64)) - (portRef C (instanceRef gpio_inst_ppspin_q_63)) - (portRef C (instanceRef gpio_inst_ppspin_q_59)) - (portRef C (instanceRef gpio_inst_ppspin_q_66)) - (portRef C (instanceRef gpio_inst_ppspin_q_65)) - (portRef C (instanceRef gpio_inst_ppspin_q_70)) - (portRef C (instanceRef gpio_inst_ppspin_q_72)) - (portRef C (instanceRef gpio_inst_ppspin_q_71)) - (portRef C (instanceRef gpio_inst_ppspin_q_67)) - (portRef C (instanceRef gpio_inst_ppspin_q_69)) - (portRef C (instanceRef gpio_inst_ppspin_q_68)) - (portRef C (instanceRef gpio_inst_ppspin_q_73)) - (portRef C (instanceRef gpio_inst_ppspin_q_80)) - (portRef C (instanceRef gpio_inst_ppspin_q_74)) - (portRef C (instanceRef gpio_inst_ppspin_q_75)) - (portRef C (instanceRef gpio_inst_ppspin_q_77)) - (portRef C (instanceRef gpio_inst_ppspin_q_76)) - (portRef C (instanceRef gpio_inst_ppspin_q_81)) - (portRef C (instanceRef gpio_inst_ppspin_q_83)) - (portRef C (instanceRef gpio_inst_ppspin_q_82)) - (portRef C (instanceRef gpio_inst_ppspin_q_78)) - (portRef C (instanceRef gpio_inst_ppspin_q_85)) - (portRef C (instanceRef gpio_inst_ppspin_q_79)) - (portRef C (instanceRef gpio_inst_ppspin_q_84)) - (portRef C (instanceRef gpio_inst_ppspin_q_91)) - (portRef C (instanceRef gpio_inst_ppspin_q_90)) - (portRef C (instanceRef gpio_inst_ppspin_q_86)) - (portRef C (instanceRef gpio_inst_ppspin_q_88)) - (portRef C (instanceRef gpio_inst_ppspin_q_87)) - (portRef C (instanceRef gpio_inst_ppspin_q_92)) - (portRef C (instanceRef gpio_inst_ppspin_q_94)) - (portRef C (instanceRef gpio_inst_ppspin_q_93)) - (portRef C (instanceRef gpio_inst_ppspin_q_89)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_0_renamed_762)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_1_renamed_761)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_2_renamed_760)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_3_renamed_759)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_4_renamed_758)) - (portRef C (instanceRef gpio_inst_input_mapper_q_1_5_renamed_757)) - (portRef C (instanceRef gpio_inst_ppspin_q_95)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_0_renamed_756)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_1_renamed_755)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_2_renamed_754)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_3_renamed_753)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_4_renamed_752)) - (portRef C (instanceRef gpio_inst_input_mapper_q_0_5_renamed_751)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_0_renamed_750)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_1_renamed_749)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_2_renamed_748)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_3_renamed_747)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_4_renamed_746)) - (portRef C (instanceRef gpio_inst_input_mapper_q_4_5_renamed_745)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_0_renamed_744)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_1_renamed_743)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_2_renamed_742)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_3_renamed_741)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_4_renamed_740)) - (portRef C (instanceRef gpio_inst_input_mapper_q_2_5_renamed_739)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_0_renamed_738)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_1_renamed_737)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_2_renamed_736)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_3_renamed_735)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_4_renamed_734)) - (portRef C (instanceRef gpio_inst_input_mapper_q_3_5_renamed_733)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_0_renamed_732)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_1_renamed_731)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_2_renamed_730)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_3_renamed_729)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_4_renamed_728)) - (portRef C (instanceRef gpio_inst_input_mapper_q_5_5_renamed_727)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_0_renamed_726)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_1_renamed_725)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_2_renamed_724)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_3_renamed_723)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_4_renamed_722)) - (portRef C (instanceRef gpio_inst_input_mapper_q_6_5_renamed_721)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_0_renamed_720)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_1_renamed_719)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_2_renamed_718)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_3_renamed_717)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_4_renamed_716)) - (portRef C (instanceRef gpio_inst_input_mapper_q_9_5_renamed_715)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_0_renamed_714)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_1_renamed_713)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_2_renamed_712)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_3_renamed_711)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_4_renamed_710)) - (portRef C (instanceRef gpio_inst_input_mapper_q_7_5_renamed_709)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_0_renamed_708)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_1_renamed_707)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_2_renamed_706)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_3_renamed_705)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_4_renamed_704)) - (portRef C (instanceRef gpio_inst_input_mapper_q_8_5_renamed_703)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_0_renamed_702)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_1_renamed_701)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_2_renamed_700)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_3_renamed_699)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_4_renamed_698)) - (portRef C (instanceRef gpio_inst_input_mapper_q_12_5_renamed_697)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_0_renamed_696)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_1_renamed_695)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_2_renamed_694)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_3_renamed_693)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_4_renamed_692)) - (portRef C (instanceRef gpio_inst_input_mapper_q_10_5_renamed_691)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_0_renamed_690)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_1_renamed_689)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_2_renamed_688)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_3_renamed_687)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_4_renamed_686)) - (portRef C (instanceRef gpio_inst_input_mapper_q_11_5_renamed_685)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_0_renamed_684)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_1_renamed_683)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_2_renamed_682)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_3_renamed_681)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_4_renamed_680)) - (portRef C (instanceRef gpio_inst_input_mapper_q_15_5_renamed_679)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_0_renamed_678)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_1_renamed_677)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_2_renamed_676)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_3_renamed_675)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_4_renamed_674)) - (portRef C (instanceRef gpio_inst_input_mapper_q_13_5_renamed_673)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_0_renamed_672)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_1_renamed_671)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_2_renamed_670)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_3_renamed_669)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_4_renamed_668)) - (portRef C (instanceRef gpio_inst_input_mapper_q_14_5_renamed_667)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_0_renamed_666)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_1_renamed_665)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_2_renamed_664)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_3_renamed_663)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_4_renamed_662)) - (portRef C (instanceRef gpio_inst_input_mapper_q_24_5_renamed_661)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_0_renamed_660)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_1_renamed_659)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_2_renamed_658)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_3_renamed_657)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_4_renamed_656)) - (portRef C (instanceRef gpio_inst_input_mapper_q_27_5_renamed_655)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_0_renamed_654)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_1_renamed_653)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_2_renamed_652)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_3_renamed_651)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_4_renamed_650)) - (portRef C (instanceRef gpio_inst_input_mapper_q_25_5_renamed_649)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_0_renamed_648)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_1_renamed_647)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_2_renamed_646)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_3_renamed_645)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_4_renamed_644)) - (portRef C (instanceRef gpio_inst_input_mapper_q_26_5_renamed_643)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_0_renamed_642)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_1_renamed_641)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_2_renamed_640)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_3_renamed_639)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_4_renamed_638)) - (portRef C (instanceRef gpio_inst_input_mapper_q_30_5_renamed_637)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_0_renamed_636)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_1_renamed_635)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_2_renamed_634)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_3_renamed_633)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_4_renamed_632)) - (portRef C (instanceRef gpio_inst_input_mapper_q_28_5_renamed_631)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_0_renamed_630)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_1_renamed_629)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_2_renamed_628)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_3_renamed_627)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_4_renamed_626)) - (portRef C (instanceRef gpio_inst_input_mapper_q_29_5_renamed_625)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_0_renamed_624)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_1_renamed_623)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_2_renamed_622)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_3_renamed_621)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_4_renamed_620)) - (portRef C (instanceRef gpio_inst_input_mapper_q_33_5_renamed_619)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_0_renamed_618)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_1_renamed_617)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_2_renamed_616)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_3_renamed_615)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_4_renamed_614)) - (portRef C (instanceRef gpio_inst_input_mapper_q_31_5_renamed_613)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_0_renamed_612)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_1_renamed_611)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_2_renamed_610)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_3_renamed_609)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_4_renamed_608)) - (portRef C (instanceRef gpio_inst_input_mapper_q_32_5_renamed_607)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_0_renamed_606)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_1_renamed_605)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_2_renamed_604)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_3_renamed_603)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_4_renamed_602)) - (portRef C (instanceRef gpio_inst_input_mapper_q_42_5_renamed_601)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_0_renamed_600)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_1_renamed_599)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_2_renamed_598)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_3_renamed_597)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_4_renamed_596)) - (portRef C (instanceRef gpio_inst_input_mapper_q_40_5_renamed_595)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_0_renamed_594)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_1_renamed_593)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_2_renamed_592)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_3_renamed_591)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_4_renamed_590)) - (portRef C (instanceRef gpio_inst_input_mapper_q_41_5_renamed_589)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_0_renamed_588)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_1_renamed_587)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_2_renamed_586)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_3_renamed_585)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_4_renamed_584)) - (portRef C (instanceRef gpio_inst_input_mapper_q_45_5_renamed_583)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_0_renamed_582)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_1_renamed_581)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_2_renamed_580)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_3_renamed_579)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_4_renamed_578)) - (portRef C (instanceRef gpio_inst_input_mapper_q_43_5_renamed_577)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_0_renamed_576)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_1_renamed_575)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_2_renamed_574)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_3_renamed_573)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_4_renamed_572)) - (portRef C (instanceRef gpio_inst_input_mapper_q_44_5_renamed_571)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_0_renamed_570)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_1_renamed_569)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_2_renamed_568)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_3_renamed_567)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_4_renamed_566)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_5_renamed_565)) - (portRef C (instanceRef gpio_inst_output_mapper_q_0_6_renamed_564)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_0_renamed_563)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_1_renamed_562)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_2_renamed_561)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_3_renamed_560)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_4_renamed_559)) - (portRef C (instanceRef gpio_inst_input_mapper_q_46_5_renamed_558)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_0_renamed_557)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_1_renamed_556)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_2_renamed_555)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_3_renamed_554)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_4_renamed_553)) - (portRef C (instanceRef gpio_inst_input_mapper_q_47_5_renamed_552)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_0_renamed_551)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_1_renamed_550)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_2_renamed_549)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_3_renamed_548)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_4_renamed_547)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_5_renamed_546)) - (portRef C (instanceRef gpio_inst_output_mapper_q_1_6_renamed_545)) - (portRef C (instanceRef gpio_inst_gpio_o_48)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_1)) - (portRef C (instanceRef sigmadelta_inst_le_q_renamed_763)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_0)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_4)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_2)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_3)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_6)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_5)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_10)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef C (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef C (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef C (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef C (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_0)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_1)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_2)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_3)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_4)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_5)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_6)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_7)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_8)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_9)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_10)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_11)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_12)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_13)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_14)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q1_15)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_0)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_1)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_2)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_3)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_4)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_5)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_6)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_7)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_8)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_9)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_10)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_11)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_12)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_13)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_14)) - (portRef C (instanceRef sigmadelta_inst_sync_dat_q2_15)) - (portRef C (instanceRef sigmadelta_inst_sdout_0)) - (portRef C (instanceRef sigmadelta_inst_sdout_1)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch1_17)) - (portRef C (instanceRef sigmadelta_inst_sigma_latch2_17)) - (portRef C (instanceRef crc16_inst_crc_q_0)) - (portRef C (instanceRef crc16_inst_crc_q_1)) - (portRef C (instanceRef crc16_inst_crc_q_2)) - (portRef C (instanceRef crc16_inst_crc_q_3)) - (portRef C (instanceRef crc16_inst_crc_q_4)) - (portRef C (instanceRef crc16_inst_crc_q_5)) - (portRef C (instanceRef crc16_inst_crc_q_6)) - (portRef C (instanceRef crc16_inst_crc_q_7)) - (portRef C (instanceRef crc16_inst_crc_q_8)) - (portRef C (instanceRef crc16_inst_crc_q_9)) - (portRef C (instanceRef crc16_inst_crc_q_10)) - (portRef C (instanceRef crc16_inst_crc_q_11)) - (portRef C (instanceRef crc16_inst_crc_q_12)) - (portRef C (instanceRef crc16_inst_crc_q_13)) - (portRef C (instanceRef crc16_inst_crc_q_14)) - (portRef C (instanceRef crc16_inst_crc_q_15)) - (portRef C (instanceRef crc16_inst_data_q_0)) - (portRef C (instanceRef crc16_inst_data_q_1)) - (portRef C (instanceRef crc16_inst_data_q_2)) - (portRef C (instanceRef crc16_inst_data_q_3)) - (portRef C (instanceRef crc16_inst_data_q_4)) - (portRef C (instanceRef crc16_inst_data_q_5)) - (portRef C (instanceRef crc16_inst_data_q_6)) - (portRef C (instanceRef crc16_inst_data_q_7)) - (portRef C (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef C (instanceRef crc16_inst_count_q_0)) - (portRef C (instanceRef crc16_inst_count_q_1)) - (portRef C (instanceRef crc16_inst_count_q_2)) - (portRef C (instanceRef crc16_inst_poly_q_0)) - (portRef C (instanceRef crc16_inst_poly_q_1)) - (portRef C (instanceRef crc16_inst_poly_q_2)) - (portRef C (instanceRef crc16_inst_poly_q_3)) - (portRef C (instanceRef crc16_inst_poly_q_4)) - (portRef C (instanceRef crc16_inst_poly_q_5)) - (portRef C (instanceRef crc16_inst_poly_q_6)) - (portRef C (instanceRef crc16_inst_poly_q_7)) - (portRef C (instanceRef crc16_inst_poly_q_8)) - (portRef C (instanceRef crc16_inst_poly_q_9)) - (portRef C (instanceRef crc16_inst_poly_q_10)) - (portRef C (instanceRef crc16_inst_poly_q_11)) - (portRef C (instanceRef crc16_inst_poly_q_12)) - (portRef C (instanceRef crc16_inst_poly_q_13)) - (portRef C (instanceRef crc16_inst_poly_q_14)) - (portRef C (instanceRef crc16_inst_poly_q_15)) - (portRef C (instanceRef crc16_inst_crcA_q_0)) - (portRef C (instanceRef crc16_inst_crcA_q_1)) - (portRef C (instanceRef crc16_inst_crcA_q_2)) - (portRef C (instanceRef crc16_inst_crcA_q_3)) - (portRef C (instanceRef crc16_inst_crcA_q_4)) - (portRef C (instanceRef crc16_inst_crcA_q_5)) - (portRef C (instanceRef crc16_inst_crcA_q_6)) - (portRef C (instanceRef crc16_inst_crcA_q_7)) - (portRef C (instanceRef crc16_inst_crcA_q_8)) - (portRef C (instanceRef crc16_inst_crcA_q_9)) - (portRef C (instanceRef crc16_inst_crcA_q_10)) - (portRef C (instanceRef crc16_inst_crcA_q_11)) - (portRef C (instanceRef crc16_inst_crcA_q_12)) - (portRef C (instanceRef crc16_inst_crcA_q_13)) - (portRef C (instanceRef crc16_inst_crcA_q_14)) - (portRef C (instanceRef crc16_inst_crcA_q_15)) - (portRef C (instanceRef crc16_inst_crcB_q_0)) - (portRef C (instanceRef crc16_inst_crcB_q_1)) - (portRef C (instanceRef crc16_inst_crcB_q_2)) - (portRef C (instanceRef crc16_inst_crcB_q_3)) - (portRef C (instanceRef crc16_inst_crcB_q_4)) - (portRef C (instanceRef crc16_inst_crcB_q_5)) - (portRef C (instanceRef crc16_inst_crcB_q_6)) - (portRef C (instanceRef crc16_inst_crcB_q_7)) - (portRef C (instanceRef crc16_inst_crcB_q_8)) - (portRef C (instanceRef crc16_inst_crcB_q_9)) - (portRef C (instanceRef crc16_inst_crcB_q_10)) - (portRef C (instanceRef crc16_inst_crcB_q_11)) - (portRef C (instanceRef crc16_inst_crcB_q_12)) - (portRef C (instanceRef crc16_inst_crcB_q_13)) - (portRef C (instanceRef crc16_inst_crcB_q_14)) - (portRef C (instanceRef crc16_inst_crcB_q_15)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_16)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_17)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_0)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_1)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_2)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_3)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_4)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_5)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_6)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_7)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_8)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_9)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_10)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_11)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_12)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_13)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_14)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_15)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_16)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_served_q_17)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_0)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_1)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_in_q_3)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_in_q_4)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_2)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef C (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_5)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_3)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_4)) - (portRef C (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_6)) - (portRef C (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_7)) - (portRef C (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_8)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_9)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_10)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_11)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_12)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_13)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_14)) - (portRef C (instanceRef zpuino_io_intr_inst_intr_detected_q_15)) - (portRef C (instanceRef slot0_zspi_ignore_sample_q_renamed_804)) - (portRef C (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef C (instanceRef slot0_zspi_write_reg_q_0)) - (portRef C (instanceRef slot0_zspi_write_reg_q_1)) - (portRef C (instanceRef slot0_zspi_write_reg_q_2)) - (portRef C (instanceRef slot0_zspi_write_reg_q_3)) - (portRef C (instanceRef slot0_zspi_write_reg_q_4)) - (portRef C (instanceRef slot0_zspi_write_reg_q_5)) - (portRef C (instanceRef slot0_zspi_write_reg_q_6)) - (portRef C (instanceRef slot0_zspi_write_reg_q_7)) - (portRef C (instanceRef slot0_zspi_write_reg_q_8)) - (portRef C (instanceRef slot0_zspi_write_reg_q_9)) - (portRef C (instanceRef slot0_zspi_write_reg_q_10)) - (portRef C (instanceRef slot0_zspi_write_reg_q_11)) - (portRef C (instanceRef slot0_zspi_write_reg_q_12)) - (portRef C (instanceRef slot0_zspi_write_reg_q_13)) - (portRef C (instanceRef slot0_zspi_write_reg_q_14)) - (portRef C (instanceRef slot0_zspi_write_reg_q_15)) - (portRef C (instanceRef slot0_zspi_write_reg_q_16)) - (portRef C (instanceRef slot0_zspi_write_reg_q_17)) - (portRef C (instanceRef slot0_zspi_write_reg_q_18)) - (portRef C (instanceRef slot0_zspi_write_reg_q_19)) - (portRef C (instanceRef slot0_zspi_write_reg_q_20)) - (portRef C (instanceRef slot0_zspi_write_reg_q_21)) - (portRef C (instanceRef slot0_zspi_write_reg_q_22)) - (portRef C (instanceRef slot0_zspi_write_reg_q_23)) - (portRef C (instanceRef slot0_zspi_write_reg_q_24)) - (portRef C (instanceRef slot0_zspi_write_reg_q_25)) - (portRef C (instanceRef slot0_zspi_write_reg_q_26)) - (portRef C (instanceRef slot0_zspi_write_reg_q_27)) - (portRef C (instanceRef slot0_zspi_write_reg_q_28)) - (portRef C (instanceRef slot0_zspi_write_reg_q_29)) - (portRef C (instanceRef slot0_zspi_write_reg_q_30)) - (portRef C (instanceRef slot0_zspi_write_reg_q_31)) - (portRef C (instanceRef slot0_zspi_read_reg_q_0)) - (portRef C (instanceRef slot0_zspi_read_reg_q_1)) - (portRef C (instanceRef slot0_zspi_read_reg_q_2)) - (portRef C (instanceRef slot0_zspi_read_reg_q_3)) - (portRef C (instanceRef slot0_zspi_read_reg_q_4)) - (portRef C (instanceRef slot0_zspi_read_reg_q_5)) - (portRef C (instanceRef slot0_zspi_read_reg_q_6)) - (portRef C (instanceRef slot0_zspi_read_reg_q_7)) - (portRef C (instanceRef slot0_zspi_read_reg_q_8)) - (portRef C (instanceRef slot0_zspi_read_reg_q_9)) - (portRef C (instanceRef slot0_zspi_read_reg_q_10)) - (portRef C (instanceRef slot0_zspi_read_reg_q_11)) - (portRef C (instanceRef slot0_zspi_read_reg_q_12)) - (portRef C (instanceRef slot0_zspi_read_reg_q_13)) - (portRef C (instanceRef slot0_zspi_read_reg_q_14)) - (portRef C (instanceRef slot0_zspi_read_reg_q_15)) - (portRef C (instanceRef slot0_zspi_read_reg_q_16)) - (portRef C (instanceRef slot0_zspi_read_reg_q_17)) - (portRef C (instanceRef slot0_zspi_read_reg_q_18)) - (portRef C (instanceRef slot0_zspi_read_reg_q_19)) - (portRef C (instanceRef slot0_zspi_read_reg_q_20)) - (portRef C (instanceRef slot0_zspi_read_reg_q_21)) - (portRef C (instanceRef slot0_zspi_read_reg_q_22)) - (portRef C (instanceRef slot0_zspi_read_reg_q_23)) - (portRef C (instanceRef slot0_zspi_read_reg_q_24)) - (portRef C (instanceRef slot0_zspi_read_reg_q_25)) - (portRef C (instanceRef slot0_zspi_read_reg_q_26)) - (portRef C (instanceRef slot0_zspi_read_reg_q_27)) - (portRef C (instanceRef slot0_zspi_read_reg_q_28)) - (portRef C (instanceRef slot0_zspi_read_reg_q_29)) - (portRef C (instanceRef slot0_zspi_read_reg_q_30)) - (portRef C (instanceRef slot0_zspi_read_reg_q_31)) - (portRef C (instanceRef slot0_zspi_mosi_renamed_802)) - (portRef C (instanceRef slot0_zspi_count_0)) - (portRef C (instanceRef slot0_zspi_count_1)) - (portRef C (instanceRef slot0_zspi_count_2)) - (portRef C (instanceRef slot0_zspi_count_3)) - (portRef C (instanceRef slot0_zspi_count_4)) - (portRef C (instanceRef slot0_zspi_count_5)) - (portRef C (instanceRef slot1_zspi_ignore_sample_q_renamed_809)) - (portRef C (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef C (instanceRef slot1_zspi_write_reg_q_0)) - (portRef C (instanceRef slot1_zspi_write_reg_q_1)) - (portRef C (instanceRef slot1_zspi_write_reg_q_2)) - (portRef C (instanceRef slot1_zspi_write_reg_q_3)) - (portRef C (instanceRef slot1_zspi_write_reg_q_4)) - (portRef C (instanceRef slot1_zspi_write_reg_q_5)) - (portRef C (instanceRef slot1_zspi_write_reg_q_6)) - (portRef C (instanceRef slot1_zspi_write_reg_q_7)) - (portRef C (instanceRef slot1_zspi_write_reg_q_8)) - (portRef C (instanceRef slot1_zspi_write_reg_q_9)) - (portRef C (instanceRef slot1_zspi_write_reg_q_10)) - (portRef C (instanceRef slot1_zspi_write_reg_q_11)) - (portRef C (instanceRef slot1_zspi_write_reg_q_12)) - (portRef C (instanceRef slot1_zspi_write_reg_q_13)) - (portRef C (instanceRef slot1_zspi_write_reg_q_14)) - (portRef C (instanceRef slot1_zspi_write_reg_q_15)) - (portRef C (instanceRef slot1_zspi_write_reg_q_16)) - (portRef C (instanceRef slot1_zspi_write_reg_q_17)) - (portRef C (instanceRef slot1_zspi_write_reg_q_18)) - (portRef C (instanceRef slot1_zspi_write_reg_q_19)) - (portRef C (instanceRef slot1_zspi_write_reg_q_20)) - (portRef C (instanceRef slot1_zspi_write_reg_q_21)) - (portRef C (instanceRef slot1_zspi_write_reg_q_22)) - (portRef C (instanceRef slot1_zspi_write_reg_q_23)) - (portRef C (instanceRef slot1_zspi_write_reg_q_24)) - (portRef C (instanceRef slot1_zspi_write_reg_q_25)) - (portRef C (instanceRef slot1_zspi_write_reg_q_26)) - (portRef C (instanceRef slot1_zspi_write_reg_q_27)) - (portRef C (instanceRef slot1_zspi_write_reg_q_28)) - (portRef C (instanceRef slot1_zspi_write_reg_q_29)) - (portRef C (instanceRef slot1_zspi_write_reg_q_30)) - (portRef C (instanceRef slot1_zspi_write_reg_q_31)) - (portRef C (instanceRef slot1_zspi_read_reg_q_0)) - (portRef C (instanceRef slot1_zspi_read_reg_q_1)) - (portRef C (instanceRef slot1_zspi_read_reg_q_2)) - (portRef C (instanceRef slot1_zspi_read_reg_q_3)) - (portRef C (instanceRef slot1_zspi_read_reg_q_4)) - (portRef C (instanceRef slot1_zspi_read_reg_q_5)) - (portRef C (instanceRef slot1_zspi_read_reg_q_6)) - (portRef C (instanceRef slot1_zspi_read_reg_q_7)) - (portRef C (instanceRef slot1_zspi_read_reg_q_8)) - (portRef C (instanceRef slot1_zspi_read_reg_q_9)) - (portRef C (instanceRef slot1_zspi_read_reg_q_10)) - (portRef C (instanceRef slot1_zspi_read_reg_q_11)) - (portRef C (instanceRef slot1_zspi_read_reg_q_12)) - (portRef C (instanceRef slot1_zspi_read_reg_q_13)) - (portRef C (instanceRef slot1_zspi_read_reg_q_14)) - (portRef C (instanceRef slot1_zspi_read_reg_q_15)) - (portRef C (instanceRef slot1_zspi_read_reg_q_16)) - (portRef C (instanceRef slot1_zspi_read_reg_q_17)) - (portRef C (instanceRef slot1_zspi_read_reg_q_18)) - (portRef C (instanceRef slot1_zspi_read_reg_q_19)) - (portRef C (instanceRef slot1_zspi_read_reg_q_20)) - (portRef C (instanceRef slot1_zspi_read_reg_q_21)) - (portRef C (instanceRef slot1_zspi_read_reg_q_22)) - (portRef C (instanceRef slot1_zspi_read_reg_q_23)) - (portRef C (instanceRef slot1_zspi_read_reg_q_24)) - (portRef C (instanceRef slot1_zspi_read_reg_q_25)) - (portRef C (instanceRef slot1_zspi_read_reg_q_26)) - (portRef C (instanceRef slot1_zspi_read_reg_q_27)) - (portRef C (instanceRef slot1_zspi_read_reg_q_28)) - (portRef C (instanceRef slot1_zspi_read_reg_q_29)) - (portRef C (instanceRef slot1_zspi_read_reg_q_30)) - (portRef C (instanceRef slot1_zspi_read_reg_q_31)) - (portRef C (instanceRef slot1_zspi_mosi_renamed_807)) - (portRef C (instanceRef slot1_zspi_count_0)) - (portRef C (instanceRef slot1_zspi_count_1)) - (portRef C (instanceRef slot1_zspi_count_2)) - (portRef C (instanceRef slot1_zspi_count_3)) - (portRef C (instanceRef slot1_zspi_count_4)) - (portRef C (instanceRef slot1_zspi_count_5)) - (portRef C (instanceRef clkgen_inst_rst2_q_renamed_811)) - (portRef C (instanceRef clkgen_inst_rst1_q_renamed_810)) - (portRef O (instanceRef clkgen_inst_clkfx_inst)) - (portRef C (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef C (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef C (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef C (instanceRef slot0_zspiclk_clkrise_i_renamed_826)) - (portRef C (instanceRef slot0_zspiclk_clkfall_i_renamed_825)) - (portRef C (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef C (instanceRef slot0_zspiclk_running_q_renamed_823)) - (portRef C (instanceRef slot0_zspiclk_spiclk_renamed_822)) - (portRef C (instanceRef slot0_zspiclk_clk_i_renamed_821)) - (portRef C (instanceRef slot0_zspiclk_pr_ck64_q_renamed_812)) - (portRef C (instanceRef slot0_zspiclk_pr_ck1024_q_renamed_813)) - (portRef C (instanceRef slot0_zspiclk_pr_ck8_q_renamed_814)) - (portRef C (instanceRef slot0_zspiclk_pr_ck16_q_renamed_815)) - (portRef C (instanceRef slot0_zspiclk_pr_ck2_q_renamed_816)) - (portRef C (instanceRef slot0_zspiclk_pr_ck4_q_renamed_817)) - (portRef C (instanceRef slot0_zspiclk_pr_ck256_q_renamed_818)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_0)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_1)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_2)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_3)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_4)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_5)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_6)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_7)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_8)) - (portRef C (instanceRef slot0_zspiclk_pr_counter_9)) - (portRef C (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef C (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef C (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef C (instanceRef slot1_zspiclk_clkrise_i_renamed_841)) - (portRef C (instanceRef slot1_zspiclk_clkfall_i_renamed_840)) - (portRef C (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef C (instanceRef slot1_zspiclk_running_q_renamed_838)) - (portRef C (instanceRef slot1_zspiclk_spiclk_renamed_837)) - (portRef C (instanceRef slot1_zspiclk_clk_i_renamed_836)) - (portRef C (instanceRef slot1_zspiclk_pr_ck64_q_renamed_827)) - (portRef C (instanceRef slot1_zspiclk_pr_ck1024_q_renamed_828)) - (portRef C (instanceRef slot1_zspiclk_pr_ck8_q_renamed_829)) - (portRef C (instanceRef slot1_zspiclk_pr_ck16_q_renamed_830)) - (portRef C (instanceRef slot1_zspiclk_pr_ck2_q_renamed_831)) - (portRef C (instanceRef slot1_zspiclk_pr_ck4_q_renamed_832)) - (portRef C (instanceRef slot1_zspiclk_pr_ck256_q_renamed_833)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_0)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_1)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_2)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_3)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_4)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_5)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_6)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_7)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_8)) - (portRef C (instanceRef slot1_zspiclk_pr_counter_9)) - (portRef C (instanceRef uart_inst_dready_q_renamed_856)) - (portRef C (instanceRef uart_inst_divider_rx_q_0)) - (portRef C (instanceRef uart_inst_divider_rx_q_1)) - (portRef C (instanceRef uart_inst_divider_rx_q_2)) - (portRef C (instanceRef uart_inst_divider_rx_q_3)) - (portRef C (instanceRef uart_inst_divider_rx_q_4)) - (portRef C (instanceRef uart_inst_divider_rx_q_5)) - (portRef C (instanceRef uart_inst_divider_rx_q_6)) - (portRef C (instanceRef uart_inst_divider_rx_q_7)) - (portRef C (instanceRef uart_inst_divider_rx_q_8)) - (portRef C (instanceRef uart_inst_divider_rx_q_9)) - (portRef C (instanceRef uart_inst_divider_rx_q_10)) - (portRef C (instanceRef uart_inst_divider_rx_q_11)) - (portRef C (instanceRef uart_inst_divider_rx_q_12)) - (portRef C (instanceRef uart_inst_divider_rx_q_13)) - (portRef C (instanceRef uart_inst_divider_rx_q_14)) - (portRef C (instanceRef uart_inst_divider_rx_q_15)) - (portRef C (instanceRef uart_inst_data_ready_dly_q_renamed_855)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_0)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_1)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_2)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_3)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_4)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_5)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_6)) - (portRef C (instanceRef uart_inst_tx_core_tbuff_r_7)) - (portRef C (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef C (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - (portRef C (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef C (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef C (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef C (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef C (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef C (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef C (instanceRef uart_inst_tx_core_t_r_0)) - (portRef C (instanceRef uart_inst_tx_core_t_r_1)) - (portRef C (instanceRef uart_inst_tx_core_t_r_2)) - (portRef C (instanceRef uart_inst_tx_core_t_r_3)) - (portRef C (instanceRef uart_inst_tx_core_t_r_4)) - (portRef C (instanceRef uart_inst_tx_core_t_r_5)) - (portRef C (instanceRef uart_inst_tx_core_t_r_6)) - (portRef C (instanceRef uart_inst_tx_core_t_r_7)) - (portRef C (instanceRef uart_inst_rx_inst_datacount_0)) - (portRef C (instanceRef uart_inst_rx_inst_datacount_1)) - (portRef C (instanceRef uart_inst_rx_inst_datacount_2)) - (portRef C (instanceRef uart_inst_rx_inst_baudreset_renamed_848)) - (portRef C (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_7)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_6)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_5)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_4)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_3)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_2)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_1)) - (portRef C (instanceRef uart_inst_rx_inst_rxd_0)) - (portRef C (instanceRef uart_inst_rx_inst_datao_0)) - (portRef C (instanceRef uart_inst_rx_inst_datao_1)) - (portRef C (instanceRef uart_inst_rx_inst_datao_2)) - (portRef C (instanceRef uart_inst_rx_inst_datao_3)) - (portRef C (instanceRef uart_inst_rx_inst_datao_4)) - (portRef C (instanceRef uart_inst_rx_inst_datao_5)) - (portRef C (instanceRef uart_inst_rx_inst_datao_6)) - (portRef C (instanceRef uart_inst_rx_inst_datao_7)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef C (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef C (instanceRef uart_inst_rx_inst_state_FSM_FFd2_renamed_846)) - (portRef C (instanceRef uart_inst_rx_inst_state_FSM_FFd1_renamed_847)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_clkout_renamed_845)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_0)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_1)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_2)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_3)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_4)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_5)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_6)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_7)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_8)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_9)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_10)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_11)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_12)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_13)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_14)) - (portRef C (instanceRef uart_inst_rx_inst_baudgen_cnt_15)) - (portRef C (instanceRef uart_inst_tx_timer_clkout_renamed_844)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_0)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_1)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_2)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_3)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_4)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_5)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_6)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_7)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_8)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_9)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_10)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_11)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_12)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_13)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_14)) - (portRef C (instanceRef uart_inst_tx_timer_cnt_15)) - (portRef C (instanceRef uart_inst_rx_timer_clkout_renamed_843)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_0)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_1)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_2)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_3)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_4)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_5)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_6)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_7)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_8)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_9)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_10)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_11)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_12)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_13)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_14)) - (portRef C (instanceRef uart_inst_rx_timer_cnt_15)) - (portRef C (instanceRef uart_inst_fifo_instance_empty_renamed_842)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef C (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef C (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_intr_renamed_997)) - (portRef C (instanceRef timers_inst_timer1_inst_pwm_out_0)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef C (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_intr_renamed_858)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_renamed_860)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_presrst_renamed_878)) - (portRef C (instanceRef timers_inst_timer0_inst_pwm_out_0)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0_renamed_879)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1_renamed_880)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2_renamed_881)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3_renamed_882)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4_renamed_883)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5_renamed_884)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6_renamed_885)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7_renamed_886)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8_renamed_887)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9_renamed_888)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10_renamed_889)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11_renamed_890)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12_renamed_891)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13_renamed_892)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14_renamed_893)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15_renamed_894)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_0_renamed_895)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_1_renamed_896)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_2_renamed_897)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_3_renamed_898)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_4_renamed_899)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_5_renamed_900)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_6_renamed_901)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_7_renamed_902)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_8_renamed_903)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_9_renamed_904)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_10_renamed_905)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_11_renamed_906)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_12_renamed_907)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_13_renamed_908)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_14_renamed_909)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cmp_15_renamed_910)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0_renamed_933)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1_renamed_934)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2_renamed_935)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3_renamed_936)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4_renamed_937)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5_renamed_938)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6_renamed_939)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7_renamed_940)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8_renamed_941)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9_renamed_942)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10_renamed_943)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11_renamed_944)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12_renamed_945)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13_renamed_946)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14_renamed_947)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15_renamed_948)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef C (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_0)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_1)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_2)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_3)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_4)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_5)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_6)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_7)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_8)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_9)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_10)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_11)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_12)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_13)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_14)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_15)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_16)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_17)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_18)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_19)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_20)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_21)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_22)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_23)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_24)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_25)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_26)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_27)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_28)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_29)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_30)) - (portRef C (instanceRef timers_inst_timer0_inst_TSC_q_31)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8)) - (portRef C (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9)) - (portRef C (instanceRef slot11_dready_q_renamed_1116)) - (portRef C (instanceRef slot11_divider_rx_q_0)) - (portRef C (instanceRef slot11_divider_rx_q_1)) - (portRef C (instanceRef slot11_divider_rx_q_2)) - (portRef C (instanceRef slot11_divider_rx_q_3)) - (portRef C (instanceRef slot11_divider_rx_q_4)) - (portRef C (instanceRef slot11_divider_rx_q_5)) - (portRef C (instanceRef slot11_divider_rx_q_6)) - (portRef C (instanceRef slot11_divider_rx_q_7)) - (portRef C (instanceRef slot11_divider_rx_q_8)) - (portRef C (instanceRef slot11_divider_rx_q_9)) - (portRef C (instanceRef slot11_divider_rx_q_10)) - (portRef C (instanceRef slot11_divider_rx_q_11)) - (portRef C (instanceRef slot11_divider_rx_q_12)) - (portRef C (instanceRef slot11_divider_rx_q_13)) - (portRef C (instanceRef slot11_divider_rx_q_14)) - (portRef C (instanceRef slot11_divider_rx_q_15)) - (portRef C (instanceRef slot11_data_ready_dly_q_renamed_1115)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_0)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_1)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_2)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_3)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_4)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_5)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_6)) - (portRef C (instanceRef slot11_tx_core_tbuff_r_7)) - (portRef C (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef C (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef C (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef C (instanceRef slot11_tx_core_bitpos_0)) - (portRef C (instanceRef slot11_tx_core_bitpos_1)) - (portRef C (instanceRef slot11_tx_core_bitpos_2)) - (portRef C (instanceRef slot11_tx_core_bitpos_3)) - (portRef C (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef C (instanceRef slot11_tx_core_t_r_0)) - (portRef C (instanceRef slot11_tx_core_t_r_1)) - (portRef C (instanceRef slot11_tx_core_t_r_2)) - (portRef C (instanceRef slot11_tx_core_t_r_3)) - (portRef C (instanceRef slot11_tx_core_t_r_4)) - (portRef C (instanceRef slot11_tx_core_t_r_5)) - (portRef C (instanceRef slot11_tx_core_t_r_6)) - (portRef C (instanceRef slot11_tx_core_t_r_7)) - (portRef C (instanceRef slot11_rx_inst_datacount_0)) - (portRef C (instanceRef slot11_rx_inst_datacount_1)) - (portRef C (instanceRef slot11_rx_inst_datacount_2)) - (portRef C (instanceRef slot11_rx_inst_baudreset_renamed_1108)) - (portRef C (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef C (instanceRef slot11_rx_inst_rxd_7)) - (portRef C (instanceRef slot11_rx_inst_rxd_6)) - (portRef C (instanceRef slot11_rx_inst_rxd_5)) - (portRef C (instanceRef slot11_rx_inst_rxd_4)) - (portRef C (instanceRef slot11_rx_inst_rxd_3)) - (portRef C (instanceRef slot11_rx_inst_rxd_2)) - (portRef C (instanceRef slot11_rx_inst_rxd_1)) - (portRef C (instanceRef slot11_rx_inst_rxd_0)) - (portRef C (instanceRef slot11_rx_inst_datao_0)) - (portRef C (instanceRef slot11_rx_inst_datao_1)) - (portRef C (instanceRef slot11_rx_inst_datao_2)) - (portRef C (instanceRef slot11_rx_inst_datao_3)) - (portRef C (instanceRef slot11_rx_inst_datao_4)) - (portRef C (instanceRef slot11_rx_inst_datao_5)) - (portRef C (instanceRef slot11_rx_inst_datao_6)) - (portRef C (instanceRef slot11_rx_inst_datao_7)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_sout_renamed_1110)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_count_q_0)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_count_q_1)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_count_q_2)) - (portRef C (instanceRef slot11_rx_inst_rxmvfilter_count_q_3)) - (portRef C (instanceRef slot11_rx_inst_state_FSM_FFd2_renamed_1106)) - (portRef C (instanceRef slot11_rx_inst_state_FSM_FFd1_renamed_1107)) - (portRef C (instanceRef slot11_rx_inst_baudgen_clkout_renamed_1105)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_0)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_1)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_2)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_3)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_4)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_5)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_6)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_7)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_8)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_9)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_10)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_11)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_12)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_13)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_14)) - (portRef C (instanceRef slot11_rx_inst_baudgen_cnt_15)) - (portRef C (instanceRef slot11_tx_timer_clkout_renamed_1104)) - (portRef C (instanceRef slot11_tx_timer_cnt_0)) - (portRef C (instanceRef slot11_tx_timer_cnt_1)) - (portRef C (instanceRef slot11_tx_timer_cnt_2)) - (portRef C (instanceRef slot11_tx_timer_cnt_3)) - (portRef C (instanceRef slot11_tx_timer_cnt_4)) - (portRef C (instanceRef slot11_tx_timer_cnt_5)) - (portRef C (instanceRef slot11_tx_timer_cnt_6)) - (portRef C (instanceRef slot11_tx_timer_cnt_7)) - (portRef C (instanceRef slot11_tx_timer_cnt_8)) - (portRef C (instanceRef slot11_tx_timer_cnt_9)) - (portRef C (instanceRef slot11_tx_timer_cnt_10)) - (portRef C (instanceRef slot11_tx_timer_cnt_11)) - (portRef C (instanceRef slot11_tx_timer_cnt_12)) - (portRef C (instanceRef slot11_tx_timer_cnt_13)) - (portRef C (instanceRef slot11_tx_timer_cnt_14)) - (portRef C (instanceRef slot11_tx_timer_cnt_15)) - (portRef C (instanceRef slot11_rx_timer_clkout_renamed_1103)) - (portRef C (instanceRef slot11_rx_timer_cnt_0)) - (portRef C (instanceRef slot11_rx_timer_cnt_1)) - (portRef C (instanceRef slot11_rx_timer_cnt_2)) - (portRef C (instanceRef slot11_rx_timer_cnt_3)) - (portRef C (instanceRef slot11_rx_timer_cnt_4)) - (portRef C (instanceRef slot11_rx_timer_cnt_5)) - (portRef C (instanceRef slot11_rx_timer_cnt_6)) - (portRef C (instanceRef slot11_rx_timer_cnt_7)) - (portRef C (instanceRef slot11_rx_timer_cnt_8)) - (portRef C (instanceRef slot11_rx_timer_cnt_9)) - (portRef C (instanceRef slot11_rx_timer_cnt_10)) - (portRef C (instanceRef slot11_rx_timer_cnt_11)) - (portRef C (instanceRef slot11_rx_timer_cnt_12)) - (portRef C (instanceRef slot11_rx_timer_cnt_13)) - (portRef C (instanceRef slot11_rx_timer_cnt_14)) - (portRef C (instanceRef slot11_rx_timer_cnt_15)) - (portRef C (instanceRef slot11_fifo_instance_empty_renamed_1102)) - (portRef C (instanceRef slot11_fifo_instance_read_0)) - (portRef C (instanceRef slot11_fifo_instance_read_1)) - (portRef C (instanceRef slot11_fifo_instance_read_2)) - (portRef C (instanceRef slot11_fifo_instance_read_3)) - (portRef C (instanceRef slot11_fifo_instance_read_4)) - (portRef C (instanceRef slot11_fifo_instance_read_5)) - (portRef C (instanceRef slot11_fifo_instance_read_6)) - (portRef C (instanceRef slot11_fifo_instance_read_7)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory1)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory2)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory3)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory4)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory5)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory8)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory6)) - (portRef WCLK (instanceRef slot11_fifo_instance_Mram_memory7)) - (portRef C (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef C (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef C (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef C (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef C (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef C (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef C (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef C (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef C (instanceRef gpio_inst_gpio_o_24)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_24)) - (portRef C (instanceRef gpio_inst_gpio_o_25)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_25)) - (portRef C (instanceRef gpio_inst_gpio_o_26)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_26)) - (portRef C (instanceRef gpio_inst_gpio_o_27)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_27)) - (portRef C (instanceRef gpio_inst_gpio_o_28)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_28)) - (portRef C (instanceRef gpio_inst_gpio_o_29)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_29)) - (portRef C (instanceRef gpio_inst_gpio_o_30)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_30)) - (portRef C (instanceRef gpio_inst_gpio_o_31)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_31)) - (portRef C (instanceRef gpio_inst_gpio_o_42)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef C (instanceRef gpio_inst_gpio_o_43)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef C (instanceRef gpio_inst_gpio_o_32)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef C (instanceRef gpio_inst_gpio_o_44)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef C (instanceRef gpio_inst_gpio_o_33)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef C (instanceRef gpio_inst_gpio_o_45)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef C (instanceRef gpio_inst_gpio_o_46)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef C (instanceRef gpio_inst_gpio_o_47)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef C (instanceRef gpio_inst_gpio_o_40)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef C (instanceRef gpio_inst_gpio_o_41)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_41)) - (portRef C (instanceRef gpio_inst_gpio_o_15)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef C (instanceRef gpio_inst_gpio_o_14)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef C (instanceRef gpio_inst_gpio_o_13)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef C (instanceRef gpio_inst_gpio_o_12)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef C (instanceRef gpio_inst_gpio_o_11)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef C (instanceRef gpio_inst_gpio_o_10)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef C (instanceRef gpio_inst_gpio_o_9)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef C (instanceRef gpio_inst_gpio_o_8)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef C (instanceRef gpio_inst_gpio_o_7)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef C (instanceRef gpio_inst_gpio_o_6)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef C (instanceRef gpio_inst_gpio_o_5)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef C (instanceRef gpio_inst_gpio_o_4)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef C (instanceRef gpio_inst_gpio_o_3)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef C (instanceRef gpio_inst_gpio_o_2)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef C (instanceRef gpio_inst_gpio_o_1)) - (portRef C (instanceRef gpio_inst_gpio_tris_q_0)) - (portRef C (instanceRef gpio_inst_gpio_o_0)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef CLKA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef CLKB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef CLKA (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef CLKA (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef CLKA (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef CLKA (instanceRef zpuino_stack_stack)) - (portRef CLKB (instanceRef zpuino_stack_stack)) - (portRef CLKA (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef CLKB (instanceRef uart_inst_fifo_instance_Mram_memory)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef C (instanceRef zpuino_core_shl_output_2)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef C (instanceRef zpuino_core_shl_output_0)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef C (instanceRef zpuino_core_shl_output_1)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef C (instanceRef zpuino_core_shl_output_3)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef C (instanceRef zpuino_core_shl_output_4)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef C (instanceRef zpuino_core_shl_output_5)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef C (instanceRef zpuino_core_shl_output_6)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef C (instanceRef zpuino_core_shl_output_7)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef C (instanceRef zpuino_core_shl_output_8)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef C (instanceRef zpuino_core_shl_output_9)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef C (instanceRef zpuino_core_shl_output_10)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef C (instanceRef zpuino_core_shl_output_11)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef C (instanceRef zpuino_core_shl_output_12)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef C (instanceRef zpuino_core_shl_output_13)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef C (instanceRef zpuino_core_shl_output_14)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef C (instanceRef zpuino_core_shl_output_15)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef C (instanceRef zpuino_core_shl_output_16)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef C (instanceRef zpuino_core_shl_output_19)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef C (instanceRef zpuino_core_shl_output_17)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef C (instanceRef zpuino_core_shl_output_18)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef C (instanceRef zpuino_core_shl_output_20)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef C (instanceRef zpuino_core_shl_output_21)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef C (instanceRef zpuino_core_shl_output_22)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef C (instanceRef zpuino_core_shl_output_23)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef C (instanceRef zpuino_core_shl_output_24)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef C (instanceRef zpuino_core_shl_output_25)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef C (instanceRef zpuino_core_shl_output_26)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef C (instanceRef zpuino_core_shl_output_27)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef C (instanceRef zpuino_core_shl_output_28)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef C (instanceRef zpuino_core_shl_output_29)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef C (instanceRef zpuino_core_shl_output_30)) - (portRef CLK (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef C (instanceRef zpuino_core_shl_output_31)) - (portRef CLK (instanceRef pin47_sync_Mshreg_ff2_renamed_2456)) - (portRef C (instanceRef pin47_sync_ff2_renamed_2457)) - (portRef CLK (instanceRef pin46_sync_Mshreg_ff2_renamed_2458)) - (portRef C (instanceRef pin46_sync_ff2_renamed_2459)) - (portRef CLK (instanceRef pin43_sync_Mshreg_ff2_renamed_2460)) - (portRef C (instanceRef pin43_sync_ff2_renamed_2461)) - (portRef CLK (instanceRef pin45_sync_Mshreg_ff2_renamed_2462)) - (portRef C (instanceRef pin45_sync_ff2_renamed_2463)) - (portRef CLK (instanceRef pin44_sync_Mshreg_ff2_renamed_2464)) - (portRef C (instanceRef pin44_sync_ff2_renamed_2465)) - (portRef CLK (instanceRef pin42_sync_Mshreg_ff2_renamed_2466)) - (portRef C (instanceRef pin42_sync_ff2_renamed_2467)) - (portRef CLK (instanceRef pin41_sync_Mshreg_ff2_renamed_2468)) - (portRef C (instanceRef pin41_sync_ff2_renamed_2469)) - (portRef CLK (instanceRef pin40_sync_Mshreg_ff2_renamed_2470)) - (portRef C (instanceRef pin40_sync_ff2_renamed_2471)) - (portRef CLK (instanceRef pin33_sync_Mshreg_ff2_renamed_2472)) - (portRef C (instanceRef pin33_sync_ff2_renamed_2473)) - (portRef CLK (instanceRef pin32_sync_Mshreg_ff2_renamed_2474)) - (portRef C (instanceRef pin32_sync_ff2_renamed_2475)) - (portRef CLK (instanceRef pin31_sync_Mshreg_ff2_renamed_2476)) - (portRef C (instanceRef pin31_sync_ff2_renamed_2477)) - (portRef CLK (instanceRef pin30_sync_Mshreg_ff2_renamed_2478)) - (portRef C (instanceRef pin30_sync_ff2_renamed_2479)) - (portRef CLK (instanceRef pin29_sync_Mshreg_ff2_renamed_2480)) - (portRef C (instanceRef pin29_sync_ff2_renamed_2481)) - (portRef CLK (instanceRef pin28_sync_Mshreg_ff2_renamed_2482)) - (portRef C (instanceRef pin28_sync_ff2_renamed_2483)) - (portRef CLK (instanceRef pin27_sync_Mshreg_ff2_renamed_2484)) - (portRef C (instanceRef pin27_sync_ff2_renamed_2485)) - (portRef CLK (instanceRef pin26_sync_Mshreg_ff2_renamed_2486)) - (portRef C (instanceRef pin26_sync_ff2_renamed_2487)) - (portRef CLK (instanceRef pin25_sync_Mshreg_ff2_renamed_2488)) - (portRef C (instanceRef pin25_sync_ff2_renamed_2489)) - (portRef CLK (instanceRef pin24_sync_Mshreg_ff2_renamed_2490)) - (portRef C (instanceRef pin24_sync_ff2_renamed_2491)) - (portRef CLK (instanceRef pin15_sync_Mshreg_ff2_renamed_2492)) - (portRef C (instanceRef pin15_sync_ff2_renamed_2493)) - (portRef CLK (instanceRef pin14_sync_Mshreg_ff2_renamed_2494)) - (portRef C (instanceRef pin14_sync_ff2_renamed_2495)) - (portRef CLK (instanceRef pin13_sync_Mshreg_ff2_renamed_2496)) - (portRef C (instanceRef pin13_sync_ff2_renamed_2497)) - (portRef CLK (instanceRef pin12_sync_Mshreg_ff2_renamed_2498)) - (portRef C (instanceRef pin12_sync_ff2_renamed_2499)) - (portRef CLK (instanceRef pin11_sync_Mshreg_ff2_renamed_2500)) - (portRef C (instanceRef pin11_sync_ff2_renamed_2501)) - (portRef CLK (instanceRef pin10_sync_Mshreg_ff2_renamed_2502)) - (portRef C (instanceRef pin10_sync_ff2_renamed_2503)) - (portRef CLK (instanceRef pin09_sync_Mshreg_ff2_renamed_2504)) - (portRef C (instanceRef pin09_sync_ff2_renamed_2505)) - (portRef CLK (instanceRef pin08_sync_Mshreg_ff2_renamed_2506)) - (portRef C (instanceRef pin08_sync_ff2_renamed_2507)) - (portRef CLK (instanceRef pin07_sync_Mshreg_ff2_renamed_2508)) - (portRef C (instanceRef pin07_sync_ff2_renamed_2509)) - (portRef CLK (instanceRef pin06_sync_Mshreg_ff2_renamed_2510)) - (portRef C (instanceRef pin06_sync_ff2_renamed_2511)) - (portRef CLK (instanceRef pin05_sync_Mshreg_ff2_renamed_2512)) - (portRef C (instanceRef pin05_sync_ff2_renamed_2513)) - (portRef CLK (instanceRef pin04_sync_Mshreg_ff2_renamed_2514)) - (portRef C (instanceRef pin04_sync_ff2_renamed_2515)) - (portRef CLK (instanceRef pin03_sync_Mshreg_ff2_renamed_2516)) - (portRef C (instanceRef pin03_sync_ff2_renamed_2517)) - (portRef CLK (instanceRef pin02_sync_Mshreg_ff2_renamed_2518)) - (portRef C (instanceRef pin02_sync_ff2_renamed_2519)) - (portRef CLK (instanceRef pin01_sync_Mshreg_ff2_renamed_2520)) - (portRef C (instanceRef pin01_sync_ff2_renamed_2521)) - (portRef CLK (instanceRef pin00_sync_Mshreg_ff2_renamed_2522)) - (portRef C (instanceRef pin00_sync_ff2_renamed_2523)) - (portRef CLK (instanceRef ibufrx_sync_Mshreg_ff2_renamed_2524)) - (portRef C (instanceRef ibufrx_sync_ff2_renamed_2525)) - ) - ) - (net sysrst - (joined - (portRef R (instanceRef sigmadelta_inst_dat_q1_11)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_7)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_13)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_12)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_8)) - (portRef S (instanceRef sigmadelta_inst_dat_q1_15)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_9)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_14)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_0)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_1)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_10)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_3)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_2)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_11)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_13)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_12)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_4)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_6)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_5)) - (portRef R (instanceRef sigmadelta_inst_dat_q2_14)) - (portRef S (instanceRef sigmadelta_inst_dat_q2_15)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_7)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_9)) - (portRef R (instanceRef sigmadelta_inst_dat_q1_8)) - (portRef R (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef R (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef R (instanceRef sigmadelta_inst_sdout_0)) - (portRef R (instanceRef sigmadelta_inst_sdout_1)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_0)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_1)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_4)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_2)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_3)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_5)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_6)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_9)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_7)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_8)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_10)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_11)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_14)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_12)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_13)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_15)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch1_16)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_0)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_1)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_2)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_5)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_3)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_4)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_6)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_7)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_10)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_8)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_9)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_11)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_12)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_15)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_13)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_14)) - (portRef R (instanceRef sigmadelta_inst_sigma_latch2_16)) - (portRef S (instanceRef sigmadelta_inst_sigma_latch1_17)) - (portRef S (instanceRef sigmadelta_inst_sigma_latch2_17)) - (portRef S (instanceRef crc16_inst_crc_q_0)) - (portRef S (instanceRef crc16_inst_crc_q_1)) - (portRef S (instanceRef crc16_inst_crc_q_2)) - (portRef S (instanceRef crc16_inst_crc_q_3)) - (portRef S (instanceRef crc16_inst_crc_q_4)) - (portRef S (instanceRef crc16_inst_crc_q_5)) - (portRef S (instanceRef crc16_inst_crc_q_6)) - (portRef S (instanceRef crc16_inst_crc_q_7)) - (portRef S (instanceRef crc16_inst_crc_q_8)) - (portRef S (instanceRef crc16_inst_crc_q_9)) - (portRef S (instanceRef crc16_inst_crc_q_10)) - (portRef S (instanceRef crc16_inst_crc_q_11)) - (portRef S (instanceRef crc16_inst_crc_q_12)) - (portRef S (instanceRef crc16_inst_crc_q_13)) - (portRef S (instanceRef crc16_inst_crc_q_14)) - (portRef S (instanceRef crc16_inst_crc_q_15)) - (portRef S (instanceRef crc16_inst_ready_q_renamed_796)) - (portRef S (instanceRef crc16_inst_poly_q_0)) - (portRef R (instanceRef crc16_inst_poly_q_1)) - (portRef R (instanceRef crc16_inst_poly_q_2)) - (portRef R (instanceRef crc16_inst_poly_q_3)) - (portRef R (instanceRef crc16_inst_poly_q_4)) - (portRef R (instanceRef crc16_inst_poly_q_5)) - (portRef R (instanceRef crc16_inst_poly_q_6)) - (portRef R (instanceRef crc16_inst_poly_q_7)) - (portRef R (instanceRef crc16_inst_poly_q_8)) - (portRef R (instanceRef crc16_inst_poly_q_9)) - (portRef R (instanceRef crc16_inst_poly_q_10)) - (portRef R (instanceRef crc16_inst_poly_q_11)) - (portRef R (instanceRef crc16_inst_poly_q_12)) - (portRef S (instanceRef crc16_inst_poly_q_13)) - (portRef R (instanceRef crc16_inst_poly_q_14)) - (portRef S (instanceRef crc16_inst_poly_q_15)) - (portRef R (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef R (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef R (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef S (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef R (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef S (instanceRef slot0_zspi_ready_q_renamed_803)) - (portRef R (instanceRef slot0_zspi_count_0)) - (portRef R (instanceRef slot0_zspi_count_1)) - (portRef R (instanceRef slot0_zspi_count_2)) - (portRef R (instanceRef slot0_zspi_count_3)) - (portRef R (instanceRef slot0_zspi_count_4)) - (portRef R (instanceRef slot0_zspi_count_5)) - (portRef S (instanceRef slot1_zspi_ready_q_renamed_808)) - (portRef R (instanceRef slot1_zspi_count_0)) - (portRef R (instanceRef slot1_zspi_count_1)) - (portRef R (instanceRef slot1_zspi_count_2)) - (portRef R (instanceRef slot1_zspi_count_3)) - (portRef R (instanceRef slot1_zspi_count_4)) - (portRef R (instanceRef slot1_zspi_count_5)) - (portRef R (instanceRef slot0_zspiclk_prescale_q_0)) - (portRef R (instanceRef slot0_zspiclk_prescale_q_1)) - (portRef R (instanceRef slot0_zspiclk_prescale_q_2)) - (portRef R (instanceRef slot0_zspiclk_prescale_reset_renamed_824)) - (portRef R (instanceRef slot1_zspiclk_prescale_q_0)) - (portRef R (instanceRef slot1_zspiclk_prescale_q_1)) - (portRef R (instanceRef slot1_zspiclk_prescale_q_2)) - (portRef R (instanceRef slot1_zspiclk_prescale_reset_renamed_839)) - (portRef R (instanceRef uart_inst_data_ready_dly_q_renamed_855)) - (portRef S (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef S (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - (portRef R (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef R (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef R (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef R (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef R (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef R (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef R (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef R (instanceRef uart_inst_rx_inst_state_FSM_FFd2_renamed_846)) - (portRef R (instanceRef uart_inst_rx_inst_state_FSM_FFd1_renamed_847)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef R (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef R (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef S (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef S (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_0)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_1)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_2)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_3)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_4)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_5)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_6)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_7)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_8)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_9)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_10)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_11)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_12)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_13)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_14)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_15)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_16)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_17)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_18)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_19)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_20)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_21)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_22)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_23)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_24)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_25)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_26)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_27)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_28)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_29)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_30)) - (portRef R (instanceRef timers_inst_timer0_inst_TSC_q_31)) - (portRef R (instanceRef slot11_data_ready_dly_q_renamed_1115)) - (portRef S (instanceRef slot11_tx_core_idle_renamed_1111)) - (portRef S (instanceRef slot11_tx_core_txd_r_renamed_1112)) - (portRef R (instanceRef slot11_tx_core_loaded_r_renamed_1113)) - (portRef R (instanceRef slot11_tx_core_bitpos_0)) - (portRef R (instanceRef slot11_tx_core_bitpos_1)) - (portRef R (instanceRef slot11_tx_core_bitpos_2)) - (portRef R (instanceRef slot11_tx_core_bitpos_3)) - (portRef R (instanceRef slot11_tx_core_intx_o_renamed_1114)) - (portRef R (instanceRef slot11_rx_inst_dataready_renamed_1109)) - (portRef R (instanceRef slot11_rx_inst_state_FSM_FFd2_renamed_1106)) - (portRef R (instanceRef slot11_rx_inst_state_FSM_FFd1_renamed_1107)) - (portRef R (instanceRef slot11_fifo_instance_wraddr_0)) - (portRef R (instanceRef slot11_fifo_instance_wraddr_1)) - (portRef R (instanceRef slot11_fifo_instance_wraddr_2)) - (portRef R (instanceRef slot11_fifo_instance_wraddr_3)) - (portRef R (instanceRef slot11_fifo_instance_rdaddr_0)) - (portRef R (instanceRef slot11_fifo_instance_rdaddr_1)) - (portRef R (instanceRef slot11_fifo_instance_rdaddr_2)) - (portRef R (instanceRef slot11_fifo_instance_rdaddr_3)) - (portRef I2 (instanceRef slot1_zspi_ignore_sample_q_not00011)) - (portRef I2 (instanceRef slot0_zspi_ignore_sample_q_not00011)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudreset_or00001)) - (portRef I3 (instanceRef slot0_cpol_not00011)) - (portRef I0 (instanceRef slot1_spi_transfersize_q_not0001_renamed_1130)) - (portRef I0 (instanceRef slot0_spi_transfersize_q_not0001_renamed_1131)) - (portRef I3 (instanceRef slot0_trans_or00001)) - (portRef I0 (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - (portRef I3 (instanceRef uart_inst_divider_rx_q_not00011)) - (portRef I0 (instanceRef slot11_tx_core_tbuff_r_not00011)) - (portRef I3 (instanceRef slot11_divider_rx_q_not00011)) - (portRef I3 (instanceRef slot1_cpol_not00011)) - (portRef I3 (instanceRef slot1_trans_or00001)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - (portRef I3 (instanceRef crc16_inst_crcA_q_not00011)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - (portRef O (instanceRef rstgen_rstout_or00001)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - (portRef I0 (instanceRef slot11_fifo_instance__and000011)) - (portRef I2 (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - (portRef I3 (instanceRef slot1_zspiclk_spiclk_mux00001)) - (portRef I3 (instanceRef slot0_zspiclk_spiclk_mux00001)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_0_1)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and0000530)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_1_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_2_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_3_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_4_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_5_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_6_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_7_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_8_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_9_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_15_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_14_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_13_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_12_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_11_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - (portRef I2 (instanceRef slot11_rx_timer_cnt_mux0001_10_1)) - (portRef I3 (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - (portRef I3 (instanceRef uart_inst_rx_inst_datao_not00012)) - (portRef I3 (instanceRef slot11_rx_inst_rxd_0_not00011)) - (portRef I3 (instanceRef slot11_rx_inst_datao_not00012)) - (portRef I1 (instanceRef slot1_zspiclk_spiclk_not00011)) - (portRef I1 (instanceRef slot0_zspiclk_spiclk_not00011)) - (portRef S (instanceRef slot11_rx_inst_baudreset_or00001_f5)) - (portRef I0 (instanceRef zpuino_core_pfu_busy1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename timers_inst_timer0_cyc "timers_inst/timer0_cyc") - (joined - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef LO (instanceRef timers_inst_timer0_cyc1)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7_ "timers_inst/timer0_inst/Mcompar_ovf_cmp_eq0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9_ "timers_inst/timer0_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_0_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_10_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_10__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<10>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__rt_renamed_1799)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_11_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_11__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<11>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__rt_renamed_1800)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_12_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_12__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<12>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__rt_renamed_1801)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_13_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_13__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<13>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__rt_renamed_1802)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_14_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_14__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<14>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__rt_renamed_1803)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_15_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_16__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_15__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<15>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__rt_renamed_1804)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_16_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<16>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_17__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_16__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<16>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__rt_renamed_1805)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_16__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_17_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<17>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_18__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_17__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<17>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__rt_renamed_1806)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_17__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_18_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<18>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_19__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_18__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<18>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__rt_renamed_1807)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_18__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_19_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<19>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_20__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_19__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<19>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__rt_renamed_1808)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_19__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_1_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_1__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<1>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__rt_renamed_1790)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_20_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<20>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_21__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_20__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<20>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__rt_renamed_1809)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_20__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_21_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<21>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_22__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_21__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<21>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__rt_renamed_1810)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_21__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_22_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<22>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_23__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_22__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<22>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__rt_renamed_1811)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_22__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_23_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<23>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_24__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_23__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<23>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__rt_renamed_1812)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_23__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_24_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<24>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_25__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_24__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<24>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__rt_renamed_1813)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_24__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_25_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<25>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_26__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_25__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<25>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__rt_renamed_1814)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_25__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_26_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<26>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_27__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_26__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<26>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__rt_renamed_1815)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_26__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_27_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<27>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_28__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_27__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<27>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__rt_renamed_1816)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_27__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_28_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<28>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_29__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_28__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<28>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__rt_renamed_1817)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_28__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_29_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<29>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_30__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_29__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<29>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__rt_renamed_1818)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_29__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_2_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_2__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<2>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__rt_renamed_1791)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_30_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<30>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_30__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<30>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__rt_renamed_1819)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_30__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_3_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_3__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<3>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__rt_renamed_1792)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_4_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_4__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<4>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__rt_renamed_1793)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_5_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_5__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<5>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__rt_renamed_1794)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_6_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_6__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<6>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__rt_renamed_1795)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_7_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_7__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<7>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__rt_renamed_1796)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_8_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_8__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<8>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__rt_renamed_1797)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_9_ "timers_inst/timer0_inst/Mcount_TSC_q_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_cy_9__rt "timers_inst/timer0_inst/Mcount_TSC_q_cy<9>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__rt_renamed_1798)) - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_lut_0_ "timers_inst/timer0_inst/Mcount_TSC_q_lut<0>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_0__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_0__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_lut_0__INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_TSC_q_xor_31__rt "timers_inst/timer0_inst/Mcount_TSC_q_xor<31>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__rt_renamed_1844)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_0 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_0") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_1 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_10 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_10") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_11") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_12 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_12") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_13 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_13") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_14 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_14") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_15 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_15") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_2 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_2") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_3 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_3") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_4 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_4") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_5 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_5") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_6 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_6") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_7 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_7") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_8 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_8") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_9 "timers_inst/timer0_inst/Mcount_tmrr.cnt_eqn_9") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<0>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<10>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_10__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<11>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_11__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<12>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_12__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<13>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_13__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<14>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_14__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<15>") - (joined - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_15__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<1>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_1__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<2>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_2__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<3>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_3__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<4>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_4__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<5>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_5__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<6>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_6__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<7>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_7__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<8>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_8__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9_ "timers_inst/timer0_inst/Mcount_tmrr.cnt_lut<9>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef LI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_9__)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_0__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<0>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_10__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<10>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_1__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<1>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_2__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<2>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_3__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<3>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_4__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<4>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_5__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<5>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_6__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<6>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_7__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<7>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__3 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_3") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Mmux_wb_dat_o_9__4 "timers_inst/timer0_inst/Mmux_wb_dat_o<9>_4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_N01 "timers_inst/timer0_inst/N01") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_N12 "timers_inst/timer0_inst/N12") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_N3 "timers_inst/timer0_inst/N3") - (joined - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_N4 "timers_inst/timer0_inst/N4") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_N6 "timers_inst/timer0_inst/N6") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_SW1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - ) - ) - (net (rename timers_inst_timer0_inst_N7 "timers_inst/timer0_inst/N7") - (joined - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_0_ "timers_inst/timer0_inst/Result<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_0_1 "timers_inst/timer0_inst/Result<0>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_0)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_10_ "timers_inst/timer0_inst/Result<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_10__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_10_1 "timers_inst/timer0_inst/Result<10>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_10)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_11_ "timers_inst/timer0_inst/Result<11>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_11__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_11_1 "timers_inst/timer0_inst/Result<11>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_11)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_12_ "timers_inst/timer0_inst/Result<12>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_12__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_12_1 "timers_inst/timer0_inst/Result<12>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_12)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_13_ "timers_inst/timer0_inst/Result<13>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_13__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_13_1 "timers_inst/timer0_inst/Result<13>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_13)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_14_ "timers_inst/timer0_inst/Result<14>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_14__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_14_1 "timers_inst/timer0_inst/Result<14>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_14)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_15_ "timers_inst/timer0_inst/Result<15>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_15__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_15_1 "timers_inst/timer0_inst/Result<15>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_15)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_16_ "timers_inst/timer0_inst/Result<16>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_16)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_16__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_17_ "timers_inst/timer0_inst/Result<17>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_17)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_17__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_18_ "timers_inst/timer0_inst/Result<18>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_18)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_18__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_19_ "timers_inst/timer0_inst/Result<19>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_19)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_19__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_1_ "timers_inst/timer0_inst/Result<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_1__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_1_1 "timers_inst/timer0_inst/Result<1>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_1)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_20_ "timers_inst/timer0_inst/Result<20>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_20)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_20__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_21_ "timers_inst/timer0_inst/Result<21>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_21)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_21__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_22_ "timers_inst/timer0_inst/Result<22>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_22)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_22__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_23_ "timers_inst/timer0_inst/Result<23>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_23)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_23__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_24_ "timers_inst/timer0_inst/Result<24>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_24)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_24__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_25_ "timers_inst/timer0_inst/Result<25>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_25)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_25__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_26_ "timers_inst/timer0_inst/Result<26>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_26)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_26__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_27_ "timers_inst/timer0_inst/Result<27>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_27)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_27__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_28_ "timers_inst/timer0_inst/Result<28>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_28)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_28__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_29_ "timers_inst/timer0_inst/Result<29>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_29)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_29__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_2_ "timers_inst/timer0_inst/Result<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_2__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_2_1 "timers_inst/timer0_inst/Result<2>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_2)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_30_ "timers_inst/timer0_inst/Result<30>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_30)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_30__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_31_ "timers_inst/timer0_inst/Result<31>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_31)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_3_ "timers_inst/timer0_inst/Result<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_3__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_3_1 "timers_inst/timer0_inst/Result<3>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_3)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_4_ "timers_inst/timer0_inst/Result<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_4__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_4_1 "timers_inst/timer0_inst/Result<4>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_4)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_5_ "timers_inst/timer0_inst/Result<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_5__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_5_1 "timers_inst/timer0_inst/Result<5>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_5)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_6_ "timers_inst/timer0_inst/Result<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_6__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_6_1 "timers_inst/timer0_inst/Result<6>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_6)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_7_ "timers_inst/timer0_inst/Result<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_7__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_7_1 "timers_inst/timer0_inst/Result<7>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_7)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_8_ "timers_inst/timer0_inst/Result<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_8__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_8_1 "timers_inst/timer0_inst/Result<8>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_8)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_9_ "timers_inst/timer0_inst/Result<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_9__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91)) - ) - ) - (net (rename timers_inst_timer0_inst_Result_9_1 "timers_inst/timer0_inst/Result<9>1") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_TSC_q_9)) - (portRef O (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_0_ "timers_inst/timer0_inst/TSC_q<0>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_0)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967)) - (portRef I (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_lut_0__INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_1_ "timers_inst/timer0_inst/TSC_q<1>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_1__rt_renamed_1790)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_10_ "timers_inst/timer0_inst/TSC_q<10>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_10)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_10__rt_renamed_1799)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_11_ "timers_inst/timer0_inst/TSC_q<11>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_11)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_11_16_renamed_1397)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_11__rt_renamed_1800)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_12_ "timers_inst/timer0_inst/TSC_q<12>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_12)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_12_16_renamed_1396)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_12__rt_renamed_1801)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_13_ "timers_inst/timer0_inst/TSC_q<13>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_13)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_13_16_renamed_1395)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_13__rt_renamed_1802)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_14_ "timers_inst/timer0_inst/TSC_q<14>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_14)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_14_16_renamed_1394)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_14__rt_renamed_1803)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_15_ "timers_inst/timer0_inst/TSC_q<15>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_15)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_15_16_renamed_1393)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_15__rt_renamed_1804)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_16_ "timers_inst/timer0_inst/TSC_q<16>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_16)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_16__rt_renamed_1805)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_16__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_17_ "timers_inst/timer0_inst/TSC_q<17>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_17)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_17__rt_renamed_1806)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_17__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_18_ "timers_inst/timer0_inst/TSC_q<18>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_18)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_18__rt_renamed_1807)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_18__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_19_ "timers_inst/timer0_inst/TSC_q<19>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_19)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_19__rt_renamed_1808)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_19__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_2_ "timers_inst/timer0_inst/TSC_q<2>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_2)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_2__rt_renamed_1791)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_20_ "timers_inst/timer0_inst/TSC_q<20>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_20)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_20__rt_renamed_1809)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_20__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_21_ "timers_inst/timer0_inst/TSC_q<21>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_21)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_21__rt_renamed_1810)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_21__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_22_ "timers_inst/timer0_inst/TSC_q<22>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_22)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_22__rt_renamed_1811)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_22__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_23_ "timers_inst/timer0_inst/TSC_q<23>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_23)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_23__rt_renamed_1812)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_23__F)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_24_ "timers_inst/timer0_inst/TSC_q<24>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_24)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_24__rt_renamed_1813)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_24_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_25_ "timers_inst/timer0_inst/TSC_q<25>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_25)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_25__rt_renamed_1814)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_25_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_26_ "timers_inst/timer0_inst/TSC_q<26>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_26)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_26__rt_renamed_1815)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_26_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_27_ "timers_inst/timer0_inst/TSC_q<27>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_27)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_27__rt_renamed_1816)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_27_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_28_ "timers_inst/timer0_inst/TSC_q<28>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_28)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_28__rt_renamed_1817)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_28_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_29_ "timers_inst/timer0_inst/TSC_q<29>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_29)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_29__rt_renamed_1818)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_29_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_3_ "timers_inst/timer0_inst/TSC_q<3>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_3)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_3__rt_renamed_1792)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_30_ "timers_inst/timer0_inst/TSC_q<30>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_30)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_30__rt_renamed_1819)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_30_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_31_ "timers_inst/timer0_inst/TSC_q<31>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_31)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_xor_31__rt_renamed_1844)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_31_1)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_4_ "timers_inst/timer0_inst/TSC_q<4>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_4)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_4__rt_renamed_1793)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_5_ "timers_inst/timer0_inst/TSC_q<5>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_5)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_5__rt_renamed_1794)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_6_ "timers_inst/timer0_inst/TSC_q<6>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_6)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_6__rt_renamed_1795)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_7_ "timers_inst/timer0_inst/TSC_q<7>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_7)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_7__rt_renamed_1796)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_8_ "timers_inst/timer0_inst/TSC_q<8>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_8)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_8_16_renamed_1392)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_8__rt_renamed_1797)) - ) - ) - (net (rename timers_inst_timer0_inst_TSC_q_9_ "timers_inst/timer0_inst/TSC_q<9>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_TSC_q_9)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_TSC_q_cy_9__rt_renamed_1798)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__rt_renamed_1827)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__rt_renamed_1826)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__rt_renamed_1825)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__rt_renamed_1824)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__rt_renamed_1823)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__rt_renamed_1822)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__rt_renamed_1821)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__)) - (portRef CI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__rt_renamed_1820)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_lut_0_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_lut<0>") - (joined - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_0__)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_0__)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__rt "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<9>_rt") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__rt_renamed_1845)) - (portRef LI (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_3_f5") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_4") - (joined - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_4_f5") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_5") - (joined - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_51") - (joined - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6 "timers_inst/timer0_inst/pr.tmr0prescale_inst/Mmux_event_i_6") - (joined - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_0_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<0>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_1_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<1>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_2_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<2>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_3_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<3>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_4_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<4>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_5_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<5>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_6_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<6>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_7_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<7>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_8_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<8>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_Result_9_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/Result<9>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9)) - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck1024_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00001)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck16_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck256_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck2_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck4_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989)) - (portRef I2 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck64_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00021)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q "timers_inst/timer0_inst/pr.tmr0prescale_inst/ck8_q") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00041)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<0>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965)) - (portRef I (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_lut_0__INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<1>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_1__rt_renamed_1827)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<2>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00041)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_2__rt_renamed_1826)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<3>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_3__rt_renamed_1825)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<4>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_4__rt_renamed_1824)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<5>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00021)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_5__rt_renamed_1823)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<6>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_6__rt_renamed_1822)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<7>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_7__rt_renamed_1821)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<8>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_cy_8__rt_renamed_1820)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9_ "timers_inst/timer0_inst/pr.tmr0prescale_inst/counter<9>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993)) - (portRef Q (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00001)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mcount_counter_xor_9__rt_renamed_1845)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and0000 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and0000") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00001)) - (portRef I3 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and0002 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and0002") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00021)) - (portRef I3 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - ) - ) - (net (rename timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and0004 "timers_inst/timer0_inst/pr.tmr0prescale_inst/event_i_and0004") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_event_i_and00041)) - (portRef I3 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_pwm_out_0_ "timers_inst/timer0_inst/pwm_out<0>") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_pwm_out_0)) - (portRef I3 (instanceRef gpio_inst_gpio_o_9_mux0002112_renamed_1250)) - (portRef I3 (instanceRef gpio_inst_gpio_o_8_mux0002112_renamed_1254)) - (portRef I3 (instanceRef gpio_inst_gpio_o_7_mux0002112_renamed_1258)) - (portRef I3 (instanceRef gpio_inst_gpio_o_6_mux0002112_renamed_1262)) - (portRef I3 (instanceRef gpio_inst_gpio_o_5_mux0002112_renamed_1266)) - (portRef I3 (instanceRef gpio_inst_gpio_o_4_mux0002112_renamed_1270)) - (portRef I3 (instanceRef gpio_inst_gpio_o_47_mux0002112_renamed_1274)) - (portRef I3 (instanceRef gpio_inst_gpio_o_46_mux0002112_renamed_1278)) - (portRef I3 (instanceRef gpio_inst_gpio_o_45_mux0002112_renamed_1282)) - (portRef I3 (instanceRef gpio_inst_gpio_o_44_mux0002112_renamed_1286)) - (portRef I3 (instanceRef gpio_inst_gpio_o_43_mux0002112_renamed_1290)) - (portRef I3 (instanceRef gpio_inst_gpio_o_42_mux0002112_renamed_1294)) - (portRef I3 (instanceRef gpio_inst_gpio_o_41_mux0002112_renamed_1298)) - (portRef I3 (instanceRef gpio_inst_gpio_o_40_mux0002112_renamed_1302)) - (portRef I3 (instanceRef gpio_inst_gpio_o_3_mux0002112_renamed_1306)) - (portRef I3 (instanceRef gpio_inst_gpio_o_33_mux0002112_renamed_1310)) - (portRef I3 (instanceRef gpio_inst_gpio_o_32_mux0002112_renamed_1314)) - (portRef I3 (instanceRef gpio_inst_gpio_o_31_mux0002112_renamed_1318)) - (portRef I3 (instanceRef gpio_inst_gpio_o_30_mux0002112_renamed_1322)) - (portRef I3 (instanceRef gpio_inst_gpio_o_2_mux0002112_renamed_1326)) - (portRef I3 (instanceRef gpio_inst_gpio_o_29_mux0002112_renamed_1330)) - (portRef I3 (instanceRef gpio_inst_gpio_o_28_mux0002112_renamed_1334)) - (portRef I3 (instanceRef gpio_inst_gpio_o_27_mux0002112_renamed_1338)) - (portRef I3 (instanceRef gpio_inst_gpio_o_26_mux0002112_renamed_1342)) - (portRef I3 (instanceRef gpio_inst_gpio_o_25_mux0002112_renamed_1346)) - (portRef I3 (instanceRef gpio_inst_gpio_o_24_mux0002112_renamed_1350)) - (portRef I3 (instanceRef gpio_inst_gpio_o_1_mux0002112_renamed_1354)) - (portRef I3 (instanceRef gpio_inst_gpio_o_15_mux0002112_renamed_1358)) - (portRef I3 (instanceRef gpio_inst_gpio_o_14_mux0002112_renamed_1362)) - (portRef I3 (instanceRef gpio_inst_gpio_o_13_mux0002112_renamed_1366)) - (portRef I3 (instanceRef gpio_inst_gpio_o_12_mux0002112_renamed_1370)) - (portRef I3 (instanceRef gpio_inst_gpio_o_11_mux0002112_renamed_1374)) - (portRef I3 (instanceRef gpio_inst_gpio_o_10_mux0002112_renamed_1378)) - (portRef I3 (instanceRef gpio_inst_gpio_o_0_mux0002112_renamed_1382)) - ) - ) - (net (rename timers_inst_timer0_inst_pwm_out_0_cmp_ge0000 "timers_inst/timer0_inst/pwm_out_0_cmp_ge0000") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer0_inst_pwm_out_0_or0000 "timers_inst/timer0_inst/pwm_out_0_or0000") - (joined - (portRef R (instanceRef timers_inst_timer0_inst_pwm_out_0)) - (portRef O (instanceRef timers_inst_timer0_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmr0_prescale_event "timers_inst/timer0_inst/tmr0_prescale_event") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_ccm "timers_inst/timer0_inst/tmrr.ccm") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_0 "timers_inst/timer0_inst/tmrr.cmp_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_0_renamed_895)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_1 "timers_inst/timer0_inst/tmrr.cmp_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_1_renamed_896)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_10 "timers_inst/timer0_inst/tmrr.cmp_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_10_renamed_905)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_11 "timers_inst/timer0_inst/tmrr.cmp_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_11_renamed_906)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_11_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_12 "timers_inst/timer0_inst/tmrr.cmp_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_12_renamed_907)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_12_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_13 "timers_inst/timer0_inst/tmrr.cmp_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_13_renamed_908)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_13_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_14 "timers_inst/timer0_inst/tmrr.cmp_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_14_renamed_909)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_14_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_15 "timers_inst/timer0_inst/tmrr.cmp_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_15_renamed_910)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_15_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_2 "timers_inst/timer0_inst/tmrr.cmp_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_2_renamed_897)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_3 "timers_inst/timer0_inst/tmrr.cmp_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_3_renamed_898)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_4 "timers_inst/timer0_inst/tmrr.cmp_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_4_renamed_899)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_5 "timers_inst/timer0_inst/tmrr.cmp_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_5_renamed_900)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_6 "timers_inst/timer0_inst/tmrr.cmp_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_6_renamed_901)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_7 "timers_inst/timer0_inst/tmrr.cmp_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_7_renamed_902)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_8 "timers_inst/timer0_inst/tmrr.cmp_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_8_renamed_903)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_8_49_F)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_9 "timers_inst/timer0_inst/tmrr.cmp_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cmp_9_renamed_904)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983)) - (portRef I3 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_0 "timers_inst/timer0_inst/tmrr.cnt_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_1 "timers_inst/timer0_inst/tmrr.cnt_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_10 "timers_inst/timer0_inst/tmrr.cnt_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_11 "timers_inst/timer0_inst/tmrr.cnt_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_11_16_renamed_1397)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_12 "timers_inst/timer0_inst/tmrr.cnt_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_12_16_renamed_1396)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_13 "timers_inst/timer0_inst/tmrr.cnt_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_13_16_renamed_1395)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_14 "timers_inst/timer0_inst/tmrr.cnt_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_14_16_renamed_1394)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_15 "timers_inst/timer0_inst/tmrr.cnt_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_15_16_renamed_1393)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_2 "timers_inst/timer0_inst/tmrr.cnt_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_3 "timers_inst/timer0_inst/tmrr.cnt_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_4 "timers_inst/timer0_inst/tmrr.cnt_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_5 "timers_inst/timer0_inst/tmrr.cnt_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_6 "timers_inst/timer0_inst/tmrr.cnt_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_7 "timers_inst/timer0_inst/tmrr.cnt_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_8 "timers_inst/timer0_inst/tmrr.cnt_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_8_16_renamed_1392)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_9 "timers_inst/timer0_inst/tmrr.cnt_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - (portRef DI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9__)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_dir "timers_inst/timer0_inst/tmrr.dir") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_0__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_1__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_2__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_3__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_4__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_5__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_6__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_7__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_8__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_9__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_10__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_11__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_12__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_13__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_14__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_lut_15__)) - (portRef I (instanceRef timers_inst_timer0_inst_tmrr_dir_inv2_INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_en "timers_inst/timer0_inst/tmrr.en") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not0001211)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_ien "timers_inst/timer0_inst/tmrr.ien") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_intr "timers_inst/timer0_inst/tmrr.intr") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_in_q_3)) - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_intr_renamed_858)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pres_0 "timers_inst/timer0_inst/tmrr.pres_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_6_renamed_1965)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_renamed_1972)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_5_renamed_1973)) - (portRef I0 (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_51_renamed_1974)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pres_1 "timers_inst/timer0_inst/tmrr.pres_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_3_f5_renamed_986)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_4_f5_renamed_987)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pres_2 "timers_inst/timer0_inst/tmrr.pres_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978)) - (portRef S (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_Mmux_event_i_2_f6)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_presrst "timers_inst/timer0_inst/tmrr.presrst") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_presrst_renamed_878)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck64_q_renamed_994)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck1024_q_renamed_993)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck8_q_renamed_992)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck16_q_renamed_991)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck2_q_renamed_990)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck4_q_renamed_989)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_ck256_q_renamed_988)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_0)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_1)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_2)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_3)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_4)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_5)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_6)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_7)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_8)) - (portRef R (instanceRef timers_inst_timer0_inst_pr_tmr0prescale_inst_counter_9)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0_renamed_879)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1_renamed_880)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10_renamed_889)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11_renamed_890)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12_renamed_891)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13_renamed_892)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14_renamed_893)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15_renamed_894)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2_renamed_881)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3_renamed_882)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4_renamed_883)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5_renamed_884)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6_renamed_885)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7_renamed_886)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8_renamed_887)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmphigh_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9_renamed_888)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0_renamed_933)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1_renamed_934)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10_renamed_943)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11_renamed_944)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12_renamed_945)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13_renamed_946)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14_renamed_947)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15_renamed_948)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2_renamed_935)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3_renamed_936)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4_renamed_937)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5_renamed_938)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6_renamed_939)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7_renamed_940)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8_renamed_941)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9 "timers_inst/timer0_inst/tmrr.pwmr<0>.cmplow_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9_renamed_942)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__en "timers_inst/timer0_inst/tmrr.pwmr<0>.en") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_renamed_860)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_pwm_out_0_or00001)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmphigh_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_10") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_11") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_12") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_13") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_14") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_15") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_2") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_3") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_4") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_5") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_6") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_7") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_8") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9 "timers_inst/timer0_inst/tmrr.pwmrb<0>.cmplow_9") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en "timers_inst/timer0_inst/tmrr.pwmrb<0>.en") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_updp_0 "timers_inst/timer0_inst/tmrr.updp_0") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_updp_1 "timers_inst/timer0_inst/tmrr.updp_1") - (joined - (portRef Q (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef I1 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000031)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux000011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cmp_not0001 "timers_inst/timer0_inst/tmrr_cmp_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_0_renamed_895)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_1_renamed_896)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_2_renamed_897)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_3_renamed_898)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_4_renamed_899)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_5_renamed_900)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_6_renamed_901)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_7_renamed_902)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_8_renamed_903)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_9_renamed_904)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_10_renamed_905)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_11_renamed_906)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_12_renamed_907)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_13_renamed_908)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_14_renamed_909)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cmp_15_renamed_910)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_renamed_2148)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_and0000 "timers_inst/timer0_inst/tmrr_cnt_and0000") - (joined - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef R (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_and0001 "timers_inst/timer0_inst/tmrr_cnt_and0001") - (joined - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_not0001 "timers_inst/timer0_inst/tmrr_cnt_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_0_renamed_950)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_1_renamed_951)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_2_renamed_952)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_3_renamed_953)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_4_renamed_954)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_5_renamed_955)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_6_renamed_956)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_7_renamed_957)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_8_renamed_958)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_9_renamed_959)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_10_renamed_960)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_11_renamed_961)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_12_renamed_962)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_13_renamed_963)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_14_renamed_964)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_cnt_15_renamed_965)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000119)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_not000113 "timers_inst/timer0_inst/tmrr_cnt_not000113") - (joined - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000119)) - (portRef LO (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_cnt_not00016 "timers_inst/timer0_inst/tmrr_cnt_not00016") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not000113_renamed_2313)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_dir_inv "timers_inst/timer0_inst/tmrr_dir_inv") - (joined - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef CI (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_dir_inv2_INV_0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_ien_and0000 "timers_inst/timer0_inst/tmrr_ien_and0000") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_mux00001)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_intr_mux0000 "timers_inst/timer0_inst/tmrr_intr_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_intr_renamed_858)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_mux00001)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_intr_not0001 "timers_inst/timer0_inst/tmrr_intr_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_intr_renamed_858)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_intr_not000114 "timers_inst/timer0_inst/tmrr_intr_not000114") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000133_SW0)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<0>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_0_renamed_879)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<10>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_10_renamed_889)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<11>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_11_renamed_890)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<12>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_12_renamed_891)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<13>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_13_renamed_892)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<14>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_14_renamed_893)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<15>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_15_renamed_894)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<1>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_1_renamed_880)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<2>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_2_renamed_881)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<3>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_3_renamed_882)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<4>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_4_renamed_883)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<5>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_5_renamed_884)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<6>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_6_renamed_885)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<7>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_7_renamed_886)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<8>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_8_renamed_887)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmphigh_mux0000<9>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_9_renamed_888)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmphigh_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<0>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_0_renamed_933)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_0_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<10>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_10_renamed_943)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_10_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<11>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_11_renamed_944)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_11_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<12>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_12_renamed_945)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_12_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<13>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_13_renamed_946)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_13_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<14>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_14_renamed_947)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_14_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<15>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_15_renamed_948)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_15_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<1>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_1_renamed_934)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_1_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<2>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_2_renamed_935)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_2_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<3>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_3_renamed_936)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_3_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<4>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_4_renamed_937)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_4_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<5>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_5_renamed_938)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_5_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<6>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_6_renamed_939)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_6_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<7>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_7_renamed_940)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_7_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<8>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_8_renamed_941)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_8_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_ "timers_inst/timer0_inst/tmrr_pwmr<0>_cmplow_mux0000<9>") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_9_renamed_942)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__cmplow_mux0000_9_1)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000 "timers_inst/timer0_inst/tmrr_pwmr<0>_en_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_renamed_860)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmr_0__en_mux0000_renamed_1143)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not0001 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmphigh_not00011") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not0001 "timers_inst/timer0_inst/tmrr_pwmrb<0>_cmplow_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931)) - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_renamed_1229)) - ) - ) - (net (rename timers_inst_timer0_inst_tmrr_pwmrb_0__en_not0001 "timers_inst/timer0_inst/tmrr_pwmrb<0>_en_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_renamed_949)) - (portRef O (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_not00011)) - ) - ) - (net (rename timers_inst_timer0_read_0__ "timers_inst/timer0_read<0>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_0_40)) - ) - ) - (net (rename timers_inst_timer0_read_10__ "timers_inst/timer0_read<10>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_10_40)) - ) - ) - (net (rename timers_inst_timer0_read_1__ "timers_inst/timer0_read<1>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_1_40)) - ) - ) - (net (rename timers_inst_timer0_read_2__ "timers_inst/timer0_read<2>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_2_40)) - ) - ) - (net (rename timers_inst_timer0_read_3__ "timers_inst/timer0_read<3>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_3_40)) - ) - ) - (net (rename timers_inst_timer0_read_4__ "timers_inst/timer0_read<4>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_4_40)) - ) - ) - (net (rename timers_inst_timer0_read_5__ "timers_inst/timer0_read<5>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_5_40)) - ) - ) - (net (rename timers_inst_timer0_read_6__ "timers_inst/timer0_read<6>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_6_40)) - ) - ) - (net (rename timers_inst_timer0_read_7__ "timers_inst/timer0_read<7>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_7_40)) - ) - ) - (net (rename timers_inst_timer0_read_9__ "timers_inst/timer0_read<9>") - (joined - (portRef O (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_9_40)) - ) - ) - (net (rename timers_inst_timer1_cyc "timers_inst/timer1_cyc") - (joined - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - (portRef O (instanceRef timers_inst_timer1_cyc1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9_ "timers_inst/timer1_inst/Mcompar_ovf_cmp_eq0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<23>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_ge0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<23>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__)) - (portRef I2 (instanceRef timers_inst_timer1_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<23>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9_ "timers_inst/timer1_inst/Mcompar_pwm_out_0_cmp_lt0000_lut<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef S (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_cy<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_0 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_0") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_1 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_1") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_10 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_10") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_11") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_12 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_12") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_13 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_13") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_14 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_14") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_15 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_15") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_16 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_16") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_17 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_17") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_18 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_18") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_19 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_19") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_2 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_2") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_20 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_20") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_21 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_21") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_22 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_22") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_23 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_23") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_3 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_3") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_4 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_4") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_5 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_5") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_6 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_6") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_7 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_7") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_8 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_8") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_9 "timers_inst/timer1_inst/Mcount_tmrr.cnt_eqn_9") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<0>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<10>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_10__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<11>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_11__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<12>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_12__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<13>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_13__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<14>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_14__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<15>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_15__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<16>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_16__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<17>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_17__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<18>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_18__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<19>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_19__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<1>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_1__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<20>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_20__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<21>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_21__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<22>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_22__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<23>") - (joined - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_23__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<2>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_2__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<3>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_3__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<4>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_4__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<5>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_5__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<6>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_6__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<7>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_7__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<8>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_8__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9_ "timers_inst/timer1_inst/Mcount_tmrr.cnt_lut<9>") - (joined - (portRef S (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef LI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_9__)) - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_N10 "timers_inst/timer1_inst/N10") - (joined - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_ien_and00001)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net (rename timers_inst_timer1_inst_N2 "timers_inst/timer1_inst/N2") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mmux_wb_dat_o_0_211)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - ) - ) - (net (rename timers_inst_timer1_inst_N8 "timers_inst/timer1_inst/N8") - (joined - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - ) - ) - (net (rename timers_inst_timer1_inst_N9 "timers_inst/timer1_inst/N9") - (joined - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_0_ "timers_inst/timer1_inst/Result<0>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_10_ "timers_inst/timer1_inst/Result<10>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_10__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_11_ "timers_inst/timer1_inst/Result<11>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_12_ "timers_inst/timer1_inst/Result<12>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_12__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_13_ "timers_inst/timer1_inst/Result<13>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_13__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_14_ "timers_inst/timer1_inst/Result<14>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_14__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_15_ "timers_inst/timer1_inst/Result<15>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_15__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_16_ "timers_inst/timer1_inst/Result<16>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_16__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_17_ "timers_inst/timer1_inst/Result<17>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_17__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_18_ "timers_inst/timer1_inst/Result<18>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_18__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_19_ "timers_inst/timer1_inst/Result<19>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_19__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_1_ "timers_inst/timer1_inst/Result<1>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_1__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_20_ "timers_inst/timer1_inst/Result<20>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_20__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_21_ "timers_inst/timer1_inst/Result<21>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_21__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_22_ "timers_inst/timer1_inst/Result<22>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_22__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_23_ "timers_inst/timer1_inst/Result<23>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_23__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_2_ "timers_inst/timer1_inst/Result<2>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_2__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_3_ "timers_inst/timer1_inst/Result<3>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_4_ "timers_inst/timer1_inst/Result<4>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_5_ "timers_inst/timer1_inst/Result<5>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_6_ "timers_inst/timer1_inst/Result<6>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_7_ "timers_inst/timer1_inst/Result<7>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_8_ "timers_inst/timer1_inst/Result<8>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - ) - ) - (net (rename timers_inst_timer1_inst_Result_9_ "timers_inst/timer1_inst/Result<9>") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_9__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - ) - ) - (net (rename timers_inst_timer1_inst_pwm_out_0_ "timers_inst/timer1_inst/pwm_out<0>") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_pwm_out_0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_9_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_8_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_7_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_6_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_5_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_4_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_47_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_46_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_45_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_44_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_43_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_42_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_41_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_40_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_3_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_33_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_32_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_31_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_30_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_2_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_29_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_28_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_27_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_26_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_25_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_24_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_1_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_15_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_14_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_13_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_12_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_11_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_10_mux000264_SW0)) - (portRef I1 (instanceRef gpio_inst_gpio_o_0_mux000264_SW0)) - ) - ) - (net (rename timers_inst_timer1_inst_pwm_out_0_cmp_ge0000 "timers_inst/timer1_inst/pwm_out_0_cmp_ge0000") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer1_inst_pwm_out_0_or0000 "timers_inst/timer1_inst/pwm_out_0_or0000") - (joined - (portRef R (instanceRef timers_inst_timer1_inst_pwm_out_0)) - (portRef O (instanceRef timers_inst_timer1_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_ccm "timers_inst/timer1_inst/tmrr.ccm") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_0 "timers_inst/timer1_inst/tmrr.cmp_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_0_0_renamed_1426)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_1 "timers_inst/timer1_inst/tmrr.cmp_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_1_0_renamed_1424)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_10 "timers_inst/timer1_inst/tmrr.cmp_10") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_10_0_renamed_1390)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_11 "timers_inst/timer1_inst/tmrr.cmp_11") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_11_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_12 "timers_inst/timer1_inst/tmrr.cmp_12") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_12_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_13 "timers_inst/timer1_inst/tmrr.cmp_13") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_13_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_14 "timers_inst/timer1_inst/tmrr.cmp_14") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_14_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_15 "timers_inst/timer1_inst/tmrr.cmp_15") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_15_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_16 "timers_inst/timer1_inst/tmrr.cmp_16") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_16__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_17 "timers_inst/timer1_inst/tmrr.cmp_17") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_17__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_18 "timers_inst/timer1_inst/tmrr.cmp_18") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_18__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_19 "timers_inst/timer1_inst/tmrr.cmp_19") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_19__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_2 "timers_inst/timer1_inst/tmrr.cmp_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_2_0_renamed_1422)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_20 "timers_inst/timer1_inst/tmrr.cmp_20") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_20__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_21 "timers_inst/timer1_inst/tmrr.cmp_21") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_21__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_22 "timers_inst/timer1_inst/tmrr.cmp_22") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_22__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_23 "timers_inst/timer1_inst/tmrr.cmp_23") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_23__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_3 "timers_inst/timer1_inst/tmrr.cmp_3") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_3_0_renamed_1421)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_4 "timers_inst/timer1_inst/tmrr.cmp_4") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_4_0_renamed_1420)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_5 "timers_inst/timer1_inst/tmrr.cmp_5") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_5_0_renamed_1419)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_6 "timers_inst/timer1_inst/tmrr.cmp_6") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_6_0_renamed_1418)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_7 "timers_inst/timer1_inst/tmrr.cmp_7") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_7_0_renamed_1417)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_8 "timers_inst/timer1_inst/tmrr.cmp_8") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_8_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_9 "timers_inst/timer1_inst/tmrr.cmp_9") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_9_0_renamed_1388)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_0 "timers_inst/timer1_inst/tmrr.cnt_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_0__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_0__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_1 "timers_inst/timer1_inst/tmrr.cnt_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_1__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_1__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_1__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_10 "timers_inst/timer1_inst/tmrr.cnt_10") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_10__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_10__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_10__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_11 "timers_inst/timer1_inst/tmrr.cnt_11") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_11__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_11_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_12 "timers_inst/timer1_inst/tmrr.cnt_12") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_12__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_12__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_12__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_12_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_13 "timers_inst/timer1_inst/tmrr.cnt_13") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_13__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_13__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_13__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_13_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_14 "timers_inst/timer1_inst/tmrr.cnt_14") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_14__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_14__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_14__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_14_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_15 "timers_inst/timer1_inst/tmrr.cnt_15") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_15__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_15__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_15__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_15_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_16 "timers_inst/timer1_inst/tmrr.cnt_16") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_16__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_16__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_16__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_16__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_17 "timers_inst/timer1_inst/tmrr.cnt_17") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_17__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_17__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_17__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_17__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_18 "timers_inst/timer1_inst/tmrr.cnt_18") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_18__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_18__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_18__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_18__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_19 "timers_inst/timer1_inst/tmrr.cnt_19") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_9__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_19__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_19__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_19__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_19__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_2 "timers_inst/timer1_inst/tmrr.cnt_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_2__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_2__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_2__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_20 "timers_inst/timer1_inst/tmrr.cnt_20") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_20__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_20__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_20__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_20__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_21 "timers_inst/timer1_inst/tmrr.cnt_21") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_10__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_21__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_21__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_21__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_21__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_22 "timers_inst/timer1_inst/tmrr.cnt_22") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_22__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_22__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_22__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_22__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_23 "timers_inst/timer1_inst/tmrr.cnt_23") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_11__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_23__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_23__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_23__G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_3 "timers_inst/timer1_inst/tmrr.cnt_3") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_3__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_4 "timers_inst/timer1_inst/tmrr.cnt_4") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_4__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_5 "timers_inst/timer1_inst/tmrr.cnt_5") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_5__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_5__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_6 "timers_inst/timer1_inst/tmrr.cnt_6") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_6__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_6__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_7 "timers_inst/timer1_inst/tmrr.cnt_7") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_7__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_7__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_8 "timers_inst/timer1_inst/tmrr.cnt_8") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_8__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_8__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8__)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_8_49_G)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_9 "timers_inst/timer1_inst/tmrr.cnt_9") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef I2 (instanceRef timers_inst_timer1_inst_Mcompar_ovf_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_cy_9__)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_cy_9__)) - (portRef DI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_9__)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_dir "timers_inst/timer1_inst/tmrr.dir") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_0__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_1__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_2__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_3__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_4__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_5__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_6__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_7__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_8__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_9__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_10__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_11__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_12__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_13__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_14__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_15__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_16__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_17__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_18__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_19__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_20__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_21__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_22__)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_lut_23__)) - (portRef I (instanceRef timers_inst_timer1_inst_tmrr_dir_inv2_INV_0)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_en "timers_inst/timer1_inst/tmrr.en") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001311)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_ien "timers_inst/timer1_inst/tmrr.ien") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_intr "timers_inst/timer1_inst/tmrr.intr") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_in_q_4)) - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_intr_renamed_997)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pres_0 "timers_inst/timer1_inst/tmrr.pres_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pres_1 "timers_inst/timer1_inst/tmrr.pres_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pres_2 "timers_inst/timer1_inst/tmrr.pres_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_10") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_11") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_12") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_13") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_14") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_15") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_16") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_17") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_18") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_19") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_20") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_21") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_22") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_23") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_3") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_4") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_5") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_6") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_7") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_8") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmphigh_9") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_ge0000_lut_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_0__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_1__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_10") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_10__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_11") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_11__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_12") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_12__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_13") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_13__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_14") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_14__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_15") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_15__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_16") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_16__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_17") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_17__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_18") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_18__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_19") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_19__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_2") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_2__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_20") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_20__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_21") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_21__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_22") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_22__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_23") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_23__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_3") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_3__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_4") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_4__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_5") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_5__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_6") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_6__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_7") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_7__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_8") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_8__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9 "timers_inst/timer1_inst/tmrr.pwmr<0>.cmplow_9") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mcompar_pwm_out_0_cmp_lt0000_lut_9__)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__en "timers_inst/timer1_inst/tmrr.pwmr<0>.en") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer1_inst_pwm_out_0_or00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_updp_0 "timers_inst/timer1_inst/tmrr.updp_0") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_updp_1 "timers_inst/timer1_inst/tmrr.updp_1") - (joined - (portRef Q (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cmp_not0001 "timers_inst/timer1_inst/tmrr_cmp_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_and0000 "timers_inst/timer1_inst/tmrr_cnt_and0000") - (joined - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef R (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_cnt_and00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_cnt_not0001 "timers_inst/timer1_inst/tmrr_cnt_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_0_renamed_1078)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_1_renamed_1079)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_2_renamed_1080)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_3_renamed_1081)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_4_renamed_1082)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_5_renamed_1083)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_6_renamed_1084)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_7_renamed_1085)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_8_renamed_1086)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_9_renamed_1087)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_10_renamed_1088)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_11_renamed_1089)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_12_renamed_1090)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_13_renamed_1091)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_14_renamed_1092)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_15_renamed_1093)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_16_renamed_1094)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_17_renamed_1095)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_18_renamed_1096)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_19_renamed_1097)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_20_renamed_1098)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_21_renamed_1099)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_22_renamed_1100)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_cnt_23_renamed_1101)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_cnt_not00011)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_dir_inv "timers_inst/timer1_inst/tmrr_dir_inv") - (joined - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_cy_0__)) - (portRef CI (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_xor_0__)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_dir_inv2_INV_0)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_ien_and0000 "timers_inst/timer1_inst/tmrr_ien_and0000") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_ien_and00001)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_intr_mux0000 "timers_inst/timer1_inst/tmrr_intr_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_intr_renamed_997)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_intr_mux00007 "timers_inst/timer1_inst/tmrr_intr_mux00007") - (joined - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_intr_not0001 "timers_inst/timer1_inst/tmrr_intr_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_intr_renamed_997)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_renamed_1428)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not0001 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmphigh_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not0001 "timers_inst/timer1_inst/tmrr_pwmr<0>_cmplow_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076)) - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_mux0000") - (joined - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_renamed_1144)) - ) - ) - (net (rename timers_inst_timer1_inst_tmrr_pwmr_0__en_not0001 "timers_inst/timer1_inst/tmrr_pwmr<0>_en_not0001") - (joined - (portRef CE (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_renamed_999)) - (portRef O (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_not00011)) - ) - ) - (net (rename timers_inst_timer1_stb "timers_inst/timer1_stb") - (joined - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - (portRef O (instanceRef timers_inst_timer1_stb1)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net (rename timers_inst_timer1_we "timers_inst/timer1_we") - (joined - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011)) - (portRef O (instanceRef timers_inst_timer1_we1)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net (rename timers_inst_wb_dat_o_0_0 "timers_inst/wb_dat_o<0>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_0_0_renamed_1426)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_0_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_0_15 "timers_inst/wb_dat_o<0>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_0_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_10_0 "timers_inst/wb_dat_o<10>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_10_0_renamed_1390)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_10_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_10_15 "timers_inst/wb_dat_o<10>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_10_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_11_16 "timers_inst/wb_dat_o<11>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_11_16_renamed_1397)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_11_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_12_16 "timers_inst/wb_dat_o<12>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_12_16_renamed_1396)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_12_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_13_16 "timers_inst/wb_dat_o<13>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_13_16_renamed_1395)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_13_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_14_16 "timers_inst/wb_dat_o<14>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_14_16_renamed_1394)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_14_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_15_16 "timers_inst/wb_dat_o<15>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_15_16_renamed_1393)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_15_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_1_0 "timers_inst/wb_dat_o<1>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_1_0_renamed_1424)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_1_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_1_15 "timers_inst/wb_dat_o<1>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_1_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_2_0 "timers_inst/wb_dat_o<2>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_2_0_renamed_1422)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_2_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_2_15 "timers_inst/wb_dat_o<2>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_2_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_3_0 "timers_inst/wb_dat_o<3>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_3_0_renamed_1421)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_3_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_3_15 "timers_inst/wb_dat_o<3>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_3_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - ) - ) - (net (rename timers_inst_wb_dat_o_4_0 "timers_inst/wb_dat_o<4>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_4_0_renamed_1420)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_4_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_4_15 "timers_inst/wb_dat_o<4>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_4_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - ) - ) - (net (rename timers_inst_wb_dat_o_5_0 "timers_inst/wb_dat_o<5>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_5_0_renamed_1419)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_5_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_5_15 "timers_inst/wb_dat_o<5>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_5_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - ) - ) - (net (rename timers_inst_wb_dat_o_6_0 "timers_inst/wb_dat_o<6>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_6_0_renamed_1418)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_6_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_6_15 "timers_inst/wb_dat_o<6>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_6_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - ) - ) - (net (rename timers_inst_wb_dat_o_7_0 "timers_inst/wb_dat_o<7>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_7_0_renamed_1417)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_7_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_7_15 "timers_inst/wb_dat_o<7>15") - (joined - (portRef I3 (instanceRef timers_inst_wb_dat_o_7_40)) - (portRef LO (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - ) - ) - (net (rename timers_inst_wb_dat_o_8_16 "timers_inst/wb_dat_o<8>16") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_8_16_renamed_1392)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_8_49_F)) - ) - ) - (net (rename timers_inst_wb_dat_o_9_0 "timers_inst/wb_dat_o<9>0") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_9_0_renamed_1388)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_9_40)) - ) - ) - (net (rename timers_inst_wb_dat_o_9_15 "timers_inst/wb_dat_o<9>15") - (joined - (portRef O (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_9_40)) - ) - ) - (net (rename uart_inst_N22 "uart_inst/N22") - (joined - (portRef O (instanceRef uart_inst_tx_core_loaded_r_mux000011)) - (portRef I0 (instanceRef uart_inst_tx_core_bitpos_mux0002_3_1)) - (portRef I3 (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - ) - ) - (net (rename uart_inst_N3 "uart_inst/N3") - (joined - (portRef I0 (instanceRef uart_inst_tx_core_intx_o_not00011)) - (portRef I0 (instanceRef uart_inst_tx_core_idle_not00011)) - (portRef I2 (instanceRef uart_inst_tx_core_t_r_not00011)) - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not00011_f5)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not00013_renamed_2231)) - ) - ) - (net (rename uart_inst_N4 "uart_inst/N4") - (joined - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226)) - ) - ) - (net (rename uart_inst_N5 "uart_inst/N5") - (joined - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226)) - ) - ) - (net (rename uart_inst_N6 "uart_inst/N6") - (joined - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_1_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - ) - ) - (net (rename uart_inst_Result_0_ "uart_inst/Result<0>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0)) - ) - ) - (net (rename uart_inst_Result_1_ "uart_inst/Result<1>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - ) - ) - (net (rename uart_inst_Result_2_ "uart_inst/Result<2>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - ) - ) - (net (rename uart_inst_Result_3_ "uart_inst/Result<3>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - ) - ) - (net (rename uart_inst_data_ready_dly_q "uart_inst/data_ready_dly_q") - (joined - (portRef Q (instanceRef uart_inst_data_ready_dly_q_renamed_855)) - (portRef I0 (instanceRef uart_inst_dready_q_or00001)) - ) - ) - (net (rename uart_inst_divider_rx_q_0_ "uart_inst/divider_rx_q<0>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_0)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_1_ "uart_inst/divider_rx_q<1>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_1)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_10_ "uart_inst/divider_rx_q<10>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_10)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_11_ "uart_inst/divider_rx_q<11>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_11)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_12_ "uart_inst/divider_rx_q<12>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_12)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_13_ "uart_inst/divider_rx_q<13>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_13)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_14_ "uart_inst/divider_rx_q<14>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_14)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_15_ "uart_inst/divider_rx_q<15>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_15)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_2_ "uart_inst/divider_rx_q<2>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_2)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_3_ "uart_inst/divider_rx_q<3>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_3)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_4_ "uart_inst/divider_rx_q<4>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_4)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_5_ "uart_inst/divider_rx_q<5>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_5)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_6_ "uart_inst/divider_rx_q<6>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_6)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_7_ "uart_inst/divider_rx_q<7>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_7)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_8_ "uart_inst/divider_rx_q<8>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_8)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_9_ "uart_inst/divider_rx_q<9>") - (joined - (portRef Q (instanceRef uart_inst_divider_rx_q_9)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_divider_rx_q_not0001 "uart_inst/divider_rx_q_not0001") - (joined - (portRef CE (instanceRef uart_inst_divider_rx_q_0)) - (portRef CE (instanceRef uart_inst_divider_rx_q_1)) - (portRef CE (instanceRef uart_inst_divider_rx_q_2)) - (portRef CE (instanceRef uart_inst_divider_rx_q_3)) - (portRef CE (instanceRef uart_inst_divider_rx_q_4)) - (portRef CE (instanceRef uart_inst_divider_rx_q_5)) - (portRef CE (instanceRef uart_inst_divider_rx_q_6)) - (portRef CE (instanceRef uart_inst_divider_rx_q_7)) - (portRef CE (instanceRef uart_inst_divider_rx_q_8)) - (portRef CE (instanceRef uart_inst_divider_rx_q_9)) - (portRef CE (instanceRef uart_inst_divider_rx_q_10)) - (portRef CE (instanceRef uart_inst_divider_rx_q_11)) - (portRef CE (instanceRef uart_inst_divider_rx_q_12)) - (portRef CE (instanceRef uart_inst_divider_rx_q_13)) - (portRef CE (instanceRef uart_inst_divider_rx_q_14)) - (portRef CE (instanceRef uart_inst_divider_rx_q_15)) - (portRef O (instanceRef uart_inst_divider_rx_q_not00011)) - ) - ) - (net (rename uart_inst_dready_q "uart_inst/dready_q") - (joined - (portRef Q (instanceRef uart_inst_dready_q_renamed_856)) - (portRef I0 (instanceRef uart_inst_fifo_instance__and00002)) - (portRef I3 (instanceRef uart_inst_rx_inst_dataready_not00011)) - (portRef I2 (instanceRef uart_inst_fifo_instance__and000011)) - ) - ) - (net (rename uart_inst_dready_q_or0000 "uart_inst/dready_q_or0000") - (joined - (portRef R (instanceRef uart_inst_dready_q_renamed_856)) - (portRef O (instanceRef uart_inst_dready_q_or00001)) - ) - ) - (net (rename uart_inst_fifo_data_0_ "uart_inst/fifo_data<0>") - (joined - (portRef I1 (instanceRef uart_inst_wb_dat_o_0_mux00001)) - (portRef (member DOB 7) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_1_ "uart_inst/fifo_data<1>") - (joined - (portRef I1 (instanceRef uart_inst_wb_dat_o_1_mux00001)) - (portRef (member DOB 6) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_2_ "uart_inst/fifo_data<2>") - (joined - (portRef I1 (instanceRef uart_inst_wb_dat_o_2_mux00001)) - (portRef (member DOB 5) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_3_ "uart_inst/fifo_data<3>") - (joined - (portRef I1 (instanceRef slot_address_0__26__924_renamed_1920)) - (portRef (member DOB 4) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_4_ "uart_inst/fifo_data<4>") - (joined - (portRef I1 (instanceRef slot_address_0__26__925_renamed_1919)) - (portRef (member DOB 3) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_5_ "uart_inst/fifo_data<5>") - (joined - (portRef I1 (instanceRef slot_address_0__26__926_renamed_1918)) - (portRef (member DOB 2) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_6_ "uart_inst/fifo_data<6>") - (joined - (portRef I1 (instanceRef slot_address_0__26__927_renamed_1917)) - (portRef (member DOB 1) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_data_7_ "uart_inst/fifo_data<7>") - (joined - (portRef I1 (instanceRef slot_address_0__26__928_renamed_1916)) - (portRef (member DOB 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__)) - (portRef D (instanceRef uart_inst_fifo_instance_empty_renamed_842)) - (portRef I1 (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5_ "uart_inst/fifo_instance/Mcompar_empty_v_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_cy_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__)) - (portRef I1 (instanceRef uart_inst_fifo_instance__and00002)) - (portRef I3 (instanceRef uart_inst_fifo_instance__and000011)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5_ "uart_inst/fifo_instance/Mcompar_full_v_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5__)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_cy_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_0_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_1_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_1__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<1>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__rt_renamed_1769)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_2_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_2__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<2>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__rt_renamed_1770)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_3_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_3__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<3>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__rt_renamed_1771)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_4_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_4__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<4>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__rt_renamed_1772)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_5_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_5__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<5>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__rt_renamed_1773)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_6_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<6>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_6__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<6>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__rt_renamed_1774)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_7_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<7>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_7__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<7>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__rt_renamed_1775)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_8_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<8>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_8__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<8>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__rt_renamed_1776)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_9_ "uart_inst/fifo_instance/Mcount_rdaddr_cy<9>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_cy_9__rt "uart_inst/fifo_instance/Mcount_rdaddr_cy<9>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__rt_renamed_1777)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_lut_0_ "uart_inst/fifo_instance/Mcount_rdaddr_lut<0>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_0__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_0__)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_lut_0__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_rdaddr_xor_10__rt "uart_inst/fifo_instance/Mcount_rdaddr_xor<10>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__rt_renamed_1842)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_0_ "uart_inst/fifo_instance/Mcount_wraddr_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_1_ "uart_inst/fifo_instance/Mcount_wraddr_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_1__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<1>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__rt_renamed_1778)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_2_ "uart_inst/fifo_instance/Mcount_wraddr_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_2__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<2>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__rt_renamed_1779)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_3_ "uart_inst/fifo_instance/Mcount_wraddr_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_3__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<3>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__rt_renamed_1780)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_4_ "uart_inst/fifo_instance/Mcount_wraddr_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_4__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<4>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__rt_renamed_1781)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_5_ "uart_inst/fifo_instance/Mcount_wraddr_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_5__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<5>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__rt_renamed_1782)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_6_ "uart_inst/fifo_instance/Mcount_wraddr_cy<6>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_6__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<6>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__rt_renamed_1783)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_7_ "uart_inst/fifo_instance/Mcount_wraddr_cy<7>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_7__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<7>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__rt_renamed_1784)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_8_ "uart_inst/fifo_instance/Mcount_wraddr_cy<8>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_8__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<8>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__rt_renamed_1785)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_9_ "uart_inst/fifo_instance/Mcount_wraddr_cy<9>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_cy_9__rt "uart_inst/fifo_instance/Mcount_wraddr_cy<9>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__rt_renamed_1786)) - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_lut_0_ "uart_inst/fifo_instance/Mcount_wraddr_lut<0>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_0__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_0__)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_lut_0__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Mcount_wraddr_xor_10__rt "uart_inst/fifo_instance/Mcount_wraddr_xor<10>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__rt_renamed_1843)) - (portRef LI (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__rt "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__rt_renamed_1768)) - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<6>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<7>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<8>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<9>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_10_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<10>") - (joined - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_10__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_1_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<1>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_1__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_1__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_2_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<2>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_2__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_2__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_3_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<3>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_3__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_3__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_4_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<4>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_4__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_4__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_5_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<5>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_5__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_5__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_6_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<6>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_6__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_6__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_7_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<7>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_7__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_7__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_8_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<8>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_8__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_8__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_9_ "uart_inst/fifo_instance/Msub_full_v_addsub0000_lut<9>") - (joined - (portRef S (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_9__)) - (portRef LI (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_9__)) - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_0_ "uart_inst/fifo_instance/Result<0>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_0_1 "uart_inst/fifo_instance/Result<0>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_10_ "uart_inst/fifo_instance/Result<10>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_10_1 "uart_inst/fifo_instance/Result<10>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_1_ "uart_inst/fifo_instance/Result<1>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_1_1 "uart_inst/fifo_instance/Result<1>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_2_ "uart_inst/fifo_instance/Result<2>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_2_1 "uart_inst/fifo_instance/Result<2>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_3_ "uart_inst/fifo_instance/Result<3>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_3_1 "uart_inst/fifo_instance/Result<3>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_4_ "uart_inst/fifo_instance/Result<4>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_4_1 "uart_inst/fifo_instance/Result<4>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_5_ "uart_inst/fifo_instance/Result<5>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_5_1 "uart_inst/fifo_instance/Result<5>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_6_ "uart_inst/fifo_instance/Result<6>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_6_1 "uart_inst/fifo_instance/Result<6>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_6__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_7_ "uart_inst/fifo_instance/Result<7>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_7_1 "uart_inst/fifo_instance/Result<7>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_7__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_8_ "uart_inst/fifo_instance/Result<8>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_8_1 "uart_inst/fifo_instance/Result<8>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_8__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_9_ "uart_inst/fifo_instance/Result<9>") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance_Result_9_1 "uart_inst/fifo_instance/Result<9>1") - (joined - (portRef D (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef O (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_9__)) - ) - ) - (net (rename uart_inst_fifo_instance__and0000 "uart_inst/fifo_instance/_and0000") - (joined - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef CE (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef O (instanceRef uart_inst_fifo_instance__and00002)) - ) - ) - (net (rename uart_inst_fifo_instance__and0000_0 "uart_inst/fifo_instance/_and0000_0") - (joined - (portRef O (instanceRef uart_inst_fifo_instance__and000011)) - (portRef WEA (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_empty "uart_inst/fifo_instance/empty") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_empty_renamed_842)) - (portRef I2 (instanceRef uart_inst_wb_dat_o_0_mux00001)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_0_ "uart_inst/fifo_instance/full_v_addsub0000<0>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_0__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_10_ "uart_inst/fifo_instance/full_v_addsub0000<10>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_10__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_1_ "uart_inst/fifo_instance/full_v_addsub0000<1>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_1__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_2_ "uart_inst/fifo_instance/full_v_addsub0000<2>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_2__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_3_ "uart_inst/fifo_instance/full_v_addsub0000<3>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_3__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_4_ "uart_inst/fifo_instance/full_v_addsub0000<4>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_4__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_5_ "uart_inst/fifo_instance/full_v_addsub0000<5>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_5__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_6_ "uart_inst/fifo_instance/full_v_addsub0000<6>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_6__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_7_ "uart_inst/fifo_instance/full_v_addsub0000<7>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_7__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_8_ "uart_inst/fifo_instance/full_v_addsub0000<8>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_8__)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_full_v_addsub0000_9_ "uart_inst/fifo_instance/full_v_addsub0000<9>") - (joined - (portRef O (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_xor_9__)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_0_ "uart_inst/fifo_instance/rdaddr<0>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_cy_0__rt_renamed_1768)) - (portRef I (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_lut_0__INV_0)) - (portRef (member ADDRB 10) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_1_ "uart_inst/fifo_instance/rdaddr<1>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_1__rt_renamed_1769)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_1__INV_0)) - (portRef (member ADDRB 9) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_10_ "uart_inst/fifo_instance/rdaddr<10>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_xor_10__rt_renamed_1842)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_10__INV_0)) - (portRef (member ADDRB 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_2_ "uart_inst/fifo_instance/rdaddr<2>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_2__rt_renamed_1770)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_2__INV_0)) - (portRef (member ADDRB 8) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_3_ "uart_inst/fifo_instance/rdaddr<3>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_3__rt_renamed_1771)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_3__INV_0)) - (portRef (member ADDRB 7) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_4_ "uart_inst/fifo_instance/rdaddr<4>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_4__rt_renamed_1772)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_4__INV_0)) - (portRef (member ADDRB 6) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_5_ "uart_inst/fifo_instance/rdaddr<5>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_5__rt_renamed_1773)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_5__INV_0)) - (portRef (member ADDRB 5) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_6_ "uart_inst/fifo_instance/rdaddr<6>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_6__rt_renamed_1774)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_6__INV_0)) - (portRef (member ADDRB 4) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_7_ "uart_inst/fifo_instance/rdaddr<7>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_7__rt_renamed_1775)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_7__INV_0)) - (portRef (member ADDRB 3) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_8_ "uart_inst/fifo_instance/rdaddr<8>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_8__rt_renamed_1776)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_8__INV_0)) - (portRef (member ADDRB 2) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_9_ "uart_inst/fifo_instance/rdaddr<9>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_rdaddr_cy_9__rt_renamed_1777)) - (portRef I (instanceRef uart_inst_fifo_instance_Msub_full_v_addsub0000_lut_9__INV_0)) - (portRef (member ADDRB 1) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_rdaddr_and0000 "uart_inst/fifo_instance/rdaddr_and0000") - (joined - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_0)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_1)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_2)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_3)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_4)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_5)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_6)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_7)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_8)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_9)) - (portRef CE (instanceRef uart_inst_fifo_instance_rdaddr_10)) - (portRef O (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_0_ "uart_inst/fifo_instance/wraddr<0>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_0)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - (portRef I (instanceRef uart_inst_fifo_instance_Mcount_wraddr_lut_0__INV_0)) - (portRef (member ADDRA 10) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_1_ "uart_inst/fifo_instance/wraddr<1>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_1)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_0__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_1__rt_renamed_1778)) - (portRef (member ADDRA 9) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_10_ "uart_inst/fifo_instance/wraddr<10>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_10)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_xor_10__rt_renamed_1843)) - (portRef (member ADDRA 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_2_ "uart_inst/fifo_instance/wraddr<2>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_2)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_2__rt_renamed_1779)) - (portRef (member ADDRA 8) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_3_ "uart_inst/fifo_instance/wraddr<3>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_3)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_1__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_3__rt_renamed_1780)) - (portRef (member ADDRA 7) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_4_ "uart_inst/fifo_instance/wraddr<4>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_4)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_4__rt_renamed_1781)) - (portRef (member ADDRA 6) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_5_ "uart_inst/fifo_instance/wraddr<5>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_5)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_2__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_5__rt_renamed_1782)) - (portRef (member ADDRA 5) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_6_ "uart_inst/fifo_instance/wraddr<6>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_6)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_6__rt_renamed_1783)) - (portRef (member ADDRA 4) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_7_ "uart_inst/fifo_instance/wraddr<7>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_7)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_3__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_7__rt_renamed_1784)) - (portRef (member ADDRA 3) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_8_ "uart_inst/fifo_instance/wraddr<8>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_8)) - (portRef I1 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_8__rt_renamed_1785)) - (portRef (member ADDRA 2) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_fifo_instance_wraddr_9_ "uart_inst/fifo_instance/wraddr<9>") - (joined - (portRef Q (instanceRef uart_inst_fifo_instance_wraddr_9)) - (portRef I3 (instanceRef uart_inst_fifo_instance_Mcompar_empty_v_cmp_eq0000_lut_4__)) - (portRef I2 (instanceRef uart_inst_fifo_instance_Mcompar_full_v_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef uart_inst_fifo_instance_Mcount_wraddr_cy_9__rt_renamed_1786)) - (portRef (member ADDRA 1) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1789)) - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9_ "uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_clkout "uart_inst/rx_inst/baudgen/clkout") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_clkout_renamed_845)) - (portRef I2 (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I3 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - (portRef I3 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW02)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_clkout_or0000 "uart_inst/rx_inst/baudgen/clkout_or0000") - (joined - (portRef R (instanceRef uart_inst_rx_inst_baudgen_clkout_renamed_845)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_clkout_or00001)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_0_ "uart_inst/rx_inst/baudgen/cnt<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_0)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_cy_0__rt_renamed_1789)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_1_ "uart_inst/rx_inst/baudgen/cnt<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_1)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_10_ "uart_inst/rx_inst/baudgen/cnt<10>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_10)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_11_ "uart_inst/rx_inst/baudgen/cnt<11>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_12_ "uart_inst/rx_inst/baudgen/cnt<12>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_12)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_13_ "uart_inst/rx_inst/baudgen/cnt<13>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_13)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_14_ "uart_inst/rx_inst/baudgen/cnt<14>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_14)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_15_ "uart_inst/rx_inst/baudgen/cnt<15>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_15)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_2_ "uart_inst/rx_inst/baudgen/cnt<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_2)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_3_ "uart_inst/rx_inst/baudgen/cnt<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_3)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_4_ "uart_inst/rx_inst/baudgen/cnt<4>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_4)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_5_ "uart_inst/rx_inst/baudgen/cnt<5>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_5)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_6_ "uart_inst/rx_inst/baudgen/cnt<6>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_6)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_7_ "uart_inst/rx_inst/baudgen/cnt<7>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_7)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_8_ "uart_inst/rx_inst/baudgen/cnt<8>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_8)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_9_ "uart_inst/rx_inst/baudgen/cnt<9>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudgen_cnt_9)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_0_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_0__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_10_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_10__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_11_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_11__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_12_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_12__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_13_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_13__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_14_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_14__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_15_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_15__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_1_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_1__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_2_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_2__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_3_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_3__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_4_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_4__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_5_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_5__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_6_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_6__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_7_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_7__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_8_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_8__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_addsub0000_9_ "uart_inst/rx_inst/baudgen/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_Msub_cnt_addsub0000_xor_9__)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq0000 "uart_inst/rx_inst/baudgen/cnt_cmp_eq0000") - (joined - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_clkout_or00001)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_0_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_1_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_2_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_3_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_4_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_5_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_6_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_7_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_8_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_9_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_15_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_14_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_13_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_12_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_11_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000012 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000012_renamed_1161)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000025 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000025_renamed_1162)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000049 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000049_renamed_1163)) - (portRef I2 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_cmp_eq000062 "uart_inst/rx_inst/baudgen/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000062_renamed_1164)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudgen_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_0_ "uart_inst/rx_inst/baudgen/cnt_mux0001<0>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_0)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_10_ "uart_inst/rx_inst/baudgen/cnt_mux0001<10>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_10)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_11_ "uart_inst/rx_inst/baudgen/cnt_mux0001<11>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_11)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_12_ "uart_inst/rx_inst/baudgen/cnt_mux0001<12>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_12)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_13_ "uart_inst/rx_inst/baudgen/cnt_mux0001<13>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_13)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_14_ "uart_inst/rx_inst/baudgen/cnt_mux0001<14>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_14)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_15_ "uart_inst/rx_inst/baudgen/cnt_mux0001<15>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_15)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_1_ "uart_inst/rx_inst/baudgen/cnt_mux0001<1>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_1)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_2_ "uart_inst/rx_inst/baudgen/cnt_mux0001<2>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_2)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_3_ "uart_inst/rx_inst/baudgen/cnt_mux0001<3>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_3)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_4_ "uart_inst/rx_inst/baudgen/cnt_mux0001<4>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_4)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_5_ "uart_inst/rx_inst/baudgen/cnt_mux0001<5>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_5)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_6_ "uart_inst/rx_inst/baudgen/cnt_mux0001<6>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_6)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_7_ "uart_inst/rx_inst/baudgen/cnt_mux0001<7>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_7)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_8_ "uart_inst/rx_inst/baudgen/cnt_mux0001<8>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_8)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_mux0001_9_ "uart_inst/rx_inst/baudgen/cnt_mux0001<9>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_baudgen_cnt_9)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudgen_cnt_not0002 "uart_inst/rx_inst/baudgen/cnt_not0002") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_0)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_1)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_2)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_3)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_4)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_5)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_6)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_7)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_8)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_9)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_10)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_11)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_12)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_13)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_14)) - (portRef CE (instanceRef uart_inst_rx_inst_baudgen_cnt_15)) - (portRef O (instanceRef uart_inst_rx_inst_baudgen_cnt_not00021)) - ) - ) - (net (rename uart_inst_rx_inst_baudreset "uart_inst/rx_inst/baudreset") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_baudreset_renamed_848)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_not00021)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_clkout_or00001)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_0_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_1_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_2_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_3_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_4_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_5_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_6_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_7_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_8_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_9_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_15_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_14_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_13_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_12_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_11_1)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_inst_baudreset_or0000 "uart_inst/rx_inst/baudreset_or0000") - (joined - (portRef R (instanceRef uart_inst_rx_inst_baudreset_renamed_848)) - (portRef O (instanceRef uart_inst_rx_inst_baudreset_or00001)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_0_ "uart_inst/rx_inst/datacount<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datacount_0)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_1_ "uart_inst/rx_inst/datacount<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datacount_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226)) - (portRef I2 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_2_ "uart_inst/rx_inst/datacount<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datacount_2)) - (portRef S (instanceRef uart_inst_rx_inst_datacount_mux0000_0__f5)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_0_ "uart_inst/rx_inst/datacount_mux0000<0>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_datacount_2)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_0_1 "uart_inst/rx_inst/datacount_mux0000<0>1") - (joined - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0_1_renamed_2225)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_0_2 "uart_inst/rx_inst/datacount_mux0000<0>2") - (joined - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_0_2_renamed_2226)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_1_ "uart_inst/rx_inst/datacount_mux0000<1>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_datacount_1)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_1_1 "uart_inst/rx_inst/datacount_mux0000<1>1") - (joined - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_1_2 "uart_inst/rx_inst/datacount_mux0000<1>2") - (joined - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename uart_inst_rx_inst_datacount_mux0000_2_ "uart_inst/rx_inst/datacount_mux0000<2>") - (joined - (portRef D (instanceRef uart_inst_rx_inst_datacount_0)) - (portRef O (instanceRef uart_inst_rx_inst_datacount_mux0000_2_1)) - ) - ) - (net (rename uart_inst_rx_inst_datao_0_ "uart_inst/rx_inst/datao<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_0)) - (portRef (member DIA 7) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_1_ "uart_inst/rx_inst/datao<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_1)) - (portRef (member DIA 6) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_2_ "uart_inst/rx_inst/datao<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_2)) - (portRef (member DIA 5) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_3_ "uart_inst/rx_inst/datao<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_3)) - (portRef (member DIA 4) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_4_ "uart_inst/rx_inst/datao<4>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_4)) - (portRef (member DIA 3) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_5_ "uart_inst/rx_inst/datao<5>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_5)) - (portRef (member DIA 2) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_6_ "uart_inst/rx_inst/datao<6>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_6)) - (portRef (member DIA 1) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_7_ "uart_inst/rx_inst/datao<7>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_datao_7)) - (portRef (member DIA 0) (instanceRef uart_inst_fifo_instance_Mram_memory)) - ) - ) - (net (rename uart_inst_rx_inst_datao_not0001 "uart_inst/rx_inst/datao_not0001") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_datao_0)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_1)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_2)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_3)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_4)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_5)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_6)) - (portRef CE (instanceRef uart_inst_rx_inst_datao_7)) - (portRef O (instanceRef uart_inst_rx_inst_datao_not00012)) - ) - ) - (net (rename uart_inst_rx_inst_dataready "uart_inst/rx_inst/dataready") - (joined - (portRef D (instanceRef uart_inst_data_ready_dly_q_renamed_855)) - (portRef Q (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef I3 (instanceRef uart_inst_dready_q_or00001)) - ) - ) - (net (rename uart_inst_rx_inst_dataready_mux0000 "uart_inst/rx_inst/dataready_mux0000") - (joined - (portRef D (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef O (instanceRef uart_inst_rx_inst_datao_not000111)) - ) - ) - (net (rename uart_inst_rx_inst_dataready_not0001 "uart_inst/rx_inst/dataready_not0001") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_dataready_renamed_849)) - (portRef O (instanceRef uart_inst_rx_inst_dataready_not00011)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_0_ "uart_inst/rx_inst/rxd<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_0)) - (portRef D (instanceRef uart_inst_rx_inst_datao_0)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_0_not0001 "uart_inst/rx_inst/rxd_0_not0001") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_rxd_7)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_6)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_5)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_4)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_3)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_2)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_1)) - (portRef CE (instanceRef uart_inst_rx_inst_rxd_0)) - (portRef O (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_1_ "uart_inst/rx_inst/rxd<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_1)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_0)) - (portRef D (instanceRef uart_inst_rx_inst_datao_1)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_2_ "uart_inst/rx_inst/rxd<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_2)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_1)) - (portRef D (instanceRef uart_inst_rx_inst_datao_2)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_3_ "uart_inst/rx_inst/rxd<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_3)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_2)) - (portRef D (instanceRef uart_inst_rx_inst_datao_3)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_4_ "uart_inst/rx_inst/rxd<4>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_4)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_3)) - (portRef D (instanceRef uart_inst_rx_inst_datao_4)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_5_ "uart_inst/rx_inst/rxd<5>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_5)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_4)) - (portRef D (instanceRef uart_inst_rx_inst_datao_5)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_6_ "uart_inst/rx_inst/rxd<6>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_6)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_5)) - (portRef D (instanceRef uart_inst_rx_inst_datao_6)) - ) - ) - (net (rename uart_inst_rx_inst_rxd_7_ "uart_inst/rx_inst/rxd<7>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxd_7)) - (portRef D (instanceRef uart_inst_rx_inst_rxd_6)) - (portRef D (instanceRef uart_inst_rx_inst_datao_7)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_0_ "uart_inst/rx_inst/rxmvfilter/count_q<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_0_11_INV_0)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_1_ "uart_inst/rx_inst/rxmvfilter/count_q<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_1_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_2_ "uart_inst/rx_inst/rxmvfilter/count_q<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_2_11)) - (portRef I3 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_3_ "uart_inst/rx_inst/rxmvfilter/count_q<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_Mcount_count_q_xor_3_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_not0001 "uart_inst/rx_inst/rxmvfilter/count_q_not0001") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_not00011)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_count_q_or0000 "uart_inst/rx_inst/rxmvfilter/count_q_or0000") - (joined - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_0)) - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_1)) - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_2)) - (portRef R (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_3)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_or00001)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_sout "uart_inst/rx_inst/rxmvfilter/sout") - (joined - (portRef D (instanceRef uart_inst_rx_inst_rxd_7)) - (portRef Q (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - (portRef I3 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I1 (instanceRef uart_inst_rx_inst_datao_not000111)) - (portRef I1 (instanceRef uart_inst_rx_inst_dataready_not00011)) - (portRef I1 (instanceRef uart_inst_rx_inst_datao_not00012)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW02)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - ) - ) - (net (rename uart_inst_rx_inst_rxmvfilter_sout_cmp_ge0000 "uart_inst/rx_inst/rxmvfilter/sout_cmp_ge0000") - (joined - (portRef CE (instanceRef uart_inst_rx_inst_rxmvfilter_sout_renamed_850)) - (portRef O (instanceRef uart_inst_rx_inst_rxmvfilter_sout_cmp_ge00001)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd1 "uart_inst/rx_inst/state_FSM_FFd1") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_state_FSM_FFd1_renamed_847)) - (portRef I3 (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I2 (instanceRef uart_inst_rx_inst_datao_not000111)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_11)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I3 (instanceRef uart_inst_rx_inst_baudreset_or00001)) - (portRef I2 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - (portRef I2 (instanceRef uart_inst_rx_inst_dataready_not00011)) - (portRef I2 (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - (portRef I2 (instanceRef uart_inst_rx_inst_datao_not00012)) - (portRef S (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5)) - (portRef S (instanceRef uart_inst_rx_inst_datacount_mux0000_1__f5)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd1_In "uart_inst/rx_inst/state_FSM_FFd1-In") - (joined - (portRef D (instanceRef uart_inst_rx_inst_state_FSM_FFd1_renamed_847)) - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd2 "uart_inst/rx_inst/state_FSM_FFd2") - (joined - (portRef Q (instanceRef uart_inst_rx_inst_state_FSM_FFd2_renamed_846)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd1_In1)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_21)) - (portRef I0 (instanceRef uart_inst_rx_inst_datao_not000111)) - (portRef I0 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_11)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_0_11)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudreset_or00001)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - (portRef I0 (instanceRef uart_inst_rx_inst_dataready_not00011)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxd_0_not00011)) - (portRef I0 (instanceRef uart_inst_rx_inst_datao_not00012)) - (portRef I2 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_1_renamed_2298)) - (portRef I1 (instanceRef uart_inst_rx_inst_datacount_mux0000_1_2_renamed_2299)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd2_In "uart_inst/rx_inst/state_FSM_FFd2-In") - (joined - (portRef D (instanceRef uart_inst_rx_inst_state_FSM_FFd2_renamed_846)) - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW0 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW0") - (joined - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW01_renamed_2289)) - (portRef I1 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5)) - ) - ) - (net (rename uart_inst_rx_inst_state_FSM_FFd2_In43_SW01 "uart_inst/rx_inst/state_FSM_FFd2-In43_SW01") - (joined - (portRef O (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW02)) - (portRef I0 (instanceRef uart_inst_rx_inst_state_FSM_FFd2_In43_SW0_f5)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__rt "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1787)) - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9_ "uart_inst/rx_timer/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_10_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_11_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_12_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_13_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_14_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_15_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_1_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_2_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_3_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_4_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_5_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_6_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_7_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_8_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_Msub_cnt_addsub0000_lut_9_ "uart_inst/rx_timer/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_clkout "uart_inst/rx_timer/clkout") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_clkout_renamed_843)) - (portRef I1 (instanceRef uart_inst_rx_inst_rxmvfilter_count_q_not00011)) - (portRef I0 (instanceRef uart_inst_rx_inst_baudgen_cnt_not00021)) - (portRef I1 (instanceRef uart_inst_rx_inst_baudgen_clkout_or00001)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_not00021)) - (portRef I1 (instanceRef uart_inst_tx_timer_clkout_or00001)) - ) - ) - (net (rename uart_inst_rx_timer_clkout_or0000 "uart_inst/rx_timer/clkout_or0000") - (joined - (portRef R (instanceRef uart_inst_rx_timer_clkout_renamed_843)) - (portRef O (instanceRef uart_inst_rx_timer_clkout_or00001)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_0_ "uart_inst/rx_timer/cnt<0>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_0)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I0 (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1787)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_1_ "uart_inst/rx_timer/cnt<1>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_1)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_10_ "uart_inst/rx_timer/cnt<10>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_10)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_11_ "uart_inst/rx_timer/cnt<11>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_11)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_12_ "uart_inst/rx_timer/cnt<12>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_12)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_13_ "uart_inst/rx_timer/cnt<13>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_13)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_14_ "uart_inst/rx_timer/cnt<14>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_14)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_15_ "uart_inst/rx_timer/cnt<15>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_15)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_2_ "uart_inst/rx_timer/cnt<2>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_2)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_3_ "uart_inst/rx_timer/cnt<3>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_3)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_4_ "uart_inst/rx_timer/cnt<4>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_4)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_5_ "uart_inst/rx_timer/cnt<5>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_5)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_6_ "uart_inst/rx_timer/cnt<6>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_6)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_7_ "uart_inst/rx_timer/cnt<7>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_7)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_8_ "uart_inst/rx_timer/cnt<8>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_8)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_9_ "uart_inst/rx_timer/cnt<9>") - (joined - (portRef Q (instanceRef uart_inst_rx_timer_cnt_9)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_0_ "uart_inst/rx_timer/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_10_ "uart_inst/rx_timer/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_11_ "uart_inst/rx_timer/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_12_ "uart_inst/rx_timer/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_13_ "uart_inst/rx_timer/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_14_ "uart_inst/rx_timer/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_15_ "uart_inst/rx_timer/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_1_ "uart_inst/rx_timer/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_2_ "uart_inst/rx_timer/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_3_ "uart_inst/rx_timer/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_4_ "uart_inst/rx_timer/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_5_ "uart_inst/rx_timer/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_6_ "uart_inst/rx_timer/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_7_ "uart_inst/rx_timer/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_8_ "uart_inst/rx_timer/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_addsub0000_9_ "uart_inst/rx_timer/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef uart_inst_rx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq0000 "uart_inst/rx_timer/cnt_cmp_eq0000") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - (portRef I1 (instanceRef uart_inst_rx_timer_clkout_or00001)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq000012 "uart_inst/rx_timer/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000012_renamed_1157)) - (portRef I0 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq000025 "uart_inst/rx_timer/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000025_renamed_1158)) - (portRef I1 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq000049 "uart_inst/rx_timer/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000049_renamed_1159)) - (portRef I2 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_cmp_eq000062 "uart_inst/rx_timer/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef uart_inst_rx_timer_cnt_cmp_eq000062_renamed_1160)) - (portRef I3 (instanceRef uart_inst_rx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_0_ "uart_inst/rx_timer/cnt_mux0001<0>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_0)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_10_ "uart_inst/rx_timer/cnt_mux0001<10>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_10)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_11_ "uart_inst/rx_timer/cnt_mux0001<11>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_11)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_12_ "uart_inst/rx_timer/cnt_mux0001<12>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_12)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_13_ "uart_inst/rx_timer/cnt_mux0001<13>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_13)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_14_ "uart_inst/rx_timer/cnt_mux0001<14>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_14)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_15_ "uart_inst/rx_timer/cnt_mux0001<15>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_15)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_1_ "uart_inst/rx_timer/cnt_mux0001<1>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_1)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_2_ "uart_inst/rx_timer/cnt_mux0001<2>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_2)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_3_ "uart_inst/rx_timer/cnt_mux0001<3>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_3)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_4_ "uart_inst/rx_timer/cnt_mux0001<4>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_4)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_5_ "uart_inst/rx_timer/cnt_mux0001<5>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_5)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_6_ "uart_inst/rx_timer/cnt_mux0001<6>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_6)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_7_ "uart_inst/rx_timer/cnt_mux0001<7>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_7)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_8_ "uart_inst/rx_timer/cnt_mux0001<8>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_8)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_rx_timer_cnt_mux0001_9_ "uart_inst/rx_timer/cnt_mux0001<9>") - (joined - (portRef D (instanceRef uart_inst_rx_timer_cnt_9)) - (portRef O (instanceRef uart_inst_rx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_0_ "uart_inst/tx_core/bitpos<0>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef I2 (instanceRef uart_inst_tx_core_bitpos_mux0002_1_1)) - (portRef I0 (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - (portRef I3 (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - (portRef I1 (instanceRef uart_inst_tx_core_bitpos_mux0002_3_1)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - (portRef S (instanceRef uart_inst_tx_core_txd_r_mux000184_renamed_2217)) - (portRef I3 (instanceRef uart_inst_tx_core_loaded_r_not000111)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_1_ "uart_inst/tx_core/bitpos<1>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef I0 (instanceRef uart_inst_tx_core_bitpos_mux0002_1_1)) - (portRef I1 (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - (portRef I2 (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - (portRef I2 (instanceRef uart_inst_tx_core_loaded_r_mux000011)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux0001121_renamed_1148)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not000111)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_2_ "uart_inst/tx_core/bitpos<2>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef I1 (instanceRef uart_inst_tx_core_bitpos_mux0002_1_1)) - (portRef I2 (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - (portRef I0 (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_mux000011)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux0001121_renamed_1148)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not000111)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_3_ "uart_inst/tx_core/bitpos<3>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef I3 (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - (portRef I1 (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_mux000011)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - (portRef I2 (instanceRef uart_inst_tx_core_loaded_r_not000111)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_mux0002_0_ "uart_inst/tx_core/bitpos_mux0002<0>") - (joined - (portRef D (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef O (instanceRef uart_inst_tx_core_bitpos_mux0002_0_1)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_mux0002_1_ "uart_inst/tx_core/bitpos_mux0002<1>") - (joined - (portRef D (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef O (instanceRef uart_inst_tx_core_bitpos_mux0002_1_1)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_mux0002_2_ "uart_inst/tx_core/bitpos_mux0002<2>") - (joined - (portRef D (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef O (instanceRef uart_inst_tx_core_bitpos_mux0002_2_1)) - ) - ) - (net (rename uart_inst_tx_core_bitpos_mux0002_3_ "uart_inst/tx_core/bitpos_mux0002<3>") - (joined - (portRef D (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef O (instanceRef uart_inst_tx_core_bitpos_mux0002_3_1)) - ) - ) - (net (rename uart_inst_tx_core_idle "uart_inst/tx_core/idle") - (joined - (portRef Q (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef I1 (instanceRef uart_inst_tx_core_intx_o_not00011)) - (portRef I1 (instanceRef uart_inst_tx_core_idle_not00011)) - ) - ) - (net (rename uart_inst_tx_core_idle_mux0000 "uart_inst/tx_core/idle_mux0000") - (joined - (portRef D (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef O (instanceRef uart_inst_tx_core_idle_mux00001_INV_0)) - ) - ) - (net (rename uart_inst_tx_core_idle_not0001 "uart_inst/tx_core/idle_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_idle_renamed_851)) - (portRef O (instanceRef uart_inst_tx_core_idle_not00011)) - ) - ) - (net (rename uart_inst_tx_core_intx_o "uart_inst/tx_core/intx_o") - (joined - (portRef Q (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef I2 (instanceRef uart_inst_wb_dat_o_2_mux00001)) - ) - ) - (net (rename uart_inst_tx_core_intx_o_not0001 "uart_inst/tx_core/intx_o_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef O (instanceRef uart_inst_tx_core_intx_o_not00011)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r "uart_inst/tx_core/loaded_r") - (joined - (portRef Q (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef D (instanceRef uart_inst_tx_core_intx_o_renamed_854)) - (portRef I2 (instanceRef uart_inst_tx_core_bitpos_mux0002_3_1)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - (portRef I2 (instanceRef uart_inst_tx_core_intx_o_not00011)) - (portRef I2 (instanceRef uart_inst_tx_core_idle_not00011)) - (portRef I2 (instanceRef uart_inst_wb_dat_o_1_mux00001)) - (portRef I1 (instanceRef uart_inst_tx_core_t_r_not00011)) - (portRef I (instanceRef uart_inst_tx_core_idle_mux00001_INV_0)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not00013_renamed_2231)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_mux0000 "uart_inst/tx_core/loaded_r_mux0000") - (joined - (portRef D (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef O (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_not0001 "uart_inst/tx_core/loaded_r_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_loaded_r_renamed_853)) - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_not00011 "uart_inst/tx_core/loaded_r_not00011") - (joined - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not000111)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not00011_f5)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_not00012 "uart_inst/tx_core/loaded_r_not00012") - (joined - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - (portRef I1 (instanceRef uart_inst_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename uart_inst_tx_core_loaded_r_not00013 "uart_inst/tx_core/loaded_r_not00013") - (joined - (portRef O (instanceRef uart_inst_tx_core_loaded_r_not00013_renamed_2231)) - (portRef I0 (instanceRef uart_inst_tx_core_loaded_r_not0001_f5)) - ) - ) - (net (rename uart_inst_tx_core_t_r_0_ "uart_inst/tx_core/t_r<0>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_0)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - ) - ) - (net (rename uart_inst_tx_core_t_r_1_ "uart_inst/tx_core/t_r<1>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_1)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - ) - ) - (net (rename uart_inst_tx_core_t_r_2_ "uart_inst/tx_core/t_r<2>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_2)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - ) - ) - (net (rename uart_inst_tx_core_t_r_3_ "uart_inst/tx_core/t_r<3>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_3)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - ) - ) - (net (rename uart_inst_tx_core_t_r_4_ "uart_inst/tx_core/t_r<4>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_4)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - ) - ) - (net (rename uart_inst_tx_core_t_r_5_ "uart_inst/tx_core/t_r<5>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_5)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - ) - ) - (net (rename uart_inst_tx_core_t_r_6_ "uart_inst/tx_core/t_r<6>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_6)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - ) - ) - (net (rename uart_inst_tx_core_t_r_7_ "uart_inst/tx_core/t_r<7>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_t_r_7)) - (portRef I2 (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - ) - ) - (net (rename uart_inst_tx_core_t_r_not0001 "uart_inst/tx_core/t_r_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_t_r_0)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_1)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_2)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_3)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_4)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_5)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_6)) - (portRef CE (instanceRef uart_inst_tx_core_t_r_7)) - (portRef O (instanceRef uart_inst_tx_core_t_r_not00011)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_0_ "uart_inst/tx_core/tbuff_r<0>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_0)) - (portRef D (instanceRef uart_inst_tx_core_t_r_0)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_1_ "uart_inst/tx_core/tbuff_r<1>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_1)) - (portRef D (instanceRef uart_inst_tx_core_t_r_1)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_2_ "uart_inst/tx_core/tbuff_r<2>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_2)) - (portRef D (instanceRef uart_inst_tx_core_t_r_2)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_3_ "uart_inst/tx_core/tbuff_r<3>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_3)) - (portRef D (instanceRef uart_inst_tx_core_t_r_3)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_4_ "uart_inst/tx_core/tbuff_r<4>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_4)) - (portRef D (instanceRef uart_inst_tx_core_t_r_4)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_5_ "uart_inst/tx_core/tbuff_r<5>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_5)) - (portRef D (instanceRef uart_inst_tx_core_t_r_5)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_6_ "uart_inst/tx_core/tbuff_r<6>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_6)) - (portRef D (instanceRef uart_inst_tx_core_t_r_6)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_7_ "uart_inst/tx_core/tbuff_r<7>") - (joined - (portRef Q (instanceRef uart_inst_tx_core_tbuff_r_7)) - (portRef D (instanceRef uart_inst_tx_core_t_r_7)) - ) - ) - (net (rename uart_inst_tx_core_tbuff_r_not0001 "uart_inst/tx_core/tbuff_r_not0001") - (joined - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_0)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_1)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_2)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_3)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_4)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_5)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_6)) - (portRef CE (instanceRef uart_inst_tx_core_tbuff_r_7)) - (portRef O (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - ) - ) - (net (rename uart_inst_tx_core_txd_r "uart_inst/tx_core/txd_r") - (joined - (portRef I (instanceRef obuftx_obufi)) - (portRef Q (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux0001 "uart_inst/tx_core/txd_r_mux0001") - (joined - (portRef D (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux0001117 "uart_inst/tx_core/txd_r_mux0001117") - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux0001117_renamed_1147)) - (portRef I0 (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux000112 "uart_inst/tx_core/txd_r_mux000112") - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000112_renamed_1145)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux000184_G)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux0001121 "uart_inst/tx_core/txd_r_mux0001121") - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux0001121_renamed_1148)) - (portRef I1 (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux000148 "uart_inst/tx_core/txd_r_mux000148") - (joined - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000148_renamed_1146)) - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux000184_F)) - ) - ) - (net (rename uart_inst_tx_core_txd_r_mux000184 "uart_inst/tx_core/txd_r_mux000184") - (joined - (portRef I3 (instanceRef uart_inst_tx_core_txd_r_mux0001132)) - (portRef O (instanceRef uart_inst_tx_core_txd_r_mux000184_renamed_2217)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_1__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__rt "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1788)) - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_0__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<10>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_11__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<11>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_12__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<12>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_13__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<13>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_14__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<14>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_15__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<1>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_2__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<2>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_3__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<3>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_4__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<4>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_5__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<5>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_6__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<6>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_7__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<7>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_8__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<8>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_9__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9_ "uart_inst/tx_timer/Msub_cnt_addsub0000_cy<9>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef CI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_10__)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_10_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<10>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_10__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_11_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<11>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_11__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_12_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<12>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_12__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_13_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<13>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_13__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_14_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<14>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_14__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_15_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<15>") - (joined - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_1_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<1>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_1__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_2_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<2>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_2__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_3_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<3>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_3__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_4_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<4>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_4__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_5_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<5>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_5__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_6_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<6>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_6__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_7_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<7>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_7__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_8_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<8>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_8__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_Msub_cnt_addsub0000_lut_9_ "uart_inst/tx_timer/Msub_cnt_addsub0000_lut<9>") - (joined - (portRef S (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_9__)) - (portRef LI (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_clkout "uart_inst/tx_timer/clkout") - (joined - (portRef CE (instanceRef uart_inst_tx_core_txd_r_renamed_852)) - (portRef CE (instanceRef uart_inst_tx_core_bitpos_0)) - (portRef CE (instanceRef uart_inst_tx_core_bitpos_1)) - (portRef CE (instanceRef uart_inst_tx_core_bitpos_2)) - (portRef CE (instanceRef uart_inst_tx_core_bitpos_3)) - (portRef Q (instanceRef uart_inst_tx_timer_clkout_renamed_844)) - (portRef I2 (instanceRef uart_inst_tx_core_loaded_r_mux00001)) - (portRef S (instanceRef uart_inst_tx_core_loaded_r_not00011_f5)) - ) - ) - (net (rename uart_inst_tx_timer_clkout_or0000 "uart_inst/tx_timer/clkout_or0000") - (joined - (portRef R (instanceRef uart_inst_tx_timer_clkout_renamed_844)) - (portRef O (instanceRef uart_inst_tx_timer_clkout_or00001)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_0_ "uart_inst/tx_timer/cnt<0>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_0)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I0 (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_cy_0__rt_renamed_1788)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_1_ "uart_inst/tx_timer/cnt<1>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_1)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_10_ "uart_inst/tx_timer/cnt<10>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_10)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_10__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_11_ "uart_inst/tx_timer/cnt<11>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_11)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_11__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_12_ "uart_inst/tx_timer/cnt<12>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_12)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_12__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_13_ "uart_inst/tx_timer/cnt<13>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_13)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_13__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_14_ "uart_inst/tx_timer/cnt<14>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_14)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_14__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_15_ "uart_inst/tx_timer/cnt<15>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_15)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_15__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_2_ "uart_inst/tx_timer/cnt<2>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_2)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_3_ "uart_inst/tx_timer/cnt<3>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_3)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_4_ "uart_inst/tx_timer/cnt<4>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_4)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_5_ "uart_inst/tx_timer/cnt<5>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_5)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_6_ "uart_inst/tx_timer/cnt<6>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_6)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_7_ "uart_inst/tx_timer/cnt<7>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_7)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_8_ "uart_inst/tx_timer/cnt<8>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_8)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_9_ "uart_inst/tx_timer/cnt<9>") - (joined - (portRef Q (instanceRef uart_inst_tx_timer_cnt_9)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_lut_9__INV_0)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_0_ "uart_inst/tx_timer/cnt_addsub0000<0>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_0__)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_10_ "uart_inst/tx_timer/cnt_addsub0000<10>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_10__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_11_ "uart_inst/tx_timer/cnt_addsub0000<11>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_11__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_12_ "uart_inst/tx_timer/cnt_addsub0000<12>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_12__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_13_ "uart_inst/tx_timer/cnt_addsub0000<13>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_13__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_14_ "uart_inst/tx_timer/cnt_addsub0000<14>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_14__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_15_ "uart_inst/tx_timer/cnt_addsub0000<15>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_15__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_1_ "uart_inst/tx_timer/cnt_addsub0000<1>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_1__)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_2_ "uart_inst/tx_timer/cnt_addsub0000<2>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_2__)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_3_ "uart_inst/tx_timer/cnt_addsub0000<3>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_3__)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_4_ "uart_inst/tx_timer/cnt_addsub0000<4>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_4__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_5_ "uart_inst/tx_timer/cnt_addsub0000<5>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_5__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_6_ "uart_inst/tx_timer/cnt_addsub0000<6>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_6__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_7_ "uart_inst/tx_timer/cnt_addsub0000<7>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_7__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_8_ "uart_inst/tx_timer/cnt_addsub0000<8>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_8__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_addsub0000_9_ "uart_inst/tx_timer/cnt_addsub0000<9>") - (joined - (portRef O (instanceRef uart_inst_tx_timer_Msub_cnt_addsub0000_xor_9__)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq0000 "uart_inst/tx_timer/cnt_cmp_eq0000") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - (portRef I2 (instanceRef uart_inst_tx_timer_clkout_or00001)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq000012 "uart_inst/tx_timer/cnt_cmp_eq000012") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000012_renamed_1153)) - (portRef I0 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq000025 "uart_inst/tx_timer/cnt_cmp_eq000025") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000025_renamed_1154)) - (portRef I1 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq000049 "uart_inst/tx_timer/cnt_cmp_eq000049") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000049_renamed_1155)) - (portRef I2 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_cmp_eq000062 "uart_inst/tx_timer/cnt_cmp_eq000062") - (joined - (portRef O (instanceRef uart_inst_tx_timer_cnt_cmp_eq000062_renamed_1156)) - (portRef I3 (instanceRef uart_inst_tx_timer_cnt_cmp_eq000076)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_0_ "uart_inst/tx_timer/cnt_mux0001<0>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_0)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_0_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_10_ "uart_inst/tx_timer/cnt_mux0001<10>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_10)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_10_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_11_ "uart_inst/tx_timer/cnt_mux0001<11>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_11)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_11_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_12_ "uart_inst/tx_timer/cnt_mux0001<12>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_12)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_12_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_13_ "uart_inst/tx_timer/cnt_mux0001<13>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_13)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_13_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_14_ "uart_inst/tx_timer/cnt_mux0001<14>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_14)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_14_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_15_ "uart_inst/tx_timer/cnt_mux0001<15>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_15)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_15_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_1_ "uart_inst/tx_timer/cnt_mux0001<1>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_1)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_1_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_2_ "uart_inst/tx_timer/cnt_mux0001<2>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_2)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_2_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_3_ "uart_inst/tx_timer/cnt_mux0001<3>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_3)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_3_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_4_ "uart_inst/tx_timer/cnt_mux0001<4>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_4)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_4_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_5_ "uart_inst/tx_timer/cnt_mux0001<5>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_5)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_5_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_6_ "uart_inst/tx_timer/cnt_mux0001<6>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_6)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_6_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_7_ "uart_inst/tx_timer/cnt_mux0001<7>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_7)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_7_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_8_ "uart_inst/tx_timer/cnt_mux0001<8>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_8)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_8_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_mux0001_9_ "uart_inst/tx_timer/cnt_mux0001<9>") - (joined - (portRef D (instanceRef uart_inst_tx_timer_cnt_9)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_mux0001_9_1)) - ) - ) - (net (rename uart_inst_tx_timer_cnt_not0002 "uart_inst/tx_timer/cnt_not0002") - (joined - (portRef CE (instanceRef uart_inst_tx_timer_cnt_0)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_1)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_2)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_3)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_4)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_5)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_6)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_7)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_8)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_9)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_10)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_11)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_12)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_13)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_14)) - (portRef CE (instanceRef uart_inst_tx_timer_cnt_15)) - (portRef O (instanceRef uart_inst_tx_timer_cnt_not00021)) - ) - ) - (net vgaclk - (joined - (portRef C (instanceRef slot9_v_display_renamed_0)) - (portRef C (instanceRef slot9_vga_r_0)) - (portRef C (instanceRef slot9_vga_r_1)) - (portRef C (instanceRef slot9_vga_r_2)) - (portRef C (instanceRef slot9_vga_g_0)) - (portRef C (instanceRef slot9_vga_g_1)) - (portRef C (instanceRef slot9_vga_g_2)) - (portRef C (instanceRef slot9_vga_b_0)) - (portRef C (instanceRef slot9_vga_b_1)) - (portRef C (instanceRef slot9_ram_v_addrh_q_renamed_6)) - (portRef C (instanceRef slot9_rstq2_renamed_7)) - (portRef C (instanceRef slot9_rstq1_renamed_173)) - (portRef C (instanceRef slot9_vga_hsync_renamed_292)) - (portRef C (instanceRef slot9_vga_vsync_renamed_293)) - (portRef C (instanceRef slot9_h_sync_tick_renamed_294)) - (portRef C (instanceRef slot9_voff_0)) - (portRef C (instanceRef slot9_voff_1)) - (portRef C (instanceRef slot9_voff_2)) - (portRef C (instanceRef slot9_vcount_q_0)) - (portRef C (instanceRef slot9_vcount_q_1)) - (portRef C (instanceRef slot9_vcount_q_2)) - (portRef C (instanceRef slot9_vcount_q_5)) - (portRef C (instanceRef slot9_vcount_q_3)) - (portRef C (instanceRef slot9_vcount_q_4)) - (portRef C (instanceRef slot9_vcount_q_6)) - (portRef C (instanceRef slot9_vcount_q_7)) - (portRef C (instanceRef slot9_vcount_q_8)) - (portRef C (instanceRef slot9_hoff_0)) - (portRef C (instanceRef slot9_hoff_1)) - (portRef C (instanceRef slot9_hoff_2)) - (portRef C (instanceRef slot9_hcount_q_0)) - (portRef C (instanceRef slot9_hcount_q_1)) - (portRef C (instanceRef slot9_hcount_q_2)) - (portRef C (instanceRef slot9_hcount_q_3)) - (portRef C (instanceRef slot9_hcount_q_4)) - (portRef C (instanceRef slot9_hcount_q_7)) - (portRef C (instanceRef slot9_hcount_q_5)) - (portRef C (instanceRef slot9_hcount_q_6)) - (portRef C (instanceRef slot9_hcount_q_8)) - (portRef C (instanceRef slot9_hcount_q_9)) - (portRef C (instanceRef slot9_hdisp_2)) - (portRef C (instanceRef slot9_hdisp_3)) - (portRef C (instanceRef slot9_hdisp_4)) - (portRef C (instanceRef slot9_hdisp_5)) - (portRef C (instanceRef slot9_hdisp_6)) - (portRef C (instanceRef slot9_hdisp_7)) - (portRef C (instanceRef slot9_hdisp_8)) - (portRef C (instanceRef slot9_hdisp_9)) - (portRef C (instanceRef slot9_hdisp_10)) - (portRef C (instanceRef slot9_hdisp_11)) - (portRef C (instanceRef slot9_hdisp_12)) - (portRef C (instanceRef slot9_hdisp_13)) - (portRef C (instanceRef slot9_vga_v_offset_5)) - (portRef C (instanceRef slot9_vga_v_offset_6)) - (portRef C (instanceRef slot9_vga_v_offset_7)) - (portRef C (instanceRef slot9_vga_v_offset_8)) - (portRef C (instanceRef slot9_vga_v_offset_9)) - (portRef C (instanceRef slot9_vga_v_offset_10)) - (portRef C (instanceRef slot9_vga_v_offset_11)) - (portRef C (instanceRef slot9_vga_v_offset_12)) - (portRef C (instanceRef slot9_vga_v_offset_13)) - (portRef C (instanceRef slot9_vga_v_offset_14)) - (portRef C (instanceRef slot9_vcount_q_9)) - (portRef C (instanceRef slot9_hcount_q_10)) - (portRef O (instanceRef clkgen_inst_vgaclkfx_inst)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef CLKB (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef CLKB (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef CLKB (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_0_ "zpuino/core/Madd_jump_address_add0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_10_ "zpuino/core/Madd_jump_address_add0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_11_ "zpuino/core/Madd_jump_address_add0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_12_ "zpuino/core/Madd_jump_address_add0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_1_ "zpuino/core/Madd_jump_address_add0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_2_ "zpuino/core/Madd_jump_address_add0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_3_ "zpuino/core/Madd_jump_address_add0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_4_ "zpuino/core/Madd_jump_address_add0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_5_ "zpuino/core/Madd_jump_address_add0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_6_ "zpuino/core/Madd_jump_address_add0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_7_ "zpuino/core/Madd_jump_address_add0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_8_ "zpuino/core/Madd_jump_address_add0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_cy_9_ "zpuino/core/Madd_jump_address_add0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_0_ "zpuino/core/Madd_jump_address_add0000_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_0__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_0__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_10_ "zpuino/core/Madd_jump_address_add0000_lut<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_10__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_10__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_11_ "zpuino/core/Madd_jump_address_add0000_lut<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_11__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_11__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_12_ "zpuino/core/Madd_jump_address_add0000_lut<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_12__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_12__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_13_ "zpuino/core/Madd_jump_address_add0000_lut<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_13__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_1_ "zpuino/core/Madd_jump_address_add0000_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_1__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_2_ "zpuino/core/Madd_jump_address_add0000_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_2__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_3_ "zpuino/core/Madd_jump_address_add0000_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_3__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_4_ "zpuino/core/Madd_jump_address_add0000_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_4__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_5_ "zpuino/core/Madd_jump_address_add0000_lut<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_5__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_6_ "zpuino/core/Madd_jump_address_add0000_lut<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_6__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_7_ "zpuino/core/Madd_jump_address_add0000_lut<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_7__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_8_ "zpuino/core/Madd_jump_address_add0000_lut<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_8__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_8__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_jump_address_add0000_lut_9_ "zpuino/core/Madd_jump_address_add0000_lut<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_lut_9__)) - (portRef S (instanceRef zpuino_core_Madd_jump_address_add0000_cy_9__)) - (portRef LI (instanceRef zpuino_core_Madd_jump_address_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_0_ "zpuino/core/Madd_pcnext_add0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_10_ "zpuino/core/Madd_pcnext_add0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_10__rt "zpuino/core/Madd_pcnext_add0000_cy<10>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__rt_renamed_1708)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_11_ "zpuino/core/Madd_pcnext_add0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_11__rt "zpuino/core/Madd_pcnext_add0000_cy<11>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__rt_renamed_1709)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_12_ "zpuino/core/Madd_pcnext_add0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_12__rt "zpuino/core/Madd_pcnext_add0000_cy<12>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__rt_renamed_1710)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_1_ "zpuino/core/Madd_pcnext_add0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_1__rt "zpuino/core/Madd_pcnext_add0000_cy<1>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__rt_renamed_1699)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_2_ "zpuino/core/Madd_pcnext_add0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_2__rt "zpuino/core/Madd_pcnext_add0000_cy<2>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__rt_renamed_1700)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_3_ "zpuino/core/Madd_pcnext_add0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_3__rt "zpuino/core/Madd_pcnext_add0000_cy<3>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__rt_renamed_1701)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_4_ "zpuino/core/Madd_pcnext_add0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_4__rt "zpuino/core/Madd_pcnext_add0000_cy<4>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__rt_renamed_1702)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_5_ "zpuino/core/Madd_pcnext_add0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_5__rt "zpuino/core/Madd_pcnext_add0000_cy<5>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__rt_renamed_1703)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_6_ "zpuino/core/Madd_pcnext_add0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_6__rt "zpuino/core/Madd_pcnext_add0000_cy<6>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__rt_renamed_1704)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_7_ "zpuino/core/Madd_pcnext_add0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_7__rt "zpuino/core/Madd_pcnext_add0000_cy<7>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__rt_renamed_1705)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_8_ "zpuino/core/Madd_pcnext_add0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_8__rt "zpuino/core/Madd_pcnext_add0000_cy<8>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__rt_renamed_1706)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_9_ "zpuino/core/Madd_pcnext_add0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_cy_9__rt "zpuino/core/Madd_pcnext_add0000_cy<9>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__rt_renamed_1707)) - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_lut_0_ "zpuino/core/Madd_pcnext_add0000_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Madd_pcnext_add0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_0__)) - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_lut_0__INV_0)) - ) - ) - (net (rename zpuino_core_Madd_pcnext_add0000_xor_13__rt "zpuino/core/Madd_pcnext_add0000_xor<13>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__rt_renamed_1833)) - (portRef LI (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_prefr_spnext_addsub0001_cy_4__ "zpuino/core/Madd_prefr.spnext_addsub0001_cy<4>") - (joined - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - (portRef I2 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11)) - (portRef O (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_G)) - ) - ) - (net (rename zpuino_core_Madd_prefr_spnext_addsub0001_cy_6__ "zpuino/core/Madd_prefr.spnext_addsub0001_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_0_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_1_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_2_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_3_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_4_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_5_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__rt "zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__rt_renamed_1711)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_6_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__rt "zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__rt_renamed_1712)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_7_ "zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__rt "zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__rt_renamed_1713)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_0_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_0__)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_0__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_1_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_1__)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_2_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_2__)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_3_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_3__)) - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_lut_4_ "zpuino/core/Madd_stack_a_addr_addsub0000_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_4__)) - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__rt "zpuino/core/Madd_stack_a_addr_addsub0000_xor<8>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__rt_renamed_1834)) - (portRef LI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_0_ "zpuino/core/Madd_stack_b_addr_add0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_1_ "zpuino/core/Madd_stack_b_addr_add0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_1__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<1>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__rt_renamed_1692)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_2_ "zpuino/core/Madd_stack_b_addr_add0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_2__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<2>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__rt_renamed_1693)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_3_ "zpuino/core/Madd_stack_b_addr_add0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_3__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<3>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__rt_renamed_1694)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_4_ "zpuino/core/Madd_stack_b_addr_add0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_4__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<4>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__rt_renamed_1695)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_5_ "zpuino/core/Madd_stack_b_addr_add0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_5__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<5>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__rt_renamed_1696)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_6_ "zpuino/core/Madd_stack_b_addr_add0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_6__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<6>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__rt_renamed_1697)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_7_ "zpuino/core/Madd_stack_b_addr_add0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_cy_7__rt "zpuino/core/Madd_stack_b_addr_add0000_cy<7>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__rt_renamed_1698)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_lut_0_ "zpuino/core/Madd_stack_b_addr_add0000_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_0__)) - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_lut_0__INV_0)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_add0000_xor_8__rt "zpuino/core/Madd_stack_b_addr_add0000_xor<8>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__rt_renamed_1832)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_0_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_1_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_2_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_3_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_4_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_5_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__rt "zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__rt_renamed_1714)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_6_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__rt "zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__rt_renamed_1715)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_7_ "zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__rt "zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__rt_renamed_1716)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_0_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_0__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_0__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_1_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_1__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_2_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_2__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_3_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_3__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_lut_4_ "zpuino/core/Madd_stack_b_addr_addsub0000_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_4__)) - (portRef S (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__rt "zpuino/core/Madd_stack_b_addr_addsub0000_xor<8>_rt") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__rt_renamed_1835)) - (portRef LI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_0_ "zpuino/core/Madd_w1.tos_add0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_10_ "zpuino/core/Madd_w1.tos_add0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_11_ "zpuino/core/Madd_w1.tos_add0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_12_ "zpuino/core/Madd_w1.tos_add0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_13_ "zpuino/core/Madd_w1.tos_add0000_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_14__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_14__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_14_ "zpuino/core/Madd_w1.tos_add0000_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_14__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_15__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_15__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_15_ "zpuino/core/Madd_w1.tos_add0000_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_15__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_16__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_16__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_16_ "zpuino/core/Madd_w1.tos_add0000_cy<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_16__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_17__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_17_ "zpuino/core/Madd_w1.tos_add0000_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_18__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_18__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_18_ "zpuino/core/Madd_w1.tos_add0000_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_18__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_19__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_19__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_19_ "zpuino/core/Madd_w1.tos_add0000_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_19__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_20__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_20__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_1_ "zpuino/core/Madd_w1.tos_add0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_20_ "zpuino/core/Madd_w1.tos_add0000_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_20__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_21__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_21__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_21_ "zpuino/core/Madd_w1.tos_add0000_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_21__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_22__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_22__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_22_ "zpuino/core/Madd_w1.tos_add0000_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_22__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_23__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_23__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_23_ "zpuino/core/Madd_w1.tos_add0000_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_23__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_24__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_24__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_24_ "zpuino/core/Madd_w1.tos_add0000_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_24__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_25__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_25__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_25_ "zpuino/core/Madd_w1.tos_add0000_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_25__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_26__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_26__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_26_ "zpuino/core/Madd_w1.tos_add0000_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_26__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_27__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_27__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_27_ "zpuino/core/Madd_w1.tos_add0000_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_27__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_28__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_28__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_28_ "zpuino/core/Madd_w1.tos_add0000_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_28__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_29__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_29__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_29_ "zpuino/core/Madd_w1.tos_add0000_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_29__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_30__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_30__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_2_ "zpuino/core/Madd_w1.tos_add0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_30_ "zpuino/core/Madd_w1.tos_add0000_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_30__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_31__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_3_ "zpuino/core/Madd_w1.tos_add0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_4_ "zpuino/core/Madd_w1.tos_add0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_5_ "zpuino/core/Madd_w1.tos_add0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_6_ "zpuino/core/Madd_w1.tos_add0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_7_ "zpuino/core/Madd_w1.tos_add0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_8_ "zpuino/core/Madd_w1.tos_add0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_cy_9_ "zpuino/core/Madd_w1.tos_add0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_0_ "zpuino/core/Madd_w1.tos_add0000_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_0__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_10_ "zpuino/core/Madd_w1.tos_add0000_lut<10>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_10__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_10__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_11_ "zpuino/core/Madd_w1.tos_add0000_lut<11>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_11__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_11__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_12_ "zpuino/core/Madd_w1.tos_add0000_lut<12>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_12__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_12__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_13_ "zpuino/core/Madd_w1.tos_add0000_lut<13>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_13__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_13__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_14_ "zpuino/core/Madd_w1.tos_add0000_lut<14>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_14__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_14__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_15_ "zpuino/core/Madd_w1.tos_add0000_lut<15>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_15__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_15__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_16_ "zpuino/core/Madd_w1.tos_add0000_lut<16>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_16__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_16__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_17_ "zpuino/core/Madd_w1.tos_add0000_lut<17>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_17__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_17__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_18_ "zpuino/core/Madd_w1.tos_add0000_lut<18>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_18__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_18__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_19_ "zpuino/core/Madd_w1.tos_add0000_lut<19>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_19__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_19__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_1_ "zpuino/core/Madd_w1.tos_add0000_lut<1>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_1__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_20_ "zpuino/core/Madd_w1.tos_add0000_lut<20>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_20__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_20__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_21_ "zpuino/core/Madd_w1.tos_add0000_lut<21>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_21__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_21__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_22_ "zpuino/core/Madd_w1.tos_add0000_lut<22>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_22__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_22__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_23_ "zpuino/core/Madd_w1.tos_add0000_lut<23>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_23__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_23__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_24_ "zpuino/core/Madd_w1.tos_add0000_lut<24>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_24__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_24__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_25_ "zpuino/core/Madd_w1.tos_add0000_lut<25>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_25__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_25__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_26_ "zpuino/core/Madd_w1.tos_add0000_lut<26>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_26__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_26__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_27_ "zpuino/core/Madd_w1.tos_add0000_lut<27>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_27__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_27__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_28_ "zpuino/core/Madd_w1.tos_add0000_lut<28>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_28__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_28__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_29_ "zpuino/core/Madd_w1.tos_add0000_lut<29>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_29__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_29__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_2_ "zpuino/core/Madd_w1.tos_add0000_lut<2>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_2__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_30_ "zpuino/core/Madd_w1.tos_add0000_lut<30>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_30__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_30__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_31_ "zpuino/core/Madd_w1.tos_add0000_lut<31>") - (joined - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_31__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_3_ "zpuino/core/Madd_w1.tos_add0000_lut<3>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_3__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_4_ "zpuino/core/Madd_w1.tos_add0000_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_4__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_5_ "zpuino/core/Madd_w1.tos_add0000_lut<5>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_5__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_6_ "zpuino/core/Madd_w1.tos_add0000_lut<6>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_6__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_7_ "zpuino/core/Madd_w1.tos_add0000_lut<7>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_7__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_8_ "zpuino/core/Madd_w1.tos_add0000_lut<8>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_8__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_8__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0000_lut_9_ "zpuino/core/Madd_w1.tos_add0000_lut<9>") - (joined - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_9__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_9__)) - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_0_ "zpuino/core/Madd_w1.tos_add0001_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_0__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_10_ "zpuino/core/Madd_w1.tos_add0001_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_11_ "zpuino/core/Madd_w1.tos_add0001_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_11__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_12_ "zpuino/core/Madd_w1.tos_add0001_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_12__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_13_ "zpuino/core/Madd_w1.tos_add0001_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_13__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_14__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_14__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_14_ "zpuino/core/Madd_w1.tos_add0001_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_14__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_15__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_15__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_15_ "zpuino/core/Madd_w1.tos_add0001_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_15__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_16__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_16__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_16_ "zpuino/core/Madd_w1.tos_add0001_cy<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_16__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_17__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_17_ "zpuino/core/Madd_w1.tos_add0001_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_17__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_18__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_18__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_18_ "zpuino/core/Madd_w1.tos_add0001_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_18__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_19__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_19__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_19_ "zpuino/core/Madd_w1.tos_add0001_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_19__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_20__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_20__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_1_ "zpuino/core/Madd_w1.tos_add0001_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_1__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_20_ "zpuino/core/Madd_w1.tos_add0001_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_20__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_21__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_21__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_21_ "zpuino/core/Madd_w1.tos_add0001_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_21__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_22__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_22__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_22_ "zpuino/core/Madd_w1.tos_add0001_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_22__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_23__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_23__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_23_ "zpuino/core/Madd_w1.tos_add0001_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_23__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_24__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_24__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_24_ "zpuino/core/Madd_w1.tos_add0001_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_24__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_25__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_25__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_25_ "zpuino/core/Madd_w1.tos_add0001_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_25__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_26__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_26__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_26_ "zpuino/core/Madd_w1.tos_add0001_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_26__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_27__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_27__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_27_ "zpuino/core/Madd_w1.tos_add0001_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_27__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_28__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_28__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_28_ "zpuino/core/Madd_w1.tos_add0001_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_28__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_29__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_29__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_29_ "zpuino/core/Madd_w1.tos_add0001_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_29__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_30__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_30__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_2_ "zpuino/core/Madd_w1.tos_add0001_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_2__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_30_ "zpuino/core/Madd_w1.tos_add0001_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_30__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_31__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_3_ "zpuino/core/Madd_w1.tos_add0001_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_3__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_4_ "zpuino/core/Madd_w1.tos_add0001_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_4__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_5_ "zpuino/core/Madd_w1.tos_add0001_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_5__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_6_ "zpuino/core/Madd_w1.tos_add0001_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_6__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_7_ "zpuino/core/Madd_w1.tos_add0001_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_7__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_8_ "zpuino/core/Madd_w1.tos_add0001_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_8__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_9__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_cy_9_ "zpuino/core/Madd_w1.tos_add0001_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_9__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_10__)) - (portRef CI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_0_ "zpuino/core/Madd_w1.tos_add0001_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_0__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_0__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_0__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_10_ "zpuino/core/Madd_w1.tos_add0001_lut<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_10__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_10__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_10__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_11_ "zpuino/core/Madd_w1.tos_add0001_lut<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_11__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_11__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_11__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_12_ "zpuino/core/Madd_w1.tos_add0001_lut<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_12__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_12__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_12__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_13_ "zpuino/core/Madd_w1.tos_add0001_lut<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_13__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_13__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_13__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_14_ "zpuino/core/Madd_w1.tos_add0001_lut<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_14__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_14__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_14__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_15_ "zpuino/core/Madd_w1.tos_add0001_lut<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_15__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_15__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_15__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_16_ "zpuino/core/Madd_w1.tos_add0001_lut<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_16__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_16__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_16__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_17_ "zpuino/core/Madd_w1.tos_add0001_lut<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_17__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_17__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_17__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_18_ "zpuino/core/Madd_w1.tos_add0001_lut<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_18__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_18__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_18__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_19_ "zpuino/core/Madd_w1.tos_add0001_lut<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_19__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_19__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_19__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_1_ "zpuino/core/Madd_w1.tos_add0001_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_1__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_1__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_1__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_20_ "zpuino/core/Madd_w1.tos_add0001_lut<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_20__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_20__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_20__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_21_ "zpuino/core/Madd_w1.tos_add0001_lut<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_21__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_21__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_21__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_22_ "zpuino/core/Madd_w1.tos_add0001_lut<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_22__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_22__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_22__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_23_ "zpuino/core/Madd_w1.tos_add0001_lut<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_23__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_23__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_23__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_24_ "zpuino/core/Madd_w1.tos_add0001_lut<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_24__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_24__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_24__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_25_ "zpuino/core/Madd_w1.tos_add0001_lut<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_25__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_25__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_25__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_26_ "zpuino/core/Madd_w1.tos_add0001_lut<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_26__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_26__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_26__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_27_ "zpuino/core/Madd_w1.tos_add0001_lut<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_27__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_27__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_27__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_28_ "zpuino/core/Madd_w1.tos_add0001_lut<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_28__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_28__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_28__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_29_ "zpuino/core/Madd_w1.tos_add0001_lut<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_29__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_29__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_29__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_2_ "zpuino/core/Madd_w1.tos_add0001_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_2__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_2__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_2__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_30_ "zpuino/core/Madd_w1.tos_add0001_lut<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_30__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_30__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_30__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_31_ "zpuino/core/Madd_w1.tos_add0001_lut<31>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_31__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_31__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_3_ "zpuino/core/Madd_w1.tos_add0001_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_3__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_3__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_3__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_4_ "zpuino/core/Madd_w1.tos_add0001_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_4__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_4__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_4__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_5_ "zpuino/core/Madd_w1.tos_add0001_lut<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_5__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_5__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_5__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_6_ "zpuino/core/Madd_w1.tos_add0001_lut<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_6__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_6__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_6__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_7_ "zpuino/core/Madd_w1.tos_add0001_lut<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_7__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_7__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_7__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_8_ "zpuino/core/Madd_w1.tos_add0001_lut<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_8__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_8__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_8__)) - ) - ) - (net (rename zpuino_core_Madd_w1_tos_add0001_lut_9_ "zpuino/core/Madd_w1.tos_add0001_lut<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_9__)) - (portRef S (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_9__)) - (portRef LI (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002158_renamed_1682)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_0__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<10>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<11>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<12>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<13>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<14>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<15>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<5>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<6>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<7>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<8>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_eq0000_lut<9>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_cy_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<16>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<31>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002182_renamed_1878)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<10>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<11>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<12>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<13>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<14>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<15>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<16>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<17>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<18>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<19>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<1>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<20>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<21>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<22>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<23>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<24>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<25>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<26>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<27>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<28>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<29>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<2>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<30>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<31>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<3>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<5>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<6>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<7>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<8>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0000_lut<9>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<10>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<11>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<12>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<13>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<14>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<15>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<16>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<31>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<8>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_cy<9>") - (joined - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__)) - (portRef CI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<0>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<10>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<11>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<12>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<13>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<14>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<15>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<16>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<17>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<18>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<19>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<1>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<20>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<21>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<22>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<23>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<24>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<25>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<26>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<27>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<28>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<29>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<2>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<30>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<31>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<3>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<5>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<6>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<7>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<8>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - ) - ) - (net (rename zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9_ "zpuino/core/Mcompar_w1.tos_0_cmp_lt0001_lut<9>") - (joined - (portRef S (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__)) - (portRef O (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - ) - ) - (net (rename zpuino_core_Mrom_sampledDecodedOpcode_mux002414 "zpuino/core/Mrom_sampledDecodedOpcode_mux002414") - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - (portRef O (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - ) - ) - (net (rename zpuino_core_Mrom_sampledDecodedOpcode_mux002415 "zpuino/core/Mrom_sampledDecodedOpcode_mux002415") - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672)) - (portRef I1 (instanceRef zpuino_core_sampledTosSource_cmp_eq00042)) - (portRef O (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_0_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_1__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__rt "zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>_rt") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__rt_renamed_1717)) - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_0__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_1_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_2__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_2_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_3__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_3_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_4__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_4_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_5__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_5_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_6__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_6_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_7__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_cy_7_ "zpuino/core/Msub_prefr.spnext_addsub0000_cy<7>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__)) - (portRef CI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_8__)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_1_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<1>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_1__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_1__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_2_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<2>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_2__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_2__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_3_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<3>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_3__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_3__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_4_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<4>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_4__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_4__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_5_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<5>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_5__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_5__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_6_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<6>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_6__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_6__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_7_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<7>") - (joined - (portRef S (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_7__)) - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_7__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_7__INV_0)) - ) - ) - (net (rename zpuino_core_Msub_prefr_spnext_addsub0000_lut_8_ "zpuino/core/Msub_prefr.spnext_addsub0000_lut<8>") - (joined - (portRef LI (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_8__)) - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_8__INV_0)) - ) - ) - (net (rename zpuino_core_decode_jump "zpuino/core/decode_jump") - (joined - (portRef I3 (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - (portRef I0 (instanceRef zpuino_core_decr_break_mux000089)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - (portRef I2 (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - (portRef I2 (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I2 (instanceRef zpuino_core_prefr_valid_or00001)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - (portRef I3 (instanceRef zpuino_core_decr_pc_not00011)) - (portRef I2 (instanceRef zpuino_rom_wb_cti_i_0_1)) - (portRef I0 (instanceRef zpuino_core_decr_valid_mux0000_SW1)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_72)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - (portRef O (instanceRef zpuino_core_decode_jump_renamed_2314)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - ) - ) - (net (rename zpuino_core_decode_load_sp "zpuino/core/decode_load_sp") - (joined - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_61)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_114)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - (portRef I3 (instanceRef zpuino_core_exu_busy42_SW0)) - (portRef O (instanceRef zpuino_core_stack_a_addr_10_42)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_7_89_f5)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_4_89_f5)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_not00011_renamed_2291)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - ) - ) - (net (rename zpuino_core_decr_break "zpuino/core/decr.break") - (joined - (portRef Q (instanceRef zpuino_core_decr_break_renamed_45)) - (portRef D (instanceRef zpuino_core_prefr_break_renamed_222)) - (portRef I1 (instanceRef zpuino_core_decr_break_mux000089)) - (portRef I1 (instanceRef zpuino_core_decr_valid_mux0000_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_1 "zpuino/core/decr.decodedOpcode_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_1_renamed_11)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_1_renamed_270)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_12 "zpuino/core/decr.decodedOpcode_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_12_renamed_18)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_12_renamed_277)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_14 "zpuino/core/decr.decodedOpcode_14") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_14_renamed_19)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_14_renamed_278)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14__SW0)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_15 "zpuino/core/decr.decodedOpcode_15") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_15_renamed_20)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_15_renamed_279)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15__SW0)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_19 "zpuino/core/decr.decodedOpcode_19") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_19_renamed_21)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_19_renamed_280)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_22 "zpuino/core/decr.decodedOpcode_22") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_22_renamed_22)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_22_renamed_281)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_23 "zpuino/core/decr.decodedOpcode_23") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_23_renamed_23)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_23_renamed_282)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_24 "zpuino/core/decr.decodedOpcode_24") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_24_renamed_24)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_24_renamed_283)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_25 "zpuino/core/decr.decodedOpcode_25") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_25_renamed_25)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_25_renamed_284)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_27 "zpuino/core/decr.decodedOpcode_27") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_27_renamed_26)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_27_renamed_285)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_3 "zpuino/core/decr.decodedOpcode_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_3_renamed_12)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_3_renamed_271)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_10_11)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_30 "zpuino/core/decr.decodedOpcode_30") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_30_renamed_27)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_30_renamed_286)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_31 "zpuino/core/decr.decodedOpcode_31") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_31_renamed_28)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_31_renamed_287)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_32 "zpuino/core/decr.decodedOpcode_32") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_32_renamed_29)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_32_renamed_288)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_33 "zpuino/core/decr.decodedOpcode_33") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_33_renamed_30)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_33_renamed_289)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_4 "zpuino/core/decr.decodedOpcode_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_4_renamed_13)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_4_renamed_272)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_5 "zpuino/core/decr.decodedOpcode_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_5_renamed_14)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_5_renamed_273)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_6 "zpuino/core/decr.decodedOpcode_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_6_renamed_15)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_6_renamed_274)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_8 "zpuino/core/decr.decodedOpcode_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_8_renamed_16)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_8_renamed_275)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_9 "zpuino/core/decr.decodedOpcode_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_decodedOpcode_9_renamed_17)) - (portRef D (instanceRef zpuino_core_prefr_decodedOpcode_9_renamed_276)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_10_11)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9__SW0)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_0 "zpuino/core/decr.fetchpc_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_0_renamed_81)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - (portRef I (instanceRef zpuino_core_Madd_pcnext_add0000_lut_0__INV_0)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_1 "zpuino/core/decr.fetchpc_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_1_renamed_82)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_1__rt_renamed_1699)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_10 "zpuino/core/decr.fetchpc_10") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_10_renamed_91)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_10__rt_renamed_1708)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_11 "zpuino/core/decr.fetchpc_11") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_11_renamed_92)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_11__rt_renamed_1709)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_12 "zpuino/core/decr.fetchpc_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_12_renamed_93)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_12__rt_renamed_1710)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_13 "zpuino/core/decr.fetchpc_13") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_13_renamed_94)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__rt_renamed_1833)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_2 "zpuino/core/decr.fetchpc_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_2_renamed_83)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_2__rt_renamed_1700)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_3 "zpuino/core/decr.fetchpc_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_3_renamed_84)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_3__rt_renamed_1701)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_4 "zpuino/core/decr.fetchpc_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_4_renamed_85)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_4__rt_renamed_1702)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_5 "zpuino/core/decr.fetchpc_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_5_renamed_86)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_5__rt_renamed_1703)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_6 "zpuino/core/decr.fetchpc_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_6_renamed_87)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_6__rt_renamed_1704)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_7 "zpuino/core/decr.fetchpc_7") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_7_renamed_88)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_7__rt_renamed_1705)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_8 "zpuino/core/decr.fetchpc_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_8_renamed_89)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_8__rt_renamed_1706)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_9 "zpuino/core/decr.fetchpc_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_fetchpc_9_renamed_90)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - (portRef I0 (instanceRef zpuino_core_Madd_pcnext_add0000_cy_9__rt_renamed_1707)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRB 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - ) - ) - (net (rename zpuino_core_decr_im "zpuino/core/decr.im") - (joined - (portRef Q (instanceRef zpuino_core_decr_im_renamed_80)) - (portRef I0 (instanceRef zpuino_core_sampledTosSource_and00001)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - (portRef I1 (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze "zpuino/core/decr.opWillFreeze") - (joined - (portRef Q (instanceRef zpuino_core_decr_opWillFreeze_renamed_140)) - (portRef D (instanceRef zpuino_core_prefr_opWillFreeze_renamed_290)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - ) - ) - (net (rename zpuino_core_decr_opcode_0 "zpuino/core/decr.opcode_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_0_renamed_133)) - (portRef D (instanceRef zpuino_core_prefr_opcode_0_renamed_197)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - ) - ) - (net (rename zpuino_core_decr_opcode_1 "zpuino/core/decr.opcode_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_1_renamed_134)) - (portRef D (instanceRef zpuino_core_prefr_opcode_1_renamed_198)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_2 "zpuino/core/decr.opcode_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_2_renamed_135)) - (portRef D (instanceRef zpuino_core_prefr_opcode_2_renamed_199)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_3 "zpuino/core/decr.opcode_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_3_renamed_136)) - (portRef D (instanceRef zpuino_core_prefr_opcode_3_renamed_200)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_4 "zpuino/core/decr.opcode_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_4_renamed_137)) - (portRef D (instanceRef zpuino_core_prefr_opcode_4_renamed_201)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_5 "zpuino/core/decr.opcode_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_5_renamed_138)) - (portRef D (instanceRef zpuino_core_prefr_opcode_5_renamed_202)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_6 "zpuino/core/decr.opcode_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_opcode_6_renamed_139)) - (portRef D (instanceRef zpuino_core_prefr_opcode_6_renamed_203)) - (portRef I0 (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_pc_0 "zpuino/core/decr.pc_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef D (instanceRef zpuino_core_prefr_pc_0_renamed_295)) - ) - ) - (net (rename zpuino_core_decr_pc_1 "zpuino/core/decr.pc_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef D (instanceRef zpuino_core_prefr_pc_1_renamed_296)) - ) - ) - (net (rename zpuino_core_decr_pc_10 "zpuino/core/decr.pc_10") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef D (instanceRef zpuino_core_prefr_pc_10_renamed_305)) - ) - ) - (net (rename zpuino_core_decr_pc_11 "zpuino/core/decr.pc_11") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef D (instanceRef zpuino_core_prefr_pc_11_renamed_306)) - ) - ) - (net (rename zpuino_core_decr_pc_12 "zpuino/core/decr.pc_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef D (instanceRef zpuino_core_prefr_pc_12_renamed_307)) - ) - ) - (net (rename zpuino_core_decr_pc_13 "zpuino/core/decr.pc_13") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef D (instanceRef zpuino_core_prefr_pc_13_renamed_308)) - ) - ) - (net (rename zpuino_core_decr_pc_2 "zpuino/core/decr.pc_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef D (instanceRef zpuino_core_prefr_pc_2_renamed_297)) - ) - ) - (net (rename zpuino_core_decr_pc_3 "zpuino/core/decr.pc_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef D (instanceRef zpuino_core_prefr_pc_3_renamed_298)) - ) - ) - (net (rename zpuino_core_decr_pc_4 "zpuino/core/decr.pc_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef D (instanceRef zpuino_core_prefr_pc_4_renamed_299)) - ) - ) - (net (rename zpuino_core_decr_pc_5 "zpuino/core/decr.pc_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef D (instanceRef zpuino_core_prefr_pc_5_renamed_300)) - ) - ) - (net (rename zpuino_core_decr_pc_6 "zpuino/core/decr.pc_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef D (instanceRef zpuino_core_prefr_pc_6_renamed_301)) - ) - ) - (net (rename zpuino_core_decr_pc_7 "zpuino/core/decr.pc_7") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef D (instanceRef zpuino_core_prefr_pc_7_renamed_302)) - ) - ) - (net (rename zpuino_core_decr_pc_8 "zpuino/core/decr.pc_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef D (instanceRef zpuino_core_prefr_pc_8_renamed_303)) - ) - ) - (net (rename zpuino_core_decr_pc_9 "zpuino/core/decr.pc_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef D (instanceRef zpuino_core_prefr_pc_9_renamed_304)) - ) - ) - (net (rename zpuino_core_decr_pcint_0 "zpuino/core/decr.pcint_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_0_renamed_31)) - (portRef D (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_0_renamed_255)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_4_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_3_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_2_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_1_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_7_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_6_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_5_29)) - (portRef S (instanceRef zpuino_core_tOpcode_mux0001_0_29)) - ) - ) - (net (rename zpuino_core_decr_pcint_1 "zpuino/core/decr.pcint_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_1_renamed_32)) - (portRef D (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_1_renamed_256)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_4_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_4_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_3_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_3_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_2_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_2_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_1_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_1_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_7_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_7_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_6_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_6_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_5_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_5_29_G)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_0_29_F)) - (portRef I0 (instanceRef zpuino_core_tOpcode_mux0001_0_29_G)) - ) - ) - (net (rename zpuino_core_decr_pcint_10 "zpuino/core/decr.pcint_10") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_10_renamed_41)) - (portRef D (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_10_renamed_265)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_11 "zpuino/core/decr.pcint_11") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_11_renamed_42)) - (portRef D (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_11_renamed_266)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_12 "zpuino/core/decr.pcint_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_12_renamed_43)) - (portRef D (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_12_renamed_267)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_13 "zpuino/core/decr.pcint_13") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_13_renamed_44)) - (portRef D (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_13_renamed_268)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_2 "zpuino/core/decr.pcint_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_2_renamed_33)) - (portRef D (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_2_renamed_257)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_3 "zpuino/core/decr.pcint_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_3_renamed_34)) - (portRef D (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_3_renamed_258)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_4 "zpuino/core/decr.pcint_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_4_renamed_35)) - (portRef D (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_4_renamed_259)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_5 "zpuino/core/decr.pcint_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_5_renamed_36)) - (portRef D (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_5_renamed_260)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_6 "zpuino/core/decr.pcint_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_6_renamed_37)) - (portRef D (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_6_renamed_261)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_7 "zpuino/core/decr.pcint_7") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_7_renamed_38)) - (portRef D (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_7_renamed_262)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_8 "zpuino/core/decr.pcint_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_8_renamed_39)) - (portRef D (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_8_renamed_263)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_9 "zpuino/core/decr.pcint_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_pcint_9_renamed_40)) - (portRef D (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef D (instanceRef zpuino_core_prefr_fetchpc_9_renamed_264)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_0 "zpuino/core/decr.spOffset_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_0_renamed_128)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_1 "zpuino/core/decr.spOffset_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_1_renamed_129)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_1__)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_2 "zpuino/core/decr.spOffset_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_2_renamed_130)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_3 "zpuino/core/decr.spOffset_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_3_renamed_131)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_4 "zpuino/core/decr.spOffset_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_spOffset_4_renamed_132)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_4__)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_1 "zpuino/core/decr.stackOperation_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_stackOperation_1_renamed_150)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_24_renamed_1225)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_42_renamed_1227)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_7_42_renamed_1238)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_F)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_2 "zpuino/core/decr.stackOperation_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_stackOperation_2_renamed_151)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_24_renamed_1225)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_70_renamed_1235)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43_SW0)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_G)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_F)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_F)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_3 "zpuino/core/decr.stackOperation_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_stackOperation_3_renamed_152)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - ) - ) - (net (rename zpuino_core_decr_state_FSM_FFd2 "zpuino/core/decr.state_FSM_FFd2") - (joined - (portRef Q (instanceRef zpuino_core_decr_state_FSM_FFd2_renamed_408)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - (portRef I0 (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - (portRef I1 (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I1 (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I1 (instanceRef zpuino_core_decr_pc_not00011)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - (portRef I2 (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_72)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_18)) - (portRef I2 (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_13__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388)) - ) - ) - (net (rename zpuino_core_decr_state_FSM_FFd2_In "zpuino/core/decr.state_FSM_FFd2-In") - (joined - (portRef D (instanceRef zpuino_core_decr_state_FSM_FFd2_renamed_408)) - (portRef I1 (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_72)) - ) - ) - (net (rename zpuino_core_decr_tosSource_0 "zpuino/core/decr.tosSource_0") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_0_renamed_153)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_0_renamed_204)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_1 "zpuino/core/decr.tosSource_1") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_1_renamed_154)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_1_renamed_205)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388)) - ) - ) - (net (rename zpuino_core_decr_tosSource_10 "zpuino/core/decr.tosSource_10") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_10_renamed_163)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_10_renamed_214)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10__SW0)) - ) - ) - (net (rename zpuino_core_decr_tosSource_11 "zpuino/core/decr.tosSource_11") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_11_renamed_164)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_11_renamed_215)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_12 "zpuino/core/decr.tosSource_12") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_12_renamed_165)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_12_renamed_216)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_13 "zpuino/core/decr.tosSource_13") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_13_renamed_166)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_13_renamed_217)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_13__SW0)) - ) - ) - (net (rename zpuino_core_decr_tosSource_14 "zpuino/core/decr.tosSource_14") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_14_renamed_167)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_14_renamed_218)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_15 "zpuino/core/decr.tosSource_15") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_15_renamed_168)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_15_renamed_219)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_16 "zpuino/core/decr.tosSource_16") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_16_renamed_169)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_16_renamed_220)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - ) - ) - (net (rename zpuino_core_decr_tosSource_17 "zpuino/core/decr.tosSource_17") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_17_renamed_170)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_17_renamed_221)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_2 "zpuino/core/decr.tosSource_2") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_2_renamed_155)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_2_renamed_206)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_3 "zpuino/core/decr.tosSource_3") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_3_renamed_156)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_3_renamed_207)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_4 "zpuino/core/decr.tosSource_4") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_4_renamed_157)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_4_renamed_208)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - ) - ) - (net (rename zpuino_core_decr_tosSource_5 "zpuino/core/decr.tosSource_5") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_5_renamed_158)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_5_renamed_209)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_6 "zpuino/core/decr.tosSource_6") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_6_renamed_159)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_6_renamed_210)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_7 "zpuino/core/decr.tosSource_7") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_7_renamed_160)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_7_renamed_211)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_8 "zpuino/core/decr.tosSource_8") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_8_renamed_161)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_8_renamed_212)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_9 "zpuino/core/decr.tosSource_9") - (joined - (portRef Q (instanceRef zpuino_core_decr_tosSource_9_renamed_162)) - (portRef D (instanceRef zpuino_core_prefr_tosSource_9_renamed_213)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - ) - ) - (net (rename zpuino_core_decr_valid "zpuino/core/decr.valid") - (joined - (portRef Q (instanceRef zpuino_core_decr_valid_renamed_79)) - (portRef D (instanceRef zpuino_core_prefr_valid_renamed_269)) - (portRef I0 (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_not00011_renamed_2291)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - ) - ) - (net (rename zpuino_core_decr_break_mux0000 "zpuino/core/decr_break_mux0000") - (joined - (portRef D (instanceRef zpuino_core_decr_break_renamed_45)) - (portRef O (instanceRef zpuino_core_decr_break_mux000089)) - ) - ) - (net (rename zpuino_core_decr_break_mux000018 "zpuino/core/decr_break_mux000018") - (joined - (portRef O (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I2 (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - ) - ) - (net (rename zpuino_core_decr_break_mux000027 "zpuino/core/decr_break_mux000027") - (joined - (portRef I0 (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - (portRef LO (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - ) - ) - (net (rename zpuino_core_decr_break_mux000042 "zpuino/core/decr_break_mux000042") - (joined - (portRef O (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - (portRef I3 (instanceRef zpuino_core_decr_break_mux000089)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_12__ "zpuino/core/decr_decodedOpcode_mux0000<12>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_12_renamed_18)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_14__ "zpuino/core/decr_decodedOpcode_mux0000<14>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_14_renamed_19)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_15__ "zpuino/core/decr_decodedOpcode_mux0000<15>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_15_renamed_20)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_19__ "zpuino/core/decr_decodedOpcode_mux0000<19>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_19_renamed_21)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19___renamed_1547)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_1__ "zpuino/core/decr_decodedOpcode_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_1_renamed_11)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_22__ "zpuino/core/decr_decodedOpcode_mux0000<22>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_22_renamed_22)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22___renamed_1546)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_23__ "zpuino/core/decr_decodedOpcode_mux0000<23>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_23_renamed_23)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23___renamed_1545)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_24__ "zpuino/core/decr_decodedOpcode_mux0000<24>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_24_renamed_24)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_25__ "zpuino/core/decr_decodedOpcode_mux0000<25>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_25_renamed_25)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_27__ "zpuino/core/decr_decodedOpcode_mux0000<27>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_27_renamed_26)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_30__ "zpuino/core/decr_decodedOpcode_mux0000<30>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_30_renamed_27)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30___renamed_1544)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_31__ "zpuino/core/decr_decodedOpcode_mux0000<31>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_31_renamed_28)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31___renamed_1548)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_32__ "zpuino/core/decr_decodedOpcode_mux0000<32>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_32_renamed_29)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32___renamed_1543)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_33__ "zpuino/core/decr_decodedOpcode_mux0000<33>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_33_renamed_30)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33___renamed_1542)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_3__ "zpuino/core/decr_decodedOpcode_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_3_renamed_12)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_4__ "zpuino/core/decr_decodedOpcode_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_4_renamed_13)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_5__ "zpuino/core/decr_decodedOpcode_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_5_renamed_14)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_6__ "zpuino/core/decr_decodedOpcode_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_6_renamed_15)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_8__ "zpuino/core/decr_decodedOpcode_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_8_renamed_16)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_1)) - ) - ) - (net (rename zpuino_core_decr_decodedOpcode_mux0000_9__ "zpuino/core/decr_decodedOpcode_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_decr_decodedOpcode_9_renamed_17)) - (portRef O (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_0_ "zpuino/core/decr_fetchpc_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_0_renamed_81)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_0_11 "zpuino/core/decr_fetchpc_mux0000<0>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_0_4 "zpuino/core/decr_fetchpc_mux0000<0>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_10_ "zpuino/core/decr_fetchpc_mux0000<10>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_10_renamed_91)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_10_11 "zpuino/core/decr_fetchpc_mux0000<10>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_10_4 "zpuino/core/decr_fetchpc_mux0000<10>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_11_ "zpuino/core/decr_fetchpc_mux0000<11>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_11_renamed_92)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_11_11 "zpuino/core/decr_fetchpc_mux0000<11>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_11_4 "zpuino/core/decr_fetchpc_mux0000<11>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_12_ "zpuino/core/decr_fetchpc_mux0000<12>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_12_renamed_93)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_12_11 "zpuino/core/decr_fetchpc_mux0000<12>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_12_4 "zpuino/core/decr_fetchpc_mux0000<12>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_13_ "zpuino/core/decr_fetchpc_mux0000<13>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_13_renamed_94)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_13_11 "zpuino/core/decr_fetchpc_mux0000<13>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_13_4 "zpuino/core/decr_fetchpc_mux0000<13>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_1_ "zpuino/core/decr_fetchpc_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_1_renamed_82)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_1_11 "zpuino/core/decr_fetchpc_mux0000<1>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_1_4 "zpuino/core/decr_fetchpc_mux0000<1>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_2_ "zpuino/core/decr_fetchpc_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_2_renamed_83)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_2_11 "zpuino/core/decr_fetchpc_mux0000<2>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_2_4 "zpuino/core/decr_fetchpc_mux0000<2>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_3_ "zpuino/core/decr_fetchpc_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_3_renamed_84)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_3_11 "zpuino/core/decr_fetchpc_mux0000<3>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_3_4 "zpuino/core/decr_fetchpc_mux0000<3>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_4_ "zpuino/core/decr_fetchpc_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_4_renamed_85)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_4_11 "zpuino/core/decr_fetchpc_mux0000<4>11") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_4_4 "zpuino/core/decr_fetchpc_mux0000<4>4") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - (portRef LO (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_5_ "zpuino/core/decr_fetchpc_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_5_renamed_86)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_5_10 "zpuino/core/decr_fetchpc_mux0000<5>10") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_5_17 "zpuino/core/decr_fetchpc_mux0000<5>17") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_5_31 "zpuino/core/decr_fetchpc_mux0000<5>31") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_6_ "zpuino/core/decr_fetchpc_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_6_renamed_87)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_6_11 "zpuino/core/decr_fetchpc_mux0000<6>11") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_6_19 "zpuino/core/decr_fetchpc_mux0000<6>19") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_7_ "zpuino/core/decr_fetchpc_mux0000<7>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_7_renamed_88)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_7_11 "zpuino/core/decr_fetchpc_mux0000<7>11") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_7_19 "zpuino/core/decr_fetchpc_mux0000<7>19") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_8_ "zpuino/core/decr_fetchpc_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_8_renamed_89)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_8_11 "zpuino/core/decr_fetchpc_mux0000<8>11") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_8_19 "zpuino/core/decr_fetchpc_mux0000<8>19") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_9_ "zpuino/core/decr_fetchpc_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_decr_fetchpc_9_renamed_90)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_9_11 "zpuino/core/decr_fetchpc_mux0000<9>11") - (joined - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - ) - ) - (net (rename zpuino_core_decr_fetchpc_mux0000_9_19 "zpuino/core/decr_fetchpc_mux0000<9>19") - (joined - (portRef O (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38)) - ) - ) - (net (rename zpuino_core_decr_im_mux0000 "zpuino/core/decr_im_mux0000") - (joined - (portRef D (instanceRef zpuino_core_decr_im_renamed_80)) - (portRef O (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze_mux0000 "zpuino/core/decr_opWillFreeze_mux0000") - (joined - (portRef D (instanceRef zpuino_core_decr_opWillFreeze_renamed_140)) - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze_mux000037 "zpuino/core/decr_opWillFreeze_mux000037") - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze_mux000052 "zpuino/core/decr_opWillFreeze_mux000052") - (joined - (portRef O (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - ) - ) - (net (rename zpuino_core_decr_opWillFreeze_mux000096 "zpuino/core/decr_opWillFreeze_mux000096") - (joined - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux0000123)) - (portRef LO (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_0_ "zpuino/core/decr_opcode_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_0_renamed_133)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_1_ "zpuino/core/decr_opcode_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_1_renamed_134)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_2_ "zpuino/core/decr_opcode_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_2_renamed_135)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_3_ "zpuino/core/decr_opcode_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_3_renamed_136)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_4_ "zpuino/core/decr_opcode_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_4_renamed_137)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_5_ "zpuino/core/decr_opcode_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_5_renamed_138)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_opcode_mux0000_6_ "zpuino/core/decr_opcode_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_opcode_6_renamed_139)) - (portRef O (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_pc_not0001 "zpuino/core/decr_pc_not0001") - (joined - (portRef CE (instanceRef zpuino_core_decr_pc_0_renamed_183)) - (portRef CE (instanceRef zpuino_core_decr_pc_1_renamed_184)) - (portRef CE (instanceRef zpuino_core_decr_pc_2_renamed_185)) - (portRef CE (instanceRef zpuino_core_decr_pc_3_renamed_186)) - (portRef CE (instanceRef zpuino_core_decr_pc_4_renamed_187)) - (portRef CE (instanceRef zpuino_core_decr_pc_5_renamed_188)) - (portRef CE (instanceRef zpuino_core_decr_pc_6_renamed_189)) - (portRef CE (instanceRef zpuino_core_decr_pc_7_renamed_190)) - (portRef CE (instanceRef zpuino_core_decr_pc_8_renamed_191)) - (portRef CE (instanceRef zpuino_core_decr_pc_9_renamed_192)) - (portRef CE (instanceRef zpuino_core_decr_pc_10_renamed_193)) - (portRef CE (instanceRef zpuino_core_decr_pc_11_renamed_194)) - (portRef CE (instanceRef zpuino_core_decr_pc_12_renamed_195)) - (portRef CE (instanceRef zpuino_core_decr_pc_13_renamed_196)) - (portRef O (instanceRef zpuino_core_decr_pc_not00011)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_0_ "zpuino/core/decr_pcint_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_0_renamed_31)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_10_ "zpuino/core/decr_pcint_mux0000<10>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_10_renamed_41)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_10_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_11_ "zpuino/core/decr_pcint_mux0000<11>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_11_renamed_42)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_11_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_12_ "zpuino/core/decr_pcint_mux0000<12>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_12_renamed_43)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_13_ "zpuino/core/decr_pcint_mux0000<13>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_13_renamed_44)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_13_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_1_ "zpuino/core/decr_pcint_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_1_renamed_32)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_2_ "zpuino/core/decr_pcint_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_2_renamed_33)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_3_ "zpuino/core/decr_pcint_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_3_renamed_34)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_4_ "zpuino/core/decr_pcint_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_4_renamed_35)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_5_ "zpuino/core/decr_pcint_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_5_renamed_36)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_6_ "zpuino/core/decr_pcint_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_6_renamed_37)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_6_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_7_ "zpuino/core/decr_pcint_mux0000<7>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_7_renamed_38)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_7_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_8_ "zpuino/core/decr_pcint_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_8_renamed_39)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_8_1)) - ) - ) - (net (rename zpuino_core_decr_pcint_mux0000_9_ "zpuino/core/decr_pcint_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_decr_pcint_9_renamed_40)) - (portRef O (instanceRef zpuino_core_decr_pcint_mux0000_9_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_0_ "zpuino/core/decr_spOffset_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_0_renamed_128)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_1_ "zpuino/core/decr_spOffset_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_1_renamed_129)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_2_ "zpuino/core/decr_spOffset_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_2_renamed_130)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_3_ "zpuino/core/decr_spOffset_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_3_renamed_131)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_spOffset_mux0000_4_ "zpuino/core/decr_spOffset_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_spOffset_4_renamed_132)) - (portRef O (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_ "zpuino/core/decr_stackOperation_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_stackOperation_1_renamed_150)) - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_23 "zpuino/core/decr_stackOperation_mux0000<1>23") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_26 "zpuino/core/decr_stackOperation_mux0000<1>26") - (joined - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - (portRef LO (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_6 "zpuino/core/decr_stackOperation_mux0000<1>6") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_1_62 "zpuino/core/decr_stackOperation_mux0000<1>62") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_ "zpuino/core/decr_stackOperation_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_stackOperation_2_renamed_151)) - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW0 "zpuino/core/decr_stackOperation_mux0000<2>110_SW0") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_110_SW01 "zpuino/core/decr_stackOperation_mux0000<2>110_SW01") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW02)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_34 "zpuino/core/decr_stackOperation_mux0000<2>34") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW02)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_2_47 "zpuino/core/decr_stackOperation_mux0000<2>47") - (joined - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW02)) - ) - ) - (net (rename zpuino_core_decr_stackOperation_mux0000_3_ "zpuino/core/decr_stackOperation_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_stackOperation_3_renamed_152)) - (portRef O (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_0_ "zpuino/core/decr_tosSource_mux0000<0>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_0_renamed_153)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_10_ "zpuino/core/decr_tosSource_mux0000<10>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_10_renamed_163)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_11_ "zpuino/core/decr_tosSource_mux0000<11>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_11_renamed_164)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_11__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_12_ "zpuino/core/decr_tosSource_mux0000<12>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_12_renamed_165)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_13_ "zpuino/core/decr_tosSource_mux0000<13>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_13_renamed_166)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_14_ "zpuino/core/decr_tosSource_mux0000<14>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_14_renamed_167)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_15_ "zpuino/core/decr_tosSource_mux0000<15>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_15_renamed_168)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_16_ "zpuino/core/decr_tosSource_mux0000<16>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_16_renamed_169)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_16_5 "zpuino/core/decr_tosSource_mux0000<16>5") - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_17_ "zpuino/core/decr_tosSource_mux0000<17>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_17_renamed_170)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_17__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_1_ "zpuino/core/decr_tosSource_mux0000<1>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_1_renamed_154)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_1_0 "zpuino/core/decr_tosSource_mux0000<1>0") - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - (portRef LO (instanceRef zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_1_14 "zpuino/core/decr_tosSource_mux0000<1>14") - (joined - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_1_46 "zpuino/core/decr_tosSource_mux0000<1>46") - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_82)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_2_ "zpuino/core/decr_tosSource_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_2_renamed_155)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_3_ "zpuino/core/decr_tosSource_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_3_renamed_156)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_4_ "zpuino/core/decr_tosSource_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_4_renamed_157)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_4_52)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_4_8 "zpuino/core/decr_tosSource_mux0000<4>8") - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_5_ "zpuino/core/decr_tosSource_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_5_renamed_158)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_5_1)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_6_ "zpuino/core/decr_tosSource_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_6_renamed_159)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_6__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_7_ "zpuino/core/decr_tosSource_mux0000<7>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_7_renamed_160)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_7__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_8_ "zpuino/core/decr_tosSource_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_8_renamed_161)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_8__)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_9_ "zpuino/core/decr_tosSource_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_decr_tosSource_9_renamed_162)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_9_13 "zpuino/core/decr_tosSource_mux0000<9>13") - (joined - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_9_23)) - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_9_13_f5)) - ) - ) - (net (rename zpuino_core_decr_tosSource_mux0000_9_131 "zpuino/core/decr_tosSource_mux0000<9>131") - (joined - (portRef O (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_9_13_f5)) - ) - ) - (net (rename zpuino_core_decr_valid_mux0000 "zpuino/core/decr_valid_mux0000") - (joined - (portRef D (instanceRef zpuino_core_decr_valid_renamed_79)) - (portRef O (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - ) - ) - (net (rename zpuino_core_exr_inInterrupt "zpuino/core/exr.inInterrupt") - (joined - (portRef Q (instanceRef zpuino_core_exr_inInterrupt_renamed_172)) - (portRef I1 (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - (portRef I1 (instanceRef zpuino_core_sampledTosSource_and00001)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - ) - ) - (net (rename zpuino_core_exr_nos_save_0 "zpuino/core/exr.nos_save_0") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_0)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_0_renamed_95)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_0_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_1 "zpuino/core/exr.nos_save_1") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_1)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_1_renamed_96)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_1_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_1__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_10 "zpuino/core/exr.nos_save_10") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_10)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_10_renamed_105)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_10_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_10__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_11 "zpuino/core/exr.nos_save_11") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_11)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_11_renamed_106)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_11_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_11__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_12 "zpuino/core/exr.nos_save_12") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_12)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_12_renamed_107)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_12_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_12__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_13 "zpuino/core/exr.nos_save_13") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_13)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_13_renamed_108)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_13_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_13__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_14 "zpuino/core/exr.nos_save_14") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_14)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_14_renamed_109)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_14_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_14__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_15 "zpuino/core/exr.nos_save_15") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_15)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_15_renamed_110)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_15_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_15__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_16 "zpuino/core/exr.nos_save_16") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_16)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_16_renamed_111)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_16_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_16__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_17 "zpuino/core/exr.nos_save_17") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_17)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_17_renamed_112)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_17_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_17__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_18 "zpuino/core/exr.nos_save_18") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_18)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_18_renamed_113)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_18_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_18__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_19 "zpuino/core/exr.nos_save_19") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_19)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_19_renamed_114)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_19_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_19__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_2 "zpuino/core/exr.nos_save_2") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_2)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_2_renamed_97)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_2_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_2__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_20 "zpuino/core/exr.nos_save_20") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_20)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_20_renamed_115)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_20_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_20__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_21 "zpuino/core/exr.nos_save_21") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_21)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_21_renamed_116)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_21_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_21__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_22 "zpuino/core/exr.nos_save_22") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_22)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_22_renamed_117)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_22_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_22__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_23 "zpuino/core/exr.nos_save_23") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_23)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_23_renamed_118)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_23_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_23__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_24 "zpuino/core/exr.nos_save_24") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_24)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_24_renamed_119)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_24_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_24__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_25 "zpuino/core/exr.nos_save_25") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_25)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_25_renamed_120)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_25_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_25__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_26 "zpuino/core/exr.nos_save_26") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_26)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_26_renamed_121)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_26_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_26__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_27 "zpuino/core/exr.nos_save_27") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_27)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_27_renamed_122)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_27_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_27__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_28 "zpuino/core/exr.nos_save_28") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_28)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_28_renamed_123)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_28_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_28__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_29 "zpuino/core/exr.nos_save_29") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_29)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_29_renamed_124)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_29_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_29__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_3 "zpuino/core/exr.nos_save_3") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_3)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_3_renamed_98)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_3_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_3__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_30 "zpuino/core/exr.nos_save_30") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_30)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_30_renamed_125)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_30_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_30__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_31 "zpuino/core/exr.nos_save_31") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_31)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_31_renamed_126)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_31_2_renamed_1243)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_31_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I0 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - ) - ) - (net (rename zpuino_core_exr_nos_save_4 "zpuino/core/exr.nos_save_4") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_4)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_4_renamed_99)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_4_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_4__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_5 "zpuino/core/exr.nos_save_5") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_5)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_5_renamed_100)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_5_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_5__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_6 "zpuino/core/exr.nos_save_6") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_6)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_6_renamed_101)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_6_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - (portRef (member DIA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_6__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_7 "zpuino/core/exr.nos_save_7") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_7)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_7_renamed_102)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_7_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - (portRef (member DIA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_7__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_8 "zpuino/core/exr.nos_save_8") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_8)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_8_renamed_103)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_8_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - (portRef (member DIA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_8__SW0)) - ) - ) - (net (rename zpuino_core_exr_nos_save_9 "zpuino/core/exr.nos_save_9") - (joined - (portRef D (instanceRef zpuino_io_write_save_q_9)) - (portRef Q (instanceRef zpuino_core_exr_nos_save_9_renamed_104)) - (portRef I1 (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_9_mux000024_F)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - (portRef (member DIA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_9__SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd1 "zpuino/core/exr.state_FSM_FFd1") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd1_renamed_409)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - (portRef I3 (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_31)) - (portRef I0 (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I1 (instanceRef zpuino_core_stack_a_enable21)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_236_renamed_1222)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_31_2_renamed_1243)) - (portRef I1 (instanceRef zpuino_core_stack_b_enable40)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - (portRef I0 (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_11)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd10 "zpuino/core/exr.state_FSM_FFd10") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd10_renamed_417)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I0 (instanceRef zpuino_core_exr_state_Out161)) - (portRef I1 (instanceRef zpuino_core_shl_done_or00001)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux00023_renamed_2132)) - (portRef I1 (instanceRef zpuino_core_shl_d_2_mux00011)) - (portRef I1 (instanceRef zpuino_core_shl_d_1_mux00011)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef I3 (instanceRef zpuino_core_shl_output_not00011)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd10_In "zpuino/core/exr.state_FSM_FFd10-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd10_renamed_417)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd11 "zpuino/core/exr.state_FSM_FFd11") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd11_renamed_418)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000034_renamed_1179)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd11_In "zpuino/core/exr.state_FSM_FFd11-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd11_renamed_418)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd12 "zpuino/core/exr.state_FSM_FFd12") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd12_renamed_419)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef I1 (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000352)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd12_In "zpuino/core/exr.state_FSM_FFd12-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd12_renamed_419)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd13 "zpuino/core/exr.state_FSM_FFd13") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd13_renamed_420)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000034_renamed_1179)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000226_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000236_renamed_2152)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000236_renamed_2154)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000236_renamed_2156)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000236_renamed_2158)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000236_renamed_2160)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000236_renamed_2162)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd13_In "zpuino/core/exr.state_FSM_FFd13-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd13_renamed_420)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14 "zpuino/core/exr.state_FSM_FFd14") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd14_renamed_421)) - (portRef I0 (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I2 (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I3 (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136)) - (portRef I1 (instanceRef zpuino_core_stack_a_enable)) - (portRef I0 (instanceRef zpuino_core_stack_b_enable31_renamed_1142)) - (portRef I2 (instanceRef zpuino_core_stack_a_enable21)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_31_29)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I2 (instanceRef zpuino_core_exr_tos_save_not00011)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_51)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_0_21)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd4_In1)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002164)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002164)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002164)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002185)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002185)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - (portRef I2 (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux0002140)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux0002144)) - (portRef S (instanceRef zpuino_core_w1_nos_save_7_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_6_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_5_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_4_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_3_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_2_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_1_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_0_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_9_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_8_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_31_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_30_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_29_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_28_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_27_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_26_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_25_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_24_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_23_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_22_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_21_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_20_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_19_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_18_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_17_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_16_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_15_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_14_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_13_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_12_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_11_mux000024)) - (portRef S (instanceRef zpuino_core_w1_nos_save_10_mux000024)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_12)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_42)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000264)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000352)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_240)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In "zpuino/core/exr.state_FSM_FFd14-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd14_renamed_421)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In15 "zpuino/core/exr.state_FSM_FFd14-In15") - (joined - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In15_SW0 "zpuino/core/exr.state_FSM_FFd14-In15_SW0") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In15_SW01 "zpuino/core/exr.state_FSM_FFd14-In15_SW01") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In30 "zpuino/core/exr.state_FSM_FFd14-In30") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In43 "zpuino/core/exr.state_FSM_FFd14-In43") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In66 "zpuino/core/exr.state_FSM_FFd14-In66") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd14_In68 "zpuino/core/exr.state_FSM_FFd14-In68") - (joined - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd14_In68_renamed_1139)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd2 "zpuino/core/exr.state_FSM_FFd2") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd2_renamed_410)) - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd1_renamed_409)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_7_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_6_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_5_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_4_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_3_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_2_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_1_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_0_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_9_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_8_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_31_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_30_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_29_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_28_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_27_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_26_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_25_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_24_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_23_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_22_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_21_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_20_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_19_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_18_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_17_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_16_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_15_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_14_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_13_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_12_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_11_mux000024_F)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_10_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd2_In "zpuino/core/exr.state_FSM_FFd2-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd2_renamed_410)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd3 "zpuino/core/exr.state_FSM_FFd3") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd3_renamed_411)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000025_renamed_1178)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002101)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW01_renamed_2297)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002121)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002111)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd3_In "zpuino/core/exr.state_FSM_FFd3-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd3_renamed_411)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd4 "zpuino/core/exr.state_FSM_FFd4") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd4_renamed_412)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I0 (instanceRef zpuino_core_exu_busy33_renamed_2305)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000352)) - (portRef I0 (instanceRef zpuino_core_poppc_inst1)) - (portRef I3 (instanceRef zpuino_core_decode_jump_renamed_2314)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd4_In "zpuino/core/exr.state_FSM_FFd4-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd4_renamed_412)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd4_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd5 "zpuino/core/exr.state_FSM_FFd5") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd5_renamed_413)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - (portRef I2 (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000271)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000025_renamed_1178)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux000062)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000251)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - (portRef S (instanceRef zpuino_core_w1_tos_0_mux00025138)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002810)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd5_In "zpuino/core/exr.state_FSM_FFd5-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd5_renamed_413)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd6 "zpuino/core/exr.state_FSM_FFd6") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd6_renamed_414)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000034_renamed_1179)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000226_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000236_renamed_2152)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000236_renamed_2154)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000236_renamed_2156)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000236_renamed_2158)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000236_renamed_2160)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000236_renamed_2162)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000062)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd6_In "zpuino/core/exr.state_FSM_FFd6-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd6_renamed_414)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd7 "zpuino/core/exr.state_FSM_FFd7") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd7_renamed_415)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - (portRef I1 (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I0 (instanceRef zpuino_core_stack_a_enable21)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - (portRef I2 (instanceRef zpuino_core_exu_busy4_renamed_2304)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000352)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd7_In "zpuino/core/exr.state_FSM_FFd7-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd7_renamed_415)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd9 "zpuino/core/exr.state_FSM_FFd9") - (joined - (portRef Q (instanceRef zpuino_core_exr_state_FSM_FFd9_renamed_416)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_0_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - (portRef I1 (instanceRef zpuino_core_exr_state_Out161)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - (portRef I2 (instanceRef zpuino_core_shl_done_or00001)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux00023_renamed_2132)) - (portRef I2 (instanceRef zpuino_core_shl_d_2_mux00011)) - (portRef I2 (instanceRef zpuino_core_shl_d_1_mux00011)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef I0 (instanceRef zpuino_core_shl_output_not00011)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - ) - ) - (net (rename zpuino_core_exr_state_FSM_FFd9_In "zpuino/core/exr.state_FSM_FFd9-In") - (joined - (portRef D (instanceRef zpuino_core_exr_state_FSM_FFd9_renamed_416)) - (portRef O (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - ) - ) - (net (rename zpuino_core_exr_tos_0 "zpuino/core/exr.tos_0") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_0_renamed_46)) - (portRef D (instanceRef zpuino_core_exr_tos_save_0_renamed_223)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_0__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_0__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_0__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_0__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_0__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_0__SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_exr_tos_1 "zpuino/core/exr.tos_1") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_1_renamed_47)) - (portRef D (instanceRef zpuino_core_exr_tos_save_1_renamed_224)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_1__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_1__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_1__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_1__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_1__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_1__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000296_renamed_1983)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_1__SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net (rename zpuino_core_exr_tos_10 "zpuino/core/exr.tos_10") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_10_renamed_56)) - (portRef D (instanceRef zpuino_core_exr_tos_save_10_renamed_233)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_10__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_10__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_10__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_10__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_10__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_10__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_10__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_10__SW0)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net (rename zpuino_core_exr_tos_11 "zpuino/core/exr.tos_11") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_11_renamed_57)) - (portRef D (instanceRef zpuino_core_exr_tos_save_11_renamed_234)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_11__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_11__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_11__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_11__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_11__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_11__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_11__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_11__SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - ) - ) - (net (rename zpuino_core_exr_tos_12 "zpuino/core/exr.tos_12") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_12_renamed_58)) - (portRef D (instanceRef zpuino_core_exr_tos_save_12_renamed_235)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_12__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_12__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_12__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_12__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_12__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_12__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_12__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000270_renamed_1604)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_12__SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - ) - ) - (net (rename zpuino_core_exr_tos_13 "zpuino/core/exr.tos_13") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_13_renamed_59)) - (portRef D (instanceRef zpuino_core_exr_tos_save_13_renamed_236)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_13__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_13__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_13__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_13__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_13__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_13__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_13__SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_exr_tos_14 "zpuino/core/exr.tos_14") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_14_renamed_60)) - (portRef D (instanceRef zpuino_core_exr_tos_save_14_renamed_237)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_14__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_14__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_14__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_14__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_14__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000210_renamed_1488)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_14__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_15 "zpuino/core/exr.tos_15") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_15_renamed_61)) - (portRef D (instanceRef zpuino_core_exr_tos_save_15_renamed_238)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_15__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_15__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_15__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_15__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_15__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000210_renamed_1509)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000270_renamed_1586)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_15__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_16 "zpuino/core/exr.tos_16") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_16_renamed_62)) - (portRef D (instanceRef zpuino_core_exr_tos_save_16_renamed_239)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_16__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_16__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_16__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_16__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_16__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_16__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_17 "zpuino/core/exr.tos_17") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_17_renamed_63)) - (portRef D (instanceRef zpuino_core_exr_tos_save_17_renamed_240)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_17__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_17__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_17__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_17__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_17__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_17__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_18 "zpuino/core/exr.tos_18") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_18_renamed_64)) - (portRef D (instanceRef zpuino_core_exr_tos_save_18_renamed_241)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_18__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_18__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_18__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_18__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_18__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_18__SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_exr_tos_19 "zpuino/core/exr.tos_19") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_19_renamed_65)) - (portRef D (instanceRef zpuino_core_exr_tos_save_19_renamed_242)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_19__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_19__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_19__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_19__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_19__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_19__SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - ) - ) - (net (rename zpuino_core_exr_tos_2 "zpuino/core/exr.tos_2") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_2_renamed_48)) - (portRef D (instanceRef zpuino_core_exr_tos_save_2_renamed_225)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_2__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_2__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_2__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_2__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_2__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_2__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_2__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_20 "zpuino/core/exr.tos_20") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_20_renamed_66)) - (portRef D (instanceRef zpuino_core_exr_tos_save_20_renamed_243)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_20__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_20__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_20__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_20__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_20__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_20__SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - ) - ) - (net (rename zpuino_core_exr_tos_21 "zpuino/core/exr.tos_21") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_21_renamed_67)) - (portRef D (instanceRef zpuino_core_exr_tos_save_21_renamed_244)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_21__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_21__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_21__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_21__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_21__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_21__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_22 "zpuino/core/exr.tos_22") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_22_renamed_68)) - (portRef D (instanceRef zpuino_core_exr_tos_save_22_renamed_245)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_22__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_22__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_22__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_22__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_22__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_22__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_23 "zpuino/core/exr.tos_23") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_23_renamed_69)) - (portRef D (instanceRef zpuino_core_exr_tos_save_23_renamed_246)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_23__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_23__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_23__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_23__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_23__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_23__SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - ) - ) - (net (rename zpuino_core_exr_tos_24 "zpuino/core/exr.tos_24") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_24_renamed_70)) - (portRef D (instanceRef zpuino_core_exr_tos_save_24_renamed_247)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_24__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_24__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_24__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_24__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_24__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_24__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_25 "zpuino/core/exr.tos_25") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_25_renamed_71)) - (portRef D (instanceRef zpuino_core_exr_tos_save_25_renamed_248)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_25__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_25__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_25__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_25__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_25__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_25__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_26 "zpuino/core/exr.tos_26") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_26_renamed_72)) - (portRef D (instanceRef zpuino_core_exr_tos_save_26_renamed_249)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_26__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_26__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_26__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_26__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_26__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_26__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_27 "zpuino/core/exr.tos_27") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_27_renamed_73)) - (portRef D (instanceRef zpuino_core_exr_tos_save_27_renamed_250)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_27__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_27__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_27__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_27__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_27__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_27__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_28 "zpuino/core/exr.tos_28") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_28_renamed_74)) - (portRef D (instanceRef zpuino_core_exr_tos_save_28_renamed_251)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_28__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_28__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_28__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_28__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_28__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_28__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_29 "zpuino/core/exr.tos_29") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_29_renamed_75)) - (portRef D (instanceRef zpuino_core_exr_tos_save_29_renamed_252)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_29__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_29__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_29__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_29__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_29__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_29__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_3 "zpuino/core/exr.tos_3") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_3_renamed_49)) - (portRef D (instanceRef zpuino_core_exr_tos_save_3_renamed_226)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_3__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_3__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_3__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_3__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_3__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_3__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_3__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_30 "zpuino/core/exr.tos_30") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_30_renamed_76)) - (portRef D (instanceRef zpuino_core_exr_tos_save_30_renamed_253)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_30__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_30__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_30__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_30__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_30__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_30__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_31 "zpuino/core/exr.tos_31") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_31_renamed_77)) - (portRef D (instanceRef zpuino_core_exr_tos_save_31_renamed_254)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_31__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_31__)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - (portRef I3 (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I2 (instanceRef zpuino_core_exr_wb_we_mux000037)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_31)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - (portRef I0 (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I1 (instanceRef zpuino_core_stack_b_enable7_renamed_1140)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I1 (instanceRef zpuino_core_stack_a_writeenable_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_61)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_31_29)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I2 (instanceRef zpuino_core_stack_b_enable40)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_21)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - (portRef I2 (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - (portRef I1 (instanceRef zpuino_core_exr_wb_cyc_mux0001282)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - ) - ) - (net (rename zpuino_core_exr_tos_4 "zpuino/core/exr.tos_4") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_4_renamed_50)) - (portRef D (instanceRef zpuino_core_exr_tos_save_4_renamed_227)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_4__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_4__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_4__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_4__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_4__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_4__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_4__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_89_f5)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_4__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_5 "zpuino/core/exr.tos_5") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_5_renamed_51)) - (portRef D (instanceRef zpuino_core_exr_tos_save_5_renamed_228)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_5__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_5__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_5__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_5__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_5__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_5__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_5__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_5__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_6 "zpuino/core/exr.tos_6") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_6_renamed_52)) - (portRef D (instanceRef zpuino_core_exr_tos_save_6_renamed_229)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_6__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_6__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_6__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_6__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_6__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_6__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_6__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_114)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_6__SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_7 "zpuino/core/exr.tos_7") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_7_renamed_53)) - (portRef D (instanceRef zpuino_core_exr_tos_save_7_renamed_230)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_7__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_7__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_7__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_7__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_7__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_7__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_7__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_SW0)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_7_89_f5)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_7__SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - ) - ) - (net (rename zpuino_core_exr_tos_8 "zpuino/core/exr.tos_8") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_8_renamed_54)) - (portRef D (instanceRef zpuino_core_exr_tos_save_8_renamed_231)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_8__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_8__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_8__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_8__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_8__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_8__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_8__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_8__SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - ) - ) - (net (rename zpuino_core_exr_tos_9 "zpuino/core/exr.tos_9") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_9_renamed_55)) - (portRef D (instanceRef zpuino_core_exr_tos_save_9_renamed_232)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0000_cy_9__)) - (portRef I0 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_9__)) - (portRef DI (instanceRef zpuino_core_Madd_jump_address_add0000_cy_9__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_cy_9__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_9__)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_9__)) - (portRef DI (instanceRef zpuino_core_Madd_w1_tos_add0001_cy_9__)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - (portRef I0 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_9__SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net (rename zpuino_core_exr_tos_save_0 "zpuino/core/exr.tos_save_0") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_0_renamed_223)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_13_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_11_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_10_21)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_0_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_19_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_18_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_17_11)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002101)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_0_21)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002121)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002111)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - ) - ) - (net (rename zpuino_core_exr_tos_save_1 "zpuino/core/exr.tos_save_1") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_1_renamed_224)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_13_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_11_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_10_21)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_0_31)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_19_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_18_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_17_11)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002101)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002521)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_0_21)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002121)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002111)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - ) - ) - (net (rename zpuino_core_exr_tos_save_10 "zpuino/core/exr.tos_save_10") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_10)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_10_renamed_233)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_11 "zpuino/core/exr.tos_save_11") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_11)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_11_renamed_234)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_12 "zpuino/core/exr.tos_save_12") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_12)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_12_renamed_235)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_13 "zpuino/core/exr.tos_save_13") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_13)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_13_renamed_236)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_core_exr_tos_save_14 "zpuino/core/exr.tos_save_14") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_14)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_14_renamed_237)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_15 "zpuino/core/exr.tos_save_15") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_15)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_15_renamed_238)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_16 "zpuino/core/exr.tos_save_16") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_16)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_16_renamed_239)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_17 "zpuino/core/exr.tos_save_17") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_17_renamed_240)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_18 "zpuino/core/exr.tos_save_18") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_18_renamed_241)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_19 "zpuino/core/exr.tos_save_19") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_19_renamed_242)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_2 "zpuino/core/exr.tos_save_2") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_2)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_2_renamed_225)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 11) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - ) - ) - (net (rename zpuino_core_exr_tos_save_20 "zpuino/core/exr.tos_save_20") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_20_renamed_243)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_21 "zpuino/core/exr.tos_save_21") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_21_renamed_244)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_22 "zpuino/core/exr.tos_save_22") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_22_renamed_245)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_23 "zpuino/core/exr.tos_save_23") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_23)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_23_renamed_246)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_24 "zpuino/core/exr.tos_save_24") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_24)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_24_renamed_247)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_25 "zpuino/core/exr.tos_save_25") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_25)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_25_renamed_248)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_26 "zpuino/core/exr.tos_save_26") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_26)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_26_renamed_249)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_27 "zpuino/core/exr.tos_save_27") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_27_renamed_250)) - (portRef I1 (instanceRef zpuino_iomemmux_s0_wb_cyc_o1)) - (portRef I1 (instanceRef zpuino_iomemmux_s1_wb_cyc_o1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000271)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000251)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - (portRef I0 (instanceRef zpuino_iomemmux_m_wb_ack_o1)) - (portRef I1 (instanceRef zpuino_io_addr_save_q_or00001)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - (portRef I1 (instanceRef zpuino_io_addr_save_q_not00011)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00025138_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002810)) - ) - ) - (net (rename zpuino_core_exr_tos_save_28 "zpuino/core/exr.tos_save_28") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_28_renamed_251)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_29 "zpuino/core/exr.tos_save_29") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_29_renamed_252)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_3 "zpuino/core/exr.tos_save_3") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_3)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_3_renamed_226)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 10) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_20_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_12_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_10_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_2_11)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - ) - ) - (net (rename zpuino_core_exr_tos_save_30 "zpuino/core/exr.tos_save_30") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_30_renamed_253)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_31 "zpuino/core/exr.tos_save_31") - (joined - (portRef Q (instanceRef zpuino_core_exr_tos_save_31_renamed_254)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_4 "zpuino/core/exr.tos_save_4") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_4)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_4_renamed_227)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_0_31)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_19_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_18_11)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_17_11)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 9) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_16_11)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_13_21)) - (portRef I0 (instanceRef zpuino_core_shl_idx_mux0001_11_21)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_10_31)) - (portRef I2 (instanceRef zpuino_core_shl_idx_mux0001_12_21)) - ) - ) - (net (rename zpuino_core_exr_tos_save_5 "zpuino/core/exr.tos_save_5") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_5)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_5_renamed_228)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 8) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - ) - ) - (net (rename zpuino_core_exr_tos_save_6 "zpuino/core/exr.tos_save_6") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_6)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_6_renamed_229)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 7) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - ) - ) - (net (rename zpuino_core_exr_tos_save_7 "zpuino/core/exr.tos_save_7") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_7)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_7_renamed_230)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 6) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - ) - ) - (net (rename zpuino_core_exr_tos_save_8 "zpuino/core/exr.tos_save_8") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_8)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_8_renamed_231)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 5) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_tos_save_9 "zpuino/core/exr.tos_save_9") - (joined - (portRef D (instanceRef zpuino_io_addr_save_q_9)) - (portRef Q (instanceRef zpuino_core_exr_tos_save_9_renamed_232)) - (portRef I1 (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef (member ADDRA 4) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc "zpuino/core/exr.wb_cyc") - (joined - (portRef Q (instanceRef zpuino_core_exr_wb_cyc_renamed_171)) - (portRef I0 (instanceRef zpuino_iomemmux_s0_wb_cyc_o1)) - (portRef I0 (instanceRef zpuino_iomemmux_s1_wb_cyc_o1)) - (portRef I2 (instanceRef zpuino_io_addr_save_q_or00001)) - (portRef I2 (instanceRef zpuino_io_addr_save_q_not00011)) - (portRef I0 (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - (portRef I2 (instanceRef zpuino_core_exr_wb_cyc_mux0001282)) - ) - ) - (net (rename zpuino_core_exr_wb_we "zpuino/core/exr.wb_we") - (joined - (portRef Q (instanceRef zpuino_core_exr_wb_we_renamed_78)) - (portRef D (instanceRef zpuino_io_io_we_renamed_310)) - (portRef I1 (instanceRef zpuino_memory_memAWriteEnable1)) - (portRef I1 (instanceRef zpuino_core_exr_wb_we_mux000037)) - (portRef I2 (instanceRef zpuino_io_write_save_q_not00011)) - ) - ) - (net (rename zpuino_core_exr_inInterrupt_mux0001 "zpuino/core/exr_inInterrupt_mux0001") - (joined - (portRef D (instanceRef zpuino_core_exr_inInterrupt_renamed_172)) - (portRef O (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - ) - ) - (net (rename zpuino_core_exr_tos_save_not0001 "zpuino/core/exr_tos_save_not0001") - (joined - (portRef CE (instanceRef zpuino_core_exr_tos_save_0_renamed_223)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_1_renamed_224)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_2_renamed_225)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_3_renamed_226)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_4_renamed_227)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_5_renamed_228)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_6_renamed_229)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_7_renamed_230)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_8_renamed_231)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_9_renamed_232)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_10_renamed_233)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_11_renamed_234)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_12_renamed_235)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_13_renamed_236)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_14_renamed_237)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_15_renamed_238)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_16_renamed_239)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_17_renamed_240)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_18_renamed_241)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_19_renamed_242)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_20_renamed_243)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_21_renamed_244)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_22_renamed_245)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_23_renamed_246)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_24_renamed_247)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_25_renamed_248)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_26_renamed_249)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_27_renamed_250)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_28_renamed_251)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_29_renamed_252)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_30_renamed_253)) - (portRef CE (instanceRef zpuino_core_exr_tos_save_31_renamed_254)) - (portRef O (instanceRef zpuino_core_exr_tos_save_not00011)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc_mux0001 "zpuino/core/exr_wb_cyc_mux0001") - (joined - (portRef D (instanceRef zpuino_core_exr_wb_cyc_renamed_171)) - (portRef O (instanceRef zpuino_core_exr_wb_cyc_mux000128_f5)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc_mux000128 "zpuino/core/exr_wb_cyc_mux000128") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - (portRef I1 (instanceRef zpuino_core_exr_wb_cyc_mux000128_f5)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc_mux0001281 "zpuino/core/exr_wb_cyc_mux0001281") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_cyc_mux0001282)) - (portRef I0 (instanceRef zpuino_core_exr_wb_cyc_mux000128_f5)) - ) - ) - (net (rename zpuino_core_exr_wb_cyc_mux00018 "zpuino/core/exr_wb_cyc_mux00018") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_cyc_mux00018_renamed_1133)) - (portRef I1 (instanceRef zpuino_core_exr_wb_cyc_mux0001281_renamed_2229)) - ) - ) - (net (rename zpuino_core_exr_wb_we_mux0000 "zpuino/core/exr_wb_we_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_wb_we_renamed_78)) - (portRef O (instanceRef zpuino_core_exr_wb_we_mux000037)) - ) - ) - (net (rename zpuino_core_exr_wb_we_mux000014 "zpuino/core/exr_wb_we_mux000014") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I0 (instanceRef zpuino_core_exr_wb_we_mux000037)) - ) - ) - (net (rename zpuino_core_exr_wb_we_mux000027 "zpuino/core/exr_wb_we_mux000027") - (joined - (portRef O (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I3 (instanceRef zpuino_core_exr_wb_we_mux000037)) - ) - ) - (net (rename zpuino_core_exu_busy "zpuino/core/exu_busy") - (joined - (portRef I3 (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - (portRef I2 (instanceRef zpuino_core_prefr_pc_or0000_inv1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - (portRef O (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net (rename zpuino_core_exu_busy10 "zpuino/core/exu_busy10") - (joined - (portRef O (instanceRef zpuino_core_exu_busy10_renamed_1640)) - (portRef I1 (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net (rename zpuino_core_exu_busy32 "zpuino/core/exu_busy32") - (joined - (portRef O (instanceRef zpuino_core_exu_busy32_renamed_1641)) - (portRef I3 (instanceRef zpuino_core_pfu_busy1)) - (portRef I2 (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net (rename zpuino_core_exu_busy33 "zpuino/core/exu_busy33") - (joined - (portRef O (instanceRef zpuino_core_exu_busy33_renamed_2305)) - (portRef I3 (instanceRef zpuino_core_exu_busy42)) - ) - ) - (net (rename zpuino_core_exu_busy4 "zpuino/core/exu_busy4") - (joined - (portRef I0 (instanceRef zpuino_core_exu_busy42_SW0)) - (portRef O (instanceRef zpuino_core_exu_busy4_renamed_2304)) - ) - ) - (net (rename zpuino_core_exu_busy9 "zpuino/core/exu_busy9") - (joined - (portRef I1 (instanceRef zpuino_core_exu_busy10_renamed_1640)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux000062)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - (portRef I1 (instanceRef zpuino_core_exu_busy42_SW0)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000 "zpuino/core/jump_address_0_and0000") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_7__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I1 (instanceRef zpuino_core_exu_busy33_renamed_2305)) - (portRef I1 (instanceRef zpuino_core_poppc_inst1)) - (portRef I2 (instanceRef zpuino_core_decode_jump_renamed_2314)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_0_ "zpuino/core/jump_address_0_and0000_wg_cy<0>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_0__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_1__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_1_ "zpuino/core/jump_address_0_and0000_wg_cy<1>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_1__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_2__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_2_ "zpuino/core/jump_address_0_and0000_wg_cy<2>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_2__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_3__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_3_ "zpuino/core/jump_address_0_and0000_wg_cy<3>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_3__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_4__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_4_ "zpuino/core/jump_address_0_and0000_wg_cy<4>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_4__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_5__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_5_ "zpuino/core/jump_address_0_and0000_wg_cy<5>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_5__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_6__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_cy_6_ "zpuino/core/jump_address_0_and0000_wg_cy<6>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_6__)) - (portRef CI (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_7__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_0_ "zpuino/core/jump_address_0_and0000_wg_lut<0>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_0__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_0__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_1_ "zpuino/core/jump_address_0_and0000_wg_lut<1>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_1__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_1__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_2_ "zpuino/core/jump_address_0_and0000_wg_lut<2>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_2__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_2__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_3_ "zpuino/core/jump_address_0_and0000_wg_lut<3>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_3__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_3__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_4_ "zpuino/core/jump_address_0_and0000_wg_lut<4>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_4__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_4__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_5_ "zpuino/core/jump_address_0_and0000_wg_lut<5>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_5__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_5__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_6_ "zpuino/core/jump_address_0_and0000_wg_lut<6>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_6__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_6__)) - ) - ) - (net (rename zpuino_core_jump_address_0_and0000_wg_lut_7_ "zpuino/core/jump_address_0_and0000_wg_lut<7>") - (joined - (portRef O (instanceRef zpuino_core_jump_address_0_and0000_wg_lut_7__)) - (portRef S (instanceRef zpuino_core_jump_address_0_and0000_wg_cy_7__)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_0_ "zpuino/core/jump_address_add0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_0__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_10_ "zpuino/core/jump_address_add0000<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_10__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_11_ "zpuino/core/jump_address_add0000<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_11__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_12_ "zpuino/core/jump_address_add0000<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_12__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_13_ "zpuino/core/jump_address_add0000<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_13__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_1_ "zpuino/core/jump_address_add0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_1__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_2_ "zpuino/core/jump_address_add0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_2__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_3_ "zpuino/core/jump_address_add0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_3__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_4_ "zpuino/core/jump_address_add0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_4__)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_14)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_5_ "zpuino/core/jump_address_add0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_5__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_31_renamed_1447)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_6_ "zpuino/core/jump_address_add0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_6__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_19_renamed_1968)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_7_ "zpuino/core/jump_address_add0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_7__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_19_renamed_1923)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_8_ "zpuino/core/jump_address_add0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_8__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_19_renamed_1922)) - ) - ) - (net (rename zpuino_core_jump_address_add0000_9_ "zpuino/core/jump_address_add0000<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_jump_address_add0000_xor_9__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_19_renamed_1915)) - ) - ) - (net (rename zpuino_core_lshifter_enable "zpuino/core/lshifter_enable") - (joined - (portRef D (instanceRef zpuino_core_shl_d_0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef O (instanceRef zpuino_core_exr_state_Out161)) - (portRef I2 (instanceRef zpuino_core_exu_busy32_renamed_1641)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - ) - ) - (net (rename zpuino_core_pcnext_0_ "zpuino/core/pcnext<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_0__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_4_renamed_2340)) - ) - ) - (net (rename zpuino_core_pcnext_10_ "zpuino/core/pcnext<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_10__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_4_renamed_2339)) - ) - ) - (net (rename zpuino_core_pcnext_11_ "zpuino/core/pcnext<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_11__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_4_renamed_2338)) - ) - ) - (net (rename zpuino_core_pcnext_12_ "zpuino/core/pcnext<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_12__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_4_renamed_2337)) - ) - ) - (net (rename zpuino_core_pcnext_13_ "zpuino/core/pcnext<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_13__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_4_renamed_2336)) - ) - ) - (net (rename zpuino_core_pcnext_1_ "zpuino/core/pcnext<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_1__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_4_renamed_2335)) - ) - ) - (net (rename zpuino_core_pcnext_2_ "zpuino/core/pcnext<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_2__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_4_renamed_2334)) - ) - ) - (net (rename zpuino_core_pcnext_3_ "zpuino/core/pcnext<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_3__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_4_renamed_2333)) - ) - ) - (net (rename zpuino_core_pcnext_4_ "zpuino/core/pcnext<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_4__)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_4_renamed_2332)) - ) - ) - (net (rename zpuino_core_pcnext_5_ "zpuino/core/pcnext<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_5__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_511_SW0)) - ) - ) - (net (rename zpuino_core_pcnext_6_ "zpuino/core/pcnext<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_6__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_38_SW0)) - ) - ) - (net (rename zpuino_core_pcnext_7_ "zpuino/core/pcnext<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_7__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_38_SW0)) - ) - ) - (net (rename zpuino_core_pcnext_8_ "zpuino/core/pcnext<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_8__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_38_SW0)) - ) - ) - (net (rename zpuino_core_pcnext_9_ "zpuino/core/pcnext<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_pcnext_add0000_xor_9__)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_38_SW0)) - ) - ) - (net (rename zpuino_core_pfu_busy "zpuino/core/pfu_busy") - (joined - (portRef O (instanceRef zpuino_core_pfu_busy1)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - (portRef I2 (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_21)) - (portRef I2 (instanceRef zpuino_core_decr_im_mux0000_renamed_2139)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_74)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_2_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_2)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_4_11_renamed_2199)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_3_11_renamed_2200)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_2_11_renamed_2201)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_1_11_renamed_2202)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_13_11_renamed_2203)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_12_11_renamed_2204)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_11_11_renamed_2205)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_10_11_renamed_2206)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_0_111)) - (portRef I2 (instanceRef zpuino_core_decr_pc_not00011)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42)) - (portRef I0 (instanceRef zpuino_core_decr_valid_mux0000_renamed_2209)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_72)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_21)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I0 (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I2 (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_51)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_18)) - (portRef I3 (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_13__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_1_0_renamed_2388)) - ) - ) - (net (rename zpuino_core_prefr_break "zpuino/core/prefr.break") - (joined - (portRef Q (instanceRef zpuino_core_prefr_break_renamed_222)) - (portRef I0 (instanceRef zpuino_core_decr_pc_not00011)) - (portRef I3 (instanceRef zpuino_core_decr_pcint_mux0000_0_31)) - (portRef I1 (instanceRef zpuino_core_decr_pcint_mux0000_0_21)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_1 "zpuino/core/prefr.decodedOpcode_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_1_renamed_270)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_12 "zpuino/core/prefr.decodedOpcode_12") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_12_renamed_277)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - (portRef I3 (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_SW0)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_14 "zpuino/core/prefr.decodedOpcode_14") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_14_renamed_278)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_15 "zpuino/core/prefr.decodedOpcode_15") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_15_renamed_279)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136)) - (portRef I1 (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I2 (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_SW0)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - (portRef I0 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_19 "zpuino/core/prefr.decodedOpcode_19") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_19_renamed_280)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_or00001)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_22 "zpuino/core/prefr.decodedOpcode_22") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_22_renamed_281)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd12_In1)) - (portRef I1 (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I1 (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I2 (instanceRef zpuino_core_stack_b_enable7_renamed_1140)) - (portRef I0 (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I2 (instanceRef zpuino_core_stack_a_writeenable_SW0)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_21)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_12)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_11)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_23 "zpuino/core/prefr.decodedOpcode_23") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_23_renamed_282)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef I2 (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_42)) - (portRef I1 (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_24 "zpuino/core/prefr.decodedOpcode_24") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_24_renamed_283)) - (portRef I2 (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In43_renamed_1137)) - (portRef I3 (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - (portRef I1 (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_SW0)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_SW1)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_25 "zpuino/core/prefr.decodedOpcode_25") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_25_renamed_284)) - (portRef I3 (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd4_In1)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_27 "zpuino/core/prefr.decodedOpcode_27") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_27_renamed_285)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_or00001)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000062)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_3 "zpuino/core/prefr.decodedOpcode_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_3_renamed_271)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_30 "zpuino/core/prefr.decodedOpcode_30") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_30_renamed_286)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_31 "zpuino/core/prefr.decodedOpcode_31") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_31_renamed_287)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_or00001)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000062)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_32 "zpuino/core/prefr.decodedOpcode_32") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_32_renamed_288)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - (portRef I2 (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I0 (instanceRef zpuino_core_decode_jump_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_10_renamed_1446)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_SW0)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_33 "zpuino/core/prefr.decodedOpcode_33") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_33_renamed_289)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd14_In66_renamed_1138)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_4 "zpuino/core/prefr.decodedOpcode_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_4_renamed_272)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_5 "zpuino/core/prefr.decodedOpcode_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_5_renamed_273)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_6 "zpuino/core/prefr.decodedOpcode_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_6_renamed_274)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In30_renamed_1136)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_51)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_SW1)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_8 "zpuino/core/prefr.decodedOpcode_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_8_renamed_275)) - (portRef I3 (instanceRef zpuino_core_stack_a_writeenable_SW0)) - ) - ) - (net (rename zpuino_core_prefr_decodedOpcode_9 "zpuino/core/prefr.decodedOpcode_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_decodedOpcode_9_renamed_276)) - (portRef I0 (instanceRef zpuino_core_stack_a_writeenable_SW0)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_0 "zpuino/core/prefr.fetchpc_0") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_0_renamed_255)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_1 "zpuino/core/prefr.fetchpc_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_1_renamed_256)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_10 "zpuino/core/prefr.fetchpc_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_10_renamed_265)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000286_renamed_1536)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_11 "zpuino/core/prefr.fetchpc_11") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_11_renamed_266)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_12 "zpuino/core/prefr.fetchpc_12") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_12_renamed_267)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_13 "zpuino/core/prefr.fetchpc_13") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_13_renamed_268)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_2 "zpuino/core/prefr.fetchpc_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_2_renamed_257)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_3 "zpuino/core/prefr.fetchpc_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_3_renamed_258)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_4 "zpuino/core/prefr.fetchpc_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_4_renamed_259)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_5 "zpuino/core/prefr.fetchpc_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_5_renamed_260)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_6 "zpuino/core/prefr.fetchpc_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_6_renamed_261)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002107_renamed_1495)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_7 "zpuino/core/prefr.fetchpc_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_7_renamed_262)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002107_renamed_1515)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_8 "zpuino/core/prefr.fetchpc_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_8_renamed_263)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000286_renamed_1522)) - ) - ) - (net (rename zpuino_core_prefr_fetchpc_9 "zpuino/core/prefr.fetchpc_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_fetchpc_9_renamed_264)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000286_renamed_1529)) - ) - ) - (net (rename zpuino_core_prefr_opWillFreeze "zpuino/core/prefr.opWillFreeze") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opWillFreeze_renamed_290)) - (portRef I0 (instanceRef zpuino_core_exu_busy32_renamed_1641)) - ) - ) - (net (rename zpuino_core_prefr_opcode_0 "zpuino/core/prefr.opcode_0") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_0_renamed_197)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_0__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_SW0)) - ) - ) - (net (rename zpuino_core_prefr_opcode_1 "zpuino/core/prefr.opcode_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_1_renamed_198)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_1__)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_11_renamed_2106)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_prefr_opcode_2 "zpuino/core/prefr.opcode_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_2_renamed_199)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_2__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_7_11_renamed_2105)) - ) - ) - (net (rename zpuino_core_prefr_opcode_3 "zpuino/core/prefr.opcode_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_3_renamed_200)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_3__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_8_11_renamed_2104)) - ) - ) - (net (rename zpuino_core_prefr_opcode_4 "zpuino/core/prefr.opcode_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_4_renamed_201)) - (portRef I1 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_4__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - (portRef I2 (instanceRef zpuino_core_decr_fetchpc_mux0000_9_11_renamed_2103)) - ) - ) - (net (rename zpuino_core_prefr_opcode_5 "zpuino/core/prefr.opcode_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_5_renamed_202)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - ) - ) - (net (rename zpuino_core_prefr_opcode_6 "zpuino/core/prefr.opcode_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_opcode_6_renamed_203)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000211)) - ) - ) - (net (rename zpuino_core_prefr_pc_0 "zpuino/core/prefr.pc_0") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_0_renamed_295)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_0__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - ) - ) - (net (rename zpuino_core_prefr_pc_1 "zpuino/core/prefr.pc_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_1_renamed_296)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_1__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_prefr_pc_10 "zpuino/core/prefr.pc_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_10_renamed_305)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_10__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - ) - ) - (net (rename zpuino_core_prefr_pc_11 "zpuino/core/prefr.pc_11") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_11_renamed_306)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_11__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - ) - ) - (net (rename zpuino_core_prefr_pc_12 "zpuino/core/prefr.pc_12") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_12_renamed_307)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_12__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - ) - ) - (net (rename zpuino_core_prefr_pc_13 "zpuino/core/prefr.pc_13") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_13_renamed_308)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_13__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - ) - ) - (net (rename zpuino_core_prefr_pc_2 "zpuino/core/prefr.pc_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_2_renamed_297)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_2__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - ) - ) - (net (rename zpuino_core_prefr_pc_3 "zpuino/core/prefr.pc_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_3_renamed_298)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_3__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - ) - ) - (net (rename zpuino_core_prefr_pc_4 "zpuino/core/prefr.pc_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_4_renamed_299)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_4__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - ) - ) - (net (rename zpuino_core_prefr_pc_5 "zpuino/core/prefr.pc_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_5_renamed_300)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_5__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - ) - ) - (net (rename zpuino_core_prefr_pc_6 "zpuino/core/prefr.pc_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_6_renamed_301)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_6__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - ) - ) - (net (rename zpuino_core_prefr_pc_7 "zpuino/core/prefr.pc_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_7_renamed_302)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_7__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - ) - ) - (net (rename zpuino_core_prefr_pc_8 "zpuino/core/prefr.pc_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_8_renamed_303)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_8__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - ) - ) - (net (rename zpuino_core_prefr_pc_9 "zpuino/core/prefr.pc_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_pc_9_renamed_304)) - (portRef I1 (instanceRef zpuino_core_Madd_jump_address_add0000_lut_9__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - ) - ) - (net (rename zpuino_core_prefr_recompute_sp "zpuino/core/prefr.recompute_sp") - (joined - (portRef Q (instanceRef zpuino_core_prefr_recompute_sp_renamed_5)) - (portRef I0 (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - (portRef S (instanceRef zpuino_core_prefr_spnext_not0001_f5)) - ) - ) - (net (rename zpuino_core_prefr_sp_10 "zpuino/core/prefr.sp_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__rt_renamed_1834)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_14)) - ) - ) - (net (rename zpuino_core_prefr_sp_2 "zpuino/core/prefr.sp_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_0__)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_2_14)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - ) - ) - (net (rename zpuino_core_prefr_sp_3 "zpuino/core/prefr.sp_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_1__)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_3_14)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - ) - ) - (net (rename zpuino_core_prefr_sp_4 "zpuino/core/prefr.sp_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_2__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - ) - ) - (net (rename zpuino_core_prefr_sp_5 "zpuino/core/prefr.sp_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_3__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - ) - ) - (net (rename zpuino_core_prefr_sp_6 "zpuino/core/prefr.sp_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef DI (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_4__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_lut_4__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - ) - ) - (net (rename zpuino_core_prefr_sp_7 "zpuino/core/prefr.sp_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_5__rt_renamed_1711)) - ) - ) - (net (rename zpuino_core_prefr_sp_8 "zpuino/core/prefr.sp_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_6__rt_renamed_1712)) - ) - ) - (net (rename zpuino_core_prefr_sp_9 "zpuino/core/prefr.sp_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_cy_7__rt_renamed_1713)) - ) - ) - (net (rename zpuino_core_prefr_spnext_10 "zpuino/core/prefr.spnext_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef D (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__rt_renamed_1832)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__rt_renamed_1835)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_8__INV_0)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net (rename zpuino_core_prefr_spnext_2 "zpuino/core/prefr.spnext_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef D (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_0__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_0__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - (portRef I0 (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_cy_0__rt_renamed_1717)) - (portRef I (instanceRef zpuino_core_Madd_stack_b_addr_add0000_lut_0__INV_0)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - ) - ) - (net (rename zpuino_core_prefr_spnext_3 "zpuino/core/prefr.spnext_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef D (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_1__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_1__)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I3 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_1__rt_renamed_1692)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_1__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_4 "zpuino/core/prefr.spnext_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef D (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_2__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_2__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I2 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_2__rt_renamed_1693)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_2__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_5 "zpuino/core/prefr.spnext_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef D (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_3__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_3__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I1 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_3__rt_renamed_1694)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_3__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_6 "zpuino/core/prefr.spnext_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef D (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_lut_4__)) - (portRef DI (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_4__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - (portRef I0 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_4_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_4__rt_renamed_1695)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_F)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_4__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_7 "zpuino/core/prefr.spnext_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef D (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - (portRef I1 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_5__rt_renamed_1696)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_5__rt_renamed_1714)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_5__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_8 "zpuino/core/prefr.spnext_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef D (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I0 (instanceRef zpuino_core_Madd_prefr_spnext_addsub0001_cy_6_11)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_6__rt_renamed_1697)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_6__rt_renamed_1715)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_F)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_G)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_6__INV_0)) - ) - ) - (net (rename zpuino_core_prefr_spnext_9 "zpuino/core/prefr.spnext_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef D (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_add0000_cy_7__rt_renamed_1698)) - (portRef I0 (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_cy_7__rt_renamed_1716)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - (portRef I (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_lut_7__INV_0)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_0 "zpuino/core/prefr.tosSource_0") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_0_renamed_204)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_1 "zpuino/core/prefr.tosSource_1") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_1_renamed_205)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002107_renamed_1495)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002107_renamed_1515)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000286_renamed_1522)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000286_renamed_1529)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000286_renamed_1536)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_10 "zpuino/core/prefr.tosSource_10") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_10_renamed_214)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000296_renamed_1983)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_11 "zpuino/core/prefr.tosSource_11") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_11_renamed_215)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000270_renamed_1586)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000270_renamed_1604)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_12 "zpuino/core/prefr.tosSource_12") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_12_renamed_216)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_13 "zpuino/core/prefr.tosSource_13") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_13_renamed_217)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_14 "zpuino/core/prefr.tosSource_14") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_14_renamed_218)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000211)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002149_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002143_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002143_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002164_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002164_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002143_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_15 "zpuino/core/prefr.tosSource_15") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_15_renamed_219)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000270_renamed_1586)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_16 "zpuino/core/prefr.tosSource_16") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_16_renamed_220)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002182_renamed_1878)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_17 "zpuino/core/prefr.tosSource_17") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_17_renamed_221)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_2 "zpuino/core/prefr.tosSource_2") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_2_renamed_206)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002311)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_3 "zpuino/core/prefr.tosSource_3") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_3_renamed_207)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000270_renamed_1604)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002311)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_4 "zpuino/core/prefr.tosSource_4") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_4_renamed_208)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000221)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002125_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002140_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002140_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002119_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002119_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002119_SW0)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_5 "zpuino/core/prefr.tosSource_5") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_5_renamed_209)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef S (instanceRef zpuino_core_w1_tos_31_mux000266_f5)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_6 "zpuino/core/prefr.tosSource_6") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_6_renamed_210)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000211)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002149_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002143_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002143_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002164_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002164_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002143_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_7 "zpuino/core/prefr.tosSource_7") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_7_renamed_211)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_8 "zpuino/core/prefr.tosSource_8") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_8_renamed_212)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000221)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002125_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002140_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002119_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002119_SW0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000241103)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002119_SW0)) - ) - ) - (net (rename zpuino_core_prefr_tosSource_9 "zpuino/core/prefr.tosSource_9") - (joined - (portRef Q (instanceRef zpuino_core_prefr_tosSource_9_renamed_213)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux0002158_renamed_1682)) - (portRef S (instanceRef zpuino_core_w1_tos_0_mux00024120_f5)) - ) - ) - (net (rename zpuino_core_prefr_valid "zpuino/core/prefr.valid") - (joined - (portRef Q (instanceRef zpuino_core_prefr_valid_renamed_269)) - (portRef I1 (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I0 (instanceRef zpuino_core_exr_wb_we_mux000027_renamed_1129)) - (portRef I3 (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I0 (instanceRef zpuino_core_stack_b_enable7_renamed_1140)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef I1 (instanceRef zpuino_core_exr_tos_save_not00011)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_51)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_SW0)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_0_21)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd4_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd13_In1)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux0002164)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux0002164)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux0002164)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002185)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002185)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_5_17_renamed_2143)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd11_In_renamed_2150)) - (portRef I3 (instanceRef zpuino_core_prefr_sp_not0001_SW0)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux0002140)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux0002144)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_0_12)) - (portRef S (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_SW0_f5)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_42)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000264)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_240)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000241103)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000211)) - (portRef I1 (instanceRef zpuino_core_decr_fetchpc_mux0000_6_111)) - ) - ) - (net (rename zpuino_core_prefr_pc_or0000_inv "zpuino/core/prefr_pc_or0000_inv") - (joined - (portRef CE (instanceRef zpuino_core_prefr_opcode_0_renamed_197)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_1_renamed_198)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_2_renamed_199)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_3_renamed_200)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_4_renamed_201)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_5_renamed_202)) - (portRef CE (instanceRef zpuino_core_prefr_opcode_6_renamed_203)) - (portRef CE (instanceRef zpuino_core_prefr_pc_0_renamed_295)) - (portRef CE (instanceRef zpuino_core_prefr_pc_1_renamed_296)) - (portRef CE (instanceRef zpuino_core_prefr_pc_2_renamed_297)) - (portRef CE (instanceRef zpuino_core_prefr_pc_3_renamed_298)) - (portRef CE (instanceRef zpuino_core_prefr_pc_4_renamed_299)) - (portRef CE (instanceRef zpuino_core_prefr_pc_5_renamed_300)) - (portRef CE (instanceRef zpuino_core_prefr_pc_6_renamed_301)) - (portRef CE (instanceRef zpuino_core_prefr_pc_7_renamed_302)) - (portRef CE (instanceRef zpuino_core_prefr_pc_8_renamed_303)) - (portRef CE (instanceRef zpuino_core_prefr_pc_9_renamed_304)) - (portRef CE (instanceRef zpuino_core_prefr_pc_10_renamed_305)) - (portRef CE (instanceRef zpuino_core_prefr_pc_11_renamed_306)) - (portRef CE (instanceRef zpuino_core_prefr_pc_12_renamed_307)) - (portRef CE (instanceRef zpuino_core_prefr_pc_13_renamed_308)) - (portRef CE (instanceRef zpuino_core_prefr_opWillFreeze_renamed_290)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_1_renamed_270)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_3_renamed_271)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_4_renamed_272)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_5_renamed_273)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_6_renamed_274)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_8_renamed_275)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_9_renamed_276)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_12_renamed_277)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_14_renamed_278)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_15_renamed_279)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_19_renamed_280)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_22_renamed_281)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_23_renamed_282)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_24_renamed_283)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_25_renamed_284)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_27_renamed_285)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_30_renamed_286)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_31_renamed_287)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_32_renamed_288)) - (portRef CE (instanceRef zpuino_core_prefr_decodedOpcode_33_renamed_289)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_0_renamed_255)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_1_renamed_256)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_2_renamed_257)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_3_renamed_258)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_4_renamed_259)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_5_renamed_260)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_6_renamed_261)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_7_renamed_262)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_8_renamed_263)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_9_renamed_264)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_10_renamed_265)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_11_renamed_266)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_12_renamed_267)) - (portRef CE (instanceRef zpuino_core_prefr_fetchpc_13_renamed_268)) - (portRef CE (instanceRef zpuino_core_prefr_break_renamed_222)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_0_renamed_204)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_1_renamed_205)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_2_renamed_206)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_3_renamed_207)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_4_renamed_208)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_5_renamed_209)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_6_renamed_210)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_7_renamed_211)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_8_renamed_212)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_9_renamed_213)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_10_renamed_214)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_11_renamed_215)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_12_renamed_216)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_13_renamed_217)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_14_renamed_218)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_15_renamed_219)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_16_renamed_220)) - (portRef CE (instanceRef zpuino_core_prefr_tosSource_17_renamed_221)) - (portRef CE (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef I3 (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - (portRef O (instanceRef zpuino_core_prefr_pc_or0000_inv1)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef ENB (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_core_prefr_recompute_sp_or0000 "zpuino/core/prefr_recompute_sp_or0000") - (joined - (portRef R (instanceRef zpuino_core_prefr_recompute_sp_renamed_5)) - (portRef O (instanceRef zpuino_core_prefr_recompute_sp_or00001)) - ) - ) - (net (rename zpuino_core_prefr_sp_not0001 "zpuino/core/prefr_sp_not0001") - (joined - (portRef CE (instanceRef zpuino_core_prefr_sp_2_renamed_174)) - (portRef CE (instanceRef zpuino_core_prefr_sp_3_renamed_175)) - (portRef CE (instanceRef zpuino_core_prefr_sp_4_renamed_176)) - (portRef CE (instanceRef zpuino_core_prefr_sp_5_renamed_177)) - (portRef CE (instanceRef zpuino_core_prefr_sp_6_renamed_178)) - (portRef CE (instanceRef zpuino_core_prefr_sp_7_renamed_179)) - (portRef CE (instanceRef zpuino_core_prefr_sp_8_renamed_180)) - (portRef CE (instanceRef zpuino_core_prefr_sp_9_renamed_181)) - (portRef CE (instanceRef zpuino_core_prefr_sp_10_renamed_182)) - (portRef O (instanceRef zpuino_core_prefr_sp_not0001_renamed_1240)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_0_ "zpuino/core/prefr_spnext_addsub0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_0__)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_2_15_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_1_ "zpuino/core/prefr_spnext_addsub0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_1__)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_2_ "zpuino/core/prefr_spnext_addsub0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_2__)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_4_42_renamed_1227)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_3_ "zpuino/core/prefr_spnext_addsub0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_3__)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_4_ "zpuino/core/prefr_spnext_addsub0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_4__)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_5_ "zpuino/core/prefr_spnext_addsub0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_5__)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_7_42_renamed_1238)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_6_ "zpuino/core/prefr_spnext_addsub0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_6__)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_7_ "zpuino/core/prefr_spnext_addsub0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_7__)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_9_41_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_addsub0000_8_ "zpuino/core/prefr_spnext_addsub0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Msub_prefr_spnext_addsub0000_xor_8__)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_G)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_10_ "zpuino/core/prefr_spnext_mux0000<10>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_10_24 "zpuino/core/prefr_spnext_mux0000<10>24") - (joined - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_renamed_2212)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_10_58 "zpuino/core/prefr_spnext_mux0000<10>58") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_10_58_renamed_1398)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_88)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_2_ "zpuino/core/prefr_spnext_mux0000<2>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_43)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_2_15 "zpuino/core/prefr_spnext_mux0000<2>15") - (joined - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43_SW0)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_15_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_2_151 "zpuino/core/prefr_spnext_mux0000<2>151") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_151_renamed_2249)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_15_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_2_152 "zpuino/core/prefr_spnext_mux0000<2>152") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_2_152_renamed_2250)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_2_15_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_3_ "zpuino/core/prefr_spnext_mux0000<3>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_3_23 "zpuino/core/prefr_spnext_mux0000<3>23") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_3_23_renamed_1183)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_ "zpuino/core/prefr_spnext_mux0000<4>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_89_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_24 "zpuino/core/prefr_spnext_mux0000<4>24") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_24_renamed_1225)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_39 "zpuino/core/prefr_spnext_mux0000<4>39") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_39_renamed_1226)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_42 "zpuino/core/prefr_spnext_mux0000<4>42") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_42_renamed_1227)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_4_89 "zpuino/core/prefr_spnext_mux0000<4>89") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_4_89_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_ "zpuino/core/prefr_spnext_mux0000<5>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_28 "zpuino/core/prefr_spnext_mux0000<5>28") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_28_renamed_1232)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_40 "zpuino/core/prefr_spnext_mux0000<5>40") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_40_renamed_1233)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_58 "zpuino/core/prefr_spnext_mux0000<5>58") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_58_renamed_1234)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_5_70 "zpuino/core/prefr_spnext_mux0000<5>70") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_5_70_renamed_1235)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_99)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_ "zpuino/core/prefr_spnext_mux0000<6>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_114)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_57 "zpuino/core/prefr_spnext_mux0000<6>57") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_57_renamed_2213)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_88 "zpuino/core/prefr_spnext_mux0000<6>88") - (joined - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_114)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_88_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_881 "zpuino/core/prefr_spnext_mux0000<6>881") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_881_renamed_2252)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_6_88_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_6_882 "zpuino/core/prefr_spnext_mux0000<6>882") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_6_882_renamed_2253)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_6_88_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_7_ "zpuino/core/prefr_spnext_mux0000<7>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_7_89_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_7_39 "zpuino/core/prefr_spnext_mux0000<7>39") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_7_39_renamed_1237)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_7_42 "zpuino/core/prefr_spnext_mux0000<7>42") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_7_42_renamed_1238)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_7_89 "zpuino/core/prefr_spnext_mux0000<7>89") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_7_89_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_8_ "zpuino/core/prefr_spnext_mux0000<8>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_8_46 "zpuino/core/prefr_spnext_mux0000<8>46") - (joined - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_46_renamed_2215)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_8_5 "zpuino/core/prefr_spnext_mux0000<8>5") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_8_89)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_ "zpuino/core/prefr_spnext_mux0000<9>") - (joined - (portRef D (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_12 "zpuino/core/prefr_spnext_mux0000<9>12") - (joined - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_12_renamed_2073)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_21 "zpuino/core/prefr_spnext_mux0000<9>21") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_41 "zpuino/core/prefr_spnext_mux0000<9>41") - (joined - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_79)) - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_41_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_411 "zpuino/core/prefr_spnext_mux0000<9>411") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_411_renamed_2256)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_41_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_mux0000_9_412 "zpuino/core/prefr_spnext_mux0000<9>412") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_mux0000_9_412_renamed_2257)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_mux0000_9_41_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_not0001 "zpuino/core/prefr_spnext_not0001") - (joined - (portRef CE (instanceRef zpuino_core_prefr_spnext_2_renamed_141)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_3_renamed_142)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_4_renamed_143)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_5_renamed_144)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_6_renamed_145)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_7_renamed_146)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_8_renamed_147)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_9_renamed_148)) - (portRef CE (instanceRef zpuino_core_prefr_spnext_10_renamed_149)) - (portRef O (instanceRef zpuino_core_prefr_spnext_not0001_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_not00011 "zpuino/core/prefr_spnext_not00011") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_not00011_renamed_2291)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_not0001_f5)) - ) - ) - (net (rename zpuino_core_prefr_spnext_not00012 "zpuino/core/prefr_spnext_not00012") - (joined - (portRef O (instanceRef zpuino_core_prefr_spnext_not00012_renamed_2292)) - (portRef I0 (instanceRef zpuino_core_prefr_spnext_not0001_f5)) - ) - ) - (net (rename zpuino_core_prefr_valid_or0000 "zpuino/core/prefr_valid_or0000") - (joined - (portRef R (instanceRef zpuino_core_prefr_valid_renamed_269)) - (portRef O (instanceRef zpuino_core_prefr_valid_or00001)) - ) - ) - (net (rename zpuino_core_sampledDecodedOpcode_and0000 "zpuino/core/sampledDecodedOpcode_and0000") - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_12_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4___renamed_1539)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_14_11)) - (portRef O (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - ) - ) - (net (rename zpuino_core_sampledDecodedOpcode_cmp_eq0000 "zpuino/core/sampledDecodedOpcode_cmp_eq0000") - (joined - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_15___renamed_1540)) - (portRef I3 (instanceRef zpuino_core_decr_break_mux000042_renamed_1550)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_13__)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_9___renamed_1538)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_14___renamed_1541)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_14_11)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19__SW0)) - (portRef O (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - ) - ) - (net (rename zpuino_core_sampledStackOperation_or0003 "zpuino/core/sampledStackOperation_or0003") - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_1)) - (portRef O (instanceRef zpuino_core_sampledStackOperation_or000382)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - ) - ) - (net (rename zpuino_core_sampledTosSource_0_ "zpuino/core/sampledTosSource<0>") - (joined - (portRef O (instanceRef zpuino_core_sampledTosSource_and00001)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - (portRef I0 (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_0_1)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_24_1)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - ) - ) - (net (rename zpuino_core_sampledTosSource_cmp_eq0003 "zpuino/core/sampledTosSource_cmp_eq0003") - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_25_1)) - (portRef LO (instanceRef zpuino_core_sampledTosSource_cmp_eq00031)) - ) - ) - (net (rename zpuino_core_sampledTosSource_cmp_eq0004 "zpuino/core/sampledTosSource_cmp_eq0004") - (joined - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_27_1)) - (portRef LO (instanceRef zpuino_core_sampledTosSource_cmp_eq00042)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_17 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_17") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_17__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_18 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_18") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_18__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_19 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_19") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_19__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_20 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_20") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_20__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_21 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_21") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_21__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_22 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_22") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_22__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_23 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_23") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_23__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_24 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_24") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_24__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_25 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_25") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_25__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_26 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_26") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_26__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_27 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_27") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_27__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_28 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_28") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_28__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_29 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_29") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_29__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_30 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_30") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_30__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_31 "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_31") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_31__)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<17>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<18>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<19>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<20>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<21>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<22>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<23>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<24>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<25>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<26>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<27>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<28>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<29>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<30>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31_ "zpuino/core/shl/Mmult_rq_0_mult00000_Madd_lut<31>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_17 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_17") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_18 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_18") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_19 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_19") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_20 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_20") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_21 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_21") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_22 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_22") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_23 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_23") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_24 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_24") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_25 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_25") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_26 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_26") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_27 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_27") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_28 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_28") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_29 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_29") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_30 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_30") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_31 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_31") - (joined - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341)) - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<17>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<18>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<19>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<20>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<21>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<22>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<23>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<24>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<25>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<26>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<27>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<28>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<29>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_cy<30>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__)) - (portRef CI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<17>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<18>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<19>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<20>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<21>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<22>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<23>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<24>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<25>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<26>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<27>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<28>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<29>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<30>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30__)) - (portRef S (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31_ "zpuino/core/shl/Mmult_rq_0_mult0000_submult_00_Madd_lut<31>") - (joined - (portRef O (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31__)) - (portRef LI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_xor_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_0") - (joined - (portRef (member P 35) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_1") - (joined - (portRef (member P 34) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_10 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_10") - (joined - (portRef (member P 25) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_11 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_11") - (joined - (portRef (member P 24) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_12 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_12") - (joined - (portRef (member P 23) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_13 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_13") - (joined - (portRef (member P 22) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_14 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_14") - (joined - (portRef (member P 21) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_2 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_2") - (joined - (portRef (member P 33) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_3 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_3") - (joined - (portRef (member P 32) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_4 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_4") - (joined - (portRef (member P 31) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_5 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_5") - (joined - (portRef (member P 30) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_6 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_6") - (joined - (portRef (member P 29) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_7 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_7") - (joined - (portRef (member P 28) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_8 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_8") - (joined - (portRef (member P 27) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_9 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_P_to_Adder_B_9") - (joined - (portRef (member P 26) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_cy_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_18") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_19") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_20") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_21") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_22") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_23") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_24") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_25") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_26") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_27") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_28") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_29") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_30") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_31") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_02_32") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_0") - (joined - (portRef (member BCOUT 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_1") - (joined - (portRef (member BCOUT 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_10 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_10") - (joined - (portRef (member BCOUT 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_11 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_11") - (joined - (portRef (member BCOUT 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_12 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_12") - (joined - (portRef (member BCOUT 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_13 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_13") - (joined - (portRef (member BCOUT 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_14 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_14") - (joined - (portRef (member BCOUT 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_15 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_15") - (joined - (portRef (member BCOUT 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_16 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_16") - (joined - (portRef (member BCOUT 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_17 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_17") - (joined - (portRef (member BCOUT 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_2 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_2") - (joined - (portRef (member BCOUT 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_3 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_3") - (joined - (portRef (member BCOUT 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_4 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_4") - (joined - (portRef (member BCOUT 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_5 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_5") - (joined - (portRef (member BCOUT 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_6 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_6") - (joined - (portRef (member BCOUT 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_7 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_7") - (joined - (portRef (member BCOUT 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_8 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_8") - (joined - (portRef (member BCOUT 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino_core_shl_Mmult_rq_0_mult0000_submult_01_BCIN_9 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_BCOUT_to_zpuino/core/shl/Mmult_rq_0_mult0000_submult_01_BCIN_9") - (joined - (portRef (member BCOUT 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member BCIN 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_0") - (joined - (portRef (member P 35) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_1") - (joined - (portRef (member P 34) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_10 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_10") - (joined - (portRef (member P 25) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_11 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_11") - (joined - (portRef (member P 24) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_12 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_12") - (joined - (portRef (member P 23) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_13 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_13") - (joined - (portRef (member P 22) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_14 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_14") - (joined - (portRef (member P 21) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_15 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_15") - (joined - (portRef (member P 20) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_16 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_16") - (joined - (portRef (member P 19) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_17 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_17") - (joined - (portRef (member P 18) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_18 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_18") - (joined - (portRef (member P 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_19 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_19") - (joined - (portRef (member P 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_2 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_2") - (joined - (portRef (member P 33) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_20 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_20") - (joined - (portRef (member P 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_21 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_21") - (joined - (portRef (member P 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_22 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_22") - (joined - (portRef (member P 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_23 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_23") - (joined - (portRef (member P 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_24 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_24") - (joined - (portRef (member P 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_25 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_25") - (joined - (portRef (member P 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_26 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_26") - (joined - (portRef (member P 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_27 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_27") - (joined - (portRef (member P 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_28 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_28") - (joined - (portRef (member P 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_29 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_29") - (joined - (portRef (member P 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_3 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_3") - (joined - (portRef (member P 32) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_30 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_30") - (joined - (portRef (member P 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_31 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_31") - (joined - (portRef (member P 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef I1 (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_00_Madd_lut_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_4 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_4") - (joined - (portRef (member P 31) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_5 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_5") - (joined - (portRef (member P 30) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_6 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_6") - (joined - (portRef (member P 29) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_7 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_7") - (joined - (portRef (member P 28) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_8 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_8") - (joined - (portRef (member P 27) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_9 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_0_P_to_Adder_A_9") - (joined - (portRef (member P 26) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef D (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_32") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_31__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_33") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_30__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_30__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_34") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_29__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_29__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_35") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_28__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_28__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_36") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_27__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_27__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_37") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_26__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_26__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_38") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_25__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_25__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_39") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_24__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_24__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_40") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_23__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_23__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_41") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_22__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_22__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_42") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_21__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_21__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_43") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_20__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_20__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_44") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_19__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_19__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_45") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_18__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_18__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_12_46") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342)) - (portRef I0 (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_lut_17__)) - (portRef DI (instanceRef zpuino_core_shl_Mmult_rq_0_mult00000_Madd_cy_17__)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_0 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_0") - (joined - (portRef (member P 35) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_1 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_1") - (joined - (portRef (member P 34) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_10 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_10") - (joined - (portRef (member P 25) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_11 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_11") - (joined - (portRef (member P 24) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_12 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_12") - (joined - (portRef (member P 23) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_13 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_13") - (joined - (portRef (member P 22) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_14 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_14") - (joined - (portRef (member P 21) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_2 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_2") - (joined - (portRef (member P 33) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_3 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_3") - (joined - (portRef (member P 32) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_4 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_4") - (joined - (portRef (member P 31) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_5 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_5") - (joined - (portRef (member P 30) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_6 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_6") - (joined - (portRef (member P 29) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_7 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_7") - (joined - (portRef (member P 28) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_8 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_8") - (joined - (portRef (member P 27) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350)) - ) - ) - (net (rename zpuino_core_shl_Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_9 "zpuino/core/shl/Mmult_rq_0_mult0000_submult_1_P_to_Adder_A_9") - (joined - (portRef (member P 26) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef D (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_0 "zpuino/core/shl/Mshreg_output_0") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef D (instanceRef zpuino_core_shl_output_0)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_1 "zpuino/core/shl/Mshreg_output_1") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef D (instanceRef zpuino_core_shl_output_1)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_10 "zpuino/core/shl/Mshreg_output_10") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef D (instanceRef zpuino_core_shl_output_10)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_11 "zpuino/core/shl/Mshreg_output_11") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef D (instanceRef zpuino_core_shl_output_11)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_12 "zpuino/core/shl/Mshreg_output_12") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef D (instanceRef zpuino_core_shl_output_12)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_13 "zpuino/core/shl/Mshreg_output_13") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef D (instanceRef zpuino_core_shl_output_13)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_14 "zpuino/core/shl/Mshreg_output_14") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef D (instanceRef zpuino_core_shl_output_14)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_15 "zpuino/core/shl/Mshreg_output_15") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef D (instanceRef zpuino_core_shl_output_15)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_16 "zpuino/core/shl/Mshreg_output_16") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef D (instanceRef zpuino_core_shl_output_16)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_17 "zpuino/core/shl/Mshreg_output_17") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef D (instanceRef zpuino_core_shl_output_17)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_18 "zpuino/core/shl/Mshreg_output_18") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef D (instanceRef zpuino_core_shl_output_18)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_19 "zpuino/core/shl/Mshreg_output_19") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef D (instanceRef zpuino_core_shl_output_19)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_2 "zpuino/core/shl/Mshreg_output_2") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef D (instanceRef zpuino_core_shl_output_2)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_20 "zpuino/core/shl/Mshreg_output_20") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef D (instanceRef zpuino_core_shl_output_20)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_21 "zpuino/core/shl/Mshreg_output_21") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef D (instanceRef zpuino_core_shl_output_21)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_22 "zpuino/core/shl/Mshreg_output_22") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef D (instanceRef zpuino_core_shl_output_22)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_23 "zpuino/core/shl/Mshreg_output_23") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef D (instanceRef zpuino_core_shl_output_23)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_24 "zpuino/core/shl/Mshreg_output_24") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef D (instanceRef zpuino_core_shl_output_24)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_25 "zpuino/core/shl/Mshreg_output_25") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef D (instanceRef zpuino_core_shl_output_25)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_26 "zpuino/core/shl/Mshreg_output_26") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef D (instanceRef zpuino_core_shl_output_26)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_27 "zpuino/core/shl/Mshreg_output_27") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef D (instanceRef zpuino_core_shl_output_27)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_28 "zpuino/core/shl/Mshreg_output_28") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef D (instanceRef zpuino_core_shl_output_28)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_29 "zpuino/core/shl/Mshreg_output_29") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef D (instanceRef zpuino_core_shl_output_29)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_3 "zpuino/core/shl/Mshreg_output_3") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef D (instanceRef zpuino_core_shl_output_3)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_30 "zpuino/core/shl/Mshreg_output_30") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef D (instanceRef zpuino_core_shl_output_30)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_31 "zpuino/core/shl/Mshreg_output_31") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef D (instanceRef zpuino_core_shl_output_31)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_4 "zpuino/core/shl/Mshreg_output_4") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef D (instanceRef zpuino_core_shl_output_4)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_5 "zpuino/core/shl/Mshreg_output_5") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef D (instanceRef zpuino_core_shl_output_5)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_6 "zpuino/core/shl/Mshreg_output_6") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef D (instanceRef zpuino_core_shl_output_6)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_7 "zpuino/core/shl/Mshreg_output_7") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef D (instanceRef zpuino_core_shl_output_7)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_8 "zpuino/core/shl/Mshreg_output_8") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef D (instanceRef zpuino_core_shl_output_8)) - ) - ) - (net (rename zpuino_core_shl_Mshreg_output_9 "zpuino/core/shl/Mshreg_output_9") - (joined - (portRef Q (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef D (instanceRef zpuino_core_shl_output_9)) - ) - ) - (net (rename zpuino_core_shl_d_0_ "zpuino/core/shl/d<0>") - (joined - (portRef Q (instanceRef zpuino_core_shl_d_0)) - (portRef I0 (instanceRef zpuino_core_shl_d_1_mux00011)) - ) - ) - (net (rename zpuino_core_shl_d_1_ "zpuino/core/shl/d<1>") - (joined - (portRef Q (instanceRef zpuino_core_shl_d_1)) - (portRef I0 (instanceRef zpuino_core_shl_d_2_mux00011)) - ) - ) - (net (rename zpuino_core_shl_d_1_mux0001 "zpuino/core/shl/d_1_mux0001") - (joined - (portRef D (instanceRef zpuino_core_shl_d_1)) - (portRef O (instanceRef zpuino_core_shl_d_1_mux00011)) - ) - ) - (net (rename zpuino_core_shl_d_2_ "zpuino/core/shl/d<2>") - (joined - (portRef Q (instanceRef zpuino_core_shl_d_2)) - (portRef D (instanceRef zpuino_core_shl_done_renamed_291)) - ) - ) - (net (rename zpuino_core_shl_d_2_mux0001 "zpuino/core/shl/d_2_mux0001") - (joined - (portRef D (instanceRef zpuino_core_shl_d_2)) - (portRef O (instanceRef zpuino_core_shl_d_2_mux00011)) - ) - ) - (net (rename zpuino_core_shl_done "zpuino/core/shl/done") - (joined - (portRef Q (instanceRef zpuino_core_shl_done_renamed_291)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd9_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd10_In1)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd14_In15_renamed_2172)) - (portRef I1 (instanceRef zpuino_core_exu_busy32_renamed_1641)) - ) - ) - (net (rename zpuino_core_shl_done_or0000 "zpuino/core/shl/done_or0000") - (joined - (portRef R (instanceRef zpuino_core_shl_done_renamed_291)) - (portRef O (instanceRef zpuino_core_shl_done_or00001)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_0_ "zpuino/core/shl/idx_mux0001<0>") - (joined - (portRef (member B 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_0_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_10_ "zpuino/core/shl/idx_mux0001<10>") - (joined - (portRef (member B 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_10_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_11_ "zpuino/core/shl/idx_mux0001<11>") - (joined - (portRef (member B 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_11_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_12_ "zpuino/core/shl/idx_mux0001<12>") - (joined - (portRef (member B 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_12_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_13_ "zpuino/core/shl/idx_mux0001<13>") - (joined - (portRef (member B 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_13_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_14_ "zpuino/core/shl/idx_mux0001<14>") - (joined - (portRef (member B 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_14_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_15_ "zpuino/core/shl/idx_mux0001<15>") - (joined - (portRef (member B 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_15_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_16_ "zpuino/core/shl/idx_mux0001<16>") - (joined - (portRef (member B 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_16_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_17_ "zpuino/core/shl/idx_mux0001<17>") - (joined - (portRef (member B 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_17_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_18_ "zpuino/core/shl/idx_mux0001<18>") - (joined - (portRef (member B 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_18_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_19_ "zpuino/core/shl/idx_mux0001<19>") - (joined - (portRef (member B 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_19_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_1_ "zpuino/core/shl/idx_mux0001<1>") - (joined - (portRef (member B 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_1_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_20_ "zpuino/core/shl/idx_mux0001<20>") - (joined - (portRef (member B 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_20_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_21_ "zpuino/core/shl/idx_mux0001<21>") - (joined - (portRef (member B 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_21_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_22_ "zpuino/core/shl/idx_mux0001<22>") - (joined - (portRef (member B 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_22_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_23_ "zpuino/core/shl/idx_mux0001<23>") - (joined - (portRef (member B 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_23_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_24_ "zpuino/core/shl/idx_mux0001<24>") - (joined - (portRef (member B 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_24_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_25_ "zpuino/core/shl/idx_mux0001<25>") - (joined - (portRef (member B 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_25_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_26_ "zpuino/core/shl/idx_mux0001<26>") - (joined - (portRef (member B 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_26_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_27_ "zpuino/core/shl/idx_mux0001<27>") - (joined - (portRef (member B 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_27_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_28_ "zpuino/core/shl/idx_mux0001<28>") - (joined - (portRef (member B 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_28_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_29_ "zpuino/core/shl/idx_mux0001<29>") - (joined - (portRef (member B 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_29_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_2_ "zpuino/core/shl/idx_mux0001<2>") - (joined - (portRef (member B 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_2_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_30_ "zpuino/core/shl/idx_mux0001<30>") - (joined - (portRef (member B 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_30_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_31_ "zpuino/core/shl/idx_mux0001<31>") - (joined - (portRef (member B 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef (member B 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef (member B 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef (member B 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_31_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_3_ "zpuino/core/shl/idx_mux0001<3>") - (joined - (portRef (member B 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_3_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_4_ "zpuino/core/shl/idx_mux0001<4>") - (joined - (portRef (member B 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_4_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_5_ "zpuino/core/shl/idx_mux0001<5>") - (joined - (portRef (member B 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_5_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_6_ "zpuino/core/shl/idx_mux0001<6>") - (joined - (portRef (member B 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_6_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_7_ "zpuino/core/shl/idx_mux0001<7>") - (joined - (portRef (member B 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_7_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_8_ "zpuino/core/shl/idx_mux0001<8>") - (joined - (portRef (member B 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_8_1)) - ) - ) - (net (rename zpuino_core_shl_idx_mux0001_9_ "zpuino/core/shl/idx_mux0001<9>") - (joined - (portRef (member B 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_9_1)) - ) - ) - (net (rename zpuino_core_shl_output_0_ "zpuino/core/shl/output<0>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - (portRef Q (instanceRef zpuino_core_shl_output_0)) - ) - ) - (net (rename zpuino_core_shl_output_1_ "zpuino/core/shl/output<1>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef Q (instanceRef zpuino_core_shl_output_1)) - ) - ) - (net (rename zpuino_core_shl_output_10_ "zpuino/core/shl/output<10>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef Q (instanceRef zpuino_core_shl_output_10)) - ) - ) - (net (rename zpuino_core_shl_output_11_ "zpuino/core/shl/output<11>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef Q (instanceRef zpuino_core_shl_output_11)) - ) - ) - (net (rename zpuino_core_shl_output_12_ "zpuino/core/shl/output<12>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef Q (instanceRef zpuino_core_shl_output_12)) - ) - ) - (net (rename zpuino_core_shl_output_13_ "zpuino/core/shl/output<13>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - (portRef Q (instanceRef zpuino_core_shl_output_13)) - ) - ) - (net (rename zpuino_core_shl_output_14_ "zpuino/core/shl/output<14>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef Q (instanceRef zpuino_core_shl_output_14)) - ) - ) - (net (rename zpuino_core_shl_output_15_ "zpuino/core/shl/output<15>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef Q (instanceRef zpuino_core_shl_output_15)) - ) - ) - (net (rename zpuino_core_shl_output_16_ "zpuino/core/shl/output<16>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef Q (instanceRef zpuino_core_shl_output_16)) - ) - ) - (net (rename zpuino_core_shl_output_17_ "zpuino/core/shl/output<17>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef Q (instanceRef zpuino_core_shl_output_17)) - ) - ) - (net (rename zpuino_core_shl_output_18_ "zpuino/core/shl/output<18>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef Q (instanceRef zpuino_core_shl_output_18)) - ) - ) - (net (rename zpuino_core_shl_output_19_ "zpuino/core/shl/output<19>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef Q (instanceRef zpuino_core_shl_output_19)) - ) - ) - (net (rename zpuino_core_shl_output_2_ "zpuino/core/shl/output<2>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - (portRef Q (instanceRef zpuino_core_shl_output_2)) - ) - ) - (net (rename zpuino_core_shl_output_20_ "zpuino/core/shl/output<20>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef Q (instanceRef zpuino_core_shl_output_20)) - ) - ) - (net (rename zpuino_core_shl_output_21_ "zpuino/core/shl/output<21>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef Q (instanceRef zpuino_core_shl_output_21)) - ) - ) - (net (rename zpuino_core_shl_output_22_ "zpuino/core/shl/output<22>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef Q (instanceRef zpuino_core_shl_output_22)) - ) - ) - (net (rename zpuino_core_shl_output_23_ "zpuino/core/shl/output<23>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef Q (instanceRef zpuino_core_shl_output_23)) - ) - ) - (net (rename zpuino_core_shl_output_24_ "zpuino/core/shl/output<24>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef Q (instanceRef zpuino_core_shl_output_24)) - ) - ) - (net (rename zpuino_core_shl_output_25_ "zpuino/core/shl/output<25>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef Q (instanceRef zpuino_core_shl_output_25)) - ) - ) - (net (rename zpuino_core_shl_output_26_ "zpuino/core/shl/output<26>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef Q (instanceRef zpuino_core_shl_output_26)) - ) - ) - (net (rename zpuino_core_shl_output_27_ "zpuino/core/shl/output<27>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux00023_renamed_2132)) - (portRef Q (instanceRef zpuino_core_shl_output_27)) - ) - ) - (net (rename zpuino_core_shl_output_28_ "zpuino/core/shl/output<28>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef Q (instanceRef zpuino_core_shl_output_28)) - ) - ) - (net (rename zpuino_core_shl_output_29_ "zpuino/core/shl/output<29>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef Q (instanceRef zpuino_core_shl_output_29)) - ) - ) - (net (rename zpuino_core_shl_output_3_ "zpuino/core/shl/output<3>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - (portRef Q (instanceRef zpuino_core_shl_output_3)) - ) - ) - (net (rename zpuino_core_shl_output_30_ "zpuino/core/shl/output<30>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef Q (instanceRef zpuino_core_shl_output_30)) - ) - ) - (net (rename zpuino_core_shl_output_31_ "zpuino/core/shl/output<31>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef Q (instanceRef zpuino_core_shl_output_31)) - ) - ) - (net (rename zpuino_core_shl_output_4_ "zpuino/core/shl/output<4>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - (portRef Q (instanceRef zpuino_core_shl_output_4)) - ) - ) - (net (rename zpuino_core_shl_output_5_ "zpuino/core/shl/output<5>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - (portRef Q (instanceRef zpuino_core_shl_output_5)) - ) - ) - (net (rename zpuino_core_shl_output_6_ "zpuino/core/shl/output<6>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - (portRef Q (instanceRef zpuino_core_shl_output_6)) - ) - ) - (net (rename zpuino_core_shl_output_7_ "zpuino/core/shl/output<7>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - (portRef Q (instanceRef zpuino_core_shl_output_7)) - ) - ) - (net (rename zpuino_core_shl_output_8_ "zpuino/core/shl/output<8>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef Q (instanceRef zpuino_core_shl_output_8)) - ) - ) - (net (rename zpuino_core_shl_output_9_ "zpuino/core/shl/output<9>") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef Q (instanceRef zpuino_core_shl_output_9)) - ) - ) - (net (rename zpuino_core_shl_output_not0001 "zpuino/core/shl/output_not0001") - (joined - (portRef CEP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef CEP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef CEP (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_32_renamed_327)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_31_renamed_328)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_30_renamed_329)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_29_renamed_330)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_28_renamed_331)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_27_renamed_332)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_26_renamed_333)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_25_renamed_334)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_24_renamed_335)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_23_renamed_336)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_22_renamed_337)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_21_renamed_338)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_20_renamed_339)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_19_renamed_340)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_02_18_renamed_341)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_46_renamed_342)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_45_renamed_343)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_44_renamed_344)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_43_renamed_345)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_42_renamed_346)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_41_renamed_347)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_40_renamed_348)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_39_renamed_349)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_38_renamed_350)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_37_renamed_351)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_36_renamed_352)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_35_renamed_353)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_34_renamed_354)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_33_renamed_355)) - (portRef CE (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_12_32_renamed_356)) - (portRef O (instanceRef zpuino_core_shl_output_not00011)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_2_renamed_2424)) - (portRef CE (instanceRef zpuino_core_shl_output_2)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_0_renamed_2425)) - (portRef CE (instanceRef zpuino_core_shl_output_0)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_1_renamed_2426)) - (portRef CE (instanceRef zpuino_core_shl_output_1)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_3_renamed_2427)) - (portRef CE (instanceRef zpuino_core_shl_output_3)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_4_renamed_2428)) - (portRef CE (instanceRef zpuino_core_shl_output_4)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_5_renamed_2429)) - (portRef CE (instanceRef zpuino_core_shl_output_5)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_6_renamed_2430)) - (portRef CE (instanceRef zpuino_core_shl_output_6)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_7_renamed_2431)) - (portRef CE (instanceRef zpuino_core_shl_output_7)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_8_renamed_2432)) - (portRef CE (instanceRef zpuino_core_shl_output_8)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_9_renamed_2433)) - (portRef CE (instanceRef zpuino_core_shl_output_9)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_10_renamed_2434)) - (portRef CE (instanceRef zpuino_core_shl_output_10)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_11_renamed_2435)) - (portRef CE (instanceRef zpuino_core_shl_output_11)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_12_renamed_2436)) - (portRef CE (instanceRef zpuino_core_shl_output_12)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_13_renamed_2437)) - (portRef CE (instanceRef zpuino_core_shl_output_13)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_14_renamed_2438)) - (portRef CE (instanceRef zpuino_core_shl_output_14)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_15_renamed_2439)) - (portRef CE (instanceRef zpuino_core_shl_output_15)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_16_renamed_2440)) - (portRef CE (instanceRef zpuino_core_shl_output_16)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_19_renamed_2441)) - (portRef CE (instanceRef zpuino_core_shl_output_19)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_17_renamed_2442)) - (portRef CE (instanceRef zpuino_core_shl_output_17)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_18_renamed_2443)) - (portRef CE (instanceRef zpuino_core_shl_output_18)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_20_renamed_2444)) - (portRef CE (instanceRef zpuino_core_shl_output_20)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_21_renamed_2445)) - (portRef CE (instanceRef zpuino_core_shl_output_21)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_22_renamed_2446)) - (portRef CE (instanceRef zpuino_core_shl_output_22)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_23_renamed_2447)) - (portRef CE (instanceRef zpuino_core_shl_output_23)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_24_renamed_2448)) - (portRef CE (instanceRef zpuino_core_shl_output_24)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_25_renamed_2449)) - (portRef CE (instanceRef zpuino_core_shl_output_25)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_26_renamed_2450)) - (portRef CE (instanceRef zpuino_core_shl_output_26)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_27_renamed_2451)) - (portRef CE (instanceRef zpuino_core_shl_output_27)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_28_renamed_2452)) - (portRef CE (instanceRef zpuino_core_shl_output_28)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_29_renamed_2453)) - (portRef CE (instanceRef zpuino_core_shl_output_29)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_30_renamed_2454)) - (portRef CE (instanceRef zpuino_core_shl_output_30)) - (portRef CE (instanceRef zpuino_core_shl_Mshreg_output_31_renamed_2455)) - (portRef CE (instanceRef zpuino_core_shl_output_31)) - ) - ) - (net (rename zpuino_core_stack_a_addr_10_215 "zpuino/core/stack_a_addr<10>215") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_10_240)) - ) - ) - (net (rename zpuino_core_stack_a_addr_10_236 "zpuino/core/stack_a_addr<10>236") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_236_renamed_1222)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_240)) - ) - ) - (net (rename zpuino_core_stack_a_addr_10_4 "zpuino/core/stack_a_addr<10>4") - (joined - (portRef I0 (instanceRef zpuino_core_stack_a_addr_10_14)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_10_41)) - ) - ) - (net (rename zpuino_core_stack_a_addr_2_4 "zpuino/core/stack_a_addr<2>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_2_14)) - ) - ) - (net (rename zpuino_core_stack_a_addr_2_5 "zpuino/core/stack_a_addr<2>5") - (joined - (portRef I3 (instanceRef zpuino_core_stack_a_addr_2_14)) - (portRef O (instanceRef zpuino_core_stack_a_addr_2_5_renamed_2102)) - ) - ) - (net (rename zpuino_core_stack_a_addr_3_4 "zpuino/core/stack_a_addr<3>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_3_14)) - ) - ) - (net (rename zpuino_core_stack_a_addr_3_5 "zpuino/core/stack_a_addr<3>5") - (joined - (portRef I3 (instanceRef zpuino_core_stack_a_addr_3_14)) - (portRef O (instanceRef zpuino_core_stack_a_addr_3_5_renamed_2101)) - ) - ) - (net (rename zpuino_core_stack_a_addr_4_4 "zpuino/core/stack_a_addr<4>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_4_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_4_9 "zpuino/core/stack_a_addr<4>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_4_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_4_9_renamed_2309)) - ) - ) - (net (rename zpuino_core_stack_a_addr_5_4 "zpuino/core/stack_a_addr<5>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_5_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_5_9 "zpuino/core/stack_a_addr<5>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_5_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_5_9_renamed_2308)) - ) - ) - (net (rename zpuino_core_stack_a_addr_6_4 "zpuino/core/stack_a_addr<6>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_6_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_6_9 "zpuino/core/stack_a_addr<6>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_6_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_6_9_renamed_2307)) - ) - ) - (net (rename zpuino_core_stack_a_addr_7_4 "zpuino/core/stack_a_addr<7>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_7_4_renamed_1224)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_7_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_7_9 "zpuino/core/stack_a_addr<7>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_7_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - ) - ) - (net (rename zpuino_core_stack_a_addr_8_4 "zpuino/core/stack_a_addr<8>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_8_4_renamed_1228)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_8_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_8_9 "zpuino/core/stack_a_addr<8>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_8_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - ) - ) - (net (rename zpuino_core_stack_a_addr_9_4 "zpuino/core/stack_a_addr<9>4") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_9_4_renamed_1236)) - (portRef I0 (instanceRef zpuino_core_stack_a_addr_9_10)) - ) - ) - (net (rename zpuino_core_stack_a_addr_9_9 "zpuino/core/stack_a_addr<9>9") - (joined - (portRef I1 (instanceRef zpuino_core_stack_a_addr_9_10)) - (portRef LO (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_0_ "zpuino/core/stack_a_addr_addsub0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_0__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_2_4_renamed_1220)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_1_ "zpuino/core/stack_a_addr_addsub0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_1__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_3_4_renamed_1219)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_2_ "zpuino/core/stack_a_addr_addsub0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_2__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_4_4_renamed_1218)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_3_ "zpuino/core/stack_a_addr_addsub0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_3__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_5_4_renamed_1217)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_4_ "zpuino/core/stack_a_addr_addsub0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_4__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_6_4_renamed_1216)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_5_ "zpuino/core/stack_a_addr_addsub0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_5__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_7_9_renamed_2310)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_6_ "zpuino/core/stack_a_addr_addsub0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_6__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_8_9_renamed_2311)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_7_ "zpuino/core/stack_a_addr_addsub0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_7__)) - (portRef I1 (instanceRef zpuino_core_stack_a_addr_9_9_renamed_2312)) - ) - ) - (net (rename zpuino_core_stack_a_addr_addsub0000_8_ "zpuino/core/stack_a_addr_addsub0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_a_addr_addsub0000_xor_8__)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_14_SW0)) - ) - ) - (net (rename zpuino_core_stack_a_addr_or0000 "zpuino/core/stack_a_addr_or0000") - (joined - (portRef I2 (instanceRef zpuino_core_exr_wb_we_mux000014_renamed_1128)) - (portRef I1 (instanceRef zpuino_core_exr_state_FSM_FFd5_In1)) - (portRef I2 (instanceRef zpuino_core_stack_a_enable_SW1)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I3 (instanceRef zpuino_core_stack_a_addr_10_215_renamed_1221)) - (portRef O (instanceRef zpuino_core_stack_a_addr_or00001)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd6_In1)) - (portRef S (instanceRef zpuino_core_stack_a_write_0_1_f5)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_11)) - ) - ) - (net (rename zpuino_core_stack_a_write_0_1 "zpuino/core/stack_a_write<0>1") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0_11_renamed_2251)) - (portRef I1 (instanceRef zpuino_core_stack_a_write_0_1_f5)) - ) - ) - (net (rename zpuino_core_stack_a_write_0_11 "zpuino/core/stack_a_write<0>11") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0_12)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0_1_f5)) - ) - ) - (net (rename zpuino_core_stack_a_write_31_13 "zpuino/core/stack_a_write<31>13") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef I2 (instanceRef zpuino_core_stack_a_write_31_29)) - ) - ) - (net (rename zpuino_core_stack_a_write_31_2 "zpuino/core/stack_a_write<31>2") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_31_2_renamed_1243)) - (portRef I3 (instanceRef zpuino_core_stack_a_write_31_29)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_0_ "zpuino/core/stack_b_addr_add0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_0__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_2_1)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_2_43_SW0)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_1_ "zpuino/core/stack_b_addr_add0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_1__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_3_1)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_3_63_SW1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_2_ "zpuino/core/stack_b_addr_add0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_2__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_4_1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_4_891)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_3_ "zpuino/core/stack_b_addr_add0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_3__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_5_1)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_5_70_renamed_1235)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_4_ "zpuino/core/stack_b_addr_add0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_4__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_6_1)) - (portRef S (instanceRef zpuino_core_prefr_spnext_mux0000_6_88_f5)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_5_ "zpuino/core/stack_b_addr_add0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_5__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_7_1)) - (portRef I2 (instanceRef zpuino_core_prefr_spnext_mux0000_7_891)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_6_ "zpuino/core/stack_b_addr_add0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_6__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_8_1)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_8_5_renamed_1239)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_7_ "zpuino/core/stack_b_addr_add0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_7__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_9_1)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_9_21_renamed_1246)) - ) - ) - (net (rename zpuino_core_stack_b_addr_add0000_8_ "zpuino/core/stack_b_addr_add0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_add0000_xor_8__)) - (portRef I2 (instanceRef zpuino_core_stack_b_addr_10_1)) - (portRef I3 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_F)) - (portRef I1 (instanceRef zpuino_core_prefr_spnext_mux0000_10_24_G)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_0_ "zpuino/core/stack_b_addr_addsub0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_0__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_2_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_1_ "zpuino/core/stack_b_addr_addsub0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_1__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_3_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_2_ "zpuino/core/stack_b_addr_addsub0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_2__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_4_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_3_ "zpuino/core/stack_b_addr_addsub0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_3__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_5_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_4_ "zpuino/core/stack_b_addr_addsub0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_4__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_6_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_5_ "zpuino/core/stack_b_addr_addsub0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_5__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_7_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_6_ "zpuino/core/stack_b_addr_addsub0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_6__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_8_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_7_ "zpuino/core/stack_b_addr_addsub0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_7__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_9_1)) - ) - ) - (net (rename zpuino_core_stack_b_addr_addsub0000_8_ "zpuino/core/stack_b_addr_addsub0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_stack_b_addr_addsub0000_xor_8__)) - (portRef I1 (instanceRef zpuino_core_stack_b_addr_10_1)) - ) - ) - (net (rename zpuino_core_stack_b_enable20 "zpuino/core/stack_b_enable20") - (joined - (portRef O (instanceRef zpuino_core_stack_b_enable20_renamed_1141)) - (portRef I2 (instanceRef zpuino_core_stack_b_enable31_renamed_1142)) - ) - ) - (net (rename zpuino_core_stack_b_enable31 "zpuino/core/stack_b_enable31") - (joined - (portRef O (instanceRef zpuino_core_stack_b_enable31_renamed_1142)) - (portRef I3 (instanceRef zpuino_core_stack_b_enable40)) - ) - ) - (net (rename zpuino_core_stack_b_enable7 "zpuino/core/stack_b_enable7") - (joined - (portRef O (instanceRef zpuino_core_stack_b_enable7_renamed_1140)) - (portRef I1 (instanceRef zpuino_core_stack_b_enable31_renamed_1142)) - ) - ) - (net (rename zpuino_core_tOpcode_0_ "zpuino/core/tOpcode<0>") - (joined - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_15_1)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_14_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_0_29)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_0_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_0_2)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I3 (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_F)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux0000121)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_23__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_22__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354)) - (portRef I0 (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - (portRef I1 (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0)) - ) - ) - (net (rename zpuino_core_tOpcode_1_ "zpuino/core/tOpcode<1>") - (joined - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10__)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux0000121)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_1_29)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_1_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_1_1)) - (portRef S (instanceRef zpuino_core_sampledStackOperation_or000382)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354)) - (portRef I2 (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000311)) - (portRef I0 (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - (portRef I0 (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0)) - ) - ) - (net (rename zpuino_core_tOpcode_2_ "zpuino/core/tOpcode<2>") - (joined - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_2_29)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_2_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_2_1)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I3 (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I2 (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_F)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux0000121)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_16_5_renamed_2354)) - (portRef I1 (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000311)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - (portRef I2 (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000331)) - (portRef I3 (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - ) - ) - (net (rename zpuino_core_tOpcode_3_ "zpuino/core/tOpcode<3>") - (joined - (portRef I3 (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_8__SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_7__SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_6__SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_11__SW0_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_4_111)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_3_29)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_3_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_3_1)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_G)) - (portRef S (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_9_131_renamed_2281)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_31__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_19__SW0)) - (portRef I3 (instanceRef zpuino_core_Mrom_sampledDecodedOpcode_mux0024141)) - (portRef I2 (instanceRef zpuino_core_sampledStackOperation_or000311)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10_31)) - (portRef I0 (instanceRef zpuino_core_sampledTosSource_cmp_eq00031)) - (portRef I3 (instanceRef zpuino_core_sampledTosSource_cmp_eq000411)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - (portRef I1 (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - ) - ) - (net (rename zpuino_core_tOpcode_4_ "zpuino/core/tOpcode<4>") - (joined - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_4_29)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_1_14_renamed_1924)) - (portRef I3 (instanceRef zpuino_core_decr_spOffset_mux0000_4_1)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_4_1)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000382_F)) - (portRef I1 (instanceRef zpuino_core_sampledStackOperation_or000382_G)) - (portRef S (instanceRef zpuino_core_decr_tosSource_mux0000_1_46_renamed_2214)) - (portRef I1 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_F)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_SW0_G)) - (portRef S (instanceRef zpuino_core_decr_tosSource_mux0000_9_13_f5)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW02)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_33__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_32__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_30__SW0)) - (portRef I2 (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_core_sampledTosSource_cmp_eq00042)) - (portRef I1 (instanceRef zpuino_core_sampledTosSource_cmp_eq00031)) - (portRef I0 (instanceRef zpuino_core_sampledStackOperation_or000331)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - (portRef I2 (instanceRef zpuino_core_sampledDecodedOpcode_and00001)) - ) - ) - (net (rename zpuino_core_tOpcode_5_ "zpuino/core/tOpcode<5>") - (joined - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - (portRef I2 (instanceRef zpuino_core_decr_opWillFreeze_mux000052_renamed_1879)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_5_29)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_renamed_1914)) - (portRef I3 (instanceRef zpuino_core_decr_opWillFreeze_mux000092_SW0)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_5_1)) - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW0_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000037_renamed_2211)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW01_renamed_2290)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_11)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - (portRef I3 (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - (portRef I1 (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net (rename zpuino_core_tOpcode_6_ "zpuino/core/tOpcode<6>") - (joined - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_12_11)) - (portRef I1 (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_47_renamed_1642)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_4_8_renamed_1672)) - (portRef I1 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_23_renamed_1673)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_6_29)) - (portRef I3 (instanceRef zpuino_core_decr_opcode_mux0000_6_1)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW0)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef S (instanceRef zpuino_core_decr_stackOperation_mux0000_2_110_SW0_f5)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10_21)) - (portRef I0 (instanceRef zpuino_core_decr_opWillFreeze_mux000096_renamed_2306)) - (portRef I1 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_8_11)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4_11)) - (portRef I1 (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_26_renamed_2380)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_10_41)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_2_34_SW0)) - (portRef I0 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_4__SW0)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_17__SW0)) - (portRef I2 (instanceRef zpuino_core_decr_tosSource_mux0000_4_52_SW0)) - ) - ) - (net (rename zpuino_core_tOpcode_7_ "zpuino/core/tOpcode<7>") - (joined - (portRef I3 (instanceRef zpuino_core_decr_tosSource_mux0000_3_1)) - (portRef I2 (instanceRef zpuino_core_decr_decodedOpcode_mux0000_1_11)) - (portRef O (instanceRef zpuino_core_tOpcode_mux0001_7_29)) - (portRef I3 (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I3 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - (portRef I0 (instanceRef zpuino_core_decr_tosSource_mux0000_16_25_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_3_42_SW1)) - (portRef I0 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_62_renamed_1674)) - (portRef I1 (instanceRef zpuino_core_decr_tosSource_mux0000_10_11)) - (portRef I0 (instanceRef zpuino_core_sampledDecodedOpcode_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_core_decr_break_mux000027_renamed_2387)) - ) - ) - (net (rename zpuino_core_w1_nos_save_0_mux0000 "zpuino/core/w1_nos_save_0_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_0_renamed_95)) - (portRef (member A 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_0_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_0_mux000013 "zpuino/core/w1_nos_save_0_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_0_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_10_mux0000 "zpuino/core/w1_nos_save_10_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_10_renamed_105)) - (portRef (member A 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_10_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_10_mux000013 "zpuino/core/w1_nos_save_10_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_10_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_11_mux0000 "zpuino/core/w1_nos_save_11_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_11_renamed_106)) - (portRef (member A 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_11_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_11_mux000013 "zpuino/core/w1_nos_save_11_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_11_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_12_mux0000 "zpuino/core/w1_nos_save_12_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_12_renamed_107)) - (portRef (member A 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_12_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_12_mux000013 "zpuino/core/w1_nos_save_12_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_12_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_13_mux0000 "zpuino/core/w1_nos_save_13_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_13_renamed_108)) - (portRef (member A 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_13_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_13_mux000013 "zpuino/core/w1_nos_save_13_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_13_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_14_mux0000 "zpuino/core/w1_nos_save_14_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_14_renamed_109)) - (portRef (member A 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_14_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_14_mux000013 "zpuino/core/w1_nos_save_14_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_14_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_15_mux0000 "zpuino/core/w1_nos_save_15_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_15_renamed_110)) - (portRef (member A 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_15_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_15_mux000013 "zpuino/core/w1_nos_save_15_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_15_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_16_mux0000 "zpuino/core/w1_nos_save_16_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_16_renamed_111)) - (portRef (member A 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_16_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_16_mux000013 "zpuino/core/w1_nos_save_16_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_16_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_17_mux0000 "zpuino/core/w1_nos_save_17_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_17_renamed_112)) - (portRef (member A 17) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_17_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_17_mux000013 "zpuino/core/w1_nos_save_17_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_17_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_18_mux0000 "zpuino/core/w1_nos_save_18_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_18_renamed_113)) - (portRef (member A 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_18_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_18_mux000013 "zpuino/core/w1_nos_save_18_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_18_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_19_mux0000 "zpuino/core/w1_nos_save_19_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_19_renamed_114)) - (portRef (member A 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_19_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_19_mux000013 "zpuino/core/w1_nos_save_19_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_19_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_1_mux0000 "zpuino/core/w1_nos_save_1_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_1_renamed_96)) - (portRef (member A 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 16) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_1_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_1_mux000013 "zpuino/core/w1_nos_save_1_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_1_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_20_mux0000 "zpuino/core/w1_nos_save_20_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_20_renamed_115)) - (portRef (member A 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_20_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_20_mux000013 "zpuino/core/w1_nos_save_20_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_20_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_21_mux0000 "zpuino/core/w1_nos_save_21_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_21_renamed_116)) - (portRef (member A 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_21_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_21_mux000013 "zpuino/core/w1_nos_save_21_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_21_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_22_mux0000 "zpuino/core/w1_nos_save_22_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_22_renamed_117)) - (portRef (member A 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_22_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_22_mux000013 "zpuino/core/w1_nos_save_22_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_22_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_23_mux0000 "zpuino/core/w1_nos_save_23_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_23_renamed_118)) - (portRef (member A 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_23_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_23_mux000013 "zpuino/core/w1_nos_save_23_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_23_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_24_mux0000 "zpuino/core/w1_nos_save_24_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_24_renamed_119)) - (portRef (member A 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_24_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_24_mux000013 "zpuino/core/w1_nos_save_24_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_24_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_25_mux0000 "zpuino/core/w1_nos_save_25_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_25_renamed_120)) - (portRef (member A 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_25_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_25_mux000013 "zpuino/core/w1_nos_save_25_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_25_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_26_mux0000 "zpuino/core/w1_nos_save_26_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_26_renamed_121)) - (portRef (member A 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_26_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_26_mux000013 "zpuino/core/w1_nos_save_26_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_26_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_27_mux0000 "zpuino/core/w1_nos_save_27_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_27_renamed_122)) - (portRef (member A 7) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_27_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_27_mux000013 "zpuino/core/w1_nos_save_27_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_27_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_28_mux0000 "zpuino/core/w1_nos_save_28_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_28_renamed_123)) - (portRef (member A 6) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_28_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_28_mux000013 "zpuino/core/w1_nos_save_28_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_28_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_29_mux0000 "zpuino/core/w1_nos_save_29_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_29_renamed_124)) - (portRef (member A 5) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_29_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_29_mux000013 "zpuino/core/w1_nos_save_29_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_29_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_2_mux0000 "zpuino/core/w1_nos_save_2_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_2_renamed_97)) - (portRef (member A 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 15) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_2_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_2_mux000013 "zpuino/core/w1_nos_save_2_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_2_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_30_mux0000 "zpuino/core/w1_nos_save_30_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_30_renamed_125)) - (portRef (member A 4) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_30_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_30_mux000013 "zpuino/core/w1_nos_save_30_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_30_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_31_mux0000 "zpuino/core/w1_nos_save_31_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_31_renamed_126)) - (portRef (member A 3) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef (member A 2) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef (member A 1) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef (member A 0) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_01)) - (portRef O (instanceRef zpuino_core_w1_nos_save_31_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_31_mux000013 "zpuino/core/w1_nos_save_31_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_31_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_3_mux0000 "zpuino/core/w1_nos_save_3_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_3_renamed_98)) - (portRef (member A 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 14) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_3_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_3_mux000013 "zpuino/core/w1_nos_save_3_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_3_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_4_mux0000 "zpuino/core/w1_nos_save_4_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_4_renamed_99)) - (portRef (member A 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 13) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_4_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_4_mux000013 "zpuino/core/w1_nos_save_4_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_4_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_5_mux0000 "zpuino/core/w1_nos_save_5_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_5_renamed_100)) - (portRef (member A 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 12) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_5_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_5_mux000013 "zpuino/core/w1_nos_save_5_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_5_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_6_mux0000 "zpuino/core/w1_nos_save_6_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_6_renamed_101)) - (portRef (member A 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 11) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_6_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_6_mux000013 "zpuino/core/w1_nos_save_6_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_6_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_7_mux0000 "zpuino/core/w1_nos_save_7_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_7_renamed_102)) - (portRef (member A 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 10) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_7_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_7_mux000013 "zpuino/core/w1_nos_save_7_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_7_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_8_mux0000 "zpuino/core/w1_nos_save_8_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_8_renamed_103)) - (portRef (member A 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 9) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_8_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_8_mux000013 "zpuino/core/w1_nos_save_8_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_8_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_nos_save_9_mux0000 "zpuino/core/w1_nos_save_9_mux0000") - (joined - (portRef D (instanceRef zpuino_core_exr_nos_save_9_renamed_104)) - (portRef (member A 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_0)) - (portRef (member A 8) (instanceRef zpuino_core_shl_Mmult_rq_0_mult0000_submult_1)) - (portRef O (instanceRef zpuino_core_w1_nos_save_9_mux000024)) - ) - ) - (net (rename zpuino_core_w1_nos_save_9_mux000013 "zpuino/core/w1_nos_save_9_mux000013") - (joined - (portRef O (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I2 (instanceRef zpuino_core_w1_nos_save_9_mux000024_F)) - (portRef I3 (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002 "zpuino/core/w1_tos_0_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_0_renamed_46)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002207)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002101 "zpuino/core/w1_tos_0_mux0002101") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002125 "zpuino/core/w1_tos_0_mux0002125") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000214 "zpuino/core/w1_tos_0_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002149 "zpuino/core/w1_tos_0_mux0002149") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002158 "zpuino/core/w1_tos_0_mux0002158") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002158_renamed_1682)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002173 "zpuino/core/w1_tos_0_mux0002173") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002207)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux0002173_renamed_2383)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux0002182 "zpuino/core/w1_tos_0_mux0002182") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002207)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux0002182_renamed_1878)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000223 "zpuino/core/w1_tos_0_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000223_renamed_1676)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000235 "zpuino/core/w1_tos_0_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000236 "zpuino/core/w1_tos_0_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000236_renamed_2162)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024 "zpuino/core/w1_tos_0_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux00024_renamed_2381)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024120 "zpuino/core/w1_tos_0_mux00024120") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00024120_f5)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000241103)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000241201 "zpuino/core/w1_tos_0_mux000241201") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000241201_renamed_2258)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux00024120_f5)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024135 "zpuino/core/w1_tos_0_mux00024135") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00024135_renamed_1400)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000241103_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024161 "zpuino/core/w1_tos_0_mux00024161") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000241103_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux00024161_renamed_2315)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00024174 "zpuino/core/w1_tos_0_mux00024174") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00024174_renamed_1401)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000241103_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000242 "zpuino/core/w1_tos_0_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000242_renamed_1677)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00025 "zpuino/core/w1_tos_0_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux000214_renamed_1675)) - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux00025125 "zpuino/core/w1_tos_0_mux00025125") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux00025125_renamed_1245)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00025138_F)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000256 "zpuino/core/w1_tos_0_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - (portRef LO (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000268 "zpuino/core/w1_tos_0_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000268_renamed_1678)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002207)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000281 "zpuino/core/w1_tos_0_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000281_renamed_1679)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - ) - ) - (net (rename zpuino_core_w1_tos_0_mux000289 "zpuino/core/w1_tos_0_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_0_mux000289_renamed_1680)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux0002101_renamed_1681)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux0002 "zpuino/core/w1_tos_10_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_10_renamed_56)) - (portRef O (instanceRef zpuino_core_w1_tos_10_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux00020 "zpuino/core/w1_tos_10_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000210 "zpuino/core/w1_tos_10_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000210_renamed_2178)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux0002119 "zpuino/core/w1_tos_10_mux0002119") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux0002143 "zpuino/core/w1_tos_10_mux0002143") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002164)) - (portRef LO (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000226 "zpuino/core/w1_tos_10_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - (portRef LO (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000239 "zpuino/core/w1_tos_10_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000251 "zpuino/core/w1_tos_10_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000251_renamed_1532)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000264 "zpuino/core/w1_tos_10_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000264_renamed_1533)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000272 "zpuino/core/w1_tos_10_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000272_renamed_1534)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000285 "zpuino/core/w1_tos_10_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000285_renamed_1535)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000286 "zpuino/core/w1_tos_10_mux000286") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000286_renamed_1536)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - ) - ) - (net (rename zpuino_core_w1_tos_10_mux000294 "zpuino/core/w1_tos_10_mux000294") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_10_mux000294_renamed_1537)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002 "zpuino/core/w1_tos_11_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_11_renamed_57)) - (portRef O (instanceRef zpuino_core_w1_tos_11_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux00020 "zpuino/core/w1_tos_11_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000210 "zpuino/core/w1_tos_11_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000210_renamed_2179)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002101 "zpuino/core/w1_tos_11_mux0002101") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002111 "zpuino/core/w1_tos_11_mux0002111") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002126 "zpuino/core/w1_tos_11_mux0002126") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - (portRef LO (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux0002149 "zpuino/core/w1_tos_11_mux0002149") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000226 "zpuino/core/w1_tos_11_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - (portRef LO (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000239 "zpuino/core/w1_tos_11_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000239_renamed_1551)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000251 "zpuino/core/w1_tos_11_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000251_renamed_1552)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000264 "zpuino/core/w1_tos_11_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000264_renamed_1553)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000273_renamed_1554)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000272 "zpuino/core/w1_tos_11_mux000272") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux000273_renamed_1554)) - (portRef LO (instanceRef zpuino_core_w1_tos_11_mux000272_renamed_2355)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000273 "zpuino/core/w1_tos_11_mux000273") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000273_renamed_1554)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - ) - ) - (net (rename zpuino_core_w1_tos_11_mux000285 "zpuino/core/w1_tos_11_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_11_mux000285_renamed_1555)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002 "zpuino/core/w1_tos_12_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_12_renamed_58)) - (portRef O (instanceRef zpuino_core_w1_tos_12_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux00020 "zpuino/core/w1_tos_12_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000210 "zpuino/core/w1_tos_12_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000210_renamed_2180)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002101 "zpuino/core/w1_tos_12_mux0002101") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002111 "zpuino/core/w1_tos_12_mux0002111") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - (portRef O (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002126 "zpuino/core/w1_tos_12_mux0002126") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux0002149 "zpuino/core/w1_tos_12_mux0002149") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002158)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000226 "zpuino/core/w1_tos_12_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000239 "zpuino/core/w1_tos_12_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000239_renamed_1558)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000251 "zpuino/core/w1_tos_12_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000251_renamed_1559)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000264 "zpuino/core/w1_tos_12_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000264_renamed_1560)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000273_renamed_1561)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000272 "zpuino/core/w1_tos_12_mux000272") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux000273_renamed_1561)) - (portRef LO (instanceRef zpuino_core_w1_tos_12_mux000272_renamed_2357)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000273 "zpuino/core/w1_tos_12_mux000273") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000273_renamed_1561)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - ) - ) - (net (rename zpuino_core_w1_tos_12_mux000285 "zpuino/core/w1_tos_12_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_12_mux000285_renamed_1562)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002 "zpuino/core/w1_tos_13_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_13_renamed_59)) - (portRef O (instanceRef zpuino_core_w1_tos_13_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux00020 "zpuino/core/w1_tos_13_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000210 "zpuino/core/w1_tos_13_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000210_renamed_2181)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002101 "zpuino/core/w1_tos_13_mux0002101") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002111 "zpuino/core/w1_tos_13_mux0002111") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002126 "zpuino/core/w1_tos_13_mux0002126") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - (portRef LO (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux0002149 "zpuino/core/w1_tos_13_mux0002149") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000226 "zpuino/core/w1_tos_13_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - (portRef LO (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000239 "zpuino/core/w1_tos_13_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000239_renamed_1564)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000251 "zpuino/core/w1_tos_13_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000251_renamed_1565)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002158)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000264 "zpuino/core/w1_tos_13_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000264_renamed_1566)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000273_renamed_1567)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000272 "zpuino/core/w1_tos_13_mux000272") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux000273_renamed_1567)) - (portRef LO (instanceRef zpuino_core_w1_tos_13_mux000272_renamed_2360)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000273 "zpuino/core/w1_tos_13_mux000273") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000273_renamed_1567)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - ) - ) - (net (rename zpuino_core_w1_tos_13_mux000285 "zpuino/core/w1_tos_13_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_13_mux000285_renamed_1568)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux0002 "zpuino/core/w1_tos_14_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_14_renamed_60)) - (portRef O (instanceRef zpuino_core_w1_tos_14_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux00020 "zpuino/core/w1_tos_14_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000210 "zpuino/core/w1_tos_14_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000210_renamed_2182)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux0002108 "zpuino/core/w1_tos_14_mux0002108") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux0002131 "zpuino/core/w1_tos_14_mux0002131") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux0002140)) - (portRef LO (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000226 "zpuino/core/w1_tos_14_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - (portRef LO (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000239 "zpuino/core/w1_tos_14_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000239_renamed_1571)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000251 "zpuino/core/w1_tos_14_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000251_renamed_1572)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000264 "zpuino/core/w1_tos_14_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000264_renamed_1573)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000272 "zpuino/core/w1_tos_14_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000272_renamed_1574)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000284 "zpuino/core/w1_tos_14_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - ) - ) - (net (rename zpuino_core_w1_tos_14_mux000292 "zpuino/core/w1_tos_14_mux000292") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - (portRef O (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux0002 "zpuino/core/w1_tos_15_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_15_renamed_61)) - (portRef O (instanceRef zpuino_core_w1_tos_15_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux00020 "zpuino/core/w1_tos_15_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000210 "zpuino/core/w1_tos_15_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000210_renamed_2183)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux0002108 "zpuino/core/w1_tos_15_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - (portRef LO (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux0002131 "zpuino/core/w1_tos_15_mux0002131") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000226 "zpuino/core/w1_tos_15_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - (portRef LO (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000239 "zpuino/core/w1_tos_15_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000239_renamed_1577)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000251 "zpuino/core/w1_tos_15_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000251_renamed_1578)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000264 "zpuino/core/w1_tos_15_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000264_renamed_1579)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000272 "zpuino/core/w1_tos_15_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000272_renamed_1580)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000284 "zpuino/core/w1_tos_15_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - ) - ) - (net (rename zpuino_core_w1_tos_15_mux000292 "zpuino/core/w1_tos_15_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux0002 "zpuino/core/w1_tos_16_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_16_renamed_62)) - (portRef O (instanceRef zpuino_core_w1_tos_16_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux00020 "zpuino/core/w1_tos_16_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000210 "zpuino/core/w1_tos_16_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000210_renamed_2184)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux0002103 "zpuino/core/w1_tos_16_mux0002103") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux0002127 "zpuino/core/w1_tos_16_mux0002127") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux0002136)) - (portRef LO (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000226 "zpuino/core/w1_tos_16_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - (portRef LO (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000239 "zpuino/core/w1_tos_16_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000239_renamed_1583)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000251 "zpuino/core/w1_tos_16_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000251_renamed_1584)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000267 "zpuino/core/w1_tos_16_mux000267") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000267_renamed_1585)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000270 "zpuino/core/w1_tos_16_mux000270") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000270_renamed_1586)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000281 "zpuino/core/w1_tos_16_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - ) - ) - (net (rename zpuino_core_w1_tos_16_mux000288 "zpuino/core/w1_tos_16_mux000288") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - (portRef O (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux0002 "zpuino/core/w1_tos_17_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_17_renamed_63)) - (portRef O (instanceRef zpuino_core_w1_tos_17_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux00020 "zpuino/core/w1_tos_17_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000210 "zpuino/core/w1_tos_17_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000210_renamed_2185)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux0002108 "zpuino/core/w1_tos_17_mux0002108") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux0002131 "zpuino/core/w1_tos_17_mux0002131") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux0002140)) - (portRef LO (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000226 "zpuino/core/w1_tos_17_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - (portRef LO (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000239 "zpuino/core/w1_tos_17_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000239_renamed_1589)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000251 "zpuino/core/w1_tos_17_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000251_renamed_1590)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000264 "zpuino/core/w1_tos_17_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000264_renamed_1591)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000272 "zpuino/core/w1_tos_17_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000272_renamed_1592)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000284 "zpuino/core/w1_tos_17_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - ) - ) - (net (rename zpuino_core_w1_tos_17_mux000292 "zpuino/core/w1_tos_17_mux000292") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - (portRef O (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux0002 "zpuino/core/w1_tos_18_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_18_renamed_64)) - (portRef O (instanceRef zpuino_core_w1_tos_18_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux00020 "zpuino/core/w1_tos_18_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000210 "zpuino/core/w1_tos_18_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000210_renamed_2186)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux0002108 "zpuino/core/w1_tos_18_mux0002108") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux0002131 "zpuino/core/w1_tos_18_mux0002131") - (joined - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux0002140)) - (portRef LO (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000226 "zpuino/core/w1_tos_18_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - (portRef LO (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000239 "zpuino/core/w1_tos_18_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000239_renamed_1595)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000251 "zpuino/core/w1_tos_18_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000251_renamed_1596)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000264 "zpuino/core/w1_tos_18_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000264_renamed_1597)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000272 "zpuino/core/w1_tos_18_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000272_renamed_1598)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000284 "zpuino/core/w1_tos_18_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - ) - ) - (net (rename zpuino_core_w1_tos_18_mux000292 "zpuino/core/w1_tos_18_mux000292") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - (portRef O (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux0002 "zpuino/core/w1_tos_19_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_19_renamed_65)) - (portRef O (instanceRef zpuino_core_w1_tos_19_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux00020 "zpuino/core/w1_tos_19_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000210 "zpuino/core/w1_tos_19_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000210_renamed_2187)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux0002103 "zpuino/core/w1_tos_19_mux0002103") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - (portRef LO (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux0002127 "zpuino/core/w1_tos_19_mux0002127") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000226 "zpuino/core/w1_tos_19_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - (portRef LO (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000239 "zpuino/core/w1_tos_19_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000239_renamed_1601)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000251 "zpuino/core/w1_tos_19_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000251_renamed_1602)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux0002136)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000267 "zpuino/core/w1_tos_19_mux000267") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000267_renamed_1603)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000270 "zpuino/core/w1_tos_19_mux000270") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000270_renamed_1604)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000281 "zpuino/core/w1_tos_19_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - ) - ) - (net (rename zpuino_core_w1_tos_19_mux000288 "zpuino/core/w1_tos_19_mux000288") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - ) - ) - (net (rename zpuino_core_w1_tos_1_cmp_eq0000 "zpuino/core/w1_tos_1_cmp_eq0000") - (joined - (portRef I1 (instanceRef zpuino_core_w1_nos_save_7_mux000013_renamed_1184)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_6_mux000013_renamed_1185)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_5_mux000013_renamed_1186)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_4_mux000013_renamed_1187)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_3_mux000013_renamed_1188)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_2_mux000013_renamed_1189)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_1_mux000013_renamed_1190)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_0_mux000013_renamed_1191)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_11_11)) - ) - ) - (net (rename zpuino_core_w1_tos_1_cmp_eq0001 "zpuino/core/w1_tos_1_cmp_eq0001") - (joined - (portRef I1 (instanceRef zpuino_core_w1_nos_save_9_mux000013_renamed_1192)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_8_mux000013_renamed_1193)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_15_mux000013_renamed_1210)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_14_mux000013_renamed_1211)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_13_mux000013_renamed_1212)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_12_mux000013_renamed_1213)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_11_mux000013_renamed_1214)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_10_mux000013_renamed_1215)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_10_21)) - ) - ) - (net (rename zpuino_core_w1_tos_1_cmp_eq0002 "zpuino/core/w1_tos_1_cmp_eq0002") - (joined - (portRef I1 (instanceRef zpuino_core_w1_nos_save_23_mux000013_renamed_1202)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_22_mux000013_renamed_1203)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_21_mux000013_renamed_1204)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_20_mux000013_renamed_1205)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_19_mux000013_renamed_1206)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_18_mux000013_renamed_1207)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_17_mux000013_renamed_1208)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_16_mux000013_renamed_1209)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_13_11)) - ) - ) - (net (rename zpuino_core_w1_tos_1_cmp_eq0003 "zpuino/core/w1_tos_1_cmp_eq0003") - (joined - (portRef I1 (instanceRef zpuino_core_w1_nos_save_31_mux000013_renamed_1194)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_30_mux000013_renamed_1195)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_29_mux000013_renamed_1196)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_28_mux000013_renamed_1197)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_27_mux000013_renamed_1198)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_26_mux000013_renamed_1199)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_25_mux000013_renamed_1200)) - (portRef I1 (instanceRef zpuino_core_w1_nos_save_24_mux000013_renamed_1201)) - (portRef O (instanceRef zpuino_core_shl_idx_mux0001_0_21)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002 "zpuino/core/w1_tos_1_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_1_renamed_47)) - (portRef O (instanceRef zpuino_core_w1_tos_1_mux0002173)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002117 "zpuino/core/w1_tos_1_mux0002117") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002126 "zpuino/core/w1_tos_1_mux0002126") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000214 "zpuino/core/w1_tos_1_mux000214") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002141 "zpuino/core/w1_tos_1_mux0002141") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux0002164 "zpuino/core/w1_tos_1_mux0002164") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002173)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000223 "zpuino/core/w1_tos_1_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000223_renamed_1450)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000235 "zpuino/core/w1_tos_1_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000236 "zpuino/core/w1_tos_1_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000236_renamed_2152)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux00024 "zpuino/core/w1_tos_1_mux00024") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux00024_renamed_1449)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000242 "zpuino/core/w1_tos_1_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000242_renamed_1451)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux00025 "zpuino/core/w1_tos_1_mux00025") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000214_renamed_2322)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000256 "zpuino/core/w1_tos_1_mux000256") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000268 "zpuino/core/w1_tos_1_mux000268") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002173)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux000268_renamed_2323)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000281 "zpuino/core/w1_tos_1_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000281_renamed_1453)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000284 "zpuino/core/w1_tos_1_mux000284") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux000284_renamed_2389)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000292 "zpuino/core/w1_tos_1_mux000292") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000296_renamed_1983)) - (portRef LO (instanceRef zpuino_core_w1_tos_1_mux000292_renamed_2324)) - ) - ) - (net (rename zpuino_core_w1_tos_1_mux000296 "zpuino/core/w1_tos_1_mux000296") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef O (instanceRef zpuino_core_w1_tos_1_mux000296_renamed_1983)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux0002 "zpuino/core/w1_tos_20_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_20_renamed_66)) - (portRef O (instanceRef zpuino_core_w1_tos_20_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux00020 "zpuino/core/w1_tos_20_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000210 "zpuino/core/w1_tos_20_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000210_renamed_2188)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux0002108 "zpuino/core/w1_tos_20_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - (portRef LO (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux0002131 "zpuino/core/w1_tos_20_mux0002131") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000226 "zpuino/core/w1_tos_20_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - (portRef LO (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000239 "zpuino/core/w1_tos_20_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000239_renamed_1607)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000251 "zpuino/core/w1_tos_20_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000251_renamed_1608)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000264 "zpuino/core/w1_tos_20_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000264_renamed_1609)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000272 "zpuino/core/w1_tos_20_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000272_renamed_1610)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000284 "zpuino/core/w1_tos_20_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - ) - ) - (net (rename zpuino_core_w1_tos_20_mux000292 "zpuino/core/w1_tos_20_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux0002 "zpuino/core/w1_tos_21_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_21_renamed_67)) - (portRef O (instanceRef zpuino_core_w1_tos_21_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux00020 "zpuino/core/w1_tos_21_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000210 "zpuino/core/w1_tos_21_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000210_renamed_2189)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux0002108 "zpuino/core/w1_tos_21_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - (portRef LO (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux0002131 "zpuino/core/w1_tos_21_mux0002131") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000226 "zpuino/core/w1_tos_21_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - (portRef LO (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000239 "zpuino/core/w1_tos_21_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000239_renamed_1613)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000251 "zpuino/core/w1_tos_21_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000251_renamed_1614)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000264 "zpuino/core/w1_tos_21_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000264_renamed_1615)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000272 "zpuino/core/w1_tos_21_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000272_renamed_1616)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000284 "zpuino/core/w1_tos_21_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - ) - ) - (net (rename zpuino_core_w1_tos_21_mux000292 "zpuino/core/w1_tos_21_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux0002 "zpuino/core/w1_tos_22_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_22_renamed_68)) - (portRef O (instanceRef zpuino_core_w1_tos_22_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux00020 "zpuino/core/w1_tos_22_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000210 "zpuino/core/w1_tos_22_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000210_renamed_2190)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux0002108 "zpuino/core/w1_tos_22_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - (portRef LO (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux0002131 "zpuino/core/w1_tos_22_mux0002131") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000226 "zpuino/core/w1_tos_22_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - (portRef LO (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000239 "zpuino/core/w1_tos_22_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000239_renamed_1619)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000251 "zpuino/core/w1_tos_22_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000251_renamed_1620)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000264 "zpuino/core/w1_tos_22_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000264_renamed_1621)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000272 "zpuino/core/w1_tos_22_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000272_renamed_1622)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000284 "zpuino/core/w1_tos_22_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - ) - ) - (net (rename zpuino_core_w1_tos_22_mux000292 "zpuino/core/w1_tos_22_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux0002 "zpuino/core/w1_tos_23_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_23_renamed_69)) - (portRef O (instanceRef zpuino_core_w1_tos_23_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux00020 "zpuino/core/w1_tos_23_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000210 "zpuino/core/w1_tos_23_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000210_renamed_2191)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux0002108 "zpuino/core/w1_tos_23_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000226 "zpuino/core/w1_tos_23_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - (portRef LO (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000239 "zpuino/core/w1_tos_23_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000239_renamed_1625)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000251 "zpuino/core/w1_tos_23_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000251_renamed_1626)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000264 "zpuino/core/w1_tos_23_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000264_renamed_1627)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000272 "zpuino/core/w1_tos_23_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000272_renamed_1628)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000284 "zpuino/core/w1_tos_23_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - ) - ) - (net (rename zpuino_core_w1_tos_23_mux000292 "zpuino/core/w1_tos_23_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux0002 "zpuino/core/w1_tos_24_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_24_renamed_70)) - (portRef O (instanceRef zpuino_core_w1_tos_24_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux00020 "zpuino/core/w1_tos_24_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000210 "zpuino/core/w1_tos_24_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000210_renamed_2192)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux0002108 "zpuino/core/w1_tos_24_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000226 "zpuino/core/w1_tos_24_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - (portRef LO (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000239 "zpuino/core/w1_tos_24_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000239_renamed_1630)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000251 "zpuino/core/w1_tos_24_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000251_renamed_1631)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000264 "zpuino/core/w1_tos_24_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000264_renamed_1632)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000272 "zpuino/core/w1_tos_24_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000272_renamed_1633)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000284 "zpuino/core/w1_tos_24_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - ) - ) - (net (rename zpuino_core_w1_tos_24_mux000292 "zpuino/core/w1_tos_24_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux0002 "zpuino/core/w1_tos_25_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_25_renamed_71)) - (portRef O (instanceRef zpuino_core_w1_tos_25_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux00020 "zpuino/core/w1_tos_25_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000210 "zpuino/core/w1_tos_25_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000210_renamed_2193)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux0002108 "zpuino/core/w1_tos_25_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000226 "zpuino/core/w1_tos_25_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - (portRef LO (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000239 "zpuino/core/w1_tos_25_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000239_renamed_1635)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000251 "zpuino/core/w1_tos_25_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000251_renamed_1636)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000264 "zpuino/core/w1_tos_25_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000264_renamed_1637)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000272 "zpuino/core/w1_tos_25_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000272_renamed_1638)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000284 "zpuino/core/w1_tos_25_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - ) - ) - (net (rename zpuino_core_w1_tos_25_mux000292 "zpuino/core/w1_tos_25_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux0002 "zpuino/core/w1_tos_26_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_26_renamed_72)) - (portRef O (instanceRef zpuino_core_w1_tos_26_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux00020 "zpuino/core/w1_tos_26_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000210 "zpuino/core/w1_tos_26_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000210_renamed_2194)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux0002108 "zpuino/core/w1_tos_26_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000226 "zpuino/core/w1_tos_26_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - (portRef LO (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000239 "zpuino/core/w1_tos_26_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000239_renamed_1643)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000251 "zpuino/core/w1_tos_26_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000251_renamed_1644)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000264 "zpuino/core/w1_tos_26_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000264_renamed_1645)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000272 "zpuino/core/w1_tos_26_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000272_renamed_1646)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000284 "zpuino/core/w1_tos_26_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - ) - ) - (net (rename zpuino_core_w1_tos_26_mux000292 "zpuino/core/w1_tos_26_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux0002 "zpuino/core/w1_tos_27_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_27_renamed_73)) - (portRef O (instanceRef zpuino_core_w1_tos_27_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000210 "zpuino/core/w1_tos_27_mux000210") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux0002108 "zpuino/core/w1_tos_27_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000226 "zpuino/core/w1_tos_27_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux00023 "zpuino/core/w1_tos_27_mux00023") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux00023_renamed_2132)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000210_renamed_2175)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000239 "zpuino/core/w1_tos_27_mux000239") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef LO (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000251 "zpuino/core/w1_tos_27_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000251_renamed_1648)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000264 "zpuino/core/w1_tos_27_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000264_renamed_1649)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000272 "zpuino/core/w1_tos_27_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000272_renamed_1650)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000284 "zpuino/core/w1_tos_27_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - ) - ) - (net (rename zpuino_core_w1_tos_27_mux000292 "zpuino/core/w1_tos_27_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux0002 "zpuino/core/w1_tos_28_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_28_renamed_74)) - (portRef O (instanceRef zpuino_core_w1_tos_28_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux00020 "zpuino/core/w1_tos_28_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000210 "zpuino/core/w1_tos_28_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000210_renamed_2195)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux0002108 "zpuino/core/w1_tos_28_mux0002108") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000226 "zpuino/core/w1_tos_28_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - (portRef LO (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000239 "zpuino/core/w1_tos_28_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000239_renamed_1652)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000251 "zpuino/core/w1_tos_28_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000251_renamed_1653)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000264 "zpuino/core/w1_tos_28_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000264_renamed_1654)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000272 "zpuino/core/w1_tos_28_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000272_renamed_1655)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000284 "zpuino/core/w1_tos_28_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - ) - ) - (net (rename zpuino_core_w1_tos_28_mux000292 "zpuino/core/w1_tos_28_mux000292") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - (portRef O (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux0002 "zpuino/core/w1_tos_29_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_29_renamed_75)) - (portRef O (instanceRef zpuino_core_w1_tos_29_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux00020 "zpuino/core/w1_tos_29_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000210 "zpuino/core/w1_tos_29_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000210_renamed_2196)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux0002108 "zpuino/core/w1_tos_29_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000226 "zpuino/core/w1_tos_29_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - (portRef LO (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000239 "zpuino/core/w1_tos_29_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000239_renamed_1658)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000251 "zpuino/core/w1_tos_29_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000251_renamed_1659)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000264 "zpuino/core/w1_tos_29_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000264_renamed_1660)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000272 "zpuino/core/w1_tos_29_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000272_renamed_1661)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000284 "zpuino/core/w1_tos_29_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - ) - ) - (net (rename zpuino_core_w1_tos_29_mux000292 "zpuino/core/w1_tos_29_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002 "zpuino/core/w1_tos_2_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_2_renamed_48)) - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002102 "zpuino/core/w1_tos_2_mux0002102") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002102_renamed_1462)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002124 "zpuino/core/w1_tos_2_mux0002124") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002124_renamed_2035)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002133 "zpuino/core/w1_tos_2_mux0002133") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000214 "zpuino/core/w1_tos_2_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002148 "zpuino/core/w1_tos_2_mux0002148") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - (portRef LO (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux0002171 "zpuino/core/w1_tos_2_mux0002171") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000223 "zpuino/core/w1_tos_2_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000223_renamed_1457)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000235 "zpuino/core/w1_tos_2_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000236 "zpuino/core/w1_tos_2_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000236_renamed_2154)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux00024 "zpuino/core/w1_tos_2_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef LO (instanceRef zpuino_core_w1_tos_2_mux00024_renamed_2326)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000242 "zpuino/core/w1_tos_2_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000242_renamed_1458)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux00025 "zpuino/core/w1_tos_2_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux000214_renamed_1456)) - (portRef O (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000256 "zpuino/core/w1_tos_2_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - (portRef LO (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000268 "zpuino/core/w1_tos_2_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000268_renamed_1459)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000281 "zpuino/core/w1_tos_2_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000281_renamed_1460)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_2_mux000289 "zpuino/core/w1_tos_2_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_2_mux000289_renamed_1461)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux0002 "zpuino/core/w1_tos_30_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_30_renamed_76)) - (portRef O (instanceRef zpuino_core_w1_tos_30_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux00020 "zpuino/core/w1_tos_30_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000210 "zpuino/core/w1_tos_30_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000210_renamed_2197)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux0002108 "zpuino/core/w1_tos_30_mux0002108") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000226 "zpuino/core/w1_tos_30_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - (portRef LO (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000239 "zpuino/core/w1_tos_30_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000239_renamed_1663)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000251 "zpuino/core/w1_tos_30_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000251_renamed_1664)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux0002140)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000264 "zpuino/core/w1_tos_30_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000264_renamed_1665)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000272 "zpuino/core/w1_tos_30_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000272_renamed_1666)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000284 "zpuino/core/w1_tos_30_mux000284") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - ) - ) - (net (rename zpuino_core_w1_tos_30_mux000292 "zpuino/core/w1_tos_30_mux000292") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux0002 "zpuino/core/w1_tos_31_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_31_renamed_77)) - (portRef O (instanceRef zpuino_core_w1_tos_31_mux0002144)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux00020 "zpuino/core/w1_tos_31_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000210 "zpuino/core/w1_tos_31_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000210_renamed_2198)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux0002112 "zpuino/core/w1_tos_31_mux0002112") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000226 "zpuino/core/w1_tos_31_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - (portRef LO (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000239 "zpuino/core/w1_tos_31_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000251 "zpuino/core/w1_tos_31_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000251_renamed_1669)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux0002144)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000266 "zpuino/core/w1_tos_31_mux000266") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000266_f5)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux0002661 "zpuino/core/w1_tos_31_mux0002661") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux0002661_renamed_2282)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000266_f5)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000275 "zpuino/core/w1_tos_31_mux000275") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000275_renamed_1670)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000288 "zpuino/core/w1_tos_31_mux000288") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - ) - ) - (net (rename zpuino_core_w1_tos_31_mux000297 "zpuino/core/w1_tos_31_mux000297") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002 "zpuino/core/w1_tos_3_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_3_renamed_49)) - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002102 "zpuino/core/w1_tos_3_mux0002102") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002102_renamed_1470)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002124 "zpuino/core/w1_tos_3_mux0002124") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002124_renamed_2036)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002133 "zpuino/core/w1_tos_3_mux0002133") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000214 "zpuino/core/w1_tos_3_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002148 "zpuino/core/w1_tos_3_mux0002148") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - (portRef LO (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux0002171 "zpuino/core/w1_tos_3_mux0002171") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000223 "zpuino/core/w1_tos_3_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000223_renamed_1465)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000235 "zpuino/core/w1_tos_3_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000236 "zpuino/core/w1_tos_3_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000236_renamed_2156)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux00024 "zpuino/core/w1_tos_3_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef LO (instanceRef zpuino_core_w1_tos_3_mux00024_renamed_2329)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000242 "zpuino/core/w1_tos_3_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000242_renamed_1466)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux00025 "zpuino/core/w1_tos_3_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux000214_renamed_1464)) - (portRef O (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000256 "zpuino/core/w1_tos_3_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - (portRef LO (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000268 "zpuino/core/w1_tos_3_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000268_renamed_1467)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000281 "zpuino/core/w1_tos_3_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000281_renamed_1468)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_3_mux000289 "zpuino/core/w1_tos_3_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_3_mux000289_renamed_1469)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002 "zpuino/core/w1_tos_4_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_4_renamed_50)) - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002102 "zpuino/core/w1_tos_4_mux0002102") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002102_renamed_1478)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002124 "zpuino/core/w1_tos_4_mux0002124") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002124_renamed_2037)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002133 "zpuino/core/w1_tos_4_mux0002133") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000214 "zpuino/core/w1_tos_4_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002148 "zpuino/core/w1_tos_4_mux0002148") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - (portRef LO (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux0002171 "zpuino/core/w1_tos_4_mux0002171") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000223 "zpuino/core/w1_tos_4_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000223_renamed_1473)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000235 "zpuino/core/w1_tos_4_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000236 "zpuino/core/w1_tos_4_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000236_renamed_2158)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux00024 "zpuino/core/w1_tos_4_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef LO (instanceRef zpuino_core_w1_tos_4_mux00024_renamed_2341)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000242 "zpuino/core/w1_tos_4_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000242_renamed_1474)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux00025 "zpuino/core/w1_tos_4_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux000214_renamed_1472)) - (portRef O (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000256 "zpuino/core/w1_tos_4_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - (portRef LO (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000268 "zpuino/core/w1_tos_4_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000268_renamed_1475)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000281 "zpuino/core/w1_tos_4_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000281_renamed_1476)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_4_mux000289 "zpuino/core/w1_tos_4_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_4_mux000289_renamed_1477)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002 "zpuino/core/w1_tos_5_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_5_renamed_51)) - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002102 "zpuino/core/w1_tos_5_mux0002102") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002102_renamed_1486)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002124 "zpuino/core/w1_tos_5_mux0002124") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002124_renamed_2038)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002133 "zpuino/core/w1_tos_5_mux0002133") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000214 "zpuino/core/w1_tos_5_mux000214") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002148 "zpuino/core/w1_tos_5_mux0002148") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - (portRef LO (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux0002171 "zpuino/core/w1_tos_5_mux0002171") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000223 "zpuino/core/w1_tos_5_mux000223") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000223_renamed_1481)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000235 "zpuino/core/w1_tos_5_mux000235") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000236 "zpuino/core/w1_tos_5_mux000236") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000236_renamed_2160)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux00024 "zpuino/core/w1_tos_5_mux00024") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef LO (instanceRef zpuino_core_w1_tos_5_mux00024_renamed_2344)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000242 "zpuino/core/w1_tos_5_mux000242") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000242_renamed_1482)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux00025 "zpuino/core/w1_tos_5_mux00025") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux000214_renamed_1480)) - (portRef O (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000256 "zpuino/core/w1_tos_5_mux000256") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - (portRef LO (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000268 "zpuino/core/w1_tos_5_mux000268") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000268_renamed_1483)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002180)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000281 "zpuino/core/w1_tos_5_mux000281") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000281_renamed_1484)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_5_mux000289 "zpuino/core/w1_tos_5_mux000289") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_5_mux000289_renamed_1485)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002 "zpuino/core/w1_tos_6_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_6_renamed_52)) - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002185)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000210 "zpuino/core/w1_tos_6_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000210_renamed_1488)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002106 "zpuino/core/w1_tos_6_mux0002106") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002106_renamed_1494)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002107 "zpuino/core/w1_tos_6_mux0002107") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002107_renamed_1495)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002115 "zpuino/core/w1_tos_6_mux0002115") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002140 "zpuino/core/w1_tos_6_mux0002140") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux0002164 "zpuino/core/w1_tos_6_mux0002164") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002185)) - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000237 "zpuino/core/w1_tos_6_mux000237") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000237_renamed_1489)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000244 "zpuino/core/w1_tos_6_mux000244") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000260 "zpuino/core/w1_tos_6_mux000260") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux00027 "zpuino/core/w1_tos_6_mux00027") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000210_renamed_1488)) - (portRef LO (instanceRef zpuino_core_w1_tos_6_mux00027_renamed_2347)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000272 "zpuino/core/w1_tos_6_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000272_renamed_1491)) - (portRef I3 (instanceRef zpuino_core_w1_tos_6_mux0002185)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000285 "zpuino/core/w1_tos_6_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000285_renamed_1492)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - ) - ) - (net (rename zpuino_core_w1_tos_6_mux000293 "zpuino/core/w1_tos_6_mux000293") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_6_mux000293_renamed_1493)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002115_renamed_1496)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002 "zpuino/core/w1_tos_7_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_7_renamed_53)) - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002185)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000210 "zpuino/core/w1_tos_7_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000210_renamed_1509)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002106 "zpuino/core/w1_tos_7_mux0002106") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002106_renamed_1514)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002107 "zpuino/core/w1_tos_7_mux0002107") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002107_renamed_1515)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002115 "zpuino/core/w1_tos_7_mux0002115") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002140 "zpuino/core/w1_tos_7_mux0002140") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux0002164 "zpuino/core/w1_tos_7_mux0002164") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002185)) - (portRef LO (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000237 "zpuino/core/w1_tos_7_mux000237") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef LO (instanceRef zpuino_core_w1_tos_7_mux000237_renamed_2353)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000244 "zpuino/core/w1_tos_7_mux000244") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - (portRef LO (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000260 "zpuino/core/w1_tos_7_mux000260") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux00027 "zpuino/core/w1_tos_7_mux00027") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000210_renamed_1509)) - (portRef LO (instanceRef zpuino_core_w1_tos_7_mux00027_renamed_2352)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000272 "zpuino/core/w1_tos_7_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000272_renamed_1511)) - (portRef I3 (instanceRef zpuino_core_w1_tos_7_mux0002185)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000285 "zpuino/core/w1_tos_7_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000285_renamed_1512)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - ) - ) - (net (rename zpuino_core_w1_tos_7_mux000293 "zpuino/core/w1_tos_7_mux000293") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_7_mux000293_renamed_1513)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux0002115_renamed_1516)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux0002 "zpuino/core/w1_tos_8_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_8_renamed_54)) - (portRef O (instanceRef zpuino_core_w1_tos_8_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux00020 "zpuino/core/w1_tos_8_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000210 "zpuino/core/w1_tos_8_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000210_renamed_2176)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux0002119 "zpuino/core/w1_tos_8_mux0002119") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux0002143 "zpuino/core/w1_tos_8_mux0002143") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002164)) - (portRef LO (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000226 "zpuino/core/w1_tos_8_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - (portRef LO (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000239 "zpuino/core/w1_tos_8_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000239_renamed_1517)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000251 "zpuino/core/w1_tos_8_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000251_renamed_1518)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000264 "zpuino/core/w1_tos_8_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000264_renamed_1519)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000272 "zpuino/core/w1_tos_8_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000272_renamed_1520)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000285 "zpuino/core/w1_tos_8_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000285_renamed_1521)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000286 "zpuino/core/w1_tos_8_mux000286") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000286_renamed_1522)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - ) - ) - (net (rename zpuino_core_w1_tos_8_mux000294 "zpuino/core/w1_tos_8_mux000294") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_8_mux000294_renamed_1523)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux0002 "zpuino/core/w1_tos_9_mux0002") - (joined - (portRef D (instanceRef zpuino_core_exr_tos_9_renamed_55)) - (portRef O (instanceRef zpuino_core_w1_tos_9_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux00020 "zpuino/core/w1_tos_9_mux00020") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000210_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000210 "zpuino/core/w1_tos_9_mux000210") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000210_renamed_2177)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux0002119 "zpuino/core/w1_tos_9_mux0002119") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux0002143 "zpuino/core/w1_tos_9_mux0002143") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002164)) - (portRef LO (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000226 "zpuino/core/w1_tos_9_mux000226") - (joined - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - (portRef LO (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000239 "zpuino/core/w1_tos_9_mux000239") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000251 "zpuino/core/w1_tos_9_mux000251") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000251_renamed_1525)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux0002164)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000264 "zpuino/core/w1_tos_9_mux000264") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000264_renamed_1526)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000272 "zpuino/core/w1_tos_9_mux000272") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000272_renamed_1527)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000285 "zpuino/core/w1_tos_9_mux000285") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000285_renamed_1528)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000286 "zpuino/core/w1_tos_9_mux000286") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000286_renamed_1529)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - ) - ) - (net (rename zpuino_core_w1_tos_9_mux000294 "zpuino/core/w1_tos_9_mux000294") - (joined - (portRef O (instanceRef zpuino_core_w1_tos_9_mux000294_renamed_1530)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_0_ "zpuino/core/w1_tos_add0000<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_0__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux0002149_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_10_ "zpuino/core/w1_tos_add0000<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_10__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux0002143_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_11_ "zpuino/core/w1_tos_add0000<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_11__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux0002149_renamed_1557)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_12_ "zpuino/core/w1_tos_add0000<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_12__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux0002149_renamed_2359)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_13_ "zpuino/core/w1_tos_add0000<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_13__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux0002149_renamed_1570)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_14_ "zpuino/core/w1_tos_add0000<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_14__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux0002131_renamed_2362)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_15_ "zpuino/core/w1_tos_add0000<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_15__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux0002131_renamed_1582)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_16_ "zpuino/core/w1_tos_add0000<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_16__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux0002127_renamed_2364)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_17_ "zpuino/core/w1_tos_add0000<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_17__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux0002131_renamed_2365)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_18_ "zpuino/core/w1_tos_add0000<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_18__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux0002131_renamed_2366)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_19_ "zpuino/core/w1_tos_add0000<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_19__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux0002127_renamed_1606)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_1_ "zpuino/core/w1_tos_add0000<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux0002164_renamed_1455)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_20_ "zpuino/core/w1_tos_add0000<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_20__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux0002131_renamed_1612)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_21_ "zpuino/core/w1_tos_add0000<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_21__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux0002131_renamed_1618)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_22_ "zpuino/core/w1_tos_add0000<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_22__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux0002131_renamed_1624)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_23_ "zpuino/core/w1_tos_add0000<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_23__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_24_ "zpuino/core/w1_tos_add0000<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_24__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_25_ "zpuino/core/w1_tos_add0000<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_25__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_26_ "zpuino/core/w1_tos_add0000<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_26__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_27_ "zpuino/core/w1_tos_add0000<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_27__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_28_ "zpuino/core/w1_tos_add0000<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_28__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_29_ "zpuino/core/w1_tos_add0000<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_29__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_2_ "zpuino/core/w1_tos_add0000<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_2__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002171_renamed_1463)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_30_ "zpuino/core/w1_tos_add0000<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_30__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux0002140_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_31_ "zpuino/core/w1_tos_add0000<31>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_31__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux0002144_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_3_ "zpuino/core/w1_tos_add0000<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_3__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002171_renamed_1471)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_4_ "zpuino/core/w1_tos_add0000<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_4__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002171_renamed_1479)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_5_ "zpuino/core/w1_tos_add0000<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_5__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002171_renamed_1487)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_6_ "zpuino/core/w1_tos_add0000<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_6__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002164_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_7_ "zpuino/core/w1_tos_add0000<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_7__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_7_mux0002164_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_8_ "zpuino/core/w1_tos_add0000<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_8__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002143_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0000_9_ "zpuino/core/w1_tos_add0000<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0000_xor_9__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux0002143_SW0)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_0_ "zpuino/core/w1_tos_add0001<0>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_0__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002149_renamed_2145)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_10_ "zpuino/core/w1_tos_add0001<10>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_10__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002143_renamed_2417)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_11_ "zpuino/core/w1_tos_add0001<11>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_11__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux0002126_renamed_2356)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_12_ "zpuino/core/w1_tos_add0001<12>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_12__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux0002126_renamed_1563)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_13_ "zpuino/core/w1_tos_add0001<13>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_13__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux0002126_renamed_2361)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_14_ "zpuino/core/w1_tos_add0001<14>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_14__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux0002108_renamed_1576)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_15_ "zpuino/core/w1_tos_add0001<15>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_15__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux0002108_renamed_2363)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_16_ "zpuino/core/w1_tos_add0001<16>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_16__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux0002103_renamed_1588)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_17_ "zpuino/core/w1_tos_add0001<17>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_17__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux0002108_renamed_1594)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_18_ "zpuino/core/w1_tos_add0001<18>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_18__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux0002108_renamed_1600)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_19_ "zpuino/core/w1_tos_add0001<19>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_19__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux0002103_renamed_2367)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_1_ "zpuino/core/w1_tos_add0001<1>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux0002141_renamed_2325)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_20_ "zpuino/core/w1_tos_add0001<20>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_20__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux0002108_renamed_2368)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_21_ "zpuino/core/w1_tos_add0001<21>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_21__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux0002108_renamed_2369)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_22_ "zpuino/core/w1_tos_add0001<22>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_22__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux0002108_renamed_2370)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_23_ "zpuino/core/w1_tos_add0001<23>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_23__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux0002108_renamed_2371)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_24_ "zpuino/core/w1_tos_add0001<24>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_24__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux0002108_renamed_2372)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_25_ "zpuino/core/w1_tos_add0001<25>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_25__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux0002108_renamed_2373)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_26_ "zpuino/core/w1_tos_add0001<26>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_26__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux0002108_renamed_2374)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_27_ "zpuino/core/w1_tos_add0001<27>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_27__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux0002108_renamed_2376)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_28_ "zpuino/core/w1_tos_add0001<28>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_28__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux0002108_renamed_1657)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_29_ "zpuino/core/w1_tos_add0001<29>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_29__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux0002108_renamed_2377)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_2_ "zpuino/core/w1_tos_add0001<2>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_2__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002148_renamed_2328)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_30_ "zpuino/core/w1_tos_add0001<30>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_30__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux0002108_renamed_2378)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_31_ "zpuino/core/w1_tos_add0001<31>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_31__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux0002112_renamed_2379)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_3_ "zpuino/core/w1_tos_add0001<3>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_3__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002148_renamed_2331)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_4_ "zpuino/core/w1_tos_add0001<4>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_4__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002148_renamed_2343)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_5_ "zpuino/core/w1_tos_add0001<5>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_5__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002148_renamed_2346)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_6_ "zpuino/core/w1_tos_add0001<6>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_6__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002164_renamed_2416)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_7_ "zpuino/core/w1_tos_add0001<7>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_7__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002164_renamed_2415)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_8_ "zpuino/core/w1_tos_add0001<8>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_8__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002143_renamed_2414)) - ) - ) - (net (rename zpuino_core_w1_tos_add0001_9_ "zpuino/core/w1_tos_add0001<9>") - (joined - (portRef O (instanceRef zpuino_core_Madd_w1_tos_add0001_xor_9__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002143_renamed_2413)) - ) - ) - (net (rename zpuino_core_wroteback_q "zpuino/core/wroteback_q") - (joined - (portRef Q (instanceRef zpuino_core_wroteback_q_renamed_127)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000108)) - (portRef I0 (instanceRef zpuino_core_nos_31_1)) - (portRef I0 (instanceRef zpuino_core_nos_27_1)) - (portRef I0 (instanceRef zpuino_core_nos_5_1)) - (portRef I0 (instanceRef zpuino_core_nos_4_1)) - (portRef I0 (instanceRef zpuino_core_nos_3_1)) - (portRef I0 (instanceRef zpuino_core_nos_2_1)) - (portRef I0 (instanceRef zpuino_core_nos_1_1)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - (portRef I1 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - (portRef I0 (instanceRef zpuino_core_nos_30_1)) - (portRef I0 (instanceRef zpuino_core_nos_29_1)) - (portRef I0 (instanceRef zpuino_core_nos_28_1)) - (portRef I0 (instanceRef zpuino_core_nos_26_1)) - (portRef I0 (instanceRef zpuino_core_nos_25_1)) - (portRef I0 (instanceRef zpuino_core_nos_24_1)) - (portRef I0 (instanceRef zpuino_core_nos_23_1)) - (portRef I0 (instanceRef zpuino_core_nos_22_1)) - (portRef I0 (instanceRef zpuino_core_nos_21_1)) - (portRef I0 (instanceRef zpuino_core_nos_20_1)) - (portRef I0 (instanceRef zpuino_core_nos_19_1)) - (portRef I0 (instanceRef zpuino_core_nos_18_1)) - (portRef I0 (instanceRef zpuino_core_nos_17_1)) - (portRef I0 (instanceRef zpuino_core_nos_16_1)) - (portRef I0 (instanceRef zpuino_core_nos_15_1)) - (portRef I0 (instanceRef zpuino_core_nos_14_1)) - (portRef I0 (instanceRef zpuino_core_nos_13_1)) - (portRef I0 (instanceRef zpuino_core_nos_12_1)) - (portRef I0 (instanceRef zpuino_core_nos_11_1)) - (portRef I0 (instanceRef zpuino_core_nos_10_1)) - (portRef I0 (instanceRef zpuino_core_nos_9_1)) - (portRef I0 (instanceRef zpuino_core_nos_8_1)) - (portRef I0 (instanceRef zpuino_core_nos_7_1)) - (portRef I0 (instanceRef zpuino_core_nos_6_1)) - (portRef I0 (instanceRef zpuino_core_nos_0_1)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux0000 "zpuino/core/wroteback_q_mux0000") - (joined - (portRef D (instanceRef zpuino_core_wroteback_q_renamed_127)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000108)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux0000105 "zpuino/core/wroteback_q_mux0000105") - (joined - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000108)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000012 "zpuino/core/wroteback_q_mux000012") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux000012_renamed_1177)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux0000141 "zpuino/core/wroteback_q_mux0000141") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000141_renamed_1181)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000110)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux0000191 "zpuino/core/wroteback_q_mux0000191") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux0000191_renamed_1182)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux0000110)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux0000105_renamed_2138)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000025 "zpuino/core/wroteback_q_mux000025") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux000025_renamed_1178)) - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000034 "zpuino/core/wroteback_q_mux000034") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux000034_renamed_1179)) - (portRef I3 (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000045 "zpuino/core/wroteback_q_mux000045") - (joined - (portRef O (instanceRef zpuino_core_wroteback_q_mux000045_renamed_1180)) - (portRef I0 (instanceRef zpuino_core_wroteback_q_mux0000108)) - ) - ) - (net (rename zpuino_core_wroteback_q_mux000068 "zpuino/core/wroteback_q_mux000068") - (joined - (portRef I2 (instanceRef zpuino_core_wroteback_q_mux0000108)) - (portRef O (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - ) - ) - (net (rename zpuino_cpu_ram_wb_cyc_i "zpuino/cpu_ram_wb_cyc_i") - (joined - (portRef CE (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef O (instanceRef zpuino_iomemmux_s0_wb_cyc_o1)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef ENA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_0_ "zpuino/dbg_from_zpu_stackb<0>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_0__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_0_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_0_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux000256_renamed_2382)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_10_ "zpuino/dbg_from_zpu_stackb<10>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_10__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000239_renamed_1531)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_10_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_10_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_11_ "zpuino/dbg_from_zpu_stackb<11>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_5__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_11__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_11_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_11_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_12_ "zpuino/dbg_from_zpu_stackb<12>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_12__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_12_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_12_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_13_ "zpuino/dbg_from_zpu_stackb<13>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_6__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_13__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_13_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_13_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_14_ "zpuino/dbg_from_zpu_stackb<14>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_14__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_14_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_14_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_15_ "zpuino/dbg_from_zpu_stackb<15>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_7__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_15__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_15_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_15_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_16_ "zpuino/dbg_from_zpu_stackb<16>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_16__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_16_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_16_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_17_ "zpuino/dbg_from_zpu_stackb<17>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_8__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_17__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_17_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_17_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_18_ "zpuino/dbg_from_zpu_stackb<18>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_18__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_18_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_18_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_19_ "zpuino/dbg_from_zpu_stackb<19>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_9__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_19__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_19_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_19_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_1_ "zpuino/dbg_from_zpu_stackb<1>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux000256_renamed_1452)) - (portRef O (instanceRef zpuino_core_nos_1_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_1_mux000024_G)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_20_ "zpuino/dbg_from_zpu_stackb<20>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_20__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_20_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_20_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_21_ "zpuino/dbg_from_zpu_stackb<21>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_10__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_21__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_21_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_21_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_22_ "zpuino/dbg_from_zpu_stackb<22>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_22__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_22_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_22_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_23_ "zpuino/dbg_from_zpu_stackb<23>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_11__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_23__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_23_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_23_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_24_ "zpuino/dbg_from_zpu_stackb<24>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_24__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_24_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_24_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_25_ "zpuino/dbg_from_zpu_stackb<25>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_12__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_25__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_25_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_25_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_26_ "zpuino/dbg_from_zpu_stackb<26>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_26__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_26_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_26_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_27_ "zpuino/dbg_from_zpu_stackb<27>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_13__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_27__)) - (portRef O (instanceRef zpuino_core_nos_27_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_27_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000239_renamed_2375)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_28_ "zpuino/dbg_from_zpu_stackb<28>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_28__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_28_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_28_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_29_ "zpuino/dbg_from_zpu_stackb<29>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_14__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_29__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_29_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_29_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_2_ "zpuino/dbg_from_zpu_stackb<2>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_2__)) - (portRef O (instanceRef zpuino_core_nos_2_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_2_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux000256_renamed_2327)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_30_ "zpuino/dbg_from_zpu_stackb<30>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_30__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_30_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_30_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_31_ "zpuino/dbg_from_zpu_stackb<31>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_15__)) - (portRef DI (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_cy_31__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_31_13_renamed_1244)) - (portRef O (instanceRef zpuino_core_nos_31_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000239_renamed_1668)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_31_mux000024_G)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_3_ "zpuino/dbg_from_zpu_stackb<3>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_1__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_3__)) - (portRef O (instanceRef zpuino_core_nos_3_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_3_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux000256_renamed_2330)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_4_ "zpuino/dbg_from_zpu_stackb<4>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_4__)) - (portRef O (instanceRef zpuino_core_nos_4_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_4_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux000256_renamed_2342)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_5_ "zpuino/dbg_from_zpu_stackb<5>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_2__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_5__)) - (portRef O (instanceRef zpuino_core_nos_5_1)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_5_mux000024_G)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux000256_renamed_2345)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_6_ "zpuino/dbg_from_zpu_stackb<6>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_6__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000260_renamed_1490)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_6_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_6_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_7_ "zpuino/dbg_from_zpu_stackb<7>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_3__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_7__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000260_renamed_1510)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_7_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_7_1)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_8_ "zpuino/dbg_from_zpu_stackb<8>") - (joined - (portRef I0 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_8__)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_8_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_8_1)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux0002119_SW0)) - ) - ) - (net (rename zpuino_dbg_from_zpu_stackb_9_ "zpuino/dbg_from_zpu_stackb<9>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_eq0000_lut_4__)) - (portRef I0 (instanceRef zpuino_core_stack_a_write_9__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000239_renamed_1524)) - (portRef I0 (instanceRef zpuino_core_w1_nos_save_9_mux000024_G)) - (portRef O (instanceRef zpuino_core_nos_9_1)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_5_f6 "zpuino/io/Mmux__varindex0000_5_f6") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_5_f6_renamed_402)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_130_F)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_6_f5 "zpuino/io/Mmux__varindex0000_6_f5") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_6_f5_renamed_406)) - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_5_f6_renamed_402)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_6_f5_rt "zpuino/io/Mmux__varindex0000_6_f5_rt") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_6_f5_rt_renamed_1877)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_6_f5_renamed_406)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_7 "zpuino/io/Mmux__varindex0000_7") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_7_renamed_407)) - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_6_f5_renamed_406)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_7_f5 "zpuino/io/Mmux__varindex0000_7_f5") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_7_f5_renamed_403)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_5_f6_renamed_402)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_81 "zpuino/io/Mmux__varindex0000_81") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_81_renamed_405)) - (portRef I1 (instanceRef zpuino_io_Mmux__varindex0000_7_f5_renamed_403)) - ) - ) - (net (rename zpuino_io_Mmux__varindex0000_9 "zpuino/io/Mmux__varindex0000_9") - (joined - (portRef O (instanceRef zpuino_io_Mmux__varindex0000_9_renamed_404)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_7_f5_renamed_403)) - ) - ) - (net (rename zpuino_io_addr_save_q_10__ "zpuino/io/addr_save_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_10)) - (portRef I2 (instanceRef gpio_inst_gpio_q_32_not00014_SW0)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I3 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and000061)) - ) - ) - (net (rename zpuino_io_addr_save_q_11__ "zpuino/io/addr_save_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_11)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_io_addr_save_q_12__ "zpuino/io/addr_save_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_12)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 3) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_io_addr_save_q_13__ "zpuino/io/addr_save_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_13)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 2) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_io_addr_save_q_14__ "zpuino/io/addr_save_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_14)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 1) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename zpuino_io_addr_save_q_15__ "zpuino/io/addr_save_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_15)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - ) - ) - (net (rename zpuino_io_addr_save_q_16__ "zpuino/io/addr_save_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_16)) - (portRef D (instanceRef slot9_ram_mi_addrh_q_renamed_311)) - (portRef I (instanceRef slot_address_0__16__inv_INV_0)) - (portRef ENA (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef ENA (instanceRef slot9_ram_ram1_Mram_RAM2)) - ) - ) - (net (rename zpuino_io_addr_save_q_2__ "zpuino/io/addr_save_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_2)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_renamed_423)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_5_renamed_424)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_41_renamed_429)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_52_renamed_430)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_42_renamed_433)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_54_renamed_434)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_43_renamed_437)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_56_renamed_438)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_44_renamed_441)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_58_renamed_442)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_45_renamed_445)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_510_renamed_446)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_46_renamed_449)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_512_renamed_450)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_47_renamed_453)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_514_renamed_454)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_67_renamed_456)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_48_renamed_457)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_516_renamed_458)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_517_renamed_459)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_410_renamed_461)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_518_renamed_462)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_519_renamed_463)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_412_renamed_465)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_520_renamed_466)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_521_renamed_467)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_414_renamed_469)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_522_renamed_470)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_415_renamed_473)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_524_renamed_474)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_525_renamed_475)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_417_renamed_477)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_526_renamed_478)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_527_renamed_479)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_419_renamed_481)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_528_renamed_482)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_529_renamed_483)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_421_renamed_485)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_530_renamed_486)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_531_renamed_487)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_423_renamed_488)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_532_renamed_489)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_533_renamed_490)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_69_renamed_491)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_424_renamed_492)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_534_renamed_493)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_535_renamed_494)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_610_renamed_495)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_425_renamed_496)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_536_renamed_497)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_537_renamed_498)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_611_renamed_499)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_426_renamed_500)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_538_renamed_501)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_539_renamed_502)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_612_renamed_503)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_427_renamed_504)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_540_renamed_505)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_541_renamed_506)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_613_renamed_507)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_428_renamed_508)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_542_renamed_509)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_543_renamed_510)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_614_renamed_511)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_429_renamed_512)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_544_renamed_513)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_615_renamed_515)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_430_renamed_516)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_546_renamed_517)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_547_renamed_518)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_616_renamed_519)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_431_renamed_520)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_548_renamed_521)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_549_renamed_522)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_617_renamed_523)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_432)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_550_renamed_524)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_618_renamed_526)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_433)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_552_renamed_527)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_619_renamed_529)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_434)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_554_renamed_530)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_620_renamed_532)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_435)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_556_renamed_533)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_621_renamed_535)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_436)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_558_renamed_536)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_622_renamed_538)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_437)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_560_renamed_539)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_438)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_562_renamed_542)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_1__3_renamed_794)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_1__4_renamed_795)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_0__3_renamed_792)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_0__4_renamed_793)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_2__3_renamed_790)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_2__4_renamed_791)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_3__3_renamed_788)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_3__4_renamed_789)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_4__3_renamed_786)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_4__4_renamed_787)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_5__3_renamed_784)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_5__4_renamed_785)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_6__3_renamed_782)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_6__4_renamed_783)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_7__3_renamed_780)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_7__4_renamed_781)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_8__3_renamed_778)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_8__4_renamed_779)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_9__3_renamed_776)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_9__4_renamed_777)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_10__3_renamed_774)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_10__4_renamed_775)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_11__3_renamed_772)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_11__4_renamed_773)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_12__3_renamed_770)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_12__4_renamed_771)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_13__3_renamed_768)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_13__4_renamed_769)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_14__3_renamed_766)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_14__4_renamed_767)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_15__3_renamed_764)) - (portRef I0 (instanceRef crc16_inst_Mmux_wb_dat_o_15__4_renamed_765)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__3_renamed_967)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__4_renamed_966)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__3_renamed_969)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__4_renamed_968)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__3_renamed_971)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__4_renamed_970)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__3_renamed_973)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__4_renamed_972)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__3_renamed_975)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__4_renamed_974)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__3_renamed_977)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__4_renamed_976)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__3_renamed_979)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__4_renamed_978)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__3_renamed_981)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__4_renamed_980)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__3_renamed_983)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__4_renamed_982)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__3_renamed_985)) - (portRef I0 (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__4_renamed_984)) - (portRef I1 (instanceRef slot1_trans_or00011)) - (portRef I1 (instanceRef slot0_trans_or00011)) - (portRef I0 (instanceRef slot0_cpol_not00011)) - (portRef I3 (instanceRef slot1_spi_transfersize_q_not0001_SW0)) - (portRef I3 (instanceRef slot0_spi_transfersize_q_not0001_SW0)) - (portRef I1 (instanceRef crc16_inst_data_q_and00011)) - (portRef I3 (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - (portRef I0 (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - (portRef I1 (instanceRef uart_inst_divider_rx_q_not00011)) - (portRef I3 (instanceRef slot11_tx_core_tbuff_r_not00011)) - (portRef I0 (instanceRef slot11_fifo_instance_rdaddr_and00001)) - (portRef I1 (instanceRef slot11_divider_rx_q_not00011)) - (portRef I0 (instanceRef slot1_cpol_not00011)) - (portRef I3 (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - (portRef I2 (instanceRef crc16_inst_crc_q_not0002_renamed_1134)) - (portRef I0 (instanceRef slot1_wb_dat_o_9_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_8_mux00001)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_wb_inta_o_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_mask_q_not00011)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not00011)) - (portRef I2 (instanceRef gpio_inst_output_mapper_q_1_and00001)) - (portRef I0 (instanceRef gpio_inst_output_mapper_q_0_and00001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_ien_and00001)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_33_and000041)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and000041)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - (portRef I1 (instanceRef gpio_inst_wb_adr_i_3_1)) - (portRef I0 (instanceRef slot1_wb_dat_o_7_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_6_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_5_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_4_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_3_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_2_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_1_mux00001)) - (portRef I0 (instanceRef slot1_wb_dat_o_0_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_7_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_6_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_5_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_4_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_3_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_2_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_1_mux00001)) - (portRef I0 (instanceRef slot0_wb_dat_o_0_mux00001)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_11_and000041)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387)) - (portRef I0 (instanceRef timers_inst_timer1_inst_Mmux_wb_dat_o_0_211)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I0 (instanceRef uart_inst_wb_dat_o_2_mux00001)) - (portRef I0 (instanceRef uart_inst_wb_dat_o_1_mux00001)) - (portRef I0 (instanceRef uart_inst_wb_dat_o_0_mux00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_71)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_81)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_91)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_111)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_121)) - (portRef I1 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_131)) - (portRef I2 (instanceRef slot_address_0__26__928_renamed_1916)) - (portRef I2 (instanceRef slot_address_0__26__927_renamed_1917)) - (portRef I2 (instanceRef slot_address_0__26__926_renamed_1918)) - (portRef I2 (instanceRef slot_address_0__26__925_renamed_1919)) - (portRef I2 (instanceRef slot_address_0__26__924_renamed_1920)) - (portRef I0 (instanceRef slot_address_0__26__930_renamed_1925)) - (portRef I0 (instanceRef slot_address_0__26__929_renamed_1926)) - (portRef I2 (instanceRef slot_address_0__26__923_renamed_1927)) - (portRef I2 (instanceRef slot_address_0__26__723_renamed_1928)) - (portRef I2 (instanceRef slot_address_0__26__922_renamed_1929)) - (portRef I2 (instanceRef slot_address_0__26__722_renamed_1930)) - (portRef I2 (instanceRef slot_address_0__26__920_renamed_1931)) - (portRef I2 (instanceRef slot_address_0__26__720_renamed_1932)) - (portRef I2 (instanceRef slot_address_0__26__919_renamed_1933)) - (portRef I2 (instanceRef slot_address_0__26__719_renamed_1934)) - (portRef I2 (instanceRef slot_address_0__26__918_renamed_1935)) - (portRef I2 (instanceRef slot_address_0__26__718_renamed_1936)) - (portRef I2 (instanceRef slot_address_0__26__917_renamed_1937)) - (portRef I2 (instanceRef slot_address_0__26__717_renamed_1938)) - (portRef I2 (instanceRef slot_address_0__26__916_renamed_1939)) - (portRef I2 (instanceRef slot_address_0__26__716_renamed_1940)) - (portRef I2 (instanceRef slot_address_0__26__915_renamed_1941)) - (portRef I2 (instanceRef slot_address_0__26__715_renamed_1942)) - (portRef I2 (instanceRef slot_address_0__26__914_renamed_1943)) - (portRef I2 (instanceRef slot_address_0__26__714_renamed_1944)) - (portRef I2 (instanceRef slot_address_0__26__913_renamed_1945)) - (portRef I2 (instanceRef slot_address_0__26__713_renamed_1946)) - (portRef I2 (instanceRef slot_address_0__26__912_renamed_1947)) - (portRef I2 (instanceRef slot_address_0__26__712_renamed_1948)) - (portRef I2 (instanceRef slot_address_0__26__911_renamed_1949)) - (portRef I2 (instanceRef slot_address_0__26__711_renamed_1950)) - (portRef I2 (instanceRef slot_address_0__26__99_renamed_1951)) - (portRef I2 (instanceRef slot_address_0__26__79_renamed_1952)) - (portRef I2 (instanceRef slot_address_0__26__98_renamed_1953)) - (portRef I2 (instanceRef slot_address_0__26__78_renamed_1954)) - (portRef I2 (instanceRef slot_address_0__26__97_renamed_1955)) - (portRef I2 (instanceRef slot_address_0__26__77_renamed_1956)) - (portRef I2 (instanceRef slot_address_0__26__96_renamed_1957)) - (portRef I2 (instanceRef slot_address_0__26__76_renamed_1958)) - (portRef I2 (instanceRef slot_address_0__26__95_renamed_1959)) - (portRef I2 (instanceRef slot_address_0__26__94_renamed_1960)) - (portRef I2 (instanceRef slot_address_0__26__93_renamed_1961)) - (portRef I2 (instanceRef slot_address_0__26__92_renamed_1962)) - (portRef I2 (instanceRef slot_address_0__26__91_renamed_1963)) - (portRef I2 (instanceRef slot_address_0__26__9_renamed_1964)) - (portRef I2 (instanceRef slot_address_0__26__75_renamed_1986)) - (portRef I2 (instanceRef slot_address_0__26__74_renamed_1987)) - (portRef I2 (instanceRef slot_address_0__26__73_renamed_1988)) - (portRef I2 (instanceRef slot_address_0__26__72_renamed_1989)) - (portRef I2 (instanceRef slot_address_0__26__71_renamed_1990)) - (portRef I2 (instanceRef slot_address_0__26__7_renamed_1991)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - (portRef I2 (instanceRef crc16_inst_poly_q_not0001_renamed_2107)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_31_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_30_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_29_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_28_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_27_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_26_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_25_1)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_24_1)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_SW1)) - (portRef I0 (instanceRef sigmadelta_inst_le_q_not0001_SW1)) - (portRef I3 (instanceRef slot0_spi_txblock_q_not00011)) - (portRef I3 (instanceRef slot1_spi_txblock_q_not00011)) - (portRef I1 (instanceRef slot0_spi_en_or0000_SW1)) - (portRef I1 (instanceRef slot1_spi_en_or0000_SW1)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_123_G)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_123_G)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_130_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_8_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_8_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_15_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_15_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_14_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_14_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_13_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_13_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_12_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_12_49_G)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_11_49_F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_11_49_G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_23__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_23__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_22__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_22__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_21__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_21__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_20__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_20__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_19__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_19__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_18__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_18__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_17__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_17__G)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_16__F)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_16__G)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 13) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I2 (instanceRef uart_inst_tx_core_loaded_r_not00012_renamed_2230)) - (portRef I2 (instanceRef slot11_tx_core_loaded_r_not00012_renamed_2232)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - (portRef I1 (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I0 (instanceRef gpio_inst_gpio_q_0_not00015)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_17_and000041)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_16_and000041)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and000041)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_23__ "zpuino/io/addr_save_q<23>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_23)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_7_renamed_407)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_81_renamed_405)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_9_renamed_404)) - (portRef I0 (instanceRef slot_address_0__26__81_renamed_400)) - (portRef I0 (instanceRef slot_address_0__26__83_renamed_397)) - (portRef I0 (instanceRef slot_address_0__26__85_renamed_396)) - (portRef I0 (instanceRef slot_address_0__26__87_renamed_395)) - (portRef I0 (instanceRef slot_address_0__26__89_renamed_394)) - (portRef I0 (instanceRef slot_address_0__26__811_renamed_393)) - (portRef I0 (instanceRef slot_address_0__26__813_renamed_392)) - (portRef I0 (instanceRef slot_address_0__26__815_renamed_391)) - (portRef I0 (instanceRef slot_address_0__26__817_renamed_390)) - (portRef I0 (instanceRef slot_address_0__26__819_renamed_389)) - (portRef I0 (instanceRef slot_address_0__26__710_renamed_388)) - (portRef I0 (instanceRef slot_address_0__26__821_renamed_387)) - (portRef I0 (instanceRef slot_address_0__26__910_renamed_386)) - (portRef I0 (instanceRef slot_address_0__26__823_renamed_385)) - (portRef I0 (instanceRef slot_address_0__26__825_renamed_384)) - (portRef I0 (instanceRef slot_address_0__26__827_renamed_383)) - (portRef I0 (instanceRef slot_address_0__26__829_renamed_382)) - (portRef I0 (instanceRef slot_address_0__26__831_renamed_381)) - (portRef I0 (instanceRef slot_address_0__26__833_renamed_380)) - (portRef I0 (instanceRef slot_address_0__26__835_renamed_379)) - (portRef I0 (instanceRef slot_address_0__26__837_renamed_378)) - (portRef I0 (instanceRef slot_address_0__26__839_renamed_377)) - (portRef I0 (instanceRef slot_address_0__26__841_renamed_376)) - (portRef I0 (instanceRef slot_address_0__26__721_renamed_375)) - (portRef I0 (instanceRef slot_address_0__26__843_renamed_374)) - (portRef I0 (instanceRef slot_address_0__26__921_renamed_373)) - (portRef I0 (instanceRef slot_address_0__26__845_renamed_372)) - (portRef I0 (instanceRef slot_address_0__26__847_renamed_371)) - (portRef I0 (instanceRef slot_address_0__26__724_renamed_370)) - (portRef I0 (instanceRef slot_address_0__26__849_renamed_369)) - (portRef I0 (instanceRef slot_address_0__26__725_renamed_368)) - (portRef I0 (instanceRef slot_address_0__26__851_renamed_367)) - (portRef I0 (instanceRef slot_address_0__26__726_renamed_366)) - (portRef I0 (instanceRef slot_address_0__26__853_renamed_365)) - (portRef I0 (instanceRef slot_address_0__26__727_renamed_364)) - (portRef I0 (instanceRef slot_address_0__26__855_renamed_363)) - (portRef I0 (instanceRef slot_address_0__26__728_renamed_362)) - (portRef I0 (instanceRef slot_address_0__26__857_renamed_361)) - (portRef I0 (instanceRef slot_address_0__26__729_renamed_360)) - (portRef I0 (instanceRef slot_address_0__26__859_renamed_359)) - (portRef I0 (instanceRef slot_address_0__26__730_renamed_358)) - (portRef I0 (instanceRef slot_address_0__26__861_renamed_357)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_31__SW0)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_31__SW1)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_37)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_13_mux000011)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_29_rt_renamed_1846)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_28_rt_renamed_1847)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_27_rt_renamed_1848)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_26_rt_renamed_1849)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_25_rt_renamed_1850)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_24_rt_renamed_1851)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_23_rt_renamed_1852)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_22_rt_renamed_1853)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_21_rt_renamed_1854)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_20_rt_renamed_1855)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_19_rt_renamed_1856)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_18_rt_renamed_1857)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_17_rt_renamed_1858)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_16_rt_renamed_1859)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_15_rt_renamed_1860)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_14_rt_renamed_1861)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_13_rt_renamed_1862)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_12_rt_renamed_1863)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_11_rt_renamed_1864)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_10_rt_renamed_1865)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_9_rt_renamed_1866)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_8_rt_renamed_1867)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_7_rt_renamed_1868)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_6_rt_renamed_1869)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_5_rt_renamed_1870)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_4_rt_renamed_1871)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_3_rt_renamed_1872)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_2_rt_renamed_1873)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_1_rt_renamed_1874)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_0_rt_renamed_1875)) - (portRef I0 (instanceRef slot_address_0__26__6_f5_rt_renamed_1876)) - (portRef I0 (instanceRef zpuino_io_Mmux__varindex0000_6_f5_rt_renamed_1877)) - (portRef I0 (instanceRef slot_address_0__26__928_renamed_1916)) - (portRef I0 (instanceRef slot_address_0__26__927_renamed_1917)) - (portRef I0 (instanceRef slot_address_0__26__926_renamed_1918)) - (portRef I0 (instanceRef slot_address_0__26__925_renamed_1919)) - (portRef I0 (instanceRef slot_address_0__26__924_renamed_1920)) - (portRef I2 (instanceRef slot_address_0__26__930_renamed_1925)) - (portRef I2 (instanceRef slot_address_0__26__929_renamed_1926)) - (portRef I0 (instanceRef slot_address_0__26__923_renamed_1927)) - (portRef I0 (instanceRef slot_address_0__26__723_renamed_1928)) - (portRef I0 (instanceRef slot_address_0__26__922_renamed_1929)) - (portRef I0 (instanceRef slot_address_0__26__722_renamed_1930)) - (portRef I0 (instanceRef slot_address_0__26__920_renamed_1931)) - (portRef I0 (instanceRef slot_address_0__26__720_renamed_1932)) - (portRef I0 (instanceRef slot_address_0__26__919_renamed_1933)) - (portRef I0 (instanceRef slot_address_0__26__719_renamed_1934)) - (portRef I0 (instanceRef slot_address_0__26__918_renamed_1935)) - (portRef I0 (instanceRef slot_address_0__26__718_renamed_1936)) - (portRef I0 (instanceRef slot_address_0__26__917_renamed_1937)) - (portRef I0 (instanceRef slot_address_0__26__717_renamed_1938)) - (portRef I0 (instanceRef slot_address_0__26__916_renamed_1939)) - (portRef I0 (instanceRef slot_address_0__26__716_renamed_1940)) - (portRef I0 (instanceRef slot_address_0__26__915_renamed_1941)) - (portRef I0 (instanceRef slot_address_0__26__715_renamed_1942)) - (portRef I0 (instanceRef slot_address_0__26__914_renamed_1943)) - (portRef I0 (instanceRef slot_address_0__26__714_renamed_1944)) - (portRef I0 (instanceRef slot_address_0__26__913_renamed_1945)) - (portRef I0 (instanceRef slot_address_0__26__713_renamed_1946)) - (portRef I0 (instanceRef slot_address_0__26__912_renamed_1947)) - (portRef I0 (instanceRef slot_address_0__26__712_renamed_1948)) - (portRef I0 (instanceRef slot_address_0__26__911_renamed_1949)) - (portRef I0 (instanceRef slot_address_0__26__711_renamed_1950)) - (portRef I0 (instanceRef slot_address_0__26__99_renamed_1951)) - (portRef I0 (instanceRef slot_address_0__26__79_renamed_1952)) - (portRef I0 (instanceRef slot_address_0__26__98_renamed_1953)) - (portRef I0 (instanceRef slot_address_0__26__78_renamed_1954)) - (portRef I0 (instanceRef slot_address_0__26__97_renamed_1955)) - (portRef I0 (instanceRef slot_address_0__26__77_renamed_1956)) - (portRef I0 (instanceRef slot_address_0__26__96_renamed_1957)) - (portRef I0 (instanceRef slot_address_0__26__76_renamed_1958)) - (portRef I0 (instanceRef slot_address_0__26__95_renamed_1959)) - (portRef I0 (instanceRef slot_address_0__26__94_renamed_1960)) - (portRef I0 (instanceRef slot_address_0__26__93_renamed_1961)) - (portRef I0 (instanceRef slot_address_0__26__92_renamed_1962)) - (portRef I0 (instanceRef slot_address_0__26__91_renamed_1963)) - (portRef I0 (instanceRef slot_address_0__26__9_renamed_1964)) - (portRef I0 (instanceRef slot_address_0__26__75_renamed_1986)) - (portRef I0 (instanceRef slot_address_0__26__74_renamed_1987)) - (portRef I0 (instanceRef slot_address_0__26__73_renamed_1988)) - (portRef I0 (instanceRef slot_address_0__26__72_renamed_1989)) - (portRef I0 (instanceRef slot_address_0__26__71_renamed_1990)) - (portRef I0 (instanceRef slot_address_0__26__7_renamed_1991)) - (portRef I0 (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef I2 (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - (portRef S (instanceRef zpuino_io_io_device_ack118_f5)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - ) - ) - (net (rename zpuino_io_addr_save_q_24__ "zpuino/io/addr_save_q<24>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_24)) - (portRef S (instanceRef zpuino_io_Mmux__varindex0000_6_f5_renamed_406)) - (portRef S (instanceRef zpuino_io_Mmux__varindex0000_7_f5_renamed_403)) - (portRef S (instanceRef slot_address_0__26__6_f5_renamed_401)) - (portRef S (instanceRef slot_address_0__26__7_f5_renamed_399)) - (portRef S (instanceRef slot_address_0__26__6_f5_0)) - (portRef S (instanceRef slot_address_0__26__7_f5_0)) - (portRef S (instanceRef slot_address_0__26__6_f5_1)) - (portRef S (instanceRef slot_address_0__26__7_f5_1)) - (portRef S (instanceRef slot_address_0__26__6_f5_2)) - (portRef S (instanceRef slot_address_0__26__7_f5_2)) - (portRef S (instanceRef slot_address_0__26__6_f5_3)) - (portRef S (instanceRef slot_address_0__26__7_f5_3)) - (portRef S (instanceRef slot_address_0__26__6_f5_4)) - (portRef S (instanceRef slot_address_0__26__7_f5_4)) - (portRef S (instanceRef slot_address_0__26__6_f5_5)) - (portRef S (instanceRef slot_address_0__26__7_f5_5)) - (portRef S (instanceRef slot_address_0__26__6_f5_6)) - (portRef S (instanceRef slot_address_0__26__7_f5_6)) - (portRef S (instanceRef slot_address_0__26__6_f5_7)) - (portRef S (instanceRef slot_address_0__26__7_f5_7)) - (portRef S (instanceRef slot_address_0__26__6_f5_8)) - (portRef S (instanceRef slot_address_0__26__7_f5_8)) - (portRef S (instanceRef slot_address_0__26__6_f5_9)) - (portRef S (instanceRef slot_address_0__26__7_f5_9)) - (portRef S (instanceRef slot_address_0__26__6_f5_10)) - (portRef S (instanceRef slot_address_0__26__7_f5_10)) - (portRef S (instanceRef slot_address_0__26__6_f5_11)) - (portRef S (instanceRef slot_address_0__26__7_f5_11)) - (portRef S (instanceRef slot_address_0__26__6_f5_12)) - (portRef S (instanceRef slot_address_0__26__7_f5_12)) - (portRef S (instanceRef slot_address_0__26__6_f5_13)) - (portRef S (instanceRef slot_address_0__26__7_f5_13)) - (portRef S (instanceRef slot_address_0__26__6_f5_14)) - (portRef S (instanceRef slot_address_0__26__7_f5_14)) - (portRef S (instanceRef slot_address_0__26__6_f5_15)) - (portRef S (instanceRef slot_address_0__26__7_f5_15)) - (portRef S (instanceRef slot_address_0__26__6_f5_16)) - (portRef S (instanceRef slot_address_0__26__7_f5_16)) - (portRef S (instanceRef slot_address_0__26__6_f5_17)) - (portRef S (instanceRef slot_address_0__26__7_f5_17)) - (portRef S (instanceRef slot_address_0__26__6_f5_18)) - (portRef S (instanceRef slot_address_0__26__7_f5_18)) - (portRef S (instanceRef slot_address_0__26__6_f5_19)) - (portRef S (instanceRef slot_address_0__26__7_f5_19)) - (portRef S (instanceRef slot_address_0__26__6_f5_20)) - (portRef S (instanceRef slot_address_0__26__7_f5_20)) - (portRef S (instanceRef slot_address_0__26__6_f5_21)) - (portRef S (instanceRef slot_address_0__26__7_f5_21)) - (portRef S (instanceRef slot_address_0__26__6_f5_22)) - (portRef S (instanceRef slot_address_0__26__7_f5_22)) - (portRef S (instanceRef slot_address_0__26__6_f5_23)) - (portRef S (instanceRef slot_address_0__26__7_f5_23)) - (portRef S (instanceRef slot_address_0__26__6_f5_24)) - (portRef S (instanceRef slot_address_0__26__7_f5_24)) - (portRef S (instanceRef slot_address_0__26__6_f5_25)) - (portRef S (instanceRef slot_address_0__26__7_f5_25)) - (portRef S (instanceRef slot_address_0__26__6_f5_26)) - (portRef S (instanceRef slot_address_0__26__7_f5_26)) - (portRef S (instanceRef slot_address_0__26__6_f5_27)) - (portRef S (instanceRef slot_address_0__26__7_f5_27)) - (portRef S (instanceRef slot_address_0__26__6_f5_28)) - (portRef S (instanceRef slot_address_0__26__7_f5_28)) - (portRef S (instanceRef slot_address_0__26__6_f5_29)) - (portRef S (instanceRef slot_address_0__26__7_f5_29)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_31__SW1)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_37)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_13_mux000011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - (portRef I3 (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef I1 (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - (portRef I0 (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - (portRef I3 (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - ) - ) - (net (rename zpuino_io_addr_save_q_25__ "zpuino/io/addr_save_q<25>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_25)) - (portRef S (instanceRef zpuino_io_Mmux__varindex0000_5_f6_renamed_402)) - (portRef S (instanceRef slot_address_0__26__5_f6_renamed_398)) - (portRef S (instanceRef slot_address_0__26__5_f6_0)) - (portRef S (instanceRef slot_address_0__26__5_f6_1)) - (portRef S (instanceRef slot_address_0__26__5_f6_2)) - (portRef S (instanceRef slot_address_0__26__5_f6_3)) - (portRef S (instanceRef slot_address_0__26__5_f6_4)) - (portRef S (instanceRef slot_address_0__26__5_f6_5)) - (portRef S (instanceRef slot_address_0__26__5_f6_6)) - (portRef S (instanceRef slot_address_0__26__5_f6_7)) - (portRef S (instanceRef slot_address_0__26__5_f6_8)) - (portRef S (instanceRef slot_address_0__26__5_f6_9)) - (portRef S (instanceRef slot_address_0__26__5_f6_10)) - (portRef S (instanceRef slot_address_0__26__5_f6_11)) - (portRef S (instanceRef slot_address_0__26__5_f6_12)) - (portRef S (instanceRef slot_address_0__26__5_f6_13)) - (portRef S (instanceRef slot_address_0__26__5_f6_14)) - (portRef S (instanceRef slot_address_0__26__5_f6_15)) - (portRef S (instanceRef slot_address_0__26__5_f6_16)) - (portRef S (instanceRef slot_address_0__26__5_f6_17)) - (portRef S (instanceRef slot_address_0__26__5_f6_18)) - (portRef S (instanceRef slot_address_0__26__5_f6_19)) - (portRef S (instanceRef slot_address_0__26__5_f6_20)) - (portRef S (instanceRef slot_address_0__26__5_f6_21)) - (portRef S (instanceRef slot_address_0__26__5_f6_22)) - (portRef S (instanceRef slot_address_0__26__5_f6_23)) - (portRef S (instanceRef slot_address_0__26__5_f6_24)) - (portRef S (instanceRef slot_address_0__26__5_f6_25)) - (portRef S (instanceRef slot_address_0__26__5_f6_26)) - (portRef S (instanceRef slot_address_0__26__5_f6_27)) - (portRef S (instanceRef slot_address_0__26__5_f6_28)) - (portRef S (instanceRef slot_address_0__26__5_f6_29)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_6_mux00001)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_31__SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_31__SW1)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_5)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_17_37)) - (portRef I0 (instanceRef zpuino_io_io_device_ack41)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_5_mux00001)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_2_mux00001)) - (portRef I0 (instanceRef uart_inst_fifo_rd_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I2 (instanceRef zpuino_io_io_device_ack118_SW0)) - (portRef I3 (instanceRef slot9_ram_we1)) - (portRef I0 (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_102_SW0)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_102_SW0)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - (portRef I3 (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef I3 (instanceRef slot9_read_ended_and000011)) - (portRef I3 (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef S (instanceRef zpuino_io_io_read_selected_7_34_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_6_34_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_5_34_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_4_34_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_3_34_f5)) - (portRef I2 (instanceRef timers_inst_timer1_cyc1)) - (portRef I2 (instanceRef timers_inst_timer0_cyc1)) - (portRef I3 (instanceRef slot11_fifo_rd_and000011)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - (portRef I1 (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_26__ "zpuino/io/addr_save_q<26>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_26)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_6_mux00001)) - (portRef I3 (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_31__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_30__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_29__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_28__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_27__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_26__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_25__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_24__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_23__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_22__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_21__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_20__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_19__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_18__)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I1 (instanceRef zpuino_io_io_device_ack41)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_5_mux00001)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_2_mux00001)) - (portRef I3 (instanceRef uart_inst_fifo_rd_and000011)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I0 (instanceRef zpuino_io_io_device_ack118_SW0)) - (portRef I1 (instanceRef slot9_ram_we1)) - (portRef I1 (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029_SW0)) - (portRef I3 (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I0 (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_123_F)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_123_F)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_130_F)) - (portRef I1 (instanceRef slot9_read_ended_and000011)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef I0 (instanceRef zpuino_io_io_device_ack1182_renamed_2303)) - (portRef I0 (instanceRef timers_inst_timer1_cyc1)) - (portRef I0 (instanceRef timers_inst_timer0_cyc1)) - (portRef I2 (instanceRef slot11_fifo_rd_and000011)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_7_mux00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - (portRef I2 (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_3__ "zpuino/io/addr_save_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_3)) - (portRef D (instanceRef slot1_spi_transfersize_q_0)) - (portRef D (instanceRef slot0_spi_transfersize_q_0)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_renamed_425)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_51_renamed_426)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_6_renamed_427)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_0)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_53_renamed_431)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_61_renamed_432)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_1)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_55_renamed_435)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_62_renamed_436)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_2)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_57_renamed_439)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_63_renamed_440)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_3)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_59_renamed_443)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_64_renamed_444)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_4)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_511_renamed_447)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_65_renamed_448)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_5)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_513_renamed_451)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_66_renamed_452)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_6)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_515_renamed_455)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_67_renamed_456)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_7)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_517_renamed_459)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_8)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_519_renamed_463)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_9)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_521_renamed_467)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_10)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_523_renamed_471)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_68_renamed_472)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_11)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_525_renamed_475)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_12)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_527_renamed_479)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_13)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_529_renamed_483)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_14)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_531_renamed_487)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_15)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_533_renamed_490)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_69_renamed_491)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_16)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_535_renamed_494)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_610_renamed_495)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_17)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_537_renamed_498)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_611_renamed_499)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_18)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_539_renamed_502)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_612_renamed_503)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_19)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_541_renamed_506)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_613_renamed_507)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_20)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_543_renamed_510)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_614_renamed_511)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_21)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_545_renamed_514)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_615_renamed_515)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_22)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_547_renamed_518)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_616_renamed_519)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_23)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_549_renamed_522)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_617_renamed_523)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_24)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_551_renamed_525)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_618_renamed_526)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_25)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_553_renamed_528)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_619_renamed_529)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_26)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_555_renamed_531)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_620_renamed_532)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_27)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_557_renamed_534)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_621_renamed_535)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_28)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_559_renamed_537)) - (portRef I2 (instanceRef gpio_inst_Mmux_wb_dat_o_622_renamed_538)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_29)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_561_renamed_540)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_623_renamed_541)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_3_f5_30)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_563_renamed_543)) - (portRef I3 (instanceRef gpio_inst_Mmux_wb_dat_o_624_renamed_544)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_0__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_1__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_4__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_2__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_3__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_5__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_6__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_7__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_9__2_f5)) - (portRef S (instanceRef timers_inst_timer0_inst_Mmux_wb_dat_o_10__2_f5)) - (portRef I2 (instanceRef crc16_inst_data_q_and00011)) - (portRef I0 (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I1 (instanceRef gpio_inst_ppspin_q_96_not000111)) - (portRef I1 (instanceRef gpio_inst_ppspin_q_64_not000111)) - (portRef I3 (instanceRef gpio_inst_ppspin_q_32_not000111)) - (portRef I3 (instanceRef gpio_inst_ppspin_q_0_not000111)) - (portRef I3 (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef I3 (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef I3 (instanceRef gpio_inst_gpio_q_32_not000111)) - (portRef I3 (instanceRef gpio_inst_gpio_q_0_not000121)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_wb_inta_o_not00011)) - (portRef I0 (instanceRef zpuino_io_intr_inst_mask_q_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not00011)) - (portRef I0 (instanceRef gpio_inst_output_mapper_q_1_and00001)) - (portRef I1 (instanceRef gpio_inst_output_mapper_q_0_and00001)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_not00011)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_ien_and00001)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_cmp_not00011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_47_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_46_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_45_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_44_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_43_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_42_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_41_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_40_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_33_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and000011)) - (portRef I0 (instanceRef gpio_inst_wb_adr_i_3_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_not00011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00012)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_9_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_8_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_7_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_6_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_5_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_4_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_3_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_31_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_30_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_2_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_29_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_28_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_27_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_26_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_25_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_24_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_1_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_15_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_14_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_13_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_12_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_11_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_10_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and000011)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_not00016_renamed_1387)) - (portRef I1 (instanceRef timers_inst_timer1_inst_Mmux_wb_dat_o_0_211)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_9_0_renamed_1388)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_9_15_renamed_1389)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_10_0_renamed_1390)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_10_15_renamed_1391)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_8_16_renamed_1392)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_15_16_renamed_1393)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_14_16_renamed_1394)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_13_16_renamed_1395)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_12_16_renamed_1396)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_11_16_renamed_1397)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_ien_and00001)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_7_0_renamed_1417)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_6_0_renamed_1418)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_5_0_renamed_1419)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_4_0_renamed_1420)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_3_0_renamed_1421)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_2_0_renamed_1422)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_2_15_renamed_1423)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_1_0_renamed_1424)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_1_15_renamed_1425)) - (portRef I1 (instanceRef timers_inst_wb_dat_o_0_0_renamed_1426)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_0_15_renamed_1427)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_71)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_81)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_91)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_111)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_121)) - (portRef I0 (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_131)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_31_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_30_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_29_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_28_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_27_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_26_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_25_1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_24_1)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00012)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not0001_SW1)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_123_G)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_123_G)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_130_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_8_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_8_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_15_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_15_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_14_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_14_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_13_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_13_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_12_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_12_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_11_49_F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_11_49_G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_23__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_23__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_22__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_22__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_21__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_21__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_20__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_20__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_19__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_19__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_18__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_18__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_17__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_17__G)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_16__F)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_16__G)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 12) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef S (instanceRef zpuino_io_io_read_selected_17_39_f5)) - (portRef S (instanceRef zpuino_io_io_read_selected_16_39_f5)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cnt_and00011)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_7_15_renamed_2316)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_6_15_renamed_2317)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_5_15_renamed_2318)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_4_15_renamed_2319)) - (portRef I2 (instanceRef timers_inst_wb_dat_o_3_15_renamed_2320)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_4__ "zpuino/io/addr_save_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_4)) - (portRef D (instanceRef slot1_spi_transfersize_q_1)) - (portRef D (instanceRef slot0_spi_transfersize_q_1)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_renamed_428)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_0)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_1)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_2)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_3)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_4)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_5)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_6)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_7_renamed_460)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_8_renamed_464)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_9_renamed_468)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_10)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_11_renamed_476)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_12_renamed_480)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_13_renamed_484)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_14)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_15)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_16)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_17)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_18)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_19)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_20)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_21)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_22)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_23)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_24)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_25)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_26)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_27)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_28)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_29)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_4_f5_30)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_1__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_0__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_2__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_3__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_4__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_5__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_6__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_7__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_8__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_9__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_10__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_11__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_12__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_13__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_14__2_f5)) - (portRef S (instanceRef crc16_inst_Mmux_wb_dat_o_15__2_f5)) - (portRef I0 (instanceRef crc16_inst_data_q_and00011)) - (portRef I3 (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I0 (instanceRef gpio_inst_ppspin_q_96_not000111)) - (portRef I0 (instanceRef gpio_inst_ppspin_q_64_not000111)) - (portRef I0 (instanceRef gpio_inst_ppspin_q_32_not000111)) - (portRef I0 (instanceRef gpio_inst_ppspin_q_0_not000111)) - (portRef I2 (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef I2 (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef I1 (instanceRef gpio_inst_gpio_q_32_not000111)) - (portRef I1 (instanceRef gpio_inst_gpio_q_0_not000121)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_47_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_46_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_45_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_44_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_43_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_42_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_41_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_40_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_33_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and000011)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_9_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_8_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_7_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_6_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_5_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_4_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_3_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_31_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_30_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_2_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_29_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_28_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_27_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_26_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_25_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_24_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_1_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_15_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_14_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_13_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_12_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_11_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_10_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and000011)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 11) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132_SW0)) - ) - ) - (net (rename zpuino_io_addr_save_q_5__ "zpuino/io/addr_save_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_5)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_0)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_1)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_2)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_3)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_4)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_5)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_6)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_7)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_8)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_9)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_10)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_11)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_12)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_13)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_14)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_15)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_16)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_17)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_18)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_19)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_20)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_21)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_22)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_23)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_24)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_25)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_26)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_27)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_28)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_29)) - (portRef S (instanceRef gpio_inst_Mmux_wb_dat_o_2_f6_30)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I2 (instanceRef gpio_inst_ppspin_q_96_not000111)) - (portRef I2 (instanceRef gpio_inst_ppspin_q_64_not000111)) - (portRef I2 (instanceRef gpio_inst_ppspin_q_32_not000111)) - (portRef I2 (instanceRef gpio_inst_ppspin_q_0_not000111)) - (portRef I0 (instanceRef gpio_inst_gpio_tris_q_32_not000111)) - (portRef I0 (instanceRef gpio_inst_gpio_tris_q_0_not000111)) - (portRef I0 (instanceRef gpio_inst_gpio_q_32_not000111)) - (portRef I0 (instanceRef gpio_inst_gpio_q_0_not000121)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_47_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_46_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_45_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_44_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_43_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_42_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_41_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_40_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_33_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and000011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_9_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_8_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_7_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_6_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_5_and000011)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_4_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_3_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_31_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_30_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_2_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_29_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_28_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_27_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_26_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_25_and000011)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_24_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_1_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_15_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_14_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_13_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_12_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_11_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_10_and000011)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and000011)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 10) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I2 (instanceRef gpio_inst_output_mapper_q_1_and00001_SW01)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - ) - ) - (net (rename zpuino_io_addr_save_q_6__ "zpuino/io/addr_save_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_6)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I1 (instanceRef gpio_inst_output_mapper_q_1_and00001)) - (portRef I2 (instanceRef gpio_inst_output_mapper_q_0_and00001)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_33_and000041)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and000041)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_11_and000041)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 9) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not000111)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_17_and000041)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_16_and000041)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and000041)) - ) - ) - (net (rename zpuino_io_addr_save_q_7__ "zpuino/io/addr_save_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_7)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux00007_renamed_1135)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_cmp_not000111)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_not00011_SW0)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef I0 (instanceRef timers_inst_timer1_inst_tmrr_intr_not000132)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 8) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef S (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_not00011_f5)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - ) - ) - (net (rename zpuino_io_addr_save_q_8__ "zpuino/io/addr_save_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_8)) - (portRef I0 (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_9_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_10_40)) - (portRef I0 (instanceRef timers_inst_timer1_we1)) - (portRef I0 (instanceRef timers_inst_timer1_stb1)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_7_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_6_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_5_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_4_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_3_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_2_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_1_40)) - (portRef I0 (instanceRef timers_inst_wb_dat_o_0_40)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_31_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_30_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_29_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_28_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_27_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_26_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_25_1)) - (portRef I3 (instanceRef timers_inst_wb_dat_o_24_1)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000029)) - (portRef S (instanceRef timers_inst_wb_dat_o_8_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_15_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_14_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_13_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_12_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_11_49)) - (portRef S (instanceRef timers_inst_wb_dat_o_23__)) - (portRef S (instanceRef timers_inst_wb_dat_o_22__)) - (portRef S (instanceRef timers_inst_wb_dat_o_21__)) - (portRef S (instanceRef timers_inst_wb_dat_o_20__)) - (portRef S (instanceRef timers_inst_wb_dat_o_19__)) - (portRef S (instanceRef timers_inst_wb_dat_o_18__)) - (portRef S (instanceRef timers_inst_wb_dat_o_17__)) - (portRef S (instanceRef timers_inst_wb_dat_o_16__)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 7) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 5) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef timers_inst_timer1_cyc1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef I1 (instanceRef timers_inst_timer0_cyc1)) - (portRef I3 (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - ) - ) - (net (rename zpuino_io_addr_save_q_9__ "zpuino/io/addr_save_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_addr_save_q_9)) - (portRef I0 (instanceRef gpio_inst_gpio_q_32_not00014_SW0)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and0000517_renamed_1223)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member ADDRA 6) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef (member ADDRA 4) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef S (instanceRef gpio_inst_output_mapper_q_1_and00001_SW0_f5)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and000061)) - ) - ) - (net (rename zpuino_io_addr_save_q_not0001 "zpuino/io/addr_save_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_addr_save_q_2)) - (portRef CE (instanceRef zpuino_io_addr_save_q_3)) - (portRef CE (instanceRef zpuino_io_addr_save_q_4)) - (portRef CE (instanceRef zpuino_io_addr_save_q_5)) - (portRef CE (instanceRef zpuino_io_addr_save_q_6)) - (portRef CE (instanceRef zpuino_io_addr_save_q_7)) - (portRef CE (instanceRef zpuino_io_addr_save_q_8)) - (portRef CE (instanceRef zpuino_io_addr_save_q_9)) - (portRef CE (instanceRef zpuino_io_addr_save_q_10)) - (portRef CE (instanceRef zpuino_io_addr_save_q_11)) - (portRef CE (instanceRef zpuino_io_addr_save_q_12)) - (portRef CE (instanceRef zpuino_io_addr_save_q_13)) - (portRef CE (instanceRef zpuino_io_addr_save_q_14)) - (portRef CE (instanceRef zpuino_io_addr_save_q_15)) - (portRef CE (instanceRef zpuino_io_addr_save_q_16)) - (portRef CE (instanceRef zpuino_io_addr_save_q_23)) - (portRef CE (instanceRef zpuino_io_addr_save_q_24)) - (portRef CE (instanceRef zpuino_io_addr_save_q_25)) - (portRef CE (instanceRef zpuino_io_addr_save_q_26)) - (portRef O (instanceRef zpuino_io_addr_save_q_not00011)) - ) - ) - (net (rename zpuino_io_addr_save_q_or0000 "zpuino/io/addr_save_q_or0000") - (joined - (portRef R (instanceRef zpuino_io_wb_in_transaction_renamed_4)) - (portRef O (instanceRef zpuino_io_addr_save_q_or00001)) - ) - ) - (net (rename zpuino_io_intr_inst_N0 "zpuino/io/intr_inst/N0") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_337)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_3_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N12 "zpuino/io/intr_inst/N12") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_1111)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - ) - ) - (net (rename zpuino_io_intr_inst_N16 "zpuino/io/intr_inst/N16") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_wb_inta_o_not00011)) - (portRef I2 (instanceRef zpuino_io_intr_inst_mask_q_not00011)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not00011)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - ) - ) - (net (rename zpuino_io_intr_inst_N2 "zpuino/io/intr_inst/N2") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_21)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N4 "zpuino/io/intr_inst/N4") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_8_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_7_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_6_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_5_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_2_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_1_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_17_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_15_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_14_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_13_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_12_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not00012)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_10_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_0_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename zpuino_io_intr_inst_N5 "zpuino/io/intr_inst/N5") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_337)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N6 "zpuino/io/intr_inst/N6") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_9__)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_6_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N8 "zpuino/io/intr_inst/N8") - (joined - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_16__)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17__)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_13_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - ) - ) - (net (rename zpuino_io_intr_inst_N9 "zpuino/io/intr_inst/N9") - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux0001111)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux0001 "zpuino/io/intr_inst/do_interrupt_mux0001") - (joined - (portRef S (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef I2 (instanceRef zpuino_io_intr_inst_iready_q_not00011)) - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - (portRef I2 (instanceRef zpuino_io_intr_inst_ien_q_or00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_served_q_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux000124 "zpuino/io/intr_inst/do_interrupt_mux000124") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - (portRef I2 (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux000132 "zpuino/io/intr_inst/do_interrupt_mux000132") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux00014 "zpuino/io/intr_inst/do_interrupt_mux00014") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux000144 "zpuino/io/intr_inst/do_interrupt_mux000144") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_do_interrupt_mux00019 "zpuino/io/intr_inst/do_interrupt_mux00019") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177_SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_ien_q "zpuino/io/intr_inst/ien_q") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef I0 (instanceRef zpuino_io_intr_inst_iready_q_not00011)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_mux00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - (portRef I0 (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename zpuino_io_intr_inst_ien_q_or0000 "zpuino/io/intr_inst/ien_q_or0000") - (joined - (portRef R (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef O (instanceRef zpuino_io_intr_inst_ien_q_or00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_0_ "zpuino/io/intr_inst/intr_detected_q<0>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_0)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_1111)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_0_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_0_not0001 "zpuino/io/intr_inst/intr_detected_q_0_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_0)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_0_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_1_ "zpuino/io/intr_inst/intr_detected_q<1>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux0001111)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_10_ "zpuino/io/intr_inst/intr_detected_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_10)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_10_and00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_10_not0001 "zpuino/io/intr_inst/intr_detected_q_10_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_10)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_10_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_11_ "zpuino/io/intr_inst/intr_detected_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_21)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_11_not0001 "zpuino/io/intr_inst/intr_detected_q_11_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_11)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not00012)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_12_ "zpuino/io/intr_inst/intr_detected_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_12)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_12_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_12_not0001 "zpuino/io/intr_inst/intr_detected_q_12_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_12)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_12_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_13_ "zpuino/io/intr_inst/intr_detected_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_13)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_13_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_13_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_13_not0001 "zpuino/io/intr_inst/intr_detected_q_13_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_13)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_13_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_14_ "zpuino/io/intr_inst/intr_detected_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_14)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_14_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_14_not0001 "zpuino/io/intr_inst/intr_detected_q_14_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_14)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_14_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_15_ "zpuino/io/intr_inst/intr_detected_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_15)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_15_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_15_not0001 "zpuino/io/intr_inst/intr_detected_q_15_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_15)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_15_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_16_ "zpuino/io/intr_inst/intr_detected_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_16)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_16_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_16_mux0000 "zpuino/io/intr_inst/intr_detected_q_16_mux0000") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_16)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_17)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_0)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_1)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_2)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_5)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_3)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_4)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_6)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_7)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_8)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_9)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_10)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_11)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_12)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_13)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_14)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_detected_q_15)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_16_mux00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_16_not0001 "zpuino/io/intr_inst/intr_detected_q_16_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_16)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_16_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_17_ "zpuino/io/intr_inst/intr_detected_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_17)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_17_not0001 "zpuino/io/intr_inst/intr_detected_q_17_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_17_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_1_not0001 "zpuino/io/intr_inst/intr_detected_q_1_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_1)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_1_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_2_ "zpuino/io/intr_inst/intr_detected_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_2)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_2_not0001 "zpuino/io/intr_inst/intr_detected_q_2_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_2)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_2_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_3_ "zpuino/io/intr_inst/intr_detected_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_3)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_3_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_3_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_3_not0001 "zpuino/io/intr_inst/intr_detected_q_3_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_3)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_4_ "zpuino/io/intr_inst/intr_detected_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_4)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_4_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_4_not0001 "zpuino/io/intr_inst/intr_detected_q_4_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_4)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_5_ "zpuino/io/intr_inst/intr_detected_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_5)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_5_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_5_not0001 "zpuino/io/intr_inst/intr_detected_q_5_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_5)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_5_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_6_ "zpuino/io/intr_inst/intr_detected_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_6)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_6_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_6_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_6_not0001 "zpuino/io/intr_inst/intr_detected_q_6_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_6)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_6_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_7_ "zpuino/io/intr_inst/intr_detected_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_7)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_7_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_7_not0001 "zpuino/io/intr_inst/intr_detected_q_7_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_7)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_7_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_8_ "zpuino/io/intr_inst/intr_detected_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_8)) - (portRef I0 (instanceRef zpuino_io_intr_inst_masked_ivecs_8_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_8_not0001 "zpuino/io/intr_inst/intr_detected_q_8_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_8)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_8_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_9_ "zpuino/io/intr_inst/intr_detected_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_detected_q_9)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_detected_q_9_not0001 "zpuino/io/intr_inst/intr_detected_q_9_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_detected_q_9)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_detected_q_9_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_in_q_3_ "zpuino/io/intr_inst/intr_in_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_in_q_3)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_in_q_4_ "zpuino/io/intr_inst/intr_in_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_in_q_4)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_level_q_16_ "zpuino/io/intr_inst/intr_level_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_16_391_renamed_2287)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_level_q_16_not0001 "zpuino/io/intr_inst/intr_level_q_16_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_level_q_16_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_level_q_17_ "zpuino/io/intr_inst/intr_level_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_391_renamed_2285)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_0_ "zpuino/io/intr_inst/intr_line<0>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_0)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_0_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_10_ "zpuino/io/intr_inst/intr_line<10>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_10)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_11_ "zpuino/io/intr_inst/intr_line<11>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_11)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_12_ "zpuino/io/intr_inst/intr_line<12>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_12)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_13_ "zpuino/io/intr_inst/intr_line<13>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_13)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_13_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_14_ "zpuino/io/intr_inst/intr_line<14>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_14)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_15_ "zpuino/io/intr_inst/intr_line<15>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_15)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_16_ "zpuino/io/intr_inst/intr_line<16>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_16)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_16__)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_17_ "zpuino/io/intr_inst/intr_line<17>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17__)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_17_315 "zpuino/io/intr_inst/intr_line<17>315") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_337)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_17_335 "zpuino/io/intr_inst/intr_line<17>335") - (joined - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_337)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_1_ "zpuino/io/intr_inst/intr_line<1>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_1)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_2_ "zpuino/io/intr_inst/intr_line<2>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_2)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_3_ "zpuino/io/intr_inst/intr_line<3>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_3)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_3_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_4_ "zpuino/io/intr_inst/intr_line<4>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_4)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_5_ "zpuino/io/intr_inst/intr_line<5>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_5)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_6_ "zpuino/io/intr_inst/intr_line<6>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_6)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_6_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_7_ "zpuino/io/intr_inst/intr_line<7>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_7)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_8_ "zpuino/io/intr_inst/intr_line<8>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_8)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_line_9_ "zpuino/io/intr_inst/intr_line<9>") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_intr_served_q_9)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_line_9__)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_0_ "zpuino/io/intr_inst/intr_served_q<0>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_0)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_0_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_130_G)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_1_ "zpuino/io/intr_inst/intr_served_q<1>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_1_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_123_G)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_10_ "zpuino/io/intr_inst/intr_served_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_10)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_10_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_11_ "zpuino/io/intr_inst/intr_served_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not00012)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_12_ "zpuino/io/intr_inst/intr_served_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_12)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_12_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_13_ "zpuino/io/intr_inst/intr_served_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_13)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_13_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_14_ "zpuino/io/intr_inst/intr_served_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_14)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_14_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_15_ "zpuino/io/intr_inst/intr_served_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_15)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_15_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_16_ "zpuino/io/intr_inst/intr_served_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_16)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_17_ "zpuino/io/intr_inst/intr_served_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_17)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_17_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_2_ "zpuino/io/intr_inst/intr_served_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_2)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_2_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_123_G)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_3_ "zpuino/io/intr_inst/intr_served_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_3)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_3_not0001_renamed_2147)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_4_ "zpuino/io/intr_inst/intr_served_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_4)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_4_not0001_renamed_2146)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_5_ "zpuino/io/intr_inst/intr_served_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_5)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_5_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_6_ "zpuino/io/intr_inst/intr_served_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_6)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_6_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_7_ "zpuino/io/intr_inst/intr_served_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_7)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_7_not00011)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_8_ "zpuino/io/intr_inst/intr_served_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_8)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_8_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_9_ "zpuino/io/intr_inst/intr_served_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_intr_served_q_9)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_9_not00011)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - ) - ) - (net (rename zpuino_io_intr_inst_intr_served_q_not0001 "zpuino/io/intr_inst/intr_served_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_0)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_1)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_2)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_3)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_4)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_5)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_6)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_7)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_8)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_9)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_10)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_11)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_12)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_13)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_14)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_15)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_16)) - (portRef CE (instanceRef zpuino_io_intr_inst_intr_served_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_intr_served_q_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_iready_q "zpuino/io/intr_inst/iready_q") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_detected_q_16_mux00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000177)) - (portRef I3 (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename zpuino_io_intr_inst_iready_q_mux0000 "zpuino/io/intr_inst/iready_q_mux0000") - (joined - (portRef D (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef O (instanceRef zpuino_io_intr_inst_iready_q_mux00001)) - ) - ) - (net (rename zpuino_io_intr_inst_iready_q_not0001 "zpuino/io/intr_inst/iready_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_iready_q_renamed_799)) - (portRef O (instanceRef zpuino_io_intr_inst_iready_q_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_0_ "zpuino/io/intr_inst/mask_q<0>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_1111)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_0_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_0_130_G)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_1_ "zpuino/io/intr_inst/mask_q<1>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux0001111)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_1_1)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_1_123_G)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_10_ "zpuino/io/intr_inst/mask_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_10_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_11_1)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_11_ "zpuino/io/intr_inst/mask_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_21)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_12_ "zpuino/io/intr_inst/mask_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_12_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_13_ "zpuino/io/intr_inst/mask_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_13_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_13_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_14_ "zpuino/io/intr_inst/mask_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_14_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_16__SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_15_ "zpuino/io/intr_inst/mask_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_15_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_16_ "zpuino/io/intr_inst/mask_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_16_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_17_ "zpuino/io/intr_inst/mask_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_SW1)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_2_ "zpuino/io/intr_inst/mask_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_2_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17_11)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_2_123_G)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_3_ "zpuino/io/intr_inst/mask_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_3_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_3_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_4_ "zpuino/io/intr_inst/mask_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_4_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_5_ "zpuino/io/intr_inst/mask_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_5_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_6_ "zpuino/io/intr_inst/mask_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_6_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_6_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_7_ "zpuino/io/intr_inst/mask_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_7_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_8_ "zpuino/io/intr_inst/mask_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef I1 (instanceRef zpuino_io_intr_inst_masked_ivecs_8_and00001)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_9_ "zpuino/io/intr_inst/mask_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000132_renamed_1386)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_9__SW0)) - ) - ) - (net (rename zpuino_io_intr_inst_mask_q_not0001 "zpuino/io/intr_inst/mask_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef CE (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef O (instanceRef zpuino_io_intr_inst_mask_q_not00011)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_10__ "zpuino/io/intr_inst/masked_ivecs<10>") - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_10_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_10_1)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_12__ "zpuino/io/intr_inst/masked_ivecs<12>") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_12_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_41)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_12_and00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_13__ "zpuino/io/intr_inst/masked_ivecs<13>") - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_13_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_14__ "zpuino/io/intr_inst/masked_ivecs<14>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17__SW0)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_14_and00001)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00014_renamed_1383)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_14_1)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_15__ "zpuino/io/intr_inst/masked_ivecs<15>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_16__)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17__)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_15_1)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_15_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_16__ "zpuino/io/intr_inst/masked_ivecs<16>") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_16__)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17__)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_16_and00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_3__ "zpuino/io/intr_inst/masked_ivecs<3>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_3_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_4__ "zpuino/io/intr_inst/masked_ivecs<4>") - (joined - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_4_and00001)) - (portRef I3 (instanceRef zpuino_io_intr_inst_do_interrupt_mux00019_renamed_1384)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_4_1)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_5__ "zpuino/io/intr_inst/masked_ivecs<5>") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_5_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_9_11)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_5_and00001)) - (portRef I2 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000144_renamed_2207)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_6__ "zpuino/io/intr_inst/masked_ivecs<6>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_6_and00001)) - (portRef I1 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_7__ "zpuino/io/intr_inst/masked_ivecs<7>") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_9__)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_17_335_renamed_1231)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_line_7_1)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_7_and00001)) - ) - ) - (net (rename zpuino_io_intr_inst_masked_ivecs_8__ "zpuino/io/intr_inst/masked_ivecs<8>") - (joined - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_line_9__)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_8_1)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_line_17_315_renamed_1230)) - (portRef O (instanceRef zpuino_io_intr_inst_masked_ivecs_8_and00001)) - (portRef I0 (instanceRef zpuino_io_intr_inst_do_interrupt_mux000124_renamed_1385)) - ) - ) - (net (rename zpuino_io_intr_inst_wb_inta_o "zpuino/io/intr_inst/wb_inta_o") - (joined - (portRef Q (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef I0 (instanceRef zpuino_core_exr_inInterrupt_mux00011)) - (portRef I2 (instanceRef zpuino_core_sampledTosSource_and00001)) - (portRef I2 (instanceRef zpuino_core_decr_stackOperation_mux0000_1_6_renamed_2140)) - ) - ) - (net (rename zpuino_io_intr_inst_wb_inta_o_not0001 "zpuino/io/intr_inst/wb_inta_o_not0001") - (joined - (portRef CE (instanceRef zpuino_io_intr_inst_wb_inta_o_renamed_798)) - (portRef CE (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef O (instanceRef zpuino_io_intr_inst_wb_inta_o_not00011)) - ) - ) - (net (rename zpuino_io_io_cyc "zpuino/io/io_cyc") - (joined - (portRef Q (instanceRef zpuino_io_io_cyc_renamed_309)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_13_mux000011)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - (portRef I0 (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I1 (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I0 (instanceRef zpuino_io_io_device_ack211_SW1)) - (portRef S (instanceRef zpuino_io_slot_cyc_i_0_mux0000_f5)) - (portRef I0 (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - (portRef I2 (instanceRef zpuino_io_io_device_ack1182_renamed_2303)) - (portRef I0 (instanceRef zpuino_io_slot_cyc_i_11_mux000011)) - (portRef I2 (instanceRef zpuino_io_slot_cyc_i_14_mux000011)) - ) - ) - (net (rename zpuino_io_io_cyc_or0000_inv "zpuino/io/io_cyc_or0000_inv") - (joined - (portRef CE (instanceRef zpuino_io_io_cyc_renamed_309)) - (portRef O (instanceRef zpuino_io_io_cyc_or0000_inv1)) - ) - ) - (net (rename zpuino_io_io_device_ack "zpuino/io/io_device_ack") - (joined - (portRef D (instanceRef zpuino_io_wb_ack_o_renamed_10)) - (portRef O (instanceRef zpuino_io_io_device_ack211)) - (portRef I3 (instanceRef zpuino_io_io_stb_not00011)) - ) - ) - (net (rename zpuino_io_io_device_ack100 "zpuino/io/io_device_ack100") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack100_renamed_2208)) - (portRef I0 (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - ) - ) - (net (rename zpuino_io_io_device_ack118 "zpuino/io/io_device_ack118") - (joined - (portRef I2 (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - (portRef O (instanceRef zpuino_io_io_device_ack118_f5)) - ) - ) - (net (rename zpuino_io_io_device_ack1181 "zpuino/io/io_device_ack1181") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - (portRef I1 (instanceRef zpuino_io_io_device_ack118_f5)) - ) - ) - (net (rename zpuino_io_io_device_ack1182 "zpuino/io/io_device_ack1182") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack1182_renamed_2303)) - (portRef I0 (instanceRef zpuino_io_io_device_ack118_f5)) - ) - ) - (net (rename zpuino_io_io_device_ack150 "zpuino/io/io_device_ack150") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef I1 (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - ) - ) - (net (rename zpuino_io_io_device_ack153 "zpuino/io/io_device_ack153") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - (portRef I3 (instanceRef zpuino_io_io_device_ack211)) - ) - ) - (net (rename zpuino_io_io_device_ack186 "zpuino/io/io_device_ack186") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack186_renamed_2142)) - (portRef I1 (instanceRef zpuino_io_io_device_ack211)) - ) - ) - (net (rename zpuino_io_io_device_ack30 "zpuino/io/io_device_ack30") - (joined - (portRef I1 (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef O (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - ) - ) - (net (rename zpuino_io_io_device_ack44 "zpuino/io/io_device_ack44") - (joined - (portRef O (instanceRef zpuino_io_io_device_ack44_renamed_1992)) - (portRef I2 (instanceRef zpuino_io_io_device_ack211_SW1)) - ) - ) - (net (rename zpuino_io_io_device_ack93 "zpuino/io/io_device_ack93") - (joined - (portRef I3 (instanceRef zpuino_io_io_device_ack153_renamed_1429)) - (portRef LO (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_ "zpuino/io/io_read_selected<0>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_0)) - (portRef O (instanceRef zpuino_io_io_read_selected_0_130)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_24 "zpuino/io/io_read_selected<0>24") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_31__SW0)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_31__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_30__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_29__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_28__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_27__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_26__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_25__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_24__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_23__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_22__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_21__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_20__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_19__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_18__)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_41 "zpuino/io/io_read_selected<0>41") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_41_renamed_1444)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_65 "zpuino/io/io_read_selected<0>65") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_65_renamed_1445)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - ) - ) - (net (rename zpuino_io_io_read_selected_0_83 "zpuino/io/io_read_selected<0>83") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_0_83_renamed_1971)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_0_130_F)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_ "zpuino/io/io_read_selected<10>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_10)) - (portRef O (instanceRef zpuino_io_io_read_selected_10_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_25 "zpuino/io/io_read_selected<10>25") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_9_25)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_26 "zpuino/io/io_read_selected<10>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_10_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_10_26_renamed_2034)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_5 "zpuino/io/io_read_selected<10>5") - (joined - (portRef I0 (instanceRef zpuino_io_io_read_selected_10_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_11_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_12_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_13_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_14_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_15_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_8_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_9_5)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_9_70)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_86)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_16_86)) - ) - ) - (net (rename zpuino_io_io_read_selected_10_61 "zpuino/io/io_read_selected<10>61") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_10_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_11_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_12_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_13_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_14_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_15_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_8_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_9_61)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_9_70)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_17_86)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_16_86)) - ) - ) - (net (rename zpuino_io_io_read_selected_11_ "zpuino/io/io_read_selected<11>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_11)) - (portRef O (instanceRef zpuino_io_io_read_selected_11_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_11_26 "zpuino/io/io_read_selected<11>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_11_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_11_26_renamed_2033)) - ) - ) - (net (rename zpuino_io_io_read_selected_12_ "zpuino/io/io_read_selected<12>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_12)) - (portRef O (instanceRef zpuino_io_io_read_selected_12_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_12_26 "zpuino/io/io_read_selected<12>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_12_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_12_26_renamed_2032)) - ) - ) - (net (rename zpuino_io_io_read_selected_13_ "zpuino/io/io_read_selected<13>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_13)) - (portRef O (instanceRef zpuino_io_io_read_selected_13_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_13_26 "zpuino/io/io_read_selected<13>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_13_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_13_26_renamed_2031)) - ) - ) - (net (rename zpuino_io_io_read_selected_14_ "zpuino/io/io_read_selected<14>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_14)) - (portRef O (instanceRef zpuino_io_io_read_selected_14_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_14_26 "zpuino/io/io_read_selected<14>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_14_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_14_26_renamed_2030)) - ) - ) - (net (rename zpuino_io_io_read_selected_15_ "zpuino/io/io_read_selected<15>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_15)) - (portRef O (instanceRef zpuino_io_io_read_selected_15_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_15_26 "zpuino/io/io_read_selected<15>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_15_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_15_26_renamed_2029)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_ "zpuino/io/io_read_selected<16>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_16)) - (portRef O (instanceRef zpuino_io_io_read_selected_16_86)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_37 "zpuino/io/io_read_selected<16>37") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_17_37)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_391_renamed_2285)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_16_391_renamed_2287)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_39 "zpuino/io/io_read_selected<16>39") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_16_86)) - (portRef O (instanceRef zpuino_io_io_read_selected_16_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_391 "zpuino/io/io_read_selected<16>391") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_16_391_renamed_2287)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_16_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_16_392 "zpuino/io/io_read_selected<16>392") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_16_392_renamed_2288)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_16_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_17_ "zpuino/io/io_read_selected<17>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_17)) - (portRef O (instanceRef zpuino_io_io_read_selected_17_86)) - ) - ) - (net (rename zpuino_io_io_read_selected_17_39 "zpuino/io/io_read_selected<17>39") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_17_86)) - (portRef O (instanceRef zpuino_io_io_read_selected_17_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_17_391 "zpuino/io/io_read_selected<17>391") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_17_391_renamed_2285)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_17_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_17_392 "zpuino/io/io_read_selected<17>392") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_17_392_renamed_2286)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_17_39_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_18_ "zpuino/io/io_read_selected<18>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_18)) - (portRef O (instanceRef zpuino_io_io_read_selected_18__)) - ) - ) - (net (rename zpuino_io_io_read_selected_19_ "zpuino/io/io_read_selected<19>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_19)) - (portRef O (instanceRef zpuino_io_io_read_selected_19__)) - ) - ) - (net (rename zpuino_io_io_read_selected_1_ "zpuino/io/io_read_selected<1>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_1)) - (portRef O (instanceRef zpuino_io_io_read_selected_1_123)) - ) - ) - (net (rename zpuino_io_io_read_selected_1_37 "zpuino/io/io_read_selected<1>37") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_37_renamed_1442)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - ) - ) - (net (rename zpuino_io_io_read_selected_1_60 "zpuino/io/io_read_selected<1>60") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_60_renamed_1443)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - ) - ) - (net (rename zpuino_io_io_read_selected_1_77 "zpuino/io/io_read_selected<1>77") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_1_77_renamed_1970)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_1_123_F)) - ) - ) - (net (rename zpuino_io_io_read_selected_20_ "zpuino/io/io_read_selected<20>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_20)) - (portRef O (instanceRef zpuino_io_io_read_selected_20__)) - ) - ) - (net (rename zpuino_io_io_read_selected_21_ "zpuino/io/io_read_selected<21>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_21)) - (portRef O (instanceRef zpuino_io_io_read_selected_21__)) - ) - ) - (net (rename zpuino_io_io_read_selected_22_ "zpuino/io/io_read_selected<22>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_22)) - (portRef O (instanceRef zpuino_io_io_read_selected_22__)) - ) - ) - (net (rename zpuino_io_io_read_selected_23_ "zpuino/io/io_read_selected<23>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_23)) - (portRef O (instanceRef zpuino_io_io_read_selected_23__)) - ) - ) - (net (rename zpuino_io_io_read_selected_24_ "zpuino/io/io_read_selected<24>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_24)) - (portRef O (instanceRef zpuino_io_io_read_selected_24__)) - ) - ) - (net (rename zpuino_io_io_read_selected_25_ "zpuino/io/io_read_selected<25>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_25)) - (portRef O (instanceRef zpuino_io_io_read_selected_25__)) - ) - ) - (net (rename zpuino_io_io_read_selected_26_ "zpuino/io/io_read_selected<26>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_26)) - (portRef O (instanceRef zpuino_io_io_read_selected_26__)) - ) - ) - (net (rename zpuino_io_io_read_selected_27_ "zpuino/io/io_read_selected<27>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_27)) - (portRef O (instanceRef zpuino_io_io_read_selected_27__)) - ) - ) - (net (rename zpuino_io_io_read_selected_28_ "zpuino/io/io_read_selected<28>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_28)) - (portRef O (instanceRef zpuino_io_io_read_selected_28__)) - ) - ) - (net (rename zpuino_io_io_read_selected_29_ "zpuino/io/io_read_selected<29>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_29)) - (portRef O (instanceRef zpuino_io_io_read_selected_29__)) - ) - ) - (net (rename zpuino_io_io_read_selected_2_ "zpuino/io/io_read_selected<2>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_2)) - (portRef O (instanceRef zpuino_io_io_read_selected_2_123)) - ) - ) - (net (rename zpuino_io_io_read_selected_2_37 "zpuino/io/io_read_selected<2>37") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_37_renamed_1440)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - ) - ) - (net (rename zpuino_io_io_read_selected_2_60 "zpuino/io/io_read_selected<2>60") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_60_renamed_1441)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - ) - ) - (net (rename zpuino_io_io_read_selected_2_77 "zpuino/io/io_read_selected<2>77") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_2_77_renamed_1969)) - (portRef I2 (instanceRef zpuino_io_io_read_selected_2_123_F)) - ) - ) - (net (rename zpuino_io_io_read_selected_30_ "zpuino/io/io_read_selected<30>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_30)) - (portRef O (instanceRef zpuino_io_io_read_selected_30__)) - ) - ) - (net (rename zpuino_io_io_read_selected_31_ "zpuino/io/io_read_selected<31>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_31)) - (portRef O (instanceRef zpuino_io_io_read_selected_31__)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_ "zpuino/io/io_read_selected<3>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_3)) - (portRef O (instanceRef zpuino_io_io_read_selected_3_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_102 "zpuino/io/io_read_selected<3>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_3_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_14 "zpuino/io/io_read_selected<3>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_14_renamed_1438)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_34 "zpuino/io/io_read_selected<3>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_341 "zpuino/io/io_read_selected<3>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_341_renamed_2272)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_3_50 "zpuino/io/io_read_selected<3>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_3_50_renamed_1439)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_3_102_renamed_2422)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_ "zpuino/io/io_read_selected<4>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_4)) - (portRef O (instanceRef zpuino_io_io_read_selected_4_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_102 "zpuino/io/io_read_selected<4>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_4_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_14 "zpuino/io/io_read_selected<4>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_14_renamed_1436)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_34 "zpuino/io/io_read_selected<4>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_341 "zpuino/io/io_read_selected<4>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_341_renamed_2271)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_4_50 "zpuino/io/io_read_selected<4>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_4_50_renamed_1437)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_4_102_renamed_2421)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_ "zpuino/io/io_read_selected<5>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_5)) - (portRef O (instanceRef zpuino_io_io_read_selected_5_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_102 "zpuino/io/io_read_selected<5>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_5_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_14 "zpuino/io/io_read_selected<5>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_14_renamed_1434)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_34 "zpuino/io/io_read_selected<5>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_341 "zpuino/io/io_read_selected<5>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_341_renamed_2270)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_5_50 "zpuino/io/io_read_selected<5>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_5_50_renamed_1435)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_5_102_renamed_2420)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_ "zpuino/io/io_read_selected<6>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_6)) - (portRef O (instanceRef zpuino_io_io_read_selected_6_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_102 "zpuino/io/io_read_selected<6>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_6_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_14 "zpuino/io/io_read_selected<6>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_14_renamed_1432)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_34 "zpuino/io/io_read_selected<6>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_341 "zpuino/io/io_read_selected<6>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_341_renamed_2269)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_6_50 "zpuino/io/io_read_selected<6>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_6_50_renamed_1433)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_6_102_renamed_2419)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_ "zpuino/io/io_read_selected<7>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_7)) - (portRef O (instanceRef zpuino_io_io_read_selected_7_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_102 "zpuino/io/io_read_selected<7>102") - (joined - (portRef I2 (instanceRef zpuino_io_io_read_selected_7_114)) - (portRef LO (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_14 "zpuino/io/io_read_selected<7>14") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_14_renamed_1430)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_114)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_34 "zpuino/io/io_read_selected<7>34") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_34_f5)) - (portRef I1 (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_341 "zpuino/io/io_read_selected<7>341") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_341_renamed_2268)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_34_f5)) - ) - ) - (net (rename zpuino_io_io_read_selected_7_50 "zpuino/io/io_read_selected<7>50") - (joined - (portRef O (instanceRef zpuino_io_io_read_selected_7_50_renamed_1431)) - (portRef I3 (instanceRef zpuino_io_io_read_selected_7_102_renamed_2418)) - ) - ) - (net (rename zpuino_io_io_read_selected_8_ "zpuino/io/io_read_selected<8>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_8)) - (portRef O (instanceRef zpuino_io_io_read_selected_8_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_8_26 "zpuino/io/io_read_selected<8>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_8_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_8_26_renamed_2028)) - ) - ) - (net (rename zpuino_io_io_read_selected_9_ "zpuino/io/io_read_selected<9>") - (joined - (portRef D (instanceRef zpuino_io_wb_dat_o_9)) - (portRef O (instanceRef zpuino_io_io_read_selected_9_70)) - ) - ) - (net (rename zpuino_io_io_read_selected_9_26 "zpuino/io/io_read_selected<9>26") - (joined - (portRef I3 (instanceRef zpuino_io_io_read_selected_9_70)) - (portRef O (instanceRef zpuino_io_io_read_selected_9_26_renamed_2027)) - ) - ) - (net (rename zpuino_io_io_stb "zpuino/io/io_stb") - (joined - (portRef Q (instanceRef zpuino_io_io_stb_renamed_3)) - (portRef I0 (instanceRef slot0_spi_transfersize_q_not0001211)) - (portRef I1 (instanceRef slot0_trans_or00001)) - (portRef I1 (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - (portRef I0 (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - (portRef I2 (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I1 (instanceRef slot1_trans_or00001)) - (portRef I1 (instanceRef gpio_inst_gpio_q_32_not00014_SW0)) - (portRef I3 (instanceRef crc16_inst_data_q_and000011)) - (portRef I1 (instanceRef timers_inst_timer1_stb1)) - (portRef I1 (instanceRef crc16_inst_poly_q_not000111)) - (portRef I2 (instanceRef uart_inst_fifo_rd_and000011)) - (portRef I3 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I2 (instanceRef zpuino_io_io_device_ack30_renamed_2141)) - (portRef I1 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef I1 (instanceRef sigmadelta_inst_le_q_not0001_SW1)) - (portRef I1 (instanceRef slot0_spi_txblock_q_not00011)) - (portRef I1 (instanceRef slot1_spi_txblock_q_not00011)) - (portRef I2 (instanceRef slot0_spi_en_or0000_SW1)) - (portRef I2 (instanceRef slot1_spi_en_or0000_SW1)) - (portRef I0 (instanceRef zpuino_io_io_device_ack211)) - (portRef I2 (instanceRef zpuino_io_io_device_ack100_SW1)) - (portRef I2 (instanceRef zpuino_io_io_device_ack150_renamed_2210)) - (portRef I3 (instanceRef crc16_inst_ready_q_not0001_G)) - (portRef I0 (instanceRef slot9_read_ended_and000011)) - (portRef I2 (instanceRef zpuino_io_io_device_ack1181_renamed_2302)) - (portRef I1 (instanceRef zpuino_io_io_device_ack1182_renamed_2303)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef I1 (instanceRef slot11_fifo_rd_and000011)) - (portRef I0 (instanceRef zpuino_io_io_device_ack93_renamed_2321)) - (portRef I1 (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - (portRef I3 (instanceRef zpuino_io_io_device_ack44_SW0)) - ) - ) - (net (rename zpuino_io_io_stb_mux0000 "zpuino/io/io_stb_mux0000") - (joined - (portRef D (instanceRef zpuino_io_io_stb_renamed_3)) - (portRef O (instanceRef zpuino_io_io_stb_mux00001_INV_0)) - ) - ) - (net (rename zpuino_io_io_stb_not0001 "zpuino/io/io_stb_not0001") - (joined - (portRef CE (instanceRef zpuino_io_io_stb_renamed_3)) - (portRef O (instanceRef zpuino_io_io_stb_not00011)) - ) - ) - (net (rename zpuino_io_io_we "zpuino/io/io_we") - (joined - (portRef Q (instanceRef zpuino_io_io_we_renamed_310)) - (portRef I0 (instanceRef slot1_spi_en_mux00071)) - (portRef I0 (instanceRef slot0_spi_en_mux00071)) - (portRef I1 (instanceRef slot0_spi_transfersize_q_not0001211)) - (portRef I1 (instanceRef uart_inst_tx_core_tbuff_r_not00011)) - (portRef I3 (instanceRef uart_inst_fifo_instance_rdaddr_and00001)) - (portRef I0 (instanceRef uart_inst_divider_rx_q_not00011)) - (portRef I1 (instanceRef slot11_tx_core_tbuff_r_not00011)) - (portRef I3 (instanceRef slot11_fifo_instance_rdaddr_and00001)) - (portRef I0 (instanceRef slot11_divider_rx_q_not00011)) - (portRef I2 (instanceRef sigmadelta_inst_sd_en_q_0_not00011)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_0_not00011)) - (portRef I1 (instanceRef crc16_inst_crc_q_not0002_SW0)) - (portRef I2 (instanceRef crc16_inst_data_q_and000011)) - (portRef I0 (instanceRef crc16_inst_crcA_q_not00011)) - (portRef I1 (instanceRef timers_inst_timer1_we1)) - (portRef I0 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_32_and0000530_SW0)) - (portRef I3 (instanceRef zpuino_io_io_device_ack118_SW0)) - (portRef I0 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000111)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef I2 (instanceRef sigmadelta_inst_le_q_not0001_SW1)) - (portRef I2 (instanceRef slot0_spi_txblock_q_not00011)) - (portRef I2 (instanceRef slot1_spi_txblock_q_not00011)) - (portRef I2 (instanceRef crc16_inst_ready_q_not0001_G)) - (portRef S (instanceRef slot9_read_ended_and00001_f5)) - (portRef S (instanceRef uart_inst_tx_core_loaded_r_not0001_f5)) - (portRef S (instanceRef slot11_tx_core_loaded_r_not0001_f5)) - (portRef I2 (instanceRef gpio_inst_gpio_q_32_not00014)) - (portRef I2 (instanceRef gpio_inst_gpio_q_0_not00015)) - (portRef I2 (instanceRef gpio_inst_input_mapper_q_0_and00007_SW1)) - ) - ) - (net (rename zpuino_io_io_we_or0000_inv "zpuino/io/io_we_or0000_inv") - (joined - (portRef CE (instanceRef zpuino_io_io_we_renamed_310)) - (portRef O (instanceRef zpuino_io_io_we_or0000_inv1)) - ) - ) - (net (rename zpuino_io_slot_cyc_i_0_mux0000 "zpuino/io/slot_cyc_i_0_mux0000") - (joined - (portRef O (instanceRef zpuino_io_slot_cyc_i_0_mux00001)) - (portRef I1 (instanceRef zpuino_io_slot_cyc_i_0_mux0000_f5)) - ) - ) - (net (rename zpuino_io_slot_cyc_i_4_cmp_eq0000 "zpuino/io/slot_cyc_i_4_cmp_eq0000") - (joined - (portRef O (instanceRef zpuino_io_slot_cyc_i_4_cmp_eq00001)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_7_114)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_6_114)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_5_114)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_4_114)) - (portRef I0 (instanceRef zpuino_io_io_read_selected_3_114)) - (portRef I1 (instanceRef zpuino_io_intr_inst_intr_level_q_16_not000111)) - (portRef I3 (instanceRef zpuino_io_io_device_ack211_SW1)) - (portRef S (instanceRef zpuino_io_io_read_selected_2_123)) - (portRef S (instanceRef zpuino_io_io_read_selected_1_123)) - (portRef S (instanceRef zpuino_io_io_read_selected_0_130)) - ) - ) - (net (rename zpuino_io_wb_ack_o "zpuino/io/wb_ack_o") - (joined - (portRef Q (instanceRef zpuino_io_wb_ack_o_renamed_10)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000251)) - (portRef I2 (instanceRef zpuino_iomemmux_m_wb_ack_o1)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - (portRef I1 (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - (portRef I1 (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - (portRef I1 (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - (portRef I1 (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - (portRef I1 (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - (portRef I1 (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - (portRef I1 (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - (portRef I1 (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - (portRef I1 (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - (portRef I1 (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - (portRef I1 (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - (portRef I1 (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - (portRef I1 (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - (portRef I1 (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - (portRef I1 (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - (portRef I1 (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - (portRef I1 (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - (portRef I1 (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - (portRef I1 (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - (portRef I1 (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - (portRef I1 (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - (portRef I1 (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - (portRef I1 (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - (portRef I1 (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux00025138_G)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux0002810)) - ) - ) - (net (rename zpuino_io_wb_dat_o_0_ "zpuino/io/wb_dat_o<0>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_0)) - (portRef I3 (instanceRef zpuino_core_w1_tos_0_mux00025_renamed_2137)) - ) - ) - (net (rename zpuino_io_wb_dat_o_1_ "zpuino/io/wb_dat_o<1>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_1)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux00025_renamed_2108)) - ) - ) - (net (rename zpuino_io_wb_dat_o_10_ "zpuino/io/wb_dat_o<10>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_10)) - (portRef I3 (instanceRef zpuino_core_w1_tos_10_mux00020_renamed_2115)) - ) - ) - (net (rename zpuino_io_wb_dat_o_11_ "zpuino/io/wb_dat_o<11>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_11)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux00020_renamed_2116)) - ) - ) - (net (rename zpuino_io_wb_dat_o_12_ "zpuino/io/wb_dat_o<12>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_12)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux00020_renamed_2117)) - ) - ) - (net (rename zpuino_io_wb_dat_o_13_ "zpuino/io/wb_dat_o<13>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_13)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux00020_renamed_2118)) - ) - ) - (net (rename zpuino_io_wb_dat_o_14_ "zpuino/io/wb_dat_o<14>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_14)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux00020_renamed_2119)) - ) - ) - (net (rename zpuino_io_wb_dat_o_15_ "zpuino/io/wb_dat_o<15>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_15)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux00020_renamed_2120)) - ) - ) - (net (rename zpuino_io_wb_dat_o_16_ "zpuino/io/wb_dat_o<16>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_16)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux00020_renamed_2121)) - ) - ) - (net (rename zpuino_io_wb_dat_o_17_ "zpuino/io/wb_dat_o<17>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_17)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux00020_renamed_2122)) - ) - ) - (net (rename zpuino_io_wb_dat_o_18_ "zpuino/io/wb_dat_o<18>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_18)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux00020_renamed_2123)) - ) - ) - (net (rename zpuino_io_wb_dat_o_19_ "zpuino/io/wb_dat_o<19>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_19)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux00020_renamed_2124)) - ) - ) - (net (rename zpuino_io_wb_dat_o_2_ "zpuino/io/wb_dat_o<2>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_2)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux00025_renamed_2109)) - ) - ) - (net (rename zpuino_io_wb_dat_o_20_ "zpuino/io/wb_dat_o<20>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_20)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux00020_renamed_2125)) - ) - ) - (net (rename zpuino_io_wb_dat_o_21_ "zpuino/io/wb_dat_o<21>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_21)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux00020_renamed_2126)) - ) - ) - (net (rename zpuino_io_wb_dat_o_22_ "zpuino/io/wb_dat_o<22>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_22)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux00020_renamed_2127)) - ) - ) - (net (rename zpuino_io_wb_dat_o_23_ "zpuino/io/wb_dat_o<23>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_23)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux00020_renamed_2128)) - ) - ) - (net (rename zpuino_io_wb_dat_o_24_ "zpuino/io/wb_dat_o<24>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_24)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux00020_renamed_2129)) - ) - ) - (net (rename zpuino_io_wb_dat_o_25_ "zpuino/io/wb_dat_o<25>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_25)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux00020_renamed_2130)) - ) - ) - (net (rename zpuino_io_wb_dat_o_26_ "zpuino/io/wb_dat_o<26>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_26)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux00020_renamed_2131)) - ) - ) - (net (rename zpuino_io_wb_dat_o_27_ "zpuino/io/wb_dat_o<27>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_27)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000210_SW0)) - ) - ) - (net (rename zpuino_io_wb_dat_o_28_ "zpuino/io/wb_dat_o<28>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_28)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux00020_renamed_2133)) - ) - ) - (net (rename zpuino_io_wb_dat_o_29_ "zpuino/io/wb_dat_o<29>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_29)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux00020_renamed_2134)) - ) - ) - (net (rename zpuino_io_wb_dat_o_3_ "zpuino/io/wb_dat_o<3>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_3)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux00025_renamed_2110)) - ) - ) - (net (rename zpuino_io_wb_dat_o_30_ "zpuino/io/wb_dat_o<30>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_30)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux00020_renamed_2135)) - ) - ) - (net (rename zpuino_io_wb_dat_o_31_ "zpuino/io/wb_dat_o<31>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_31)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux00020_renamed_2136)) - ) - ) - (net (rename zpuino_io_wb_dat_o_4_ "zpuino/io/wb_dat_o<4>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_4)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux00025_renamed_2111)) - ) - ) - (net (rename zpuino_io_wb_dat_o_5_ "zpuino/io/wb_dat_o<5>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_5)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux00025_renamed_2112)) - ) - ) - (net (rename zpuino_io_wb_dat_o_6_ "zpuino/io/wb_dat_o<6>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_6)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0)) - ) - ) - (net (rename zpuino_io_wb_dat_o_7_ "zpuino/io/wb_dat_o<7>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_7)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0)) - ) - ) - (net (rename zpuino_io_wb_dat_o_8_ "zpuino/io/wb_dat_o<8>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_8)) - (portRef I3 (instanceRef zpuino_core_w1_tos_8_mux00020_renamed_2113)) - ) - ) - (net (rename zpuino_io_wb_dat_o_9_ "zpuino/io/wb_dat_o<9>") - (joined - (portRef Q (instanceRef zpuino_io_wb_dat_o_9)) - (portRef I3 (instanceRef zpuino_core_w1_tos_9_mux00020_renamed_2114)) - ) - ) - (net (rename zpuino_io_wb_in_transaction "zpuino/io/wb_in_transaction") - (joined - (portRef Q (instanceRef zpuino_io_wb_in_transaction_renamed_4)) - (portRef I2 (instanceRef zpuino_io_io_we_or0000_inv1)) - (portRef I0 (instanceRef zpuino_io_io_cyc_or0000_inv1)) - (portRef I (instanceRef zpuino_io_io_stb_mux00001_INV_0)) - (portRef I0 (instanceRef zpuino_io_io_stb_not00011)) - ) - ) - (net (rename zpuino_io_write_save_q_0_ "zpuino/io/write_save_q<0>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_0)) - (portRef D (instanceRef gpio_inst_ppspin_q_96)) - (portRef D (instanceRef gpio_inst_gpio_q_0)) - (portRef D (instanceRef gpio_inst_gpio_q_32)) - (portRef D (instanceRef gpio_inst_ppspin_q_0)) - (portRef D (instanceRef gpio_inst_ppspin_q_32)) - (portRef D (instanceRef gpio_inst_ppspin_q_64)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_0_renamed_762)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_0_renamed_756)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_0_renamed_750)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_0_renamed_744)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_0_renamed_738)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_0_renamed_732)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_0_renamed_726)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_0_renamed_720)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_0_renamed_714)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_0_renamed_708)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_0_renamed_702)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_0_renamed_696)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_0_renamed_690)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_0_renamed_684)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_0_renamed_678)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_0_renamed_672)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_0_renamed_666)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_0_renamed_660)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_0_renamed_654)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_0_renamed_648)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_0_renamed_642)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_0_renamed_636)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_0_renamed_630)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_0_renamed_624)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_0_renamed_618)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_0_renamed_612)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_0_renamed_606)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_0_renamed_600)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_0_renamed_594)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_0_renamed_588)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_0_renamed_582)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_0_renamed_576)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_0_renamed_570)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_0_renamed_563)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_0_renamed_557)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_0_renamed_551)) - (portRef D (instanceRef sigmadelta_inst_sd_en_q_0)) - (portRef D (instanceRef crc16_inst_poly_q_0)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_0)) - (portRef D (instanceRef zpuino_io_intr_inst_ien_q_renamed_797)) - (portRef D (instanceRef uart_inst_divider_rx_q_0)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_0)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_en_renamed_998)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_0_renamed_1001)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_0_renamed_1025)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_0_renamed_1054)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_en_renamed_861)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_0_renamed_862)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_0_renamed_895)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_0_renamed_917)) - (portRef D (instanceRef slot11_divider_rx_q_0)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_0)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_8_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_0_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_0_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_0_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_0_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW0)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__en_mux0000_SW1)) - (portRef I3 (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__en_mux0000_SW0)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_01)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_32)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_0)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM1)) - (portRef (member DIA 3) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_0__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_1_ "zpuino/io/write_save_q<1>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_1)) - (portRef D (instanceRef slot1_spi_clk_pres_0)) - (portRef D (instanceRef slot0_spi_clk_pres_0)) - (portRef D (instanceRef gpio_inst_ppspin_q_97)) - (portRef D (instanceRef gpio_inst_gpio_q_1)) - (portRef D (instanceRef gpio_inst_gpio_q_33)) - (portRef D (instanceRef gpio_inst_ppspin_q_1)) - (portRef D (instanceRef gpio_inst_ppspin_q_33)) - (portRef D (instanceRef gpio_inst_ppspin_q_65)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_1_renamed_761)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_1_renamed_755)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_1_renamed_749)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_1_renamed_743)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_1_renamed_737)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_1_renamed_731)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_1_renamed_725)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_1_renamed_719)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_1_renamed_713)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_1_renamed_707)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_1_renamed_701)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_1_renamed_695)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_1_renamed_689)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_1_renamed_683)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_1_renamed_677)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_1_renamed_671)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_1_renamed_665)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_1_renamed_659)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_1_renamed_653)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_1_renamed_647)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_1_renamed_641)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_1_renamed_635)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_1_renamed_629)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_1_renamed_623)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_1_renamed_617)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_1_renamed_611)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_1_renamed_605)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_1_renamed_599)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_1_renamed_593)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_1_renamed_587)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_1_renamed_581)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_1_renamed_575)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_1_renamed_569)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_1_renamed_562)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_1_renamed_556)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_1_renamed_550)) - (portRef D (instanceRef sigmadelta_inst_sd_en_q_1)) - (portRef D (instanceRef crc16_inst_poly_q_1)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_1)) - (portRef D (instanceRef uart_inst_divider_rx_q_1)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_1)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_ccm_renamed_995)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_1_renamed_1002)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_1_renamed_1026)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_1_renamed_1055)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_ccm_renamed_859)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_1_renamed_863)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_1_renamed_896)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_1_renamed_918)) - (portRef D (instanceRef slot11_divider_rx_q_1)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_1)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_9_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_1_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_1_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_1_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_1_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_11_renamed_1399)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_11_renamed_2091)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_33)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_1)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM2)) - (portRef (member DIA 2) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_1__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_10_ "zpuino/io/write_save_q<10>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_10)) - (portRef D (instanceRef gpio_inst_gpio_q_10)) - (portRef D (instanceRef gpio_inst_gpio_q_42)) - (portRef D (instanceRef gpio_inst_ppspin_q_106)) - (portRef D (instanceRef gpio_inst_ppspin_q_10)) - (portRef D (instanceRef gpio_inst_ppspin_q_42)) - (portRef D (instanceRef gpio_inst_ppspin_q_74)) - (portRef D (instanceRef crc16_inst_poly_q_10)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_10)) - (portRef D (instanceRef uart_inst_divider_rx_q_10)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_10_renamed_1011)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_10_renamed_1035)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_updp_1_renamed_1053)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_10_renamed_1064)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_10_renamed_872)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_10_renamed_905)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_updp_1_renamed_913)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_10_renamed_927)) - (portRef D (instanceRef slot11_divider_rx_q_10)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_2_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_10_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_10_2)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_10_2)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_101)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_42)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_10)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_10__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_11_ "zpuino/io/write_save_q<11>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_11)) - (portRef D (instanceRef gpio_inst_gpio_q_11)) - (portRef D (instanceRef gpio_inst_gpio_q_43)) - (portRef D (instanceRef gpio_inst_ppspin_q_107)) - (portRef D (instanceRef gpio_inst_ppspin_q_11)) - (portRef D (instanceRef gpio_inst_ppspin_q_43)) - (portRef D (instanceRef gpio_inst_ppspin_q_75)) - (portRef D (instanceRef crc16_inst_poly_q_11)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_11)) - (portRef D (instanceRef uart_inst_divider_rx_q_11)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_11_renamed_1012)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_11_renamed_1036)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_11_renamed_1065)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_11_renamed_873)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_11_renamed_906)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_11_renamed_928)) - (portRef D (instanceRef slot11_divider_rx_q_11)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_3_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_11_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_11_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_11_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_111)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_43)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_11)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_11__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_12_ "zpuino/io/write_save_q<12>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_12)) - (portRef D (instanceRef gpio_inst_gpio_q_12)) - (portRef D (instanceRef gpio_inst_gpio_q_44)) - (portRef D (instanceRef gpio_inst_ppspin_q_108)) - (portRef D (instanceRef gpio_inst_ppspin_q_12)) - (portRef D (instanceRef gpio_inst_ppspin_q_44)) - (portRef D (instanceRef gpio_inst_ppspin_q_76)) - (portRef D (instanceRef crc16_inst_poly_q_12)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_12)) - (portRef D (instanceRef uart_inst_divider_rx_q_12)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_12_renamed_1013)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_12_renamed_1037)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_12_renamed_1066)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_12_renamed_874)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_12_renamed_907)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_12_renamed_929)) - (portRef D (instanceRef slot11_divider_rx_q_12)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_4_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_12_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_12_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_12_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_121)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_44)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_12)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_12__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_13_ "zpuino/io/write_save_q<13>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_13)) - (portRef D (instanceRef gpio_inst_gpio_q_13)) - (portRef D (instanceRef gpio_inst_gpio_q_45)) - (portRef D (instanceRef gpio_inst_ppspin_q_109)) - (portRef D (instanceRef gpio_inst_ppspin_q_13)) - (portRef D (instanceRef gpio_inst_ppspin_q_45)) - (portRef D (instanceRef gpio_inst_ppspin_q_77)) - (portRef D (instanceRef crc16_inst_poly_q_13)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_13)) - (portRef D (instanceRef uart_inst_divider_rx_q_13)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_13_renamed_1014)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_13_renamed_1038)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_13_renamed_1067)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_13_renamed_875)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_13_renamed_908)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_13_renamed_930)) - (portRef D (instanceRef slot11_divider_rx_q_13)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_5_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_13_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_13_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_13_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_131)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_45)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_13)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_13__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_14_ "zpuino/io/write_save_q<14>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_14)) - (portRef D (instanceRef gpio_inst_gpio_q_14)) - (portRef D (instanceRef gpio_inst_gpio_q_46)) - (portRef D (instanceRef gpio_inst_ppspin_q_110)) - (portRef D (instanceRef gpio_inst_ppspin_q_14)) - (portRef D (instanceRef gpio_inst_ppspin_q_46)) - (portRef D (instanceRef gpio_inst_ppspin_q_78)) - (portRef D (instanceRef crc16_inst_poly_q_14)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_14)) - (portRef D (instanceRef uart_inst_divider_rx_q_14)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_14_renamed_1015)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_14_renamed_1039)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_14_renamed_1068)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_14_renamed_876)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_14_renamed_909)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_14_renamed_931)) - (portRef D (instanceRef slot11_divider_rx_q_14)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_6_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_14_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_14_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_14_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_141)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_46)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_14)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_14__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_15_ "zpuino/io/write_save_q<15>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_15)) - (portRef D (instanceRef gpio_inst_gpio_q_15)) - (portRef D (instanceRef gpio_inst_gpio_q_47)) - (portRef D (instanceRef gpio_inst_ppspin_q_111)) - (portRef D (instanceRef gpio_inst_ppspin_q_15)) - (portRef D (instanceRef gpio_inst_ppspin_q_47)) - (portRef D (instanceRef gpio_inst_ppspin_q_79)) - (portRef D (instanceRef crc16_inst_poly_q_15)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_15)) - (portRef D (instanceRef uart_inst_divider_rx_q_15)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_15_renamed_1016)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_15_renamed_1040)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_15_renamed_1069)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_15_renamed_877)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_15_renamed_910)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_15_renamed_932)) - (portRef D (instanceRef slot11_divider_rx_q_15)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_7_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_15_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_15_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_15_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_151)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_47)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_15)) - (portRef S (instanceRef crc16_inst_crc_q_mux0001_15_1_f5)) - ) - ) - (net (rename zpuino_io_write_save_q_16_ "zpuino/io/write_save_q<16>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_16)) - (portRef D (instanceRef gpio_inst_gpio_q_48)) - (portRef D (instanceRef gpio_inst_ppspin_q_112)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_16)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_48)) - (portRef D (instanceRef gpio_inst_ppspin_q_16)) - (portRef D (instanceRef gpio_inst_ppspin_q_48)) - (portRef D (instanceRef gpio_inst_ppspin_q_80)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_level_q_16)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_16)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_16_renamed_1017)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_16_renamed_1041)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_16_renamed_1070)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_8_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_0_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_16_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_16_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_161)) - ) - ) - (net (rename zpuino_io_write_save_q_17_ "zpuino/io/write_save_q<17>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_17)) - (portRef D (instanceRef gpio_inst_ppspin_q_113)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_17)) - (portRef D (instanceRef gpio_inst_ppspin_q_17)) - (portRef D (instanceRef gpio_inst_ppspin_q_49)) - (portRef D (instanceRef gpio_inst_ppspin_q_81)) - (portRef D (instanceRef zpuino_io_intr_inst_intr_level_q_17)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_17)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_17_renamed_1018)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_17_renamed_1042)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_17_renamed_1071)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_9_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_1_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_17_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_17_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_171)) - ) - ) - (net (rename zpuino_io_write_save_q_18_ "zpuino/io/write_save_q<18>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_18)) - (portRef D (instanceRef gpio_inst_ppspin_q_114)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_18)) - (portRef D (instanceRef gpio_inst_ppspin_q_18)) - (portRef D (instanceRef gpio_inst_ppspin_q_50)) - (portRef D (instanceRef gpio_inst_ppspin_q_82)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_18_renamed_1019)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_18_renamed_1043)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_18_renamed_1072)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_2_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_10_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_18_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_18_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_181)) - ) - ) - (net (rename zpuino_io_write_save_q_19_ "zpuino/io/write_save_q<19>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_19)) - (portRef D (instanceRef gpio_inst_ppspin_q_115)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_19)) - (portRef D (instanceRef gpio_inst_ppspin_q_19)) - (portRef D (instanceRef gpio_inst_ppspin_q_51)) - (portRef D (instanceRef gpio_inst_ppspin_q_83)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_19_renamed_1020)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_19_renamed_1044)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_19_renamed_1073)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_3_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_11_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_19_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_19_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_191)) - ) - ) - (net (rename zpuino_io_write_save_q_2_ "zpuino/io/write_save_q<2>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_2)) - (portRef D (instanceRef slot1_spi_clk_pres_1)) - (portRef D (instanceRef slot0_spi_clk_pres_1)) - (portRef D (instanceRef gpio_inst_ppspin_q_98)) - (portRef D (instanceRef gpio_inst_gpio_q_2)) - (portRef D (instanceRef gpio_inst_ppspin_q_2)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_34)) - (portRef D (instanceRef gpio_inst_ppspin_q_34)) - (portRef D (instanceRef gpio_inst_ppspin_q_66)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_2_renamed_760)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_2_renamed_754)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_2_renamed_748)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_2_renamed_742)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_2_renamed_736)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_2_renamed_730)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_2_renamed_724)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_2_renamed_718)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_2_renamed_712)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_2_renamed_706)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_2_renamed_700)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_2_renamed_694)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_2_renamed_688)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_2_renamed_682)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_2_renamed_676)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_2_renamed_670)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_2_renamed_664)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_2_renamed_658)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_2_renamed_652)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_2_renamed_646)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_2_renamed_640)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_2_renamed_634)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_2_renamed_628)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_2_renamed_622)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_2_renamed_616)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_2_renamed_610)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_2_renamed_604)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_2_renamed_598)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_2_renamed_592)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_2_renamed_586)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_2_renamed_580)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_2_renamed_574)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_2_renamed_568)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_2_renamed_561)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_2_renamed_555)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_2_renamed_549)) - (portRef D (instanceRef sigmadelta_inst_le_q_renamed_763)) - (portRef D (instanceRef crc16_inst_poly_q_2)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_2)) - (portRef D (instanceRef uart_inst_divider_rx_q_2)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_2)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_dir_renamed_1000)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_2_renamed_1003)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_2_renamed_1027)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_2_renamed_1056)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_2_renamed_864)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_2_renamed_897)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_dir_renamed_911)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_2_renamed_919)) - (portRef D (instanceRef slot11_divider_rx_q_2)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_2)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_2_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_10_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_2_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_2_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_2_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_21)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_24)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_2)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM3)) - (portRef (member DIA 1) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_2__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_20_ "zpuino/io/write_save_q<20>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_20)) - (portRef D (instanceRef gpio_inst_ppspin_q_116)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_20)) - (portRef D (instanceRef gpio_inst_ppspin_q_20)) - (portRef D (instanceRef gpio_inst_ppspin_q_52)) - (portRef D (instanceRef gpio_inst_ppspin_q_84)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_20_renamed_1021)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_20_renamed_1045)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_20_renamed_1074)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_4_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_12_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_20_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_20_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_201)) - ) - ) - (net (rename zpuino_io_write_save_q_21_ "zpuino/io/write_save_q<21>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_21)) - (portRef D (instanceRef gpio_inst_ppspin_q_117)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_21)) - (portRef D (instanceRef gpio_inst_ppspin_q_21)) - (portRef D (instanceRef gpio_inst_ppspin_q_53)) - (portRef D (instanceRef gpio_inst_ppspin_q_85)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_21_renamed_1022)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_21_renamed_1046)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_21_renamed_1075)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_5_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_13_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_21_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_21_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_211)) - ) - ) - (net (rename zpuino_io_write_save_q_22_ "zpuino/io/write_save_q<22>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_22)) - (portRef D (instanceRef gpio_inst_ppspin_q_118)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_22)) - (portRef D (instanceRef gpio_inst_ppspin_q_22)) - (portRef D (instanceRef gpio_inst_ppspin_q_54)) - (portRef D (instanceRef gpio_inst_ppspin_q_86)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_22_renamed_1023)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_22_renamed_1047)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_22_renamed_1076)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_6_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_14_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_22_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_22_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_221)) - ) - ) - (net (rename zpuino_io_write_save_q_23_ "zpuino/io/write_save_q<23>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_23)) - (portRef D (instanceRef gpio_inst_ppspin_q_119)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_23)) - (portRef D (instanceRef gpio_inst_ppspin_q_23)) - (portRef D (instanceRef gpio_inst_ppspin_q_55)) - (portRef D (instanceRef gpio_inst_ppspin_q_87)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_23_renamed_1024)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_23_renamed_1048)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_23_renamed_1077)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_7_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_15_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_23_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_23_1)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_231)) - ) - ) - (net (rename zpuino_io_write_save_q_24_ "zpuino/io/write_save_q<24>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_24)) - (portRef D (instanceRef gpio_inst_gpio_q_24)) - (portRef D (instanceRef gpio_inst_ppspin_q_120)) - (portRef D (instanceRef gpio_inst_ppspin_q_24)) - (portRef D (instanceRef gpio_inst_ppspin_q_56)) - (portRef D (instanceRef gpio_inst_ppspin_q_88)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_8_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_0_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_24_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_24_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_24)) - ) - ) - (net (rename zpuino_io_write_save_q_25_ "zpuino/io/write_save_q<25>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_25)) - (portRef D (instanceRef gpio_inst_gpio_q_25)) - (portRef D (instanceRef gpio_inst_ppspin_q_121)) - (portRef D (instanceRef gpio_inst_ppspin_q_25)) - (portRef D (instanceRef gpio_inst_ppspin_q_57)) - (portRef D (instanceRef gpio_inst_ppspin_q_89)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_9_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_1_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_25_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_25_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_25)) - ) - ) - (net (rename zpuino_io_write_save_q_26_ "zpuino/io/write_save_q<26>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_26)) - (portRef D (instanceRef gpio_inst_gpio_q_26)) - (portRef D (instanceRef gpio_inst_ppspin_q_122)) - (portRef D (instanceRef gpio_inst_ppspin_q_26)) - (portRef D (instanceRef gpio_inst_ppspin_q_58)) - (portRef D (instanceRef gpio_inst_ppspin_q_90)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_2_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_10_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_26_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_26_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_26)) - ) - ) - (net (rename zpuino_io_write_save_q_27_ "zpuino/io/write_save_q<27>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_27)) - (portRef D (instanceRef gpio_inst_gpio_q_27)) - (portRef D (instanceRef gpio_inst_ppspin_q_123)) - (portRef D (instanceRef gpio_inst_ppspin_q_27)) - (portRef D (instanceRef gpio_inst_ppspin_q_59)) - (portRef D (instanceRef gpio_inst_ppspin_q_91)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_3_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_11_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_27_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_27_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_27)) - ) - ) - (net (rename zpuino_io_write_save_q_28_ "zpuino/io/write_save_q<28>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_28)) - (portRef D (instanceRef gpio_inst_gpio_q_28)) - (portRef D (instanceRef gpio_inst_ppspin_q_124)) - (portRef D (instanceRef gpio_inst_ppspin_q_28)) - (portRef D (instanceRef gpio_inst_ppspin_q_60)) - (portRef D (instanceRef gpio_inst_ppspin_q_92)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_4_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_12_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_28_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_28_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_28)) - ) - ) - (net (rename zpuino_io_write_save_q_29_ "zpuino/io/write_save_q<29>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_29)) - (portRef D (instanceRef gpio_inst_gpio_q_29)) - (portRef D (instanceRef gpio_inst_ppspin_q_125)) - (portRef D (instanceRef gpio_inst_ppspin_q_29)) - (portRef D (instanceRef gpio_inst_ppspin_q_61)) - (portRef D (instanceRef gpio_inst_ppspin_q_93)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_5_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_13_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_29_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_29_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_29)) - ) - ) - (net (rename zpuino_io_write_save_q_3_ "zpuino/io/write_save_q<3>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_3)) - (portRef D (instanceRef slot1_spi_clk_pres_2)) - (portRef D (instanceRef slot0_spi_clk_pres_2)) - (portRef D (instanceRef gpio_inst_ppspin_q_99)) - (portRef D (instanceRef gpio_inst_gpio_q_3)) - (portRef D (instanceRef gpio_inst_ppspin_q_3)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_35)) - (portRef D (instanceRef gpio_inst_ppspin_q_35)) - (portRef D (instanceRef gpio_inst_ppspin_q_67)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_3_renamed_759)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_3_renamed_753)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_3_renamed_747)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_3_renamed_741)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_3_renamed_735)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_3_renamed_729)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_3_renamed_723)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_3_renamed_717)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_3_renamed_711)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_3_renamed_705)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_3_renamed_699)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_3_renamed_693)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_3_renamed_687)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_3_renamed_681)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_3_renamed_675)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_3_renamed_669)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_3_renamed_663)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_3_renamed_657)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_3_renamed_651)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_3_renamed_645)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_3_renamed_639)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_3_renamed_633)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_3_renamed_627)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_3_renamed_621)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_3_renamed_615)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_3_renamed_609)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_3_renamed_603)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_3_renamed_597)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_3_renamed_591)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_3_renamed_585)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_3_renamed_579)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_3_renamed_573)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_3_renamed_567)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_3_renamed_560)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_3_renamed_554)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_3_renamed_548)) - (portRef D (instanceRef crc16_inst_poly_q_3)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_3)) - (portRef D (instanceRef uart_inst_divider_rx_q_3)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_3)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_ien_renamed_996)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_3_renamed_1004)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_3_renamed_1028)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_3_renamed_1057)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_ien_renamed_857)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_3_renamed_865)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_3_renamed_898)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_3_renamed_920)) - (portRef D (instanceRef slot11_divider_rx_q_3)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_3)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_3_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_11_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_3_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_3_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_3_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_31)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_3)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM4)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram1_Mram_RAM1)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_3__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_30_ "zpuino/io/write_save_q<30>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_30)) - (portRef D (instanceRef gpio_inst_gpio_q_30)) - (portRef D (instanceRef gpio_inst_ppspin_q_126)) - (portRef D (instanceRef gpio_inst_ppspin_q_30)) - (portRef D (instanceRef gpio_inst_ppspin_q_62)) - (portRef D (instanceRef gpio_inst_ppspin_q_94)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_6_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_14_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_30_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_30_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_30)) - ) - ) - (net (rename zpuino_io_write_save_q_31_ "zpuino/io/write_save_q<31>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_31)) - (portRef D (instanceRef gpio_inst_gpio_q_31)) - (portRef D (instanceRef gpio_inst_ppspin_q_127)) - (portRef D (instanceRef gpio_inst_ppspin_q_31)) - (portRef D (instanceRef gpio_inst_ppspin_q_63)) - (portRef D (instanceRef gpio_inst_ppspin_q_95)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q2_7_mux00001)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q2_15_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_31_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_31_1)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_31)) - ) - ) - (net (rename zpuino_io_write_save_q_4_ "zpuino/io/write_save_q<4>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_4)) - (portRef D (instanceRef slot1_cpol_renamed_319)) - (portRef D (instanceRef slot0_cpol_renamed_326)) - (portRef D (instanceRef gpio_inst_gpio_q_4)) - (portRef D (instanceRef gpio_inst_ppspin_q_100)) - (portRef D (instanceRef gpio_inst_ppspin_q_4)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_36)) - (portRef D (instanceRef gpio_inst_ppspin_q_36)) - (portRef D (instanceRef gpio_inst_ppspin_q_68)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_4_renamed_758)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_4_renamed_752)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_4_renamed_746)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_4_renamed_740)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_4_renamed_734)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_4_renamed_728)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_4_renamed_722)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_4_renamed_716)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_4_renamed_710)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_4_renamed_704)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_4_renamed_698)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_4_renamed_692)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_4_renamed_686)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_4_renamed_680)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_4_renamed_674)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_4_renamed_668)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_4_renamed_662)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_4_renamed_656)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_4_renamed_650)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_4_renamed_644)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_4_renamed_638)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_4_renamed_632)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_4_renamed_626)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_4_renamed_620)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_4_renamed_614)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_4_renamed_608)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_4_renamed_602)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_4_renamed_596)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_4_renamed_590)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_4_renamed_584)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_4_renamed_578)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_4_renamed_572)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_4_renamed_566)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_4_renamed_559)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_4_renamed_553)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_4_renamed_547)) - (portRef D (instanceRef crc16_inst_poly_q_4)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_4)) - (portRef D (instanceRef uart_inst_divider_rx_q_4)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_4)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_4_renamed_1005)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_4_renamed_1029)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pres_0_renamed_1049)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_4_renamed_1058)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_4_renamed_866)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_4_renamed_899)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pres_0_renamed_914)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_4_renamed_921)) - (portRef D (instanceRef slot11_divider_rx_q_4)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_4)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_4_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_12_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_4_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_4_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_4_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_41)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_4)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM5)) - (portRef (member DIA 3) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_4__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_5_ "zpuino/io/write_save_q<5>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_5)) - (portRef D (instanceRef slot1_spi_samprise_renamed_313)) - (portRef D (instanceRef slot0_spi_samprise_renamed_320)) - (portRef D (instanceRef gpio_inst_gpio_q_5)) - (portRef D (instanceRef gpio_inst_ppspin_q_101)) - (portRef D (instanceRef gpio_inst_ppspin_q_5)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_37)) - (portRef D (instanceRef gpio_inst_ppspin_q_37)) - (portRef D (instanceRef gpio_inst_ppspin_q_69)) - (portRef D (instanceRef gpio_inst_input_mapper_q_1_5_renamed_757)) - (portRef D (instanceRef gpio_inst_input_mapper_q_0_5_renamed_751)) - (portRef D (instanceRef gpio_inst_input_mapper_q_4_5_renamed_745)) - (portRef D (instanceRef gpio_inst_input_mapper_q_2_5_renamed_739)) - (portRef D (instanceRef gpio_inst_input_mapper_q_3_5_renamed_733)) - (portRef D (instanceRef gpio_inst_input_mapper_q_5_5_renamed_727)) - (portRef D (instanceRef gpio_inst_input_mapper_q_6_5_renamed_721)) - (portRef D (instanceRef gpio_inst_input_mapper_q_9_5_renamed_715)) - (portRef D (instanceRef gpio_inst_input_mapper_q_7_5_renamed_709)) - (portRef D (instanceRef gpio_inst_input_mapper_q_8_5_renamed_703)) - (portRef D (instanceRef gpio_inst_input_mapper_q_12_5_renamed_697)) - (portRef D (instanceRef gpio_inst_input_mapper_q_10_5_renamed_691)) - (portRef D (instanceRef gpio_inst_input_mapper_q_11_5_renamed_685)) - (portRef D (instanceRef gpio_inst_input_mapper_q_15_5_renamed_679)) - (portRef D (instanceRef gpio_inst_input_mapper_q_13_5_renamed_673)) - (portRef D (instanceRef gpio_inst_input_mapper_q_14_5_renamed_667)) - (portRef D (instanceRef gpio_inst_input_mapper_q_24_5_renamed_661)) - (portRef D (instanceRef gpio_inst_input_mapper_q_27_5_renamed_655)) - (portRef D (instanceRef gpio_inst_input_mapper_q_25_5_renamed_649)) - (portRef D (instanceRef gpio_inst_input_mapper_q_26_5_renamed_643)) - (portRef D (instanceRef gpio_inst_input_mapper_q_30_5_renamed_637)) - (portRef D (instanceRef gpio_inst_input_mapper_q_28_5_renamed_631)) - (portRef D (instanceRef gpio_inst_input_mapper_q_29_5_renamed_625)) - (portRef D (instanceRef gpio_inst_input_mapper_q_33_5_renamed_619)) - (portRef D (instanceRef gpio_inst_input_mapper_q_31_5_renamed_613)) - (portRef D (instanceRef gpio_inst_input_mapper_q_32_5_renamed_607)) - (portRef D (instanceRef gpio_inst_input_mapper_q_42_5_renamed_601)) - (portRef D (instanceRef gpio_inst_input_mapper_q_40_5_renamed_595)) - (portRef D (instanceRef gpio_inst_input_mapper_q_41_5_renamed_589)) - (portRef D (instanceRef gpio_inst_input_mapper_q_45_5_renamed_583)) - (portRef D (instanceRef gpio_inst_input_mapper_q_43_5_renamed_577)) - (portRef D (instanceRef gpio_inst_input_mapper_q_44_5_renamed_571)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_5_renamed_565)) - (portRef D (instanceRef gpio_inst_input_mapper_q_46_5_renamed_558)) - (portRef D (instanceRef gpio_inst_input_mapper_q_47_5_renamed_552)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_5_renamed_546)) - (portRef D (instanceRef crc16_inst_poly_q_5)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_5)) - (portRef D (instanceRef uart_inst_divider_rx_q_5)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_5)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_5_renamed_1006)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_5_renamed_1030)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pres_1_renamed_1050)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_5_renamed_1059)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_5_renamed_867)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_5_renamed_900)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pres_1_renamed_915)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_5_renamed_922)) - (portRef D (instanceRef slot11_divider_rx_q_5)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_5)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_5_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_13_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_5_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_5_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_5_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_51)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_5)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM6)) - (portRef (member DIA 2) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_5__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_6_ "zpuino/io/write_save_q<6>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_6)) - (portRef D (instanceRef slot1_spi_enable_q_renamed_314)) - (portRef D (instanceRef slot0_spi_enable_q_renamed_321)) - (portRef D (instanceRef gpio_inst_gpio_q_6)) - (portRef D (instanceRef gpio_inst_ppspin_q_102)) - (portRef D (instanceRef gpio_inst_ppspin_q_6)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_38)) - (portRef D (instanceRef gpio_inst_ppspin_q_38)) - (portRef D (instanceRef gpio_inst_ppspin_q_70)) - (portRef D (instanceRef gpio_inst_output_mapper_q_0_6_renamed_564)) - (portRef D (instanceRef gpio_inst_output_mapper_q_1_6_renamed_545)) - (portRef D (instanceRef crc16_inst_poly_q_6)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_6)) - (portRef D (instanceRef uart_inst_divider_rx_q_6)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_6)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_6_renamed_1007)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_6_renamed_1031)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pres_2_renamed_1051)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_6_renamed_1060)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_6_renamed_868)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_6_renamed_901)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pres_2_renamed_916)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_6_renamed_923)) - (portRef D (instanceRef slot11_divider_rx_q_6)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_6)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_6_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_14_mux00001)) - (portRef I2 (instanceRef crc16_inst_data_q_mux0000_6_1)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_6_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_6_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_61)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_6)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM7)) - (portRef (member DIA 1) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_6__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_7_ "zpuino/io/write_save_q<7>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_7)) - (portRef D (instanceRef slot1_spi_txblock_q_renamed_315)) - (portRef D (instanceRef slot0_spi_txblock_q_renamed_322)) - (portRef D (instanceRef gpio_inst_gpio_q_7)) - (portRef D (instanceRef gpio_inst_ppspin_q_103)) - (portRef D (instanceRef gpio_inst_ppspin_q_7)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_39)) - (portRef D (instanceRef gpio_inst_ppspin_q_39)) - (portRef D (instanceRef gpio_inst_ppspin_q_71)) - (portRef D (instanceRef crc16_inst_poly_q_7)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_7)) - (portRef D (instanceRef uart_inst_divider_rx_q_7)) - (portRef D (instanceRef uart_inst_tx_core_tbuff_r_7)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_7_renamed_1008)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_7_renamed_1032)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_7_renamed_1061)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_7_renamed_869)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_7_renamed_902)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_7_renamed_924)) - (portRef D (instanceRef slot11_divider_rx_q_7)) - (portRef D (instanceRef slot11_tx_core_tbuff_r_7)) - (portRef I1 (instanceRef crc16_inst_data_q_mux0000_7_1)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_7_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_15_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_7_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_7_1)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_mux00001)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I1 (instanceRef timers_inst_timer0_inst_tmrr_intr_not000114_renamed_1448)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_71)) - (portRef I3 (instanceRef timers_inst_timer1_inst_tmrr_intr_mux000021_SW0)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_7)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram0_Mram_RAM8)) - (portRef (member DIA 0) (instanceRef slot9_ram_ram1_Mram_RAM2)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_7__f5)) - (portRef I2 (instanceRef timers_inst_timer1_inst_tmrr_intr_not0001_SW0)) - ) - ) - (net (rename zpuino_io_write_save_q_8_ "zpuino/io/write_save_q<8>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_8)) - (portRef D (instanceRef gpio_inst_gpio_q_8)) - (portRef D (instanceRef gpio_inst_gpio_q_40)) - (portRef D (instanceRef gpio_inst_ppspin_q_104)) - (portRef D (instanceRef gpio_inst_ppspin_q_8)) - (portRef D (instanceRef gpio_inst_ppspin_q_40)) - (portRef D (instanceRef gpio_inst_ppspin_q_72)) - (portRef D (instanceRef crc16_inst_poly_q_8)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_8)) - (portRef D (instanceRef uart_inst_divider_rx_q_8)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_8_renamed_1009)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_8_renamed_1033)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_8_renamed_1062)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_8_renamed_870)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_8_renamed_903)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_8_renamed_925)) - (portRef D (instanceRef slot11_divider_rx_q_8)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_8_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_0_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_8_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_8_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_81)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_40)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_8)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_8__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_9_ "zpuino/io/write_save_q<9>") - (joined - (portRef Q (instanceRef zpuino_io_write_save_q_9)) - (portRef D (instanceRef gpio_inst_gpio_q_9)) - (portRef D (instanceRef gpio_inst_gpio_q_41)) - (portRef D (instanceRef gpio_inst_ppspin_q_105)) - (portRef D (instanceRef gpio_inst_ppspin_q_9)) - (portRef D (instanceRef gpio_inst_ppspin_q_41)) - (portRef D (instanceRef gpio_inst_ppspin_q_73)) - (portRef D (instanceRef crc16_inst_poly_q_9)) - (portRef D (instanceRef zpuino_io_intr_inst_mask_q_9)) - (portRef D (instanceRef uart_inst_divider_rx_q_9)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmphigh_9_renamed_1010)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_cmp_9_renamed_1034)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_updp_0_renamed_1052)) - (portRef D (instanceRef timers_inst_timer1_inst_tmrr_pwmr_0__cmplow_9_renamed_1063)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmphigh_9_renamed_871)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_cmp_9_renamed_904)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_updp_0_renamed_912)) - (portRef D (instanceRef timers_inst_timer0_inst_tmrr_pwmrb_0__cmplow_9_renamed_926)) - (portRef D (instanceRef slot11_divider_rx_q_9)) - (portRef I1 (instanceRef sigmadelta_inst_dat_q1_9_mux00001)) - (portRef I2 (instanceRef sigmadelta_inst_dat_q1_1_mux00001)) - (portRef I0 (instanceRef slot1_zspi_write_reg_q_mux0000_9_1)) - (portRef I0 (instanceRef slot0_zspi_write_reg_q_mux0000_9_1)) - (portRef I2 (instanceRef timers_inst_timer0_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef I3 (instanceRef timers_inst_timer1_inst_Mcount_tmrr_cnt_eqn_91)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_41)) - (portRef D (instanceRef gpio_inst_gpio_tris_q_9)) - (portRef I1 (instanceRef crc16_inst_crc_q_mux0001_9__f5)) - ) - ) - (net (rename zpuino_io_write_save_q_not0001 "zpuino/io/write_save_q_not0001") - (joined - (portRef CE (instanceRef zpuino_io_write_save_q_0)) - (portRef CE (instanceRef zpuino_io_write_save_q_1)) - (portRef CE (instanceRef zpuino_io_write_save_q_2)) - (portRef CE (instanceRef zpuino_io_write_save_q_3)) - (portRef CE (instanceRef zpuino_io_write_save_q_4)) - (portRef CE (instanceRef zpuino_io_write_save_q_5)) - (portRef CE (instanceRef zpuino_io_write_save_q_6)) - (portRef CE (instanceRef zpuino_io_write_save_q_7)) - (portRef CE (instanceRef zpuino_io_write_save_q_8)) - (portRef CE (instanceRef zpuino_io_write_save_q_9)) - (portRef CE (instanceRef zpuino_io_write_save_q_10)) - (portRef CE (instanceRef zpuino_io_write_save_q_11)) - (portRef CE (instanceRef zpuino_io_write_save_q_12)) - (portRef CE (instanceRef zpuino_io_write_save_q_13)) - (portRef CE (instanceRef zpuino_io_write_save_q_14)) - (portRef CE (instanceRef zpuino_io_write_save_q_15)) - (portRef CE (instanceRef zpuino_io_write_save_q_16)) - (portRef CE (instanceRef zpuino_io_write_save_q_17)) - (portRef CE (instanceRef zpuino_io_write_save_q_18)) - (portRef CE (instanceRef zpuino_io_write_save_q_19)) - (portRef CE (instanceRef zpuino_io_write_save_q_20)) - (portRef CE (instanceRef zpuino_io_write_save_q_21)) - (portRef CE (instanceRef zpuino_io_write_save_q_22)) - (portRef CE (instanceRef zpuino_io_write_save_q_23)) - (portRef CE (instanceRef zpuino_io_write_save_q_24)) - (portRef CE (instanceRef zpuino_io_write_save_q_25)) - (portRef CE (instanceRef zpuino_io_write_save_q_26)) - (portRef CE (instanceRef zpuino_io_write_save_q_27)) - (portRef CE (instanceRef zpuino_io_write_save_q_28)) - (portRef CE (instanceRef zpuino_io_write_save_q_29)) - (portRef CE (instanceRef zpuino_io_write_save_q_30)) - (portRef CE (instanceRef zpuino_io_write_save_q_31)) - (portRef O (instanceRef zpuino_io_write_save_q_not00011)) - ) - ) - (net (rename zpuino_io_cyc "zpuino/io_cyc") - (joined - (portRef D (instanceRef zpuino_io_io_cyc_renamed_309)) - (portRef O (instanceRef zpuino_iomemmux_s1_wb_cyc_o1)) - (portRef I3 (instanceRef zpuino_io_io_cyc_or0000_inv1)) - ) - ) - (net (rename zpuino_memory_memAWriteEnable "zpuino/memory/memAWriteEnable") - (joined - (portRef O (instanceRef zpuino_memory_memAWriteEnable1)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - (portRef WEA (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_memory_ramregs_do_wait "zpuino/memory/ramregs.do_wait") - (joined - (portRef Q (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef I0 (instanceRef zpuino_memory_memAWriteEnable1)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000271)) - (portRef I1 (instanceRef zpuino_iomemmux_m_wb_ack_o1)) - (portRef I2 (instanceRef zpuino_memory_ramregs_do_wait_or00001)) - (portRef I1 (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - (portRef I1 (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - (portRef I1 (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - (portRef I1 (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - (portRef I1 (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - (portRef I1 (instanceRef zpuino_core_w1_tos_0_mux00025138_G)) - (portRef I0 (instanceRef zpuino_core_w1_tos_6_mux0002810)) - ) - ) - (net (rename zpuino_memory_ramregs_do_wait_or0000 "zpuino/memory/ramregs_do_wait_or0000") - (joined - (portRef R (instanceRef zpuino_memory_ramregs_do_wait_renamed_1)) - (portRef O (instanceRef zpuino_memory_ramregs_do_wait_or00001)) - ) - ) - (net (rename zpuino_memory_rom_do_wait "zpuino/memory/rom_do_wait") - (joined - (portRef Q (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef I0 (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - (portRef I2 (instanceRef zpuino_memory_rom_do_wait_or00001)) - (portRef I0 (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - ) - ) - (net (rename zpuino_memory_rom_do_wait_mux0001 "zpuino/memory/rom_do_wait_mux0001") - (joined - (portRef D (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef O (instanceRef zpuino_rom_wb_cti_i_0_1)) - ) - ) - (net (rename zpuino_memory_rom_do_wait_or0000 "zpuino/memory/rom_do_wait_or0000") - (joined - (portRef R (instanceRef zpuino_memory_rom_do_wait_renamed_2)) - (portRef O (instanceRef zpuino_memory_rom_do_wait_or00001)) - ) - ) - (net (rename zpuino_memory_rom_wb_ack_o "zpuino/memory/rom_wb_ack_o") - (joined - (portRef Q (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef I2 (instanceRef zpuino_core_decr_break_mux000018_renamed_1549)) - (portRef I0 (instanceRef zpuino_core_decr_im_mux0000_SW1)) - (portRef I2 (instanceRef zpuino_core_decr_valid_mux0000_SW1)) - ) - ) - (net (rename zpuino_memory_rom_wb_ack_o_mux0001 "zpuino/memory/rom_wb_ack_o_mux0001") - (joined - (portRef D (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef O (instanceRef zpuino_memory_rom_wb_ack_o_mux00011)) - ) - ) - (net (rename zpuino_memory_rom_wb_ack_o_not0001 "zpuino/memory/rom_wb_ack_o_not0001") - (joined - (portRef CE (instanceRef zpuino_memory_rom_wb_ack_o_renamed_9)) - (portRef O (instanceRef zpuino_memory_rom_wb_ack_o_not00011)) - ) - ) - (net (rename zpuino_poppc_inst "zpuino/poppc_inst") - (joined - (portRef I1 (instanceRef zpuino_io_intr_inst_iready_q_not00011)) - (portRef O (instanceRef zpuino_core_poppc_inst1)) - (portRef I2 (instanceRef zpuino_io_intr_inst_intr_detected_q_11_not000111)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_0_ "zpuino/ram_wb_dat_o<0>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000235_renamed_2161)) - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_10_ "zpuino/ram_wb_dat_o<10>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux000226_renamed_2392)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_11_ "zpuino/ram_wb_dat_o<11>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux000226_renamed_2393)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_12_ "zpuino/ram_wb_dat_o<12>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux000226_renamed_2394)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_13_ "zpuino/ram_wb_dat_o<13>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux000226_renamed_2395)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_14_ "zpuino/ram_wb_dat_o<14>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000226_renamed_2396)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_15_ "zpuino/ram_wb_dat_o<15>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000226_renamed_2397)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_16_ "zpuino/ram_wb_dat_o<16>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000226_renamed_2398)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_17_ "zpuino/ram_wb_dat_o<17>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000226_renamed_2399)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_18_ "zpuino/ram_wb_dat_o<18>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000226_renamed_2400)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_19_ "zpuino/ram_wb_dat_o<19>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000226_renamed_2401)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_1_ "zpuino/ram_wb_dat_o<1>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000235_renamed_2151)) - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_20_ "zpuino/ram_wb_dat_o<20>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000226_renamed_2402)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_21_ "zpuino/ram_wb_dat_o<21>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000226_renamed_2403)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_22_ "zpuino/ram_wb_dat_o<22>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000226_renamed_2404)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_23_ "zpuino/ram_wb_dat_o<23>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000226_renamed_2405)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_24_ "zpuino/ram_wb_dat_o<24>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000226_renamed_2406)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_25_ "zpuino/ram_wb_dat_o<25>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000226_renamed_2407)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_26_ "zpuino/ram_wb_dat_o<26>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000226_renamed_2408)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_27_ "zpuino/ram_wb_dat_o<27>") - (joined - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000226_renamed_2144)) - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_28_ "zpuino/ram_wb_dat_o<28>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000226_renamed_2409)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_29_ "zpuino/ram_wb_dat_o<29>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000226_renamed_2410)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_2_ "zpuino/ram_wb_dat_o<2>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000235_renamed_2153)) - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_30_ "zpuino/ram_wb_dat_o<30>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000226_renamed_2411)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_31_ "zpuino/ram_wb_dat_o<31>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000226_renamed_2412)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_3_ "zpuino/ram_wb_dat_o<3>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000235_renamed_2155)) - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_4_ "zpuino/ram_wb_dat_o<4>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000235_renamed_2157)) - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_5_ "zpuino/ram_wb_dat_o<5>") - (joined - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000235_renamed_2159)) - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_6_ "zpuino/ram_wb_dat_o<6>") - (joined - (portRef (member DOA 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux000244_renamed_2385)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_7_ "zpuino/ram_wb_dat_o<7>") - (joined - (portRef (member DOA 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux000244_renamed_2386)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_8_ "zpuino/ram_wb_dat_o<8>") - (joined - (portRef (member DOA 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux000226_renamed_2390)) - ) - ) - (net (rename zpuino_ram_wb_dat_o_9_ "zpuino/ram_wb_dat_o<9>") - (joined - (portRef (member DOA 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux000226_renamed_2391)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_0_ "zpuino/rom_wb_dat_o<0>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_0_29_G)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_10_ "zpuino/rom_wb_dat_o<10>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_2_29_F)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_11_ "zpuino/rom_wb_dat_o<11>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_3_29_F)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_12_ "zpuino/rom_wb_dat_o<12>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_4_29_F)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_13_ "zpuino/rom_wb_dat_o<13>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_5_29_F)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_14_ "zpuino/rom_wb_dat_o<14>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_6_29_F)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_15_ "zpuino/rom_wb_dat_o<15>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_7_29_F)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM12)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_16_ "zpuino/rom_wb_dat_o<16>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_0_29_G)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_17_ "zpuino/rom_wb_dat_o<17>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_1_29_G)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_18_ "zpuino/rom_wb_dat_o<18>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_2_29_G)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_19_ "zpuino/rom_wb_dat_o<19>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_3_29_G)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM21)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_1_ "zpuino/rom_wb_dat_o<1>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_1_29_G)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_20_ "zpuino/rom_wb_dat_o<20>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_4_29_G)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_21_ "zpuino/rom_wb_dat_o<21>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_5_29_G)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_22_ "zpuino/rom_wb_dat_o<22>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_6_29_G)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_23_ "zpuino/rom_wb_dat_o<23>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_7_29_G)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM22)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_24_ "zpuino/rom_wb_dat_o<24>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_0_29_F)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_25_ "zpuino/rom_wb_dat_o<25>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_1_29_F)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_26_ "zpuino/rom_wb_dat_o<26>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_2_29_F)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_27_ "zpuino/rom_wb_dat_o<27>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_3_29_F)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM31)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_28_ "zpuino/rom_wb_dat_o<28>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_4_29_F)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_29_ "zpuino/rom_wb_dat_o<29>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_5_29_F)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_2_ "zpuino/rom_wb_dat_o<2>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_2_29_G)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_30_ "zpuino/rom_wb_dat_o<30>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_6_29_F)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_31_ "zpuino/rom_wb_dat_o<31>") - (joined - (portRef I1 (instanceRef zpuino_core_tOpcode_mux0001_7_29_F)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM32)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_3_ "zpuino/rom_wb_dat_o<3>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_3_29_G)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM01)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_4_ "zpuino/rom_wb_dat_o<4>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_4_29_G)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_5_ "zpuino/rom_wb_dat_o<5>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_5_29_G)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_6_ "zpuino/rom_wb_dat_o<6>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_6_29_G)) - (portRef (member DOB 1) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_7_ "zpuino/rom_wb_dat_o<7>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_7_29_G)) - (portRef (member DOB 0) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM02)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_8_ "zpuino/rom_wb_dat_o<8>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_0_29_F)) - (portRef (member DOB 3) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - ) - ) - (net (rename zpuino_rom_wb_dat_o_9_ "zpuino/rom_wb_dat_o<9>") - (joined - (portRef I2 (instanceRef zpuino_core_tOpcode_mux0001_1_29_F)) - (portRef (member DOB 2) (instanceRef zpuino_memory_ramrom_ram_Mram_RAM11)) - ) - ) - (net (rename zpuino_stack_a_addr_10_ "zpuino/stack_a_addr<10>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_10_14)) - (portRef (member ADDRA 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_2_ "zpuino/stack_a_addr<2>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_2_14)) - (portRef (member ADDRA 8) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_3_ "zpuino/stack_a_addr<3>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_3_14)) - (portRef (member ADDRA 7) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_4_ "zpuino/stack_a_addr<4>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_4_10)) - (portRef (member ADDRA 6) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_5_ "zpuino/stack_a_addr<5>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_5_10)) - (portRef (member ADDRA 5) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_6_ "zpuino/stack_a_addr<6>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_6_10)) - (portRef (member ADDRA 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_7_ "zpuino/stack_a_addr<7>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_7_10)) - (portRef (member ADDRA 3) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_8_ "zpuino/stack_a_addr<8>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_8_10)) - (portRef (member ADDRA 2) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_addr_9_ "zpuino/stack_a_addr<9>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_addr_9_10)) - (portRef (member ADDRA 1) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_enable "zpuino/stack_a_enable") - (joined - (portRef O (instanceRef zpuino_core_stack_a_enable)) - (portRef ENA (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_0_ "zpuino/stack_a_read<0>") - (joined - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_0_mux000236_renamed_2162)) - (portRef (member DOA 31) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_0_1)) - ) - ) - (net (rename zpuino_stack_a_read_10_ "zpuino/stack_a_read<10>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_10_mux000226_SW0)) - (portRef (member DOA 21) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_10_1)) - ) - ) - (net (rename zpuino_stack_a_read_11_ "zpuino/stack_a_read<11>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef I0 (instanceRef zpuino_core_w1_tos_11_mux000226_SW0)) - (portRef (member DOA 20) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_11_1)) - ) - ) - (net (rename zpuino_stack_a_read_12_ "zpuino/stack_a_read<12>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - (portRef I0 (instanceRef zpuino_core_w1_tos_12_mux000226_SW0)) - (portRef (member DOA 19) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_12_1)) - ) - ) - (net (rename zpuino_stack_a_read_13_ "zpuino/stack_a_read<13>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef I0 (instanceRef zpuino_core_w1_tos_13_mux000226_SW0)) - (portRef (member DOA 18) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_13_1)) - ) - ) - (net (rename zpuino_stack_a_read_14_ "zpuino/stack_a_read<14>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - (portRef I0 (instanceRef zpuino_core_w1_tos_14_mux000226_SW0)) - (portRef (member DOA 17) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_14_1)) - ) - ) - (net (rename zpuino_stack_a_read_15_ "zpuino/stack_a_read<15>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef I0 (instanceRef zpuino_core_w1_tos_15_mux000226_SW0)) - (portRef (member DOA 16) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_15_1)) - ) - ) - (net (rename zpuino_stack_a_read_16_ "zpuino/stack_a_read<16>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - (portRef I0 (instanceRef zpuino_core_w1_tos_16_mux000226_SW0)) - (portRef (member DOA 15) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_16_1)) - ) - ) - (net (rename zpuino_stack_a_read_17_ "zpuino/stack_a_read<17>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - (portRef I0 (instanceRef zpuino_core_w1_tos_17_mux000226_SW0)) - (portRef (member DOA 14) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_17_1)) - ) - ) - (net (rename zpuino_stack_a_read_18_ "zpuino/stack_a_read<18>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - (portRef I0 (instanceRef zpuino_core_w1_tos_18_mux000226_SW0)) - (portRef (member DOA 13) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_18_1)) - ) - ) - (net (rename zpuino_stack_a_read_19_ "zpuino/stack_a_read<19>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef I0 (instanceRef zpuino_core_w1_tos_19_mux000226_SW0)) - (portRef (member DOA 12) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_19_1)) - ) - ) - (net (rename zpuino_stack_a_read_1_ "zpuino/stack_a_read<1>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_1_1)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef I0 (instanceRef zpuino_core_w1_tos_1_mux000236_renamed_2152)) - (portRef (member DOA 30) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_20_ "zpuino/stack_a_read<20>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef I0 (instanceRef zpuino_core_w1_tos_20_mux000226_SW0)) - (portRef (member DOA 11) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_20_1)) - ) - ) - (net (rename zpuino_stack_a_read_21_ "zpuino/stack_a_read<21>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef I0 (instanceRef zpuino_core_w1_tos_21_mux000226_SW0)) - (portRef (member DOA 10) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_21_1)) - ) - ) - (net (rename zpuino_stack_a_read_22_ "zpuino/stack_a_read<22>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef I0 (instanceRef zpuino_core_w1_tos_22_mux000226_SW0)) - (portRef (member DOA 9) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_22_1)) - ) - ) - (net (rename zpuino_stack_a_read_23_ "zpuino/stack_a_read<23>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef I0 (instanceRef zpuino_core_w1_tos_23_mux000226_SW0)) - (portRef (member DOA 8) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_23_1)) - ) - ) - (net (rename zpuino_stack_a_read_24_ "zpuino/stack_a_read<24>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef I0 (instanceRef zpuino_core_w1_tos_24_mux000226_SW0)) - (portRef (member DOA 7) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_24_1)) - ) - ) - (net (rename zpuino_stack_a_read_25_ "zpuino/stack_a_read<25>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef I0 (instanceRef zpuino_core_w1_tos_25_mux000226_SW0)) - (portRef (member DOA 6) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_25_1)) - ) - ) - (net (rename zpuino_stack_a_read_26_ "zpuino/stack_a_read<26>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef I0 (instanceRef zpuino_core_w1_tos_26_mux000226_SW0)) - (portRef (member DOA 5) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_26_1)) - ) - ) - (net (rename zpuino_stack_a_read_27_ "zpuino/stack_a_read<27>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_27_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef I0 (instanceRef zpuino_core_w1_tos_27_mux000226_SW0)) - (portRef (member DOA 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_28_ "zpuino/stack_a_read<28>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - (portRef I0 (instanceRef zpuino_core_w1_tos_28_mux000226_SW0)) - (portRef (member DOA 3) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_28_1)) - ) - ) - (net (rename zpuino_stack_a_read_29_ "zpuino/stack_a_read<29>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef I0 (instanceRef zpuino_core_w1_tos_29_mux000226_SW0)) - (portRef (member DOA 2) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_29_1)) - ) - ) - (net (rename zpuino_stack_a_read_2_ "zpuino/stack_a_read<2>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_2_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef I0 (instanceRef zpuino_core_w1_tos_2_mux000236_renamed_2154)) - (portRef (member DOA 29) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_30_ "zpuino/stack_a_read<30>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef I0 (instanceRef zpuino_core_w1_tos_30_mux000226_SW0)) - (portRef (member DOA 1) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_30_1)) - ) - ) - (net (rename zpuino_stack_a_read_31_ "zpuino/stack_a_read<31>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_31_1)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - (portRef I0 (instanceRef zpuino_core_w1_tos_31_mux000226_SW0)) - (portRef (member DOA 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_3_ "zpuino/stack_a_read<3>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_3_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef I0 (instanceRef zpuino_core_w1_tos_3_mux000236_renamed_2156)) - (portRef (member DOA 28) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_4_ "zpuino/stack_a_read<4>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_4_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef I0 (instanceRef zpuino_core_w1_tos_4_mux000236_renamed_2158)) - (portRef (member DOA 27) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_5_ "zpuino/stack_a_read<5>") - (joined - (portRef I2 (instanceRef zpuino_core_nos_5_1)) - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - (portRef I3 (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef I0 (instanceRef zpuino_core_w1_tos_5_mux000236_renamed_2160)) - (portRef (member DOA 26) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_read_6_ "zpuino/stack_a_read<6>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - (portRef (member DOA 25) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_6_1)) - (portRef I1 (instanceRef zpuino_core_w1_tos_6_mux000244_SW0_SW0)) - ) - ) - (net (rename zpuino_stack_a_read_7_ "zpuino/stack_a_read<7>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - (portRef I1 (instanceRef zpuino_core_w1_tos_7_mux000244_SW0_SW0)) - (portRef (member DOA 24) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_7_1)) - ) - ) - (net (rename zpuino_stack_a_read_8_ "zpuino/stack_a_read<8>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_8_mux000226_SW0)) - (portRef (member DOA 23) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_8_1)) - ) - ) - (net (rename zpuino_stack_a_read_9_ "zpuino/stack_a_read<9>") - (joined - (portRef I3 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - (portRef I2 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - (portRef I0 (instanceRef zpuino_core_w1_tos_9_mux000226_SW0)) - (portRef (member DOA 22) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_nos_9_1)) - ) - ) - (net (rename zpuino_stack_a_write_0_ "zpuino/stack_a_write<0>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_0__)) - (portRef (member DIA 31) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_10_ "zpuino/stack_a_write<10>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_10__)) - (portRef (member DIA 21) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_11_ "zpuino/stack_a_write<11>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_11__)) - (portRef (member DIA 20) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_12_ "zpuino/stack_a_write<12>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_12__)) - (portRef (member DIA 19) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_13_ "zpuino/stack_a_write<13>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_13__)) - (portRef (member DIA 18) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_14_ "zpuino/stack_a_write<14>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_14__)) - (portRef (member DIA 17) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_15_ "zpuino/stack_a_write<15>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_15__)) - (portRef (member DIA 16) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_16_ "zpuino/stack_a_write<16>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_16__)) - (portRef (member DIA 15) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_17_ "zpuino/stack_a_write<17>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_17__)) - (portRef (member DIA 14) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_18_ "zpuino/stack_a_write<18>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_18__)) - (portRef (member DIA 13) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_19_ "zpuino/stack_a_write<19>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_19__)) - (portRef (member DIA 12) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_1_ "zpuino/stack_a_write<1>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_1__)) - (portRef (member DIA 30) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_20_ "zpuino/stack_a_write<20>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_20__)) - (portRef (member DIA 11) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_21_ "zpuino/stack_a_write<21>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_21__)) - (portRef (member DIA 10) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_22_ "zpuino/stack_a_write<22>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_22__)) - (portRef (member DIA 9) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_23_ "zpuino/stack_a_write<23>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_23__)) - (portRef (member DIA 8) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_24_ "zpuino/stack_a_write<24>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_24__)) - (portRef (member DIA 7) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_25_ "zpuino/stack_a_write<25>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_25__)) - (portRef (member DIA 6) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_26_ "zpuino/stack_a_write<26>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_26__)) - (portRef (member DIA 5) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_27_ "zpuino/stack_a_write<27>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_27__)) - (portRef (member DIA 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_28_ "zpuino/stack_a_write<28>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_28__)) - (portRef (member DIA 3) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_29_ "zpuino/stack_a_write<29>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_29__)) - (portRef (member DIA 2) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_2_ "zpuino/stack_a_write<2>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_2__)) - (portRef (member DIA 29) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_30_ "zpuino/stack_a_write<30>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_30__)) - (portRef (member DIA 1) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_31_ "zpuino/stack_a_write<31>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_31_29)) - (portRef (member DIA 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_3_ "zpuino/stack_a_write<3>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_3__)) - (portRef (member DIA 28) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_4_ "zpuino/stack_a_write<4>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_4__)) - (portRef (member DIA 27) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_5_ "zpuino/stack_a_write<5>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_5__)) - (portRef (member DIA 26) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_6_ "zpuino/stack_a_write<6>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_6__)) - (portRef (member DIA 25) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_7_ "zpuino/stack_a_write<7>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_7__)) - (portRef (member DIA 24) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_8_ "zpuino/stack_a_write<8>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_8__)) - (portRef (member DIA 23) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_write_9_ "zpuino/stack_a_write<9>") - (joined - (portRef O (instanceRef zpuino_core_stack_a_write_9__)) - (portRef (member DIA 22) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_a_writeenable "zpuino/stack_a_writeenable") - (joined - (portRef O (instanceRef zpuino_core_stack_a_writeenable)) - (portRef WEA (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_10_ "zpuino/stack_b_addr<10>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_10_1)) - (portRef (member ADDRB 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_2_ "zpuino/stack_b_addr<2>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_2_1)) - (portRef (member ADDRB 8) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_3_ "zpuino/stack_b_addr<3>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_3_1)) - (portRef (member ADDRB 7) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_4_ "zpuino/stack_b_addr<4>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_4_1)) - (portRef (member ADDRB 6) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_5_ "zpuino/stack_b_addr<5>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_5_1)) - (portRef (member ADDRB 5) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_6_ "zpuino/stack_b_addr<6>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_6_1)) - (portRef (member ADDRB 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_7_ "zpuino/stack_b_addr<7>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_7_1)) - (portRef (member ADDRB 3) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_8_ "zpuino/stack_b_addr<8>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_8_1)) - (portRef (member ADDRB 2) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_addr_9_ "zpuino/stack_b_addr<9>") - (joined - (portRef O (instanceRef zpuino_core_stack_b_addr_9_1)) - (portRef (member ADDRB 1) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_enable "zpuino/stack_b_enable") - (joined - (portRef O (instanceRef zpuino_core_stack_b_enable40)) - (portRef ENB (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_read_0_ "zpuino/stack_b_read<0>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_0__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_0__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_0__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_0__)) - (portRef (member DOB 31) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_0_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_0_mux0002125_renamed_2423)) - ) - ) - (net (rename zpuino_stack_b_read_10_ "zpuino/stack_b_read<10>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_10__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_10__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_10__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_10__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_10_mux0002119_renamed_2171)) - (portRef (member DOB 21) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_10_1)) - ) - ) - (net (rename zpuino_stack_b_read_11_ "zpuino/stack_b_read<11>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_11__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux0002101_renamed_1556)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_11__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_11__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_11__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_11_mux0002111_renamed_2079)) - (portRef (member DOB 20) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_11_1)) - ) - ) - (net (rename zpuino_stack_b_read_12_ "zpuino/stack_b_read<12>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_12__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_12__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_12__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_12__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux0002111_renamed_2080)) - (portRef (member DOB 19) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_12_mux0002101_renamed_2358)) - (portRef I1 (instanceRef zpuino_core_nos_12_1)) - ) - ) - (net (rename zpuino_stack_b_read_13_ "zpuino/stack_b_read<13>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_13__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux0002101_renamed_1569)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_13__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_13__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_13__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_13_mux0002111_renamed_2081)) - (portRef (member DOB 18) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_13_1)) - ) - ) - (net (rename zpuino_stack_b_read_14_ "zpuino/stack_b_read<14>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_14__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000284_renamed_1575)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_14__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_14__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_14__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_14_mux000292_renamed_2082)) - (portRef (member DOB 17) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_14_1)) - ) - ) - (net (rename zpuino_stack_b_read_15_ "zpuino/stack_b_read<15>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_15__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000284_renamed_1581)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_15__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_15__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_15__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_15_mux000292_renamed_2083)) - (portRef (member DOB 16) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_15_1)) - ) - ) - (net (rename zpuino_stack_b_read_16_ "zpuino/stack_b_read<16>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_16__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000281_renamed_1587)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_16__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_16__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_16__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_16_mux000288_renamed_2084)) - (portRef (member DOB 15) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_16_1)) - ) - ) - (net (rename zpuino_stack_b_read_17_ "zpuino/stack_b_read<17>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_17__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000284_renamed_1593)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_17__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_17__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_17__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_17_mux000292_renamed_2085)) - (portRef (member DOB 14) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_17_1)) - ) - ) - (net (rename zpuino_stack_b_read_18_ "zpuino/stack_b_read<18>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_18__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000284_renamed_1599)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_18__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_18__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_18__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_18_mux000292_renamed_2086)) - (portRef (member DOB 13) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_18_1)) - ) - ) - (net (rename zpuino_stack_b_read_19_ "zpuino/stack_b_read<19>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_19__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000281_renamed_1605)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_19__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_19__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_19__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_19_mux000288_renamed_2087)) - (portRef (member DOB 12) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_19_1)) - ) - ) - (net (rename zpuino_stack_b_read_1_ "zpuino/stack_b_read<1>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux0002117_renamed_1454)) - (portRef I1 (instanceRef zpuino_core_nos_1_1)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_1__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_1__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_1__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_1_mux0002126_renamed_2074)) - (portRef (member DOB 30) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_read_20_ "zpuino/stack_b_read<20>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_20__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000284_renamed_1611)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_20__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_20__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_20__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_20_mux000292_renamed_2088)) - (portRef (member DOB 11) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_20_1)) - ) - ) - (net (rename zpuino_stack_b_read_21_ "zpuino/stack_b_read<21>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_21__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000284_renamed_1617)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_21__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_21__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_21__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_21_mux000292_renamed_2089)) - (portRef (member DOB 10) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_21_1)) - ) - ) - (net (rename zpuino_stack_b_read_22_ "zpuino/stack_b_read<22>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_22__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000284_renamed_1623)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_22__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_22__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_22__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_22_mux000292_renamed_2090)) - (portRef (member DOB 9) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_22_1)) - ) - ) - (net (rename zpuino_stack_b_read_23_ "zpuino/stack_b_read<23>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_23__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000284_renamed_1629)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_23__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_23__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_23__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_23_mux000292_renamed_2092)) - (portRef (member DOB 8) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_23_1)) - ) - ) - (net (rename zpuino_stack_b_read_24_ "zpuino/stack_b_read<24>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_24__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000284_renamed_1634)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_24__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_24__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_24__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_24_mux000292_renamed_2093)) - (portRef (member DOB 7) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_24_1)) - ) - ) - (net (rename zpuino_stack_b_read_25_ "zpuino/stack_b_read<25>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_25__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000284_renamed_1639)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_25__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_25__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_25__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_25_mux000292_renamed_2094)) - (portRef (member DOB 6) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_25_1)) - ) - ) - (net (rename zpuino_stack_b_read_26_ "zpuino/stack_b_read<26>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_26__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000284_renamed_1647)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_26__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_26__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_26__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_26_mux000292_renamed_2095)) - (portRef (member DOB 5) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_26_1)) - ) - ) - (net (rename zpuino_stack_b_read_27_ "zpuino/stack_b_read<27>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_27__)) - (portRef I1 (instanceRef zpuino_core_nos_27_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000284_renamed_1651)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_27__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_27__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_27__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_27_mux000292_renamed_2096)) - (portRef (member DOB 4) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_read_28_ "zpuino/stack_b_read<28>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_28__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000284_renamed_1656)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_28__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_28__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_28__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_28_mux000292_renamed_2097)) - (portRef (member DOB 3) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_28_1)) - ) - ) - (net (rename zpuino_stack_b_read_29_ "zpuino/stack_b_read<29>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_29__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000284_renamed_1662)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_29__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_29__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_29__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_29_mux000292_renamed_2098)) - (portRef (member DOB 2) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_29_1)) - ) - ) - (net (rename zpuino_stack_b_read_2_ "zpuino/stack_b_read<2>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_2__)) - (portRef I1 (instanceRef zpuino_core_nos_2_1)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_2__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_2__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_2__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002133_renamed_2075)) - (portRef (member DOB 29) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_2_mux0002124_SW0)) - ) - ) - (net (rename zpuino_stack_b_read_30_ "zpuino/stack_b_read<30>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_30__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000284_renamed_1667)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_30__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_30__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_30__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_30_mux000292_renamed_2099)) - (portRef (member DOB 1) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_30_1)) - ) - ) - (net (rename zpuino_stack_b_read_31_ "zpuino/stack_b_read<31>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_31__)) - (portRef I1 (instanceRef zpuino_core_nos_31_1)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000288_renamed_1671)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_31__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_31__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_31__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_31_mux000297_renamed_2100)) - (portRef (member DOB 0) (instanceRef zpuino_stack_stack)) - ) - ) - (net (rename zpuino_stack_b_read_3_ "zpuino/stack_b_read<3>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_3__)) - (portRef I1 (instanceRef zpuino_core_nos_3_1)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_3__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_3__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_3__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002133_renamed_2076)) - (portRef (member DOB 28) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_3_mux0002124_SW0)) - ) - ) - (net (rename zpuino_stack_b_read_4_ "zpuino/stack_b_read<4>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_4__)) - (portRef I1 (instanceRef zpuino_core_nos_4_1)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_4__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_4__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_4__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002133_renamed_2077)) - (portRef (member DOB 27) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_4_mux0002124_SW0)) - ) - ) - (net (rename zpuino_stack_b_read_5_ "zpuino/stack_b_read<5>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_5__)) - (portRef I1 (instanceRef zpuino_core_nos_5_1)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_5__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_5__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_5__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002133_renamed_2078)) - (portRef (member DOB 26) (instanceRef zpuino_stack_stack)) - (portRef I2 (instanceRef zpuino_core_w1_tos_5_mux0002124_SW0)) - ) - ) - (net (rename zpuino_stack_b_read_6_ "zpuino/stack_b_read<6>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_6__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_6__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_6__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_6__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_6_mux0002140_renamed_2167)) - (portRef (member DOB 25) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_6_1)) - ) - ) - (net (rename zpuino_stack_b_read_7_ "zpuino/stack_b_read<7>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_7__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_7__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_7__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_7__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_7_mux0002140_renamed_2168)) - (portRef (member DOB 24) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_7_1)) - ) - ) - (net (rename zpuino_stack_b_read_8_ "zpuino/stack_b_read<8>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_8__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_8__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_8__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_8__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_8_mux0002119_renamed_2169)) - (portRef (member DOB 23) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_8_1)) - ) - ) - (net (rename zpuino_stack_b_read_9_ "zpuino/stack_b_read<9>") - (joined - (portRef I1 (instanceRef zpuino_core_Madd_w1_tos_add0001_lut_9__)) - (portRef I2 (instanceRef zpuino_core_Madd_w1_tos_add0000_lut_9__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0000_lut_9__)) - (portRef I3 (instanceRef zpuino_core_Mcompar_w1_tos_0_cmp_lt0001_lut_9__)) - (portRef I2 (instanceRef zpuino_core_w1_tos_9_mux0002119_renamed_2170)) - (portRef (member DOB 22) (instanceRef zpuino_stack_stack)) - (portRef I1 (instanceRef zpuino_core_nos_9_1)) - ) - ) - (net (rename zpuino_wb_ack "zpuino/wb_ack") - (joined - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd3_In1)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd2_In1)) - (portRef I0 (instanceRef zpuino_core_exr_state_FSM_FFd7_In_renamed_1132)) - (portRef I3 (instanceRef zpuino_core_stack_a_enable21)) - (portRef I2 (instanceRef zpuino_core_stack_a_addr_10_31)) - (portRef O (instanceRef zpuino_iomemmux_m_wb_ack_o1)) - (portRef I1 (instanceRef zpuino_core_wroteback_q_mux000068_renamed_1921)) - (portRef I2 (instanceRef zpuino_core_exr_state_FSM_FFd14_In118_SW0)) - (portRef S (instanceRef zpuino_core_exr_wb_cyc_mux000128_f5)) - ) - ) - ) - ) - ) - ) - - (design papilio_one_top - (cellRef papilio_one_top - (libraryRef papilio_one_lib) - ) - (property PART (string "xc3s500e-4-vq100") (owner "Xilinx")) - ) -) - diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ngm b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ngm deleted file mode 100644 index 8d10262a..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ngm +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$2g72~6<9;1/^hxfshmm>%7):<3+(678=1;<=>6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<9>40123456789:;<=>?01237F5D;J9H<=>?0123451D;9;3?012E4C6789:;<=>?012375628>:;<=>?012345@A8O:N?=H?23774>6789:;<=>?012345@7N9:;<=>?01234562<9>J<=>?012345678OLM<=>78654B52739:;<=>?012345678O:M<=>?01234567=9:;<=>L2123456789:;J=HI092E60@>==:0<=>?0123456789:;J=H?0123456789:JIF1D3FA0A0MO98=5?0123456789:;<=>I0G23456789:;<=J?A12B5567=L2>=LHM302EBC6A<139>5>;0:23456789:;<=>?01D3B56789:;<=>?AE20G553=9;;<=>?01234C@A8=>8==>?F963?56789:;<=>?01234C6A89:;<=>L0123456789:;4;=L0703G0D?8OLM<>><0430316<89:;<=>?01234567N9L;<=>?01D3456F8MK;HHHI4@5:?0123466609I;<=>?012345678OL;<>M>82A5230609IMO=88EB4@2F04882?<6>?01234567;9;3=5>?77A@@F11;1?C745757?KJI=<=>?195@435D>99N=5:?;12345678OLMJKHIF120G4>60828O<6<00:2<4>789::4<6>8GDEBC@AN9:;<=>?0528456789:9<=;?01:4456789:9<=>7715;45@789L;<=>?2923456789:;<=>?012305=789:;<=9?0123456789:;<<>?0123456789:;<=9?012315>789:;<=>:0623451382:;<=>?1G23456789:;<=>?2323456789:;<=>?03234567KK:;<=>?0522177AI8>;7=>?01213537;>=;;=>?0121356289:;7292EB567N;:3J=>?0123456789:;<9>40123455489;J<=860123455489:=:;=:0CD5745?89:9?8770123456?89:;<=>7419345678:I:4<6<80:3456789::4=>?0123456789:;<=>L6123456789:84;M9C2:74>6789:;9=>?0123456789:;<=>?0123456789:;<=>?0123<56789:;<=>:F173422739:;<=>:7126447789:;<=>:7123456089:M<56801231=6789:;<=>?912345671=:0<=>?017E456789:;<=>?0174456789:;<=>?0126456789:;<=>?01234060?O:38=5?0123426789:><:>?0103453789?;789:;<=>;0:234567??:?=8ON10234567?=:;8<=6306AB<3>N?:;<;O=672345678K:;<=>?0C63?56789:<;=>?0123456789::4=>?0123456789:;4=>?012:456789:;J=>?76:3416<89:;<<==023BE57689:;<<==01147<45;K9I9>=>016E744689:;<=8M0123453E<91;<=>?2433456789:;<=>?4103456789:;<=>?053345679K;;<=>?017221021<8?<6>?0127706789:;<=>?0123106789:;<=>?0123406789:;4O>?01234066N0>=:=:?;123452B:9;==?<93123452B:9::M48:96@0F70AL9:;JO;;B52845678<=<<86?025445678<=<<=;?7154<515812<<=>I8954456789K;<=>?01A305=789:;H;7=>?00:0G6E609:;<>6>06AEACC0K:2:<>6>0E4EACC40>I84=>>02:4GCC0K:2:<9>401235=5D?LLMJK>?0123BC@A?L9H=5>?01236101NO<=>9>?0123B@E7K9I;<=>?419345648?:MI;><0123456609IMI=M>812344>4K>O:4<6>80:344>6082:4:K6789<=<8>?01154063>K?2:<>?007BEC6789:=N;>?01230G6789:;<5>?0123452739:;<:M?02:5G3E409:;<:K?02G5G3E4K9:HIIKI6D434AE0>9:=:;896EA34AE0>=:0<=>?812;456789:3<8H?81264562N9:9<=>?092:456789?<<=>?0123456789:;8=5?012@G304;??0120730DK?<8?=>?00:0G6E6082;<<6?6ED5E600I?IN:;=;;123516<89::58;60127503>888I9>J>012421@589:;8O?=0123455F89:;<=:M01234567<2:;:9:;<=>N21234564J9:;<=>?05284563903:<=>?68;244EE:?>8<=>?0131456789:I<=>?012305=789?;<=>?012;4567=>8;4=9?01233<1789:;<59?0123406789:;<=>?0123456382:;<8>77623406??>:;<568062345>7?>:;<=>?062345678>:;<=>?C123456789>;7=>?76:3356789:O<=>?012E456?88K;;=>?80:4356789:<;=>?0123356789:>;9>4012F5=7??9;3=5>J015F777??FB253@E1K?I=<=>?01A52F5?>JI=<=>?419344640>IMIKKIE7A4AC@B?J9MJILIF6G4A=7>1KN3=L;716G3456789:;<=>?01274>6791:;=5?70BA53F67>99;=5>L1913256789=N<=>?7D2345678?:H=5=?192@432739:8<>><00:3GF0AM9:99;8=5?02C52FCD8J:=:>M?0G2543>00?2=>KK?0GG563>00?2=>KK?0G:5G301>?<=OK6;0:237F7?91;3?5>?67233FE7NLI=;N?731233FE7NLI=;N>?C7233FE7NLI=;N6=7B63?564K82:4<6IE0:0416<899H?==?3113755D89LN:;==19:@G3@B899H=5?73B45230189I=O;8L394@G3E1<91;<>M961A2<661>:I;OI;I:MLEB4@2F0D>>I;90724G301>OI?<6>?3B45G5E7K9<=?N>?FB45231D>?<=JN>?C7A5G3@BK??79A3@@BBLLI=;N:401630>67>9>;7=>961252301>?<=:<60M:I:4=>?21G0ACC4M9O;>=>70D2E4014@2F@B>JLN:N8L01234567898?:;89013;457?919H?N?70123456789:;<=>?419343EAMM1KJ::867>JLNH;J9C7A5G367;182A2<4D7M9:;L7BA5G5E7K?=H8=5?064@GFEDKJI8<<6?06A@2F0D>>I:4=M?02A2<4>409::4=M?064@G2E7K>28<<6;0:2333EDKJIHON=?612333EDKJIH<=6;79233FE1K?I=;N?731233FE1K?I=;N>?C763?560KJ<;:>M?6B44G56AM?<8<82:2<5640?IH:I8L67A0<16<89=HO;M?C75@456789NH:;89675@25C789==ON9L0B5;456789:;<=>?012@5=57<91;<:ML6B4@22E789:;<;8967452AE789:;M>80:2<4>60:2?<6>?7BA5G3E1?J29;N>?CDA@GF@BKJ?FD;3B@75?L:;<=>?FDA3B=E7NL9;=5:?;124GF0D>J>90124GF0D>J<;<5<8C124GF0D>J>>812E4360K?<I0528451DK?I=O;M9C75@45E1K?INHHH9E7A545E1K?N=JHHJEDA545@B>?<9:=8?61D30>6709>;7=>70B25466609I;:=>>80:3456789:;<=>?012342C789:;<>>>80:3456789:;<9>401A53FE1K?=HO;>?371573@089L?37157350;99OOH::M9C2:34F0D>OOH:;M<8B4346E608284=>>822346E6082:4>6726A74>67K?LNO;8L392@5=67K?LNO;8L39:13F67K?LNO;8L3943757?91;3J5?7193;5=2739:H:KKL67A09:82:4<6IF0:2<4>609:;<=>IF12345678=:0<=K9674@3=0D>?O=<=97CBA@4F6D8J;N<==L193;5=7?919H<=M9C7A5B@E1K?I=8=5?0D45G2>1K?<=9<;<=8?02A2<4>60:2;<<6?0D452300>?I=;0:23BF61?JI;;H>?0123B507>9==HN>?013F4F1DKJIH;;>?01D3251D>?<=HN>?0163?56AMJ:MON>IE12@256AMJ:MON>IE904G56A0?I=:K8967AE<5600JIHO:M?C6G0416<89LNO;M96B1;556789:;<=>?0123@F1189=;=5?70D3;5=1789;3=5?7193;5=7?:2::86>>01684464<91;=8<>F723456789H;<=>?01@341D2;K<;<=8?37434567908;<=>?02;3456789;?<6>>6546E46789:;<5>?01234=678K2=I5>?03C6==6789:?:;>?0123546789:;<8:400;:05=79O3?:47?012341D789:;<9L?08@37GE789L;9>M?012376?789:;<88>;4;8081442?4?9=>;=973924<5>7NO>;7==909A3B5E389O3O=H9D4AA666D8=J9>;D7A30A34KMN>M9>402GB3<3001:9J5678ED;15@4;1:JM5=81G:;7617;;:8;==<38D662>4;>:39NH<4:27012739>24?=>687G<=C?9=9M8=6650;1722?=O>;89>8494E@063KKIO<5J;9023635BM=:0<8>8012;456789:3<=>?012;4567893;<=>:2123456789:;<=>?017;456789:;8=5?5154B51789:;<=>?0123456789:;4=>?0122<56789:;9=9?0123406789:;<=6;0:26B53789:;<=>?0123456789:;M=?80G234C770O:;<=>:712345678>:;<=>?0163?500N;2:4=JK01326553LL:M<9?KA33176778L??J=>I75D3@647>88?J>?>052761=7>0:?<6>871DB3=3DN1?NJH2>;=>?3453<56A=;=3?=:?;15;42E71<2><8MI8952423681K>=:>?F15635BF809;J:MI86:;<2@>8J:HI>>=052842@A018><=>7362446>?N1?<489756:635>7NO2>;KH782:636308>8N9:>?74510>6?89>;7=6?0D037A130=2=J?:;875G<663>M23I5>6?99I848O7795;1=40;>;3?:;<71:;<5>5?;23?>688431<0>0=H2N9:>?767;5=2739294===F5234F0D8KNO8IOK42234F0D<9>O9N:J13674A4C;=:O>4;?242@B=>B092;>H<>817:1D?4;1?3>K>?812@00:475D?<91;4K9?29770275>K;<4;8:5737<<3C<8834;=?61FE6756?M88=8<;C172F22C<8;?<6>60116@266?92:J=;>::8>;8M8567;3C3599=<><>88156373>?>28<8:40@2;05=7JJ:;8;=<81@4F5DALM2HH9??4425@D67K?10325476N1>0;4:2E472<8O:38=5?FBA52650M?IN:;=?0123250AM9:;<=>8E93B1G?F=K33=:K?02G57666082;<<6>;008552<99:;8=5>063;:HI8>F1270=267126235>:1:94O68892E62@?>L23N9;8A9::B@658J9=4E3G65G681;JOO?<;039MKVR\3KOH_2>0;2=51=6:3CE\XZ5AEFQE976294:86?=:HLSQQ=3;>;?8103123>:1=8>5=<7970361??;2<?5G565<5?=9:9J?M=A1D6<0G5?9KM>K<7012305=6;12995;<56:67252?<9<9>=83453<5470>38;8=N8121<65C89?;<5;I563A36>538>?7<:;40685127?699=1:87GAPTV9EABUJ5;86=0>5:35>LHH]]0\ECIPA=36>586=2;=6D@@UU8TMKAXJ5;>6=0>5:35>LHH]]0\ECIPC=36>58382;3=5>;24D2@CB5;8>>49L:<4D1B@=>4:;>;7<6>80:2<4>6082:4IJ87EF43AB0?MN<;LO:5@C61DG2=HK>956=29:16=>5:129>9>4193;5=7A91;3=5?7193;5=@A89:;<=>?012345@A91;3=5?70123457A91;3=5?74192<4>60O2;<=>?0122<4>0M82M96742<4>0MJ:H<:K>80:345678OO;:K8<62474>7?0=L:4>KO3;5N=?99:G37B2:KOM:H6J81:AECGD>JG:6?3:5=>5>8;KMTPR=JJCE0<950?36?4>=G\^[YY4MCNWW841=87>;7<6NF02B67568H<;H?LME5::6F25KHN3HI;NC0:0<=C1892:<5>KFG617=5E8;<2M9>419FA7FC0M>9HHO?7C1G52204>:=M:>9IE1D33557;99M?>=I195F5=5D>?<=:;=L1192>LHW]]0OEL2?:1<24>7=AGZ^X7JFB=294;77380BB][[:EKS85<768;0=7AZTQWW>AIF490;20385?IR\Y__6IA_<183:7=6J=:0=OLK5D@;:3013456789>M=6<=;327?7678=:0><==;3J<8L60047=E3192:HN?951FG132F8;>JO5OKA96776>0809<86<=22686741<288;>:?;31A702A;893<56JFC0;33?A9O2:<;6821F7<<>591:3I5L4BJ1:N546?810;<0G>;:23;?=85D:3<54B:12>?=0?>;?9?20:E622<:O8J8=5<00:2<567882:4=>?00:2<567882:4=>?06:3G500MJ46794;:<=?2102>;=9?867E5=6A=8;:=1830?67):<:;<=>?01234567:?18=?;?.123456789*{_-FFGI"64+6789:;<=>/%038744283zX6EGHH;73!7=4:880??4FNQWW>AOF4:;1<3?=;209MKVR\3NBN1=>:1<27>552F__\XZ5DNC?74<76890??4@UURVP?BHJ59:6=0;;21171=4;:8?<6=;81257=E68O?:C55BE703?9N84=6>35@5=GB30M29J?>N092;=4B?=9O8?4:?;27F6632::I>>N9JK=MI5=9137345610M2N45JNA6A1G4E58J8;NI>I05287351;?L=<;HJ01237351;?9=?;=937157351;?L=<;H937153@>61MK:M<7K805F05=4>:<8:K9?0GD3456789:;:<8:>9<02D3456789:;<>H<02502603829=:::KC0F7@=2191IH;<6771A6=6?4?964E27G6>4KMKH=I;;12543136;:;:7>85OTVSQQ7B:4<=17:O?N?>9J23G;621?=8=29=9489<4?6<791605=409I:4=>?0123456789::4=>8E122<560K1<8O;8962A556C788H:N4FNQWW>FRK[LF7>7>14190G0528<;8:=96D005<5B38=NH<=><212;?-5680567<91?<><=19141;?3<:7=30605156<:;?8;662762<716=>?2H9O>5933E4B7;1>?<6:?37631=D7<9>;NKMK4BFB5AG0K=:IH?>KDBF0F764982HON??DE1GGAB4KKLOHO:441A36>26<91??=<;31:225B3KH9:O>M?DE@26AB5N9;:J4M969F;@7C70O;29LJ981DG02610JI?79:;159701@33=>N89>44736<4?4?J:289J>F3A54AB2I8H?>;69?419730579<8;<=>?0G@345678>H;<58?4C3341779;;;<=>?72034567<<8;<=>?03324>2=AGZ^X7JFA=194;773=0BB][[:EKA86<768;087GAPTV9@LVF4:0;24?>0380?IR\Y__6IAN<283:47<<3E^X][[:EMA86<7688087AZTQWW>AIWI591<3?=;58LQQVR\3ND\O2<:1<74>370>L:?:;859;63C@2?O939=6M015152@C;98I;?6=89:61=G7K1I345>7890;@54<=8>;78?=E9770A17L:2;49<>547GG53D9=I;99?=8176@=@C8:;OHL?L01AGA<45M1K?:9>4501465D?:H:H><9?8453:3=5?7A97;1F67J;;3;4;7E9:1E614=>?94?=>41967@4B>H?8O;7?3B676705=1:>4=;704:30FGB:<9;I?K92373G3739O29=?8>8@174>34NO:8;>;=D2:2G53?;O:?8?:;2561014C0?LM=9===9:;==4B62632<=<;>8=5:53131C1?;<28;KH786;41C>A;12H489J34:;7=>7098;45==73C1E2E>8M239=6;;476@1=2=M??7886A596354382?<><02515760?<=M959;7897525174>??9299K9?C923F=>?8<:;44OID954=0@201?84>9=747;BC3?8<2<495:7GD1?0?6<2?1EC^ZT;QWWDBU[5>1<3:?;4C;=0D??>?<4=M729:3B=57=L?H59M>85250113:8LM?=:?14G0A763<:>:>>7M37066705=;>H<>?841772=?592BE43F@2E<:H:>5>=E363BAEDMJ;H4I;L1B:G1F7?I;03>?>0:5ML2:4:0>0F>;>0:LON2:4@05=1K??H5I9M15:5<3BF:898?N:692:6560CIJ<;:4774E001A26L=H::9?9691561>63>>;7:>?59:336E?=O9<9=>729:36@>F01=<5L><292E4=5?;0=JM598595636>401L;;9>471:4<=4?0<28;>>=A43032G2=89<<:=82@2@<0@?;>8>;:<853:;1770?>9<956<2:510>14;8>;7:;?7652641001=:;>9:39DB3C17N::3?=9:025:F=B?J?002;<9>47454751A=9;:795;1=3?8O2;>N774:56G140;;;;4194324>=>?;H>=889:47=10=9O39I6?02:6A05??<:3J5675123<=1F0:LJ987<86274>1?:<9;5=9732:1473789K345>=7971B73A?1=;?86>8906<267?<2<45><562;452IFGDF3F5D;L=MJKH;;6:F516;>8J9:HK567072>?0:E4:2753>=1?8<898061EB030:M?>;=9706C66514?1=97:H;;6DEA1=0NOL:75<48168<567<913<=>721134=5>8>=954;?A823:562310178>:>;<>784325476999?75>?1528<5600<:<9N6?51:3=66?0;=>H89773C4GC>5I9NJJ=>>74;1<=540038?5>709:105=?8>IN:I8LE6A365678>IH:N8L6B434F078:2=ON8L67A0?;::M9:680670301A0O=;5=9=88231C6?;::3;N><08:;04?>?419;65C?:;HJ;4675B:1E56E8OL>45<781D;623F?12;<56771:6G=4?=92<4:=>3G77?=4?8=:04>9<7656=25AM977253725081?<?<8=5764D1EC04IL>8>H?IF12EB56789:;<=>?06G344>60>O:4<6?01234560M9:;<=>;0::;12???9L3456N08C345B?=1:<<46724:07=408>2>;467997;45?5I9:2<=7<3963?=>081?LHW]]0OE1950?33?==>;ON8NE22G@3@1:=;H>?6<7@C0B5E6K:N?JOJ=F92G@F6701NJJ=>?FE:1G16<0M2H4IO?A3A3576FL1NO9;HI10DG5GDBK9I:O=:KCD0B17C68ONON70440=74L1I?75H7809:0>?780>05?672:;00>?4>H>05;?J4:;5ED75300BB][[:@FGVD:?294:>675IORVP?GCL[H747>1419:E0679>O9OHJ701F1157AN9=3J89<312;G<3A80K;9=97017;4=37892;4=>?98:7?8;;8F5<4=F:2K;86O?B208E453:C266=F9:90M<:<;@367>G6>:1J=:<4A308E626O;2:C66>G1<2K=58<4A608E=2F92G@404L?2::>JK023B55BC8?898KJ;;@:E<7=F1=1J0=0:;@>24;3G;9:4>7L2>4?78E9726<1J0<817:C?52<76<1J0<914:C?5;2G;?7>0M1614:C?=;27<2KJ4L:4A@C50>GFI1>0MLO64:CA442GCL[6;2l5NDEP?55<7611JHI\311<4?DBCZ5;5;6OKDS>1:2=FLMX7?394AEFQ81803HNO^1;17:CG@W:16>1JHI\37?58EABU414<7LJKR=;=1>GCL[K=7LJKR@25?DBCZH;<7LJKR@333>GCL[K:=:5NDEPB57113HNO^L77;@FGVD:7601JHI\N<02=f>GCL[K7=<4?>89B@ATF48;556OKDSC?578e3HNO^L2>3;2==>GCL[K7=>07;@FGVD:6611JHI\N<3<;?DBCZH68255NDEPB818?3HNO^L2:>99B@ATF4?437LJKR@>4:d=FLMXJ054?>99B@ATF41437LJKR@>::0=FLMXI:6OKDS@32>GCL[H:;6OKDS@242=FLMXI=<94AEFQF4403HNO^O?<6:CG@WD5>2KOH_L<6:CG@WD3>2KOH_L:6:CG@WD1>2KOH_L86:CG@WD?>2KOH_L68:CG@WD;8730MIJ]B=33:g=FLMXI0GCL[H7?364AEFQF92902KOH_L35?:8EABUJ5<546OKDS@?3;g95NDS17?DC7<=1JI=M;;@G;E1=FMOL?7LH=729BHI2>5M1218F4243K;>?6L>629A524<4B563?G2E:8HIIKJ;4C:10F270O;IH5>78BA;5=>DK1?34>J?034103D21?>;<=>?012157=E==:0N8>L01:E5F?E?923=>8743:@025B0=IMO?<>D@2@4AEA81L8>9:?0G2@GFE0L1NJ>6L94:@5G1480N5<4B868F969=2H7==0:;C>25;3D;9=4>7O2>5?78F9716>1I0<950?78F9706=1I0<0;;C>1:1=E4:4?7O2;>59A80833K6=295M<6<7?G:?6=1I040;;CC041=EIOO?7OMFN49AGLH7=2HHEC?9;CAJJ4613KIBBDDAG?>7OMFN778FFOI?<1IOD@75:@@MK?03KIBB1>18:@@MK:68720NNGA<03=<>DDAG6:>364BBKM845902HHEC2>4?:8FFOI48?546LLIO>22;g2:2=EK@D7>394BBKM86803KIBB1:17:@@MK:26>1IOD@36?58FFOI4>4<7OMFN=:=3>DDAG62285MCNWW2>DDG\^;:6LLOTV23>DDG\^:<:5MCNWW541469AGJSS9<=0NNAZT044?GEH]];<:6LLOTV12>DDG\^8:6LLOTV72>DDG\^>:6LLOTV52>DDG\^<:6LLOTV;2>DDG\^246LLOTV?4;?89AGJSS48;556LLOTV?578>3KIDYY2>3?;8FFIR\5;?245MCNWW843912HHCXZ31719:@@KPR;9>437OM@UU>2:==EKF__0?07;CALQQ:4611IOB[[<5<;?GEH]]6>255MCNWW838?3KIDYY28>99AGJSS41437OM@UU>::166969324A?CK8?849H>102;4C74M=98??J?80A34=7D8:;>>96I71:G<3@A:2HM86LIFG78FLVFL=1I^HI<;CWE0>DRNN;;7O[FLE]WEWAB\HXHD55MUR]JJCI03KUBB][[1:A7?F6?8=:0O092G@67F99NO86M=A308G3273J=:O;JM11A6G1450M>8:;>7321;G645K8N?H9>KDC6262179H>:L:?49;1B1>50:O:?43534453;=:309D3@7769;8=??8:2140157?0==<5==L491E41E7;8?9>:;BNH=52l;BNHB]YCA_COIh5LLJD[[HSK\@ZGU85LLJKM54=DDBCESIGYIEG\F\Y5k2IGGD@PPDPJKA753JF@CXZPPICPAZUOAO^h7NBD_GGF@GGDC:1H@_74CNONMQRBL?1HCCMZR99@KKUSIG^:=6M@NRVBJQYSZHYCOL@8;BPFEQCC;2I_@85LTMEL3>ESDDEOI:5LTMMPG411HXA]JL3;8GQJTME6;245LTMQFH979j2I_@^KC<383:<=D\EYN@1<11:F1?A3382N><:M?7G:3G=>?I92M4?67847B4F1?=1:;H5;70924G5C0K1230H8;:4:F6<52F0>L34=;?79744=>?0123IDE@25A57;J;H<9JLD2@099==:?12005G60J;;HH984DBO\WU273MO358=:0923E=30=>2;>KJI8970<23>=123;K6:F6CE=0@0N12<<5;LF97;3=>70m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cKDEG5G35A0<:M;IJK692344@DK9>9:=>KD32;44BDJ9H;N9M?8208A12L:4EBC30>CBM980IC=4EOC0?@HE981NT]OADDF\FFBXN@FN=95JXQCM@@BXKFDXX_OFNUQ25>C_XHDOIIQFSD]EMIC382L;<578245;1=@A?9?<459:023332>7;>2<<>;?725;B=5?0O2;;89?7674656B:8>;7K>906A52300K?:N8C7452301>9:;<=><87A@23E40MI<:=>8CB4@2F00KMI<:?5I159E54753O8?7K4F504A645L=:OH5M;02704@4C?MNOOHOL1EDG2C67M9::M9J28>;99496012;<0>7:>2>4=<8841315@?;9::4:9>10325474L=:0J89>24:;6=>4812<;:6:CG:147548O:;4=H=5C5@=D>?N18H>=67592;G<6CN>=:86H8A308B=273O2;O:MLCBA4256789:M<;>90G:54304K9:IEB44G56789:<:NMLCBA4G5E6K=1M456;;G;A@1=AIJ2j7KOLTNPZ[O@33OKO485IAMQF0>@F[]80JO:?;G@E0=?7J9HOH5L?8G6;45>4JOIO<56?092;<56>81;9M<7>20A373@689:;<=>?539E@0=ALJZN?6HKE29E@U5@A89:;<=>?0123456789:;<=M96B1;5567;J:HL0B2@7F678;:=O?012342E?>:I=:;82:EFJ@TF\LN+\B][-F137>AIL<1L^B[_9:EQKPVSQYO:7D<4I108M44:4ICWE1>OE]OM:<6GMUG]GAIG^W_KGYHm4ICWE[IIPWY]Yi6GCLDEBHZRFZNO_>6GA3:KME6=NFK20ECHJRSGG<>OIA]ZT<=64IOKWTZ6602CEEY^P03:8MKOSXV:846GAIUR\41>:8:KMMQVX8?20ECG[P^24=>OIA]Y_MYK8;HLJPZ67?2CEEYQ?169JJLRX8;=0ECG[_114?LHN\V:?;6GAIU]312=NF@^T<;94IOKW[5103@DBXR>77:KMMQY71>1BBDZP0@58MKOSW9H<7D@FT^2@3>OIA]U;H:5FNHV\4@1169JJLRX9;=0ECG[_014?LHN\V;?;6GAIU]212=NF@^T=;94IOKW[4103@DBXR?77:KMMQY61>1BBDZP1@58MKOSW8H<7D@FT^3@3>OIA]U:H:5FNHV\5@1;94IOKW[7103@DBXR<77:KMMQY51>1BBDZP2@58MKOSW;H<7D@FT^0@3>OIA]U9H:5FNHV\6@11BBDZP3@58MKOSW:H<7D@FT^1@3>OIA]U8H:5FNHV\7@1H9;HLJPZG13@DBXRL<;HLU<>OI^LX_IIk4IO]MCAYFFMUIOI<4IN78MJDRN?1BCNABP`9JKVRFFMKYH;5FOU[SA1=NXHNh7AKHAM]WEWAB\;1GE:5CICPBV\0JR\;UO:6BZT3]O0>JR\:<0@XZ<_E48HPR4WE>0@XZ;6:NVP1YC>2F^X9QC8:NUGHIT:<20@[MBOR101>JQ\]Fi7@O_EYRBJACC>2GJ\]KKa:OBTZKHL\FNh6CNP^VJI@USIDZi7@O__WGQWLII901F@RIJNDPBP@BXXFY_!J\NNHVFg>KRD];3T<6\IN18IP^23D_SOT;4MTZE10=J]QL=o6CnjnpUawunggi0Ad``rWgqwlii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m2E6$%&qI1(+M4,N: # $D>%I0+*/L5.9l1D1%&'~H2),L4-A:#"'%G?*H0*-.O6!;:0C0&'(K3.-O5#wC8%$%'I1(}M7/."@;"=o5@=)*+zL6- @8 rD=&)*K3-/xN9 8:7B3'()|J4/.yA8 uE?'&+)K3.{O6! !B?$<:;N?+,-xN8#"uE<$qI2+*/-O7"!C:&D=&)(BJ6,7>3F7#$%pF0+K2-..N8#C9%$$F3(3b?J;/ !tB<'G>)**J4/O5! uE>'>9:M>,-.yA9 B=$%'I1(J6,/,A:#:m6A2()*}M5,N9 !#E=$F2(+(zL5.9h1D1%&'~H2)M4/, @:!E>'&*K1-4?9:M>,-.yA9 B>$%'I1(J7,/,A8#:m6A2()*}M5,N: !#E=$qI2+*DL7.9<1D1%&'~H2)M7/,A9#!rD?&1d9L9-./v@:!E>'$(H2),L4,A:#"%'G>)0d8K8./ wC;&D=&+)K3.-O5#@9"%$$qI0+14>I: !"uE=$F3()+M5,/v@8 E>'&)+|J5,7f3F7#$%pF0+K0-..N8#C9%$$qI0+2=>I: !"uE=$F3()+M5,N: # E<'>b:M>,-.yA9 uE<'$(H2)zL4.!#C8%??4O<*+,{O7"wC9%&&F0+*}M7-N; #"&sG>)018K8./A9 #E'&+H3*50=H5!"B<'&qI3(}M6/.#@;"=>5@=)*J4/O6!""B>'G<)(37?J;/ @:!E<'$(K1.L5.!l1D1%&F0+K1-.O6!l1D1%&F0+K1-EO6!o1D1%&F0+|J6,-N9 ;?7B3'(H2CM4/- @8!rD=&)078K8./A9JuE<'%(H0CzL5.!;o0C0&'~H2),-.yA8 B>$%F1(BJ7,/, @:!$D?O(H0CM6/.! 827B3'(K3.-./v@;!E>'$I0+)M7/.#!C;&%G>+H1*-,4a3F7#$sG?*)*+zL7-A:# E<'%~H0*-..N8#"B=&&F2*K0-,/.:11D1%&qI1(+,L7-A;# E>'&+)K3.-O6"!C9'D=&)(+17>I: !tB<'&'I0(J6,-N; # $D>%(H3)M7/.!;>0C0&'~H2),-O6"@9"'sG=)()+M5,/A8 B?$'&2`9L9-.yA9 #$D?OI3+)M6/.#!C;&%&F1A|J6,-yA:#"%?l4O<*+zL6- !C:LsG<)+|J6,/, @:!$%G>@K0-/O5! #8n6A2()|J4/./v@;!$sG=*H1*-..N9#"uE?%qI2+*-,-/A9 #E&G<)(+*/-O7"@;"%><4O<*+zL6- !tB='&qI3)}M6/.#!C:&%G=*K0-,/.#!C;&sG>)(0e?J;/ wC;&%&qI0(J6,-N; # $D>%()|J5/O5!""B='G<)(+*6<=H5!"uE=$'(K2.L4.#@9"%&&F0+*J5..N:"C8%$'&449L9-.yA9 #$sG>*H1*/-O6"!C9&sG<)(+*/-O7"!"uE<$'I3BJ7,/, @;!$sG=+H1*-,/.<11D1%&qI1(+,{O6"wC9%&&F1+*J6/xN; #"%&&F0+*+zL7- wC9&sG<)()+M4,/A;!uE>'&)(+05>I: !tB<'&'~H3)zL5.#!C:&%pF2*K0-,/.#!C;&%pF1*K1-,/4n2E6$%pF0+*+zL7, @;!E>'&*H0*-..N8#"#rD?%(H0CM6/.#!C:&%pF2*K0-,/.!::0C0&'~H2),-xN9""B='G<)((J6,/, @:!$sG>+)|J6/O4! #">k5@=)*}M5,/ wC:'%G>*K1-,,N; # $D>%()K2.L4.#@9"%$=7;N?+,{O7"!"uE<%'I0(}M7/."wC8%$%'I1(+,{O6"wC8%&&F1+|J6,/.!;l0C0&'~H2),-xN9""B='pF3(+)M7/.#!C;&%&F1+K0-.O5! #9?6A2()|J4/.N9#"B>'G<)(+(,L6- @;!E?'&)318K8./v@:!$D?%(H0)M6/.!""B<'&F2+K0-,/5j2E6$%pF0+*J5/.N:#C8%$'$(H2),{O6#!tB>&pF3(+*-7?%(H3),L4-v@9"%$%'I1(+,L7-A;# E>'&)3c8K8./v@:!$D?%(H0)zL5.! !#E=$'I0)+zL4,A:#"%$+)K1/L5.! #9n6A2()|J4/.N9#"B>'pF3(+*/-O7"!tB=&&qI3)J7,/.!:h0C0&'~H2),L7- @8 rD=&)()+M5,/ wC:&%G=*H1*-..N9#"uE?%qI2+*-,/4<2E6$%pF0+*J5/.N:IC8%$'$(H2),-xN9#"uE?$F3(+(,L7-A;#"%$'&)**J4/xN: #9m6A2()|J4/.N9#"uE?$qI2+*-..N8#"B=&&F2*K0-,/.:?1D1%&qI1(+M4,/v@8!rD=&)()+M5,/v@8 E>'&)338K8./v@:!$D?%(K1.{O4! # $D>%~H3*-6g%(H3),{O5#@9"%$%'I1(+,{O6"!C9&D=&)**J5/.N:ItB?$'&)(00?J;/ wC;&%G>*H0*-..N8#"#E<$F2()J7,/.:=1D1%&qI1(+M4,N: # $D>%()K2.L4.#wC8%$'=4:M>,-xN8#"B='G=)()+M5,/A8 #E?$qI2+*-,7a3F7#$sG?*)K2.L4.!""B<'&F1*|J6,/.9l1D1%&qI1(+M4,N: # $D>%(H3CM6/.!8l0C0&'~H2),L7-A;#"'%G?*)|J5/O4! #996A2()|J4/.N9#C9%$%'I1(+zL7, wC9&D=&)(+14>I: !tB<'&F1+K1-,-/A9 #rD?$~H0*-,7>3F7#$sG?*)K2.L4.!""B<'G<)(00?J;/ wC;&%G>*H1*-..N8#"#E<$F3()J6,/.:<1D1%&qI1(+M4,yA;#"'%G?*)*J5/xN: !B?$'&1g9L9-.yA9 #E<$qI3+*/-O7"!C:'D<&)(3b?J;/ wC;&%G>*K1-,-/A9 B?$',-xN8#"B=&&F2+|J7,/.#!C;&%&qI0(+M7,yA:#"'%G>*)|J6/O4! #"%?74O<*+zL6- @; $D<$I2+*-..N8#"#rD?%I2+(M7/.!;h0C0&'~H2),L7, @8 E>'&)**J4/.yA8!#rD<$~H1*-,/6m2E6$%pF0+*J5..N:"C8%$'$(H2)M6/.:k1D1%&qI1(+M4-/v@8 E>'&)**J4/./v@;!rD<&+H1*-,443F7#$sG?*)K2/L4.!""B<'&F1**J6.O4! #">95@=)*}M5,/A8!B>$'$(H2),L7, @8 rD=&)(+2b>I: !tB<'&F1*K1-,-/A9 #rD?%I2+*-4?%(H3(M7/.#!C;&D=&)0c8K8./v@:!$D?$I3+*/-O7"wC:%$<<;N?+,{O7"!C:'D=&)**J4/.N9""B>&G<)(+*65=H5!"uE=$'I0)}M7/.#!C;&%pF1+K1-,/6m2E6$%pF0+*J6/O4! !#E=$'I0BJ6,/.901D1%&qI1(+M7,N; # $D>%I0+*64=H5!"uE=$'I3(}M6/.#!C;&%pF1*|J7,/.9<1D1%&qI1(+M7,yA:#"'D?&229L9-.yA9 #E?%F3(+(,L6- @; $D<$I2+*-,433F7#$sG?*)|J5/.N:#C8%$'$(H2),L7-A:#"%?l4O<*+zL6- wC:&%G=*H1*-,-/A9 #E<%'~H0(zL5.! #9m6A2()|J4/.yA8 #E?$qI2+*-..N8#"B=&&F2*K0-,/.:01D1%&qI1(+zL7- @8 E>'&)**J4/.N9""B>&G<)(+*6g=H5!"uE=$'~H3),{O5"@9"%$%'I1(+,{O6"@8"'D=&)(0b?J;/ wC;&%pF1+*}M7,N; #"'%G?*)K2/-O5#@9"%$'=b:M>,-xN8#"uE<$'~H0)M6/.!""B<'&F1**J6.xN; #"%?94O<*+zL6- wC:&%pF2+K0-,/, @:!$sG>*K1-,/5j2E6$%pF0+*}M4,/v@8!rD=&)()+M5,/A8 #E?$F3(+*-7d%(K2.-xN:#tB?$'&+)K3.-O6#!C9'D=&)(+1<>I: !tB<'&qI0(+zL4-v@9"%$%'I1(+zL4-v@9"%$<>;N?+,{O7"!tB='&qI3(}M6/.!""B<'G>)(01?J;/ wC;&%pF1+*}M7,yA:#"%&&F0+|J5,/5?2E6$%pF0+*}M4,/v@8 rD=&)()+M5,/A8 uE>'&)378K8./v@:!$sG>*H0*-..N8#"#rD?%I3+(M6/.!8l0C0&'~H2),{O6"@8"%&&F0+*J5.O5! #9<6A2()|J4/.yA8 B>$'$(H2),L7,v@8"%$<:;N?+,{O7"!tB='G<)()+M5,/ wC:&D=&+H0*-,7f3F7#$sG?*)|J5/O4! !#E=$F2(+14>I: !tB<'&qI0(}M7/.#!C;&%G>+H0*-,4?3F7#$sG?*)|J5/xN: # $D>%(K2.-xN:#tB?$'&)0`8K8./v@:!$sG>*K1-,-/A9 B?$'=7:M>,-xN8#"uE<$qI2+*/-O7"!"uE<$qI2+(M7/.!;20C0&'~H2),{O6"wC8%$%'I1(+,{O6"wC8%&pF2(+*5g=H5!"uE=$'~H3)zL5.!""B<'G=)(0b?J;/ wC;&%pF1**J6/O4! # $D>%(K2.-O5#@9"%$'=5:M>,-xN8#"uE<%'I3B}M6/.!""B<'&F1*K1-,/502E6$%pF0+*}M4-/v@8 rD=&)()+M5,/v@8 rD=&)(03?J;/ wC;&%pF1*K1-,-/A9 #E<$qI3+*-73%(K2/L5.!""B<'&qI0)+M7-N; #"%,-xN8#"uE?$qI2+*/-O7"!C:&%pF2+|J7,/.!8<0C0&'~H2),{O5"wC8%$%F1(06?J;/ wC;&%pF2*K0-,-/A9 #E<%'~H0(M6/.! ;n7B3'(K3.L7.#!C;&%G>+)K1/L5.! #:56A2()|J4/O6!""B<'&F2*K0-,/582E6$%pF0+K2-..N8#"uE<$'I3)}M6/.! ;j7B3'(K3.L7.#!C;&%pF2*K0-,/6<2E6$%pF0+K2-..N8#C9%$?j;N?+,{O7"@8"'%G?*)K2/-O5#@9"%$'>f:M>,-xN8#C9%&&F0+*J5..N:"tB?$'&)0;8K8./v@:!E?'$(H2),L7,A:#"%<:4O<*+zL6-A;# $D>%I0+*50=H5!"uE=$F2()+M5,yA8#"j6A2()|J4/O5!"C:%?h4O<*+zL6-A:# $D>%()|J5/.yA; B?$'$(H3),L4,A:#"%$'>9:M>,-xN8#C8%&&F0+*J5/O5! #:m6A2()|J4/O4!""B<'&F1+|J6,/.9l1D1%&qI1(J7,-/A9 #E<%'I3)J7,/.!8l0C0&'~H2)M6/, @:!$D?$(K1/L5.! #:56A2()|J4/O4!""B<'&F1*K1-,/6j2E6$%pF0+K0-..N8#"uE<$qI3+*-4`%I2+(,L6- wC:'%G=+H1*-,/582E6$%pF0+K0-..N8#"uE<%'~H0(M6/.! 997B3'(K3.{O6!""B<'&'~H3),{O5#@9"%&&F1+*}M7,yA:#"%$'>5:M>,-xN8#tB=$%'I1(J6,/6>2E6$%pF0+|J5,-/A9 uE?'&169L9-.yA9 uE<'$(K1.{O4! ;i7B3'(K3.{O5!""B<'&qI0)J7,/.9<1D1%&qI1(}M7/, @:!E<'&1c9L9-.yA9!#E=$'I3)}M6/.!#tB=$?m;N?+,{O7#!C;&%pF2*K0-,/-v@;"=n5@=)*}M5-/A9 #rD<$~H1*-,,yA8#:96A2()|J4..N8#tB>$'OI0+2e>I: @:!$%&qI0(J7,-N9 uE?'&129L9-O7"!"B='G=)*K0-,743F7#E=$'(H3)M6/,A;#">95@=)K3.-.yA8 #E?$F3(+(,L7- @8 rD=&)(+11>I: @:!$%pF1+*J6/O4! !#E<$'~H0(zL5.! #:56A2(H2),-xN9#C9%&&F1+K0-,/6<2E6$D>%()|J5/O5!"C8%$?:;N?+M5,/ wC:&sG=)*K0-,7e3F7#E=$'(K2.{O4!""B='pF2(+*5d=H5!C;&%&qI0)+M4,yA;#"&D=&)018K8.N8#"B='&F2+K0-,/6<2E6$D>%(H3),L4-v@9"%$?;;N?+M5,/A8 #E?NqI2+*-42'pF3(+*50=H5!C;&%G>*)|J6.xN; #"i6A2(H2),L7-A;#"j6A2(H2),L7-v@8"%<=4O<*J4/.N9""B>'G<)(+27>I: @:!$D?$(H0(M6/.!8>0C0&F0+*J5..N:"tB?$'&149L9-O7"!C:'%pF2*|J7,/.m2E6$D>%(H3(M7/.9=1D1%G?*)|J5/.N:#C8%$'>5:M>,L6- wC:&%G=*K0-,/6=2E6$D>%(K2.-xN:#C8%$'>6:M>,L6- wC:&%pF2+|J7,/.9?1D1%G?*)|J5/.yA;!uE>'&)g9L9-O7"!tB='G=)(33?J;/A9 #rD?%~H0*-c=H5!C;&%pF1*K1-,?)`9L9-O7"wC:%<=4O<*J4../A8 B>$%F3(+27>I: @: $%G>*H1*/L4.!8?0C0&F0**+M4,yA;# rD=&)068K8.N8""#rD?%I3+(M6/.9<1D1%G?+)*}M4,yA;# E>'&179L9-O7#!"uE<$qI2+(zL4.!890C0&F0**J5/.N:#C8%$'>4:M>,L6, @;!$D<%~H1*-,743F7#E=%'I0(+M7-N; #"=95@=)K3/-O6"!tB>'G<)(+21>I: @: $D?%(K1.{O4! #n7B3'I1)+M4,N: #m7B3'I1)+M4,yA;#"=>5@=)K3/-O6#!C9&D=&)(30?J;/A9!#E<%'I3)J7,/.9=1D1%G?+)K2/-O5#wC8%$'>5:M>,L6, @; $sG=+K0-,/b3F7#E=%'I0)J6,/a3F7#E=%'I0)}M7/.9=1D1%G?+)|J5/.N:#C8%$'>4:M>,L6, wC:&%G=+H1*-,`$(K2.{O5! ;>7B3'I1)+zL7, @8!rD=&)(37?J;/A9!#rD?$(H0(M6/.!8?0C0&F0**}M4-/A;!uE>'&)078K8.N8""uE<%'~H0(M6/.!8<0C0&F0**}M4-/v@8 rD=&)(d8K8.N8""uE<%F2(+24>I: @: $sG>+K1-,?)`9L9-O7#wC:%<74O<*J4E./v@;!E?'$(H3)M6/.!8h0C0&F0A*+zL7-v@9"'%G>*K1-,/a3F7#E=N'I0B}M7/.12E6$D>OI0+b?J;/A9JuE<'>4:M>,{O7"!"B='G<)*K1-,413F7#rD>%()|J5/.N:#C8%$%'I0(+zL4,v@9"%$'=6:M>,{O7"!"uE<$'~H0)zL5.!""B='&F2*K0-,/.9?1D1%pF0+*+zL7-v@8"'D=&)0`8K8.yA9 #$sG>+)K2.L5.!#tB>$'>4:M>,{O7"!C:&%G=*H1*-,723F7#rD>%(H3),L4-v@9"%$?:;N?+zL6- @;!$sG=*H1*-,713F7#rD>%(H3),{O5"wC8%$'i;N?+zL6- @;!E?'&119L9-xN8#"B='pF2(+20>I: wC;&%G>+)K1.L5.! ;?7B3'~H2),L7, @8 E>'&)g9L9-xN8#"B=MG=)(33?J;/v@:!$D?O~H0*-43%(K2.-xN:#C8%$'>7:M>,{O7"!tB='&qI3(}M6/.!8=0C0&qI1(+zL7- wC9'sG<)(+24>I: wC;&%pF1+K1-,763F7#rD>%(K2.{O5! ;;7B3'~H2),{O6#@8"%o5@=)|J4/xN9 ;?7B3'~H2(,L7- @8!E>'&)078K8.yA9!#E<$'~H0)M6/.!o1D1%pF0**J5/O5! ;;7B3'~H2(,L7-v@8"%<:4O<*}M5-/A8!#E?%F3(+*50=H5!tB<&&F1**J6.xN; #"=;5@=)|J4..N9""uE?%qI2+*-c=H5!tB<&&F1*K1-,773F7#rD>$(H3(zL4.!8<0C0&qI1)+zL7- wC9&D=&)(35?J;/v@: $sG>+)|J6.O4! #:;6A2(K3/-xN9""uE?%qI2+*-46IR\81[:6^!29zg<>V):1roSA<4P108T453:R266=W9:90\<:<;Q367>V6>:1[=:=4P0:0?U7>:2Z9?6^=029S645V50:1[>4<4P218T6643Y9:?6^<229S76587]=:3:R027=W<:1[8==4P530?U23:2Z>?6^:029S16587];83:R6<7=W>:1[:==4P730?U05;2Z=?>5_6418T3043Y<V01;1[4>5_8218T=243Y2>?6^7629S<=5V>9:1[5?=4P860?U?2;2Z2495_<1<6?U:687?0\1?>>49S8449=2Z7=>0:;Q>20;3285_<04=1>V;9>4>7]2>8?78T97>6=1[0<0:;Q>14;3V;::4>7]2=4?78T9426<1[0?815:R?62823Y6943;4P=0::1=W4;4>7]2<0?78T9566<1[0><15:R?76823Y688394P=16>5823Y6893:4P=1=0>V;<7>0\1;14:R?2;2VUMMOMECKK4:RQAB763YXNKRMCKHLUAWUNGG30\_K\ESTF@0=W[JF@:6^\DNLF0>VTMG20\^GACEG@5>W43X;>=6\;;SCGV0=UIMX;96\NDS36?WGCZ;?0^LJ]399QEH71P8Nh7_OBB04\W4YT9l1YM@L>6^Q02ZU4>j1YM@L>6^Q7[V2>3[KFN<8PS868VDKC<2XJA^o4RDCG[COU[]=0^HC@VDF24>TBEF\NHRZ]ARJ@EKeTBXECHMYG@N028V@TB[FF]IR^NR@OP7>THE:1Y_Y:4RRVB0>TT\K>0^^Z_3:P[@==UPMUBNXH6;Sgpqir;87k0^h}zlu>3:4g3c8V`urd}6;2>o4Rdqvhq:76=k0^h}zlu>24;d0?3a?Wct}e~7==0=a:Pfwpjs48;5n6\jstnw84799h1Yi~{ct=31:d=Umzgx1?<>`9Qavsk|5;?245]erwop979i2Xnxb{<0<2e>Tb{|f0<0=a:Pfwpjs4848m6\jstnw848312Xnxb{<33?n;Sgpqir;:78j7_k|umv?6;5f3[oxyaz32?6:?Wct}e~7?3o4Rdqvhq:468k0^h}zlu>0:7?`9Qavsk|5>5=l5]erwop929:01Yi~{ct=7=e>Tb{|f080>a:Pfwpjs4<49m6\jstnw808412Xnxb{<778j7_k|umv?2;5>3[oxyaz37?c8V`urd}6<24:6?`9Qavsk|525=l5]erwop9>9:h1Yi~{ct=:=7<=Umzgx171a:Pfwpjs404:m6\jstnw8<85i2Xnxb{<8<05>U33ZKMI>5\EM18W@R?3ZO_^H]JT29PBC?<[@MTOB[AT018WLKXKFFGE^G@N^AKAFM?3ZCFS@AKE99POLVXX@D?7^BJW29PJN0<[YCTO^64SQK\ILUHl2Y[ERCFSN]JFP@?3ZZBS@A\I69PTLYTKB90_]A<;RP@`>UUKVBBI_O]CI[6?VTK9?<0__B>6D58WWJD9?O?7^\\T49PVSGK?2YYZLBPA69PVSGKWK>0_^K[3:QPV1=T[[K?7^]]Bb9PPDTS]YU\MDZ9;RVBPPU03Z^JXX]?7:QWEQST9>1XXLZZS358WQGS]Z9<7^ZNTTQ73>USI]_X9:5\T@VVW31<[]K_Y^96;RVBPPU;8730_YO[UR>2:<=T\H^^_1<19:QWEQST4:427^ZNTTQ?0;?<[]K_Y^2:>89PPDRR[5<5n6][AUWP82<7601XXLZZS=5=3>US[HNY_55\TRCGVV6?3Z^XMI\\199PPVGCZZ837^Z\AEPP7==T\ZKO^^:n;RVPEATT494j7^Z\AEPP848f3Z^XMI\\<30l;RVPEATT4=0;2l5\TRCGVV:3611XXXMD_@V7?V_IK01XUCMPAUVQ57=TQZ^NAR]VNBJQKKIR[81_j6ZNRFGW[UGU\]S[I55[ESOJJDJ13]CNCKHm;UPBJVVFZLD_?6Z]I99WVLUSI]O?7Y\ZE89WWZVBZ@EO?6ZWD59W]UC13\D^_HJl;TQFVZPN[@HGI>5YCB30?SCUQVBBKEQIRDSVAKE^12\BIZQ[YQG7?RGCZ<1\MI\?5:UB@W723^KO^?;4W@FQ71=PKEA97ZK<;VGB7>QBJ01\ECIPA=2=e>QNFNUJ0<>1a:UJJBYF48;5m6YFNF]B8449i2]BBJQN<01=e>QNFNUJ0<:1c:UJJBYF48?1<3o4WHLD[D:6=730[D@H_@>2:<=PAGMTM1<19:UJJBYF4:427ZGAG^C?0;?<_@DLSL2:>89TMKAXI5<556YFNF]B828>3^CEKRO38?;8SLH@WH622h5XIOE\EZ6XAFH^J<=4WHLD[DY7W@EIYK!FBTD20>QNFNUJS=QFOCWE+JDRN]l0[D@H_@]24ZOHJ\L:86YFNF]B[46XAFH^J"GMUG36?ROIOVKT==QFOCWE+JDRN]l0[D@H_@]25ZOHJ\L:86YFNF]B[47XAFH^J"GMUG36?ROIOVKT=QFOCWE+JDRN]l0[D@H_@]20ZOHJ\L:86YFNF]B[42XAFH^J"GMUG36?ROIOVKT=9QFOCWE+JDRN]l0[D@H_@]21ZOHJ\L:86YFNF]B[43XAFH^J"GMUG36?ROIOVKT=8QFOCWE+JDRN]o0[D@H_@]2[LIE]O;87ZGAG^C\5ZOHJ\L$EO[I159TMKAXIV;TEBLZF.MAQCRb3^CEKROP2^KLFP@6;2]BBJQN_3]JKGSA'@H^J<:4WHLD[DY5W@EIYK!@BTDWa>QNFNUJS>QFOCWE56=PAGMTMR=PIN@VB*OE]O;?7ZGAG^C\7ZOHJ\L$CO[ITd9TMKAXIV>TEBLZF018SLH@WHU?SDAMUG-JFP@6<2]BBJQN_5]JKGSA'FH^JYk4WHLD[DY2W@EIYK?<;VKMCZGX=VCDNXH ICWE51=PAGMTMR;PIN@VB*IE]O^n7ZGAG^C\2ZOHJ\L:?6YFNF]B[3YNGK_M#DLZF068SLH@WHU=SDAMUG-LFP@Sm2]BBJQN_6]JKGSA9:1\ECIPA^5\MJDRN&CIYK?;;VKMCZGX?VCDNXH OCWEP`=PAGMTMR6PIN@VB45<_@DLSLQ7_HMAQC)NJ\L:86YFNF]B[=YNGK_M#BLZFUg8SLH@WHU2SDAMUG30?ROIOVKT5RG@BTD,MGSA9=1\ECIPA^;\MJDRN&EIYKZ6;VKMCZD;87k0[D@H_C>24;g<_@DLSO2>1?c8SLH@WK6:>3o4WHLD[G:6;7k0[D@H_C>20;e<_@DLSO2>5;2=e>QNFNUI0<;19:UJJBYE48427ZGAG^@?6;?<_@DLSO2<>89TMKAXJ5>556YFNF]A808>3^CEKRL36?;8SLH@WK6<245XIOE\F9>9m2]BBJQM<9<\MGSA12]BBJQM<8_HMAQC)NJ\L:96YFNF]A[47XAFH^J"AMUGVe?ROIOVHT=?QFOCWE51=PAGMTNR?=_HMAQC)NJ\L:96YFNF]A[44XAFH^J"AMUGVe?ROIOVHT=>QFOCWE51=PAGMTNR?<_HMAQC)NJ\L:96YFNF]A[45XAFH^J"AMUGVe?ROIOVHT=9QFOCWE51=PAGMTNR?;_HMAQC)NJ\L:96YFNF]A[42XAFH^J"AMUGVe?ROIOVHT=8QFOCWE51=PAGMTNR?:_HMAQC)NJ\L:96YFNF]A[43XAFH^J"AMUGV:?ROIOVI7<3o4WHLD[F:687k0[D@H_B>25;g<_@DLSN2>2?c8SLH@WJ6:?3o4WHLD[F:6<7i0[D@H_B>21?69i2]BBJQL<07==>QNFNUH0<06;VKMCZE;:730[D@H_B>0:<=PAGMTO1:19:UJJBYD4<427ZGAG^A?2;?<_@DLSN28>89TMKAXK52556YFNF]@8<8b3^CEKRMP0^KLFP@6;2]BBJQL_1]JKGSA'@H^J<:4WHLD[FY7W@EIYK!@BTDWb>QNFNUHS<>PIN@VB42<_@DLSNQ>0^KLFP@(AK_M=85XIOE\GZ77W@EIYK!@BTDWb>QNFNUHS1^KLFP@(AK_M=85XIOE\GZ76W@EIYK!@BTDWb>QNFNUHS<2^KLFP@(AK_M=85XIOE\GZ75W@EIYK!@BTDWb>QNFNUHS<=PIN@VB42<_@DLSNQ>3^KLFP@(AK_M=85XIOE\GZ74W@EIYK!@BTDWb>QNFNUHS<:PIN@VB42<_@DLSNQ>4^KLFP@(AK_M=85XIOE\GZ73W@EIYK!@BTDWb>QNFNUHS<;PIN@VB42<_@DLSNQ>5^KLFP@(AK_M=85XIOE\GZ72W@EIYK!@BTDWa>QNFNUHSW@EIYK?<;VKMCZEX1VCDNXH ICWE51=PAGMTOR7PIN@VB*IE]O^i7Z\FTD]EMWUSi2]YEYKPMNFFg>QUA]OTABJJ_@a8SWOSMVGDHHQM1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF3:ZLV0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd0:ZglZEhz{Dnxza}kra8\anXKfxy\d`|199[`mYJiyId~M`nrvbjqRuizb:?6Vkh^ObtFiuzDoykhj[o058\anXEhzHc|]elmuaaRuizbi7Ujg_QpjiLbj2RodR^}ilKmrf=_laU[~dc[yqge?]boWYxba[k}shmm3>^c`V^jko5Wdl]Amkbnfn;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaa3:Zpp1=]M[Eh7nbdgdl\mkus'8>0oaeheo]jjvr(LJGTec}{169`hnabfVcey!YG@F@IZoi{};=7nbdgdl\mkus'jf`juQfnrv11>ekcnoeSd`|t.aooc~XagySD>Pmtz\w`jX{`m:;6mckfgm[lht|&iggd`=_hlpp70ekcnoeSd`|t.ppp4Yv9;1h`fijn^kmwq)u{}8T}<94cmidakYnfz~$zjollj]e|Zd612iggjka_hlpp*p`ijf`juQfnrv1<>ekcnoeSd`|t.tdefjlnqUbb~zPI1]nq}YtmeUxejl4csa22Zoi{}%9<6m}c04\mkus'Dg~tRym_ecw[j:76V8Tj8?k;bp`53Ynfz~$A`{w_v`\`drXg5:5S>?k;bp`53Ynfz~$A`{w_v`\`drXg5:5S9<>;bp`53Ynfz~$A`{w_v`\`drXg5;;2R^12a>euk80?]764=dzj;=Sd`|t.Onq}YpjVnjxRa310<\6Z`29l1h~n?9_hlpp*Kj}qU|nRjnt^m?548X;8o0om>6^kmwq)Je|rT{oQkau]l8479W=8:7n|l17]jjvr(EdsSzlPd`v\k9756V8Tj8?j;bp`53Ynfz~$A`{w_v`\`drXg5;92R=>e:aqg40Xagy#@czx^ua[agsWf6:>3Q;209`vf71W`dxx"Cbuy]tfZbf|Ve7=>0P2^d65`=dzj;=Sd`|t.Onq}YpjVnjxRa312<\74c<5lrb35[lht|&GfyuQxb^fbpZi;9<4T>Rh:1d9`vf71W`dxx"Cbuy]tfZbf|Ve7=80P30g8gwe6>Vcey!Bmtz\sgYci}Ud0<;1_503?ftd9?Ubb~z Mlw{[rdXlh~Tc1?1_3]e14b9<6m}c04\mkus'Dg~tRym_ecw[j:36V8Tj8?k;bp`53Ynfz~$A`{w_v`\`drXg5>5S>?k;bp`53Ynfz~$A`{w_v`\`drXg5>5S9Vcey!Bmtz\sgYci}Ud080P30f8gwe6>Vcey!Bmtz\sgYci}Ud080P4328gwe6>Vcey!Bmtz\sgYci}Ud0;0P2^d65a=dzj;=Sd`|t.Onq}YpjVnjxRa36?]05a=dzj;=Sd`|t.Onq}YpjVnjxRa36?]765=dzj;=Sd`|t.Onq}YpjVnjxRa37?]1[c36l2iyo<8Pioqw+HkrpV}iSio{_n>4:Z56l2iyo<8Pioqw+HkrpV}iSio{_n>4:Z2582iyo<8Pioqw+HkrpV}iSio{_n>;:Z4Xn<;o7n|l17]jjvr(EdsSzlPd`v\k9>9W:;o7n|l17]jjvr(EdsSzlPd`v\k9>9W=8;7n|l17]jjvr(EdsSzlPd`v\k9?9W;Um96^kmwq)dg|dS|Qbuy2344:768o0om>6^kmwq)dg|dS|Qbuy2344:768;o7n|l17]jjvr(kfexRPmtz3457;97;n7n|l17]jjvr(kfexRPmtz3457;97;:h6m}c04\mkus'je~byQ~_lw{45664;4:i6m}c04\mkus'je~byQ~_lw{45664;4:=95lrb35[lht|&iyoLQ~<1<21>euk8euk8euk8euk8068gwe6>Vcey!lrbC\u9599=1h~n?9_hlpp*eukHUz090>4:aqg40Xagy#n|lA^s?1;733jxh=;Qfnrv,gweFWx6=2<:4csa22Zoi{}%h~nOPq=5=51=dzj;=Sd`|t.aqgDYv414:86m}c04\mkus'jxhMR39?30?ftd9?Ubb~z csaB[tY79:1h~n?9_hlpp*eukHUzSeuk84068gwe6>Vcey!lrbC\uZ729:1h~n?9_hlpp*eukHUzS??<;bp`53Ynfz~$omN_p]056=dzj;=Sd`|t.aqgDYvW=;87n|l17]jjvr(k{iJS|Q:129`vf71W`dxx"m}c@]r[3743jxh=;Qfnrv,gweFWxU<=>5lrb35[lht|&iyoLQ~_930?ftd9?Ubb~z csaB[tY>901h~n?9_hlpp*eukHUzSca{01225d=dzj;=Sd`|t.aqgDYvWge<=>>1068gwe6>Vcey!lrb@\u9699<1h~n?9_hlpp*eukKUz0<>1149`vf71W`dxx"m}cC]r84799<1h~n?9_hlpp*eukKUz0<<1149`vf71W`dxx"m}cC]r84599<1h~n?9_hlpp*eukKUz0<:1149`vf71W`dxx"m}cC]r84399=1h~n?9_hlpp*eukKUz0<0>4:aqg40Xagy#n|lB^s?6;733jxh=;Qfnrv,gweEWx682<:4csa22Zoi{}%h~nLPq=6=51=dzj;=Sd`|t.aqgGYv4<4:86m}c04\mkus'jxhNR36?37?ftd9?Ubb~z csaA[t:068>0om>6^kmwq)dzjHT}161159`vf71W`dxx"m}cC]r8<86;2iyo<8Pioqw+ftdJV{T<<=4csa22Zoi{}%h~nLPq^320>euk81068gwe6>Vcey!lrb@\uZ759=1h~n?9_hlpp*eukKUzS<=>4:aqg40Xagy#n|lB^s\51733jxh=;Qfnrv,gweEWxU:9<=4csa22Zoi{}%h~nLPq^027>euk86^kmwq)dzjHT}R:>3:aqg40Xagy#n|lB^s\145Vcey!lrb@\uZ>6;2iyo<8Pioqw+ftdJV{T5<=4csa22Zoi{}%h~nQ~<1<20>euk8>068gwe6>Vcey!lrb]r84499=1h~n?9_hlpp*eukV{7=>0>4:aqg40Xagy#n|l_p>20;733jxh=;Qfnrv,gweXy5;>2<=4csa22Zoi{}%h~nQ~<0<27>euk86^kmwq)dzjUz0>0>3:aqg40Xagy#n|l_p>7:45018gwe6>Vcey!lrb]r8286;2iyo<8Pioqw+ftdWx632<=4csa22Zoi{}%h~nQ~<8<26>euk8euk86^kmwq)dzjUzS<<>3:aqg40Xagy#n|l_p]2745:?6m}c04\mkus'jxhS|Q>5008gwe6>Vcey!lrb]r[7753jxh=;Qfnrv,gweXyV9:>6m}c04\mkus'jxhS|Q;139`vf71W`dxx"m}c^s\1442:aqg40Xagy#n|l_p];57=dzj;=Sd`|t.aqgZwX18h0om>6^kmwq)dzjUzS`{w01228586k2iyo<8Pioqw+ftdWxUfyu>?00>3:47b3jxh=;Qfnrv,gweXyVg~t=>?1=2=[c36k2iyo<8Pioqw+ftdWxUfyu>?00>24;7c3jxh=;Qfnrv,gweXyVg~t=>?1=33:47a3jxh=;Qfnrv,gweXyVg~t=>?1=33:Z`29j1h~n?9_hlpp*eukV{Taxv?013?5486l2iyo<8Pioqw+ftdWxUfyu>?00>25;76n2iyo<8Pioqw+ftdWxUfyu>?00>25;Ya=8i0om>6^kmwq)dzjUzS`{w012284499m1h~n?9_hlpp*eukV{Taxv?013?57869o1h~n?9_hlpp*eukV{Taxv?013?578Xn<;h7n|l17]jjvr(k{iT}Rczx123597468n0om>6^kmwq)dzjUzS`{w0122845998l0om>6^kmwq)dzjUzS`{w01228459Wo?:o6m}c04\mkus'jxhS|Qbuy2344:6<7;o7n|l17]jjvr(k{iT}Rczx123597368;m7n|l17]jjvr(k{iT}Rczx12359736Vl>=n5lrb35[lht|&iyoRPmtz3457;9<4:h6m}c04\mkus'jxhS|Qbuy2344:6=7;:i6m}c04\mkus'jxhS|Qbuy2344:6=7;:=h5lrb35[lht|&iyoRPmtz3457;9<4:>?>4csa22Zoi{}%h~nQ~_lw{456648?5=Rh:1c9`vf71W`dxx"m}c^s\ip~789;7=3?l;bp`53Ynfz~$omPq^ov|56795;5==o5lrb35[lht|&iyoRPmtz3457;;7;h7n|l17]jjvr(k{iT}Rczx123595998o0om>6^kmwq)dzjUzS`{w0122868Xn<;i7n|l17]jjvr(k{iT}Rczx12359299j1h~n?9_hlpp*eukV{Taxv?013?0;76m2iyo<8Pioqw+ftdWxUfyu>?00>7:Z`29k1h~n?9_hlpp*eukV{Taxv?013?1;7d3jxh=;Qfnrv,gweXyVg~t=>?1=7=54c><4<\b07e3jxh=;Qfnrv,gweXyVg~t=>?1=4=5f=dzj;=Sd`|t.aqgZwXe|r;<=?36?32a>euk8^d65g=dzj;=Sd`|t.aqgZwXe|r;<=?37?3`?ftd9?Ubb~z csa\uZkrp9:;=19110g8gwe6>Vcey!lrb]r[hs89::0:0Pf43a?ftd9?Ubb~z csa\uZkrp9:;=1611b9`vf71W`dxx"m}c^s\ip~789;743?>e:aqg40Xagy#n|l_p]nq}6788632Rh:1c9`vf71W`dxx"m}c^s\ip~789;753?l;bp`53Ynfz~$omPq^ov|5679535=6^kmwq)dzjUzSca{0121[VQ79=1h~n?9_hlpp*bf|hUz0=0>4:aqg40Xagy#io{a^s?5;733jxh=;Qfnrv,`drfWx692<:4csa22Zoi{}%omyoPq=1=51=dzj;=Sd`|t.fbpdYv4=4:86m}c04\mkus'mkmR35?37?ftd9?Ubb~z d`vb[t:168>0om>6^kmwq)ci}kT}191129`vf71W`dxx"jnt`]r[5743jxh=;Qfnrv,`drfWxU:=>5lrb35[lht|&njxlQ~_330?ftd9?Ubb~z d`vb[tY49:1h~n?9_hlpp*bf|hUzS9?<;bp`53Ynfz~$hlzn_p]656=dzj;=Sd`|t.fbpdYvW?;87n|l17]jjvr(lh~jS|Q81c9`vf71W`dxx"jnt`]r[dhc89:;=?01>3:47d3jxh=;Qfnrv,`drfWxUfyu>?01>2:4b?0=3=54e?0=0=5a=dzj;=Sd`|t.fbpdYvWds<=>?<3<25f=dzj;=Sd`|t.fbpdYvWds<=>?<2<2`>euk833?32g>euk834?3g?ftd9?Ubb~z d`vb[tYj}q:;<=2;>03`?ftd9?Ubb~z d`vb[tYj}q:;<=2:>0f8gwe6>Vcey!kauc\uZkrp9:;<1;110a8gwe6>Vcey!kauc\uZkrp9:;<1811e9`vf71W`dxx"jnt`]r[hs89:;0;0>1b9`vf71W`dxx"jnt`]r[hs89:;0:0>d:aqg40Xagy#io{a^s\ip~789:7;3?>9:aqg40Xagy#io{a^s\jjr789;:m6m}c04\mkus'mkmRPnnv345769=1h~n?9_hlpp*vhdpUz0=0>5:aqg40Xagy#}acy^s?5586=2iyo<8Pioqw+uikqV{7=<0>5:aqg40Xagy#}acy^s?5786=2iyo<8Pioqw+uikqV{7=>0>5:aqg40Xagy#}acy^s?5186=2iyo<8Pioqw+uikqV{7=80>4:aqg40Xagy#}acy^s?5;733jxh=;Qfnrv,tjj~Wx692<:4csa22Zoi{}%{cawPq=1=51=dzj;=Sd`|t.rlh|Yv4=4:86m}c04\mkus'yeguR35?37?ftd9?Ubb~z pnnz[t:168>0om>6^kmwq)wgesT}191159`vf71W`dxx"~`lx]r8=86<2iyo<8Pioqw+uikqV{753?<;bp`53Ynfz~$|bbv_p]356=dzj;=Sd`|t.rlh|YvW8;?7n|l17]jjvr(xffrS|Q>0068gwe6>Vcey!om{\uZ769=1h~n?9_hlpp*vhdpUzS<<>4:aqg40Xagy#}acy^s\56733jxh=;Qfnrv,tjj~WxU:8<:4csa22Zoi{}%{cawPq^3656=dzj;=Sd`|t.rlh|YvW;;87n|l17]jjvr(xffrS|Q<129`vf71W`dxx"~`lx]r[1743jxh=;Qfnrv,tjj~WxU>=>5lrb35[lht|&zd`tQ~_730?ftd9?Ubb~z pnnz[tY09:1h~n?9_hlpp*vhdpUzS5?<;bp`53Ynfz~$|bbv_p]:5<=dzj;=Sd`|t.rlh|YvWge<=>>1c9`vf71W`dxx"~`lx]r[kis89::=<<9;bp`53Ynfz~$|bbv_p]mkq6788;:#A[[3^F\FP@6:2iyo<8Pioqw+wcflpUz=l5lrb35[lht|&xnmiwPq^ov|5678;;0om>6^kmwq)umhnrS|Qbuy23457XAG\T<6^kmwq)umhnrS|Qaou2344Y@02l{klm`rdc8cuohW`dxx"?l;frjkZoi{}%FaxvPwc]geqYhW;Um:f:esmjYnfz~$A`{w_v`\`drXgV8Tj;Q>00d8cuohW`dxx"Cbuy]tfZbf|VeT>Rh9_032b>awafUbb~z Mlw{[rdXlh~TcRf:esmjYnfz~$A`{w_v`\`drXgV8Tj;Q>80d8cuohW`dxx"Cbuy]tfZbf|VeT>Rh9_0;2a>awafUbb~z Mlw{[rdXlh~TcRV88=k5hphm\mkus'Dg~tRym_ecw[jY5Wo9?i;frjkZoi{}%FaxvPwc]geqYhW;Um:R<:1g9dtliXagy#@czx^ua[agsWfU9Sk8P273e?bvngVcey!Bmtz\sgYci}UdS?Qi6^045c=`x`eTec}{/Lov|ZqeWmkSbQ=_g4\6=7a3nzbcRgasu-Nip~XkUomyQ`_3]e2Z4>9l1l|daPioqw+HkrpV}iSio{_n]1[c0X;8l0k}g`_hlpp*Kj}qU|nRjnt^m\6Z`1W:::i6iin]jjvr(EdsSzlPd`v\kZ4Xn?U?=h5hphm\mkus'Dg~tRym_ecw[jY5Woe:esmjYnfz~$A`{w_v`\`drXgV8Tj;Q71d9dtliXagy#@czx^ua[agsWfU9Sk8P90a8cuohW`dxx"Cbuy]tfZbf|VeT?Rh:1e9dtliXagy#@czx^ua[agsWfU8Sk;>1d9dtliXagy#@czx^ua[agsWfU8Sk;>00g8cuohW`dxx"Cbuy]tfZbf|VeT?Rh:103f?bvngVcey!Bmtz\sgYci}UdS>Qi5002a>awafUbb~z Mlw{[rdXlh~TcR=Pf4305`=`x`eTec}{/Lov|ZqeWmkSbQ<_g7204c>>?j;frjkZoi{}%FaxvPwc]geqYhW:Um9?:>e:esmjYnfz~$A`{w_v`\`drXgV9Tj8<:1d9dtliXagy#@czx^ua[agsWfU8Sk;=60g8cuohW`dxx"Cbuy]tfZbf|VeT?Rh:263f?bvngVcey!Bmtz\sgYci}UdS>Qi53:2a>awafUbb~z Mlw{[rdXlh~TcR=Pf40:5a=`x`eTec}{/Lov|ZqeWmkSbQ<_g705`=`x`eTec}{/Lov|ZqeWmkSbQ<_g7044cQi5^365c=`x`eTec}{/Lov|ZqeWmkSbQ<_g7\537a3nzbcRgasu-Nip~XkUomyQ`_2]e1Z709o1l|daPioqw+HkrpV}iSio{_n]0[c3X91;m7j~fo^kmwq)Je|rT{oQkau]l[6Ya=V;2=h5hphm\mkus'Dg~tRym_ecw[jY4Wo?T>S?>>f:esmjYnfz~$A`{w_v`\`drXgV9Tj8Q=10d8cuohW`dxx"Cbuy]tfZbf|VeT?Rh:_302b>awafUbb~z Mlw{[rdXlh~TcR=Pf4]174`S?6>f:esmjYnfz~$A`{w_v`\`drXgV9Tj8Q=90g8cuohW`dxx"Cbuy]tfZbf|VeT?Rh:_23e?bvngVcey!Bmtz\sgYci}UdS>Qi5^135`=`x`eTec}{/Lov|ZqeWmkSbQ<_g7\04cawafUbb~z Mlw{[rdXlh~TcR:>00`8cuohW`dxx"Cbuy]tfZbf|VeT8b:esmjYnfz~$A`{w_v`\`drXgV>:>awafUbb~z Mlw{[rdXlh~TcR:>80`8cuohW`dxx"Cbuy]tfZbf|VeT8<7>a:esmjYnfz~$A`{w_v`\`drXgV>9=o5hphm\mkus'Dg~tRym_ecw[jY3:9;i7j~fo^kmwq)Je|rT{oQkau]l[1469k1l|daPioqw+HkrpV}iSio{_n]7677e3nzbcRgasu-Nip~XkUomyQ`_5005g=`x`eTec}{/Lov|ZqeWmkSbQ;253a?bvngVcey!Bmtz\sgYci}UdS9<:1c9dtliXagy#@czx^ua[agsWfU?>;?m;frjkZoi{}%FaxvPwc]geqYhW=8<=o5hphm\mkus'Dg~tRym_ecw[jY3:1;i7j~fo^kmwq)Je|rT{oQkau]l[14>9h1l|daPioqw+HkrpV}iSio{_n]774dawafUbb~z Mlw{[rdXlh~TcR:<10`8cuohW`dxx"Cbuy]tfZbf|VeT8><>b:esmjYnfz~$A`{w_v`\`drXgV>8?:n6iin]jjvr(EdsSzlPd`v\kZ24=8h0k}g`_hlpp*Kj}qU|nRjnt^m\0606j2m{ebQfnrv,IhsW~hThlzPo^6034dawafUbb~z Mlw{[rdXlh~TcR:<90c8cuohW`dxx"Cbuy]tfZbf|VeT89?m;frjkZoi{}%FaxvPwc]geqYhW=>;=l5hphm\mkus'Dg~tRym_ecw[jY3=8k0k}g`_hlpp*Kj}qU|nRjnt^m\037f3nzbcRgasu-Nip~XkUomyQ`_552e>awafUbb~z Mlw{[rdXlh~TcR:71`9dtliXagy#@czx^ua[agsWfU?5=i5hphm\mkus'Dg~tRym_ecw[jY3Wo?:=h5hphm\mkus'Dg~tRym_ecw[jY3Wo?:<=e:esmjYnfz~$A`{w_v`\`drXgV>Tj8?<1d9dtliXagy#@czx^ua[agsWfU?Sk;>40g8cuohW`dxx"Cbuy]tfZbf|VeT8Rh:143f?bvngVcey!Bmtz\sgYci}UdS9Qi5042a>awafUbb~z Mlw{[rdXlh~TcR:Pf4345`=`x`eTec}{/Lov|ZqeWmkSbQ;_g72<4c:i6iin]jjvr(EdsSzlPd`v\kZ2Xn<8>=h5hphm\mkus'Dg~tRym_ecw[jY3Wo?9:>:?j;frjkZoi{}%FaxvPwc]geqYhW=Um9?6>e:esmjYnfz~$A`{w_v`\`drXgV>Tj8<61e9dtliXagy#@czx^ua[agsWfU?Sk;<1d9dtliXagy#@czx^ua[agsWfU?Sk;<00g8cuohW`dxx"Cbuy]tfZbf|VeT8Rh:303g?bvngVcey!Bmtz\sgYci}UdS9Qi553g?bvngVcey!Bmtz\sgYci}UdS9Qi543g?bvngVcey!Bmtz\sgYci}UdS9Qi573g?bvngVcey!Bmtz\sgYci}UdS9Qi563g?bvngVcey!Bmtz\sgYci}UdS9Qi593g?bvngVcey!Bmtz\sgYci}UdS9Qi583f?bvngVcey!Bmtz\sgYci}UdS9Qi5^22a>awafUbb~z Mlw{[rdXlh~TcR:Pf4]25c=`x`eTec}{/Lov|ZqeWmkSbQ;_g7\557a3nzbcRgasu-Nip~XkUomyQ`_5]e1Z76:91l|daPioqw+HkrpV}iSio{_n]7[c3X98;:j6iin]jjvr(EdsSzlPd`v\kZ2Xn?>4gqkl[lht|&GfyuQxb^fbpZiXS<<>1g9dtliXagy#@czx^ua[agsWfU?Sk;P1203?bvngVcey!Bmtz\sgYci}UdS9Qi5^3054`>=5hphm\mkus'Dg~tRym_ecw[jY3Wo?T=8?>f:esmjYnfz~$A`{w_v`\`drXgV>Tj8Q>60d8cuohW`dxx"Cbuy]tfZbf|VeT8Rh:_052b>awafUbb~z Mlw{[rdXlh~TcR:Pf4]2<4`??i;frjkZoi{}%FaxvPwc]geqYhW=Um9R<<1g9dtliXagy#@czx^ua[agsWfU?Sk;P253e?bvngVcey!Bmtz\sgYci}UdS9Qi5^065c=`x`eTec}{/Lov|ZqeWmkSbQ;_g7\637a3nzbcRgasu-Nip~XkUomyQ`_5]e1Z409o1l|daPioqw+HkrpV}iSio{_n]7[c3X:1;m7j~fo^kmwq)Je|rT{oQkau]l[1Ya=V82=h5hphm\mkus'Dg~tRym_ecw[jY3Wo?T?S>>>e:esmjYnfz~$A`{w_v`\`drXgV>Tj8Q;1d9dtliXagy#@czx^ua[agsWfU?Sk;P50g8cuohW`dxx"Cbuy]tfZbf|VeT8Rh:_73f?bvngVcey!Bmtz\sgYci}UdS9Qi5^52b>awafUbb~z Mlw{[rdXlh~TcR:Pf4]454c69l1l|daPioqw+HkrpV}iSio{_n]7[c3X18l0k}g`_hlpp*Kj}qU|nRjnt^m\0Z`2W0;:56iin]jjvr(EdsSzlPd`v\kZ36i2m{ebQfnrv,IhsW~hThlzPo^725g=`x`eTec}{/Lov|ZqeWmkSbQ:113a?bvngVcey!Bmtz\sgYci}UdS8?>1c9dtliXagy#@czx^ua[agsWfU>=??m;frjkZoi{}%FaxvPwc]geqYhW<;8=o5hphm\mkus'Dg~tRym_ecw[jY29=;i7j~fo^kmwq)Je|rT{oQkau]l[0729k1l|daPioqw+HkrpV}iSio{_n]6537e3nzbcRgasu-Nip~XkUomyQ`_4345g=`x`eTec}{/Lov|ZqeWmkSbQ:193a?bvngVcey!Bmtz\sgYci}UdS8?61`9dtliXagy#@czx^ua[agsWfU>>awafUbb~z Mlw{[rdXlh~TcR;=50`8cuohW`dxx"Cbuy]tfZbf|VeT9?8>b:esmjYnfz~$A`{w_v`\`drXgV?9;?>?m;frjkZoi{}%FaxvPwc]geqYhW<9?=o5hphm\mkus'Dg~tRym_ecw[jY2;<;i7j~fo^kmwq)Je|rT{oQkau]l[0519k1l|daPioqw+HkrpV}iSio{_n]6727e3nzbcRgasu-Nip~XkUomyQ`_41;5g=`x`eTec}{/Lov|ZqeWmkSbQ:383b?bvngVcey!Bmtz\sgYci}UdS8:>b:esmjYnfz~$A`{w_v`\`drXgV??<awafUbb~z Mlw{[rdXlh~TcR;;60`8cuohW`dxx"Cbuy]tfZbf|VeT999>b:esmjYnfz~$A`{w_v`\`drXgV??499?m;frjkZoi{}%FaxvPwc]geqYhW=o5hphm\mkus'Dg~tRym_ecw[jY2=?;i7j~fo^kmwq)Je|rT{oQkau]l[0309k1l|daPioqw+HkrpV}iSio{_n]61=7e3nzbcRgasu-Nip~XkUomyQ`_47:5d=`x`eTec}{/Lov|ZqeWmkSbQ:60`8cuohW`dxx"Cbuy]tfZbf|VeT9;>>b:esmjYnfz~$A`{w_v`\`drXgV?==awafUbb~z Mlw{[rdXlh~TcR;6189dtliXagy#@czx^ua[agsWfU==l5hphm\mkus'Dg~tRym_ecw[jY198h0k}g`_hlpp*Kj}qU|nRjnt^m\2466j2m{ebQfnrv,IhsW~hThlzPo^4254dawafUbb~z Mlw{[rdXlh~TcR8>30`8cuohW`dxx"Cbuy]tfZbf|VeT:<:>b:esmjYnfz~$A`{w_v`\`drXgV<:98<:n6iin]jjvr(EdsSzlPd`v\kZ06?8h0k}g`_hlpp*Kj}qU|nRjnt^m\24>6j2m{ebQfnrv,IhsW~hThlzPo^42=4gawafUbb~z Mlw{[rdXlh~TcR891`9dtliXagy#@czx^ua[agsWfU=;1;j7j~fo^kmwq)Je|rT{oQkau]l[3?6;2m{ebQfnrv,cuohWf6;2<:4gqkl[lht|&m{ebQ`<02=51=`x`eTec}{/frjkZi;984:86iin]jjvr(oycdSb2>2?37?bvngVcey!hphm\k97468>0k}g`_hlpp*awafUd0<:1159dtliXagy#j~fo^m?5086;2m{ebQfnrv,cuohWf6:2<:4gqkl[lht|&m{ebQ`<34=51=`x`eTec}{/frjkZi;:>4:86iin]jjvr(oycdSb2=8?37?bvngVcey!hphm\k94>6890k}g`_hlpp*awafUd0?0>4:esmjYnfz~$k}g`_n>04;733nzbcRgasu-dtliXg59:2<:4gqkl[lht|&m{ebQ`<20=51=`x`eTec}{/frjkZi;;:4:?6iin]jjvr(oycdSb2<>068cuohW`dxx"iin]l81699=1l|daPioqw+bvngVe78<0>4:esmjYnfz~$k}g`_n>76;733nzbcRgasu-dtliXg5>82<:4gqkl[lht|&m{ebQ`<56=51=`x`eTec}{/frjkZi;<<4:86iin]jjvr(oycdSb2;6?37?bvngVcey!hphm\k92068>0k}g`_hlpp*awafUd0961129dtliXagy#j~fo^m?0;743nzbcRgasu-dtliXg5?5=>5hphm\mkus'nzbcRa36?30?bvngVcey!hphm\k9199:1l|daPioqw+bvngVe743?<;frjkZoi{}%l|daPo=;=57=`x`eTec}{/frjkZiX88k0k}g`_hlpp*awafUdS=Qbuy23477c3nzbcRgasu-dtliXgV:Taxv?0102417c3nzbcRgasu-dtliXgV:Taxv?0102537c3nzbcRgasu-dtliXgV:Taxv?0102<67b3nzbcRgasu-dtliXgV:Taxv?0102<67582m{ebQfnrv,cuohWfU;S`{w01215=5Xn<;h7j~fo^kmwq)`x`eTcR>Pmtz34545<;:0k}g`_hlpp*awafUdS=Qbuy234743WZ];=n5hphm\mkus'nzbcRaP0^ov|567::2:o6iin]jjvr(oycdSbQ?_lw{4565=0;h7j~fo^kmwq)`x`eTcR>Pmtz34540;8i0k}g`_hlpp*awafUdS=Qbuy2347>19;1l|daPioqw+bvngVeT=<=4gqkl[lht|&m{ebQ`_022f>awafUbb~z gqkl[jY68Vg~t=>?20g8cuohW`dxx"iin]l[46Xe|r;<=<>053f?bvngVcey!hphm\kZ77Wds<=>=1042a>awafUbb~z gqkl[jY68Vg~t=>?20:05c=`x`eTec}{/frjkZiX99Ufyu>?033;74463nzbcRgasu-dtliXgV;;S`{w01215=5Xn<;o7j~fo^kmwq)`x`eTcR??_lw{4565:=8:7j~fo^kmwq)`x`eTcR??_lw{4565:=UX[=?k;frjkZoi{}%l|daPo^33[hs89:9?5?k;frjkZoi{}%l|daPo^33[hs89:994?k;frjkZoi{}%l|daPo^33[hs89:9;>?k;frjkZoi{}%l|daPo^33[hs89:94;?<;frjkZoi{}%l|daPo^325g=`x`eTec}{/frjkZiX98Ufyu>?033f?bvngVcey!hphm\kZ76Wds<=>=1162a>awafUbb~z gqkl[jY69Vg~t=>?20355`=`x`eTec}{/frjkZiX98Ufyu>?033;74`4Wo?:h6iin]jjvr(oycdSbQ>1^ov|567:;>9=6iin]jjvr(oycdSbQ>1^ov|567:;>T_Z>>d:esmjYnfz~$k}g`_n]25Zkrp9:;>>6>d:esmjYnfz~$k}g`_n]25Zkrp9:;>87>d:esmjYnfz~$k}g`_n]25Zkrp9:;>:=>d:esmjYnfz~$k}g`_n]25Zkrp9:;>58>3:esmjYnfz~$k}g`_n]264dawafUbb~z gqkl[jY6:Vg~t=>?20275`=`x`eTec}{/frjkZiX9;Ufyu>?033224c=i5hphm\mkus'nzbcRaP13]nq}678;8?><5hphm\mkus'nzbcRaP13]nq}678;8?S^Y?1e9dtliXagy#j~fo^m\57Yj}q:;?033304c49;;0k}g`_hlpp*awafUdS<=Pmtz345460:Um9Taxv?0102417b3nzbcRgasu-dtliXgV;?S`{w01215406m2m{ebQfnrv,cuohWfU:8Rczx12364>49o1l|daPioqw+bvngVeT=9Qbuy23477?;88:7j~fo^kmwq)`x`eTcR?;_lw{4565919Tj8?k;frjkZoi{}%l|daPo^37[hs89:9>9<>;frjkZoi{}%l|daPo^37[hs89:9>9Q\W13g?bvngVcey!hphm\kZ73Wds<=>=393g?bvngVcey!hphm\kZ73Wds<=>=583g?bvngVcey!hphm\kZ73Wds<=>=723g?bvngVcey!hphm\kZ73Wds<=>=8730?bvngVcey!hphm\kZ729k1l|daPioqw+bvngVeT=8Qbuy23477b3nzbcRgasu-dtliXgV;>S`{w01215526m2m{ebQfnrv,cuohWfU:9Rczx12364719l1l|daPioqw+bvngVeT=8Qbuy23477?;8l0k}g`_hlpp*awafUdS<;Pmtz345460:;9=6iin]jjvr(oycdSbQ>5^ov|567:828Sk;>d:esmjYnfz~$k}g`_n]21Zkrp9:;>?:=1:esmjYnfz~$k}g`_n]21Zkrp9:;>?:PSV22`>awafUbb~z gqkl[jY6=Vg~t=>?22:2`>awafUbb~z gqkl[jY6=Vg~t=>?24;2`>awafUbb~z gqkl[jY6=Vg~t=>?2612`>awafUbb~z gqkl[jY6=Vg~t=>?2942e>awafUbb~z gqkl[jY6Wds<=>=1e9dtliXagy#j~fo^m\5Zkrp9:;><>;1e9dtliXagy#j~fo^m\5Zkrp9:;><6<1d9dtliXagy#j~fo^m\5Zkrp9:;><6<1328cuohW`dxx"iin]l[4Yj}q:;?23614>awafUbb~z gqkl[jY6Wds<=>=25]PS57d3nzbcRgasu-dtliXgV;Taxv?0100<4e?037:5f=`x`eTec}{/frjkZiX9Vg~t=>?2612g>awafUbb~z gqkl[jY6Wds<=>=8731?bvngVcey!hphm\kZ46;2m{ebQfnrv,cuohWfU9:?i;frjkZoi{}%l|daPo^05[hs89:9=5=>209dtliXagy#j~fo^m\63Yj}q:;?030764=`x`eTec}{/frjkZiX:?Ufyu>?0307[VQ79m1l|daPioqw+bvngVeT>;Qbuy23475?9m1l|daPioqw+bvngVeT>;Qbuy23473>9m1l|daPioqw+bvngVeT>;Qbuy2347149m1l|daPioqw+bvngVeT>;Qbuy2347>19:1l|daPioqw+bvngVeT>:?m;frjkZoi{}%l|daPo^04[hs89:9=h5hphm\mkus'nzbcRaP26]nq}678;;;8f:esmjYnfz~$k}g`_n]13Zkrp9:;><6<1338cuohW`dxx"iin]l[71Xe|r;<=<>82]e14b890k}g`_hlpp*awafUdS?6>b:esmjYnfz~$k}g`_n]1e:esmjYnfz~$k}g`_n]1<6<1g9dtliXagy#j~fo^m\6=Yj}q:;=191\b07c3nzbcRgasu-dtliXgV83S`{w012161463nzbcRgasu-dtliXgV83S`{w012161YT_9;o7j~fo^kmwq)`x`eTcR<7_lw{4565;1;o7j~fo^kmwq)`x`eTcR<7_lw{4565=0;o7j~fo^kmwq)`x`eTcR<7_lw{4565?:;o7j~fo^kmwq)`x`eTcR<7_lw{45650?;87j~fo^kmwq)`x`eTcR<61c9dtliXagy#j~fo^m\6e:esmjYnfz~$k}g`_n]1=Zkrp9:;>82315>awafUbb~z gqkl[jY51Vg~t=>?20:0[c36l2m{ebQfnrv,cuohWfU95Rczx123672592m{ebQfnrv,cuohWfU95Rczx123672X[^::h6iin]jjvr(oycdSbQ=9^ov|567::2:h6iin]jjvr(oycdSbQ=9^ov|567:<3:h6iin]jjvr(oycdSbQ=9^ov|567:>9:h6iin]jjvr(oycdSbQ=9^ov|567:1<:m6iin]jjvr(oycdSbQ=_lw{45659m1l|daPioqw+bvngVeT>Rczx12364639m1l|daPioqw+bvngVeT>Rczx12364719m1l|daPioqw+bvngVeT>Rczx12364>49l1l|daPioqw+bvngVeT>Rczx12364>49;:0k}g`_hlpp*awafUdS?Qbuy23477?;Vl>=n5hphm\mkus'nzbcRaP2^ov|567:;>9<6iin]jjvr(oycdSbQ=_lw{4565:=UX[=?l;frjkZoi{}%l|daPo^0\ip~7898849:o6iin]jjvr(oycdSbQ=_lw{45650?;97j~fo^kmwq)`x`eTcR=>3:esmjYnfz~$k}g`_n]044dawafUbb~z gqkl[jY48Vg~t=>?20275`=`x`eTec}{/frjkZiX;9Ufyu>?033224c=i5hphm\mkus'nzbcRaP31]nq}678;8?><5hphm\mkus'nzbcRaP31]nq}678;8?S^Y?1e9dtliXagy#j~fo^m\75Yj}q:;?033304c49;;0k}g`_hlpp*awafUdS>?Pmtz345460:Um9Rczx12364cRczx12364>49o1l|daPioqw+bvngVeT??Qbuy23477?;88:7j~fo^kmwq)`x`eTcR==_lw{4565919Tj8?k;frjkZoi{}%l|daPo^11[hs89:9>9<>;frjkZoi{}%l|daPo^11[hs89:9>9Q\W13g?bvngVcey!hphm\kZ55Wds<=>=393g?bvngVcey!hphm\kZ55Wds<=>=583g?bvngVcey!hphm\kZ55Wds<=>=723g?bvngVcey!hphm\kZ55Wds<=>=8730?bvngVcey!hphm\kZ549k1l|daPioqw+bvngVeT?>Qbuy23477b3nzbcRgasu-dtliXgV98S`{w01215526m2m{ebQfnrv,cuohWfU8?Rczx12364719l1l|daPioqw+bvngVeT?>Qbuy23477?;8l0k}g`_hlpp*awafUdS>=Pmtz345460:;9=6iin]jjvr(oycdSbQ<3^ov|567:828Sk;>d:esmjYnfz~$k}g`_n]07Zkrp9:;>?:=1:esmjYnfz~$k}g`_n]07Zkrp9:;>?:PSV22`>awafUbb~z gqkl[jY4;Vg~t=>?22:2`>awafUbb~z gqkl[jY4;Vg~t=>?24;2`>awafUbb~z gqkl[jY4;Vg~t=>?2612`>awafUbb~z gqkl[jY4;Vg~t=>?2942e>awafUbb~z gqkl[jY4Wds<=>=1e9dtliXagy#j~fo^m\7Zkrp9:;><>;1e9dtliXagy#j~fo^m\7Zkrp9:;><6<1d9dtliXagy#j~fo^m\7Zkrp9:;><6<1328cuohW`dxx"iin]l[6Yj}q:;?23614>awafUbb~z gqkl[jY4Wds<=>=25]PS57d3nzbcRgasu-dtliXgV9Taxv?0100<4e?037:5f=`x`eTec}{/frjkZiX;Vg~t=>?2612g>awafUbb~z gqkl[jY4Wds<=>=8731?bvngVcey!hphm\kZ26;2m{ebQfnrv,cuohWfU?<?i;frjkZoi{}%l|daPo^63[hs89:9=5=>209dtliXagy#j~fo^m\05Yj}q:;?030764=`x`eTec}{/frjkZiX<9Ufyu>?0307[VQ79m1l|daPioqw+bvngVeT8=Qbuy23475?9m1l|daPioqw+bvngVeT8=Qbuy23473>9m1l|daPioqw+bvngVeT8=Qbuy2347149m1l|daPioqw+bvngVeT8=Qbuy2347>19:1l|daPioqw+bvngVeT8f:esmjYnfz~$k}g`_n]75Zkrp9:;><6<1338cuohW`dxx"iin]l[17Xe|r;<=<>82]e14b890k}g`_hlpp*awafUdS9<>b:esmjYnfz~$k}g`_n]76Zkrp9:;>e:esmjYnfz~$k}g`_n]76Zkrp9:;><6<1g9dtliXagy#j~fo^m\07Yj}q:;=191\b07c3nzbcRgasu-dtliXgV>9S`{w012161463nzbcRgasu-dtliXgV>9S`{w012161YT_9;o7j~fo^kmwq)`x`eTcR:=_lw{4565;1;o7j~fo^kmwq)`x`eTcR:=_lw{4565=0;o7j~fo^kmwq)`x`eTcR:=_lw{4565?:;o7j~fo^kmwq)`x`eTcR:=_lw{45650?;87j~fo^kmwq)`x`eTcR:<1c9dtliXagy#j~fo^m\06Yj}q:;e:esmjYnfz~$k}g`_n]77Zkrp9:;>82315>awafUbb~z gqkl[jY3;Vg~t=>?20:0[c36l2m{ebQfnrv,cuohWfU??Rczx123672592m{ebQfnrv,cuohWfU??Rczx123672X[^::h6iin]jjvr(oycdSbQ;3^ov|567::2:h6iin]jjvr(oycdSbQ;3^ov|567:<3:h6iin]jjvr(oycdSbQ;3^ov|567:>9:h6iin]jjvr(oycdSbQ;3^ov|567:1<:?6iin]jjvr(oycdSbQ;40`8cuohW`dxx"iin]l[12Xe|r;<=<>e:esmjYnfz~$k}g`_n]70Zkrp9:;><>;1d9dtliXagy#j~fo^m\01Yj}q:;60g8cuohW`dxx"iin]l[12Xe|r;<=<>823e?bvngVcey!hphm\kZ23Wds<=>=191264=`x`eTec}{/frjkZiX<=Ufyu>?033;7Z`29m1l|daPioqw+bvngVeT89Qbuy234743:81l|daPioqw+bvngVeT89Qbuy234743WZ];=i5hphm\mkus'nzbcRaP45]nq}678;93=i5hphm\mkus'nzbcRaP45]nq}678;?2=i5hphm\mkus'nzbcRaP45]nq}678;=8=i5hphm\mkus'nzbcRaP45]nq}678;2==>5hphm\mkus'nzbcRaP443a?bvngVcey!hphm\kZ22Wds<=>=1d9dtliXagy#j~fo^m\00Yj}q:;173f?bvngVcey!hphm\kZ22Wds<=>=1912b>awafUbb~z gqkl[jY3=Vg~t=>?20:05775awafUbb~z gqkl[jY3>Vg~t=>?20g8cuohW`dxx"iin]l[10Xe|r;<=<>053f?bvngVcey!hphm\kZ21Wds<=>=1042a>awafUbb~z gqkl[jY3>Vg~t=>?20:05c=`x`eTec}{/frjkZiX?033;74463nzbcRgasu-dtliXgV>=S`{w01215=5Xn<;o7j~fo^kmwq)`x`eTcR:9_lw{4565:=8:7j~fo^kmwq)`x`eTcR:9_lw{4565:=UX[=?k;frjkZoi{}%l|daPo^65[hs89:9?5?k;frjkZoi{}%l|daPo^65[hs89:994?k;frjkZoi{}%l|daPo^65[hs89:9;>?k;frjkZoi{}%l|daPo^65[hs89:94;?<;frjkZoi{}%l|daPo^645g=`x`eTec}{/frjkZiX<>Ufyu>?033f?bvngVcey!hphm\kZ20Wds<=>=1162a>awafUbb~z gqkl[jY3?Vg~t=>?20355`=`x`eTec}{/frjkZiX<>Ufyu>?033;74`4Wo?:h6iin]jjvr(oycdSbQ;7^ov|567:;>9=6iin]jjvr(oycdSbQ;7^ov|567:;>T_Z>>d:esmjYnfz~$k}g`_n]73Zkrp9:;>>6>d:esmjYnfz~$k}g`_n]73Zkrp9:;>87>d:esmjYnfz~$k}g`_n]73Zkrp9:;>:=>d:esmjYnfz~$k}g`_n]73Zkrp9:;>58>3:esmjYnfz~$k}g`_n]7<4g?033g?bvngVcey!hphm\kZ2Xe|r;<=<>053g?bvngVcey!hphm\kZ2Xe|r;<=<>173g?bvngVcey!hphm\kZ2Xe|r;<=<>823f?bvngVcey!hphm\kZ2Xe|r;<=<>82314>awafUbb~z gqkl[jY3Wds<=>=191\b07d3nzbcRgasu-dtliXgV>Taxv?0101076?0307[VQ79j1l|daPioqw+bvngVeT8Rczx12366>6k2m{ebQfnrv,cuohWfU?S`{w01211<7d3nzbcRgasu-dtliXgV>Taxv?010474e?03:557=`x`eTec}{/frjkZiX=8k0k}g`_hlpp*awafUdS8Qbuy23477c3nzbcRgasu-dtliXgV?Taxv?0102417c3nzbcRgasu-dtliXgV?Taxv?0102537c3nzbcRgasu-dtliXgV?Taxv?0102<67b3nzbcRgasu-dtliXgV?Taxv?0102<67582m{ebQfnrv,cuohWfU>S`{w01215=5Xn<;h7j~fo^kmwq)`x`eTcR;Pmtz34545<;:0k}g`_hlpp*awafUdS8Qbuy234743WZ];=n5hphm\mkus'nzbcRaP5^ov|567::2:o6iin]jjvr(oycdSbQ:_lw{4565=0;h7j~fo^kmwq)`x`eTcR;Pmtz34540;8i0k}g`_hlpp*awafUdS8Qbuy2347>19;1l|daPioqw+bvngVeT:4gqkl[lht|&m{ebQ`_7]nq}678;8?S^Y?1b9dtliXagy#j~fo^m\2Zkrp9:;>>6>c:esmjYnfz~$k}g`_n]5[hs89:994?l;frjkZoi{}%l|daPo^4\ip~7898?j;frjkZoi{}%l|daPo^5\ip~7898:4>?=0:esmjYnfz~$k}g`_n]4[hs89:9=5=Pf43`?bvngVcey!hphm\kZ1Xe|r;<=<=4328cuohW`dxx"iin]l[2Yj}q:;?22:2g>awafUbb~z gqkl[jY0Wds<=>=583`?bvngVcey!hphm\kZ1Xe|r;<=<830a8cuohW`dxx"iin]l[2Yj}q:;?033g?bvngVcey!hphm\kZ>Xe|r;<=<>053g?bvngVcey!hphm\kZ>Xe|r;<=<>173g?bvngVcey!hphm\kZ>Xe|r;<=<>823f?bvngVcey!hphm\kZ>Xe|r;<=<>82314>awafUbb~z gqkl[jY?Wds<=>=191\b07d3nzbcRgasu-dtliXgV2Taxv?0101076?0307[VQ79j1l|daPioqw+bvngVeT4Rczx12366>6k2m{ebQfnrv,cuohWfU3S`{w01211<7d3nzbcRgasu-dtliXgV2Taxv?010474e?03:557=`x`eTec}{/frjkZiX18k0k}g`_hlpp*awafUdS4Qbuy23477c3nzbcRgasu-dtliXgV3Taxv?0102417c3nzbcRgasu-dtliXgV3Taxv?0102537c3nzbcRgasu-dtliXgV3Taxv?0102<67b3nzbcRgasu-dtliXgV3Taxv?0102<67582m{ebQfnrv,cuohWfU2S`{w01215=5Xn<;h7j~fo^kmwq)`x`eTcR7Pmtz34545<;:0k}g`_hlpp*awafUdS4Qbuy234743WZ];=n5hphm\mkus'nzbcRaP9^ov|567::2:o6iin]jjvr(oycdSbQ6_lw{4565=0;h7j~fo^kmwq)`x`eTcR7Pmtz34540;8i0k}g`_hlpp*awafUdS4Qbuy2347>19:1l|daPioqw+bvngV{7<3?;;frjkZoi{}%l|daPq=33:42awafUbb~z gqkl[t:6;7;?7j~fo^kmwq)`x`eT}1?;>068cuohW`dxx"iin]r84399:1l|daPioqw+bvngV{7=3?;;frjkZoi{}%l|daPq=05:42awafUbb~z gqkl[t:517;87j~fo^kmwq)`x`eT}1<1159dtliXagy#j~fo^s?7586<2m{ebQfnrv,cuohWx68=3?;;frjkZoi{}%l|daPq=11:425hphm\mkus'nzbcR33?37?bvngVcey!hphm\u92768>0k}g`_hlpp*awafUz09?1159dtliXagy#j~fo^s?0786<2m{ebQfnrv,cuohWx6??3?;;frjkZoi{}%l|daPq=67:42awafUbb~z gqkl[t:3?7;?7j~fo^kmwq)`x`eT}1:7>018cuohW`dxx"iin]r8186;2m{ebQfnrv,cuohWx6>2<=4gqkl[lht|&m{ebQ~<7<27>awafUbb~z gqkl[t:06890k}g`_hlpp*awafUz050>3:esmjYnfz~$k}g`_p>::44Pnnv34576k2m{ebQfnrv,cuohWxU;Sca{0122647e3nzbcRgasu-dtliXyV:Tbbz?01365c=`x`eTec}{/frjkZwX8Vddx=>?1^`g`1753nzbcRgasu-dtliXyV;:?6iin]jjvr(oycdS|Q>0018cuohW`dxx"iin]r[476;2m{ebQfnrv,cuohWxU:><=4gqkl[lht|&m{ebQ~_0127>awafUbb~z gqkl[tY6<890k}g`_hlpp*awafUzS<;>2:esmjYnfz~$k}g`_p]156=`x`eTec}{/frjkZwX:?;87j~fo^kmwq)`x`eT}R<8129dtliXagy#j~fo^s\6=743nzbcRgasu-dtliXyV82=?5hphm\mkus'nzbcRP3018cuohW`dxx"iin]r[666;2m{ebQfnrv,cuohWxU8=<=4gqkl[lht|&m{ebQ~_202f>awafUbb~z gqkl[tY4:Vddx=>?10f8cuohW`dxx"iin]r[64Xff~;<=?>10a8cuohW`dxx"iin]r[64Xff~;<=?;219dtliXagy#j~fo^s\77Yig}:;<<:PSV214>awafUbb~z gqkl[tY4:Vddx=>?1^`g`1743nzbcRgasu-dtliXyV98=?5hphm\mkus'nzbcRP4018cuohW`dxx"iin]r[166;2m{ebQfnrv,cuohWxU?=<=4gqkl[lht|&m{ebQ~_5027>awafUbb~z gqkl[tY3;890k}g`_hlpp*awafUzS9:>3:esmjYnfz~$k}g`_p]71456:2m{ebQfnrv,cuohWxU>=?5hphm\mkus'nzbcRP6008cuohW`dxx"iin]r[2753nzbcRgasu-dtliXyV2:>6iin]jjvr(oycdS|Q6199dtliXagy#j~fo^vqmvYv494:56iin]jjvr(oycdSy|fs^s?558612m{ebQfnrv,cuohW}xbR310<2=>awafUbb~z gqkl[qtn{V{7=?0>9:esmjYnfz~$k}g`_upjwZw;9:4:56iin]jjvr(oycdSy|fs^s?518612m{ebQfnrv,cuohW}xbR314<2=>awafUbb~z gqkl[qtn{V{7=;0>9:esmjYnfz~$k}g`_upjwZw;9>4:56iin]jjvr(oycdSy|fs^s?5=8612m{ebQfnrv,cuohW}xbR318<2<>awafUbb~z gqkl[qtn{V{7=3?6;frjkZoi{}%l|daPtskp[t:587;27j~fo^kmwq)`x`eTxg|_p>15;7>3nzbcRgasu-dtliX|{cxS|2=2?3:?bvngVcey!hphm\pwotWx69?3?6;frjkZoi{}%l|daPtskp[t:5<7;27j~fo^kmwq)`x`eTxg|_p>11;7>3nzbcRgasu-dtliX|{cxS|2=6?3:?bvngVcey!hphm\pwotWx69;3?6;frjkZoi{}%l|daPtskp[t:507;27j~fo^kmwq)`x`eTxg|_p>1=;7?3nzbcRgasu-dtliX|{cxS|2=>0;8cuohW`dxx"iin]wvluXy59;2<74gqkl[lht|&m{ebQ{rhq\u9566830k}g`_hlpp*awafU~d}Pq=11:4?0;8cuohW`dxx"iin]wvluXy59?2<74gqkl[lht|&m{ebQ{rhq\u9526830k}g`_hlpp*awafU~d}Pq=15:4?0;8cuohW`dxx"iin]wvluXy5932<74gqkl[lht|&m{ebQ{rhq\u95>6820k}g`_hlpp*awafU~d}Pq=1=5<=`x`eTec}{/frjkZruazUz09>1189dtliXagy#j~fo^vqmvYv4=;5=45hphm\mkus'nzbcRz}ir]r8149901l|daPioqw+bvngV~ye~Q~<51=5<=`x`eTec}{/frjkZruazUz09:1189dtliXagy#j~fo^vqmvYv4=?5=45hphm\mkus'nzbcRz}ir]r8109901l|daPioqw+bvngV~ye~Q~<55=5<=`x`eTec}{/frjkZruazUz0961199dtliXagy#j~fo^vqmvYv4=4:46iin]jjvr(oycdSy|fs^s?1;7?3nzbcRgasu-dtliX|{cxS|29>0:8cuohW`dxx"iin]wvluXy5=5=55hphm\mkus'nzbcRz}ir]r8=8602m{ebQfnrv,cuohW}xbR39?34?bvngVcey!hphm\pwotWxU;=k5hphm\mkus'nzbcRz}ir]r[5Yig}:;<<<>;frjkZoi{}%l|daPtskp[tY7Wge<=>>1034?bvngVcey!hphm\pwotWxU:=55hphm\mkus'nzbcRz}ir]r[46602m{ebQfnrv,cuohW}xbRP103;?bvngVcey!hphm\pwotWxU:><64gqkl[lht|&m{ebQ{rhq\uZ74911l|daPioqw+bvngV~ye~Q~_062<>awafUbb~z gqkl[qtn{V{T=8?7;frjkZoi{}%l|daPtskp[tY6>820k}g`_hlpp*awafU~d}Pq^345==`x`eTec}{/frjkZruazUzS<6>8:esmjYnfz~$k}g`_upjwZwX90;<7j~fo^kmwq)`x`eTxg|_p]15==`x`eTec}{/frjkZruazUzS?>>8:esmjYnfz~$k}g`_upjwZwX:8;37j~fo^kmwq)`x`eTxg|_p]164>:46iin]jjvr(oycdSy|fs^s\607?3nzbcRgasu-dtliX|{cxS|Q=60:8cuohW`dxx"iin]wvluXyV8<=55hphm\mkus'nzbcRz}ir]r[7>602m{ebQfnrv,cuohW}xbRP2834?bvngVcey!hphm\pwotWxU8=55hphm\mkus'nzbcRz}ir]r[66602m{ebQfnrv,cuohW}xbRP303;?bvngVcey!hphm\pwotWxU8>?>4gqkl[lht|&m{ebQ{rhq\uZ55Wge<=>>239dtliXagy#j~fo^vqmvYvW:8Tbbz?013254>:46iin]jjvr(oycdSy|fs^s\707?3nzbcRgasu-dtliX|{cxS|Q<60:8cuohW`dxx"iin]wvluXyV9<=55hphm\mkus'nzbcRz}ir]r[6>602m{ebQfnrv,cuohW}xbRP3834?bvngVcey!hphm\pwotWxU?=55hphm\mkus'nzbcRz}ir]r[16602m{ebQfnrv,cuohW}xbRP403;?bvngVcey!hphm\pwotWxU?><64gqkl[lht|&m{ebQ{rhq\uZ24911l|daPioqw+bvngV~ye~Q~_562<>awafUbb~z gqkl[qtn{V{T88?7;frjkZoi{}%l|daPtskp[tY3>820k}g`_hlpp*awafU~d}Pq^645==`x`eTec}{/frjkZruazUzS96>7:esmjYnfz~$k}g`_upjwZwX=8=0k}g`_hlpp*awafU~d}Pq^423>awafUbb~z gqkl[qtn{V{T;<94gqkl[lht|&m{ebQ{rhq\uZ>6?2m{ebQfnrv,cuohW}xbRP90a8cuohW`dxx"gaptv\idvwm{UzS=Q?1b9dtliXagy#d`uu]neuvbzV{Tc:esmjYnfz~$ec~zt^obtucuWxU;S??l;frjkZoi{}%bb}{{_lcst`tXyV:T?6iin]jjvr(agz~xRcnpqgq[tY7Whdo<=>?259dtliXagy#d`uu]neuvbzV{TPbef2471=5:esmjYnfz~$ec~zt^obtucuWxU:>4gqkl[lht|&ce|xzPm`rsawYvW8;Tmcj?01275*JR\:UOSO[I299dtliXagy#d`uu]neuvbzV{T=:h6iin]jjvr(agz~xRcnpqgq[tY6:V::h6iin]jjvr(agz~xRcnpqgq[tY6:V;:h6iin]jjvr(agz~xRcnpqgq[tY6:V8:h6iin]jjvr(agz~xRcnpqgq[tY6:V9:h6iin]jjvr(agz~xRcnpqgq[tY6:V>:h6iin]jjvr(agz~xRcnpqgq[tY6:V?9?6iin]jjvr(agz~xRcnpqgq[tY6:Vkeh=>?0378cuohW`dxx"gaptv\idvwm{UzS<=5:esmjYnfz~$ec~zt^obtucuWxU:?Road1234476l2m{ebQfnrv,mkvr|Vgj|}k}_p]20Z66l2m{ebQfnrv,mkvr|Vgj|}k}_p]20Z76l2m{ebQfnrv,mkvr|Vgj|}k}_p]20Z46l2m{ebQfnrv,mkvr|Vgj|}k}_p]20Z56l2m{ebQfnrv,mkvr|Vgj|}k}_p]20Z26l2m{ebQfnrv,mkvr|Vgj|}k}_p]20Z35;2m{ebQfnrv,mkvr|Vgj|}k}_p]20Zgil9:;Tmcj?012254b?00311>awafUbb~z iorvpZkfxyoyS|Q>6^cm`5678=;9:6iin]jjvr(agz~xRcnpqgq[tY6>Vkeh=>?043463=`x`eTec}{/hlsqqYjiyzn~RP17]bja6789?94?;4gqkl[lht|&ce|xzPm`rsawYvW8?0531<>awafUbb~z iorvpZkfxyoyS|Q>7^cm`5678Vhoh9?l;frjkZoi{}%bb}{{_lcst`tXyV;T<?01325a=`x`eTec}{/hlsqqYjiyzn~RP27]35a=`x`eTec}{/hlsqqYjiyzn~RP27]25a=`x`eTec}{/hlsqqYjiyzn~RP27]15a=`x`eTec}{/hlsqqYjiyzn~RP27]05a=`x`eTec}{/hlsqqYjiyzn~RP27]75a=`x`eTec}{/hlsqqYjiyzn~RP27]666=`x`eTec}{/hlsqqYjiyzn~RP27]bja67898>7j~fo^kmwq)nfyS`opdp\uZ41Whdo<=>?103g?bvngVcey!fnqww[hgwxlxT}R<8_13g?bvngVcey!fnqww[hgwxlxT}R<8_03g?bvngVcey!fnqww[hgwxlxT}R<8_33g?bvngVcey!fnqww[hgwxlxT}R<8_23g?bvngVcey!fnqww[hgwxlxT}R<8_53g?bvngVcey!fnqww[hgwxlxT}R<8_400?bvngVcey!fnqww[hgwxlxT}R<8_`lg4567:<1l|daPioqw+lhw}}Ufm}~jr^s\62Yffm:;<=?>1e9dtliXagy#d`uu]neuvbzV{T>5Q?1e9dtliXagy#d`uu]neuvbzV{T>5Q>1e9dtliXagy#d`uu]neuvbzV{T>5Q=1e9dtliXagy#d`uu]neuvbzV{T>5Q<1e9dtliXagy#d`uu]neuvbzV{T>5Q;1e9dtliXagy#d`uu]neuvbzV{T>5Q:229dtliXagy#d`uu]neuvbzV{T>5Qnne2345423nzbcRgasu-jjussWdk{|h|Pq^0;[dhc89:;=?k;frjkZoi{}%bb}{{_lcst`tXyV82S9?k;frjkZoi{}%bb}{{_lcst`tXyV82S8<<;frjkZoi{}%bb}{{_lcst`tXyV82Sl`k012360=`x`eTec}{/hlsqqYjiyzn~RP28]bja6789;:=n5hphm\mkus'`d{yyQbaqrfvZwX:V::o6iin]jjvr(agz~xRcnpqgq[tY5W8;h7j~fo^kmwq)nfyS`opdp\uZ4X:8i0k}g`_hlpp*oix|~Tal~es]r[7Y49j1l|daPioqw+lhw}}Ufm}~jr^s\6Z26k2m{ebQfnrv,mkvr|Vgj|}k}_p]1[0453nzbcRgasu-jjussWdk{|h|Pq^0\ekb789:986iin]jjvr(agz~xRcnpqgq[tY5Whdo<=>?103g?bvngVcey!fnqww[hgwxlxT}R=?_13g?bvngVcey!fnqww[hgwxlxT}R=?_03g?bvngVcey!fnqww[hgwxlxT}R=?_33g?bvngVcey!fnqww[hgwxlxT}R=?_23g?bvngVcey!fnqww[hgwxlxT}R=?_53g?bvngVcey!fnqww[hgwxlxT}R=?_400?bvngVcey!fnqww[hgwxlxT}R=?_`lg4567:<1l|daPioqw+lhw}}Ufm}~jr^s\75Yffm:;<=?>1e9dtliXagy#d`uu]neuvbzV{T?1e9dtliXagy#d`uu]neuvbzV{T??k;frjkZoi{}%bb}{{_lcst`tXyV99S9?k;frjkZoi{}%bb}{{_lcst`tXyV99S8<<;frjkZoi{}%bb}{{_lcst`tXyV99Sl`k012360=`x`eTec}{/hlsqqYjiyzn~RP33]bja6789;:>85hphm\mkus'`d{yyQbaqrfvZwX;;Ujbi>?016275=`x`eTec}{/hlsqqYjiyzn~RP33]bja6789>:#A[[3^F\FP@502m{ebQfnrv,mkvr|Vgj|}k}_p]06Zgil9:;249dtliXagy#d`uu]neuvbzV{T?>Qnne234526:11l|daPioqw+lhw}}Ufm}~jr^s\76Yffm:;<=Qmde62g>awafUbb~z iorvpZkfxyoyS|Q<_13`?bvngVcey!fnqww[hgwxlxT}R=P10a8cuohW`dxx"gaptv\idvwm{UzS>Q=1b9dtliXagy#d`uu]neuvbzV{T?R=>c:esmjYnfz~$ec~zt^obtucuWxU8S9?l;frjkZoi{}%bb}{{_lcst`tXyV9T9?<4gqkl[lht|&ce|xzPm`rsawYvW:Ujbi>?0107?bvngVcey!fnqww[hgwxlxT}R=Paof3456698n0k}g`_hlpp*oix|~Tal~es]r[16X88n0k}g`_hlpp*oix|~Tal~es]r[16X98n0k}g`_hlpp*oix|~Tal~es]r[16X:8n0k}g`_hlpp*oix|~Tal~es]r[16X;8n0k}g`_hlpp*oix|~Tal~es]r[16X<8n0k}g`_hlpp*oix|~Tal~es]r[16X=;90k}g`_hlpp*oix|~Tal~es]r[16Xign;<=>=5:esmjYnfz~$ec~zt^obtucuWxU??0032`>awafUbb~z iorvpZkfxyoyS|Q;3^22`>awafUbb~z iorvpZkfxyoyS|Q;3^32`>awafUbb~z iorvpZkfxyoyS|Q;3^02`>awafUbb~z iorvpZkfxyoyS|Q;3^12`>awafUbb~z iorvpZkfxyoyS|Q;3^62`>awafUbb~z iorvpZkfxyoyS|Q;3^717>awafUbb~z iorvpZkfxyoyS|Q;3^cm`5678;?0k}g`_hlpp*oix|~Tal~es]r[15Xign;<=>>10f8cuohW`dxx"gaptv\idvwm{UzS9:P00f8cuohW`dxx"gaptv\idvwm{UzS9:P10f8cuohW`dxx"gaptv\idvwm{UzS9:P20f8cuohW`dxx"gaptv\idvwm{UzS9:P30f8cuohW`dxx"gaptv\idvwm{UzS9:P40f8cuohW`dxx"gaptv\idvwm{UzS9:P5318cuohW`dxx"gaptv\idvwm{UzS9:Paof34565=2m{ebQfnrv,mkvr|Vgj|}k}_p]70Zgil9:;<d:esmjYnfz~$ec~zt^obtucuWxU?9R>>d:esmjYnfz~$ec~zt^obtucuWxU?9R?>d:esmjYnfz~$ec~zt^obtucuWxU?9R<>d:esmjYnfz~$ec~zt^obtucuWxU?9R=>d:esmjYnfz~$ec~zt^obtucuWxU?9R:>d:esmjYnfz~$ec~zt^obtucuWxU?9R;=3:esmjYnfz~$ec~zt^obtucuWxU?9Road123473awafUbb~z iorvpZkfxyoyS|Q;6^cm`56788;:h6iin]jjvr(agz~xRcnpqgq[tY3?V::h6iin]jjvr(agz~xRcnpqgq[tY3?V;:h6iin]jjvr(agz~xRcnpqgq[tY3?V8:h6iin]jjvr(agz~xRcnpqgq[tY3?V9:h6iin]jjvr(agz~xRcnpqgq[tY3?V>:h6iin]jjvr(agz~xRcnpqgq[tY3?V?9?6iin]jjvr(agz~xRcnpqgq[tY3?Vkeh=>?0378cuohW`dxx"gaptv\idvwm{UzS99Paof3456698i0k}g`_hlpp*oix|~Tal~es]r[1Y79j1l|daPioqw+lhw}}Ufm}~jr^s\0Z76k2m{ebQfnrv,mkvr|Vgj|}k}_p]7[77d3nzbcRgasu-jjussWdk{|h|Pq^6\74eawafUbb~z iorvpZkfxyoyS|Q;_`lg4567:=1l|daPioqw+lhw}}Ufm}~jr^s\0Zgil9:;<c:esmjYnfz~$ec~zt^obtucuWxU>S=?l;frjkZoi{}%bb}{{_lcst`tXyV?T=awafUbb~z iorvpZkfxyoyS|Q9_33`?bvngVcey!fnqww[hgwxlxT}R8P30a8cuohW`dxx"gaptv\idvwm{UzS;Q;1b9dtliXagy#d`uu]neuvbzV{T:R;=2:esmjYnfz~$ec~zt^obtucuWxU=Sl`k012361=`x`eTec}{/hlsqqYjiyzn~RP6^cm`56788;:o6iin]jjvr(agz~xRcnpqgq[tY0W9;h7j~fo^kmwq)nfyS`opdp\uZ1X98i0k}g`_hlpp*oix|~Tal~es]r[2Y59j1l|daPioqw+lhw}}Ufm}~jr^s\3Z56k2m{ebQfnrv,mkvr|Vgj|}k}_p]4[17d3nzbcRgasu-jjussWdk{|h|Pq^5\174>10a8cuohW`dxx"gaptv\idvwm{UzS5Q?1b9dtliXagy#d`uu]neuvbzV{T4R?>c:esmjYnfz~$ec~zt^obtucuWxU3S??l;frjkZoi{}%bb}{{_lcst`tXyV2T?6iin]jjvr(agz~xRcnpqgq[tY?Whdo<=>?259dtliXagy#d`uu]neuvbzV{T4Road1234476k2m{ebQfnrv,mkvr|Vgj|}k}_p]:[57d3nzbcRgasu-jjussWdk{|h|Pq^;\54eawafUbb~z iorvpZkfxyoyS|Q6_53`?bvngVcey!fnqww[hgwxlxT}R7P5308cuohW`dxx"gaptv\idvwm{UzS4Qnne2345433nzbcRgasu-jjussWdk{|h|Pq^;\ekb789::=awafUbb~z otvsqqYjiyzn~RP0^cm`56788;:>;5hphm\mkus'f|xzPm`rsawYvW9Ujbi>?0131470058cuohW`dxx"~sqkm[t:6894:;6iin]jjvr(xyy{ecQ~<022:417:esmjYnfz~$|}}io]r846468=0k}g`_hlpp*vw{yceS|2>05<23>awafUbb~z pqqsmkYv48:>2<94gqkl[lht|&z{}ga_p>24386?2m{ebQfnrv,tuuwagUz0<>8>058cuohW`dxx"~sqkm[t:6814:;6iin]jjvr(xyy{ecQ~<02::401l|daPioqw+uvtx`dT}1?>1?34?bvngVcey!prrjjZw;9885=:5hphm\mkus'yzx|d`Pq=327;703nzbcRgasu-stvvnfV{7=<:1169dtliXagy#}~|phl\u976=7;<7j~fo^kmwq)wxzzbbR3104=52=`x`eTec}{/qrptlhXy5;:;3?8;frjkZoi{}%{|~~fn^s?54>99>1l|daPioqw+uvtx`dT}1?>9?35?bvngVcey!prrjjZw;984:;6iin]jjvr(xyy{ecQ~<003:41<0>7:esmjYnfz~$|}}io]r844568=0k}g`_hlpp*vw{yceS|2>22<23>awafUbb~z pqqsmkYv488?2<94gqkl[lht|&z{}ga_p>26086?2m{ebQfnrv,tuuwagUz0<<9>058cuohW`dxx"~sqkm[t:6:>4::6iin]jjvr(xyy{ecQ~<00=53=`x`eTec}{/qrptlhXy5;82<84gqkl[lht|&z{}ga_p>20;713nzbcRgasu-stvvnfV{7=80>6:esmjYnfz~$|}}io]r84099?1l|daPioqw+uvtx`dT}1?8>048cuohW`dxx"~sqkm[t:607;=7j~fo^kmwq)wxzzbbR318<21>awafUbb~z pqqsmkYv484::6iin]jjvr(xyy{ecQ~<32=53=`x`eTec}{/qrptlhXy58:2<84gqkl[lht|&z{}ga_p>16;713nzbcRgasu-stvvnfV{7>>0>6:esmjYnfz~$|}}io]r87299?1l|daPioqw+uvtx`dT}1<:>048cuohW`dxx"~sqkm[t:5>7;=7j~fo^kmwq)wxzzbbR326<22>awafUbb~z pqqsmkYv4;25=;5hphm\mkus'yzx|d`Pq=0::4304;713nzbcRgasu-stvvnfV{7?<0>6:esmjYnfz~$|}}io]r86499?1l|daPioqw+uvtx`dT}1=<>048cuohW`dxx"~sqkm[t:4<7;=7j~fo^kmwq)wxzzbbR334<22>awafUbb~z pqqsmkYv4:<5=;5hphm\mkus'yzx|d`Pq=14:400>6:esmjYnfz~$|}}io]r81699?1l|daPioqw+uvtx`dT}1:>>048cuohW`dxx"~sqkm[t:3:7;=7j~fo^kmwq)wxzzbbR342<22>awafUbb~z pqqsmkYv4=>5=;5hphm\mkus'yzx|d`Pq=66:402m{ebQfnrv,tuuwagUz0961179dtliXagy#}~|phl\u92>68?0k}g`_hlpp*vw{yceS|2;>048cuohW`dxx"~sqkm[t:287;=7j~fo^kmwq)wxzzbbR350<22>awafUbb~z pqqsmkYv4<85=;5hphm\mkus'yzx|d`Pq=70:4083?9;frjkZoi{}%{|~~fn^s?1086>2m{ebQfnrv,tuuwagUz0881179dtliXagy#}~|phl\u93068<0k}g`_hlpp*vw{yceS|2:8?35?bvngVcey!prrjjZw;=04:96iin]jjvr(xyy{ecQ~<4<22>awafUbb~z pqqsmkYv4?:5=;5hphm\mkus'yzx|d`Pq=42:403?9;frjkZoi{}%{|~~fn^s?2686>2m{ebQfnrv,tuuwagUz0;:1179dtliXagy#}~|phl\u90268<0k}g`_hlpp*vw{yceS|296?35?bvngVcey!prrjjZw;>>4::6iin]jjvr(xyy{ecQ~<7:=53=`x`eTec}{/qrptlhXy5<22<;4gqkl[lht|&z{}ga_p>5:402m{ebQfnrv,tuuwagUz0:<1179dtliXagy#}~|phl\u91468<0k}g`_hlpp*vw{yceS|284?35?bvngVcey!prrjjZw;?<4::6iin]jjvr(xyy{ecQ~<64=53=`x`eTec}{/qrptlhXy5=<2<84gqkl[lht|&z{}ga_p>4<;713nzbcRgasu-stvvnfV{7;40>5:esmjYnfz~$|}}io]r8286>2m{ebQfnrv,tuuwagUz05>1179dtliXagy#}~|phl\u9>668<0k}g`_hlpp*vw{yceS|272?35?bvngVcey!prrjjZw;0:4::6iin]jjvr(xyy{ecQ~<96=53=`x`eTec}{/qrptlhXy52>2<84gqkl[lht|&z{}ga_p>;2;713nzbcRgasu-stvvnfV{74:0>6:esmjYnfz~$|}}io]r8=>99?1l|daPioqw+uvtx`dT}166>078cuohW`dxx"~sqkm[t:?68<0k}g`_hlpp*vw{yceS|260?35?bvngVcey!prrjjZw;184::6iin]jjvr(xyy{ecQ~<80=53=`x`eTec}{/qrptlhXy5382<84gqkl[lht|&z{}ga_p>:0;713nzbcRgasu-stvvnfV{7580>6:esmjYnfz~$|}}io]r8<099?1l|daPioqw+uvtx`dT}178>048cuohW`dxx"~sqkm[t:>07;=7j~fo^kmwq)wxzzbbR398<21>awafUbb~z pqqsmkYv404:86iin]jjvr(xyy{ecQ~_13`?bvngVcey!prrjjZwX8Vddx=>?10g8cuohW`dxx"~sqkm[tY7Wge<=>>1037?bvngVcey!prrjjZwX98?0k}g`_hlpp*vw{yceS|Q>0048cuohW`dxx"~sqkm[tY689;=7j~fo^kmwq)wxzzbbRP11322>awafUbb~z pqqsmkYvW8:9=;5hphm\mkus'yzx|d`Pq^337402m{ebQfnrv,tuuwagUzS<>9179dtliXagy#}~|phl\uZ77?8<0k}g`_hlpp*vw{yceS|Q>0935?bvngVcey!prrjjZwX993:96iin]jjvr(xyy{ecQ~_0322>awafUbb~z pqqsmkYvW8;;=;5hphm\mkus'yzx|d`Pq^325402m{ebQfnrv,tuuwagUzS1735?bvngVcey!prrjjZwX98=::6iin]jjvr(xyy{ecQ~_03;53=`x`eTec}{/qrptlhXyV;:5<;4gqkl[lht|&z{}ga_p]2640=?9;frjkZoi{}%{|~~fn^s\5776>2m{ebQfnrv,tuuwagUzS<<=179dtliXagy#}~|phl\uZ75;8<0k}g`_hlpp*vw{yceS|Q>2535?bvngVcey!prrjjZwX9;?::6iin]jjvr(xyy{ecQ~_00553=`x`eTec}{/qrptlhXyV;9;<;4gqkl[lht|&z{}ga_p]2743=85hphm\mkus'yzx|d`Pq^0550=`x`eTec}{/qrptlhXyV8<=85hphm\mkus'yzx|d`Pq^0;50=`x`eTec}{/qrptlhXyV82=95hphm\mkus'yzx|d`Pq^121>awafUbb~z pqqsmkYvW:::96iin]jjvr(xyy{ecQ~_2321>awafUbb~z pqqsmkYvW:8:h6iin]jjvr(xyy{ecQ~_20\jjr789;:j6iin]jjvr(xyy{ecQ~_20\jjr789;:=<;4gqkl[lht|&z{}ga_p]0743:=85hphm\mkus'yzx|d`Pq^6150=`x`eTec}{/qrptlhXyV>8=85hphm\mkus'yzx|d`Pq^6750=`x`eTec}{/qrptlhXyV>>=85hphm\mkus'yzx|d`Pq^6550=`x`eTec}{/qrptlhXyV><=85hphm\mkus'yzx|d`Pq^6;50=`x`eTec}{/qrptlhXyV>2=95hphm\mkus'yzx|d`Pq^721>awafUbb~z pqqsmkYvW<::96iin]jjvr(xyy{ecQ~_4321>awafUbb~z pqqsmkYvW<8:96iin]jjvr(xyy{ecQ~_4121>awafUbb~z pqqsmkYvW<>:96iin]jjvr(xyy{ecQ~_4721>awafUbb~z pqqsmkYvW<<:96iin]jjvr(xyy{ecQ~_4521>awafUbb~z pqqsmkYvW<2:96iin]jjvr(xyy{ecQ~_4;20>awafUbb~z pqqsmkYvW?;>7j~fo^kmwq)wxzzbbRP6136?bvngVcey!prrjjZwX>8;>7j~fo^kmwq)wxzzbbRP6336?bvngVcey!prrjjZwX>:;>7j~fo^kmwq)wxzzbbRP653g?bvngVcey!prrjjZwX>=Uecy>?003e?bvngVcey!prrjjZwX>=Uecy>?003250=`x`eTec}{/qrptlhXyV<>=85hphm\mkus'yzx|d`Pq^4550=`x`eTec}{/qrptlhXyV<<=85hphm\mkus'yzx|d`Pq^4;50=`x`eTec}{/qrptlhXyV<2=95hphm\mkus'yzx|d`Pq^521>awafUbb~z pqqsmkYvW>::96iin]jjvr(xyy{ecQ~_6321>awafUbb~z pqqsmkYvW>8:96iin]jjvr(xyy{ecQ~_6121>awafUbb~z pqqsmkYvW>>:96iin]jjvr(xyy{ecQ~_6721>awafUbb~z pqqsmkYvW><:96iin]jjvr(xyy{ecQ~_6521>awafUbb~z pqqsmkYvW>2:96iin]jjvr(xyy{ecQ~_6;20>awafUbb~z pqqsmkYvW1;>7j~fo^kmwq)wxzzbbRP8136?bvngVcey!prrjjZwX08;>7j~fo^kmwq)wxzzbbRP8336?bvngVcey!prrjjZwX0:;>7j~fo^kmwq)wxzzbbRP8536?bvngVcey!prrjjZwX0<;>7j~fo^kmwq)wxzzbbRP8736?bvngVcey!prrjjZwX0>;>7j~fo^kmwq)wxzzbbRP8936?bvngVcey!prrjjZwX00;?7j~fo^kmwq)wxzzbbRP9078cuohW`dxx"~sqkm[tY>88?0k}g`_hlpp*vw{yceS|Q61078cuohW`dxx"~sqkm[tY>:8?0k}g`_hlpp*vw{yceS|Q63078cuohW`dxx"~sqkm[tY><8?0k}g`_hlpp*vw{yceS|Q65078cuohW`dxx"~sqkm[tY>>8n0k}g`_hlpp*vw{yceS|Q66^llp56798l0k}g`_hlpp*vw{yceS|Q66^llp56798;:96iin]jjvr(xyy{ecQ~_8521>awafUbb~z pqqsmkYvW02:96iin]jjvr(xyy{ecQ~_8;2b>awafUbb~z sqr\v`gcW9Ufyu>?013204c9o1l|daPioqw+vvwW{ojhR>Pmtz345660?8;7j~fo^kmwq)txyUyiljP0^ov|567882==?>4gqkl[lht|&y{|R|jae]3[hs89:;=58=239dtliXagy#~~_sgb`Z6Xe|r;<=>>87]e14`;m7j~fo^kmwq)txyUyiljP0^ov|5678:89>=5hphm\mkus'zz{Sknd^2\ip~789:8>??=0:esmjYnfz~$}~Prdcg[5Yj}q:;<===2301?bvngVcey!|pq]qadbX8Vg~t=>?0201[c36n2m{ebQfnrv,wuvXzlkoS=Qbuy2345501;;0k}g`_hlpp*uwxVxnmiQ?_lw{4567;>3TJ??4gqkl[lht|&y{|R|jae]3[hs89:;?:7PG0d8cuohW`dxx"}p^pfeaY7Wds<=>?4532b>awafUbb~z sqr\v`gcW9Ufyu>?016624`?<4gqkl[lht|&y{|R|jae]3[hs89:;9<=Pf43e?bvngVcey!|pq]qadbX8Vg~t=>?04625c=`x`eTec}{/rrs[wcflV:Taxv?01262<443nzbcRgasu-ptuYumhnTWZ];=k5hphm\mkus'zz{Sknd^2\ip~789:=88<>;frjkZoi{}%x|}Q}e`f\4Zkrp9:;<;::_G02?bvngVcey!|pq]qadbX8Vg~t=>?0766[B7b3nzbcRgasu-ptuYumhnT6n2m{ebQfnrv,wuvXzlkoS=Qbuy23450?98l0k}g`_hlpp*uwxVxnmiQ?_lw{4567>189=6iin]jjvr({yzT~hok_1]nq}6789<3Sk;>e:esmjYnfz~$}~Prdcg[5Yj}q:;<=9<179dtliXagy#zlPaep\m95998n0k}g`_hlpp*qeWhnySd2<>^on|Zir|8:0k}g`_rrs[wcfl5:5o6gmugp{+vik&;=7dlzfsz,w|hd'Dyc~hiPfg02=>oe}oxs#~wac.Oplwc`Wol9#NK>d:kaqct'zseo"C|hsgd[c`5'ZXG=;Ki;h`vbw~({pdh#kh=3:obt==iomh~eaj8;n`vbq~(k2eiykzw/n`vbl>voqzchmaQhrnws[K77=8>0|ew|ibco[bth}yUE==;>129sl|unkhfTkazp^L24<743ybrdmnl^eqkpvXF8;;=95hxqjgdjXo{e~|R@>10327>voqzchmaQhrnws[K76:8>0|ew|ibco[bth}yUE=<=>159sl|unkhfTkazp^L25176;2zcu~glam]dvjswWG;:9<:4pi{pmfgkWnxdy}QA107256=w`pybolbPgsmvtZH69?;?7}fvshabhZaug|zTB5hxqjgdjXo{e~|R@>4530?un~{`ij`Ri}otr\J4319:1{dt}fc`n\cwirxVD:9:?<;qjzwlefdVmycx~PN07;56=w`pybolbPgsmvtZH5;=;97}fvshabhZaug|zTB?6>3:rk}vodieUl~b{_O0;04588<=4pi{pmfgkWnxdy}QA42427>voqzchmaQhrnws[K240890|ew|ibco[bth}yUE89>>3:rk}vodieUl~b{_O67645:?6~gyrk`eiY`zf{SC:;6018tmtajkgSj|`uq]M01>6;2zcu~glam]dvjswWG>><<=4pi{pmfgkWnxdy}QA44027>voqzchmaQhrnws[K22<890|ew|ibco[bth}yUE888>3:rk}vodieUl~b{_O66<45=:<=4pi{pmfgkWnxdy}QA47:27>voqzchmaQhrnws[K208890|ew|ibco[bth}yUE8:<>3:rk}vodieUl~b{_O64045<:?6~gyrk`eiY`zf{SC:88008tmtajkgSj|`uq]M0=743ybrdmnl^eqkpvXF=2;=>5hxqjgdjXo{e~|R@;8330?un~{`ij`Ri}otr\J1>39:1{dt}fc`n\cwirxVD?4;?<;qjzwlefdVmycx~PN5:;56=w`pybolbPgsmvtZH319;87}fvshabhZaug|zTB97=129sl|unkhfTkazp^L7=1743ybrdmnl^eqkpvXF=3==>5hxqjgdjXo{e~|R@;9931?un~{`ij`Ri}otr\J066;2zcu~glam]dvjswWG?;8<=4pi{pmfgkWnxdy}QA50427>voqzchmaQhrnws[K35:890|ew|ibco[bth}yUE9><>3:rk}vodieUl~b{_O764456~gyrk`eiY`zf{SC;9129sl|unkhfTkazp^L6<5743ybrdmnl^eqkpvXF<29=<5hxqjgdjXo{e~|R@9139sl|unkhfTkazp^L54459::?6~gyrk`eiY`zf{SC8?2018tmtajkgSj|`uq]M2526;2zcu~glam]dvjswWG<=8<=4pi{pmfgkWnxdy}QA67427>voqzchmaQhrnws[K010890|ew|ibco[bth}yUE::>>3:rk}vodieUl~b{_O44645>>:?6~gyrk`eiY`zf{SC886018tmtajkgSj|`uq]M2=46;2zcu~glam]dvjswWG<3:<=4pi{pmfgkWnxdy}QA73227>voqzchmaQhrnws[K15:890|ew|ibco[bth}yUE;?:>3:rk}vodieUl~b{_O51245voqzchmaQhrnws[K140890|ew|ibco[bth}yUE;9>>3:rk}vodieUl~b{_O57645:?6~gyrk`eiY`zf{SC9;6018tmtajkgSj|`uq]M31>6;2zcu~glam]dvjswWG=><<=4pi{pmfgkWnxdy}QA74027>voqzchmaQhrnws[K12<890|ew|ibco[bth}yUE;88>3:rk}vodieUl~b{_O56<445hxqjgdjXo{e~|R@86730?un~{`ij`Ri}otr\J2159:1{dt}fc`n\cwirxVD<;9?<;qjzwlefdVmycx~PN65556=w`pybolbPgsmvtZH0?1;87}fvshabhZaug|zTB:6=129sl|unkhfTkazp^L4<=743ybrdmnl^eqkpvXF>3;=>5hxqjgdjXo{e~|R@89530?un~{`ij`Ri}otr\J2?19:1{dt}fc`n\cwirxVD<55?>;qjzwlefdVmycx~PN930?un~{`ij`Ri}otr\J=679:1{dt}fc`n\cwirxVD3;87}fvshabhZaug|zTB5=?129sl|unkhfTkazp^L;7=743ybrdmnl^eqkpvXF1>8=>5hxqjgdjXo{e~|R@74530?un~{`ij`Ri}otr\J=219:1{dt}fc`n\cwirxVD38:?<;qjzwlefdVmycx~PN96;56=w`pybolbPgsmvtZH?=9;87}fvshabhZaug|zTB5;<129sl|unkhfTkazp^L;34743ybrdmnl^eqkpvXF1=8=>5hxqjgdjXo{e~|R@77430?un~{`ij`Ri}otr\J=119:1{dt}fc`n\cwirxVD3;5?<;qjzwlefdVmycx~PN95:56=w`pybolbPgsmvtZH?1;;87}fvshabhZaug|zTB57;129sl|unkhfTkazp^L;=3743ybrdmnl^eqkpvXF133=>5hxqjgdjXo{e~|R@60130?un~{`ij`Ri}otr\J<659:1{dt}fc`n\cwirxVD2<9?<;qjzwlefdVmycx~PN82556=w`pybolbPgsmvtZH>81;87}fvshabhZaug|zTB4??129sl|unkhfTkazp^L:57743ybrdmnl^eqkpvXF0;?=>5hxqjgdjXo{e~|R@61730?un~{`ij`Ri}otr\J<539:1{dt}fc`n\cwirxVD2?;?<;qjzwlefdVmycx~PN81;56=w`pybolbPgsmvtZH><9;87}fvshabhZaug|zTB4:=129sl|unkhfTkazp^L:01743ybrdmnl^eqkpvXF0>==>5hxqjgdjXo{e~|R@64930?un~{`ij`Ri}otr\J<379:1{dt}fc`n\cwirxVD29??<;qjzwlefdVmycx~PN87756=w`pybolbPgsmvtZH>=?;87}fvshabhZaug|zTB4;7129sl|unkhfTkazp^L:25743ybrdmnl^eqkpvXF0<9=>5hxqjgdjXo{e~|R@66530?un~{`ij`Ri}otr\J<019:1{dt}fc`n\cwirxVD2:5?<;qjzwlefdVmycx~PN85356=w`pybolbPgsmvtZH>?;;87}fvshabhZaug|zTB49;129sl|unkhfTkazp^L:33743ybrdmnl^eqkpvXF0=3=>5hxqjgdjXo{e~|R@68130?un~{`ij`Ri}otr\J<>09:1{dt}fc`n\cwirxVD245<;;qjzwlefdVmycx~PcmidakYnfz~$oaefn^k\64e26^kmwq)wgesT}R``t12357gl5hxqjgdjXo{e~|Riin]jjvr(EdsSzlPd`v\kZ35=;k0|ew|ibco[bth}yUl|daPioqw+HkrpV}iSio{_n]6624f3ybrdmnl^eqkpvXoycdSd`|t.Onq}YpjVnjxRaP53;1e>voqzchmaQhrnws[bvngVcey!Bmtz\sgYci}UdS8=>2`9sl|unkhfTkazp^esmjYnfz~$A`{w_v`\`drXgV?8??o4pi{pmfgkWnxdy}Qhphm\mkus'Dg~tRym_ecw[jY2;<8j7}fvshabhZaug|zTk}g`_hlpp*Kj}qU|nRjnt^m\1615i2zcu~glam]dvjswWnzbcRgasu-Nip~XkUomyQ`_41:6d=w`pybolbPgsmvtZawafUbb~z Mlw{[rdXlh~TcR;;13c8tmtajkgSj|`uq]dtliXagy#@czx^ua[agsWfU>8>:h1{dt}fc`n\cwirxVm{ebQfnrv,IhsW~hThlzPo^4247gl5hxqjgdjXo{e~|Riin]jjvr(EdsSzlPd`v\kZ06<;k0|ew|ibco[bth}yUl|daPioqw+HkrpV}iSio{_n]5504f3ybrdmnl^eqkpvXoycdSd`|t.Onq}YpjVnjxRaP6041e>voqzchmaQhrnws[bvngVcey!Bmtz\sgYci}UdS;?82`9sl|unkhfTkazp^esmjYnfz~$A`{w_v`\`drXgV<:4?o4pi{pmfgkWnxdy}Qhphm\mkus'Dg~tRym_ecw[jY1908j7}fvshabhZaug|zTk}g`_hlpp*Kj}qU|nRjnt^m\276512zcu~glam]dvjswWnzbcRgasu-Nip~XkUomyQ`_751=>voqzchmaQhrnws[bvngVcey!Bmtz\sgYci}UdS;7=b:rk}vodieUl~b{_frjkZoi{}%l|daPo^2\ip~78983:?m4pi{pmfgkWnxdy}Qhphm\mkus'nzbcRaP11]nq}678;2=>n5hxqjgdjXo{e~|Riin]jjvr(oycdSbQ>1^ov|567:1<9o6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR?=_lw{45650?8h7}fvshabhZaug|zTk}g`_hlpp*awafUdS<=Pmtz3454?>;i0|ew|ibco[bth}yUl|daPioqw+bvngVeT=9Qbuy2347>1:j1{dt}fc`n\cwirxVm{ebQfnrv,cuohWfU:9Rczx1236=05j2zcu~glam]dvjswWnzbcRgasu-dtliXgV;Taxv?010;27e?03:56f=w`pybolbPgsmvtZawafUbb~z gqkl[jY5?Vg~t=>?2941g>voqzchmaQhrnws[bvngVcey!hphm\kZ4?Wds<=>=870`?un~{`ij`Ri}otr\cuohW`dxx"iin]l[7?Xe|r;<=<763`8tmtajkgSj|`uq]dtliXagy#j~fo^m\6Zkrp9:;>58=c:rk}vodieUl~b{_frjkZoi{}%l|daPo^13[hs89:94;n5hxqjgdjXo{e~|Riin]jjvr(oycdSbQ<3^ov|567:1<9n6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR=Pmtz3454?>;i0|ew|ibco[bth}yUl|daPioqw+bvngVeT8=Qbuy2347>1:j1{dt}fc`n\cwirxVm{ebQfnrv,cuohWfU?=Rczx1236=05k2zcu~glam]dvjswWnzbcRgasu-dtliXgV>9S`{w0121<34d3ybrdmnl^eqkpvXoycdSd`|t.esmjYhW=9Taxv?010;27e?03:56f=w`pybolbPgsmvtZawafUbb~z gqkl[jY3=Vg~t=>?2941g>voqzchmaQhrnws[bvngVcey!hphm\kZ21Wds<=>=870`?un~{`ij`Ri}otr\cuohW`dxx"iin]l[11Xe|r;<=<763`8tmtajkgSj|`uq]dtliXagy#j~fo^m\0Zkrp9:;>58=b:rk}vodieUl~b{_frjkZoi{}%l|daPo^7\ip~78983:?l4pi{pmfgkWnxdy}Qhphm\mkus'nzbcRaP6^ov|567:1<9n6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR9Pmtz3454?>;h0|ew|ibco[bth}yUl|daPioqw+bvngVeT4Rczx1236=05j2zcu~glam]dvjswWnzbcRgasu-dtliXgV3Taxv?010;27??13g8tmtajkgSj|`uq]dtliXagy#j~fo^s\4Zhh|9:;=Rlkd50b?un~{`ij`Ri}otr\cuohW`dxx"iin]r[64Xff~;<=?=f:rk}vodieUl~b{_frjkZoi{}%l|daPq^11[kis89::Sojk43g8tmtajkgSj|`uq]dtliXagy#j~fo^vqmvYvW9Uecy>?000e?un~{`ij`Ri}otr\cuohW`dxx"iin]wvluXyV99Sca{012274=w`pybolbPgsmvtZawafUbb~z iorvpZkfxyoyS|Q?_`lg4567;11{dt}fc`n\cwirxVm{ebQfnrv,mkvr|Vgj|}k}_p]3[dhc89:;;R]X0.M03>voqzchmaQhrnws[bvngVcey!fnqww[hgwxlxT}R>Paof3456Xjmn:<>84pi{pmfgkWnxdy}Qhphm\mkus'`d{yyQbaqrfvZwX8Vkeh=>?0^`g`1513ybrdmnl^eqkpvXoycdSd`|t.kmtprXehz{iQ~_1]bja6789Uihi6<2:rk}vodieUl~b{_frjkZoi{}%bb}{{_lcst`tXyV;;Sl`k012377=w`pybolbPgsmvtZawafUbb~z iorvpZkfxyoyS|Q>1^cm`5678:=0|ew|ibco[bth}yUl|daPioqw+lhw}}Ufm}~jr^s\54Yffm:;<=Qmde606>voqzchmaQhrnws[bvngVcey!fnqww[hgwxlxT}R?=_`lg4567;;1{dt}fc`n\cwirxVm{ebQfnrv,mkvr|Vgj|}k}_p]27Zgil9:;<><4pi{pmfgkWnxdy}Qhphm\mkus'`d{yyQbaqrfvZwX9=Ujbi>?0111?un~{`ij`Ri}otr\cuohW`dxx"gaptv\idvwm{UzS<;Paof34564=2zcu~glam]dvjswWnzbcRgasu-jjussWdk{|h|Pq^35[dhc89:;9<9<4:rk}vodieUl~b{_frjkZoi{}%bb}{{_lcst`tXyV;=Sl`k012310503ybrdmnl^eqkpvXoycdSd`|t.kmtprXehz{iQ~_04\ekb789:Tnij;369sl|unkhfTkazp^esmjYnfz~$ec~zt^obtucuWxU::Road1234Zdcl?9<7}fvshabhZaug|zTk}g`_hlpp*oix|~Tal~es]r[41Xign;<=>Pbef774=w`pybolbPgsmvtZawafUbb~z iorvpZkfxyoyS|Q>_`lg4567;;1{dt}fc`n\cwirxVm{ebQfnrv,mkvr|Vgj|}k}_p]12Zgil9:;<><4pi{pmfgkWnxdy}Qhphm\mkus'`d{yyQbaqrfvZwX:>Ujbi>?0111?un~{`ij`Ri}otr\cuohW`dxx"gaptv\idvwm{UzS?6Paof34564:2zcu~glam]dvjswWnzbcRgasu-jjussWdk{|h|Pq^0:[dhc89:;?<5hxqjgdjXo{e~|Riin]jjvr(agz~xRcnpqgq[tY5Whdo<=>?339sl|unkhfTkazp^esmjYnfz~$ec~zt^obtucuWxU8<7:rk}vodieUl~b{_frjkZoi{}%bb}{{_lcst`tXyV99Sl`k0123[gbc<:80|ew|ibco[bth}yUl|daPioqw+lhw}}Ufm}~jr^s\76Yffm:;<==8;qjzwlefdVmycx~Pgqkl[lht|&ce|xzPm`rsawYvW:9Tmcj?012\fab3;81{dt}fc`n\cwirxVm{ebQfnrv,mkvr|Vgj|}k}_p]0[dhc89:;??5hxqjgdjXo{e~|Riin]jjvr(agz~xRcnpqgq[tY38Vkeh=>?0208tmtajkgSj|`uq]dtliXagy#d`uu]neuvbzV{T86~gyrk`eiY`zf{Sj~fo^kmwq)nfyS`opdp\uZ24Whdo<=>?339sl|unkhfTkazp^esmjYnfz~$ec~zt^obtucuWxU?8Road123464<2:rk}vodieUl~b{_frjkZoi{}%bb}{{_lcst`tXyV>?309sl|unkhfTkazp^esmjYnfz~$ec~zt^obtucuWxU?0278tmtajkgSj|`uq]dtliXagy#b{{ptv\idvwm{UzS=Qnne2345769;h0|ew|ibco[bth}yUl|daPioqw+uvtx`dT}R>Pnnv34575k2zcu~glam]dvjswWnzbcRgasu-stvvnfV{T??Qaou23444d3ybrdmnl^eqkpvXoycdSd`|t.rswuoiWxU=8R``t12357e?014;661Pwf]oqq:66:=0|ew|ibco[bth}yUyyijn.pppfirf}UqiaPq^cm`5678V}lSa{{<3<03>voqzchmaQhrnws[wusold$~~zlotlw[cugV{Tmcj?012\sbYk}}682>94pi{pmfgkWnxdy}Q}suefj*tt|je~byQuesm\uZgil9:;258tmtajkgSj|`uq]qwqabf&xxxnaznu]yawiXyVkeh=>?0^ud[iss4?48;6~gyrk`eiY`zf{S}{gdl,vvrdg|dSwk}o^s\ekb789:T{jQcuu>4:7`R>Pnnv34575j2zcu~glam]dvjswWzclaljjluc\mkus'eoT}R``t123566 cqmo[kis89::>?5hxqjgdjXo{e~|R}cou2,wuoXmgUd~=>?03d8tmtajkgSj|`uq]phjr7'zzbSy|nnrdfvvo}mV{Tbbz?0131e>voqzchmaQhrnws[vjh|9%x|dQ{xcnlgnYvWge<=>>209sl|unkhfTkazp^qokq6(|{keRa}012364=w`pybolbPgsmvtZukg}:$xoas^mq4566:j1{dt}fc`n\cwirxVygcy> zrrj+Heh}g~Tob{at5]a`a6492zcu~glam]dvjswWzfdx=!usqk,mbhhzlUxm`~ce^s\jjr789;9o6~gyrk`eiY`zf{S~b`t1-ywuo(zlkoSkh_p]mkq67888j7}fvshabhZaug|zTaa{0.xptl)umhnrS|Qaou23447512zcu~glam]dvjswWzfdx=!usqk`hn)ddbUbSb|?0121`>voqzchmaQhrnws[vjh|9%q}gllj-`hn`fdeUbSb|?0121`>voqzchmaQhrnws[vjh|9%q}gllj-`hntn{lUbSb|?0121`>voqzchmaQhrnws[vjh|9%q}gllj-qqkhnfnUzSb|?0121f>voqzchmaQhrnws[vjh|9%q}gllj-ptlekcVddx=>?1338tmtajkgSj|`uq]phjr6'jzd`R``t123574l5hxqjgdjXo{e~|R}cou3,wuoX|qhgcnePq^llp5679;;0|ew|ibco[bth}yUx`bz>/upbjvYhz9:;?13a8tmtajkgSj|`uq]phjr6'sy{e"Clotlw[firf}>Tnij?309sl|unkhfTkazp^qokq7(rzzb#diaosg\wdkwdlUzSca{01226f=w`pybolbPgsmvtZukg};$v~~f/sgb`ZtboV{Tbbz?0131e>voqzchmaQhrnws[vjh|8%q}g rdcg}ZwXff~;<=?>289sl|unkhfTkazp^qokq7(rzzboae cmi\mZiu89:;>i5hxqjgdjXo{e~|R}cou3,~vvnkea$oaeiamn\mZiu89:;>i5hxqjgdjXo{e~|R}cou3,~vvnkea$oae}irg\mZiu89:;>i5hxqjgdjXo{e~|R}cou3,~vvnkea$~x`aioe\uZiu89:;>o5hxqjgdjXo{e~|R}cou3,~vvnkea$}gllj]mkq6788;n7}fvshabhZaug|zTaa{11-paicd|ln9>6~gyrk`eiY`zf{S~b`t02,w`jbk}ooSd`y309sl|unkhfTkazp^qokq76'Drd~R~`lx34[}iu89:;Sua30?3674=w`pybolbPgsmvtZukg};:#@v`r^rlh|70Wqey<=>?_ym?4;4?;81{dt}fc`n\cwirxVygcy?>/LzlvZvhdp;309sl|unkhfTkazp^qokq76'Drd~R~`lx34[}iu89:;Sua30?575<=w`pybolbPgsmvtZukg};:#C<8189sl|unkhfTkazp^qokq76'G9>=45hxqjgdjXo{e~|R}cou32+K51901{dt}fc`n\cwirxVygcy?>/O1:5<=w`pybolbPgsmvtZukg};:#C:?189sl|unkhfTkazp^qokq76'G>9=45hxqjgdjXo{e~|R}cou32+K>0901{dt}fc`n\cwirxVygcy?>/O:;5<=w`pybolbPgsmvtZukg};:#C661g9sl|unkhfTkazp^qokq76'[oxyaz31?0:?un~{`ij`Ri}otr\wiis98%jyig`_gkmeiYig}:;<<o5hxqjgdjXo{e~|R}cou32+fnffVkf|Rczx1236969:<8j7}fvshabhZaug|zTaa{10-`ldhXidzTaxv?010?4;2512zcu~glam]dvjswWzfdx=<0<1f>voqzchmaQhrnws[vjh|8;$oeoa_`os[hs89:90<0>23`8tmtajkgSj|`uq]phjr69&icmcQnmq]nq}678;6:2?<=9:rk}vodieUl~b{_rnlp47(kakeSlc_lw{45654;49n6~gyrk`eiY`zf{S~b`t03,gmgiWhg{S`{w01218786:;h0|ew|ibco[bth}yUx`bz>1.akekYfeyUfyu>?03>1:74512zcu~glam]dvjswWzfdx=<2<1f>voqzchmaQhrnws[vjh|8;$oeoa_`os[hs89:90>0>23`8tmtajkgSj|`uq]phjr69&icmcQnmq]nq}678;682?<=9:rk}vodieUl~b{_rnlp47(kakeSlc_lw{45654=49n6~gyrk`eiY`zf{S~b`t03,gmgiWhg{S`{w01218186:;h0|ew|ibco[bth}yUx`bz>1.akekYfeyUfyu>?03>7:745=2zcu~glam]dvjswWzfdx=b:rk}vodieUl~b{_rnlp47(mgkThdxPnnkpaZiu89:;=?:4pi{pmfgkWnxdy}Q|lnv25*ciiVnbzRa}01236a=w`pybolbPgsmvtZukg};:#h`y_fgm[fijxVif|Rk~012374=w`pybolbPgsmvtZukg};:#h`y_fgm[fijxVif|Rk~012357)H:o1{dt}fc`n\cwirxVygcy?>/dlu[bciWjef|Rmbp^gr4567:<8m7}fvshabhZaug|zTaa{10-fjsY`mgUhc`~Pclr\at6789>2>k5hxqjgdjXo{e~|R}cou32+`hqWnoeSnabp^antZcv89:;:?<9;qjzwlefdVmycx~Psmmw54)bfUccajPmtz3456502zcu~glam]dvjswWzfdx?110;?un~{`ij`Ri}otr\wiis98%nb{Qgomf\ip~789:?=?64pi{pmfgkWnxdy}Q|lnv25*ci~Vbd`iQbuy234511:11{dt}fc`n\cwirxVygcy?>/dlu[miklVg~t=>?09512>voqzchmaQhrnws[vjh|8;$icxPioa\jjr789;:>;5hxqjgdjXo{e~|R}cou32+`hqW`dhSca{01226711.llmvcXoldToczPaof345668;9;7}fvshabhZaug|zTaa{10-mklubWnoeSn`{_`lg45679=;$C>:4pi{pmfgkWnxdy}Q|lnv25*vummc}oczPclr\at6789U|kRbzt=2=71=w`pybolbPgsmvtZukg};:#}|jdht`jqYdeyUn}=>?0^ud[iss484886~gyrk`eiY`zf{S~b`t03,twccaiexRmbp^gr4567W~mT`xz32?17?un~{`ij`Ri}otr\wiis98%{~hjfvblw[fkwWl{;<=>Pwf]oqq:46:>0|ew|ibco[bth}yUx`bz>1.rqaaoqkg~To`~Pep2345YpoVf~x1:1359sl|unkhfTkazp^qokq76'yxnhdxlnu]`iuYby9:;4:75?0007?un~{`ij`Ri}otr\wiis98%yijQ>1^llp5679;>0|ew|ibco[bth}yUx`bz>1.pfcZ75Wge<=>>259sl|unkhfTkazp^qokq76'{olS<=Pnnv34575;2zcu~glam]dvjswWzfdxvoqzchmaQhrnws[vjh|8;$~hiP3^llp5679;90|ew|ibco[bth}yUx`bz>1.pfcZ2Xff~;<=?=3:rk}vodieUl~b{_rnlp47(zlmT9R``t123575?1318tmtajkgSj|`uq]phjr69&xnkR6Pnnv34575;2zcu~glam]dvjswWzfdx>4pi{pmfgkWnxdy}Q|lnv25*rhflUlicQlolr\5ZejxVoz<=>?359sl|unkhfTkazp^qokq76'}eeiRijn^aliuY6Wjg{Sh?01226*I4:2zcu~glam]dvjswWzfdx??5hxqjgdjXo{e~|R}cou32+qiimVmnbRm`mq]2[fkwWl{;<=>;9228tmtajkgSj|`uq]phjr69&~dbhQheo]`khvX:Vif|Rk~012371=w`pybolbPgsmvtZukg};:#yaae^efjZeheyU9Snc_ds34566:&E8>6~gyrk`eiY`zf{S~b`t03,pjhbWnoeSnabp^0\ghvXmx:;<=<:339sl|unkhfTkazp^qokq76'}eeiRijn^aliuY5Wjg{Sh?0127=66/ummaZabfVida}Q<_bos[`w789::>"A<2:rk}vodieUl~b{_rnlp47(|fdnSjka_bmntZ5XkdzTi|>?010677=w`pybolbPgsmvtZukg};:#yaae^efjZeheyU8Snc_ds345631;k0|ew|ibco[bth}yUx`bz>1.ua[agsWfU>S`{w01236<4e3ybrdmnl^eqkpvX{ee=?06126g=w`pybolbPgsmvtZukg};:#zlPd`v\kZ3Xe|r;<=>8330a?un~{`ij`Ri}otr\wiis98%|nRjnt^m\2Zkrp9:;<:=>2c9sl|unkhfTkazp^qokq76'~hThlzPo^4\ip~789:9:>o5hxqjgdjXo{e~|R}cou32+rdXlh~TcR9Pmtz34560;;897}fvshabhZaug|zTaa{9.jgmvvXign;<=>=5:rk}vodieUl~b{_rnlp<)ol`y{Snc_ds34565?2zcu~glam]dvjswWzfdx4!gdhqs[fkwWl{;<=>>0358tmtajkgSj|`uq]phjr>'anb}Qlmq]fu5678;39>6~gyrk`eiY`zf{S~b`t8-k`luwWge<=>>1c9sl|unkhfTkazp^qokq?(zhgT{h<7;qjzwlefdVmycx~Psmmw=*tbimUnbikk_`lg45679;=0|ew|ibco[bth}yUx`bz6/w]gmvvkipUecy>?00:12>voqzchmaQhrnws[vjh|0%}klQgsxl`[dhc89:;>:5hxqjgdjXo{e~|R}cou;,rbgX`zseoRczx123474d3ybrdmnl^eqkpvX{ee5"xha^t\kc`tm}Uha}Qjq12347cvoqzchmaQhrnws[vjh|0%}klQysxl`[hs89:;=l5hxqjgdjXo{e~|R}cou]bgn:668k0|ew|ibco[bth}yUx`bzPabi?3;413ybrdmnl^eqkpvX{eeSljkrdqp858;:?4T;1<34=[275:?1{dt}fc`n\cwirxVygcyQndepfwv:7658=2R9>3348tmtajkgSj|`uq]phjrXimnyi~}30?>12;Y09=8=7}fvshabhZaug|zTaa{_`fgv`ut4947>;0P70712>voqzchmaQhrnws[vjh|Vkohk|s=2=8709W>;=>;5hxqjgdjXo{e~|R}cou]b`atb{z6;21<9>^523707U<=5<9;qjzwlefdVmycx~Psmmw[dbczlyx0=0327<\34?5>2zcu~glam]dvjswWzfdxRokdsgpw9694;<5S:=05:Z15:;<0|ew|ibco[bth}yUx`bzPaefqavu;8769:3Q82206?un~{`ij`Ri}otr\wiisWhno~h}|<17}fvshabhZaug|zTaa{_`fgv`ut4947>;0P7606?un~{`ij`Ri}otr\wiisWhno~h}|<17}fvshabhZaug|zTaa{_`fgv`ut4947>;0P7807?un~{`ij`Ri}otr\wiisWhno~h}|<112;Y>988=7}fvshabhZaug|zTaa{_`fgv`ut4947>;0P90012>voqzchmaQhrnws[vjh|Vkohk|s=2=8709W0;8>;5hxqjgdjXo{e~|R}cou]b`atb{z6;21<9>^;20707U2=8<9;qjzwlefdVmycx~Psmmw[dbczlyx0=0327<\=405>2zcu~glam]dvjswWzfdxRokdsgpw9694;<5S4?8279sl|unkhfTkazp^qokqYflmxn~2?>=05:Z?60;<0|ew|ibco[bth}yUx`bzPaefqavu;8769:3Q61806?un~{`ij`Ri}otr\wiisWhno~h}|<1;0P93212>voqzchmaQhrnws[vjh|Vkohk|s=2=8709W089>;5hxqjgdjXo{e~|R}cou]b`atb{z6;21<9>^;17737U2??;4pi{pmfgkWnxdy}Q|lnv\eabumzy7<32=6?]:0737U29?;4pi{pmfgkWnxdy}Q|lnv\eabumzy7<32=6?]:2737U2;?;4pi{pmfgkWnxdy}Q|lnv\eabumzy7<32=6?]:<737U250:4ga:rk}vodieUl~b{_rnlpZe~k5=5=k5hxqjgdjXo{e~|R}cou]qadb;;76983?i;qjzwlefdVmycx~Psmmw[wcfl5950?;11g9sl|unkhfTkazp^qokqYumhn7?32=6?3e?un~{`ij`Ri}otr\wiisW{ojh1=1<35=5c=w`pybolbPgsmvtZukg}Uyilj33?>1<;7a3ybrdmnl^eqkpvX{eeSknd=1=87?99o1{dt}fc`n\cwirxVygcyQ}e`f?7;:487;m7}fvshabhZaug|zTaa{_sgb`9594:;5=85hxqjgdjXo{e~|R}vsbnh01=w`pybolbPgsmvtZrnelxxSd`|t.vji`t7W`dxx"ClolrbvZiqnVif|Rk~0123[iss494?86~gyrk`eiY`zf{Sygbesq\mkus'}cfi>Pioqw+HeheykySbxi_bos[`w789:T`xz31?67?un~{`ij`Ri}otr\plkbzzUbb~z thofv5Ynfz~$Anabp`p\ks`XkdzTi|>?01]oqq:56=>0|ew|ibco[bth}yUe`k}s^kmwq)sadoy?_mww8183<2zcu~glam]dvjswW}cfi}Pioqw+qojm{:Tec}{/LaliuguWf|mSnc_ds3456Xd|~793:;;qjzwlefdVmycx~PthofvvYnfz~$xdcjr1]jjvr(Ejef|l|Powd\ghvXmx:;<=Qcuu>5:12l5hxqjgdjXo{e~|Rzfmdpp[lht|&~bah|?_hlpp*H6:;30|ew|ibco[bth}yUe`k}s^kmwq)sadoyPioqw+qkuzVif|R``t123561<7:rk}vodieUl~b{_uknawuXagy#ygbes2\mkus'}gy~Rmat^cm`5679:=0|ew|ibco[bth}yUe`k}s^kmwq)sadoyvoqzchmaQhrnws[qojm{yTec}{/uknaw6Xagy#yc}r^ampZhh|9:;=;=8;qjzwlefdVmycx~PthofvvYnfz~$xdcjr1]jjvr(|dxySdka_`lg4567;k1{dt}fc`n\cwirxV~bah||_hlpp*rnelx;Sd`|t.vnvwYnf}xTbbz?013224233ybrdmnl^eqkpvX|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzk6;2RmbpikdlZhh|9:;=9;4pi{pmfgkWnxdy}Q{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}b=2=[fkw``mcSca{0122515Pioqw+qkuzVz|al30?]fjZhh|9:;=?;4pi{pmfgkWnxdy}Q{ilgqwZoi{}%e`k}1^azg12_hlpp*Kdgdzj~Rayf^antZcv89:;Sa{{<02=00=w`pybolbPgsmvtZrnelxxSd`|t.vji`t6W`dxx"ClolrbvZiqnVif|Rk~0123[iss48;5895hxqjgdjXo{e~|Rzfmdpp[lht|&~bah|>_hlpp*Kdgdzj~Rayf^antZcv89:;Sa{{<0<70>voqzchmaQhrnws[qojm{yTec}{/uknaw7Xagy#@m`mqcq[jpaWjg{Sh?012\hpr;:7>?7}fvshabhZaug|zTxdcjrr]jjvr(|`gn~568tmtajkgSj|`uq]wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]lrcYdeyUn}=>?0^nvp929<=1{dt}fc`n\cwirxV~bah||_hlpp*rnelx:Sd`|t.O`khvfzVe}jRmbp^gr4567We080;4:rk}vodieUl~b{_uknawuXagy#ygbes3\mkus'Dida}o}_nte[fkwWl{;<=>Pltv?2;233ybrdmnl^eqkpvX|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXglTo`~Pep2345Yk}}6<29:4pi{pmfgkWnxdy}Q{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQ`vg]`iuYby9:;?0258tmtajkgSj|`uq]wmhcu{Vcey!{ilgq5Zoi{}%a|Pclr\jjr789;8;6~gyrk`eiY`zf{Sygbesq\mkus'}cfi?Pioqw+qkuzViexRoad123461<8:rk}vodieUl~b{_uknawuXagy#ygbes3\mkus'}gy~Rgats]nq}6789927}fvshabhZaug|zTxdcjrr]jjvr(|`gn~?1^QT4*I3;2zcu~glam]dvjswW}cfi}Pioqw+qojm{;Tec}{/uoqvZvpe{6;2RmbpikdlZhh|9:;=9<4pi{pmfgkWnxdy}Q{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}<1<\ghvkg~Uecy>?001f?un~{`ij`Ri}otr\plkbzzUbb~z thofv4Ynfz~$x`|}_qunv969WldTbbz?01310>voqzchmaQhrnws[qojm{yTec}{/uknaw7Xl8o7}fvshabhZaug|zTyl|{_hlpp*bn~`nn~R|w_p]mkq6788837}fvshabhZaug|zTyl|{_hlpp*bumhnrS|Q`r12347g;11627a=w`pybolbPgsmvtZsfz}Ubb~z fhdl[lht|hdhi"hzlm]u[fkwWl{;<=>;11617g=w`pybolbPgsmvtZsfz}Ubb~z fhdl[lht|hdhi"hzlm]u[fkwWl{;<=>;691b?un~{`ij`Ri}otr\qdtsW`dxx"hffn]jjvrffjo$jxbc_w]`iuYby9:;<97<5:rk}vodieUl~b{_tcqpZoi{}%mekaPioqwekeb'{njhi|Paof34565<2zcu~glam]dvjswW|kyxRgasu-q|Zoi{}%E<<<;;qjzwlefdVmycx~Pu`pw[lht|&xsSd`|t.L25753ybrdmnl^eqkpvX}hxSd`|t.p{[lht|&hjyiijn.ampZejxVoz<=>?481:?un~{`ij`Ri}otr\qdtsW`dxx"|w_hlpp*df}mmnb"mat^antZcv89:;:?=8;qjzwlefdVmycx~Pu`pw[lht|&xsSd`|t.`bqaabf&iexRczx1235969;11{dt}fc`n\cwirxVj~yQfnrv,v}Ynfz~$nl{kgdl,gkrXe|r;<=?311<0<>voqzchmaQhrnws[pgu|Vcey!}x^kmwq)ei|nlic!lnu]nq}67886:=3=7;qjzwlefdVmycx~Pu`pw[lht|&xsSd`|t.`bqaabf&iexRczx12359756:20|ew|ibco[bth}yU~mzPioqw+w~Xagy#oozdfgm+fhsWds<=>><01=7==w`pybolbPgsmvtZsfz}Ubb~z ry]jjvr(jhokh` cov\ip~789;7=90<8:rk}vodieUl~b{_tcqpZoi{}%ytRgasu-aepb`mg%hbyQbuy2344:6=79<7}fvshabhZaug|zTyl|{_hlpp*tW`dxx"lnueefj*ei|Vg~t=>?1=3=72=w`pybolbPgsmvtZsfz}Ubb~z ry]jjvr(jhokh` cov\ip~789;7>3=8;qjzwlefdVmycx~Pu`pw[lht|&xsSd`|t.`bqaabf&iexRczx1235959;>1{dt}fc`n\cwirxVj~yQfnrv,v}Ynfz~$nl{kgdl,gkrXe|r;<=?34?14?un~{`ij`Ri}otr\qdtsW`dxx"|w_hlpp*df}mmnb"mat^ov|56795?5?:5hxqjgdjXo{e~|R{nru]jjvr(zqUbb~z b`wgc`h(kg~Taxv?013?2;503ybrdmnl^eqkpvX}hxSd`|t.p{[lht|&hjyiijn.ampZkrp9:;=191369sl|unkhfTkazp^wbvqYnfz~$~uQfnrv,fdscold$oczPmtz3457;079<7}fvshabhZaug|zTyl|{_hlpp*tW`dxx"lnueefj*ei|Vg~t=>?1=;=74=w`pybolbPgsmvtZsfz}Ubb~z ry]jjvr(jho~h}jt^mq4567;?1{dt}fc`n\cwirxVj~yQfnrv,v}Ynfz~$hlzncnwmpZkrp9:;<1>11248tmtajkgSj|`uq]vewrXagy#vPioqw+agsije~byQbuy2345:76;9=7}fvshabhZaug|zTyl|{_hlpp*tW`dxx"jnt`alqkrXe|r;<=>31?01a>voqzchmaQhrnws[pgu|Vcey!}x^kmwq)ci}kdSca{012277=w`pybolbPgsmvtZsfz}Ubb~z ry]jjvr(lh~j~hoky^ov|5678:80|ew|ibco[bth}yU~mzPioqw+w~Xagy#io{asgb`|Yig}:;<<>2208tmtajkgSj|`uq]vewrXagy#vPthofv*ei|Vif|Rk~012360553ybrdmnl^eqkpvX}hxSd`|t.p{[qojm{%hbyQlmq]fu5678=38>6~gyrk`eiY`zf{Sxo}t^kmwq)upV~bah| cov\ghvXmx:;<=8=249sl|unkhfTkazp^wbvqYnfz~$xuQlosg,J476:m1{dt}fc`n\cwirxVj~yQfnrv,p}Ydg{o$eibj_omw4566:o1{dt}fc`n\cwirxVj~yQfnrv,p}Ydg{o$eczw_n]mkq67889:7}fvshabhZaug|zTyl|{_hlpp*rWjeyi"b`aegg[wYj}q:;<===;qjzwlefdVmycx~Pu`pw[lht|&~sSna}e.nleaccW{Uecy>?0031g>voqzchmaQhrnws[pgu|Vcey!{x^alv`)sW{Uecy>?0013?un~{`ij`Ri}otr\qdtsW`dxx"zw_bmqa*re}olT~R``t12357`?010677=w`pybolbPgsmvtZsfz}Ubb~z ty]wmhcu'jdSnc_ds345631:80|ew|ibco[bth}yU~mzPioqw+q~X|`gn~"mat^antZcv89:;:?=?;qjzwlefdVmycx~Pu`pw[lht|&~sSygbes-`jqYj}q:;<<2?>238tmtajkgSj|`uq]vewrXagy#yvPthofv*ei|Vg~t=>?1=33:67?4pi{pmfgkWnxdy}Qzasv\mkus'}rTxdcjr.ampZkrp9:;=1?<>238tmtajkgSj|`uq]vewrXagy#yvPthofv*ei|Vg~t=>?1=37:67?00>7:66228tmtajkgSj|`uq]vewrXagy#yvPthofv*ei|Vg~t=>?1=;=6a=w`pybolbPgsmvtZsfz}Ubb~z ty]wmhcu'jdSca{012150=w`pybolbPgsmvtZp`ijf`?i5hxqjgdjXo{e~|Rtuhll+fium&GjhiQrddq*vvimq~Tmij|uc2344Ydq5>5?i5hxqjgdjXo{e~|Rtuhll+fium&GjhiQrddq*vvimq~Tmij|uc2344Ydq5<5?h5hxqjgdjXo{e~|Rtuhll+fium&Gyc`Q|alroaaBbkfnnhB~loeg\ip~78;>:8>k4pi{pmfgkWnxdy}Quptkmk*ehzl%F~bcPs`osh`bCmjeoiiAcnff[hs898?=874pi{pmfgkWnxdy}Quptkmk*ehzl%oin|Pddal``bHxjeoiRczx12349736:30|ew|ibco[bth}yUq|xgao.alv`)cmjxThhm`ddfLtficmVg~t=>?0=36:6`^QT4*I4n2zcu~glam]dvjswWsz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>323<\WR6(G:l0|ew|ibco[bth}yUq|xgao.alv`)cmjxThhm`ddfLtficmVg~t=>?0=04:ZUP8&E8j6~gyrk`eiY`zf{Sw~ziom,gjtb'moh~Rjjcnff`JvdgmoTaxv?012?758X[^:$C>m4pi{pmfgkWnxdy}Quptkmk*ehzl%oin|Pddal``bHxjeoiRczx1234956689:?k5hxqjgdjXo{e~|Rtuhll+fium&nnoQkebmgaaIwkfnnS`{w01238649WZ];#B=j;qjzwlefdVmycx~Pzqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:36VY\<"A<8:rk}vodieUl~b{_{rvmki(kfxn#iklr^ffgjbblFzhcikPmtz3456;179?7}fvshabhZaug|zTv}{fnn-`kwc(lliySkk{cir`[hs89:;0=0>1278tmtajkgSj|`uq]ytpoig&id~h!kebp\b`rd`yiTaxv?012?55869:?0|ew|ibco[bth}yUq|xgao.alv`)cmjxTjhzlhqa\ip~789:7=<0>1278tmtajkgSj|`uq]ytpoig&id~h!kebp\b`rd`yiTaxv?012?57869:?0|ew|ibco[bth}yUq|xgao.alv`)cmjxTjhzlhqa\ip~789:7=>0>1248tmtajkgSj|`uq]ytpoig&id~h!kebp\b`rd`yiTaxv?012?5683'F9?7}fvshabhZaug|zTv}{fnn-`kwc(lliySkk{cir`[hs89:;0<0>1268tmtajkgSj|`uq]ytpoig&id~h!kebp\b`rd`yiTaxv?012?6;76;=1{dt}fc`n\cwirxVp{yd``/bmqa*bbk{Umiymgpb]nq}6789682?0=6=54553ybrdmnl^eqkpvXrybbb!losg,``euWoooe~l_lw{45674<4886~gyrk`eiY`zf{Sw~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1;11217?un~{`ij`Ri}otr\~usnff%hck ddaq[ccskazhS`{w012380831:80|ew|ibco[bth}yUq|xgao.alv`)cmjxTjhzlhqa\ip~789:7:3=;;qjzwlefdVmycx~Pzqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<7<02637=$C><4pi{pmfgkWnxdy}Quptkmk*ehzl%oin|Pfdv`lueXe|r;<=>37?17?un~{`ij`Ri}otr\~usnff%hck ddaq[ccskazhS`{w01238284>:?0|ew|ibco[bth}yUq|xgao.alv`)cmjxTjhzlhqa\ip~789:7;39 O208tmtajkgSj|`uq]ytpoig&id~h!kebp\b`rd`yiTaxv?012?<;533ybrdmnl^eqkpvXrybbb!losg,``euWoooe~l_lw{45674148:>;4pi{pmfgkWnxdy}Quptkmk*ehzl%oin|Pfdv`lueXe|r;<=>38?5,K6432?6470=w`pybolbPgsmvtZ|w}`dd#na}e.ffgwYsgzYdymj_lw{456748:5?85hxqjgdjXo{e~|Rtuhll+fium&nnoQ{orQlqwebWds<=>?<01=7==w`pybolbPgsmvtZ|w}`dd#na}e.ffgwYsgzYdymj_lw{456748<59"A:4pi{pmfgkWnxdy}Quptkmk*ehzl%oin|PtnqPkptdmVg~t=>?0=3=72=w`pybolbPgsmvtZ|w}`dd#na}e.ffgwYsgzYdymj_lw{4567404:?<voqzchmaQhrnws[vrage$ob|j/dzq*vrf|lUM_@QIFe37)Lh4?2zcu~glam]dvjswWsz~eca cnpf+`~u&z~jxhQISL]EBa73%@d:9R]X02:8tmtajkgSj|`uq]ytpoig&id~h!jxs,ppdrbWOYFSKHk15/Jj43X[^::?>5hxqjgdjXo{e~|Rtuhll+fium&os~#}{aug\BVKXNOn:8 Ga3110?un~{`ij`Ri}otr\~usnff%hck eyp-wqgsmVLXARHId06.Mk24;:1{dt}fc`n\cwirxVp{yd``/bmqa*cz'ymykPFRO\BCb6<$Ce:;=<;qjzwlefdVmycx~Pzqwjjj)dg{o$iu|!sucwaZ@TEVLMh<:"Io4;75=w`pybolbPgsmvtZ|w}`dd#na}e.g{v+usi}oTJ^CPFGf7)Lh482zcu~glam]dvjswWsz~eca cnpf+`~u&z~jxhQISL]EBa3*Ag9;7}fvshabhZaug|zTv}{fnn-`kwc(mqx%yo{e^DPIZ@Al?'Bb><4pi{pmfgkWnxdy}Quptkmk*ehzl%ntQfnHlwawtrx}Ufyu>?000e?un~{`ij`Ri}otr\~usnff%hck eyp\pjuX{h|nSca{01226`=w`pybolbPgsmvtZ|w}`dd#na}e.g{vZqeW~oTaxv?012207c;5hxqjgdjXo{e~|Rtuhll+fium&osyRlzsx6,K72:5hxqjgdjXo{e~|Rtuhll+fium&osyRlzsx]jjs5e3ybrdmnl^eqkpvXrybbb!losg,npkwWhno~h}|_1]bja6789U|kRbzt=2=7g=w`pybolbPgsmvtZ|w}`dd#na}e.hviuYflmxn~Q?_`lg4567W~mT`xz31?1a?un~{`ij`Ri}otr\~usnff%hck jtos[dbczlyxS=Qnne2345YpoVf~x1<13c9sl|unkhfTkazp^xsqlhh'jeyi"dzmq]b`atb{zU;Sl`k0123[raXd|~7?3=m;qjzwlefdVmycx~Pzqwjjj)dg{o$fxc_`fgv`utW9Ujbi>?01]tcZjr|5>5?o5hxqjgdjXo{e~|Rtuhll+fium&`~a}QndepfwvY7Whdo<=>?_ve\hpr;=79i7}fvshabhZaug|zTv}{fnn-`kwc(b|g{Sljkrdqp[5Yffm:;<=Qxg^nvp909;k1{dt}fc`n\cwirxVp{yd``/bmqa*lreyUjhi|jsr]3[dhc89:;SziPltv?3;443ybrdmnl^eqkpvXrybbb!losg,tcsXj|yr?95hxqjgdjXo{e~|Rtuhll+fium&zyik|PoqUjhi@umlpnSb|?01202>voqzchmaQhrnws[vrage$ob|j/qpfbwYhx^cg`K|je{g\kw6789;;?85hxqjgdjXo{e~|Rtuhll+fium&zyik|PoqUjhi@umlpnSb|?012771=w`pybolbPgsmvtZ|w}`dd#na}e.rqactXzlida}{{e^qs[jt789:886~gyrk`eiY`zf{Sw~ziom,gjtb'yxnjQ|pog{pZkrp9:;<1;14116?un~{`ij`Ri}otr\~usnff%hck psgevZuwflrS`{w01238<83989>7}fvshabhZaug|zTv}{fnn-`kwc(x{om~R}ndzw[hs89:;040;130g?un~{`ij`Ri}otr\~usnff%hck psgevZpfd`nTc>?0110?un~{`ij`Ri}otr\~usnff%hck s`osh`bCmjeoiiAcnff8459;>1{dt}fc`n\cwirxVp{yd``/bmqa*ufeyfnhIkloeggKuehllUjbi>?011b?un~{`ij`Ri}otr\~usnff%hck s`osh`bCmjeoiiAcnff[fkwWl{;<=>=e:rk}vodieUl~b{_{rvmki(kfxn#~obpmggPjuTg|xhi1>1379sl|unkhfTkazp^xsqlhh'jeyi"}nmqnf`Qit[fyohQlmq]fu567;;o0|ew|ibco[bth}yUq|xgao.alv`)t`e%bhuQbuy2344:6878n7}fvshabhZaug|zTv}{fnn-`kwc({af$eivPmtz3457;9849i6~gyrk`eiY`zf{Sw~ziom,gjtb'zbg#djw_lw{45664885>h5hxqjgdjXo{e~|Rtuhll+fium&yc`"gkx^ov|56795;82?k4pi{pmfgkWnxdy}Quptkmk*ehzl%xda!fdy]nq}67886:839:l1{dt}fc`n\cwirxVp{yd``/bmqa*uod&cotRczx123597>6;n0|ew|ibco[bth}yUq|xgao.alv`)t`e%bhuQbuy2344:66;o0|ew|ibco[bth}yUq|xgao.alv`)t`e%bhuQbuy2344:5978n7}fvshabhZaug|zTv}{fnn-`kwc({af$eivPmtz3457;:;49i6~gyrk`eiY`zf{Sw~ziom,gjtb'zbg#djw_lw{45664;95>h5hxqjgdjXo{e~|Rtuhll+fium&yc`"gkx^ov|567958?2?k4pi{pmfgkWnxdy}Quptkmk*ehzl%xda!fdy]nq}67886993;0=e:rk}vodieUl~b{_{rvmki(kfxn#~fc/hf{[hs89::0?912d9sl|unkhfTkazp^xsqlhh'jeyi"}gl.kg|Zkrp9:;=1<7>3g8tmtajkgSj|`uq]ytpoig&id~h!|hm-j`}Yj}q:;<<2=9?10?un~{`ij`Ri}otr\~usnff%hck sin,ma~Xe|r;<=?32?]PS4)H:l1{dt}fc`n\cwirxVp{yd``/bmqa*uod&cotRczx12359576;o0|ew|ibco[bth}yUq|xgao.alv`)t`e%bhuQbuy2344:497987}fvshabhZaug|zTv}{fnn-`kwc({af$eivPmtz3457;<7UX[53`8tmtajkgSj|`uq]ytpoig&id~h!|t`ah[dYflmx7>3:=e:rk}vodieUl~b{_{rvmki(kfxn#~zncj]b[dbczVey<=>?2d9sl|unkhfTkazp^xsqlhh'jeyi"}{abi\eZqua}o7?<0>33f8tmtajkgSj|`uq]ytpoig&id~h!|t`ah[dYpz`~n0>?123`8tmtajkgSj|`uq]ytpoig&id~h!|t`ah[gYbfhhgi?><2:rk}vodieUl~b{_{rvmki(kfxn#z?Pnnq\wdpbW9Ufyu>?013077=w`pybolbPgsmvtZ|w}`dd#na}e.u2[kitWzk}iR?Pmtz34566;:80|ew|ibco[bth}yUq|xgao.alv`)p9VddR}nvd]1[hs89:;=>==;qjzwlefdVmycx~Pzqwjjj)dg{o${?00106>voqzchmaQhrnws[vrage$ob|j/v3\jjuX{h|nS9Qbuy234574;;1{dt}fc`n\cwirxVp{yd``/bmqa*q6WgexS~oye^7\ip~789::?><4pi{pmfgkWnxdy}Quptkmk*ehzl%|=R``s^qbr`Y1Wds<=>?1211?un~{`ij`Ri}otr\~usnff%hck w0]mkvYtioT;Rczx1234455m2zcu~glam]dvjswWsz~eca cnpf+r7X|fyTPmtz34544=;l0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR>Pmtz3454398;9j6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P0^ov|567:=;89?h4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^2\ip~7898?=;?=f:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\4Zkrp9:;>9?843f8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ6Xe|r;<=<;23a8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ6Xe|r;<=<:2g9sl|unkhfTkazp^xsqlhh'jeyi"y>_ump[5Yj}q:;240g?un~{`ij`Ri}otr\~usnff%hck w0]wkvY7Wds<=>=800g?un~{`ij`Ri}otr\~usnff%hck w0]wkvY7Wds<=>=880`?un~{`ij`Ri}otr\~usnff%hck w0]wkvY68Vg~t=>?23d8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ77Wds<=>=0.M1b>voqzchmaQhrnws[vrage$ob|j/v3\pjuX99Ufyu>?0332=7c2:m1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS1^ov|567:?>8<6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P10]nq}678;=9#B5;=f:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\57Yj}q:; O3d8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ75Wds<=>=1131a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX9;Ufyu>?03476`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW88Taxv?010467c3^ov|567:?>8<6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P12]nq}678;=9#B5;=f:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\51Yj}q:; O3g8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ73Wds<=>=650f?un~{`ij`Ri}otr\~usnff%hck w0]wkvY6?2601a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX9=Ufyu>?03:76c=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW8?Taxv?0103+J4b3ybrdmnl^eqkpvXrybbb!losg,s4YsgzU:9Rczx1236325m2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT=8Qbuy234715:l1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS<;Pmtz3454?<;l0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR?9_lw{45658&E9i6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P17]nq}678;<<>h5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_04\ip~78983=?h4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^34[hs89:9<"A=e:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\52Yj}q:;_ump[41Xe|r;<=<823g8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ70Wds<=>=850e?un~{`ij`Ri}otr\~usnff%hck w0]wkvY60Vg~t=>?21-L6`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW82Taxv?010507c>8n7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q>9^ov|567:1;9i6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P1^ov|567:8;<>i5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_0]nq}678;9>>i5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_0]nq}678;>9>n5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_0]nq}678;?9h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P1^ov|567:1;9j6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P1^ov|567:08$C?j4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^3\ip~78982:?h4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^03[hs89:9<"A=e:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\65Yj}q:;_ump[76Xe|r;<=<823g8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ47Wds<=>=850e?un~{`ij`Ri}otr\~usnff%hck w0]wkvY59Vg~t=>?21-L6`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW;;Taxv?010507c?Qbuy23476(G;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR<=_lw{4565>=8n7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q=2^ov|567:>89i6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P23]nq}678;2?>n5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_31\ip~78989j6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P22]nq}678;:$C?k4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^00[hs89:9:9:<=e:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\66Yj}q:;_ump[72Xe|r;<=<=f:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\61Yj}q:; O3g8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ43Wds<=>=650f?un~{`ij`Ri}otr\~usnff%hck w0]wkvY5?2601a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX:=Ufyu>?03:76f=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW;?Taxv?0101b>voqzchmaQhrnws[vrage$ob|j/v3\pjuX:?032,K63S`{w0121516X[^:$C?k4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^06[hs89:9:9:<=e:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\60Yj}q:;_ump[70Xe|r;<=<=f:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\63Yj}q:; O3g8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ41Wds<=>=650f?un~{`ij`Ri}otr\~usnff%hck w0]wkvY5>Vg~t=>?2601a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX:?Ufyu>?03:76f=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW;=Taxv?0101a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX:>Ufyu>?03476`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW;=Taxv?010467c5Qbuy234703:l1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS?6Pmtz34540:;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR<7_lw{45650=8h7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q=9^ov|567:;l0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR<6_lw{45658&E9i6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P28]nq}678;h5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_3;\ip~7898<>?k4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^0:[hs89:949<<;359sl|unkhfTkazp^xsqlhh'jeyi"y>_ump[7Yj}q:;Rczx1236635l2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT>Rczx1236145k2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT>Rczx123604c3ybrdmnl^eqkpvXrybbb!losg,s4YsgzU9S`{w0121<44c3ybrdmnl^eqkpvXrybbb!losg,s4YsgzU9S`{w0121<<4d3ybrdmnl^eqkpvXrybbb!losg,s4YsgzU8>Pmtz34540:;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR=?_lw{45650=8h7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q<1^ov|567:;l0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR=>_lw{45658&E9j6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P30]nq}678;<==?k4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^12[hs89:9;856=e:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\7Zkrp9:;><>=2d9sl|unkhfTkazp^xsqlhh'jeyi"y>_ump[6Yj}q:;25]PS5)H:m1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS>Qbuy234752:m1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS>Qbuy234725:j1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS>Qbuy234735l2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT?Rczx1236=75l2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT?Rczx1236=?5m2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT8Rczx1236465:l1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS9Qbuy234775<:>0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR:Pmtz34546:=UX[=!@2e9sl|unkhfTkazp^xsqlhh'jeyi"y>_ump[1Yj}q:;_ump[1Yj}q:;_ump[1Yj}q:;5?=d:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\0Zkrp9:;>57=e:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\1Zkrp9:;><>=2d9sl|unkhfTkazp^xsqlhh'jeyi"y>_ump[0Yj}q:;25]PS5)H:m1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS8Qbuy234752:m1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS8Qbuy234725:j1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS8Qbuy234735l2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT9Rczx1236=75l2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT9Rczx1236=?5j2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT:Rczx12367b;;h0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR9Pmtz34545l2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT;Rczx1236465m2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT;Rczx1236461:l1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS:Qbuy2347738;l0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR9Pmtz34543<&E9h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P7^ov|567:1?9h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P7^ov|567:099n6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P8^ov|567:;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR6Pmtz34547'F8n7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q7_lw{45659839h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P8^ov|567:?>9h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P8^ov|567:>89h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P8^ov|567:1?9n6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P9^ov|567:;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR7Pmtz34547'F8n7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q6_lw{45659839h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P9^ov|567:?>9h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P9^ov|567:>89h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P9^ov|567:1?8=6~gyrk`eiY`zf{Sw~ziom,gjtb'~xdxhlncj]r[hs89:;==;<0:rk}vodieUl~b{_{rvmki(kfxn#z|`td`bgnYvWds<=>?1312?un~{`ij`Ri}otr\~usnff%hck wsmwaggdcV{Taxv?012204563ybrdmnl^eqkpvXrybbb!losg,swismkkhgRPmtz34566189;7}fvshabhZaug|zTv}{fnn-`kwc({eioolk^s\ip~789:99>>4pi{pmfgkWnxdy}Quptkmk*ehzl%|~bzjb`ah[tYj}q:;<==;319sl|unkhfTkazp^xsqlhh'jeyi"y}ougaefmXyVg~t=>?07:1g>voqzchmaQhrnws[vrage$eb!ndep\wdpbWxUecy>?000a?un~{`ij`Ri}otr\~usnff%bc"okds]pescXyVey<=>?279sl|unkhfTkazp^xsqlhh'`e$ecz}_hlpp*H69;=0|ew|ibco[bth}yUq|xgao.kl+lhszVcey!A10312>voqzchmaQhrnws[vrage$eb!fnup\mkus'G;9>;5hxqjgdjXo{e~|Rtuhll+li(ag~ySd`|t.L2773?;4pi{pmfgkWnxdy}Quptkmk*oh'`d~Rgasu-M27394pi{pmfgkWnxdy}Quptkmk*oh'`d~Rgasu-gkZoi|lxyy}zPmtz3457412zcu~glam]dvjswWsz~eca in-jjqtXagy#iaPiovfvwsw|Vg~t=>?1020=>voqzchmaQhrnws[vrage$eb!fnup\mkus'meTeczjrswspZkrp9:;=<;<9:rk}vodieUl~b{_{rvmki(af%bby|Pioqw+aiXag~n~{t^ov|5679;?846~gyrk`eiY`zf{Sw~ziom,mj)nf}xTec}{/em\mkrbz{{xRczx123515>3ybrdmnl^eqkpvXrybbb!fo.kmpwYnfz~$hbQfnugqvpvsWds<=>>461:?un~{`ij`Ri}otr\~usnff%bc"gats]jjvr(lfUbbyk}rtrw[hs89::98?3e9sl|unkhfTkazp^xsqlhh'`e$ecz}_hlpp*oi|{Uoiykltdf\uZ71Wds<=>?439sl|unkhfTkazp^xsqlhh'`e$ecz}_hlpp*oi|{Uoiykltdf\uZ5Xff~;<=?PSV2,K143?13?un~{`ij`Ri}otr\~usnff%bc"gats]jjvr(ag~ySagae=37:66?329sl|unkhfTkazp^xsqlhh'`e$ecz}_hlpp*oumhnrS|Qaou2344563ybrdmnl^eqkpvXrybbb!fo.kmpwYnfz~$al}d_p]mkq67889?7}fvshabhZaug|zTv}{fnn-jk*oi|{Ubb~z wc]jjqgXgVddx=>?1348tmtajkgSj|`uq]ytpoig&cd#daPddtjg`Yfkb827}fvshabhZaug|zTv}{fnn-jk*ohWmo}enkPabi2554?3ybrdmnl^eqkpvXrybbb!fo.kl[acqajoTmne:13:8tmtajkgSj|`uq]ytpoig&cd#daPddtjg`Yfkb??>55hxqjgdjXo{e~|Rtuhll+li(afUoi{gle^c`o244<2zcu~glam]dvjswWsz~eca in-jkZtbimUxiakltdf?4;>1WZ];#B:4pi{pmfgkWnxdy}Quptkmk*oh'`eT~hok_rgoafrbl585;=Q\W1-L71=w`pybolbPgsmvtZ|w}`dd#da in]qadbX{lfnoykk<2<44ZUP8&E9j6~gyrk`eiY`zf{Sw~ziom,mj)ngVxnmiQ|emg`p`b;=783>?h4pi{pmfgkWnxdy}Quptkmk*oh'`eT~hok_rgoafrbl5<5>5<=f:rk}vodieUl~b{_{rvmki(af%bcR|jae]paicd|ln7;3<72358tmtajkgSj|`uq]ytpoig&cd#daPsu`\jjr789;956~gyrk`eiY`zf{Sw~ziom,mj)ngV}nSb|?012\mkp5l2zcu~glam]dvjswWsz~eca in-phjrXkpiTeR?279sl|unkhfTkazp^xsqlhh'z~jofQn_`fgv9776;?0|ew|ibco[bth}yUq|xgao.qwefmXiVkoh27>378tmtajkgSj|`uq]ytpoig&ymnePa^cg`w:>6;<0|ew|ibco[bth}yUq|xgao.qwefmXiV}yeyk30?04?un~{`ij`Ri}otr\~usnff%xxlmd_`]tvlrb48:5>:5hxqjgdjXo{e~|Rtuhll+vrfkbUjSz|ftd>25;403ybrdmnl^eqkpvXrybbb!|t`ah[dYpz`~n0<<1269sl|unkhfTkazp^xsqlhh'z~jofQn_vpjp`:6;78<7}fvshabhZaug|zTv}{fnn-ppdelWhU|~dzj<06=62=w`pybolbPgsmvtZ|w}`dd#~zncj]b[rtn|l6:93<8;qjzwlefdVmycx~Pzqwjjj)t|hi`SlQxrhvf8409:>1{dt}fc`n\cwirxVp{yd``/rvbgnYfW~xbxh2>7?04?un~{`ij`Ri}otr\~usnff%xxlmd_`]tvlrb4825>:5hxqjgdjXo{e~|Rtuhll+vrfkbUjSz|ftd>2=;413ybrdmnl^eqkpvXrybbb!|t`ah[dYpz`~n0<0=7:rk}vodieUl~b{_{rvmki({}khgRoPwskwa9476;=0|ew|ibco[bth}yUq|xgao.qwefmXiV}yeyk320<13>voqzchmaQhrnws[vrage$yolk^c\swosm5892?94pi{pmfgkWnxdy}Quptkmk*usijaTmRy}iug?6685?2zcu~glam]dvjswWsz~eca suc`oZgX{ci1<;>358tmtajkgSj|`uq]ytpoig&ymnePa^uqmqc;:<49;6~gyrk`eiY`zf{Sw~ziom,wqgdcVkT{g{e=05:71:0=7:rk}vodieUl~b{_{rvmki({}khgRoPwskwa94?6;=0|ew|ibco[bth}yUq|xgao.qwefmXiV}yeyk328<12>voqzchmaQhrnws[vrage$yolk^c\swosm585>:5hxqjgdjXo{e~|Rtuhll+vrfkbUjSz|ftd>04;413ybrdmnl^eqkpvXrybbb!|t`ah[dYpz`~n0>0=6:rk}vodieUl~b{_{rvmki({}khgRoPwskwa929:?1{dt}fc`n\cwirxVp{yd``/rvbgnYfW~xbxh2:>348tmtajkgSj|`uq]ytpoig&ymnePa^uqmqc;>78=7}fvshabhZaug|zTv}{fnn-ppdelWhU|~dzj<6<12>voqzchmaQhrnws[vrage$yolk^c\swosm525>;5hxqjgdjXo{e~|Rtuhll+vrfkbUjSz|ftd>::70"]]L04Fa>vnf9:$t`l/gd1f>vnf9;$t`l/078tlh79&yrbn!BsipfcZ`a:820|d`?1.qzjf)J{axnkRhi2.AF5f=wag::#~wac.Oplwc`Wol9#^\C17Gf?uoi88%xucm fg0a?uoi8;%xucm 149smk65'zseo"C|hsgd[c`5911{ec>=/r{mg*Kt`{olSkh=/BG2g>vnf98$t`l/Lqkv`aXno8$__B>6Dg8tlh7:&yrbn!if3`8tlh7;&yrbn!>5:rjj55({pdh#@}grde\bc4602zbb== sxl`+HuozlmTjk< CD3`?uoi8:%xucm MrjqabYan;%X^A?9Ed9smk64'zseo"hi2c9smk63'zseo"?:;qkm41)tqgi$A~f}ef]eb77?3yce<9!|yoa,IvnumnUmj?!LE0a8tlh7<&yrbn!BsipfcZ`a:&YY@<8Je:rjj52({pdh#kh=b:rjj53({pdh#<;4phl31*u~fj%Fe|jg^de64>"MJ1b9smk62'zseo"C|hsgd[c`5'ZXG=;Kj;qkm40)tqgi$jkc:rjj50({pdh#@}grde\bc4([[F::Hk4phl32*u~fj%mj?l4phl33*u~fj%:96~fn15,w|hd'Dyc~hiPfg02<>vnf9=$t`l/Lqkv`aXno8$OH?l;qkm42)tqgi$A~f}ef]eb7)TZE;=Ih5io24+vik&lm>o5io2;+vik&;>7}ga09-p}ke(EzbyijQif33;?uoi81%xucm MrjqabYan;%HILo0|d`>0.qzjf)an;h0|d`>1.qzjf)6=2zbb8:rjj47({pdh#@}grde\bc4(KL;h7}ga10-p}ke(EzbyijQif3-PVI71Ml1{ec?>/r{mg*`a:k1{ec?=/r{mg*723yce=?!|yoa,IvnumnUmj??7;qkm57)tqgi$A~f}ef]eb7)DM8i0|d`>2.qzjf)J{axnkRhi2.QQH40Bm2zbb<< sxl`+c`5j2zbb<= sxl`+43<64phl27*u~fj%Fe|jg^de6*EB9j1{ec?!|yoa,bc4e3yce=9!|yoa,50=wag;?#~wac.Oplwc`Wol9=55io37+vik&Gxdkh_gd1+FC6k2zbb<: sxl`+HuozlmTjk< SSN22@cvnf8?$t`l/Lqkv`aXno8:46~fn07,w|hd'Dyc~hiPfg0,G@7d3yce=8!|yoa,IvnumnUmj?!\RM35A`=wag;>#~wac.de63=wag;=#o5io35+jdrn`<0|d`>7.`8tlh6?&eiykg9;qkm5=)e3yce=5!`btdj2>vnf83$n6~fn0;,kgsaa?1{ec2zbb?= b:rjj75(gkmeo5io05+vik&;>7}ga27-p}ke(EzbyijQif33;?uoi:?%xucm MrjqabYan;%HILo0|d`=8.qzjf)an;h0|d`=9.qzjf)6=2zbb?7 sxl`+HuozlmTjk<>8:rjj7?({pdh#@}grde\bc4(KL;h7}ga28-p}ke(EzbyijQif3-PVI71Ml1{ec<6/r{mg*`a:k1{ec=?/r{mg*723yce?=!|yoa,IvnumnUmj??7;qkm75)tqgi$A~f}ef]eb7)DM8i0|d`<0.qzjf)J{axnkRhi2.QQH40Bm2zbb>> sxl`+c`5j2zbb>? sxl`+43<64phl05*u~fj%Fe|jg^de6*EB9j1{ec=>/r{mg*Kt`{olSkh=/RPO53Cb3yce?< sxl`+HuozlmTjk< SSN22@c"}vnb-eb7dvnf:9$t`l/Lqkv`aXno8:46~fn21,w|hd'Dyc~hiPfg0,G@7d3yce?>!|yoa,IvnumnUmj?!\RM35A`=wag98#~wac.de63=wag9?#o5io17+jdrn`<0|d`<5.`8tlh4=&eiykg9;qkm73)e3yce?;!`btdj2>vnf:=$n6~fn25,kgsaa?1{ec=7/c9smk5?'fh~jd84phl0=*dvnf=:$t`l/Lqkv`aXno8$__B>6Dg8tlh38&yrbn!if3`8tlh39&yrbn!>5:rjj17({pdh#@}grde\bc4602zbb9? sxl`+HuozlmTjk< CD3`?uoi<8%xucm MrjqabYan;%X^A?9Ed9smk26'zseo"hi2c9smk25'zseo"?:;qkm07)tqgi$A~f}ef]eb77?3yce8?!|yoa,IvnumnUmj?!LE0a8tlh3:&yrbn!BsipfcZ`a:&YY@<8Je:rjj14({pdh#kh=b:rjj15({pdh#<;4phl77*u~fj%Fe|jg^de64>"MJ1b9smk24'zseo"C|hsgd[c`5'ZXG=;Kj;qkm06)tqgi$jk?#~wac.Oplwc`Wol9#NK>c:rjj12({pdh#@}grde\bc4([[F::Hk4phl70*u~fj%mj?l4phl71*u~fj%:96~fn57,w|hd'Dyc~hiPfg02<>vnf=?$t`l/Lqkv`aXno8$OH?l;qkm00)tqgi$A~f}ef]eb7)TZE;=Ih5io66+vik&lm>o5io65+vik&;>7}ga47-p}ke(EzbyijQif33;?uoitt|noe#@m`uov\vvrdg|d=9?7;sqwc`h(Eje~byQ}sualqkr6=820~~zheo-Ngjsi|Vxxxnaznu355==u{}mnb"Clotlw[wuskfex<9>8:pppbci'DidyczPrrv`kphs91;37}{gdl,Ifirf}Uyym`uov2=418:pppbci'DidyczPrrv`kphs:9;37}{gdl,Ifirf}Uyym`uov154>7:pppbci'DidyczPrrv`kphs18i0~~zheo-Ngjsi|Vxxxnaznu]`}9699m1yyijn.O`kphsW{yob{at^az84699m1yyijn.O`kphsW{yob{at^az84799m1yyijn.O`kphsW{yob{at^az84499m1yyijn.O`kphsW{yob{at^az84599m1yyijn.O`kphsW{yob{at^az84299m1yyijn.O`kphsW{yob{at^az84399m1yyijn.O`kphsW{yob{at^az84099m1yyijn.O`kphsW{yob{at^az84199m1yyijn.O`kphsW{yob{at^az84>99m1yyijn.O`kphsW{yob{at^az84?99j1yyijn.O`kphsW{yob{at^az8486l2xxxjka/LalqkrXzz~hcx`{_b{?6586l2xxxjka/LalqkrXzz~hcx`{_b{?6486l2xxxjka/LalqkrXzz~hcx`{_b{?6786l2xxxjka/LalqkrXzz~hcx`{_b{?6686l2xxxjka/LalqkrXzz~hcx`{_b{?6186l2xxxjka/LalqkrXzz~hcx`{_b{?6086l2xxxjka/LalqkrXzz~hcx`{_b{?6386l2xxxjka/LalqkrXzz~hcx`{_b{?6286l2xxxjka/LalqkrXzz~hcx`{_b{?6=86l2xxxjka/LalqkrXzz~hcx`{_b{?6<86k2xxxjka/LalqkrXzz~hcx`{_b{?6;7c3{ykh` MbmvjqYu{}idyczPcx>04;7d3{ykh` MbmvjqYu{}idyczPcx>0:4ett|noe#@m`uov\vvrdg|dSnw36?3`?wusold$Anaznu]qwqeh}g~Tot28>0a8vvr`mg%Fob{at^pppfirf}Uhu1611b9qwqabf&Ghcx`{_sqwgjsi|Vir040>d:pppbci'DidyczPrrv`kphsWe0=0>e:pppbci'DidyczPrrv`kphsWe0<>11d9qwqabf&Ghcx`{_sqwgjsi|Vf~x1?>>0g8vvr`mg%Fob{at^pppfirf}Ugyy2>2?3f?wusold$Anaznu]qwqeh}g~T`xz312<2a>tt|noe#@m`uov\vvrdg|dSa{{<06=5`=u{}mnb"Clotlw[wuskfexRbzt=36:4c22;7b3{ykh` MbmvjqYu{}idyczPltv?5286m2xxxjka/LalqkrXzz~hcx`{_mww84>99l1yyijn.O`kphsW{yob{at^nvp97>68n0~~zheo-Ngjsi|Vxxxnaznu]oqq:668o0~~zheo-Ngjsi|Vxxxnaznu]oqq:587;n7}{gdl,Ifirf}Uyym`uov\hpr;:84:i6||tfgm+Heh}g~T~~zlotlw[iss4;85=h5}suefj*Kdg|dS}{cnwmpZjr|588280>e:pppbci'DidyczPrrv`kphsWe0?811d9qwqabf&Ghcx`{_sqwgjsi|Vf~x1<8>0g8vvr`mg%Fob{at^pppfirf}Ugyy2=8?3f?wusold$Anaznu]qwqeh}g~T`xz328<2`>tt|noe#@m`uov\vvrdg|dSa{{<3<2a>tt|noe#@m`uov\vvrdg|dSa{{<22=5`=u{}mnb"Clotlw[wuskfexRbzt=12:4b0:4b7:4b6:4b5:4b4:4b;:4b::4b3:4c24;7b3{ykh` MbmvjqYu{}idyczPxnp?5486m2xxxjka/LalqkrXzz~hcx`{_ymq84499l1yyijn.O`kphsW{yob{at^zlv97468o0~~zheo-Ngjsi|Vxxxnaznu]{kw:6<7;n7}{gdl,Ifirf}Uyym`uov\|jt;9<4:i6||tfgm+Heh}g~T~~zlotlw[}iu48<5=h5}suefj*Kdg|dS}{cnwmpZ~hz5;<2d:pppbci'DidyczPrrv`kphsWqey0<0>e:pppbci'DidyczPrrv`kphsWqey0?>11d9qwqabf&Ghcx`{_sqwgjsi|Vrd~1<>>0g8vvr`mg%Fob{at^pppfirf}Usc2=2?3f?wusold$Anaznu]qwqeh}g~Ttb|322<2a>tt|noe#@m`uov\vvrdg|dSua}<36=5`=u{}mnb"Clotlw[wuskfexRv`r=06:4c12;7b3{ykh` MbmvjqYu{}idyczPxnp?6286m2xxxjka/LalqkrXzz~hcx`{_ymq87>99l1yyijn.O`kphsW{yob{at^zlv94>68n0~~zheo-Ngjsi|Vxxxnaznu]{kw:568o0~~zheo-Ngjsi|Vxxxnaznu]{kw:487;n7}{gdl,Ifirf}Uyym`uov\|jt;;84:h6||tfgm+Heh}g~T~~zlotlw[}iu4:4:h6||tfgm+Heh}g~T~~zlotlw[}iu4=4:h6||tfgm+Heh}g~T~~zlotlw[}iu4<4:h6||tfgm+Heh}g~T~~zlotlw[}iu4?4:h6||tfgm+Heh}g~T~~zlotlw[}iu4>4:h6||tfgm+Heh}g~T~~zlotlw[}iu414:h6||tfgm+Heh}g~T~~zlotlw[}iu404:>6||tfgm+wuskfex1>1129qwqabf&xxxnaznu>24;743{ykh` rrv`kphs48;5=>5}suefj*tt|je~by2>2?30?wusold$~~zlotlw84599:1yyijn.pppfirf}6:83?<;sqwc`h(zz~hcx`{<07=56=u{}mnb"||tbmvjq:6>7;87}{gdl,vvrdg|d0<91129qwqabf&xxxnaznu>2<;743{ykh` rrv`kphs4835=?5}suefj*tt|je~by2>>018vvr`mg%yym`uov?6586;2xxxjka/sqwgjsi|58:2<=4rrvdak)u{}idycz323<27>tt|noe#}{cnwmp9446890~~zheo-qwqeh}g~7>90>3:pppbci'{yob{at=06:45018vvr`mg%yym`uov?6=86;2xxxjka/sqwgjsi|5822<<4rrvdak)u{}idycz32?30?wusold$~~zlotlw86699:1yyijn.pppfirf}68=3?=;sqwc`h(zz~hcx`{<2<26>tt|noe#}{cnwmp9299;1yyijn.pppfirf}6>2<<4rrvdak)u{}idycz36?31?wusold$~~zlotlw8286:2xxxjka/sqwgjsi|525=?5}suefj*tt|je~by26>038vvr`mg%yym`uov\447tt|noe#}{cnwmpZ759;1yyijn.pppfirf}U:?<<4rrvdak)u{}idyczP1531?wusold$~~zlotlw[436:2xxxjka/sqwgjsi|V;==?5}suefj*tt|je~byQ>7008vvr`mg%yym`uov\5=753{ykh` rrv`kphsW83:=6||tfgm+wuskfexR<>2:pppbci'{yob{at^0357=u{}mnb"||tbmvjqY59880~~zheo-qwqeh}g~T>??=;sqwc`h(zz~hcx`{_3126>tt|noe#}{cnwmpZ439;1yyijn.pppfirf}U99<<4rrvdak)u{}idyczP2731?wusold$~~zlotlw[716:2xxxjka/sqwgjsi|V83=?5}suefj*tt|je~byQ=9038vvr`mg%yym`uov\744?>1:pppbci'{yob{at^625>tt|noe#}{cnwmpZ3692xxxjka/sqwgjsi|V<:=6||tfgm+wuskfexR9>1:pppbci'{yob{at^:25>tt|noe#}{cnwmpZ?6?2xxxjka/sqwgjsi|Vddx=>?10:8vvr`mg%yym`uov\jjr789;:=;5}suefj*tt|je~byQuesm\u4c1269qwqabf&xxxnaznu]yawiXyVkeh=>?0^ud[f;978<7}{gdl,vvrdg|dSwk}o^s\ekb789:T{jQly=0=62=u{}mnb"||tbmvjqY}m{eT}Road1234Zq`Wjs7?3<8;sqwc`h(zz~hcx`{_{gqkZwXign;<=>Pwf]`}929:>1yyijn.pppfirf}UqiaPq^cm`5678V}lSnw35?04?wusold$~~zlotlw[cugV{Tmcj?012\sbYdq5<5>:5}suefj*tt|je~byQuesm\uZgil9:;3:8vvr`mg%yym`uov\~`thWxUjbi>?01]tcZjr|5;5>55}suefj*tt|je~byQuesm\uZgil9:;tt|noe#}{cnwmpZ|bzfUzSl`k0123[raXd|~7;3?:;sqwc`h(zz~dyyQ`r12344703{ykh` rrvlqqYhz9:;<d:pppbci'{rTecx>4:pppbci'{rTecx>_HLU[5773zclaljjluc\mkus';30dibaegopdYnfz~$Almlul]pmbkfWekoe?Pcx>3:7g<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;Tot2>0?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6Wjs7=<0=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Ze~4885>l5|ifob``jsiVcey!BabaviZunodkT`lzlh0]`}9746;k0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pcx>20;4f3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Snw314<1e>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vir0<81289pmbkfllfmRgasu-NefereVybk`oPl`v`l4Ydq5;5>45|ifob``jsiVcey!BabaviZunodkT`lzlh0]`}949:01xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd45|ifob``jsiVcey!BabaviZunodkT`lzlh0]`}909:01xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hdl5|ifob``jsiVcey!BabaviZunodkT`lzlh0]oqq:76;h0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pltv?5585j2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rbzt=32:7d<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;T`xz313<1f>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vf~x1?<>3`8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xd|~7=90=b:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Zjr|5;>2?l4sheneack|hUbb~z M`a`qhYtangjSao{ci3\hpr;9?49m6}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg1^nvp979:h1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd1:7g<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;T`xz33?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6We090=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Zjr|5?5>l5|ifob``jsiVcey!BabaviZunodkT`lzlh0]oqq:16;k0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pltv?3;4f3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Sa{{<9<1e>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vf~x1712`9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Yg{6;2?l4sheneack|hUbb~z M`a`qhYtangjSao{ci3\|jt;9949n6}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg1^zlv9766;h0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pxnp?5785j2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rv`r=30:7d<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;Ttb|315<1f>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vrd~1?:>3`8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xpfx7=;0=b:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Z~hz5;<2?o4sheneack|hUbb~z M`a`qhYtangjSao{ci3\|jt;978j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_ymq8785i2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rv`r=1=6d=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`8Usc2;>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xpfx793;:7g<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;Ttb|39?0:?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn5Wjs7<33?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn5Wjs7=90=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak6Ze~48?5>l5|ifob``jsiVcey!BabaviZunodkT`lzlh3]`}9716;30dibaegopdYnfz~$Almlul]pmbkfWekoe2:7?<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska8Tot2=>3;8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm4Xkp682?74sheneack|hUbb~z M`a`qhYtangjSao{ci0\g|:36;30dibaegopdYnfz~$Almlul]pmbkfWekoe6:7?<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska8Tot29>3;8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm4Xkp6<2?74sheneack|hUbb~z M`a`qhYtangjSao{ci0\g|:?6;30dibaegopdYnfz~$Almlul]pmbkfWekoe::7g<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska8T`xz30?0a?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn5We0<>12c9pmbkfllfmRgasu-NefereVybk`oPl`v`l7Yk}}6:=3o5|ifob``jsiVcey!BabaviZunodkT`lzlh3]oqq:6;78i7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf=_mww8429:k1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd?Qcuu>21;4e3zclaljjluc\mkus'DkhoxcPsheneZjf|jb9Sa{{<04=6d=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`;Ugyy2>>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm4Xd|~7>36:7g<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska8T`xz36?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn5We0:0=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak6Zjr|525>l5|ifob``jsiVcey!BabaviZunodkT`lzlh3]oqq:>6;k0dibaegopdYnfz~$Almlul]pmbkfWekoe1?0a?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn5Wqey0<<12c9pmbkfllfmRgasu-NefereVybk`oPl`v`l7Yg{6:?35>o5|ifob``jsiVcey!BabaviZunodkT`lzlh3]{kw:6=78i7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf=_ymq8409:k1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd?Qwos>23;4f3zclaljjluc\mkus'DkhoxcPsheneZjf|jb9Sua}<0<1e>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo:Vrd~1<12`9pmbkfllfmRgasu-NefereVybk`oPl`v`l7Yg{682?o4sheneack|hUbb~z M`a`qhYtangjSao{ci0\|jt;<78j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf=_ymq8085i2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic>Rv`r=4=6d=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`;Usc28>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm4Xpfx7430`8wlajimogxlQfnrv,V`urd}6:<3?>a:qjchgcme~jSd`|t.Pfwpjs48;5=o5|ifob``jsiVcey!]erwop97668;j7~ghm`ffhqgXagy#_k|umv?5786j2ybk`okemvb[lht|&Xnxb{<00=54g<{`mfmikct`]jjvr(Zly~`y2>3?3a?vo`ehnn`yoPioqw+Wct}e~7=>0>1`9pmbkfllfmRgasu-Qavsk|5;?2unodkoiazn_hlpp*Tb{|f0<8110c8wlajimogxlQfnrv,V`urd}6:;3?m;rkdidbbd}kTec}{/Sgpqir;9>4:=45|ifob``jsiVcey!]erwop9799h1xejcnddnweZoi{}%Yi~{ct=3=54?<{`mfmikct`]jjvr(Zly~`y2=>0c8wlajimogxlQfnrv,V`urd}692unodkoiazn_hlpp*Tb{|f090>189pmbkfllfmRgasu-Qavsk|5?5=l5|ifob``jsiVcey!]erwop9399830dibaegopdYnfz~$^h}zlu>5:4g<{`mfmikct`]jjvr(Zly~`y29>03:?vo`ehnn`yoPioqw+Wct}e~7;3?n;rkdidbbd}kTec}{/Sgpqir;?7;:56}fglcgairfW`dxx"\jstnw8=86i2ybk`okemvb[lht|&Xnxb{<9<25<=tangjhhb{a^kmwq)Umzgx1711`9pmbkfllfmRgasu-Qavsk|535=<74sheneack|hUbb~z d`v\u4:768k0dibaegopdYnfz~$hlzPq0>24;7f3zclaljjluc\mkus'mkS|?310<2e>unodkoiazn_hlpp*bf|V{:0<<11`9pmbkfllfmRgasu-geqYv95;82<0<2=>unodkoiazn_hlpp*bf|V{:0?0>9:qjchgcme~jSd`|t.fbpZw64:4:56}fglcgairfW`dxx"jnt^s2818612ybk`okemvb[lht|&njxR><4<2=>unodkoiazn_hlpp*bf|V{:0;0>9:qjchgcme~jSd`|t.fbpZw64>4:56}fglcgairfW`dxx"jnt^s28=8612ybk`okemvb[lht|&njxR><8<2<>unodkoiazn_hlpp*bf|V{:S=?0338wlajimogxlQfnrv,`drXy8U;S`{w012354><{`mfmikct`]jjvr(lh~T}189pmbkfllfmRgasu-geqYv9V;;><5|ifob``jsiVcey!kau]r5Z77Wds<=>?239pmbkfllfmRgasu-geqYv9V;;S`{w012354?<{`mfmikct`]jjvr(lh~T}1338wlajimogxlQfnrv,`drXy8U:=Rczx123474<{`mfmikct`]jjvr(lh~T}1^ov|56788;27~ghm`ffhqgXagy#io{_p3\57463zclaljjluc\mkus'mkS|?P13]nq}6789897~ghm`ffhqgXagy#io{_p3\57Yj}q:;<=?>9:qjchgcme~jSd`|t.fbpZw6W899=6}fglcgairfW`dxx"jnt^s2[45Xe|r;<=>=2:qjchgcme~jSd`|t.fbpZw6W89Taxv?01225<=tangjhhb{a^kmwq)ci}Uz=R?;209pmbkfllfmRgasu-geqYv9V;?S`{w012367=tangjhhb{a^kmwq)ci}Uz=R?;_lw{45679830dibaegopdYnfz~$hlzPq0]2177<{`mfmikct`]jjvr(lh~T}5^ov|5678;80dibaegopdYnfz~$hlzPq0]21Zkrp9:;<<?0338wlajimogxlQfnrv,`drXy8U:S`{w012354><{`mfmikct`]jjvr(lh~T}unodkoiazn_hlpp*bf|V{:S?Qbuy23457602ybk`okemvb[lht|&njxR>_203?vo`ehnn`yoPioqw+agsWx;T?Rczx123477<{`mfmikct`]jjvr(lh~T}_5]nq}6789;:46}fglcgairfW`dxx"jnt^s2[0473zclaljjluc\mkus'mkS|?P5^ov|5678;;0dibaegopdYnfz~$hlzPq0]6[hs89:;=<64sheneack|hUbb~z d`v\u4Y1:91xejcnddnweZoi{}%omyQ~1^4\ip~789:9=6}fglcgairfW`dxx"jnt^s2[3Yj}q:;<=?>8:qjchgcme~jSd`|t.fbpZw6W>8;7~ghm`ffhqgXagy#io{_p3\3Zkrp9:;?10:8wlajimogxlQfnrv,`drXy8U3>=5|ifob``jsiVcey!kau]r5Z>Xe|r;<=>=1:qjchgcme~jSd`|t.fbpZw6W1Ufyu>?0132<>unodkoiazn_hlpp*bf|V{:S4?0338wlajimogxlQfnrv,`drXy8U2S`{w012354?<{`mfmikct`]jjvr(lh~T}?2?>0c8wlajimogxlQfnrv,`drXy;6:<3?n;rkdidbbd}kTec}{/ecw[t4;984:m6}fglcgairfW`dxx"jnt^s184499h1xejcnddnweZoi{}%omyQ~2=30:4g<{`mfmikct`]jjvr(lh~T}?2>4?3b?vo`ehnn`yoPioqw+agsWx87=80>9:qjchgcme~jSd`|t.fbpZw5484:56}fglcgairfW`dxx"jnt^s1878612ybk`okemvb[lht|&njxR=<2<2=>unodkoiazn_hlpp*bf|V{9090>9:qjchgcme~jSd`|t.fbpZw54<4:56}fglcgairfW`dxx"jnt^s1838612ybk`okemvb[lht|&njxR=<6<2=>unodkoiazn_hlpp*bf|V{9050>9:qjchgcme~jSd`|t.fbpZw5404:46}fglcgairfW`dxx"jnt^s1[5473zclaljjluc\mkus'mkS|4sheneack|hUbb~z d`v\u7Y7Wge<=>>209pmbkfllfmRgasu-geqYv:V:Tbbz?01325==tangjhhb{a^kmwq)ci}Uz>R?>9:qjchgcme~jSd`|t.fbpZw5W8:9=6}fglcgairfW`dxx"jnt^s1[46Xe|r;<=>=2:qjchgcme~jSd`|t.fbpZw5W8:Taxv?01225<=tangjhhb{a^kmwq)ci}Uz>R?>209pmbkfllfmRgasu-geqYv:V;:S`{w012367=tangjhhb{a^kmwq)ci}Uz>R?>_lw{45679830dibaegopdYnfz~$hlzPq3]2677<{`mfmikct`]jjvr(lh~T}?Q>2^ov|5678;80dibaegopdYnfz~$hlzPq3]26Zkrp9:;<?0132=>unodkoiazn_hlpp*bf|V{9S<:=1:qjchgcme~jSd`|t.fbpZw5W8>Taxv?01216>unodkoiazn_hlpp*bf|V{9S<:Pmtz34566901xejcnddnweZoi{}%omyQ~2^3664=tangjhhb{a^kmwq)ci}Uz>R?:_lw{4567:;1xejcnddnweZoi{}%omyQ~2^36[hs89:;=?>4sheneack|hUbb~z d`v\u7Y6Wds<=>?209pmbkfllfmRgasu-geqYv:V;Taxv?01225==tangjhhb{a^kmwq)ci}Uz>R<=0:qjchgcme~jSd`|t.fbpZw5W;Ufyu>?0102?vo`ehnn`yoPioqw+agsWx8T>Rczx123447?3zclaljjluc\mkus'mkS|R=Pmtz34566911xejcnddnweZoi{}%omyQ~2^614>unodkoiazn_hlpp*bf|V{9S9Qbuy2345463zclaljjluc\mkus'mkS|<5|ifob``jsiVcey!kau]r6Z1Xe|r;<=>>199pmbkfllfmRgasu-geqYv:V29<6}fglcgairfW`dxx"jnt^s1[=Yj}q:;<=<>;rkdidbbd}kTec}{/ecw[t4X0Vg~t=>?003;?vo`ehnn`yoPioqw+agsWx8T5?>4sheneack|hUbb~z d`v\u7Y>Wds<=>?209pmbkfllfmRgasu-geqYv:V3Taxv?012251=tangjhhb{a^kmwq)kmV{:o6}fglcgairfW`dxx"bj_p]mkq67888;7~ghm`ffhqgXagy#akPq^llp5679VY\=unodkoiazn_hlpp*ucWldT}R>Pnnv34575:2ybk`okemvb[lht|&yoSh`Pq^2\jjr789;:=45|ifob``jsiVcey!|d^gm[tY6911xejcnddnweZoi{}%xhb{{<1<2<>unodkoiazn_hlpp*ucg|~7=3?8;rkdidbbd}kTec}{/rflqqY79>1xejcnddnweZoi{}%xhb{{_03e?vo`ehnn`yoPioqw+vo`ehUgmymg1=2=65=tangjhhb{a^kmwq)tangjSao{ci3?558582ybk`okemvb[lht|&ybk`oPl`v`l4:6978;7~ghm`ffhqgXagy#~ghm`]oeqeo95;92?>4sheneack|hUbb~z sheneZjf|jb:0<=1219pmbkfllfmRgasu-pmbkfWekoe?315<14>unodkoiazn_hlpp*unodkT`lzlh0>21;473zclaljjluc\mkus'zclalQcauak59716;:0dibaegopdYnfz~$diba^nbpfn648=5=k5|ifob``jsiVcey!|ifob[igska;7=3?i;rkdidbbd}kTec}{/rkdidYki}ic=1<11g9pmbkfllfmRgasu-pmbkfWekoe?33?3e?vo`ehnn`yoPioqw+vo`ehUgmymg1=6=5c=tangjhhb{a^kmwq)tangjSao{ci3?1;7a3zclaljjluc\mkus'zclalQcauak59099o1xejcnddnweZoi{}%xejcn_mcwgm7;?7;m7~ghm`ffhqgXagy#~ghm`]oeqeo9525=k5|ifob``jsiVcey!|ifob[igska;753?j;rkdidbbd}kTec}{/rkdidYki}ic=R>>e:qjchgcme~jSd`|t.qjchgXdh~hd1g9pmbkfllfmRgasu-pmbkfWekoe?P113e?vo`ehnn`yoPioqw+vo`ehUgmymg1^325c=tangjhhb{a^kmwq)tangjSao{ci3\577a3zclaljjluc\mkus'zclalQcauak5Z749o1xejcnddnweZoi{}%xejcn_mcwgm7X9=;m7~ghm`ffhqgXagy#~ghm`]oeqeo9V;>=k5|ifob``jsiVcey!|ifob[igska;T=;?i;rkdidbbd}kTec}{/rkdidYki}ic=R?81d9pmbkfllfmRgasu-pmbkfWekoe?P20g8wlajimogxlQfnrv,wlajiVfjxnf>_23f?vo`ehnn`yoPioqw+vo`ehUgmymg1^62a>unodkoiazn_hlpp*unodkT`lzlh0]65`=tangjhhb{a^kmwq)tangjSao{ci3\24c<{`mfmikct`]jjvr({`mfmRbntbj2[27b3zclaljjluc\mkus'zclalQcauak5Z>6m2ybk`okemvb[lht|&ybk`oPl`v`l4Y>9o1xejcnddnweZoi{}%xejcn_mcwgm4;878;7~ghm`ffhqgXagy#~ghm`]oeqeo:5;;2?>4sheneack|hUbb~z sheneZjf|jb90unodkoiazn_hlpp*unodkT`lzlh3>27;473zclaljjluc\mkus'zclalQcauak69736;:0dibaegopdYnfz~$diba^nbpfn548?5>=5|ifob``jsiVcey!|ifob[igska87=;0=0:qjchgcme~jSd`|t.qjchgXdh~hd?2>7?3e?vo`ehnn`yoPioqw+vo`ehUgmymg2=3=5c=tangjhhb{a^kmwq)tangjSao{ci0?6;7a3zclaljjluc\mkus'zclalQcauak69599o1xejcnddnweZoi{}%xejcn_mcwgm4;<7;m7~ghm`ffhqgXagy#~ghm`]oeqeo:5?5=k5|ifob``jsiVcey!|ifob[igska87:3?i;rkdidbbd}kTec}{/rkdidYki}ic>1911g9pmbkfllfmRgasu-pmbkfWekoe<38?3e?vo`ehnn`yoPioqw+vo`ehUgmymg2=;=5`=tangjhhb{a^kmwq)tangjSao{ci0\44c<{`mfmikct`]jjvr({`mfmRbntbj1[47a3zclaljjluc\mkus'zclalQcauak6Z779o1xejcnddnweZoi{}%xejcn_mcwgm4X98;m7~ghm`ffhqgXagy#~ghm`]oeqeo:V;9=k5|ifob``jsiVcey!|ifob[igska8T=>?i;rkdidbbd}kTec}{/rkdidYki}ic>R?;1g9pmbkfllfmRgasu-pmbkfWekoef:qjchgcme~jSd`|t.qzjfYci}Uz=1??>0d8wlajimogxlQfnrv,w|hdWmkS|?310<2b>unodkoiazn_hlpp*u~fjUomyQ~1=31:4`<{`mfmikct`]jjvr({pdhSio{_p3?5686n2ybk`okemvb[lht|&yrbnQkau]r597368l0dibaegopdYnfz~$t`l_ecw[t7;9<4:i6}fglcgairfW`dxx"}vnb]geqYv95;5=h5|ifob``jsiVcey!|yoa\`drXy8692e:qjchgcme~jSd`|t.qzjfYci}Uz=1;11d9pmbkfllfmRgasu-p}keXlh~T}<29>0g8wlajimogxlQfnrv,w|hdWmkS|?37?3f?vo`ehnn`yoPioqw+vikVnjxR><9<2a>unodkoiazn_hlpp*u~fjUomyQ~1=;=5a=tangjhhb{a^kmwq)tqgiThlzPq0]35a=tangjhhb{a^kmwq)tqgiThlzPq0]25`=tangjhhb{a^kmwq)tqgiThlzPq0]244c<{`mfmikct`]jjvr({pdhSio{_p3\547b3zclaljjluc\mkus'zseoRjnt^s2[446m2ybk`okemvb[lht|&yrbnQkau]r5Z749l1xejcnddnweZoi{}%xucmPd`v\u4Y6<8o0dibaegopdYnfz~$t`l_ecw[t7X9<;o7~ghm`ffhqgXagy#~wac^fbpZw6W;;o7~ghm`ffhqgXagy#~wac^fbpZw6W:;o7~ghm`ffhqgXagy#~wac^fbpZw6W=;o7~ghm`ffhqgXagy#~wac^fbpZw6W<;o7~ghm`ffhqgXagy#~wac^fbpZw6W?;o7~ghm`ffhqgXagy#~wac^fbpZw6W>;o7~ghm`ffhqgXagy#~wac^fbpZw6W1;o7~ghm`ffhqgXagy#~wac^fbpZw6W0;n7~ghm`ffhqgXagy#~wac^fbpZw5494:j6}fglcgairfW`dxx"}vnb]geqYv:5;;2f:qjchgcme~jSd`|t.qzjfYci}Uz>1?=>0d8wlajimogxlQfnrv,w|hdWmkS|<312<2b>unodkoiazn_hlpp*u~fjUomyQ~2=37:4`<{`mfmikct`]jjvr({pdhSio{_p0?5086m2ybk`okemvb[lht|&yrbnQkau]r69799l1xejcnddnweZoi{}%xucmPd`v\u7:568o0dibaegopdYnfz~$t`l_ecw[t4;;7;n7~ghm`ffhqgXagy#~wac^fbpZw54=4:i6}fglcgairfW`dxx"}vnb]geqYv:5?5=h5|ifob``jsiVcey!|yoa\`drXy;6=2e:qjchgcme~jSd`|t.qzjfYci}Uz>1711e9pmbkfllfmRgasu-p}keXlh~T}?Q?1e9pmbkfllfmRgasu-p}keXlh~T}?Q>1d9pmbkfllfmRgasu-p}keXlh~T}?Q>00g8wlajimogxlQfnrv,w|hdWmkS|unodkoiazn_hlpp*u~fjUomyQ~2^305`=tangjhhb{a^kmwq)tqgiThlzPq3]204c<{`mfmikct`]jjvr({pdhSio{_p0\507c3zclaljjluc\mkus'zseoRjnt^s1[77c3zclaljjluc\mkus'zseoRjnt^s1[67c3zclaljjluc\mkus'zseoRjnt^s1[17c3zclaljjluc\mkus'zseoRjnt^s1[07c3zclaljjluc\mkus'zseoRjnt^s1[37c3zclaljjluc\mkus'zseoRjnt^s1[27c3zclaljjluc\mkus'zseoRjnt^s1[=7c3zclaljjluc\mkus'zseoRjnt^s1[<0<{ee<"o4smmw4*ewge;97~b`t1-`tjjXff~;<=?>3:qokq6(kyegSca{0122546<{ee<"}i^aooZci9<1x`bz?/rrj[fjlWyxn1>1149phjr7'zzbSnbd_qpfw9799<1x`bz?/rrj[fjlWyxn1<1159phjr7'zzbSnbd_qpfwZ66<2ygcy> sqk\gimXx{oxSukg}:$}gPeo]nq}678>;>7~b`t1-ptlYbfVg~t=>?7030?vjh|9%x|dQjn^mq45679<1x`bz?/rrj[`hXg{:;<=Q=169phjr7'zzbSh`Pos2345YT_8;97~b`t1-ptlYbfhhgiR>2:qokq6({ycTlcrhqf5g=tdf~;#~~f_upbjv`bzzcqiR30?3a?vjh|9%x|dQ{r`lpb`ttasoT}1?11`9phjr7'zzbSy|nnrdfvvo}mV{T<>20310>ukg}:$}gPtscmwccu{`pnS|Qaou2344YT_9;87~b`t1-ptlYspkfdofQ~1c9phjr7'zzbSyvmlnah[tYig}:;<>3:qokq6(|{keRa}0123544<{ee<"z}aoq\kw6788;87~b`t1-wvdhtWfx;<=?>e:qokq6(kUjofQ`189phjr7'~hThlzPo^2\ip~789::=45|lnv3+rdXlh~TcR?Pmtz34566901x`bz?/v`\`drXgV8Taxv?01225<=tdf~;#zlPd`v\kZ5Xe|r;<=>>189phjr7'~hThlzPo^6\ip~789::=45|lnv3+rdXlh~TcR;Pmtz34566901x`bz?/v`\`drXgV>b:qokq6(rzzb#<94smmw4*|tx`%Fob{at^alqkr602ygcy> zrrj+Heh}g~Tob{at03;?vjh|9%q}g MbmvjqYdg|d><64smmw4*|tx`%Fob{at^alqkr4911x`bz?/{qsm*Kdg|dSnaznu62=>ukg}:$v~~f/LalqkrXkfex9?>a:qokq6(rzzb#@m`uov\gjsi|=;:=45|lnv3+uwa&Ghcx`{_bmvjq259m1x`bz?/{qsm*Kdg|dSnaznu6\fab79k1x`bz?/{qsm*Kdg|dSnaznu6\b07?3zfdx=!usqk,Ifirf}Uhcx`{50;8wiis8&px|d!BcnwmpZeh}g~>=<74smmw4*|tx`%Fob{at^alqkr2:8h0aa{0.xptl)JkfexRm`uov6[c3582ygcy> zrrj+Heh}g~Tob{at^zlv969988;7~b`t1-ywuo(Eje~byQlotlw[}iu484:=?>4smmw4*|tx`%Fob{at^alqkrXpfx7>3?>1g9phjr7'sy{e"Clotlw[firf}Usc2<>003?vjh|9%q}g MbmvjqYdg|dSua}<2<2570<{ee<"t|ph-Ngjsi|VidyczPxnp?7;76W@D]S=0>2308wiis8&px|d!BcnwmpZeh}g~Ttb|33?3\b0463zfdx=!usqk,IhsWdexeRczx1235Z4Xn<;n7~b`t1-ywuo(EdsS`a|i^ov|5679V9:i6}cou2,~vvn'Dg~tRc`sh]nq}6788U?i6}cou2,~vvn'G::h6}cou2,~vvn'G=:?6}cou2,~vvn'jf`Sh`>1068wiis8&px|d!llj]fj4769h1x`bz?/{qsm*ekcVoe=<5=>5|lnv3+uwa&idycz35?31?vjh|9%q}g cnwmpZ66:2ygcy> zrrj+firf}U:=?5|lnv3+uwa&idyczP2008wiis8&px|d!lotlw[6753zfdx=!usqk,gjsi|V>:>6}cou2,~vvn'je~byQ:199phjr7'sy{e"m`uov\jjr789;:56}cou2,~vvn'je~byQaou234476i2ygcy> zrrj+firf}Uecy>?00325f=tdf~;#w}i.alqkrXff~;<=?>_g727>ukg}:$v~~f/em\wmoa|8i0aa{0.xptl)cgVycekzPaof345669h1x`bz?/{qsm*o`ffxnS~obpmg\u74<{ee<"t|ph-jckiumVyja}bj_p]nq}6789887~b`t1-ywuo(andd~hQ|alroaZwXe|r;<=>>239phjr7'sy{e"ghnnpf[vgjxeoT}R``t123575<{ee<"t|ph-jckiumVyja}bj_p]mkq6788;986}cou2,~vvn'`meckPs`osh`YvWge<=>>20d8wiis8&px|d!bork23>ukg}:$v~~f/lmpmZkrp9:;=<64smmw4*|tx`%yiljPrde\u969901x`bz?/{qsm*tbimUyijQ~<02=5<=tdf~;#w}i.pfeaYumnUz0 zrrj+wcflVxnkR320<2=>ukg}:$v~~f/sgb`ZtboV{7>?0>9:qokq6(rzzb#knd^pfcZw;::4:56}cou2,~vvn'{ojhR|jg^s?618612ygcy> zrrj+wcflVxnkR324<2=>ukg}:$v~~f/sgb`ZtboV{7>;0>9:qokq6(rzzb#knd^pfcZw;:>4:56}cou2,~vvn'{ojhR|jg^s?6=8612ygcy> zrrj+wcflVxnkR328<2<>ukg}:$v~~f/sgb`ZtboV{7>3?6;rnlp5)}{yc$~hok_sgd[t:487;27~b`t1-ywuo(zlkoSkh_p>05;7?3zfdx=!usqk,v`gcW{olS|2<>0:8wiis8&px|d!}e`f\v`aXy5>5=55|lnv3+uwa&xnmiQ}ef]r808602ygcy> zrrj+wcflVxnkR36?3;?vjh|9%q}g rdcg[wc`Wx6<2<64smmw4*|tx`%yiljPrde\u9>9911x`bz?/{qsm*tbimUyijQ~<8<23>ukg}:$v~~f/sgb`ZtboV{T<<94smmw4*|tx`%yiljPrde\uZ7602ygcy> zrrj+wcflVxnkRP113;?vjh|9%q}g rdcg[wc`WxU:=<64smmw4*|tx`%yiljPrde\uZ75911x`bz?/{qsm*tbimUyijQ~_012<>ukg}:$v~~f/sgb`ZtboV{T=9?7;rnlp5)}{yc$~hok_sgd[tY6=820aa{0.xptl)umhnT~hiPq^355==tdf~;#w}i.pfeaYumnUzS<9>8:qokq6(rzzb#knd^pfcZwX91;37~b`t1-ywuo(zlkoSkh_p]2=41<{ee<"t|ph-qadbXzlmT}R<>8:qokq6(rzzb#knd^pfcZwX:9;37~b`t1-ywuo(zlkoSkh_p]154><{ee<"t|ph-qadbXzlmT}R<=199phjr7'sy{e"|jae]qabYvW;9:46}cou2,~vvn'{ojhR|jg^s\617?3zfdx=!usqk,v`gcW{olS|Q=50:8wiis8&px|d!}e`f\v`aXyV8==55|lnv3+uwa&xnmiQ}ef]r[71602ygcy> zrrj+wcflVxnkRP293;?vjh|9%q}g rdcg[wc`WxU95<94smmw4*|tx`%yiljPrde\uZ5602ygcy> zrrj+wcflVxnkRP313;?vjh|9%q}g rdcg[wc`WxU8=<94smmw4*|tx`%yiljPrde\uZ26?2ygcy> zrrj+wcflVxnkRP5058wiis8&px|d!}e`f\v`aXyV<:;6}cou2,~vvn'{ojhR|jg^s\341<{ee<"t|ph-qadbXzlmT}R6>7:qokq6(rzzb#knd^pfcZwX18n0aa{0.xptl)umhnT~hiPq^llp56798o0aa{0.xptl)umhnT~hiPq^llp56798;97~b`t1-ywuo(zlkouR>a:qokq6(rzzb#kndx]r[hs89:;><5|lnv3+uwa&xnmiwPq^ov|56788UBB[Q?1`9phjr7'sy{e"|jae{\uZhh|9:;= zrrj+rtn|lUyijQ~<02=5d=tdf~;#w}i.uqmqcXzlmT}1?>>0c8wiis8&px|d!xrhvf[wc`Wx6:>3?n;rnlp5)}{yc${g{e^pfcZw;9:4:m6}cou2,~vvn'~xbxhQ}ef]r84299h1x`bz?/{qsm*qua}oT~hiPq=36:4g<{ee<"t|ph-tvlrbW{olS|2>6?3b?vjh|9%q}g wskwaZtboV{7=:0>a:qokq6(rzzb#z|ftd]qabYv4825=l5|lnv3+uwa&}yeykPrde\u97>6830aa{0.xptl)pz`~nSkh_p>2:4g<{ee<"t|ph-tvlrbW{olS|2=0?3b?vjh|9%q}g wskwaZtboV{7><0>a:qokq6(rzzb#z|ftd]qabYv4;85=l5|lnv3+uwa&}yeykPrde\u94468k0aa{0.xptl)pz`~nSkh_p>10;7f3zfdx=!usqk,swosmVxnkR324<2e>ukg}:$v~~f/vpjp`YumnUz0?811`9phjr7'sy{e"y}iug\v`aXy58<2 zrrj+rtn|lUyijQ~<3<2e>ukg}:$v~~f/vpjp`YumnUz0>>11`9phjr7'sy{e"y}iug\v`aXy59:2<74smmw4*|tx`%|~dzj_sgd[t:46830aa{0.xptl)pz`~nSkh_p>7:4?<{ee<"t|ph-tvlrbW{olS|2:>0;8wiis8&px|d!xrhvf[wc`Wx6=2<74smmw4*|tx`%|~dzj_sgd[t:06830aa{0.xptl)pz`~nSkh_p>;:4?<{ee<"t|ph-tvlrbW{olS|26>0:8wiis8&px|d!xrhvf[wc`WxU;=55|lnv3+uwa&}yeykPrde\uZ7612ygcy> zrrj+rtn|lUyijQ~_022=>ukg}:$v~~f/vpjp`YumnUzS9:qokq6(rzzb#z|ftd]qabYvW88:56}cou2,~vvn'~xbxhQ}ef]r[45612ygcy> zrrj+rtn|lUyijQ~_062=>ukg}:$v~~f/vpjp`YumnUzS<;>9:qokq6(rzzb#z|ftd]qabYvW8<:56}cou2,~vvn'~xbxhQ}ef]r[41612ygcy> zrrj+rtn|lUyijQ~_0:2=>ukg}:$v~~f/vpjp`YumnUzS<7>8:qokq6(rzzb#z|ftd]qabYvW;;27~b`t1-ywuo({ciR|jg^s\657>3zfdx=!usqk,swosmVxnkRP203:?vjh|9%q}g wskwaZtboV{T>??6;rnlp5)}{yc${g{e^pfcZwX::;27~b`t1-ywuo({ciR|jg^s\617>3zfdx=!usqk,swosmVxnkRP243:?vjh|9%q}g wskwaZtboV{T>;?6;rnlp5)}{yc${g{e^pfcZwX:>;27~b`t1-ywuo({ciR|jg^s\6=7>3zfdx=!usqk,swosmVxnkRP283;?vjh|9%q}g wskwaZtboV{T?<74smmw4*|tx`%|~dzj_sgd[tY48830aa{0.xptl)pz`~nSkh_p]054><{ee<"t|ph-tvlrbW{olS|Q;199phjr7'sy{e"y}iug\v`aXyV?:46}cou2,~vvn'~xbxhQ}ef]r[37?3zfdx=!usqk,swosmVxnkRP70:8wiis8&px|d!xrhvf[wc`WxU3=55|lnv3+uwa&}yeykPrde\uZ?592ygcy> zrrj+rtn|lUyijQ~_lw{45674949>6}cou2,~vvn'~xbxhQ}ef]r[hs89:;0=0>239phjr7'sy{e"y}iug\v`aXyVg~t=>?0=33:72<{ee<"t|ph-tvlrbW{olS|Qbuy2345:687;:>>5|lnv3+uwa&}yeykPrde\uZkrp9:;<1??>301?vjh|9%q}g wskwaZtboV{Taxv?012?5485;2ygcy> zrrj+rtn|lUyijQ~_lw{456748;5=?<4smmw4*|tx`%|~dzj_sgd[tYj}q:;<=2>2?00?vjh|9%q}g wskwaZtboV{Taxv?012?5786:;1x`bz?/{qsm*qua}oT~hiPq^ov|56785;82?=4smmw4*|tx`%|~dzj_sgd[tYj}q:;<=2>3?316>ukg}:$v~~f/vpjp`YumnUzS`{w01238429::1x`bz?/{qsm*qua}oT~hiPq^ov|56785;?2<<=;rnlp5)}{yc${g{e^pfcZwXe|r;<=>314<17>ukg}:$v~~f/vpjp`YumnUzS`{w012384399;80aa{0.xptl)pz`~nSkh_p]nq}67896::3<<;rnlp5)}{yc${g{e^pfcZwXe|r;<=>317<267=tdf~;#w}i.uqmqcXzlmT}Rczx12349706;90aa{0.xptl)pz`~nSkh_p]nq}67896:;3?=2:qokq6(rzzb#z|ftd]qabYvWds<=>?<0:=66=tdf~;#w}i.uqmqcXzlmT}Rczx123497?68897~b`t1-ywuo({ciR|jg^s\ip~789:7=40=3:qokq6(rzzb#z|ftd]qabYvWds<=>?<0;=577<{ee<"t|ph-tvlrbW{olS|Qbuy2345:66;80aa{0.xptl)pz`~nSkh_p]nq}67896:2<<=;rnlp5)}{yc${g{e^pfcZwXe|r;<=>321<17>ukg}:$v~~f/vpjp`YumnUzS`{w012387699;80aa{0.xptl)pz`~nSkh_p]nq}678969=3<<;rnlp5)}{yc${g{e^pfcZwXe|r;<=>320<267=tdf~;#w}i.uqmqcXzlmT}Rczx12349456;90aa{0.xptl)pz`~nSkh_p]nq}678969>3?=2:qokq6(rzzb#z|ftd]qabYvWds<=>?<31=66=tdf~;#w}i.uqmqcXzlmT}Rczx123494468897~b`t1-ywuo({ciR|jg^s\ip~789:7>90=3:qokq6(rzzb#z|ftd]qabYvWds<=>?<36=574<{ee<"t|ph-tvlrbW{olS|Qbuy2345:5=7887~b`t1-ywuo({ciR|jg^s\ip~789:7>80>239phjr7'sy{e"y}iug\v`aXyVg~t=>?0=05:75<{ee<"t|ph-tvlrbW{olS|Qbuy2345:5>7;9>6}cou2,~vvn'~xbxhQ}ef]r[hs89:;0?91229phjr7'sy{e"y}iug\v`aXyVg~t=>?0=04:4453zfdx=!usqk,swosmVxnkRPmtz3456;:149?6}cou2,~vvn'~xbxhQ}ef]r[hs89:;0?611308wiis8&px|d!xrhvf[wc`WxUfyu>?01>1=;443zfdx=!usqk,swosmVxnkRPmtz3456;:04:><5|lnv3+uwa&}yeykPrde\uZkrp9:;<1<1239phjr7'sy{e"y}iug\v`aXyVg~t=>?0=0=574<{ee<"t|ph-tvlrbW{olS|Qbuy2345:487887~b`t1-ywuo({ciR|jg^s\ip~789:7?=0>239phjr7'sy{e"y}iug\v`aXyVg~t=>?0=12:75<{ee<"t|ph-tvlrbW{olS|Qbuy2345:497;9=6}cou2,~vvn'~xbxhQ}ef]r[hs89:;0>0=2:qokq6(rzzb#z|ftd]qabYvWds<=>?<2<264=tdf~;#w}i.uqmqcXzlmT}Rczx1234929:;1x`bz?/{qsm*qua}oT~hiPq^ov|56785>5=??4smmw4*|tx`%|~dzj_sgd[tYj}q:;<=2:>308wiis8&px|d!xrhvf[wc`WxUfyu>?01>6:4463zfdx=!usqk,swosmVxnkRPmtz3456;>7897~b`t1-ywuo({ciR|jg^s\ip~789:7:3?=1:qokq6(rzzb#z|ftd]qabYvWds<=>?<6<16>ukg}:$v~~f/vpjp`YumnUzS`{w01238286:81x`bz?/{qsm*qua}oT~hiPq^ov|5678525>?5|lnv3+uwa&}yeykPrde\uZkrp9:;<1611338wiis8&px|d!xrhvf[wc`WxUfyu>?01>::74<{ee<"t|ph-tvlrbW{olS|Qbuy2345:>68o0aa{0.xptlekc&;87~b`t1-ywuoddb%h`fQf1c9phjr7'sy{enbd/bnh[lYig}:;<<<=;rnlp5)}{ych`f!llj]j[kis89::=RGAV^22e>ukg}:$v~~fcmi,gimXaVey<=>?1c9phjr7'sy{enbd/bnh[lYhz9:;<?5|lnv3+uwajf`#nbdf`no[lYhz9:;=5|lnv3+uwajf`#nbdrhqf[lYhz9:;?_RU254=tdf~;#w}ibnh+ut(:<1x`bz?/{qsmfjl'yx$Anaznu]`kphsm{Uhu1>1249phjr7'sy{enbd/qp,Ifirf}Uhcx`{es]`}979:11x`bz?/{qsmfjl'yx$Anaznu]`kphsm{Uhu1?1_sv11>ukg}:$v~~fcmi,tw)JkfexRm`uovfvZe~4;4946}cou2,~vvnkea$|!BcnwmpZeh}g~n~Rmv<3<\vq423zfdx=!usqk`hn)wz&Ghcx`{_bmvjqcuWjs7?3<7;rnlp5)}{ych`f!r.O`kphsWje~byk}_b{?7;Yu|;?0aa{0.xptlekc&zy#@m`uov\gjsi|lxTot2;>3:8wiis8&px|dmck.rq+Heh}g~Tob{atdp\g|:36Vx>85|lnv3+uwajf`#}| MbmvjqYdg|diQly=7=6==tdf~;#w}ibnh+ut(Eje~byQlotlwawYdq5?5Sz=5:qokq6(rzzboae ps-Ngjsi|Vidyczjr^az838502ygcy> zrrjgim(x{%Fob{at^alqkrbzVir0;0Pru06?vjh|9%q}gllj-sv*Kdg|dSnaznugq[f;?7837~b`t1-ywuoddb%{~"Clotlw[firf}oySnw37?]qp73<{ee<"t|phaoo*vu'DidyczPcnwmp`tXkp632?64smmw4*|tx`igg"~}/LalqkrXkfexh|Pcx>;:Zts:?1x`bz?/{qsmfjl'yx$Anaznu]`kphsm{Ugyy2?>3a8wiis8&px|dmck.rq+Heh}g~Tob{atdp\hpr;87UBB[Q?279phjr7'sy{enbd/qp,Ifirf}Uhcx`{es]{kw:76;<0aa{0.xptlekc&zy#@m`uov\gjsi|lxTtb|31?05?vjh|9%q}gllj-sv*Kdg|dSnaznugq[}iu4;49:6}cou2,~vvnkea$|!BcnwmpZeh}g~n~Rv`r=1=63=tdf~;#w}ibnh+ut(Eje~byQlotlwawYg{6?2?84smmw4*|tx`igg"~}/LalqkrXkfexh|Pxnp?1;413zfdx=!usqk`hn)wz&Ghcx`{_bmvjqcuWqey0;0=6:qokq6(rzzboae ps-Ngjsi|Vidyczjr^zlv919:?1x`bz?/{qsmfjl'yx$Anaznu]`kphsm{Usc27>348wiis8&px|dmck.rq+Heh}g~Tob{atdp\|jt;17827~b`t1-ywuoddb%{~"Clotlw[firf}oySua}<8<\vq453zfdx=!usqk`hn)wz&GfyuQjvdlw[lY5Wo<9>6}cou2,~vvnkea$|!Bmtz\asci|VcT?Rh:1g9phjr7'sy{enbd/qp,IhsWl|nbyQf_501?vjh|9%q}gllj-sv*Kj}qUnzh`{_h]7[c36n2ygcy> zrrjgim(x{%FaxvPewgmpZoX=;:0aa{0.xptlekc&zy#@czx^guakrXaV?:=k5|lnv3+uwajf`#}| Mlw{[`pbf}UbS;?n;rnlp5)}{ych`f!r.Pfwpjs494:m6}cou2,~vvnkea$|!]erwop9799h1x`bz?/{qsmfjl'yx$^h}zlu>1:4g<{ee<"t|phaoo*vu'[oxyaz33?3b?vjh|9%q}gllj-sv*Tb{|f090>a:qokq6(rzzboae ps-Qavsk|5?5=l5|lnv3+uwajf`#}| Rdqvhq:168k0aa{0.xptlekc&zy#_k|umv?3;7f3zfdx=!usqk`hn)wz&Xnxb{<9<2e>ukg}:$v~~fcmi,tw)Umzgx171189phjr7'sy{enbd/qp,gn77:=Uz=:5|lnv3+uwajf`#}| cj35[t7?3zfdx=!usqk`hn)wz&i`>88Pq048wiis8&px|dmck.rq+fm5Wx;=7~b`t1-ywuoddb%{~"md4^s23>ukg}:$v~~fcmi,tw)dc?>T}<84smmw4*|tx`igg"~}/bi;[t7e3zfdx=!usqk`hn)wz&idyczjr=2=5g=tdf~;#w}ibnh+ut(kfexh|31?3a?vjh|9%q}gllj-sv*eh}g~n~1<11c9phjr7'sy{enbd/qp,gjsi|lx7?3?m;rnlp5)}{ych`f!r.alqkrbz5>5=o5|lnv3+uwajf`#}| cnwmp`t;=7;i7~b`t1-ywuoddb%{~"m`uovfv9099k1x`bz?/{qsmfjl'yx$ob{atdp?3;7e3zfdx=!usqk`hn)wz&idyczjr=:=5g=tdf~;#w}ibnh+ut(kfexh|39?3b?vjh|9%q}gllj-sv*eh}g~n~R>>a:qokq6(rzzboae ps-`kphsm{U:=l5|lnv3+uwajf`#}| cnwmp`tX:8k0aa{0.xptlekc&zy#naznugq[67f3zfdx=!usqk`hn)wz&idyczjr^62e>ukg}:$v~~fcmi,tw)dg|diQ:1`9phjr7'sy{enbd/qp,gjsi|lxT:;j7~b`t1-ywuoddb%{~"m`uovfvZ>6i2ygcy> zrrjgim(x{%hcx`{es]:65=tdf~;#w}ibnh+ut(moexRgPaof3456592ygcy> zrrjgim(x{%nzh`{_h]bja6789;9<6}cou2,~vvnkea$|!jvdlw[lYffm:;;rnlp5)}{ych`f!r.guakrXaVkeh=>?2003?vjh|9%q}gllj-sv*cqmg~TeRoad123077<{ee<"t|phaoo*vu'l|nbyQf_`lg456398i0aa{0.xptlekc&zyi~mnld]fr`hs9k1x`bz?/{qsmfjl'yxnnoce^s?4;7e3zfdx=!usqk`hn)wzlyhmakPq=3=5g=tdf~;#w}ibnh+utb{jkgiR32?3b?vjh|9%q}gllj-sv`udieoT}R>>a:qokq6(rzzboae psgpgdjbWxU:=l5|lnv3+uwajf`#}|jsbcoaZwX:;:0aa{0.xptlekc&zyi~mnld]r[kis89::><5|lnv3+uwajf`#}|jsbcoaZwXff~;<=?>1b9phjr7'sy{enbd/qpfwfgkmVxnhz=4:qokq6(rzzboae psgpgdjbW{oxiyQbuy23444e3zfdx=!usqk`hn)wzlyhmakPrdqfpZkrp9:;=>f:qokq6(rzzboae rtlmmkaXyVey<=>?1068wiis8&px|dmck.qsmfjl9j1x`bz?/{qsmfjl'zzboaePmtz34566l2ygcy> zrrjgim({ych`fQbuy234576k2ygcy> zrrjgim({ych`fQaou23447c3zfdx=!usqk`hn)tx`iggR``t123540<{ee="o4smmw5*ewge;97~b`t0-`tjjXff~;<=?>3:qokq7(kyegSca{0122546<{ee="}i^aooZci9<1x`bz>/rrj[fjlWyxn1>1149phjr6'zzbSnbd_qpfw9799<1x`bz>/rrj[fjlWyxn1<1159phjr6'zzbSnbd_qpfwZ66<2ygcy? sqk\gimXx{oxSukg};$}gPeo]nq}678>;>7~b`t0-ptlYbfVg~t=>?7030?vjh|8%x|dQjn^mq45679<1x`bz>/rrj[`hXg{:;<=Q=169phjr6'zzbSh`Pos2345YT_8;97~b`t0-ptlYbfhhgiR>2:qokq7({ycTlcrhqf5g=tdf~:#~~f_upbjv`bzzcqiR30?3a?vjh|8%x|dQ{r`lpb`ttasoT}1?11`9phjr6'zzbSy|nnrdfvvo}mV{T<>_RU356=tdf~:#~~f_uzahjelWx;i7~b`t0-ptlYspkfdofQ~_omw45669j1x`bz>/rrj[q~edfi`S|Qaou23447e3zfdx2:qokq7(|{keRa}012256=tdf~:#y|nnr]lv56798o0aa{1.ua[delWf;27~b`t0-tfZbf|VeT3zfdx?003:?vjh|8%|nRjnt^m\6Zkrp9:;<3zfdx?003:?vjh|8%|nRjnt^m\2Zkrp9:;<3zfdx?00`8wiis9&px|d!>7:qokq7(rzzb#@m`uov\gjsi|820aa{1.xptl)JkfexRm`uov25==tdf~:#w}i.O`kphsWje~by<>8:qokq7(rzzb#@m`uov\gjsi|:;37~b`t0-ywuo(Eje~byQlotlw04?<{ee="t|ph-Ngjsi|Vidycz;10c8wiis9&px|d!BcnwmpZeh}g~?=/{qsm*Kdg|dSnaznu72=>ukg};$v~~f/LalqkrXkfex8?>9:qokq7(rzzb#@m`uov\gjsi|<8:n6}cou3,~vvn'DidyczPcnwmp0Ya=;:0aa{1.xptl)JkfexRm`uov\|jt;87;:>=5|lnv2+uwa&Ghcx`{_bmvjqYg{6:20:475>2ygcy? zrrj+Heh}g~Tob{at^zlv95998UBB[Q?219phjr6'sy{e"Clotlw[firf}Usc2<>0016>ukg};$v~~f/LalqkrXkfexRv`r=1=5Z`2:81x`bz>/{qsm*Kj}qUfc~gPmtz3457X:Vl>=h5|lnv2+uwa&GfyuQbork\ip~789;T?ukg};$v~~f/bnh[`h698;j7~b`t0-ywuo(keaTic?>2^KMRZ66>2ygcy? zrrj+fjlWld:=Rh:129phjr6'sy{e"m`uov?4;743zfdx5|lnv2+uwa&idycz32?30?vjh|8%q}g cnwmp9599:1x`bz>/{qsm*eh}g~783?<;rnlp4)}{yc$ob{at=7=57=tdf~:#w}i.alqkrX8880aa{1.xptl)dg|dSukg};$v~~f/bmvjqY49;1x`bz>/{qsm*eh}g~T8<<4smmw5*|tx`%hcx`{_43;?vjh|8%q}g cnwmpZhh|9:;=<74smmw5*|tx`%hcx`{_omw456698k0aa{1.xptl)dg|dSca{0122547d3zfdx?10]e145<{ee="t|ph-gkZuoao~:o6}cou3,~vvn'meTegit^cm`56788;j7~b`t0-ywuo(andd~hQ|alroaZw5:2ygcy? zrrj+laig{oTlcld]r[hs89:;>>5|lnv2+uwa&clbb|j_rcnticXyVg~t=>?0001?vjh|8%q}g ifllv`YtidzgiRPnnv34575;2ygcy? zrrj+laig{oTlcld]r[kis89::=?:4smmw5*|tx`%bkca}e^qbiujbWxUecy>?0002b>ukg};$v~~f/lmpm41<{ee="t|ph-nkvoXe|r;<=?>8:qokq7(rzzb#knd^pfcZw;87;27~b`t0-ywuo(zlkoSkh_p>24;7>3zfdx1?3:?vjh|8%q}g rdcg[wc`Wx6:>3?6;rnlp4)}{yc$~hok_sgd[t:6;7;27~b`t0-ywuo(zlkoSkh_p>20;7>3zfdx5?3:?vjh|8%q}g rdcg[wc`Wx6::3?6;rnlp4)}{yc$~hok_sgd[t:6?7;27~b`t0-ywuo(zlkoSkh_p>2<;7>3zfdx9?3;?vjh|8%q}g rdcg[wc`Wx6:2<74smmw5*|tx`%yiljPrde\u9476830aa{1.xptl)umhnT~hiPq=02:4?<{ee="t|ph-qadbXzlmT}1<=>0;8wiis9&px|d!}e`f\v`aXy5882<74smmw5*|tx`%yiljPrde\u9436830aa{1.xptl)umhnT~hiPq=06:4?<{ee="t|ph-qadbXzlmT}1<9>0;8wiis9&px|d!}e`f\v`aXy58<2<74smmw5*|tx`%yiljPrde\u94?6830aa{1.xptl)umhnT~hiPq=0::4><{ee="t|ph-qadbXzlmT}1<1189phjr6'sy{e"|jae]qabYv4::5=45|lnv2+uwa&xnmiQ}ef]r8679911x`bz>/{qsm*tbimUyijQ~<2<2<>ukg};$v~~f/sgb`ZtboV{783?7;rnlp4)}{yc$~hok_sgd[t:26820aa{1.xptl)umhnT~hiPq=4=5==tdf~:#w}i.pfeaYumnUz0:0>8:qokq7(rzzb#knd^pfcZw;07;37~b`t0-ywuo(zlkoSkh_p>::41<{ee="t|ph-qadbXzlmT}R>>7:qokq7(rzzb#knd^pfcZwX9820aa{1.xptl)umhnT~hiPq^335==tdf~:#w}i.pfeaYumnUzS8:qokq7(rzzb#knd^pfcZwX9;;37~b`t0-ywuo(zlkoSkh_p]274><{ee="t|ph-qadbXzlmT}R?;199phjr6'sy{e"|jae]qabYvW8?:46}cou3,~vvn'{ojhR|jg^s\537?3zfdx70:8wiis9&px|d!}e`f\v`aXyV;3=55|lnv2+uwa&xnmiQ}ef]r[4?6?2ygcy? zrrj+wcflVxnkRP20:8wiis9&px|d!}e`f\v`aXyV8;=55|lnv2+uwa&xnmiQ}ef]r[77602ygcy? zrrj+wcflVxnkRP233;?vjh|8%q}g rdcg[wc`WxU9?<64smmw5*|tx`%yiljPrde\uZ43911x`bz>/{qsm*tbimUyijQ~_372<>ukg};$v~~f/sgb`ZtboV{T>;?7;rnlp4)}{yc$~hok_sgd[tY5?820aa{1.xptl)umhnT~hiPq^0;5==tdf~:#w}i.pfeaYumnUzS?7>7:qokq7(rzzb#knd^pfcZwX;820aa{1.xptl)umhnT~hiPq^135==tdf~:#w}i.pfeaYumnUzS>?>7:qokq7(rzzb#knd^pfcZwX<8=0aa{1.xptl)umhnT~hiPq^723>ukg};$v~~f/sgb`ZtboV{T:<94smmw5*|tx`%yiljPrde\uZ16?2ygcy? zrrj+wcflVxnkRP8058wiis9&px|d!}e`f\v`aXyV3:h6}cou3,~vvn'{ojhR|jg^s\jjr789;:i6}cou3,~vvn'{ojhR|jg^s\jjr789;:=?5|lnv2+uwa&xnmiwPq0c8wiis9&px|d!}e`fz[tYj}q:;<=<>;rnlp4)}{yc$~hoky^s\ip~789::SD@Y_13b?vjh|8%q}g rdcg}ZwXff~;<=?>b:qokq7(rzzb#kndx]r[kis89::=24;7f3zfdxukg};$v~~f/vpjp`YumnUz0<<11`9phjr6'sy{e"y}iug\v`aXy5;820c8wiis9&px|d!xrhvf[wc`Wx6:43?n;rnlp4)}{yc${g{e^pfcZw;904:56}cou3,~vvn'~xbxhQ}ef]r8486i2ygcy? zrrj+rtn|lUyijQ~<32=5d=tdf~:#w}i.uqmqcXzlmT}1<>>0c8wiis9&px|d!xrhvf[wc`Wx69>3?n;rnlp4)}{yc${g{e^pfcZw;::4:m6}cou3,~vvn'~xbxhQ}ef]r87299h1x`bz>/{qsm*qua}oT~hiPq=06:4g<{ee="t|ph-tvlrbW{olS|2=6?3b?vjh|8%q}g wskwaZtboV{7>:0>a:qokq7(rzzb#z|ftd]qabYv4;25=l5|lnv2+uwa&}yeykPrde\u94>6830aa{1.xptl)pz`~nSkh_p>1:4g<{ee="t|ph-tvlrbW{olS|2<0?3b?vjh|8%q}g wskwaZtboV{7?<0>9:qokq7(rzzb#z|ftd]qabYv4:4:56}cou3,~vvn'~xbxhQ}ef]r818612ygcy? zrrj+rtn|lUyijQ~<4<2=>ukg};$v~~f/vpjp`YumnUz0;0>9:qokq7(rzzb#z|ftd]qabYv4>4:56}cou3,~vvn'~xbxhQ}ef]r8=8612ygcy? zrrj+rtn|lUyijQ~<8<2<>ukg};$v~~f/vpjp`YumnUzS=?7;rnlp4)}{yc${g{e^pfcZwX9830aa{1.xptl)pz`~nSkh_p]244?<{ee="t|ph-tvlrbW{olS|Q>10;8wiis9&px|d!xrhvf[wc`WxU:><74smmw5*|tx`%|~dzj_sgd[tY6;830aa{1.xptl)pz`~nSkh_p]204?<{ee="t|ph-tvlrbW{olS|Q>50;8wiis9&px|d!xrhvf[wc`WxU::<74smmw5*|tx`%|~dzj_sgd[tY6?830aa{1.xptl)pz`~nSkh_p]2<4?<{ee="t|ph-tvlrbW{olS|Q>90:8wiis9&px|d!xrhvf[wc`WxU9=45|lnv2+uwa&}yeykPrde\uZ47901x`bz>/{qsm*qua}oT~hiPq^025<=tdf~:#w}i.uqmqcXzlmT}R<=189phjr6'sy{e"y}iug\v`aXyV88=45|lnv2+uwa&}yeykPrde\uZ43901x`bz>/{qsm*qua}oT~hiPq^065<=tdf~:#w}i.uqmqcXzlmT}R<9189phjr6'sy{e"y}iug\v`aXyV8<=45|lnv2+uwa&}yeykPrde\uZ4?901x`bz>/{qsm*qua}oT~hiPq^0:5==tdf~:#w}i.uqmqcXzlmT}R=>9:qokq7(rzzb#z|ftd]qabYvW:::56}cou3,~vvn'~xbxhQ}ef]r[67602ygcy? zrrj+rtn|lUyijQ~_53;?vjh|8%q}g wskwaZtboV{T9<64smmw5*|tx`%|~dzj_sgd[tY1911x`bz>/{qsm*qua}oT~hiPq^52<>ukg};$v~~f/vpjp`YumnUzS5?7;rnlp4)}{yc${g{e^pfcZwX1;;0aa{1.xptl)pz`~nSkh_p]nq}67896;2?<4smmw5*|tx`%|~dzj_sgd[tYj}q:;<=2?>001?vjh|8%q}g wskwaZtboV{Taxv?012?5585<2ygcy? zrrj+rtn|lUyijQ~_lw{456748:5=<<<;rnlp4)}{yc${g{e^pfcZwXe|r;<=>311<167=tdf~:#w}i.uqmqcXzlmT}Rczx12349766;90aa{1.xptl)pz`~nSkh_p]nq}67896:=3?=2:qokq7(rzzb#z|ftd]qabYvWds<=>?<00=66=tdf~:#w}i.uqmqcXzlmT}Rczx123497568897~b`t0-ywuo({ciR|jg^s\ip~789:7=>0=3:qokq7(rzzb#z|ftd]qabYvWds<=>?<01=574<{ee="t|ph-tvlrbW{olS|Qbuy2345:6<7887~b`t0-ywuo({ciR|jg^s\ip~789:7=90>239phjr6'sy{e"y}iug\v`aXyVg~t=>?0=36:75<{ee="t|ph-tvlrbW{olS|Qbuy2345:6=7;9>6}cou3,~vvn'~xbxhQ}ef]r[hs89:;0<81229phjr6'sy{e"y}iug\v`aXyVg~t=>?0=35:4453zfdx49?6}cou3,~vvn'~xbxhQ}ef]r[hs89:;0<911308wiis9&px|d!xrhvf[wc`WxUfyu>?01>2<;443zfdx?5|lnv2+uwa&}yeykPrde\uZkrp9:;<1?6>318wiis9&px|d!xrhvf[wc`WxUfyu>?01>2=;7592ygcy? zrrj+rtn|lUyijQ~_lw{45674849>6}cou3,~vvn'~xbxhQ}ef]r[hs89:;0<0>239phjr6'sy{e"y}iug\v`aXyVg~t=>?0=03:75<{ee="t|ph-tvlrbW{olS|Qbuy2345:587;9>6}cou3,~vvn'~xbxhQ}ef]r[hs89:;0??1229phjr6'sy{e"y}iug\v`aXyVg~t=>?0=02:4453zfdx?01>17;443zfdx?5|lnv2+uwa&}yeykPrde\uZkrp9:;<1<;>318wiis9&px|d!xrhvf[wc`WxUfyu>?01>10;75:2ygcy? zrrj+rtn|lUyijQ~_lw{45674;?5>>5|lnv2+uwa&}yeykPrde\uZkrp9:;<1<:>001?vjh|8%q}g wskwaZtboV{Taxv?012?6385;2ygcy? zrrj+rtn|lUyijQ~_lw{45674;<5=?<4smmw5*|tx`%|~dzj_sgd[tYj}q:;<=2=7?00?vjh|8%q}g wskwaZtboV{Taxv?012?6286:;1x`bz>/{qsm*qua}oT~hiPq^ov|56785832?=4smmw5*|tx`%|~dzj_sgd[tYj}q:;<=2=8?316>ukg};$v~~f/vpjp`YumnUzS`{w012387?9::1x`bz>/{qsm*qua}oT~hiPq^ov|56785822<<>;rnlp4)}{yc${g{e^pfcZwXe|r;<=>32?01?vjh|8%q}g wskwaZtboV{Taxv?012?6;75:2ygcy? zrrj+rtn|lUyijQ~_lw{45674::5>>5|lnv2+uwa&}yeykPrde\uZkrp9:;<1=?>001?vjh|8%q}g wskwaZtboV{Taxv?012?7485;2ygcy? zrrj+rtn|lUyijQ~_lw{45674:;5=??4smmw5*|tx`%|~dzj_sgd[tYj}q:;<=2<>308wiis9&px|d!xrhvf[wc`WxUfyu>?01>0:4463zfdx?<4<16>ukg};$v~~f/vpjp`YumnUzS`{w01238086:81x`bz>/{qsm*qua}oT~hiPq^ov|56785<5>?5|lnv2+uwa&}yeykPrde\uZkrp9:;<1811338wiis9&px|d!xrhvf[wc`WxUfyu>?01>4:74<{ee="t|ph-tvlrbW{olS|Qbuy2345:0688:7~b`t0-ywuo({ciR|jg^s\ip~789:743<=;rnlp4)}{yc${g{e^pfcZwXe|r;<=>38?315>ukg};$v~~f/vpjp`YumnUzS`{w01238<85:2ygcy? zrrj+rtn|lUyijQ~_lw{4567404:i6}cou3,~vvnkea$=>5|lnv2+uwajf`#nbd_h3a?vjh|8%q}gllj-`hnYnWge<=>>239phjr6'sy{enbd/bnh[lYig}:;<?013a?vjh|8%q}gllj-`hnYnWfx;<=>>169phjr6'sy{enbd/bnhbdjkW`;n7~b`t0-ywuoddb%h`fhnlm]j[jt789:9<6}cou3,~vvnkea$oaeiamn\mZiu89:;S?<=;rnlp4)}{ych`f!lljdbhiYnWfx;<=>PSV323>ukg};$v~~fcmi,gimuazoTeP2308wiis9&px|dmck.aoowotmVcTc>?01]PS4763zfdx7~b`t0-ywuoddb%{~"Clotlw[firf}oySnw30?06?vjh|8%q}gllj-sv*Kdg|dSnaznugq[f;97837~b`t0-ywuoddb%{~"Clotlw[firf}oySnw31?]qp73<{ee="t|phaoo*vu'DidyczPcnwmp`tXkp692?64smmw5*|tx`igg"~}/LalqkrXkfexh|Pcx>1:Zts:<1x`bz>/{qsmfjl'yx$Anaznu]`kphsm{Uhu1=1299phjr6'sy{enbd/qp,Ifirf}Uhcx`{es]`}959W{~996}cou3,~vvnkea$|!BcnwmpZeh}g~n~Rmv<5<1<>ukg};$v~~fcmi,tw)JkfexRm`uovfvZe~4=4T~y<:;rnlp4)}{ych`f!r.O`kphsWje~byk}_b{?1;4?3zfdx^pw60=tdf~:#w}ibnh+ut(Eje~byQlotlwawYdq5=5>55|lnv2+uwajf`#}| MbmvjqYdg|diQly=5=[wr5=2ygcy? zrrjgim(x{%Fob{at^alqkrbzVir050=8:qokq7(rzzboae ps-Ngjsi|Vidyczjr^az8=8Xz}8=7~b`t0-ywuoddb%{~"Clotlw[firf}oySa{{<1<1g>ukg};$v~~fcmi,tw)JkfexRm`uovfvZjr|5:5SD@Y_105?vjh|8%q}gllj-sv*Kdg|dSnaznugq[}iu4949:6}cou3,~vvnkea$|!BcnwmpZeh}g~n~Rv`r=3=63=tdf~:#w}ibnh+ut(Eje~byQlotlwawYg{692?84smmw5*|tx`igg"~}/LalqkrXkfexh|Pxnp?7;413zfdx/{qsmfjl'yx$Anaznu]`kphsm{Usc29>348wiis9&px|dmck.rq+Heh}g~Tob{atdp\|jt;?78=7~b`t0-ywuoddb%{~"Clotlw[firf}oySua}<9<12>ukg};$v~~fcmi,tw)JkfexRm`uovfvZ~hz535>45|lnv2+uwajf`#}| MbmvjqYdg|diQwos>::Zts:;1x`bz>/{qsmfjl'yx$A`{w_dtfjqYnW;Um:?<4smmw5*|tx`igg"~}/Lov|Zcqmg~TeR=Pf43e?vjh|8%q}gllj-sv*Kj}qUnzh`{_h]767=tdf~:#w}ibnh+ut(EdsShxjnu]j[1Ya=8l0aa{1.xptlekc&zy#@czx^guakrXaV?9<6}cou3,~vvnkea$|!Bmtz\asci|VcT90c8wiis9&px|dmck.rq+Wct}e~793?n;rnlp4)}{ych`f!r.Pfwpjs4?4:m6}cou3,~vvnkea$|!]erwop9199h1x`bz>/{qsmfjl'yx$^h}zlu>;:4g<{ee="t|phaoo*vu'[oxyaz39?3:?vjh|8%q}gllj-sv*el998?S|?8;rnlp4)}{ych`f!r.ah53Yv911x`bz>/{qsmfjl'yx$of<:6^s22>ukg};$v~~fcmi,tw)dc;Uz=;5|lnv2+uwajf`#}| cj6\u41<{ee="t|phaoo*vu'ja=8R>6:qokq7(rzzboae ps-`o=Yv9k1x`bz>/{qsmfjl'yx$ob{atdp?4;7e3zfdx7;i7~b`t0-ywuoddb%{~"m`uovfv9199k1x`bz>/{qsmfjl'yx$ob{atdp?<;7e3zfdx/{qsmfjl'yx$ob{atdp\04g<{ee="t|phaoo*vu'je~byk}_43b?vjh|8%q}gllj-sv*eh}g~n~R8>a:qokq7(rzzboae ps-`kphsm{U<=l5|lnv2+uwajf`#}| cnwmp`tX08k0aa{1.xptlekc&zy#naznugq[<473zfdx4smmw5*|tx`igg"~}/dtfjqYnWhdo<=>=209phjr6'sy{enbd/qp,asci|VcTmcj?010265=tdf~:#w}ibnh+ut(moexRgPaof3452592ygcy? zrrjgim(x{%nzh`{_h]bja678=;:o6}cou3,~vvnkea$|k|c`nf[`pbf};i7~b`t0-ywuoddb%{~h}lamg\u9699k1x`bz>/{qsmfjl'yxnnoce^s?5;7e3zfdx;rnlp4)}{ych`f!rdq`eicXyVddx=>?103`?vjh|8%q}gllj-sv`udieoT~h}jt368wiis9&px|dmck.rqavefdlUyi~k{_lw{4566:k1x`bz>/{qsmfjl'yxnnoce^pfw`rXe|r;<=?>_HLU[5703zfdx?00d8wiis9&px|dmck.pvjkoioV{Tc>?01320>ukg};$v~~fcmi,wuoddb;h7~b`t0-ywuoddb%x|dmck^ov|56788n0aa{1.xptlekc&y{enbd_lw{456798i0aa{1.xptlekc&y{enbd_omw45669m1x`bz>/{qsmfjl'zzboaePnnv34576?2ygcy??/018wiis99%F~lcPcicqvdka3zfdx<> sdnfgqcc9>1x`bz>0.qfh`esmmUjbi>?013a?vjh|8:$hbjcugg[dhc89:;S^Y?129phjr68&yn`hm{ee]jjs733zfdx<> sdnfgqccW`d}=k5|lnv24*qeWhi`Sb94smmw54)6l2ygcy?>/Lc`gpkXi|nbcRcfx^az8586l2ygcy?>/Lc`gpkXi|nbcRcfx^az8486l2ygcy?>/Lc`gpkXi|nbcRcfx^az8786l2ygcy?>/Lc`gpkXi|nbcRcfx^az8686l2ygcy?>/Lc`gpkXi|nbcRcfx^az8186l2ygcy?>/Lc`gpkXi|nbcRcfx^az8086l2ygcy?>/Lc`gpkXi|nbcRcfx^az8386l2ygcy?>/Lc`gpkXi|nbcRcfx^az8286l2ygcy?>/Lc`gpkXi|nbcRcfx^az8=8582ygcy?>/Lc`gpkXi|nbcRcfx^az8=8Xz};n7~b`t03,Ided}dUjyig`_lk{[iss494:i6}cou32+Hgdk|gTmxjfo^oj|Zjr|5;5=h5|lnv25*KfkjfSl{kin]nm}Yk}}692e:qokq76'DkhoxcPatfjkZknpVf~x1;11d9phjr69&Gjon{b_`wgmjYjaqUgyy29>0g8wiis98%Fmnmzm^cv`liXe`rT`xz37?3f?vjh|8;$Almlul]bqaohWdcsSua}<1<2a>ukg};:#@olcto\epbngVgbtRv`r=3=5`=tdf~:="Cncbwn[dscafUfeuQwos>1:4c<{ee=/Lc`gpkXi|nbcRcfx^zlv9399l1x`bz>1.ObgfsjWhoebQbiy]{kw:168o0aa{10-NefereVk~hdaPmhz\|jt;?7;n7~b`t03,Ided}dUjyig`_lk{[}iu414:i6}cou32+Hgdk|gTmxjfo^oj|Z~hz535><5|lnv25*KfkjfSl{kin]nm}Yg{622R|{259phjr69&Gjon{b_dlu[sikWqey0=0>1^KMRZ65j2ygcy?>/LaliuguWld}Sjka_blw[fkwWno;<=>Pcx>3:7e<{ee=ukg};:#@m`mqcq[`hqWnoeSn`{_bos[bc789:Tot2>2?0`?vjh|8;$Anabp`p\akpXoldToczPclr\c`6789Uhu1?<>3a8wiis98%Fobcas]fjsY`mgUhbyQlmq]da5678Vir0<:12b9phjr69&Ghc`~nr^gmrZabfViexRmbp^ef4567Wjs7=80=b:qokq76'Dida}o}_dlu[bciWjdSnc_fg3456Xkp6:2?l4smmw54)Jkfg{mQjnw]dakYdf}Uha}Qhe1234Ze~4;49n6}cou32+HeheykySh`y_fgm[fhsWjg{Sjk?012\g|:46;h0aa{10-Ngjkwi{Unb{Qheo]`jqYdeyUli=>?0^az8185j2ygcy?>/LaliuguWld}Sjka_blw[fkwWno;<=>Pcx>6:7d<{ee=ukg};:#@m`mqcq[`hqWnoeSn`{_bos[bc789:Tot28>3`8wiis98%Fobcas]fjsY`mgUhbyQlmq]da5678Vir050=b:qokq76'Dida}o}_dlu[bciWjdSnc_fg3456Xkp622?m4smmw54)Jkfg{mQjnw]dakYdf}Uha}Qhe1234Zjr|5:5>i5|lnv25*Kdgdzj~Rkav^efjZei|Vif|Rij0123[iss48:5>i5|lnv25*Kdgdzj~Rkav^efjZei|Vif|Rij0123[iss48;5>i5|lnv25*Kdgdzj~Rkav^efjZei|Vif|Rij0123[iss4885>i5|lnv25*Kdgdzj~Rkav^efjZei|Vif|Rij0123[iss4895>i5|lnv25*Kdgdzj~Rkav^efjZei|Vif|Rij0123[iss48>5>i5|lnv25*Kdgdzj~Rkav^efjZei|Vif|Rij0123[iss48?5>n5|lnv25*Kdgdzj~Rkav^efjZei|Vif|Rij0123[iss4849o6}cou32+HeheykySh`y_fgm[fhsWjg{Sjk?012\hpr;:78h7~b`t03,IfijxhxTicxPgdl\gkrXkdzTkh>?01]oqq:46;i0aa{10-Ngjkwi{Unb{Qheo]`jqYdeyUli=>?0^nvp929:j1x`bz>1.O`khvfzVoezRijn^ampZejxVmn<=>?_mww8085k2ygcy?>/LaliuguWld}Sjka_blw[fkwWno;<=>Pltv?2;4d3zfdx4:7e<{ee=ukg};:#@m`mqcq[qiimVmnbRa_0]`iuYk|9:;ukg};:#@m`mqcq[qiimVmnbRa_0]`iuYk|9:;Pcx>2:7b<{ee=Pcx>1:7b<{ee=Pcx>0:7b<{ee=Pcx>7:7b<{ee=Pcx>6:7b<{ee=Pcx>5:7b<{ee=Pcx>4:7b<{ee=Pcx>;:7b<{ee=Pcx>::7c<{ee=Pltv?4;4a3zfdx?_mww8469:o1x`bz>1.O`khvfzV~dbhQheo]ltZ7XkdzT`y>?01]oqq:6978n7~b`t03,IfijxhxTxb`j_fgm[jvX9Vif|Rb{0123[iss4849i6}cou32+HeheykySyaae^efjZiwW8Uha}Qct1234Zjr|585>h5|lnv25*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Yk}}682?k4smmw54)Jkfg{mQ{oog\c`hXgyU:Snc_mv3456Xd|~7833g8wiis98%Fobcas]wkkcXoldTc}Q>_bos[ir789:T`xz38?0f?vjh|8;$Anabp`p\pjhbWnoeSb~P1^antZjs89:;Sa{{<8<1`>ukg};:#@m`mqcq[qiimVmnbRa_3]`iuYk|9:;ukg};:#@m`mqcq[qiimVmnbRa_3]`iuYk|9:;Pcx>2:7b<{ee=Pcx>1:7b<{ee=Pcx>0:7b<{ee=Pcx>7:7b<{ee=Pcx>6:7b<{ee=Pcx>5:7b<{ee=Pcx>4:7b<{ee=Pcx>;:7b<{ee=Pcx>::7c<{ee=Pltv?4;4a3zfdx?_mww8469:o1x`bz>1.O`khvfzV~dbhQheo]ltZ4XkdzT`y>?01]oqq:6978n7~b`t03,IfijxhxTxb`j_fgm[jvX:Vif|Rb{0123[iss4849i6}cou32+HeheykySyaae^efjZiwW;Uha}Qct1234Zjr|585>h5|lnv25*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Yk}}682?k4smmw54)Jkfg{mQ{oog\c`hXgyU9Snc_mv3456Xd|~783Rmbp^nw4567We080=e:qokq76'Dida}o}_ummaZabfVe{S?Qlmq]op5678Vf~x1812d9phjr69&Ghc`~nr^vlj`Y`mgUd|R3g8wiis98%Fobcas]wkkcXoldTc}Q=_bos[ir789:T`xz38?0f?vjh|8;$Anabp`p\pjhbWnoeSb~P2^antZjs89:;Sa{{<8<1`>ukg};:#@m`mqcq[qiimVmnbRa_2]`iuYk|9:;ukg};:#@m`mqcq[qiimVmnbRa_2]`iuYk|9:;Pcx>2:7b<{ee=Pcx>1:7b<{ee=Pcx>0:7b<{ee=Pcx>7:7b<{ee=Pcx>6:7b<{ee=Pcx>5:7b<{ee=Pcx>4:7b<{ee=Pcx>;:7b<{ee=Pcx>::7c<{ee=Pltv?4;4a3zfdx?_mww8469:o1x`bz>1.O`khvfzV~dbhQheo]ltZ5XkdzT`y>?01]oqq:6978n7~b`t03,IfijxhxTxb`j_fgm[jvX;Vif|Rb{0123[iss4849i6}cou32+HeheykySyaae^efjZiwW:Uha}Qct1234Zjr|585>h5|lnv25*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Yk}}682?k4smmw54)Jkfg{mQ{oog\c`hXgyU8Snc_mv3456Xd|~783Qlmq]op5678Vf~x1812d9phjr69&Ghc`~nr^vlj`Y`mgUd|R=Pclr\hq6789Ugyy28>3g8wiis98%Fobcas]wkkcXoldTc}Q<_bos[ir789:T`xz38?0f?vjh|8;$Anabp`p\pjhbWnoeSb~P3^antZjs89:;Sa{{<8<21>ukg};:#@m`uov\gkrXl`|::6}cou32+Heh}g~ToczPdht253=tdf~:="Clotlw[fhsWmc}>?:4smmw54)JkfexRmat^fjrZ~hz5:5=1.O`kphsWld}Sjka_blw[f;9949?6}cou32+Heh}g~TicxPgdl\gkrXkp6:<3Q}t328wiis98%Fob{at^gmrZabfViexRmv<03=66=tdf~:="Clotlw[`hqWnoeSn`{_b{?548Xz}8;7~b`t03,Ifirf}Unb{Qheo]`jqYdq5;92?=4smmw54)JkfexRkav^efjZei|Vir0<<1_sv14>ukg};:#@m`uov\akpXoldToczPcx>27;443zfdx=5|lnv25*Kdg|dSh`y_fgm[fhsWjs7=90=3:qokq76'DidyczPeot\c`hXkg~Tot2>4?]qp4`<{ee=/LalqkrXmg|Tkh`Pcov\g|:66Vx=k5|lnv25*Kdg|dSh`y_fgm[fhsWjs7>3<=;rnlp47(Eje~byQjnw]dakYdf}Uhu1<1_sv2b>ukg};:#@m`uov\akpXoldToczPcx>0:74<{ee=5>?5|lnv25*Kdg|dSh`y_fgm[fhsWjs783Q}t0d8wiis98%Fob{at^gmrZabfViexRmv<4<16>ukg};:#@m`uov\akpXoldToczPcx>6:Zts9o1x`bz>1.O`kphsWld}Sjka_blw[f;>7897~b`t03,Ifirf}Unb{Qheo]`jqYdq5<5Sz>f:qokq76'DidyczPeot\c`hXkg~Tot28>308wiis98%Fob{at^gmrZabfViexRmv<6<\vq7a3zfdx9:;1x`bz>1.O`kphsWld}Sjka_blw[f;07Uyx^pw65=tdf~:="Clotlw[`hqWnoeSn`{_mww8585>2ygcy?>/LalqkrXmg|Tkh`Pcov\hpr;87UBB[Q?219phjr69&Ghcx`{_dlu[bciWjdSua}<1<15>ukg};:#@m`uov\akpXoldToczPxnp?558592ygcy?>/LalqkrXmg|Tkh`Pcov\|jt;9849=6}cou32+Heh}g~TicxPgdl\gkrXpfx7=?0=1:qokq76'DidyczPeot\c`hXkg~Ttb|312<15>ukg};:#@m`uov\akpXoldToczPxnp?518592ygcy?>/LalqkrXmg|Tkh`Pcov\|jt;9<4986}cou32+Heh}g~TicxPgdl\gkrXpfx7=80Pru03?vjh|8;$Anaznu]fjsY`mgUhbyQwos>2:76<{ee=1.O`kphsWld}Sjka_blw[}iu4:49<6}cou32+Heh}g~TicxPgdl\gkrXpfx783328wiis98%Fob{at^gmrZabfViexRv`r=4=65=tdf~:="Clotlw[`hqWnoeSn`{_ymq828582ygcy?>/LalqkrXmg|Tkh`Pcov\|jt;078;7~b`t03,Ifirf}Unb{Qheo]`jqYg{622?o4smmw54)JkfexR``irg\c`hXkg~Ttb|30?32[LHQW98?7~b`t03,Ifirf}Uecd}j_fgm[fhsWqey0<0>1378wiis98%Fob{at^llmvcXoldToczPxnp?6;769;>0aa{10-Ngjsi|Vdde~kPgdl\gkrXpfx7>3?=259phjr69&Ghcx`{_omjw`Y`mgUhbyQwos>0:475<2ygcy?>/LalqkrXffcxiRijn^ampZ~hz5>5=0?3f?vjh|8;$Anaznu]sv`bn~jdSnw310<2a>ukg};:#@m`uov\twccaiexRmv<00=5`=tdf~:="Clotlw[utbl`|hbyQly=30:4c<{ee=20;7b3zfdx/LalqkrXx{ooe{mat^az84099l1x`bz>1.O`kphsWyxnhdxlnu]`}97068o0aa{10-Ngjsi|Vzyiigycov\g|:607;n7~b`t03,Ifirf}U{~hjfvblw[f;904:h6}cou32+Heh}g~T|kkiwampZe~484:i6}cou32+Heh}g~T|kkiwampZe~4;:5=h5|lnv25*Kdg|dS}|jdht`jqYdq58:23?j;rnlp47(Eje~byQrdfjrfhsWjs7>>0>e:qokq76'DidyczPpsggmsei|Vir0?:11d9phjr69&Ghcx`{_qpf`lpdf}Uhu1<:>0g8wiis98%Fob{at^rqaaoqkg~Tot2=6?3f?vjh|8;$Anaznu]sv`bn~jdSnw326<2a>ukg};:#@m`uov\twccaiexRmv<3:=5`=tdf~:="Clotlw[utbl`|hbyQly=0::4b<{ee=1:4c<{ee=04;7c3zfdx/LalqkrXx{ooe{mat^grjZ76m2ygcy?>/LalqkrXx{ooe{mat^grjZ779o1x`bz>1.O`kphsWyxnhdxlnu]fukY688;n7~b`t03,Ifirf}U{~hjfvblw[`wiW8;:j6}cou32+Heh}g~T|kkiwampZcvfV;:<e:qokq76'DidyczPpsggmsei|VozbR?=1g9phjr69&Ghcx`{_qpf`lpdf}Un}cQ>203f?vjh|8;$Anaznu]sv`bn~jdSha_012b>ukg};:#@m`uov\twccaiexRk~n^3054c<{ee=1.O`kphsWyxnhdxlnu]fukY6=8l0aa{10-Ngjsi|Vzyiigycov\athX9<;:i6}cou32+Heh}g~T|kkiwampZcvfV;==k5|lnv25*Kdg|dS}|jdht`jqYbygU::f:qokq76'DidyczPpsggmsei|VozbR?810g8wiis98%Fob{at^rqaaoqkg~Ti|`P193e?vjh|8;$Anaznu]sv`bn~jdSha_0:25`=tdf~:="Clotlw[utbl`|hbyQjqo]2=4`<{ee=/LalqkrXx{ooe{mat^grjZ46m2ygcy?>/LalqkrXx{ooe{mat^grjZ479o1x`bz>1.O`kphsWyxnhdxlnu]fukY588;n7~b`t03,Ifirf}U{~hjfvblw[`wiW;;:j6}cou32+Heh}g~T|kkiwampZcvfV8:<e:qokq76'DidyczPpsggmsei|VozbR<=1g9phjr69&Ghcx`{_qpf`lpdf}Un}cQ=203f?vjh|8;$Anaznu]sv`bn~jdSha_312b>ukg};:#@m`uov\twccaiexRk~n^0054c<{ee=1.O`kphsWyxnhdxlnu]fukY5=8l0aa{10-Ngjsi|Vzyiigycov\athX:<;:i6}cou32+Heh}g~T|kkiwampZcvfV8==k5|lnv25*Kdg|dS}|jdht`jqYbygU9:f:qokq76'DidyczPpsggmsei|VozbR<810g8wiis98%Fob{at^rqaaoqkg~Ti|`P293e?vjh|8;$Anaznu]sv`bn~jdSha_3:25`=tdf~:="Clotlw[utbl`|hbyQjqo]1=4`<{ee=/LalqkrXx{ooe{mat^grjZ56m2ygcy?>/LalqkrXx{ooe{mat^grjZ579o1x`bz>1.O`kphsWyxnhdxlnu]fukY488;n7~b`t03,Ifirf}U{~hjfvblw[`wiW:;:j6}cou32+Heh}g~T|kkiwampZcvfV9:=d:qokq76'DidyczPpsggmsei|VozbR;>e:qokq76'DidyczPpsggmsei|VozbR;>1e9phjr69&Ghcx`{_qpf`lpdf}Un}cQ91d9phjr69&Ghcx`{_qpf`lpdf}Un}cQ910f8wiis98%Fob{at^rqaaoqkg~Ti|`P70g8wiis98%Fob{at^rqaaoqkg~Ti|`P703g?vjh|8;$Anaznu]sv`bn~jdSha_93f?vjh|8;$Anaznu]sv`bn~jdSha_932`>ukg};:#@m`uov\twccaiexRk~n^;2a>ukg};:#@m`uov\twccaiexRk~n^;25c=tdf~:="Clotlw[utbl`|hbyQcuu>24;423zfdx1?]JJSY79o1x`bz>1.O`kphsWyxnhdxlnu]oqq:6:78>7~b`t03,Ifirf}U{~hjfvblw[iss4885SD@Y_13e?vjh|8;$Anaznu]sv`bn~jdSa{{<01=60=tdf~:="Clotlw[utbl`|hbyQcuu>27;YNF_U;=k5|lnv25*Kdg|dS}|jdht`jqYk}}6:83<:;rnlp47(Eje~byQrdfjrfhsWe0<:1_HLU[57a3zfdx1.O`kphsWyxnhdxlnu]oqq:6=7UBB[Q?1g9phjr69&Ghcx`{_qpf`lpdf}Ugyy2>6?06?vjh|8;$Anaznu]sv`bn~jdSa{{<04=[LHQW9;m7~b`t03,Ifirf}U{~hjfvblw[iss48=5>85|lnv25*Kdg|dS}|jdht`jqYk}}6:;3QFNW]35c=tdf~:="Clotlw[utbl`|hbyQcuu>2<;423zfdx9W@D]S=?i;rnlp47(Eje~byQrdfjrfhsWe0<71249phjr69&Ghcx`{_qpf`lpdf}Ugyy2>9?]JJSY79l1x`bz>1.O`kphsWyxnhdxlnu]oqq:66;>0aa{10-Ngjsi|Vzyiigycov\hpr;97UBB[Q?1g9phjr69&Ghcx`{_qpf`lpdf}Ugyy2=0?06?vjh|8;$Anaznu]sv`bn~jdSa{{<32=[LHQW9;m7~b`t03,Ifirf}U{~hjfvblw[iss4;;5>85|lnv25*Kdg|dS}|jdht`jqYk}}69=3QFNW]35c=tdf~:="Clotlw[utbl`|hbyQcuu>16;423zfdx1.O`kphsWyxnhdxlnu]oqq:5<78>7~b`t03,Ifirf}U{~hjfvblw[iss4;>5SD@Y_13e?vjh|8;$Anaznu]sv`bn~jdSa{{<37=60=tdf~:="Clotlw[utbl`|hbyQcuu>11;YNF_U;=k5|lnv25*Kdg|dS}|jdht`jqYk}}69:3<:;rnlp47(Eje~byQrdfjrfhsWe0?81_HLU[57a3zfdx1.O`kphsWyxnhdxlnu]oqq:5?7UBB[Q?1g9phjr69&Ghcx`{_qpf`lpdf}Ugyy2=8?06?vjh|8;$Anaznu]sv`bn~jdSa{{<3:=[LHQW9;m7~b`t03,Ifirf}U{~hjfvblw[iss4;35>85|lnv25*Kdg|dS}|jdht`jqYk}}6953QFNW]35`=tdf~:="Clotlw[utbl`|hbyQcuu>1:72<{ee=>1_HLU[57a3zfdx1.O`kphsWyxnhdxlnu]oqq:497UBB[Q?1d9phjr69&Ghcx`{_qpf`lpdf}Ugyy2<>368wiis98%Fob{at^rqaaoqkg~T`xz33?]JJSY79l1x`bz>1.O`kphsWyxnhdxlnu]oqq:36;>0aa{10-Ngjsi|Vzyiigycov\hpr;<7UBB[Q?1d9phjr69&Ghcx`{_qpf`lpdf}Ugyy2:>368wiis98%Fob{at^rqaaoqkg~T`xz35?]JJSY79l1x`bz>1.O`kphsWyxnhdxlnu]oqq:16;>0aa{10-Ngjsi|Vzyiigycov\hpr;>7UBB[Q?1d9phjr69&Ghcx`{_qpf`lpdf}Ugyy28>368wiis98%Fob{at^rqaaoqkg~T`xz37?]JJSY79l1x`bz>1.O`kphsWyxnhdxlnu]oqq:?6;>0aa{10-Ngjsi|Vzyiigycov\hpr;07UBB[Q?1d9phjr69&Ghcx`{_qpf`lpdf}Ugyy26>368wiis98%Fob{at^rqaaoqkg~T`xz39?]JJSY79l1x`bz>1.O`kphsWyxnhdxlnu]{kw:768l0aa{10-Ngjsi|Vzyiigycov\|jt;994:j6}cou32+Heh}g~T|kkiwampZ~hz5;:2f:qokq76'DidyczPpsggmsei|Vrd~1?<>0d8wiis98%Fob{at^rqaaoqkg~Ttb|315<2b>ukg};:#@m`uov\twccaiexRv`r=36:4`<{ee=/LalqkrXx{ooe{mat^zlv97068l0aa{10-Ngjsi|Vzyiigycov\|jt;914:j6}cou32+Heh}g~T|kkiwampZ~hz5;2211g9phjr69&Ghcx`{_qpf`lpdf}Usc2=1?3e?vjh|8;$Anaznu]sv`bn~jdSua}<30=5c=tdf~:="Clotlw[utbl`|hbyQwos>17;7a3zfdx1.O`kphsWyxnhdxlnu]{kw:5=7;m7~b`t03,Ifirf}U{~hjfvblw[}iu4;<5=k5|lnv25*Kdg|dS}|jdht`jqYg{69;3?i;rnlp47(Eje~byQrdfjrfhsWqey0?611g9phjr69&Ghcx`{_qpf`lpdf}Usc2=9?3f?vjh|8;$Anaznu]sv`bn~jdSua}<3<2b>ukg};:#@m`uov\twccaiexRv`r=13:4`<{ee=/LalqkrXx{ooe{mat^zlv9599l1x`bz>1.O`kphsWyxnhdxlnu]{kw:368o0aa{10-Ngjsi|Vzyiigycov\|jt;=7;n7~b`t03,Ifirf}U{~hjfvblw[}iu4?4:i6}cou32+Heh}g~T|kkiwampZ~hz5=5=h5|lnv25*Kdg|dS}|jdht`jqYg{632ukg};:#@m`uov\pjhbWnoeSn`{_0]`}9776;<0aa{10-Ngjsi|V~dbhQheo]`jqY6Wjs7==0Pru01?vjh|8;$Anaznu]wkkcXoldToczP1^az8485=2ygcy?>/LalqkrX|fdnSjka_blw[4Ydq5;5Sz=2:qokq76'DidyczPtnlf[bciWjdS?5|lnv25*Kdg|dSyaae^efjZei|V;Tot2<>378wiis98%Fob{at^vlj`Y`mgUhbyQ>_b{?7;Yu|;80aa{10-Ngjsi|V~dbhQheo]`jqY6Wjs783<:;rnlp47(Eje~byQ{oog\c`hXkg~T=Rmv<5<\vq453zfdxukg};:#@m`uov\pjhbWnoeSn`{_0]`}909:<1x`bz>1.O`kphsW}eeiRijn^ampZ7Xkp6=2R|{239phjr69&Ghcx`{_ummaZabfViexR?Pcx>4:73<{ee=/LalqkrX|fdnSjka_blw[4Yk}}6;2?74smmw54)JkfexRz`nd]dakYdf}U:Sa{{<1<\MKPX8;90aa{10-Ngjsi|V~dbhQheo]`jqY6Wqey0=0=4:qokq76'DidyczPtnlf[bciWjdS24;433zfdx/LalqkrX|fdnSjka_blw[4Yg{6:2?=4smmw54)JkfexRz`nd]dakYdf}U:Sua}<3<17>ukg};:#@m`uov\pjhbWnoeSn`{_0]{kw:46;90aa{10-Ngjsi|V~dbhQheo]`jqY6Wqey090=3:qokq76'DidyczPtnlf[bciWjdS6:75<{ee=318wiis98%Fob{at^vlj`Y`mgUhbyQ>_ymq8=85;2ygcy?>/LalqkrX|fdnSjka_blw[4Yg{622?<4smmw54)JkfexRz`nd]dakYdf}U9Snw30?00?vjh|8;$Anaznu]wkkcXoldToczP2^az8469:?1x`bz>1.O`kphsW}eeiRijn^ampZ4Xkp6:<3Q}t308wiis98%Fob{at^vlj`Y`mgUhbyQ=_b{?5;423zfdxRmv<3<11>ukg};:#@m`uov\pjhbWnoeSn`{_3]`}949W{~9>6}cou32+Heh}g~Txb`j_fgm[fhsW;Uhu1=1249phjr69&Ghcx`{_ummaZabfViexR0:Zts:;1x`bz>1.O`kphsW}eeiRijn^ampZ4Xkp6?2?;4smmw54)JkfexRz`nd]dakYdf}U9Snw34?]qp74<{ee=7~b`t03,Ifirf}UcckPgdl\gkrX:Vir080Pru01?vjh|8;$Anaznu]wkkcXoldToczP2^az8385=2ygcy?>/LalqkrX|fdnSjka_blw[7Ydq5<5Sz=2:qokq76'DidyczPtnlf[bciWjdS?Qly=5=60=tdf~:="Clotlw[qiimVmnbRmat^0\g|:06Vx>?5|lnv25*Kdg|dSyaae^efjZei|V8Tot27>378wiis98%Fob{at^vlj`Y`mgUhbyQ=_b{?<;Yu|;80aa{10-Ngjsi|V~dbhQheo]`jqY5Wjs753<:;rnlp47(Eje~byQ{oog\c`hXkg~T>Rmv<8<\vq443zfdx45|lnv25*Kdg|dSyaae^efjZei|V8T`xz30?]JJSY7::1x`bz>1.O`kphsW}eeiRijn^ampZ4Xpfx7<3<;;rnlp47(Eje~byQ{oog\c`hXkg~T>Rv`r=33:72<{ee=:5|lnv25*Kdg|dSyaae^efjZei|V8Ttb|310<\vq443zfdx>5|lnv25*Kdg|dSyaae^efjZei|V8Ttb|32?00?vjh|8;$Anaznu]wkkcXoldToczP2^zlv959::1x`bz>1.O`kphsW}eeiRijn^ampZ4Xpfx783<<;rnlp47(Eje~byQ{oog\c`hXkg~T>Rv`r=7=66=tdf~:="Clotlw[qiimVmnbRmat^0\|jt;>7887~b`t03,Ifirf}UcckPgdl\gkrX:Vrd~191229phjr69&Ghcx`{_ummaZabfViexR?5|lnv25*Kdg|dSyaae^efjZei|V9Tot2?>318wiis98%Fob{at^vlj`Y`mgUhbyQ<_b{?5585>2ygcy?>/LalqkrX|fdnSjka_blw[6Ydq5;;2R|{239phjr69&Ghcx`{_ummaZabfViexR=Pcx>2:73<{ee=0=5:qokq76'DidyczPtnlf[bciWjdS>Qly=1=[wr5:2ygcy?>/LalqkrX|fdnSjka_blw[6Ydq5>5>85|lnv25*Kdg|dSyaae^efjZei|V9Tot2;>^pw67=tdf~:="Clotlw[qiimVmnbRmat^1\g|:26;?0aa{10-Ngjsi|V~dbhQheo]`jqY4Wjs793Q}t308wiis98%Fob{at^vlj`Y`mgUhbyQ<_b{?2;423zfdxukg};:#@m`uov\pjhbWnoeSn`{_2]`}919W{~9>6}cou32+Heh}g~Txb`j_fgm[fhsW:Uhu161249phjr69&Ghcx`{_ummaZabfViexR=Pcx>;:Zts:;1x`bz>1.O`kphsW}eeiRijn^ampZ5Xkp622?;4smmw54)JkfexRz`nd]dakYdf}U8Snw39?]qp75<{ee=^KMRZ65;2ygcy?>/LalqkrX|fdnSjka_blw[6Yg{6;2?:4smmw54)JkfexRz`nd]dakYdf}U8Sua}<02=61=tdf~:="Clotlw[qiimVmnbRmat^1\|jt;9849;6}cou32+Heh}g~Txb`j_fgm[fhsW:Usc2>1?]qp75<{ee=318wiis98%Fob{at^vlj`Y`mgUhbyQ<_ymq8685;2ygcy?>/LalqkrX|fdnSjka_blw[6Yg{6?2?=4smmw54)JkfexRz`nd]dakYdf}U8Sua}<4<17>ukg};:#@m`uov\pjhbWnoeSn`{_2]{kw:16;90aa{10-Ngjsi|V~dbhQheo]`jqY4Wqey0:0=3:qokq76'DidyczPtnlf[bciWjdS>Qwos>;:75<{ee=3:Z779h1x`bz>1.Onq}YpjVnjxRa30?]254e<{ee=ukg};:#@czx^ua[agsWf6;2R9>c:qokq76'Dg~tRym_ecw[j:76V=Tj8?6;rnlp47(EdsSzlPd`v\k969W1;j7~b`t03,IhsW~hThlzPo=2=[=7612ygcy?>/Lov|ZqeWmkSb2?>^;2g>ukg};:#@czx^ua[agsWf6;2R7Pf43b?vjh|8;$A`{w_v`\`drXg5;5S<>>a:qokq76'Dg~tRym_ecw[j:66V;:=n5|lnv25*Kj}qU|nRjnt^m?5;Y2Wo<:o6}cou32+HkrpV}iSio{_n>2:Z0Xn<;27~b`t03,IhsW~hThlzPo=3=[27d3zfdxukg};:#@czx^ua[agsWf692R;Pf73`?vjh|8;$A`{w_v`\`drXg585S;Qi50;8wiis98%FaxvPwc]geqYh4;4T;=45|lnv25*Kj}qU|nRjnt^m?6;Y?9h1x`bz>1.Onq}YpjVnjxRa32?];54?<{ee=8i0aa{10-Nip~XkUomyQ`<2<\2Z`2901x`bz>1.Onq}YpjVnjxRa33?]45f=tdf~:="Cbuy]tfZbf|Ve7?3Q8_g72=>ukg};:#@czx^ua[agsWf682R6>a:qokq76'Dg~tRym_ecw[j:46V2:=45|lnv25*Kj}qU|nRjnt^m?7;Y>9j1x`bz>1.Onq}YpjVnjxRa33?]:[c36j2ygcy?>/LpliZbfkVkf|Rczx12354e<{ee=?0032<0463zfdx2WO8:7~b`t03,IwijWmkhSlc_lw{4566982>SJ?j;rnlp47(E{efSiol_`os[hs89::=RH>e:qokq76'DxdaRjnc^cntZkrp9:;=e:qokq76'DxdaRjnc^cntZkrp9:;=?7:219phjr69&Gyc`Qkab]biuYj}q:;<<<65^D14>ukg};:#@|`m^fbgZgjxVg~t=>?13;6[B7d3zfdx/LpliZbfkVkf|Rczx123517b3zfdx/LpliZbfkVkf|Rczx12351Y@9j1x`bz>1.OqkhYcijUja}Qbuy234436l2ygcy?>/LpliZbfkVkf|Rczx1235076n2ygcy?>/LpliZbfkVkf|Rczx12350Ya=8i0aa{10-NvjkXlhiTm`~Pmtz345719m1x`bz>1.OqkhYcijUja}Qbuy2344069o1x`bz>1.OqkhYcijUja}Qbuy23440Xn<;h7~b`t03,IwijWmkhSlc_lw{4566?8n0aa{10-NvjkXlhiTm`~Pmtz3457098l0aa{10-NvjkXlhiTm`~Pmtz34570Wo?956}cou32+HurjVoezRijn^aliuYflmy~n=>?0^az8585k2ygcy?>/LqvfZci~VmnbRm`mq]b`aurj9:;ukg};:#@}zb^gmrZabfVida}Qndeqvf5678Vir0?_b{?518512ygcy?>/LqvfZci~VmnbRm`mq]b`aurj9:;ukg};:#@}zb^gmrZabfVida}Qndeqvf5678Vir0?0=9:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Ze~4:4956}cou32+HurjVoezRijn^aliuYflmy~n=>?0^az818512ygcy?>/LqvfZci~VmnbRm`mq]b`aurj9:;ukg};:#@}zb^gmrZabfVida}Qndeqvf5678Vir0;0=9:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Ze~4>4956}cou32+HurjVoezRijn^aliuYflmy~n=>?0^az8=8512ygcy?>/LqvfZci~VmnbRm`mq]b`aurj9:;ukg};:#@}zb^gmrZabfVida}Qndeqvf5678Vf~x1??>238wiis98%FxlPeot\c`hXkfg{Sljkst`3456Xd|~7==0PIOT\47d<{ee=ukg};:#@}zb^gmrZabfVida}Qndeqvf5678Vf~x1?>>^KMRZ65j2ygcy?>/LqvfZci~VmnbRm`mq]b`aurj9:;Pltv?568492ygcy?>/LqvfZci~VmnbRm`mq]b`aurj9:;?0^nvp9736:;0aa{10-NwpdXmg|Tkh`Pcnos[dbc{|h;<=>Pltv?518XAG\T?0^nvp9726VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Zjr|5;5?=5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:66VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Zjr|585?=5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:56VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Zjr|595?=5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:46VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Zjr|5>5?=5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:36VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Zjr|5?5?=5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:26VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Zjr|5<5?=5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:16VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Zjr|5=5?=5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:06VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Zjr|525?=5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:?6VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Zjr|535?=5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:>6VCEZR>=a:qokq76'Dy~nRkav^efjZeheyUjhi}zb1234Z~hz5:5>o5|lnv25*Kt}kUnb{Qheo]`khvXimnxyo>?01]{kw:6878i7~b`t03,IvseWld}Sjka_bmntZgclzi<=>?_ymq8479:k1x`bz>1.OpqgYbfUlicQlolr\eabt}k:;<=Qwos>26;4e3zfdx4?0a?vjh|8;$A~{m_dlu[bciWjef|Rokdrwa4567Wqey0<;12`9phjr69&GxyoQjnw]dakYdgdzTmij|uc2345Yg{6:2?o4smmw54)J{|hTicxPgdl\gjkwWhnoxl?012\|jt;:78j7~b`t03,IvseWld}Sjka_bmntZgclzi<=>?_ymq8685i2ygcy?>/LqvfZci~VmnbRm`mq]b`aurj9:;3c8wiis98%FxlPeot\c`hXkfg{Sljkst`3456Xpfx7:349m6}cou32+HurjVoezRijn^aliuYflmy~n=>?0^zlv9>9:h1x`bz>1.OpqgYbfUlicQlolr\eabt}k:;<=Qwos>::7e<{ee=Pcx>24;4d3zfdxPcx>2:7e<{ee=ukg};:#@}zb^vlj`Y`mgUhc`~P1^cg`vse89:;Snw34?0`?vjh|8;$A~{m_ummaZabfVida}Q>_`fgwpd789:Tot2:>3a8wiis98%FxlPtnlf[bciWjef|R?Paefpqg6789Uhu1812b9phjr69&GxyoQ{oog\c`hXkfg{S25;533zfdxPltv?548XAG\T=4smmw54)J{|hTxb`j_fgm[fijxV;Tmij|uc2345Yk}}6:2RGAV^21`>ukg};:#@}zb^vlj`Y`mgUhc`~P1^cg`vse89:;Sa{{<3<07>ukg};:#@}zb^vlj`Y`mgUhc`~P1^cg`vse89:;Sa{{<3<\MKPX8;n0aa{10-NwpdX|fdnSjka_bmntZ7Ximnxyo>?01]oqq:46:90aa{10-NwpdX|fdnSjka_bmntZ7Ximnxyo>?01]oqq:46VCEZR>=d:qokq76'Dy~nRz`nd]dakYdgdzT=Rokdrwa4567We090<3:qokq76'Dy~nRz`nd]dakYdgdzT=Rokdrwa4567We090PIOT\47b<{ee=6:65<{ee=6:ZOI^V:9h6}cou32+HurjV~dbhQheo]`khvX9Vkoh~{m0123[iss4?48?6}cou32+HurjV~dbhQheo]`khvX9Vkoh~{m0123[iss4?4TECXP03f8wiis98%FxlPtnlf[bciWjef|R?Paefpqg6789Ugyy28>218wiis98%FxlPtnlf[bciWjef|R?Paefpqg6789Ugyy28>^KMRZ65l2ygcy?>/LqvfZrhflUlicQlolr\5Zgclzi<=>?_mww8=84;2ygcy?>/LqvfZrhflUlicQlolr\5Zgclzi<=>?_mww8=8XAG\T=4smmw54)J{|hTxb`j_fgm[fijxV;Tmij|uc2345Yk}}622RGAV^21`>ukg};:#@}zb^vlj`Y`mgUhc`~P1^cg`vse89:;Sua}<1<1a>ukg};:#@}zb^vlj`Y`mgUhc`~P1^cg`vse89:;Sua}<02=6`=tdf~:="C|uc]wkkcXoldTobc_0]b`aurj9:;2:7b<{ee=1:7b<{ee=0:7b<{ee=7:7b<{ee=6:7b<{ee=5:7b<{ee=4:7b<{ee=;:7b<{ee=::7e<{ee=Pcx>24;4d3zfdxPcx>2:7e<{ee=ukg};:#@}zb^vlj`Y`mgUhc`~P2^cg`vse89:;Snw34?0`?vjh|8;$A~{m_ummaZabfVida}Q=_`fgwpd789:Tot2:>3a8wiis98%FxlPtnlf[bciWjef|RRokdrwa4567Wjs74325;533zfdxPltv?548XAG\T=4smmw54)J{|hTxb`j_fgm[fijxV8Tmij|uc2345Yk}}6:2RGAV^21`>ukg};:#@}zb^vlj`Y`mgUhc`~P2^cg`vse89:;Sa{{<3<07>ukg};:#@}zb^vlj`Y`mgUhc`~P2^cg`vse89:;Sa{{<3<\MKPX8;n0aa{10-NwpdX|fdnSjka_bmntZ4Ximnxyo>?01]oqq:46:90aa{10-NwpdX|fdnSjka_bmntZ4Ximnxyo>?01]oqq:46VCEZR>=d:qokq76'Dy~nRz`nd]dakYdgdzT>Rokdrwa4567We090<3:qokq76'Dy~nRz`nd]dakYdgdzT>Rokdrwa4567We090PIOT\47b<{ee=6:65<{ee=6:ZOI^V:9h6}cou32+HurjV~dbhQheo]`khvX:Vkoh~{m0123[iss4?48?6}cou32+HurjV~dbhQheo]`khvX:Vkoh~{m0123[iss4?4TECXP03f8wiis98%FxlPtnlf[bciWjef|R218wiis98%FxlPtnlf[bciWjef|R^KMRZ65l2ygcy?>/LqvfZrhflUlicQlolr\6Zgclzi<=>?_mww8=84;2ygcy?>/LqvfZrhflUlicQlolr\6Zgclzi<=>?_mww8=8XAG\T=4smmw54)J{|hTxb`j_fgm[fijxV8Tmij|uc2345Yk}}622RGAV^21`>ukg};:#@}zb^vlj`Y`mgUhc`~P2^cg`vse89:;Sua}<1<1a>ukg};:#@}zb^vlj`Y`mgUhc`~P2^cg`vse89:;Sua}<02=6`=tdf~:="C|uc]wkkcXoldTobc_3]b`aurj9:;2:7b<{ee=1:7b<{ee=0:7b<{ee=7:7b<{ee=6:7b<{ee=5:7b<{ee=4:7b<{ee=;:7b<{ee=::7e<{ee=Pcx>24;4d3zfdxPcx>2:7e<{ee=ukg};:#@}zb^vlj`Y`mgUhc`~P3^cg`vse89:;Snw34?0`?vjh|8;$A~{m_ummaZabfVida}Q<_`fgwpd789:Tot2:>3a8wiis98%FxlPtnlf[bciWjef|R=Paefpqg6789Uhu1812b9phjr69&GxyoQ{oog\c`hXkfg{S>Qndeqvf5678Vir0:0=c:qokq76'Dy~nRz`nd]dakYdgdzT?Rokdrwa4567Wjs74325;533zfdxPltv?548XAG\T=4smmw54)J{|hTxb`j_fgm[fijxV9Tmij|uc2345Yk}}6:2RGAV^21`>ukg};:#@}zb^vlj`Y`mgUhc`~P3^cg`vse89:;Sa{{<3<07>ukg};:#@}zb^vlj`Y`mgUhc`~P3^cg`vse89:;Sa{{<3<\MKPX8;n0aa{10-NwpdX|fdnSjka_bmntZ5Ximnxyo>?01]oqq:46:90aa{10-NwpdX|fdnSjka_bmntZ5Ximnxyo>?01]oqq:46VCEZR>=d:qokq76'Dy~nRz`nd]dakYdgdzT?Rokdrwa4567We090<3:qokq76'Dy~nRz`nd]dakYdgdzT?Rokdrwa4567We090PIOT\47b<{ee=6:65<{ee=6:ZOI^V:9h6}cou32+HurjV~dbhQheo]`khvX;Vkoh~{m0123[iss4?48?6}cou32+HurjV~dbhQheo]`khvX;Vkoh~{m0123[iss4?4TECXP03f8wiis98%FxlPtnlf[bciWjef|R=Paefpqg6789Ugyy28>218wiis98%FxlPtnlf[bciWjef|R=Paefpqg6789Ugyy28>^KMRZ65l2ygcy?>/LqvfZrhflUlicQlolr\7Zgclzi<=>?_mww8=84;2ygcy?>/LqvfZrhflUlicQlolr\7Zgclzi<=>?_mww8=8XAG\T=4smmw54)J{|hTxb`j_fgm[fijxV9Tmij|uc2345Yk}}622RGAV^21`>ukg};:#@}zb^vlj`Y`mgUhc`~P3^cg`vse89:;Sua}<1<1a>ukg};:#@}zb^vlj`Y`mgUhc`~P3^cg`vse89:;Sua}<02=6`=tdf~:="C|uc]wkkcXoldTobc_2]b`aurj9:;2:7b<{ee=1:7b<{ee=0:7b<{ee=7:7b<{ee=6:7b<{ee=5:7b<{ee=4:7b<{ee=;:7b<{ee=::75<{ee=Usc>?01]{k9699;89>6}cou32+H~hzVzd`t?8_ymq4567Wqe7<3?:239phjr69&GscQom{23Z~hz9:;Usc>?01]{k969=;897~b`t03,I}iuWyegu<9Pxnp3456Xpf6;2;?=2:qokq76'Drd~R~`lx34[}iu89:;Sua30?57=>ukg};:#C?n;rnlp47(F;=j7~b`t03,J66f3zfdx8n;rnlp47(F:=j7~b`t03,J6?f3zfdx1:qokq76'FUJYIG@<1<25>ukg};:#BQNUEKL848692ygcy?>/N]BQAOH4;4:=6}cou32+JYF]MCD0>0>1:qokq76'FUJYIG@<5<25>ukg};:#BQNUEKL808692ygcy?>/N]BQAOH4?4:=6}cou32+JYF]MCD0:0>0:qokq76'FUJYIG@_133?vjh|8;$CROZDHM\546<{ee=1.M\EPBNGV9:<6}cou32+JYF]MCDS9??;rnlp47(GVK^HDAP5028wiis98%DSL[KIN]555=tdf~:="APATFJKZ1612ygcy?>/N]BQAOHWds<=>><0<2e>ukg};:#BQNUEKL[hs89::0<0>189phjr69&ETMXJFO^ov|5679585=l5|lnv25*IXI\NBCRczx12359499830aa{10-L[DSCAFUfyu>?00>0:4g<{ee=03:?vjh|8;$CROZDHM\ip~789;783?n;rnlp47(GVK^HDAPmtz3457;<7;:56}cou32+JYF]MCDS`{w01228086i2ygcy?>/N]BQAOHWds<=>><4<25<=tdf~:="APATFJKZkrp9:;=1811`9phjr69&ETMXJFO^ov|56795<5=<74smmw54)HWH_OEBQbuy2344:068k0aa{10-L[DSCAFUfyu>?00>4:47>3zfdx0:qokq76'[oxyaz30?32?vjh|8;$^h}zlu>3:4763zfdx?>;rnlp47(Zly~`y2?>532?vjh|8;$^h}zlu>3:0763zfdx;rnlp47(Zly~`y2>0?31?vjh|8;$^h}zlu>24;76:2ygcy?>/Sgpqir;9949=?5|lnv25*Tb{|f0<>13008wiis98%Yi~{ct=33:1763zfdx2:qokq76'[oxyaz310<157=tdf~:="\jstnw8479;880aa{10-Qavsk|5;:29?>;rnlp47(Zly~`y2>2?31?vjh|8;$^h}zlu>26;7692ygcy?>/Sgpqir;9:4:>6}cou32+Wct}e~7=>0>109phjr69&Xnxb{<06=57=tdf~:="\jstnw842998;0aa{10-Qavsk|5;>2<<4smmw54)Umzgx1?:>032?vjh|8;$^h}zlu>22;763zfdx;rnlp47(Zly~`y2>9?33?vjh|8;$^h}zlu>2:47<{ee=038wiis98%Yi~{ct=07:47<{ee=038wiis98%Yi~{ct=0;:47<{ee=68:0aa{10-Qavsk|585=<5|lnv25*Tb{|f0?0>109phjr69&Xnxb{<3<154=tdf~:="\jstnw8784981x`bz>1.Pfwpjs4;4?=<5|lnv25*Tb{|f0?0:109phjr69&Xnxb{<3<554=tdf~:="\jstnw8780981x`bz>1.Pfwpjs4::5=<5|lnv25*Tb{|f0>?1119phjr69&Xnxb{<2<25>ukg};:#_k|umv?7;7692ygcy?>/Sgpqir;;78:=6}cou32+Wct}e~7?3=>1:qokq76'[oxyaz33?625>ukg};:#_k|umv?7;3692ygcy?>/Sgpqir;;7<:=6}cou32+Wct}e~7?39>1:qokq76'[oxyaz33?:25>ukg};:#_k|umv?7;?6;2ygcy?>/Sgpqir;;7Um9<>4smmw54)Umzgx1:1109phjr69&Xnxb{<5<254=tdf~:="\jstnw8185981x`bz>1.Pfwpjs4=48=<5|lnv25*Tb{|f090;109phjr69&Xnxb{<5<654=tdf~:="\jstnw8181981x`bz>1.Pfwpjs4=4<=95|lnv25*Tb{|f090PSV220>ukg};:#_k|umv?0;YT_8;;7~b`t03,V`urd}6>21.Pfwpjs4?49=<5|lnv25*Tb{|f0;0<109phjr69&Xnxb{<7<754=tdf~:="\jstnw8382991x`bz>1.Pfwpjs4>4:=6}cou32+Wct}e~7;3?>1:qokq76'[oxyaz37?025>ukg};:#_k|umv?3;5692ygcy?>/Sgpqir;?7>:=6}cou32+Wct}e~7;3;>0:qokq76'[oxyaz38?32?vjh|8;$^h}zlu>;:4763zfdx?>;rnlp47(Zly~`y27>532?vjh|8;$^h}zlu>;:0773zfdx68;0aa{10-Qavsk|535=2:43<{ee=0:43<{ee=6:43<{ee=4:43<{ee=::42<{ee=ukg};:#l{kin]emkgkW:;?7~b`t03,epbngVlbblbP4068wiis98%jyig`_gkmeiY29=1x`bz>1.cv`liXn`dj`R8>4:qokq76'hoebQiioco[2733zfdx?10`8wiis98%jyig`_gkmeiYig}:;<3:qokq76'hoebQbiy>3:45<{ee=018wiis98%jyig`_lk{8686;2ygcy?>/`wgmjYjaq6?2<=4smmw54)f}mcdS`gw<4<27>ukg};:#l{kin]nm}:16890aa{10-bqaohWdcs0:0>3:qokq76'hoebQbiy>;:45<{ee=6}cou32+dscafUfeuQ?139phjr69&k~hdaPmhz\544<{ee=2:qokq76'hoebQbiy]757=tdf~:="ozdhm\il~X=880aa{10-bqaohWdcsS;?=;rnlp47(i|nbcRcfx^526>ukg};:#l{kin]nm}Y?9;1x`bz>1.cv`liXe`rT5<94smmw54)d`hdTm`~Paof3456602ygcy?>/bjbjZgjxVkeh=>?003g?vjh|8;$oeoa_`os[dhc89:;=4Q\W13:?vjh|8;$oeoa_`os[dhc89:;?5?6;rnlp47(kakeSlc_`lg4567<0;i7~b`t03,gmgiWhg{Sl`k012301.akekYfeyUjbi>?016:[B7c3zfdx?48]D[47f3zfdx=<1<2f>ukg};:#nfnn^cntZkrp9:;>1>110f8wiis98%hdl`Palr\ip~78987<3??60a8wiis98%hdl`Palr\ip~78987<3?>1b9phjr69&icmcQnmq]nq}678;6;2<<>c:qokq76'jbjbRobp^ov|567:5:5=>?j;rnlp47(kakeSlc_lw{4565494:Sk;>c:qokq76'jbjbRobp^ov|567:5:5>8?m;rnlp47(kakeSlc_lw{4565494?>=5|lnv25*eoigUja}Qbuy2347:76=>T_Z>>c:qokq76'jbjbRobp^ov|567:5:5::?j;rnlp47(kakeSlc_lw{4565494=;RH>e:qokq76'jbjbRobp^ov|567:5:5::QH1b9phjr69&icmcQnmq]nq}678;6;24>>a:qokq76'jbjbRobp^ov|567:5;5=n5|lnv25*eoigUja}Qbuy2347:6688:o6}cou32+fnffVkf|Rczx1236979:88<7~b`t03,gmgiWhg{S`{w012184859&F^X9QK_CWE5f=tdf~:="mgao]biuYj}q:;>302g>ukg};:#nfnn^cntZkrp9:;>1?13304?vjh|8;$oeoa_`os[hs89:90<0<2.NVP1YCWK_M=n5|lnv25*eoigUja}Qbuy2347:66:29;6}cou32+fnffVkf|Rczx1236979;1%GYY=<0<754c<{ee==<3<2g>ukg};:#nfnn^cntZkrp9:;>1<1133`?vjh|8;$oeoa_`os[hs89:90?0=20a8wiis98%hdl`Palr\ip~78987>3=7269phjr69&icmcQnmq]nq}678;692>6 LTV1[IYE]O;n7~b`t03,gmgiWhg{S`{w012187840&E:o6}cou32+fnffVkf|Rczx1236949<8;n7~b`t03,gmgiWhg{S`{w012187839V;:m6}cou32+fnffVkf|Rczx12369599j1x`bz>1.akekYfeyUfyu>?03>0:446k2ygcy?>/bjbjZgjxVg~t=>?2=1=677d3zfdx=<2<0<71<{ee=e:qokq76'jbjbRobp^ov|567:595?5!@1b9phjr69&icmcQnmq]nq}678;6829?>e:qokq76'jbjbRobp^ov|567:59581`9phjr69&icmcQnmq]nq}678;6?2;rnlp47(kg~Thdx31?32?vjh|8;$oczPdht?6;773zfdx1:qokq76'mkhSlc<1<25>ukg};:#iol_`os848692ygcy?>/ec`[dkw4;4:=6}cou32+agdWhg{0>0>1:qokq76'mkhSlc<5<25>ukg};:#iol_`os808692ygcy?>/ec`[dkw4?4:=6}cou32+agdWhg{0:0>0:qokq76'mkhSlc_133?vjh|8;$hlmPalr\546<{ee=1.fbgZgjxV9:<6}cou32+agdWhg{S9??;rnlp47(lhiTm`~P5028wiis98%omnQnmq]555=tdf~:="jnc^cntZ1a3zfdx1.fjrfjlmgUd~=>?0058wiis98%oe{mckdl\kw6789;n7~b`t03,akgXl`|:86}cou32+`hfWmc}Scafsd3a?vjh|8;$icoPdht\jjotmVey<=>?1b9phjr69&oemRjfv^llmvcXg{:;<=?>e:qokq76'ldjSigy_omjw`Yhz9:;1.gmeZbn~Vey<=>?1d9phjr69&oezRkaa078wiis98%nb{Qjn`]lv56788<0aa{10-fjsYbfhUd~=>?0036?vjh|8;$icxPgdl\gkr;87;=7~b`t03,akpXoldTocz311<22>ukg};:#h`y_fgm[fhs48;5=;5|lnv25*ci~VmnbRmat=31:40<{ee=2ygcy?>/dlu[bciWjd0<;1149phjr69&oezRijn^amp9799<1x`bz>1.gmrZabfViex1<1149phjr69&oezRijn^amp9599<1x`bz>1.gmrZabfViex1:1149phjr69&oezRijn^amp9399<1x`bz>1.gmrZabfViex181149phjr69&oezRijn^amp9199<1x`bz>1.gmrZabfViex161149phjr69&oezRijn^amp9?99=1x`bz>1.gmrZabfViexR>>4:qokq76'ld}Sjka_blw[4723zfdx<:4smmw54)bfUlicQlnu]051=tdf~:="kav^efjZei|V>:86}cou32+`hqWnoeSn`{_437?vjh|8;$icxPgdl\gkrX>8>0aa{10-fjsY`mgUhbyQ8159phjr69&oezRijn^ampZ>6<2ygcy?>/dlu[bciWjdS4?n;rnlp47(mg|Tkh`Pcov\ekb789::n6}cou32+`hqWnoeSn`{_`lg456798n0aa{10-fjsY`mgUhbyQlmq]da5678;;0aa{10-fjsY`mgUhc`~Paefpqg67896;2?<4smmw54)bfUlicQlolr\eabt}k:;<=2>0?01?vjh|8;$icxPgdl\gjkwWhnoxl?012?5485:2ygcy?>/dlu[bciWjef|Rokdrwa45674885>?5|lnv25*ci~VmnbRm`mq]b`aurj9:;<1?<>308wiis98%nb{Qheo]`khvXimnxyo>?01>20;453zfdx?<3<15>ukg};:#h`y_fgm[fijxVkoh~{m0123868592ygcy?>/dlu[bciWjef|Rokdrwa45674=49=6}cou32+`hqWnoeSnabp^cg`vse89:;080=1:qokq76'ld}Sjka_bmntZgclzi<=>?<7<15>ukg};:#h`y_fgm[fijxVkoh~{m0123828592ygcy?>/dlu[bciWjef|Rokdrwa45674149=6}cou32+`hqWnoeSnabp^cg`vse89:;040>e:qokq76'ld}Sjka_bmntZejxVoz<=>?219phjr69&oezRijn^aliuYdeyUn}=>?0001f>ukg};:#h`y_fgm[fijxVif|Rk~012357)K]]>T@RLZF308wiis98%nb{Qheo]`khvXkdzTi|>?0131+J473zfdx2>=5|lnv25*ci~VmnbRm`mq]`iuYby9:;<;<=0:qokq76'ld}Sjka_bmntZejxVoz<=>?77d8wiis98%nb{Qgomf23>ukg};:#h`y_imo`Zkrp9:;<<74smmw54)bfUccajPmtz3456688k0aa{10-fjsYogenTaxv?0122557f3zfdx?10;2=>ukg};:#h`y_imo`Zkrp9:;9:qokq76'ld}Seacd^ov|5678=;:56}cou32+`hqWaeghRczx1234046j2ygcy?>/dlu[miklVg~t=>?040\64b<{ee=:2^QT44`<{ee=:2^QT4Z7612ygcy?>/dlu[miklVg~t=>?0642=>ukg};:#h`y_imo`Zkrp9:;<59>7:qokq76'ld}Seacd^llp5679830aa{10-fjsYogenTbbz?013124g<{ee=;<>c:qokq76'ld}Seacd^llp5679;/dlu[miklVddx=>?1852e>ukg};:#h`y_imo`Zhh|9:;=49>1b9phjr69&oezRf`le]mkq678836:qokq76'ld}Sd`l_lw{45669>1x`bz>1.gmrZoikVg~t=>?1035?vjh|8;$icxPioa\jjr789;:;6}cou32+`hqW`dhSca{012254><{ee=1`9phjr69&oezRgac^llp56798Um9<94smmw54)bfUbbnQaou23444602ygcy?>/dlu[lhdWge<=>>203b?vjh|8;$icxPioa\jjr789;9Sk;>7:qokq76'ld}Sd`l_omw4566;8=0aa{10-fjsYnfjUecy>?0062=>ukg};:#h`y_hl`[kis89::Sk;>0:qokq76'ld}Sk|eu3;?vjh|8;$icxPrdqfpZhh|9:;=1109phjr69&oezRx`l=3=54=tdf~:="kav^tlh949981x`bz>1.gmrZphd595=<5|lnv25*ci~V|d`1:1119phjr69&oezRx`l^224>ukg};:#h`y_wmo[4773zfdx6:qokq76'ld}S{ac_`lg45679>1x`bz>1.gmrZphdVkeh=>?0035?vjh|8;$icxPvnn\jjr789;:;6}cou32+`hqWegSca{0122546<{ee=1.llmvcXl`|Tbbz?0102=>ukg};:#cafsd]gmsYig}:;8:qokq76'gebhQkiw]mkq678:;m7~b`t03,jjotmVnbzR``t12374YNF_U;=:5|lnv25*hhazoTkh`Pcov?4;703zfdx2ygcy?>/omjw`Y`mgUhbyQ>179phjr69&dde~kPgdl\gkrX:8<0aa{10-mklubWnoeSn`{_235?vjh|8;$bbg|e^efjZei|V>:o6}cou32+kin{lUlicQlnu]bja6789;m7~b`t03,jjotmVmnbRmat^cm`56788:9=k5|lnv25*hhazoTkh`Pcov\ekb789::>>400b?vjh|8;$bbg|e^efjZei|Vkeh=>?0062+ISS;VFTNXH=1:qokq76'gebhQheo]`jqYffm:;<=?;1.M2b>ukg};:#cafsd]dakYdf}Ujbi>?013464`<{ee=/omjw`Y`mgUhbyQnne234546m2ygcy?>/omjw`Y`mgUhbyQnne234547:;1x`bz>1.llmvcXoldToczPaof345658VY\<d:qokq76'gebhQheo]`jqYffm:;<=;>e:qokq76'gebhQheo]`jqYffm:;<=;?289phjr69&dde~kPgdl\gkrXign;<=>:0.NVP7YCWK_M=h5|lnv25*hhazoTkh`Pcov\ekb789:<:?>4smmw54)ig`ynSjka_blw[dhc89:;;;QI219phjr69&dde~kPgdl\gkrXign;<=>86^E24>ukg};:#}acy05?4;763zfdx6:=3?>;rnlp47(xffr=:2>2?32?vjh|8;$|bbv16>27;763zfdx6:93?>;rnlp47(xffr=:2>6?33?vjh|8;$|bbv16>2:46<{ee=1.rlh|704:4:<6}cou32+uikq8=783??;rnlp47(xffr=:2:>028wiis98%{caw>7=4=55=tdf~:="~`lx34828682ygcy?>/qmo}41;07;;7~b`t03,tjj~9>622k5|lnv25*vhdp;0:qokq76'yegu<9P1133?vjh|8;$|bbv16]2546<{ee=1.rlh|70W89:<6}cou32+uikq8=T=9??;rnlp47(xffr=:Q>5028wiis98%{caw>7^35b>ukg};:#}acy05\6c=tdf~:="~`lx34[6`<{ee=/qmo}41X>o1x`bz>1.rlh|70W>l0aa{10-ski6?V2m7~b`t03,tjj~9>U2=85|lnv25*vhdp;068wiis98%{~hjfvblw84799=1x`bz>1.rqaaoqkg~7=?0>4:qokq76'yxnhdxlnu>27;733zfdx7?37?vjh|8;$|kkiwamp97?68>0aa{10-sv`bn~jd0<71129phjr69&zyiigycov?5;733zfdx0aa{10-sv`bn~jd0?;1159phjr69&zyiigycov?6386<2ygcy?>/qpf`lpdf}69;3?;;rnlp47(x{ooe{mat=0;:42<{ee=5|lnv25*vummc}ocz32?37?vjh|8;$|kkiwamp95768>0aa{10-sv`bn~jd0>?1129phjr69&zyiigycov?7;743zfdx5=>5|lnv25*vummc}ocz35?30?vjh|8;$|kkiwamp9099:1x`bz>1.rqaaoqkg~7;3?<;rnlp47(x{ooe{mat=:=56=tdf~:="~}eekugkr;17;97~b`t03,twccaiexR>>2:qokq76'yxnhdxlnu]256=tdf~:="~}eekugkrX99;87~b`t03,twccaiexR?>129phjr69&zyiigycov\57743zfdx5|lnv25*vummc}oczP1530?vjh|8;$|kkiwampZ729:1x`bz>1.rqaaoqkg~T=;?<;rnlp47(x{ooe{mat^3456=tdf~:="~}eekugkrX91;87~b`t03,twccaiexR?6139phjr69&zyiigycov\645<{ee=/qpf`lpdf}U9?<=4smmw54)wzlnbzn`{_3627>ukg};:#}|jdht`jqY5=890aa{10-sv`bn~jdS?8>3:qokq76'yxnhdxlnu]1345<{ee=5|lnv25*vummc}oczP3031?vjh|8;$|kkiwampZ26:2ygcy?>/qpf`lpdf}U>=?5|lnv25*vummc}oczP6008wiis98%{~hjfvblw[2753zfdx6}cou32+utbl`|hbyQ6259phjr69&zyiigycov\ghvXmx:;<=Qxg^az8585<2ygcy?>/qpf`lpdf}Uha}Qjq1234Zq`Wjs7=3<;;rnlp47(x{ooe{mat^antZcv89:;SziPcx>1:72<{ee=95|lnv25*vummc}oczPclr\at6789U|kRmv<5<10>ukg};:#}|jdht`jqYdeyUn}=>?0^ud[f;=78?7~b`t03,twccaiexRmbp^gr4567W~mTot29>368wiis98%{~hjfvblw[fkwWl{;<=>Pwf]`}919:<1x`bz>1.rqaaoqkg~To`~Pep2345YpoVf~x1>1249phjr69&zyiigycov\ghvXmx:;<=Qxg^nvp979:<1x`bz>1.rqaaoqkg~To`~Pep2345YpoVf~x1<1249phjr69&zyiigycov\ghvXmx:;<=Qxg^nvp959:<1x`bz>1.rqaaoqkg~To`~Pep2345YpoVf~x1:1249phjr69&zyiigycov\ghvXmx:;<=Qxg^nvp939:<1x`bz>1.rqaaoqkg~To`~Pep2345YpoVf~x181249phjr69&zyiigycov\ghvXmx:;<=Qxg^nvp9199j1x`bz>1.rqaaoqkg~Tbbz?013\mkpb3zfdx/sgd[5Yig}:;<ukg};:#kh_1]mkq67888:j6}cou32+wc`W8:TP4078wiis98%yijQ>0^llp56798<0aa{10-qabY68Vddx=>?10d8wiis98%yijQ>1^2e?vjh|8;$~hiP10]2b>ukg};:#kh_03\6c=tdf~:="|jg^32[6`<{ee=/sgd[47X>o1x`bz>1.pfcZ76W>;>7~b`t03,v`aX98Uecy>?00d8wiis98%yijQ>2^2e?vjh|8;$~hiP13]2b>ukg};:#kh_00\6c=tdf~:="|jg^31[6`<{ee=/sgd[44X>o1x`bz>1.pfcZ75W>;>7~b`t03,v`aX9;Uecy>?0035?vjh|8;$~hiP13]mkq6788;m7~b`t03,v`aX9:U;j6}cou32+wc`W89T=k5|lnv25*tboV;8S?h4smmw54)umnU:?R=>5:qokq76'{olS<=Pnnv34576>2ygcy?>/sgd[45Xff~;<=?>e:qokq76'{olSe:qokq76'{olS/sgd[4Yig}:;<6:qokq76'{olS1.pfcZ7Xff~;<=?>1.NVP6YCWK_Mi6}cou32+wc`W;U;i6}cou32+wc`W;U:i6}cou32+wc`W;U9i6}cou32+wc`W;U8i6}cou32+wc`W;U?i6}cou32+wc`W;U>i6}cou32+wc`W;U=i6}cou32+wc`W;U<=95|lnv25*tboV8Tbbz?01321>ukg};:#kh_3]mkq6788;n7~b`t03,v`aX;V:n7~b`t03,v`aX;V;n7~b`t03,v`aX;V8n7~b`t03,v`aX;V9:86}cou32+wc`W:Uecy>?0036?vjh|8;$~hiP3^llp56798o0aa{10-qabY3W9o0aa{10-qabY3W8o0aa{10-qabY3W;o0aa{10-qabY3W:o0aa{10-qabY3W=o0aa{10-qabY3W;?7~b`t03,v`aX?1078wiis98%yijQ;_omw45669l1x`bz>1.pfcZ3X8l1x`bz>1.pfcZ3X9l1x`bz>1.pfcZ3X:l1x`bz>1.pfcZ3X;8>0aa{10-qabY2Wge<=>>149phjr69&xnkR;Pnnv34576m2ygcy?>/sgd[3Y7m2ygcy?>/sgd[3Y6m2ygcy?>/sgd[3Y5m2ygcy?>/sgd[3Y4m2ygcy?>/sgd[3Y39=1x`bz>1.pfcZ0Xff~;<=?>8:qokq76'{olS;Qaou2344YT_8o0aa{10-qabY0W9o0aa{10-qabY0W8o0aa{10-qabY0W;o0aa{10-qabY0W:o0aa{10-qabY0W=o0aa{10-qabY0W;?7~b`t03,v`aX?Vddx=>?1078wiis98%yijQ8_omw45669l1x`bz>1.pfcZ>X8l1x`bz>1.pfcZ>X9l1x`bz>1.pfcZ>X:l1x`bz>1.pfcZ>X;l1x`bz>1.pfcZ>X<8>0aa{10-qabY?Wge<=>>149phjr69&xnkR6Pnnv34576m2ygcy?>/sgd[/sgd[/sgd[/sgd[/sgd[1.pfcZ?Xff~;<=?>8:qokq76'{olS4Qaou2344YT_8;<7~b`t03,pjhbWnoeSn`{_0]352=tdf~:="z`nd]dakYdf}U:S1x`bz>1.vlj`Y`mgUhbyQ>_534?vjh|8;$xb`j_fgm[fhsW8U>=:5|lnv25*rhflUlicQlnu]2[3703zfdxR>>7:qokq76'}eeiRijn^ampZ4X9820aa{10-wkkcXoldToczP2^335==tdf~:="z`nd]dakYdf}U9S7:qokq76'}eeiRijn^ampZ4X:8=0aa{10-wkkcXoldToczP2^123>ukg};:#yaae^efjZei|V8T8<94smmw54)sggoTkh`Pcov\6Z36?2ygcy?>/ummaZabfViexRR7>d:qokq76'}eeiRijn^ampZ4Xign;<=>>e:qokq76'}eeiRijn^ampZ4Xign;<=>>169phjr69&~dbhQheo]`jqY4W9;<7~b`t03,pjhbWnoeSn`{_2]25==tdf~:="z`nd]dakYdf}U8S<>>8:qokq76'}eeiRijn^ampZ5X98;<7~b`t03,pjhbWnoeSn`{_2]152=tdf~:="z`nd]dakYdf}U8S>?8;rnlp47(|fdnSjka_blw[6Y39>1x`bz>1.vlj`Y`mgUhbyQ<_434?vjh|8;$xb`j_fgm[fhsW:U==:5|lnv25*rhflUlicQlnu]0[2703zfdxQ7169phjr69&~dbhQheo]`jqY4W0;o7~b`t03,pjhbWnoeSn`{_2]bja6789;n7~b`t03,pjhbWnoeSn`{_2]bja6789;986}cou32+qiimVmnbRm`mq]2[dbc{|h;<=>30?06?vjh|8;$xb`j_fgm[fijxV;Tmij|uc2345:6878>7~b`t03,pjhbWnoeSnabp^3\eabt}k:;<=2>1?07?vjh|8;$xb`j_fgm[fijxV;Tmij|uc2345:66;>0aa{10-wkkcXoldTobc_0]b`aurj9:;<1<1259phjr69&~dbhQheo]`khvX9Vkoh~{m01238685<2ygcy?>/ummaZabfVida}Q>_`fgwpd789:783<;;rnlp47(|fdnSjka_bmntZ7Ximnxyo>?01>6:72<{ee=95|lnv25*rhflUlicQlolr\5Zgclzi<=>?<6<10>ukg};:#yaae^efjZeheyU:Sljkst`3456;078?7~b`t03,pjhbWnoeSnabp^3\eabt}k:;<=26>338wiis98%cckPgdl\gjkwW8Uha}Qjq123475<{ee=>2.NVP1YKWK_M>85|lnv25*rhflUlicQlolr\5ZejxVoz<=>?13-L66=tdf~:="z`nd]dakYdgdzT=Rmbp^gr4567:<887~b`t03,pjhbWnoeSnabp^3\ghvXmx:;<=:6229phjr69&~dbhQheo]`khvX9Vif|Rk~012314433zfdx?0=33:73<{ee=?0=3=61=tdf~:="z`nd]dakYdgdzT>Rokdrwa45674;4986}cou32+qiimVmnbRm`mq]1[dbc{|h;<=>33?07?vjh|8;$xb`j_fgm[fijxV8Tmij|uc2345:36;>0aa{10-wkkcXoldTobc_3]b`aurj9:;<1;1259phjr69&~dbhQheo]`khvX:Vkoh~{m01238385<2ygcy?>/ummaZabfVida}Q=_`fgwpd789:7;3<;;rnlp47(|fdnSjka_bmntZ4Ximnxyo>?01>;:72<{ee=<5|lnv25*rhflUlicQlolr\6ZejxVoz<=>?229phjr69&~dbhQheo]`khvX:Vif|Rk~0123574b3zfdx/ummaZabfVida}Q=_bos[`w789::>"A=3:qokq76'}eeiRijn^aliuY5Wjg{Sh?0121175<{ee=:1368wiis98%cckPgdl\gjkwW:Ujhi}zb1234969:<1x`bz>1.vlj`Y`mgUhc`~P3^cg`vse89:;0<>1249phjr69&~dbhQheo]`khvX;Vkoh~{m01238479:=1x`bz>1.vlj`Y`mgUhc`~P3^cg`vse89:;0<0=4:qokq76'}eeiRijn^aliuY4Whnoxl?012?6;433zfdx?0=6=61=tdf~:="z`nd]dakYdgdzT?Rokdrwa45674<4986}cou32+qiimVmnbRm`mq]0[dbc{|h;<=>36?07?vjh|8;$xb`j_fgm[fijxV9Tmij|uc2345:06;>0aa{10-wkkcXoldTobc_2]b`aurj9:;<161259phjr69&~dbhQheo]`khvX;Vkoh~{m01238<8592ygcy?>/ummaZabfVida}Q<_bos[`w789:9?6}cou32+qiimVmnbRm`mq]0[fkwWl{;<=>>23g8wiis98%cckPgdl\gjkwW:Uha}Qjq123444(D\^?SAQMUG06?vjh|8;$xb`j_fgm[fijxV9To`~Pep234575'F887~b`t03,pjhbWnoeSnabp^1\ghvXmx:;<=<:229phjr69&~dbhQheo]`khvX;Vif|Rk~01230<443zfdx/ummaZabfVe{S?Qaou2346443zfdxR``t12374YNF_U;=95|lnv25*rhflUlicQ`p^12g>ukg};:#yaae^efjZiwW:Uecy>?0200?vjh|8;$xb`j_fgm[jvX;Vddx=>?30]JJSY79:1x`bz>1.ua[dbuW`6>2=?;;rnlp47(kUjhQf<4<2443<{ee=33451=tdf~:="ym_`fq[l:26:::96}cou32+rdXimxTe1;130520>ukg};:#zlPaep\m939<8;m7~b`t03,sgYfl{Ub080;1.NVP1YCWK_M=n5|lnv25*qeWmkSbQ;_lw{45679:3:i6}cou32+rdXlh~TcR:Pmtz34566;0UM=h5|lnv25*qeWmkSbQ;_lw{45679:3TK;o7~b`t03,sgYci}UdS9Qbuy234540WO;o7~b`t03,sgYci}UdS9Qbuy234540WN;i7~b`t03,sgYci}UdS9Qbuy2345>49m1x`bz>1.ua[agsWfU?S`{w0123<6YA9m1x`bz>1.ua[agsWfU?S`{w0123<6Y@9k1x`bz>1.ua[agsWfU>S`{w0123657d3zfdx?0102471<{ee=?0333+ISS;VNTNXH>b:qokq76'~hThlzPo^7\ip~789:951.ua[agsWfU>S`{w0123367d3zfdx?015054e<{ee=?06115`=tdf~:="ym_ecw[jY2Wds<=>?72]e14d<{ee=Vg~t=>?0322f>ukg};:#zlPd`v\kZ0Xe|r;<=>;10c8wiis98%|nRjnt^m\2Zkrp9:;<:?m;rnlp47(kUomyQ`_7]nq}6789=8=n5|lnv25*qeWmkSbQ9_lw{4567?:;:o6}cou32+rdXlh~TcR8Pmtz34560;;;n7~b`t03,sgYci}UdS;Qbuy234514Wo?:n6}cou32+rdXlh~TcR9Pmtz3456588h0aa{10-tfZbf|VeT;Rczx12347?6j2ygcy?>/v`\`drXgV=Taxv?012754g<{ee=?063a?vjh|8;${oQkau]l[2Yj}q:;<=9<1b9phjr69&}iSio{_n]4[hs89:;;>?>c:qokq76'~hThlzPo^5\ip~789:'DkhoxcPvfc\rZianzoSnw310<17>ukg}3$Almlul]ucdYqWflmhzPcx>25;Yu|;:0aa{9.ObgfsjWmjS{Q`fgqfpZe~4885>>5|lnv:+Hgdk|gTzjoPv^mebvcsWjs7=?0Pru03?vjh|0%Fmnmzm^tdeZpXgolxiyQly=30:75<{ee5"Cncbwn[safWUdjk}jt^az8459W{~:j6}cou;,Ided}dU}klQy_ndew`rXkp6>2^pw5c=tdf~2#@olcto\rbgX~Vemj~k{_b{?3;7a3zfdx4!BabaviZp`iV|Tckh|eu]`}9>9:;1x`bz6/Lc`gpkX~nkTzRaifrgw[f;07Uyx^pw65=tdf~2#@olcto\rbgX~Vemj~k{_mww8085>2ygcy7 M`a`qhYqohU}Sbhisdv\hpr;=7UBB[Q?219phjr>'DkhoxcPvfc\rZianzoSa{{<6<12>ukg}3$Almlul]ucdYqWflmhzPltv?3;YNF_U;><5|lnv:+Hgdk|gTzjoPv^mebvcsWqey0<>1209phjr>'DkhoxcPvfc\rZianzoSua}<03=64=tdf~2#@olcto\rbgX~Vemj~k{_ymq8449:81x`bz6/Lc`gpkX~nkTzRaifrgw[}iu4895><5|lnv:+Hgdk|gTzjoPv^mebvcsWqey0<:1259phjr>'DkhoxcPvfc\rZianzoSua}<06=[wr582ygcy7 M`a`qhYqohU}Sbhisdv\|jt;=78;7~b`t8-NefereV|lmRxPogdpaqYg{6=2?>4smmw=*KfkjfS{in_w]lbcub|Vrd~191219phjr>'DkhoxcPvfc\rZianzoSua}<9<14>ukg}3$Almlul]ucdYqWflmhzPxnp?=;473zfdx4!Baef\rbgXzhgTmij}erq\g|:76;90aa{9.Ob`aYqohUym`QndepfwvYdq5:5Sz=1:qokq?(EhnoS{in_scn[dbczlyxSnw311<15>ukg}3$Aljk_web[wgjWhno~h}|_b{?548592ygcy7 M`fg[safW{kfSljkrdqp[f;9;4986}cou;,IdbcWmjSob_`fgv`utWjs7=?0Pru02?vjh|0%FmijPvfc\vdkXimnyi~}Pcx>27;433zfdx4!Baef\rbgXzhgTmij}erq\g|:6;7Uyx?>4smmw=*KflmU}klQ}al]b`atb{zUhu1?1229phjr>'DkohRxha^pbiZgcl{oxRmv<0<\vq473zfdx4!Baef\rbgXzhgTmij}erq\g|:56;90aa{9.Ob`aYqohUym`QndepfwvYdq585Sz=0:qokq?(EhnoS{in_scn[dbczlyxSnw33?00?vjh|0%FmijPvfc\vdkXimnyi~}Pcx>0:Zts:91x`bz6/Lcg`Zp`iVxjaRokdsgpwZe~4=49?6}cou;,IdbcWmjSob_`fgv`utWjs783Q}t328wiis1&GjhiQyg`]qehYflmxn~Qly=7=65=tdf~2#@okd^tdeZtfeVkohk|s^az838582ygcy7 M`fg[safW{kfSljkrdqp[f;?78;7~b`t8-NeabX~nkT~lcPaefqavuXkp632?>4smmw=*KflmU}klQ}al]b`atb{zUhu171239phjr>'DkohRxha^pbiZgcl{oxRbzt=33:74<{ee5"Cnde]ucdYuidUjhi|jsr]oqq:6978:7~b`t8-NeabX~nkT~lcPaefqavuXd|~793<>;rnlp<)JimnTzjoPr`o\eabumzyT`xz36?02?vjh|0%FmijPvfc\vdkXimnyi~}Pltv?3;463zfdx4!Baef\rbgXzhgTmij}erq\hpr;078:7~b`t8-NeabX~nkT~lcPaefqavuXd|~753<>;rnlp<)JimnTzjoPr`o\eabumzyTtb|30?01?vjh|0%FmijPvfc\vdkXimnyi~}Pxnp?5585:2ygcy7 M`fg[safW{kfSljkrdqp[}iu48;5>?5|lnv:+HgclV|lmR|nm^cg`wct{Vrd~1?=>308wiis1&GjhiQyg`]qehYflmxn~Qwos>27;453zfdx4!Baef\rbgXzhgTmij}erq\|jt;9=4996}cou;,IdbcWmjSob_`fgv`utWqey0<:1_sv15>ukg}3$Aljk_web[wgjWhno~h}|_ymq848592ygcy7 M`fg[safW{kfSljkrdqp[}iu4;49=6}cou;,IdbcWmjSob_`fgv`utWqey0>0=1:qokq?(EhnoS{in_scn[dbczlyxSua}<5<15>ukg}3$Aljk_web[wgjWhno~h}|_ymq808592ygcy7 M`fg[safW{kfSljkrdqp[}iu4?49=6}cou;,IdbcWmjSob_`fgv`utWqey0:0=1:qokq?(EhnoS{in_scn[dbczlyxSua}<9<15>ukg}3$Aljk_web[wgjWhno~h}|_ymq8<86j2ygcy7 MbmvjqYokfexRPcx>3:4d<{ee5"Clotlw[meh}g~T}Rmv<0<2a>ukg}3$Anaznu]kgjsi|V{Tot2>>^pw5g=tdf~2#@m`uov\lfirf}UzSnw32?3f?vjh|0%Fob{at^j`kphsWxUhu1<1_sv2f>ukg}3$Anaznu]kgjsi|V{Tot2<>0g8wiis1&Ghcx`{_ialqkrXyVir0>0Pru3a?vjh|0%Fob{at^j`kphsWxUhu1:11d9phjr>'DidyczPhbmvjqYvWjs783Q}t0`8wiis1&Ghcx`{_ialqkrXyVir080>e:qokq?(Eje~byQgcnwmpZwXkp6>2R|{1c9phjr>'DidyczPhbmvjqYvWjs7:3?j;rnlp<)JkfexRflotlw[tYdq5<5Sz>b:qokq?(Eje~byQgcnwmpZwXkp6<24T~y?m;rnlp<)JkfexRflotlw[tYdq525=h5|lnv:+Heh}g~Tdnaznu]r[f;07Uyx6Vx=n5|lnv:+Heh}g~Tdnaznu]r[iss4949>6}cou;,Ifirf}Ucob{at^s\hpr;87UBB[Q?189phjr>'DidyczPhbmvjqYvWkg=l5|lnv:+Heh}g~Tdnaznu]r[sgk98i0aa{9.O`kphsWaidyczPq^zlv9699m1x`bz6/LalqkrX`je~byQ~_ymq8469:91x`bz6/LalqkrX`je~byQ~_ymq8469W{~:o6}cou;,Ifirf}Ucob{at^s\|jt;97;h7~b`t8-Ngjsi|Vbhcx`{_p]{kw:568i0aa{9.O`kphsWaidyczPq^zlv9599j1x`bz6/LalqkrX`je~byQ~_ymq8186k2ygcy7 MbmvjqYokfexRPxnp?1;7d3zfdx4!BcnwmpZndg|dS|Qwos>5:4e<{ee5"Clotlw[meh}g~T}Rv`r=5=5f=tdf~2#@m`uov\lfirf}UzSua}<9<2g>ukg}3$Anaznu]kgjsi|V{Ttb|39?3;?vjh|0%Fob{at^jgmvvXkp6;2<74smmw=*Kdg|dSejfsq]`}97768i0aa{9.O`kphsWanb}Qly=33:Zts911x`bz6/LalqkrX`mcx|Rmv<0<2f>ukg}3$Anaznu]k`luwWjs7=3Q}t0:8wiis1&Ghcx`{_ifjwuYdq585=o5|lnv:+Heh}g~Tdig|p^az878Xz};37~b`t8-Ngjsi|Vboe~~Pcx>0:4d<{ee5"Clotlw[mbn{yUhu1=1_sv2<>ukg}3$Anaznu]k`luwWjs783?m;rnlp<)JkfexRfkirr\g|:36Vx=55|lnv:+Heh}g~Tdig|p^az8086j2ygcy7 MbmvjqYol`y{Snw35?]qp4><{ee5"Clotlw[mbn{yUhu1811c9phjr>'DidyczPhekptZe~4?4T~y?7;rnlp<)JkfexRfkirr\g|:068h0aa{9.O`kphsWanb}Qly=5=[wr602ygcy7 MbmvjqYol`y{Snw38?3a?vjh|0%Fob{at^jgmvvXkp632R|{199phjr>'DidyczPhekptZe~404:n6}cou;,Ifirf}Uchd}_b{?=;Yu|830aa{9.O`kphsWanb}Qcuu>3:4`<{ee5"Clotlw[mbn{yUgyy2?>^KMRZ6612ygcy7 MbmvjqYol`y{Sua}<1<2e>ukg}3$Anaznu]k`luwWqey0<>11`9phjr>'DidyczPhekptZ~hz5;:21:4?<{ee5"Clotlw[mbn{yUsc2<>0;8wiis1&Ghcx`{_ifjwuYg{6?2<74smmw=*Kdg|dSejfsq]{kw:26830aa{9.O`kphsWanb}Qwos>5:4?<{ee5"Clotlw[mbn{yUsc28>0;8wiis1&Ghcx`{_ifjwuYg{632<74smmw=*Kdg|dSejfsq]{kw:>6;:0aa{9.O`kphsWaemjRv`r=2=54YNF_U;=l5|lnv:+Heh}g~Tdbhi_ymq848698k0aa{9.O`kphsWaemjRv`r=0=547e3zfdx4!BcnwmpZpdg|dS|Qly=2=5g=tdf~2#@m`uov\rfirf}UzSnw31?3f?vjh|0%Fob{at^t`kphsWxUhu1?1_sv2f>ukg}3$Anaznu]ugjsi|V{Tot2=>0g8wiis1&Ghcx`{_walqkrXyVir0?0Pru3a?vjh|0%Fob{at^t`kphsWxUhu1=11d9phjr>'DidyczPvbmvjqYvWjs7?3Q}t0`8wiis1&Ghcx`{_walqkrXyVir090>e:qokq?(Eje~byQycnwmpZwXkp6?2R|{1c9phjr>'DidyczPvbmvjqYvWjs793?j;rnlp<)JkfexRxlotlw[tYdq5?5Sz>b:qokq?(Eje~byQycnwmpZwXkp6=26}cou;,Ifirf}U}ob{at^s\hpr;87UBB[Q?189phjr>'DidyczPvbmvjqYvWkg=l5|lnv:+Heh}g~Tznaznu]r[sgk98i0aa{9.O`kphsWidyczPq^zlv9699j1x`bz6/LalqkrX~je~byQ~_ymq8486k2ygcy7 MbmvjqYqkfexRPxnp?6;7d3zfdx4!BcnwmpZpdg|dS|Qwos>0:4e<{ee5"Clotlw[seh}g~T}Rv`r=6=5f=tdf~2#@m`uov\rfirf}UzSua}<4<2g>ukg}3$Anaznu]ugjsi|V{Ttb|36?3`?vjh|0%Fob{at^t`kphsWxUsc28>0a8wiis1&Ghcx`{_walqkrXyVrd~1611b9phjr>'DidyczPvbmvjqYvWqey040>f:qokq?(Eje~byQycnwmpZwXpfx753Q}t328wiis1&Ghcx`{_wmebZ~hz5:5=10c8wiis1&Ghcx`{_wmebZ~hz585=;rnlp<)oWzseoRzfcj3`?vjh|0%cS~wac^vjgnYdeyUn}=>?00f8wiis1&bTt`l_uk`oZejxVoz<=>?1338wiis1&bTt`l_uk`oZejxVoz<=>?1^QT447<{ee5"flotlw[t:76880aa{9.j`kphsWx6:<3?>;rnlp<)okfexR31?32?vjh|0%cob{at^s?6;763zfdx4!gcnwmpZw;;7;:7~b`t8-kgjsi|V{783?>;rnlp<)okfexR35?32?vjh|0%cob{at^s?2;763zfdx4!gcnwmpZw;?7;:7~b`t8-kgjsi|V{743?>;rnlp<)okfexR39?33?vjh|0%cob{at^s\446<{ee5"flotlw[tY6981x`bz6/ialqkrXyV;;==5|lnv:+meh}g~T}R<>0:qokq?(`je~byQ~_233?vjh|0%cob{at^s\046<{ee5"flotlw[tY2991x`bz6/ialqkrXyV<:<6}cou;,lfirf}UzS:??;rnlp<)okfexRP8028wiis1&bhcx`{_p]:b>ukg}3$dig|p=33:c=tdf~2#ejfsq>25;`<{ee5"fkirr?578a3zfdx4!gdhqs8459m2ygcy7 hekpt949m2ygcy7 hekpt959m2ygcy7 hekpt929m2ygcy7 hekpt939m2ygcy7 hekpt909m2ygcy7 hekpt919m2ygcy7 hekpt9>9m2ygcy7 hekpt9?9m2ygcy7 hekptZ77m2ygcy7 hekptZ76m2ygcy7 hekptZ75m2ygcy7 hekptZ74l2ygcy7 hekptZ4c3zfdx4!gdhqs[6b<{ee5"fkirr\0a=tdf~2#ejfsq]6`>ukg}3$dig|p^4g?vjh|0%chd}_6f8wiis1&boe~~P8e9phjr>'anb}Q6129phjr>'anb}Qnne2345733zfdx4!gdhqs[dhc89:;=<84smmw=*ncazzTo`~Pep23457?3zfdx4!gdhqs[fkwWl{;<=>>00:8wiis1&boe~~Pclr\at6789;:=55|lnv:+mbn{yUha}Qjq12347?602ygcy7 hekptZejxVoz<=>?3030?vjh|0%chd}_omw45669=1x`bz6/ifjwuYig}:;<?_RU3e>ukg}3$~lc 159phjr>'{kf#`gPaefqlZw6j2ygcy7 r`o,ilYuidU;Sio{_n>3:4d<{ee5"|nm.oj[wgjW9UomyQ`<0<2f>ukg}3$~lc mh]qehY7WmkSb2=>0`8wiis1&xja"cf_scn[5Yci}Ud0>0>b:qokq?(zhg$adQ}al]3[agsWf6?23:4d<{ee5"|nm.oj[wgjW8UomyQ`<0<2f>ukg}3$~lc mh]qehY6WmkSb2=>0`8wiis1&xja"cf_scn[4Yci}Ud0>0>b:qokq?(zhg$adQ}al]2[agsWf6?2_ecw[j:168h0aa{9.pbi*knW{kfSukg}3$~lc r`o3+HtfeVXJA??7;rnlp<)uid%ym`> Mscn[WGJ;820aa{9.pbi*tfe9%F~lcPR@O75==tdf~2#ob/scn4*KuidUYM@;>8:qokq?(zhg$~lc?/LpbiZTFE?;37~b`t8-qeh)uid:$Aob_SCN34><{ee5"|nm.pbi5)JzhgT^LC7f:qokq?(zhg$~lc>/0:8wiis1&xja"|nm0-NvdkXZHG:=55|lnv:+wgj'{kf="C}al]QEH46;2ygcy7 r`o,rZgcl{bT}<:4smmw=*tfe&|Thlzn<1<251=tdf~2#ob/w]geqg;97;:86}cou;,vdk(~Vnjxl2=>037?vjh|0%ym`!y_ecwe95998>0aa{9.pbi*pXlh~j090>159phjr>'{kf#{Qkauc?1;76<2ygcy7 r`o,rZbf|h6=20;8wiis1&xja"xPr`o\4Zbf|h6:2<74smmw=*tfe&|T~lcP0^fbpd:56830aa{9.pbi*pXzhgT0:4?<{ee5"|nm.t\vdkX8Vnjxl2;>0;8wiis1&xja"xPr`o\4Zbf|h6>2<74smmw=*tfe&|T~lcP0^fbpd:16830aa{9.pbi*pXzhgT4:42<{ee5"|nm.t\vdkX8Voe=o5|lnv:+wgj'Uym`Q?_dl2[LHQW9;27~b`t8-qeh)qW{kfS3zfdx4!}al-u[wgjW8Uomyo31?3:?vjh|0%ym`!y_scn[4Yci}k7>3?6;rnlp<)uid%}Sob_0]geqg;;7;27~b`t8-qeh)qW{kfS3zfdx4!}al-u[wgjW8Uomyo35?3:?vjh|0%ym`!y_scn[4Yci}k7:3?6;rnlp<)uid%}Sob_0]geqg;?7i0aa{9.pbiZqbl2ygcy7 r`o\s`7682ygcy7 rdcg[`hcmm;37~b`t8-qadbXmgnnhRoad12344?<{ee5"|jae]fjaccWhdo<=>?10c8wiis1&xnmiQjnegg[dhc89:;=ukg}3$~~z~2g9phjr>'Uoe~~cax30?vjh|0%}Sig|pmcz[lhq9h1x`bz6/w]gmvvkipUbb{?PIOT\441<{ee5"xPdhqshdXff~;<=?>9:qokq?(~Vnb}bny^llp56798?:56}cou;,rZbn{yfjuR``t123574612ygcy7 v^fjwujfqVddx=>?1352<>ukg}3$zRjfsqnb}Zhh|9:;=5?>;rnlp<)qkfexR30?32?vjh|0%}ob{at^s?5;763zfdx4!ycnwmpZw;:7;:7~b`t8-ugjsi|V{7?3?>;rnlp<)qkfexR34?32?vjh|0%}ob{at^s?1;763zfdx4!ycnwmpZw;>7;:7~b`t8-ugjsi|V{7;3?>;rnlp<)qkfexR38?32?vjh|0%}ob{at^s?=;773zfdx4!ycnwmpZwX88:0aa{9.t`kphsWxU:==5|lnv:+seh}g~T}R<>0:qokq?(~je~byQ~_233?vjh|0%}ob{at^s\046<{ee5"xlotlw[tY2991x`bz6/walqkrXyV<:<6}cou;,rfirf}UzS:??;rnlp<)qkfexRP8028wiis1&|hcx`{_p]:53=tdf~2#{m`uov\uZgil9:;<<94smmw=*pdg|dS|Qnne23457b3zfdx4!yg`]a858b3zfdx4!yg`]a848c3zfdx4!yg`]a[5b<{ee5"xha^`\5`=tdf~2#{in_f>3:`=tdf~2#{in_f>2:`=tdf~2#{in_f>1:a=tdf~2#{in_f]3`>ukg}3$zjoPg^3g?vjh|0%}klQh_3d8wiis1&|lmRf|yoa23>ukg}3$zjoPhr{mgZgil9:;<<94smmw=*p`iVbxucmPmtz3456602ygcy7 vfc\lvikVg~t=>?033:?vjh|0%}klQgsxl`[hs89:;>=o5|lnv:+safWayrbnQbuy2345YT_9o0aa{9.tdeZt;87o0aa{9.tdeZt;97o0aa{9.tdeZt;:7n0aa{9.tdeZtX8m1x`bz6/web[wY6l2ygcy7 vfc\vZ4602ygcy7 vfc\vdkXimnyi~}30?3:?vjh|0%}klQ}al]b`atb{z6:<3?6;rnlp<)qohUym`Qndepfwv:697;27~b`t8-ucdYuidUjhi|jsr>26;7>3zfdx4!yg`]qehYflmxn~2>3?3:?vjh|0%}klQ}al]b`atb{z6:83?7;rnlp<)qohUym`Qndepfwv:66820aa{9.tdeZtfeVkohk|s=0=5==tdf~2#{in_scn[dbczlyx0>0>8:qokq?(~nkT~lcPaefqavu;<7;37~b`t8-ucdYuidUjhi|jsr>6:4><{ee5"xha^pbiZgcl{ox181199phjr>'mjSob_`fgv`ut4>4:46}cou;,rbgXzhgTmij}erq?<;7?3zfdx4!yg`]qehYflmxn~26>078wiis1&|lmR|nm^fbpd:768?0aa{9.tdeZtfeVnjxl2>>078wiis1&|lmR|nm^fbpd:568?0aa{9.tdeZtfeVnjxl2<>078wiis1&|lmR|nm^fbpd:368?0aa{9.tdeZtfeVnjxl2:>078wiis1&|lmR|nm^fbpd:168?0aa{9.tdeZtfeVnjxl28>048wiis1&|lmRxPogdpaq:687;=7~b`t8-ucdYqWflmhz310<22>ukg}3$zjoPv^mebvcs4885=;5|lnv:+safWUdjk}jt=30:40<{ee5"xha^t\kc`tm}6:83?:;rnlp<)qohU}Sbhisdv?1;723zfdx4!yg`]u[j`a{l~7:3?:;rnlp<)qohU}Sbhisdv?3;723zfdx4!yg`]u[j`a{l~743?:;rnlp<)qohU}Sbhisdv?=;723zfdx4!yg`]u[j`a{l~T==?:;rnlp<)qohU}Sbhisdv\54723zfdx4!yg`]u[j`a{l~T=??:;rnlp<)qohU}Sbhisdv\56723zfdx4!yg`]u[j`a{l~T=9?;;rnlp<)qohU}Sbhisdv\142<{ee5"xha^t\kc`tm}U==95|lnv:+safWUdjk}jt^520>ukg}3$zjoPv^mebvcsW1;?7~b`t8-ucdYqWflmhzP90f8wiis1&|lmRxPogdpaqYdeyUn}=>?00d8wiis1&|lmRxPogdpaqYdeyUn}=>?0022b>ukg}3$zjoPv^mebvcsWjg{Sh?012104`<{ee5"xha^t\kc`tm}Uha}Qjq1234626i2ygcy7 vfc\rZianzoSca{01225g=tdf~2#{in_w]lbcub|Vddx=>?10d8wiis1&|lmRx|yoa23>ukg}3$zjoPvr{mgZgil9:;<<74smmw=*p`iV|xucmPaof3456X:8h0aa{9.tdeZptqgiTmcj?012\WR66?2ygcy7 vfc\rvikVg~t=>?00`8wiis1&|lmRx|yoa\ip~789:T_Z>k;rnlp<)qgol7<3j4smmw=*phno6:2i5|lnv:+sian585o6}cou;,rj`aW9i0aa{9.tlbcY6k2ygcy7 vnde[7763zfdx4!yogd\kw6789;>7~b`t8-ukc`Xg{:;<=Q\W1`8wiisWhi`0<0m;rnlpZgdc5=5=:5|lnv\eabumzy7<32>6?]jjs7c3zfdxRokdsgpw96948<5Sd`y_HLU[5713zfdxRokdsgpw9694;<5S<>>7:qokqYflmxn~2?>=05:Z7798=0aa{_`fgv`ut4947>;0P11023>ukg}Ujhi|jsr>3:9416V;;8<94smmw[dbczlyx0=0327<\5536?2ygcyQndepfwv:7658=2R??6048wiisWhno~h}|<17U:=8:qokqYflmxn~2?>=05:Z769;;j7~b`t^cg`wct{5:50?81_032[c36?2ygcyQndepfwv:7658=2R?>20:8wiisWhno~h}|<12^d652=tdf~Tmij}erq?4;:5>7U:=>?8;rnlpZgcl{ox1>1<34=[470901x`bzPaefqavu;8769:3Q>1^d65==tdf~Tmij}erq?4;:5>7U>Sk8>9:qokqYflmxn~2?>=05:Z3Xn?;:m6}cou]b`atb{z6;21<9>^7\b3779h1x`bzPaefqavu;8769:3Q:_g4254g<{eeSljkrdqp858;:?4T9Rh9133b?vjh|Vkohk|s=2=8709Wa:qokqYflmxn~2?>=05:Z3Xn?;?=l5|lnv\eabumzy7<32=6?]6[c06=8k0aa{_`fgv`ut4947>;0P5^d5537f3zfdxRokdsgpw9694;<5S8Qi6052e>ukg}Ujhi|jsr>3:9416V?Tj;?71`9phjrXimnyi~}30?>12;Y2Wo<:5<74smmw[dbczlyx0=0327<\1Z`1:8k0aa{_`fgv`ut4947>;0P5^d5657f3zfdxRokdsgpw9694;<5S8Qi6332e>ukg}Ujhi|jsr>3:9416V?Tj;<=1`9phjrXimnyi~}30?>12;Y2Wo<9?7U>Sk8=70c8wiisWhno~h}|<15?n;rnlpZgcl{ox1>1<34=[0Ya>;3:56}cou]b`atb{z6;21<9>^7\b356i2ygcyQndepfwv:7658=2R;Pf7135<=tdf~Tmij}erq?4;:5>7U>Sk8;189phjrXimnyi~}30?>12;Y2Wo<>=45|lnv\eabumzy7<32=6?]6[c01901x`bzPaefqavu;8769:3Q:_g445<=tdf~Tmij}erq?4;:5>7U>Sk87189phjrXimnyi~}30?>12;Y2Wo<2=l5|lnv\eabumzy7<32=6?]6[c0X88k0aa{_`fgv`ut4947>;0P5^d5[47e3zfdxRokdsgpw9694;<5S8Qi6^335g=tdf~Tmij}erq?4;:5>7U>Sk8P103a?vjh|Vkohk|s=2=8709W12;Y2Wo?m;rnlpZgcl{ox1>1<34=[0Ya>V;?=o5|lnv\eabumzy7<32=6?]6[c0X9<;i7~b`t^cg`wct{5:50?81_4]e2Z719k1x`bzPaefqavu;8769:3Q:_g4\527e3zfdxRokdsgpw9694;<5S8Qi6^3;5g=tdf~Tmij}erq?4;:5>7U>Sk8P183b?vjh|Vkohk|s=2=8709Wb:qokqYflmxn~2?>=05:Z3Xn?U9<^7\b3Y5:8h0aa{_`fgv`ut4947>;0P5^d5[756j2ygcyQndepfwv:7658=2R;Pf7]104d<{eeSljkrdqp858;:?4T9Rh9_372f>ukg}Ujhi|jsr>3:9416V?Tj;Q=60`8wiisWhno~h}|<1b:qokqYflmxn~2?>=05:Z3Xn?U94^7\b3Y49h1x`bzPaefqavu;8769:3Q:_g4\04g<{eeSljkrdqp858;:?4T9Rh9_43b?vjh|Vkohk|s=2=8709Wa:qokqYflmxn~2?>=05:Z3Xn?U<=l5|lnv\eabumzy7<32=6?]6[c0X08k0aa{_`fgv`ut4947>;0P5^d5[<7?3zfdxRokdsgpw9694;<5S;Qi50;8wiisWhno~h}|<1Vl>=7U=Sk;>30c8wiisWhno~h}|<1Vl>=9?n;rnlpZgcl{ox1>1<34=[3Ya=8?:m6}cou]b`atb{z6;21<9>^4\b0719h1x`bzPaefqavu;8769:3Q9_g7234g<{eeSljkrdqp858;:?4T:Rh:193b?vjh|Vkohk|s=2=8709W?Um9<7>9:qokqYflmxn~2?>=05:Z0Xn<8:m6}cou]b`atb{z6;21<9>^4\b0479h1x`bzPaefqavu;8769:3Q9_g7154g<{eeSljkrdqp858;:?4T:Rh:233b?vjh|Vkohk|s=2=8709W?Um9?=>a:qokqYflmxn~2?>=05:Z0Xn<8?=l5|lnv\eabumzy7<32=6?]5[c35=8k0aa{_`fgv`ut4947>;0P6^d6637f3zfdxRokdsgpw9694;<5S;Qi5352e>ukg}Ujhi|jsr>3:9416V12;Y1Wo?95<74smmw[dbczlyx0=0327<\2Z`2;8k0aa{_`fgv`ut4947>;0P6^d6757>3zfdxRokdsgpw9694;<5S;Qi553:?vjh|Vkohk|s=2=8709W?Um98?6;rnlpZgcl{ox1>1<34=[3Ya=?;27~b`t^cg`wct{5:50?81_7]e127>3zfdxRokdsgpw9694;<5S;Qi593:?vjh|Vkohk|s=2=8709W?Um94?n;rnlpZgcl{ox1>1<34=[3Ya=V::h6}cou]b`atb{z6;21<9>^4\b0Y7W{~:m6}cou]b`atb{z6;21<9>^4\b0Y69k1x`bzPaefqavu;8769:3Q9_g7\557b3zfdxRokdsgpw9694;<5S;Qi5^33[wr6j2ygcyQndepfwv:7658=2R8Pf4]254c<{eeSljkrdqp858;:?4T:Rh:_03\vq7e3zfdxRokdsgpw9694;<5S;Qi5^315`=tdf~Tmij}erq?4;:5>7U=Sk;P13]qp4d<{eeSljkrdqp858;:?4T:Rh:_012a>ukg}Ujhi|jsr>3:9416V3^pw5g=tdf~Tmij}erq?4;:5>7U=Sk;P153f?vjh|Vkohk|s=2=8709W?Um9R?;_sv2f>ukg}Ujhi|jsr>3:9416V50g8wiisWhno~h}|<1Vl>S<;Pru3a?vjh|Vkohk|s=2=8709W?Um9R?91d9phjrXimnyi~}30?>12;Y1Wo?T=;Q}t0`8wiisWhno~h}|<1Vl>S<9>e:qokqYflmxn~2?>=05:Z0Xn12;Y1Wo?T=5?j;rnlpZgcl{ox1>1<34=[3Ya=V;3Sz>b:qokqYflmxn~2?>=05:Z0Xn1<34=[3Ya=V;T~y?n;rnlpZgcl{ox1>1<34=[3Ya=V8:n6}cou]b`atb{z6;21<9>^4\b0Y588o0aa{_`fgv`ut4947>;0P6^d6[76Xz};i7~b`t^cg`wct{5:50?81_7]e1Z469l1x`bzPaefqavu;8769:3Q9_g7\64Yu|8h0aa{_`fgv`ut4947>;0P6^d6[746m2ygcyQndepfwv:7658=2R8Pf4]16Zts9k1x`bzPaefqavu;8769:3Q9_g7\667b3zfdxRokdsgpw9694;<5S;Qi5^00[wr6j2ygcyQndepfwv:7658=2R8Pf4]104c<{eeSljkrdqp858;:?4T:Rh:_36\vq7e3zfdxRokdsgpw9694;<5S;Qi5^065`=tdf~Tmij}erq?4;:5>7U=Sk;P24]qp4d<{eeSljkrdqp858;:?4T:Rh:_342a>ukg}Ujhi|jsr>3:9416V7U=Sk;P263f?vjh|Vkohk|s=2=8709W?Um9R<8_sv2f>ukg}Ujhi|jsr>3:9416VVl>S?6Pru3a?vjh|Vkohk|s=2=8709W?Um9R<61d9phjrXimnyi~}30?>12;Y1Wo?T>4Q}t0f8wiisWhno~h}|<1Vl>S?Q}t0c8wiisWhno~h}|<1Vl>S>?k;rnlpZgcl{ox1>1<34=[3Ya=V9T~y?n;rnlpZgcl{ox1>1<34=[3Ya=V>:h6}cou]b`atb{z6;21<9>^4\b0Y3W{~:m6}cou]b`atb{z6;21<9>^4\b0Y29m1x`bzPaefqavu;8769:3Q9_g7\1Zts9h1x`bzPaefqavu;8769:3Q9_g7\24b<{eeSljkrdqp858;:?4T:Rh:_7]qp4g<{eeSljkrdqp858;:?4T:Rh:_63g?vjh|Vkohk|s=2=8709W?Um9R9Pru3b?vjh|Vkohk|s=2=8709W?Um9R6>d:qokqYflmxn~2?>=05:Z0Xna:qokqYflmxn~2?>=05:Z0Xn7~b`t^cg`wct{5:50?81_635?vjh|Vkohk|s=2=8709W>;:;6}cou]b`atb{z6;21<9>^52441<{eeSljkrdqp858;:?4T;7:qokqYflmxn~2?>=05:Z16:8=0aa{_`fgv`ut4947>;0P70123>ukg}Ujhi|jsr>3:9416V=:8<94smmw[dbczlyx0=0327<\3436?2ygcyQndepfwv:7658=2R9>6058wiisWhno~h}|<1^52<41<{eeSljkrdqp858;:?4T;<7>6:qokqYflmxn~2?>=05:Z159>1x`bzPaefqavu;8769:3Q82134?vjh|Vkohk|s=2=8709W>8:=:5|lnv\eabumzy7<32=6?]467703zfdxRokdsgpw9694;<5S:<<169phjrXimnyi~}30?>12;Y0:=;<7~b`t^cg`wct{5:50?81_60652=tdf~Tmij}erq?4;:5>7U<>;?8;rnlpZgcl{ox1>1<34=[2409>1x`bzPaefqavu;8769:3Q82934?vjh|Vkohk|s=2=8709W>82=;5|lnv\eabumzy7<32=6?]4741<{eeSljkrdqp858;:?4T;>>>6:qokqYflmxn~2?>=05:Z139?1x`bzPaefqavu;8769:3Q85048wiisWhno~h}|<1ukg}Ujhi|jsr>3:9416V=3=;5|lnv\eabumzy7<32=6?]4=4><{eeSljkrdqp858;:?4T;Rh:189phjrXimnyi~}30?>12;Y0Wo?:=l5|lnv\eabumzy7<32=6?]4[c3688k0aa{_`fgv`ut4947>;0P7^d6547f3zfdxRokdsgpw9694;<5S:Qi5002e>ukg}Ujhi|jsr>3:9416V=Tj8?<1`9phjrXimnyi~}30?>12;Y0Wo?:87U80c8wiisWhno~h}|<1=4?6;rnlpZgcl{ox1>1<34=[2Ya=;;j7~b`t^cg`wct{5:50?81_6]e1766i2ygcyQndepfwv:7658=2R9Pf4025d=tdf~Tmij}erq?4;:5>7U>>?n;rnlpZgcl{ox1>1<34=[2Ya=;>:m6}cou]b`atb{z6;21<9>^5\b0429h1x`bzPaefqavu;8769:3Q8_g7124g<{eeSljkrdqp858;:?4T;Rh:263b?vjh|Vkohk|s=2=8709W>Um9?6>a:qokqYflmxn~2?>=05:Z1Xn<82=45|lnv\eabumzy7<32=6?]4[c349h1x`bzPaefqavu;8769:3Q8_g7044?<{eeSljkrdqp858;:?4T;Rh:40;8wiisWhno~h}|<19<74smmw[dbczlyx0=0327<\3Z`2>830aa{_`fgv`ut4947>;0P7^d634?<{eeSljkrdqp858;:?4T;Rh:80;8wiisWhno~h}|<15ukg}Ujhi|jsr>3:9416V=Tj8Q>20`8wiisWhno~h}|<1S<=>b:qokqYflmxn~2?>=05:Z1Xn^5\b0Y6>8h0aa{_`fgv`ut4947>;0P7^d6[416j2ygcyQndepfwv:7658=2R9Pf4]2<4d<{eeSljkrdqp858;:?4T;Rh:_0;2e>ukg}Ujhi|jsr>3:9416V=Tj8Q=1c9phjrXimnyi~}30?>12;Y0Wo?T>=?m;rnlpZgcl{ox1>1<34=[2Ya=V8:=o5|lnv\eabumzy7<32=6?]4[c3X:;;i7~b`t^cg`wct{5:50?81_6]e1Z449k1x`bzPaefqavu;8769:3Q8_g7\617e3zfdxRokdsgpw9694;<5S:Qi5^065g=tdf~Tmij}erq?4;:5>7UUm9R<81c9phjrXimnyi~}30?>12;Y0Wo?T>5?m;rnlpZgcl{ox1>1<34=[2Ya=V82=l5|lnv\eabumzy7<32=6?]4[c3X;8k0aa{_`fgv`ut4947>;0P7^d6[17f3zfdxRokdsgpw9694;<5S:Qi5^72e>ukg}Ujhi|jsr>3:9416V=Tj8Q91`9phjrXimnyi~}30?>12;Y0Wo?T;2ygcyQndepfwv:7658=2R6>169phjrXimnyi~}30?>12;Y?98;<7~b`t^cg`wct{5:50?81_93052=tdf~Tmij}erq?4;:5>7U3=8?8;rnlpZgcl{ox1>1<34=[=709>1x`bzPaefqavu;8769:3Q71834?vjh|Vkohk|s=2=8709W18:=:5|lnv\eabumzy7<32=6?];66703zfdxRokdsgpw9694;<5S5<:169phjrXimnyi~}30?>12;Y?:>;<7~b`t^cg`wct{5:50?81_90:53=tdf~Tmij}erq?4;:5>7U3?<94smmw[dbczlyx0=0327<\<676?2ygcyQndepfwv:7658=2R6<3058wiisWhno~h}|<1^:0341<{eeSljkrdqp858;:?4T4>7>7:qokqYflmxn~2?>=05:Z>398=0aa{_`fgv`ut4947>;0P85123>ukg}Ujhi|jsr>3:9416V2?9<94smmw[dbczlyx0=0327<\<116?2ygcyQndepfwv:7658=2R6;9048wiisWhno~h}|<17U39>?8;rnlpZgcl{ox1>1<34=[=329>1x`bzPaefqavu;8769:3Q75634?vjh|Vkohk|s=2=8709W1?2=:5|lnv\eabumzy7<32=6?];24713zfdxRokdsgpw9694;<5S59>6:qokqYflmxn~2?>=05:Z>>9<1x`bzPaefqavu;8769:3Q6179phjrXimnyi~}30?>12;Y>98=0aa{_`fgv`ut4947>;0P90223>ukg}Ujhi|jsr>3:9416V3:=<94smmw[dbczlyx0=0327<\=446?2ygcyQndepfwv:7658=2R7>3058wiisWhno~h}|<1:;6}cou]b`atb{z6;21<9>^;2141<{eeSljkrdqp858;:?4T5<8>7:qokqYflmxn~2?>=05:Z?6?8=0aa{_`fgv`ut4947>;0P90:23>ukg}Ujhi|jsr>3:9416V3:5<84smmw[dbczlyx0=0327<\=7703zfdxRokdsgpw9694;<5S412;Y>:8;<7~b`t^cg`wct{5:50?81_80152=tdf~Tmij}erq?4;:5>7U2>>?8;rnlpZgcl{ox1>1<34=[<439>1x`bzPaefqavu;8769:3Q62434?vjh|Vkohk|s=2=8709W08==:5|lnv\eabumzy7<32=6?]:62703zfdxRokdsgpw9694;<5S4<7169phjrXimnyi~}30?>12;Y>:0;=7~b`t^cg`wct{5:50?81_8123>ukg}Ujhi|jsr>3:9416V38<<84smmw[dbczlyx0=0327<\=1713zfdxRokdsgpw9694;<5S4;>6:qokqYflmxn~2?>=05:Z?19?1x`bzPaefqavu;8769:3Q67048wiisWhno~h}|<11c:qokqYdqj6:=3l4smmw[fd4;4i7~b`t^azg959j2ygcyQlyb>6:g=tdf~Totm36?`8wiisWjsh0:0i;rnlpZtbim6;21>1f:qokqYumhn7<32>>g9phjrXzlko0=032?d8wiisW{ojh1>1<2=6=b>ukg}Uyilj30?>6:c=tdf~T~hok<14smmw[wcfl5;;21<1119phjrXzlko0<>1<2<24>ukg}Uyilj31124;:268:0aa{_sgb`97765<5==5|lnv\v`gc48:50:0>0:qokqYumhn7=<034?d8wiisW{ojh1?1<1>=3=b>ukg}Uyilj31?>1:c=tdf~T~hok<31:97768:0aa{_sgb`94948;5==5|lnv\v`gc4;47=?0>0:qokqYumhn7>32>3?33?vjh|Vxnmi2=>=37:46<{eeSknd=0=8439991x`bzPrdcg878;9?4:<6}cou]qadb;:76:;3??;rnlpZtbim6921?7>028wiisW{ojh1<1<0;=b>ukg}Uyilj32?>2:46<{eeSknd=0=8769991x`bzPrdcg878;:84:<6}cou]qadb;:769>3??;rnlpZtbim6921<<>028wiisW{ojh1<1<36=55=tdf~T~hok<37;;7~b`t^pfea:5658<2<>4smmw[wcfl5850?61119phjrXzlko0?0328=0=55=tdf~T~hok<35j6}cou]qadb;:76>2k5|lnv\v`gc4;47:3h4smmw[wcfl5850:0i;rnlpZtbim692161f:qokqYumhn7>326>g9phjrXzlko0>030?33?vjh|Vxnmi2<>=33:46<{eeSknd=1=8479991x`bzPrdcg868;9;4:<6}cou]qadb;;76:?3??;rnlpZtbim6821?;>028wiisW{ojh1=1<07=55=tdf~T~hok<24smmw[wcfl5950<71f:qokqYumhn7?32>>028wiisW{ojh1=1<32=55=tdf~T~hok<24smmw[wcfl5950?:1119phjrXzlko0>0324<24>ukg}Uyilj33?>12;773zfdxR|jae>0:94068:0aa{_sgb`9594;25==5|lnv\v`gc4:47>40i;rnlpZtbim6821<1119phjrXzlko0>0331<24>ukg}Uyilj33?>05;`<{eeSknd=1=868a3zfdxR|jae>0:929n2ygcyQ}e`f?7;:26o1x`bzPrdcg868;>7l0aa{_sgb`9594>4m7~b`t^pfea:46525j6}cou]qadb;;7622k5|lnv\v`gc4?47<3h4smmw[wcfl5<50<0i;rnlpZtbim6=21<1f:qokqYumhn7:32<>g9phjrXzlko0;034?d8wiisW{ojh181<4=4=b>ukg}Uyilj36?>4:c=tdf~T~hok<74:969991x`bzPrdcg828;994:<6}cou]qadb;?76:=3??;rnlpZtbim6<21?=>028wiisW{ojh191<01=55=tdf~T~hok<64783h4smmw[wcfl5=5080i;rnlpZtbim6<2181f:qokqYumhn7;328>g9phjrXzlko0:038?d8wiisW{ojh191<8<:?vvfz}ke?h84sxq`hn0<{pyyyo4sxqqwqYnfi0xdcjrr]jjvr(9?1e`k}s^kmwq)sadoy0xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxTc{hPclr\at6789Uhu1?1359wmhcu{Vcey!{ilgq4Zoi{}%Fobcas]lrcYdeyUn}=>?0^az8784<2~bah||_hlpp*rnelx;Sd`|t.O`khvfzVe}jRmbp^gr4567Wjs7?3=;;uknawuXagy#ygbes2\mkus'Dida}o}_nte[fkwWl{;<=>Pcx>7:62<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXglTo`~Pep2345Ydq5?5?95{ilgqwZoi{}%e`k}0^kmwq)Jkfg{mQ`vg]`iuYby9:;rnelxxSd`|t.vji`t7W`dxx"ClolrbvZiqnVif|Rk~0123[f;?79>7ygbesq\mkus'}cfi>Pioqw+HeheykySbxi_bos[`w789:T`xz30?16?qojm{yTec}{/uknaw6Xagy#@m`mqcq[jpaWjg{Sh?012\hpr;979>7ygbesq\mkus'}cfi>Pioqw+HeheykySbxi_bos[`w789:T`xz32?16?qojm{yTec}{/uknaw6Xagy#@m`mqcq[jpaWjg{Sh?012\hpr;;79>7ygbesq\mkus'}cfi>Pioqw+HeheykySbxi_bos[`w789:T`xz34?16?qojm{yTec}{/uknaw6Xagy#@m`mqcq[jpaWjg{Sh?012\hpr;=79>7ygbesq\mkus'}cfi>Pioqw+HeheykySbxi_bos[`w789:T`xz36?16?qojm{yTec}{/uknaw6Xagy#@m`mqcq[jpaWjg{Sh?012\hpr;?79j7ygbesq\mkus'}cfi>Pioqw+HeheykyS}yb_nww[5YdeyUli=>?0^az8584j2~bah||_hlpp*rnelx;Sd`|t.O`khvfzVz|aRazt^2\ghvXol:;<=Qly=33:6d<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXx~gTcxzP0^antZab89:;Snw310<0f>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Uhu1?=>2`8plkbzzUbb~z thofv5Ynfz~$Anabp`p\trkXg|~T0l4thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ppvo\kprX8Vif|Rij0123[f;9<48m6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]`}979;h1e`k}s^kmwq)sadoyPcx>1:6g<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXx~gTcxzP0^antZab89:;Snw33?1b?qojm{yTec}{/uknaw6Xagy#@m`mqcq[uqjWfS=Qlmq]da5678Vir090?_b{?3;5f3}cfi}Pioqw+qojm{:Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;rnelxxSd`|t.vji`t7W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Uhu1713c9wmhcu{Vcey!{ilgq4Zoi{}%Fobcas]sshYh}}U;Snc_fg3456Xd|~7<3=l;uknawuXagy#ygbes2\mkus'Dida}o}_qun[jssW9Uha}Qhe1234Zjr|5;;2>m4thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ppvo\kprX8Vif|Rij0123[iss48;5?n5{ilgqwZoi{}%e`k}0^kmwq)Jkfg{mQwl]lqqY7Wjg{Sjk?012\hpr;9;48o6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]oqq:6;79h7ygbesq\mkus'}cfi>Pioqw+HeheykyS}yb_nww[5YdeyUli=>?0^nvp9736:i0xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxT|zcPotv\4ZejxVmn<=>?_mww8439;k1e`k}s^kmwq)sadoyPltv?5;5e3}cfi}Pioqw+qojm{:Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;Pioqw+HeheykyS}yb_nww[5YdeyUli=>?0^nvp9>9;k1e`k}s^kmwq)sadoyPltv?=;5f3}cfi}Pioqw+qojm{:Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;rnelxxSd`|t.vji`t7W`dxx"ClolrbvZvpeVe~xR>Pclr\hq6789Uhu1??>2`8plkbzzUbb~z thofv5Ynfz~$Anabp`p\trkXg|~Tl4thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[f;9:48n6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~R~xm^mvpZ6XkdzT`y>?01]`}9736:h0xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxT|zcPotv\4ZejxVf<=>?_b{?5084i2~bah||_hlpp*rnelx;Sd`|t.O`khvfzVz|aRazt^2\ghvXd}:;<=Qly=3=7d=sadoyRgasu-wmhcu8Vcey!BcnosewYwdUdyyQ?_bos[ir789:Tot2=>2c8plkbzzUbb~z thofv5Ynfz~$Anabp`p\trkXg|~T?01]`}939;h1e`k}s^kmwq)sadoyPcx>5:6g<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXx~gTcxzP0^antZjs89:;Snw37?1b?qojm{yTec}{/uknaw6Xagy#@m`mqcq[uqjWfS=Qlmq]op5678Vir050Pioqw+HeheykyS}yb_nww[5YdeyUgx=>?0^nvp9776:i0xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxT|zcPotv\4ZejxVf<=>?_mww8479;j1e`k}s^kmwq)sadoyPltv?5784k2~bah||_hlpp*rnelx;Sd`|t.O`khvfzVz|aRazt^2\ghvXd}:;<=Qcuu>27;5d3}cfi}Pioqw+qojm{:Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;5?o5{ilgqwZoi{}%e`k}0^kmwq)Jkfg{mQwl]lqqY7Wjg{Saz?012\hpr;=79i7ygbesq\mkus'}cfi>Pioqw+HeheykyS}yb_nww[5YdeyUgx=>?0^nvp909;k1e`k}s^kmwq)sadoyPltv?3;5e3}cfi}Pioqw+qojm{:Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:697Uyx?l4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;9;49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}9756Vx>o5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:6;78n7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az8459W{~9n6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}9736;o0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?518Xz}8i7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az8439:l1e`k}s^kmwq)sadoy21;Yu|;h0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?5385m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=35:Zts:k1e`k}s^kmwq)sadoy23;4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<05=[wr5j2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=3;:7c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw319<\vq4e3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<0;=6`=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2>9?]qp7g<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw31?0g?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0<0Pru0a?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0?>12d9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp69<3Q}t3`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7><0=e:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYdq58:2R|{2c9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp69>35>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:5<7Uyx?l4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;:<49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}9426Vx>o5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:5>78n7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az8709W{~9n6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}9406;o0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?628Xz}8i7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az87>9:l1e`k}s^kmwq)sadoy1<;Yu|;h0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?6<85m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=0::Zts:h1e`k}s^kmwq)sadoy1:7b<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw32?]qp7d<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw331<1a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1=?>^pw6d=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2<>3f8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7?3Q}t3c8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7835:Zts:h1e`k}s^kmwq)sadoy4:7b<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw37?]qp7g<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw38?0g?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir050Pru0b?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir040=d:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYdq535Sz=b:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYk}}6;2>?4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[iss494TECXP03`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey0=0=c:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{6:<3n5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\|jt;9:49o6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]{kw:6<78h7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^zlv9726;i0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_ymq8409:j1e`k}s^kmwq)sadoy2<;4d3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rv`r=3::7d<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<0<1g>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc2=0?0`?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVrd~1<>>3a8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey0?<12b9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXpfx7>>0=c:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{69832?m4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[}iu4;<5>n5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\|jt;:>49o6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]{kw:5078h7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^zlv94>6;h0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_ymq8785k2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qwos>04;4d3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rv`r=12:7`<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<23=[wr5j2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qwos>0:7d<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<5<1f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc2:>3`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey0;0=b:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{6<2?l4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[}iu4149n6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]{kw:>6;n0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}Ua|!cov\g|:76;o0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}Ua|!cov\g|:6878n7ygbesq\mkus'}cfi>Pioqw+Heh}g~Tx`|}.blw[f;9849i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r/ampZe~4885>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs,`jqYdq5;82?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp-gkrXkp6:833000f?qojm{yTec}{/uknaw6Xagy#@m`uov\phtu&jdSha_031b>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuz'iexRk~n^3257c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{x%oczPepl\574a3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxy"n`{_dsm[446:l1e`k}s^kmwq)sadoyk5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs,`jqYbygU:8<rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuz'iexRk~n^61a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuz'iexRk~n^626a=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{$hbyQjqo]66`=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{$hbyQjqo]657b<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{x%oczPepl\27c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{x%oczPepl\244c3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxy"n`{_dsm[24b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxy"n`{_dsm[275l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^grjZ>5m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^grjZ>6:m1e`k}s^kmwq)sadoy:l1e`k}s^kmwq)sadoy9;o0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}Ua|!cov\hpr;878m7ygbesq\mkus'}cfi>Pioqw+Heh}g~Tx`|}.blw[iss48:5>k5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs,`jqYk}}6:=33?0e?qojm{yTec}{/uknaw6Xagy#@m`uov\phtu&jdSa{{<06=6c=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{$hbyQcuu>21;4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxy"n`{_mww8485m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^nvp949:l1e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}.blw[iss4<49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r/ampZjr|5<5>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs,`jqYk}}6<2?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp-gkrXd|~74312g9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^vnvw(df}Usc2>0?0e?qojm{yTec}{/uknaw6Xagy#@m`uov\phtu&jdSua}<03=6c=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{$hbyQwos>26;4a3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxy"n`{_ymq8459:o1e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}.blw[}iu48?5>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs,`jqYg{6:2?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp-gkrXpfx7>30=e:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_uoqv+ei|Vrd~1:12d9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^vnvw(df}Usc2:>3g8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwt)kg~Ttb|36?0f?qojm{yTec}{/uknaw6Xagy#@m`uov\phtu&jdSua}<6<1a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuz'iexRv`r=:=6`=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{$hbyQwos>::7b<|`gn~~Qfnrv,plkbz9Ubb~z Mlw{[rdXlh~Tc1>1_3]e17g<|`gn~~Qfnrv,plkbz9Ubb~z Mlw{[rdXlh~Tc1>1_20b?qojm{yTec}{/uknaw6Xagy#@czx^ua[agsWf6;2R:=e:vji`ttW`dxx"zfmdp3[lht|&GfyuQxb^fbpZi;994T>Rh:2c9wmhcu{Vcey!{ilgq4Zoi{}%FaxvPwc]geqYh48:5S>i5{ilgqwZoi{}%e`k}0^kmwq)Je|rT{oQkau]l848X:Vl>>l5{ilgqwZoi{}%e`k}0^kmwq)Je|rT{oQkau]l848X;;k0xdcjrr]jjvr(|`gn~=Qfnrv,IhsW~hThlzPo=3=[14c3}cfi}Pioqw+qojm{:Tec}{/Lov|ZqeWmkSb2=>^0\b04f3}cfi}Pioqw+qojm{:Tec}{/Lov|ZqeWmkSb2=>^11e>rnelxxSd`|t.vji`t7W`dxx"Cbuy]tfZbf|Ve7>3Q;2e9wmhcu{Vcey!{ilgq4Zoi{}%FaxvPwc]geqYh4:4T>Rh:2`9wmhcu{Vcey!{ilgq4Zoi{}%FaxvPwc]geqYh4:4T??o4thofvvYnfz~$xdcjr1]jjvr(EdsSzlPd`v\k959W=8o7ygbesq\mkus'}cfi>Pioqw+HkrpV}iSio{_n>7:Z4Xn<8j7ygbesq\mkus'}cfi>Pioqw+HkrpV}iSio{_n>7:Z55i2~bah||_hlpp*rnelx;Sd`|t.Onq}YpjVnjxRa34?]76a=sadoyRgasu-wmhcu8Vcey!Bmtz\sgYci}Ud080P2^d66d=sadoyRgasu-wmhcu8Vcey!Bmtz\sgYci}Ud080P33c8plkbzzUbb~z thofv5Ynfz~$A`{w_v`\`drXg5?5S9Pioqw+K759h1e`k}s^kmwq)sadoya:vji`ttW`dxx"zfmdp3[lht|&D<><5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1>1239wmhcu{Vcey!{ilgq4Zoi{}%Yi~{ct=2=574<|`gn~~Qfnrv,plkbz9Ubb~z Rdqvhq:687887ygbesq\mkus'}cfi>Pioqw+Wct}e~7==0>239wmhcu{Vcey!{ilgq4Zoi{}%Yi~{ct=32:75<|`gn~~Qfnrv,plkbz9Ubb~z Rdqvhq:697;9>6zfmdpp[lht|&~bah|?_hlpp*Tb{|f0<<1229wmhcu{Vcey!{ilgq4Zoi{}%Yi~{ct=31:4453}cfi}Pioqw+qojm{:Tec}{/Sgpqir;9:49?6zfmdpp[lht|&~bah|?_hlpp*Tb{|f0<=11308plkbzzUbb~z thofv5Ynfz~$^h}zlu>20;443}cfi}Pioqw+qojm{:Tec}{/Sgpqir;9=4:>?5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1?:>318plkbzzUbb~z thofv5Ynfz~$^h}zlu>21;75:2~bah||_hlpp*rnelx;Sd`|t.Pfwpjs48<5>?5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1?8>308plkbzzUbb~z thofv5Ynfz~$^h}zlu>2<;453}cfi}Pioqw+qojm{:Tec}{/Sgpqir;9049=6zfmdpp[lht|&~bah|?_hlpp*Tb{|f0<0=2:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<0<267=sadoyRgasu-wmhcu8Vcey!]erwop9476;80xdcjrr]jjvr(|`gn~=Qfnrv,V`urd}69=3<=;uknawuXagy#ygbes2\mkus'[oxyaz323<16>rnelxxSd`|t.vji`t7W`dxx"\jstnw8759:;1e`k}s^kmwq)sadoy?5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1<7>308plkbzzUbb~z thofv5Ynfz~$^h}zlu>1=;463}cfi}Pioqw+qojm{:Tec}{/Sgpqir;:7897ygbesq\mkus'}cfi>Pioqw+Wct}e~7>3?=2:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<22=67=sadoyRgasu-wmhcu8Vcey!]erwop9566;;0xdcjrr]jjvr(|`gn~=Qfnrv,V`urd}682?<4thofvvYnfz~$xdcjr1]jjvr(Zly~`y2<>002?qojm{yTec}{/uknaw6Xagy#_k|umv?0;453}cfi}Pioqw+qojm{:Tec}{/Sgpqir;<7;9=6zfmdpp[lht|&~bah|?_hlpp*Tb{|f080=2:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<4<264=sadoyRgasu-wmhcu8Vcey!]erwop909:;1e`k}s^kmwq)sadoy308plkbzzUbb~z thofv5Ynfz~$^h}zlu>4:4463}cfi}Pioqw+qojm{:Tec}{/Sgpqir;07897ygbesq\mkus'}cfi>Pioqw+Wct}e~743?=1:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<8<16>rnelxxSd`|t.vji`t7W`dxx"\jstnw8<86:91e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"Z\C^s?578592~bah||_hlpp*rnelx;Sd`|t.VPGZw;9:49=6zfmdpp[lht|&~bah|?_hlpp*RTKV{7=90=1:vji`ttW`dxx"zfmdp3[lht|&^XOR314<15>rnelxxSd`|t.vji`t7W`dxx"Z\C^s?538592~bah||_hlpp*rnelx;Sd`|t.VPGZw;9>49=6zfmdpp[lht|&~bah|?_hlpp*RTKV{7=50=1:vji`ttW`dxx"zfmdp3[lht|&^XOR318<14>rnelxxSd`|t.vji`t7W`dxx"Z\C^s?5;463}cfi}Pioqw+qojm{:Tec}{/UQ@[t:5878:7ygbesq\mkus'}cfi>Pioqw+QUDWx69=3<>;uknawuXagy#ygbes2\mkus']YHS|2=2?02?qojm{yTec}{/uknaw6Xagy#Y]L_p>17;463}cfi}Pioqw+qojm{:Tec}{/UQ@[t:5<78:7ygbesq\mkus'}cfi>Pioqw+QUDWx6993<>;uknawuXagy#ygbes2\mkus']YHS|2=6?02?qojm{yTec}{/uknaw6Xagy#Y]L_p>13;463}cfi}Pioqw+qojm{:Tec}{/UQ@[t:5078:7ygbesq\mkus'}cfi>Pioqw+QUDWx6953338plkbzzUbb~z thofv5Ynfz~$X^MPq=13:77<|`gn~~Qfnrv,plkbz9Ubb~z TRA\u9566;:0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXy595>=5{ilgqwZoi{}%e`k}0^kmwq)S[JUz090=0:vji`ttW`dxx"zfmdp3[lht|&^XOR35?03?qojm{yTec}{/uknaw6Xagy#Y]L_p>5:76<|`gn~~Qfnrv,plkbz9Ubb~z TRA\u919:91e`k}s^kmwq)sadoy4328plkbzzUbb~z thofv5Ynfz~$X^MPq^3665=sadoyRgasu-wmhcu8Vcey![SB]r[40582~bah||_hlpp*rnelx;Sd`|t.VPGZwX9>8;7ygbesq\mkus'}cfi>Pioqw+QUDWxU:4?>4thofvvYnfz~$xdcjr1]jjvr(\ZIT}R?61g9wmhcu{Vcey!{ilgq4Zoi{}%__NQ~_303?qojm{yTec}{/uknaw6Xagy#Y]L_p]1476<|`gn~~Qfnrv,plkbz9Ubb~z TRA\uZ46:91e`k}s^kmwq)sadoy>8;7ygbesq\mkus'}cfi>Pioqw+QUDWxU94?>4thofvvYnfz~$xdcjr1]jjvr(\ZIT}R<61g9wmhcu{Vcey!{ilgq4Zoi{}%__NQ~_203?qojm{yTec}{/uknaw6Xagy#Y]L_p]0476<|`gn~~Qfnrv,plkbz9Ubb~z TRA\uZ569o1e`k}s^kmwq)sadoyPioqw+QUDWxU>=k5{ilgqwZoi{}%e`k}0^kmwq)S[JUzS;?i;uknawuXagy#ygbes2\mkus']YHS|Q81g9wmhcu{Vcey!{ilgq4Zoi{}%__NQ~_93e?qojm{yTec}{/uknaw6Xagy#Y]L_p]:6a=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,04=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,Ifirf}Uhcx`{es]`}969<81e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Ngjsi|Vidyczjr^az8783<2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)JkfexRm`uovfvZe~4;4T~y:>;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySnw33?67?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.O`kphsWje~byk}_b{?7;Yu|=;0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'DidyczPcnwmp`tXkp6?29:4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#@m`uov\gjsi|lxTot2;>^pw04=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,Ifirf}Uhcx`{es]`}939<=1e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Ngjsi|Vidyczjr^az838Xz}>:7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&Ghcx`{_bmvjqcuWjs7;3:;;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySnw37?]qp17<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Heh}g~Tob{atdp\g|:?6=>0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'DidyczPcnwmp`tXkp632R|{439wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z MbmvjqYdg|diQcuu>3:1><|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Heh}g~Tob{atdp\hpr;87UBB[Q?439wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z MbmvjqYdg|diQwos>3:14<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Heh}g~Tob{atdp\|jt;97>97ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&Ghcx`{_bmvjqcuWqey0?0;2:vji`ttW`dxx"zfmdp3[lht|&zy"yc}0qpfwfgkmVcey!BcnwmpZeh}g~n~Rv`r=1=07=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,Ifirf}Uhcx`{es]{kw:36=80xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'DidyczPcnwmp`tXpfx793:=;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySua}<7<76>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Ngjsi|Vidyczjr^zlv919<;1e`k}s^kmwq)sadoyrdq`eicXagy#@m`uov\gjsi|lxTtb|39?66?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.O`kphsWje~byk}_ymq8<8Xz}9n7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&GfyuQjvdlw[lY5Wo<8i6zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%FaxvPewgmpZoX;Vl>?o5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$A`{w_dtfjqYnW=9n7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&GfyuQjvdlw[lY3Wo?8n6zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%FaxvPewgmpZoX=:i0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'Dg~tRkyeov\mZ36;k1e`k}s^kmwq)sadoy248plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/Sgpqir;;79=7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&Xnxb{<5<02>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Qavsk|5?5?;5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$^h}zlu>5:60<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Wct}e~7;3=9;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"\jstnw8=84>2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)Umzgx171349wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z cj3361Yv;:1e`k}s^kmwq)sadoyPioqw+ut)|dx;|k|c`nf[lht|&i`8R<3:vji`ttW`dxx"zfmdp3[lht|&zy"yc}0qpfwfgkmVcey!lk76\u64<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+fm?Wx9<7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&idyczjr=2=72=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,gjsi|lx7=3=8;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"m`uovfv949;>1e`k}s^kmwq)sadoy5?:5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$ob{atdp?1;503}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*eh}g~n~181369wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z cnwmp`t;?79<7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&idyczjr=:=72=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,gjsi|lx753=9;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"m`uovfvZ64>2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)dg|diQ>379wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z cnwmp`tX::<0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'je~byk}_215?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.alqkrbzV>8:6zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%hcx`{es]673=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,gjsi|lxT:>84thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#naznugq[2513}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*eh}g~n~R6<6:vji`ttW`dxx"zfmdp3[lht|&zy"yc}0qpfwfgkmVcey!lotlwawY>;j1e`k}s^kmwq)sadoyPioqw+uqjWfS=Pioqw+qkuz'if|R?=3:vji`ttW`dxx"zfmdp3[lht|&~f~ lmq]2475<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*fkwW8;9?6zfmdpp[lht|&~bah|?_hlpp*rjz{$ha}Q>2318plkbzzUbb~z thofv5Ynfz~$x`|}.bos[455;2~bah||_hlpp*rnelx;Sd`|t.vnvw(deyU:8?=4thofvvYnfz~$xdcjr1]jjvr(|dxy"nc_0716>rnelxxSd`|t.vji`t7W`dxx"zbrs,`iuY5:;1e`k}s^kmwq)sadoy?5{ilgqwZoi{}%e`k}0^kmwq)se{x%o`~P7308plkbzzUbb~z thofv5Ynfz~$x`|}.bos[=453}cfi}Pioqw+qojm{:Tec}{/uoqv+ejxV39>6zfmdpp[lht|&~bah|?_hlpp*rjz{$hbyQ?239wmhcu{Vcey!{ilgq4Zoi{}%a|!cov\575<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*fhsW8:9?6zfmdpp[lht|&~bah|?_hlpp*rjz{$hbyQ>1318plkbzzUbb~z thofv5Ynfz~$x`|}.blw[445;2~bah||_hlpp*rnelx;Sd`|t.vnvw(df}U:??=4thofvvYnfz~$xdcjr1]jjvr(|dxy"n`{_0617>rnelxxSd`|t.vji`t7W`dxx"zbrs,`jqY6=;80xdcjrr]jjvr(|`gn~=Qfnrv,phtu&jdS?<=;uknawuXagy#ygbes2\mkus'}gy~#mat^116>rnelxxSd`|t.vji`t7W`dxx"zbrs,`jqY3:;1e`k}s^kmwq)sadoy?5{ilgqwZoi{}%e`k}0^kmwq)se{x%oczP9328plkbzzUbb~z thofv5Ynfz~$x`|}.ekq5c=sadoyRgasu-wmhcu8Vcey!{msp-ak473}cfi}Pioqw+qojm{:Tec}{/uoqv+obf;;0xdcjrr]jjvr(|`gn~=Qfnrv,phtu&`d~?=4thofvvYnfz~$xdcjr1]jjvr(|dxy"}|js^217>rnelxxSd`|t.vji`t7W`dxx"zbrs,sv`uX9;90xdcjrr]jjvr(|`gn~=Qfnrv,phtu&yxnR<=4:vji`ttW`dxx"zfmdp3[lht|&~f~ rdqqwq4b3}cfi}Pioqw+qojm{:Tec}{/uoqv+vpe{6;2#mbpikdlZ65m2~bah||_hlpp*rnelx;Sd`|t.vnvw(wdx7<3 lmqjjcmY6:o1e`k}s^kmwq)sadoyPioqw+qkuz'z|a2?>/antmo``V;:>k5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}<1<-ghvoanbT=?3:+ejxacldR?<2g9wmhcu{Vcey!{ilgq4Zoi{}%a|!pvoq858)kdzcejfP150e?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|eghh^366`=sadoyRgasu-wmhcu8Vcey!{msp-trku494%o`~gifj\67c<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*uqjz5:5"nchhek[64b3}cfi}Pioqw+qojm{:Tec}{/uoqv+vpe{6;2#mbpikdlZ25m2~bah||_hlpp*rnelx;Sd`|t.vnvw(wdx7<3 lmqjjcmY2:l1e`k}s^kmwq)sadoy;o0xdcjrr]jjvr(|`gn~=Qfnrv,phtu&y}f~1>1.bosllaoW>8n7ygbesq\mkus'}cfi>Pioqw+qkuz'z|a2?>/antmo``V29i6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iunnoaU2>i5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}<1<-ghvkg~U;>i5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}<1<-ghvkg~U:>h5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}<1<-ghvkg~U:3:+ejxee|S<<=e:vji`ttW`dxx"zfmdp3[lht|&~f~ wlp?4;(deyfd{R?<2d9wmhcu{Vcey!{ilgq4Zoi{}%a|!pvoq858)kdzgczQ>43g8plkbzzUbb~z thofv5Ynfz~$x`|}.qunv969&jg{`byP140g?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|aax_30g?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|aax_20g?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|aax_50g?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|aax_40g?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|aax_70g?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|aax_60g?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|aax_90g?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|aax_804?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'oe>k5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}b=2=*fkw``mcS=319wmhcu{Vcey!{ilgq4Zoi{}%a|!pvoqf969&jg{ddig_0204>rnelxxSd`|t.vji`t7W`dxx"zbrs,sshte494%o`~gifj\54573}cfi}Pioqw+qojm{:Tec}{/uoqv+vpe{h7<3 lmqjjcmY6:::0xdcjrr]jjvr(|`gn~=Qfnrv,phtu&y}f~o2?>/antmo``V;8?=5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}b=2=*fkw``mcS<:<0:vji`ttW`dxx"zfmdp3[lht|&~f~ wlpa858)kdzcejfP140e?qojm{yTec}{/uknaw6Xagy#yc}r/rtiwd;87$ha}ffgi]16c=sadoyRgasu-wmhcu8Vcey!{msp-trkuj5:5"nchhek[64a3}cfi}Pioqw+qojm{:Tec}{/uoqv+vpe{h7<3 lmqjjcmY3:o1e`k}s^kmwq)sadoy1.bosllaoW<8m7ygbesq\mkus'}cfi>Pioqw+qkuz'z|al30?,`iunnoaU=>k5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}b=2=*fkw``mcS:rnelxxSd`|t.vji`t7W`dxx"zbrs,sshte494%o`~cov]26c=sadoyRgasu-wmhcu8Vcey!{msp-trkuj5:5"nclnu\554a3}cfi}Pioqw+qojm{:Tec}{/uoqv+vpe{h7<3 lmqnlsZ76:o1e`k}s^kmwq)sadoy1.boshjqX9;8m7ygbesq\mkus'}cfi>Pioqw+qkuz'z|al30?,`iujhV;8>k5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}b=2=*fkwdf}T=9rnelxxSd`|t.vji`t7W`dxx"zbrs,sshte494%o`~cov]66`=sadoyRgasu-wmhcu8Vcey!{msp-trkuj5:5"nclnu\27c<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*uqjzk6;2#mbpmmt[24b3}cfi}Pioqw+qojm{:Tec}{/uoqv+vpe{h7<3 lmqnlsZ>5m2~bah||_hlpp*rnelx;Sd`|t.vnvw(wdxi0=0!clrokrY>:11e`k}s^kmwq)sadoy1.dl17>rnelxxSd`|t.vji`t7W`dxx"zbrs,vtavX8;90xdcjrr]jjvr(|`gn~=Qfnrv,phtu&|zo|R?=8:vji`ttW`dxx"zfmdp3[lht|&~f~Qlmq]mkq67888j7ygbesq\mkus'}cfi>Pioqw+qkuzVif|R``t1235474=2~bah||_hlpp*rnelx;Sd`|t.vnvwYdeyUecy>?0032+ISS<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fhsWhdo<=>>289wmhcu{Vcey!{ilgq4Zoi{}%a|Pcov\ekb789;:?95{ilgqwZoi{}%e`k}0^kmwq)se{xToczPaof34576'E__8RJPBTD1<>rnelxxSd`|t.vji`t7W`dxx"zbrs]`jqYig}:;<<W88n7ygbesq\mkus'}cfi>Pioqw+qkuzViexR``t12354?X[^:8<6zfmdpp[lht|&~bah|?_hlpp*rjz{UhbyQaou23447>WZ];S<<6;uknawuXagy#ygbes2\mkus'}gy~Rmat^llp5679?8?7ygbesq\mkus'}cfi>Pioqw+qkuzVnb~Rgav3`8plkbzzUbb~z thofv5Ynfz~$x`|}_ekq[lhq:VCEZR>=8:vji`ttW`dxx"zfmdp3[lht|&~f~Qfeo]bja6789827ygbesq\mkus'}cfi>Pioqw+qkuzVcnbRoad123444>3}cfi}Pioqw+qojm{:Tec}{/uoqvZoi|{Ufyu>?010b?qojm{yTec}{/uknaw6Xagy#yc}r^kmpwYj}q:;<=?=9:vji`ttW`dxx"zfmdp3[lht|&~f~Qfnup\jjr789;9n6zfmdpp[lht|&~bah|?_hlpp*rjz{Ubby|Pnnv345769:<0xdcjrr]jjvr(|`gn~=Qfnrv,phtuW`d~R``t123547(D\^?SIQMUG0g?qojm{yTec}{/uknaw6Xagy#yc}r^kmpwYig}:;<_30a?qojm{yTec}{/uknaw6Xagy#yc}r^kmpwYig}:;<94thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybr=2=[fkw``mcS`{w0123858402~bah||_hlpp*rnelx;Sd`|t.vnvwYwdx7<3QlmqjjcmYj}q:;<=2?>01;?qojm{yTec}{/uknaw6Xagy#yc}r^rtiw:76Vif|eghh^ov|56785;;2>74thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybr=2=[fkw``mcS`{w012384699:20xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_bosllaoWds<=>?<03=7<=sadoyRgasu-wmhcu8Vcey!{msp\trku494To`~gifj\ip~789:7=<0>399wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzcejfPmtz3456;9;4856zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|30?]`iunnoaUfyu>?01>26;7402~bah||_hlpp*rnelx;Sd`|t.vnvwYwdx7<3QlmqjjcmYj}q:;<=2>3?1:?qojm{yTec}{/uknaw6Xagy#yc}r^rtiw:76Vif|eghh^ov|56785;82<=7;uknawuXagy#ygbes2\mkus'}gy~R~xms>3:ZejxacldRczx12349736:30xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_bosllaoWds<=>?<06=56><|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snchhek[hs89:;0<;1389wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzcejfPmtz3456;9<4:?:5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}<1<\ghvoanbTaxv?012?5;5?3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2RmbpikdlZkrp9:;<1?11258plkbzzUbb~z thofv5Ynfz~$x`|}_qunv969Wjg{ddig_lw{45674;4846zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|30?]`iunnoaUfyu>?01>1:4503}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2RmbpikdlZkrp9:;<1=1399wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzcejfPmtz3456;;7;8;6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|30?]`iunnoaUfyu>?01>7:6><|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snchhek[hs89:;090>369wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzcejfPmtz3456;=7937ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antmo``Vg~t=>?0=7=561<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snchhek[hs89:;0;0<8:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;YdeybbkeQbuy2345:1689<7ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antmo``Vg~t=>?0=5=7==sadoyRgasu-wmhcu8Vcey!{msp\trku494To`~gifj\ip~789:7;3?<7:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;YdeybbkeQbuy2345:?6:20xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_bosllaoWds<=>?<9<272=sadoyRgasu-wmhcu8Vcey!{msp\trku494To`~gifj\ip~789:753=7;uknawuXagy#ygbes2\mkus'}gy~R~xms>3:ZejxacldRczx12349?99:<0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_boshjqXe|r;<=>30?14?qojm{yTec}{/uknaw6Xagy#yc}r^rtiw:76Vif|aax_lw{4567494:?:5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}<1<\ghvkg~Ufyu>?01>24;5?3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2Rmbpmmt[hs89:;0<>11258plkbzzUbb~z thofv5Ynfz~$x`|}_qunv969Wjg{`byPmtz3456;984846zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|30?]`iujhVg~t=>?0=32:4503}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2Rmbpmmt[hs89:;0<<1399wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzgczQbuy2345:6:7;8;6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|30?]`iujhVg~t=>?0=30:6><|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snclnu\ip~789:7=>0>369wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzgczQbuy2345:6<7937ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antiipWds<=>?<06=561<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snclnu\ip~789:7=80<8:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;Ydeyfd{Rczx1234972689=7ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antiipWds<=>?<0<03>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht;87Uha}b`w^ov|56785;5=>84thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybr=2=[fkwdf}Taxv?012?6;503}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2Rmbpmmt[hs89:;0?0>379wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzgczQbuy2345:46:=0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_boshjqXe|r;<=>33?302>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht;87Uha}b`w^ov|56785>5?:5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}<1<\ghvkg~Ufyu>?01>7:4513}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2Rmbpmmt[hs89:;080<7:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;Ydeyfd{Rczx12349399:<0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_boshjqXe|r;<=>36?14?qojm{yTec}{/uknaw6Xagy#yc}r^rtiw:76Vif|aax_lw{45674?4:?;5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}<1<\ghvkg~Ufyu>?01>4:61<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snclnu\ip~789:7;3?<6:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;Ydeyfd{Rczx12349>9;>1e`k}s^kmwq)sadoy015?qojm{yTec}{/uknaw6Xagy#yc}r^rtiw:76Vif|aax_lw{45674048;6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|30?]`iujhVg~t=>?0=;=57`<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Sh`Pmtz3456492~bah||_hlpp*rnelx;Sd`|t.vnvwYwdx7<3Qjn^ov|56788;8=6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|30?]fjZkrp9:;<>?<3:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;YbfVg~t=>?0^QT465<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Sh`Pmtz3456X[^;896zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|m<1<\ghvoanbTbbz?01302>rnelxxSd`|t.vji`t7W`dxx"zbrs]sshte494To`~gifj\jjr789;:?:5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}b=2=[fkw``mcSca{0122545>3}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{h7<3QlmqjjcmYig}:;<?0016?qojm{yTec}{/uknaw6Xagy#yc}r^rtiwd;87Uha}b`w^llp567989;7ygbesq\mkus'}cfi>Pioqw+qkuzVz|al30?]fjZkrp9:;<>:4thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrc>3:ZciWds<=>?_RU375=sadoyRgasu-wmhcu8Vcey!{msp\trkuj5:5Sh`Pnnv3457492~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxi0=0Peo]mkq6788;:m6zfmdpp[lht|&~bah|?_sgb`9699k1e`k}s^kmwq)sadoy24;7f3}cfi}Pioqw+qojm{:T~hok<0<2e>rnelxxSd`|t.vji`t7W{ojh1<11`9wmhcu{Vcey!{ilgq4Ztbim682Prdcg8086i2~bah||_hlpp*rnelx;Sknd=4=5d=sadoyRgasu-wmhcu8Vxnmi28>0c8plkbzzUbb~z thofv5Yumhn753?9;uknawuXagy#ygbes3\g|e6?2~bah||_hlpp*rnelx:Snwl1308plkbzzUbb~z thofv4Ydqj;$@XZ;_E]AQC7?3}cfi}Pioqw+qojm{;Tec}{/268plkbzzUbb~z thofv4Ynfz~$Anabp`p\ks`XkdzTi|>?01]`}969;<1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{UdzkQlmq]fu5678Vir0<>1349wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]lrcYdeyUn}=>?0^az8479;=1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{UdzkQlmq]fu5678Vir0<0<4:vji`ttW`dxx"zfmdp2[lht|&Ghc`~nr^mubZejxVoz<=>?_b{?6;533}cfi}Pioqw+qojm{;Tec}{/LaliuguWf|mSnc_ds3456Xkp682>:4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Powd\ghvXmx:;<=Qly=6=71=sadoyRgasu-wmhcu9Vcey!BcnosewYh~oUha}Qjq1234Ze~4<4886zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~Rayf^antZcv89:;Snw36?17?qojm{yTec}{/uknaw7Xagy#@m`mqcq[jpaWjg{Sh?012\g|:06:>0xdcjrr]jjvr(|`gn~?0^az8<84=2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVe}jRmbp^gr4567We0=0<6:vji`ttW`dxx"zfmdp2[lht|&Ghc`~nr^mubZejxVoz<=>?_mww8469;?1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{UdzkQlmq]fu5678Vf~x1?>>278plkbzzUbb~z thofv4Ynfz~$Anabp`p\ks`XkdzTi|>?01]oqq:66:?0xdcjrr]jjvr(|`gn~278plkbzzUbb~z thofv4Ynfz~$Anabp`p\ks`XkdzTi|>?01]oqq:46:?0xdcjrr]jjvr(|`gn~278plkbzzUbb~z thofv4Ynfz~$Anabp`p\ks`XkdzTi|>?01]oqq:26:?0xdcjrr]jjvr(|`gn~278plkbzzUbb~z thofv4Ynfz~$Anabp`p\ks`XkdzTi|>?01]oqq:06:?0xdcjrr]jjvr(|`gn~278plkbzzUbb~z thofv4Ynfz~$Anabp`p\ks`XkdzTi|>?01]oqq:>6:k0xdcjrr]jjvr(|`gn~?_b{?4;5e3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;1?1a?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]da5678Vir0<<13c9wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]sshYh}}U;Snc_fg3456Xkp6:?3=m;uknawuXagy#ygbes3\mkus'Dida}o}_qun[jssW9Uha}Qhe1234Ze~48>5?o5{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQwl]lqqY7Wjg{Sjk?012\g|:6=79i7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUli=>?0^az8409;k1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWno;<=>Pcx>23;5e3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;9?1b?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]da5678Vir0<0l4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rij0123[f;:848n6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]`}9456:h0xdcjrr]jjvr(|`gn~?_b{?6684i2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXol:;<=Qly=0=7d=sadoyRgasu-wmhcu9Vcey!BcnosewYwdUdyyQ?_bos[bc789:Tot2<>2c8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~T_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]`}909;h1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWno;<=>Pcx>4:6g<|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXx~gTcxzP0^antZab89:;Snw38?1b?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]da5678Vir040m4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rij0123[iss48:5?n5{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQwl]lqqY7Wjg{Sjk?012\hpr;9848o6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]oqq:6:79h7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUli=>?0^nvp9746:i0xdcjrr]jjvr(|`gn~?_mww8429;j1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWno;<=>Pltv?5084k2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXol:;<=Qcuu>22;5d3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Ugyy2>>2a8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~T13b9wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]sshYh}}U;Snc_fg3456Xd|~7><03=l;uknawuXagy#ygbes3\mkus'Dida}o}_qun[jssW9Uha}Qhe1234Zjr|5882>l4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rij0123[iss4;48n6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]oqq:46:h0xdcjrr]jjvr(|`gn~?_mww8184j2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXol:;<=Qcuu>6:6d<|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXx~gTcxzP0^antZab89:;Sa{{<7<0f>rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Ugyy28>2`8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~To4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[f;879i7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUgx=>?0^az8469;k1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWe~;<=>Pcx>25;5e3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;3?1a?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]op5678Vir0<:13c9wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]sshYh}}U;Snc_mv3456Xkp6:93=m;uknawuXagy#ygbes3\mkus'Dida}o}_qun[jssW9Uha}Qct1234Ze~48<5?o5{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQwl]lqqY7Wjg{Saz?012\g|:6?79i7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUgx=>?0^az84>9;k1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWe~;<=>Pcx>2=;5f3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\hq6789Uhu12`8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~T<0l4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[f;::48m6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzT`y>?01]`}949;h1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWe~;<=>Pcx>0:6g<|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXx~gTcxzP0^antZjs89:;Snw34?1b?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]op5678Vir080?_b{?<;5f3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\hq6789Ugyy2?>2a8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~T13b9wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]sshYh}}U;Snc_mv3456Xd|~7=<03=l;uknawuXagy#ygbes3\mkus'Dida}o}_qun[jssW9Uha}Qct1234Zjr|5;82>m4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[iss48>5?n5{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQwl]lqqY7Wjg{Saz?012\hpr;9<48o6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzT`y>?01]oqq:6>79h7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUgx=>?0^nvp9706:i0xdcjrr]jjvr(|`gn~?_mww84>9;j1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWe~;<=>Pltv?5<84j2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXd}:;<=Qcuu>2:6e<|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXx~gTcxzP0^antZjs89:;Sa{{<32=7f=sadoyRgasu-wmhcu9Vcey!BcnosewYwdUdyyQ?_bos[ir789:T`xz320<0g>rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\hq6789Ugyy2=2?1`?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]op5678Vf~x1<<>2`8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~Tl4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[iss4=48n6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzT`y>?01]oqq:26:h0xdcjrr]jjvr(|`gn~?_mww8384j2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXd}:;<=Qcuu>4:6d<|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXx~gTcxzP0^antZjs89:;Sa{{<9<0f>rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\hq6789Ugyy26>3f8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Tot2?>3g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Tot2>0?0f?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSnw310<1a>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRmv<00=6`=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQly=30:7c<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPcx>20;4b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_b{?5085m2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^az8409:l1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]`}9706;o0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZe~4849i6zfmdpp[lht|&~bah|>_hlpp*Kdg|dSyc}r/ampZe~4;:5>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYdq58:2?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXkp69>33000f?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSha_031b>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRk~n^3257c<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPepl\574a3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_dsm[446:l1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]fukY6;;l0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZcvfV;?>k5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYbygU:8<_hlpp*Kdg|dSyc}r/ampZcvfV;2=?j4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXmxdT>?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXmxdT>=>2d9wmhcu{Vcey!{ilgq5Zoi{}%Fob{at^vnvw(df}Un}cQ=13d8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Ti|`P2031a>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRk~n^016c=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQjqo]1644b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_dsm[755n2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^grjZ449;o0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZcvfV>9i6zfmdpp[lht|&~bah|>_hlpp*Kdg|dSyc}r/ampZcvfV>:>i5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYbygU>>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYbygU>=?j4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXmxdT:?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXmxdT:<2e9wmhcu{Vcey!{ilgq5Zoi{}%Fob{at^vnvw(df}Un}cQ62d9wmhcu{Vcey!{ilgq5Zoi{}%Fob{at^vnvw(df}Un}cQ613g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~T`xz30?0e?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSa{{<02=6c=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQcuu>25;4a3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_mww8449:o1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]oqq:6;78m7ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}.blw[iss48>5>k5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYk}}6:937?0e?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSa{{<0:=6c=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQcuu>2=;4b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_mww8485n2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^nvp9476;l0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZjr|5892?h4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXd|~7>>0=e:vji`ttW`dxx"zfmdp2[lht|&Ghcx`{_uoqv+ei|Vf~x1<12d9wmhcu{Vcey!{ilgq5Zoi{}%Fob{at^vnvw(df}Ugyy2<>3g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~T`xz34?0f?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSa{{<4<1a>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRbzt=4=6`=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQcuu>4:7c<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPltv?<;4b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_mww8<85m2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^zlv969:o1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]{kw:6878m7ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}.blw[}iu48;5>k5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYg{6:>34?0e?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSua}<07=6c=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQwos>22;4a3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_ymq8419:o1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]{kw:6078m7ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}.blw[}iu4835>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYg{6:2?h4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXpfx7>=0=f:vji`ttW`dxx"zfmdp2[lht|&Ghcx`{_uoqv+ei|Vrd~1<>>3d8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Ttb|323<1b>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRv`r=00:7c<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPxnp?6;4b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_ymq8685m2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^zlv929:l1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]{kw:26;o0xdcjrr]jjvr(|`gn~78n7ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}.blw[}iu4>49i6zfmdpp[lht|&~bah|>_hlpp*Kdg|dSyc}r/ampZ~hz525>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYg{622?l4thofvvYnfz~$xdcjr0]jjvr(EdsSzlPd`v\k969:8;:n6zfmdpp[lht|&~bah|>_hlpp*H688k0xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W`dxx"@6209wmhcu{Vcey!{ilgq5Zoi{}%Yi~{ct=2=67=sadoyRgasu-wmhcu9Vcey!]erwop9776;80xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W`dxx"\jstnw8459:;1e`k}s^kmwq)sadoy=Rgasu-Qavsk|5;?2?<4thofvvYnfz~$xdcjr0]jjvr(Zly~`y2>5?01?qojm{yTec}{/uknaw7Xagy#_k|umv?5385:2~bah||_hlpp*rnelx:Sd`|t.Pfwpjs48=5>?5{ilgqwZoi{}%e`k}1^kmwq)Umzgx1?7>308plkbzzUbb~z thofv4Ynfz~$^h}zlu>2=;463}cfi}Pioqw+qojm{;Tec}{/Sgpqir;97897ygbesq\mkus'}cfi?Pioqw+Wct}e~7>=0=2:vji`ttW`dxx"zfmdp2[lht|&Xnxb{<33=67=sadoyRgasu-wmhcu9Vcey!]erwop9456;80xdcjrr]jjvr(|`gn~;uknawuXagy#ygbes3\mkus'[oxyaz32?02?qojm{yTec}{/uknaw7Xagy#_k|umv?7;463}cfi}Pioqw+qojm{;Tec}{/Sgpqir;<78:7ygbesq\mkus'}cfi?Pioqw+Wct}e~793<>;uknawuXagy#ygbes3\mkus'[oxyaz36?02?qojm{yTec}{/uknaw7Xagy#_k|umv?3;463}cfi}Pioqw+qojm{;Tec}{/Sgpqir;078:7ygbesq\mkus'}cfi?Pioqw+Wct}e~753<=;uknawuXagy#ygbes3\mkus'y}fSb{{<1<15>rnelxxSd`|t.vji`t6W`dxx"~xm^mvpZ65k2~bah||_hlpp*rnelx:Sd`|t.rtiZir|V:To`~Pgd23454?3}cfi}Pioqw+qojm{;Tec}{/qun[jssW9Ud~=>?03;8plkbzzUbb~z thofv4Ynfz~$|zcPotv\4Ziu89:;=?>4thofvvYnfz~$xdcjr0]jjvr(|dxy"nmb239wmhcu{Vcey!{ilgq5Zoi{}%a|!clr\474<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*fkwW8887ygbesq\mkus'}cfi?Pioqw+qkuz'if|R??229wmhcu{Vcey!{ilgq5Zoi{}%a|!clr\54443}cfi}Pioqw+qojm{;Tec}{/uoqv+ejxV;9>>5{ilgqwZoi{}%e`k}1^kmwq)se{x%o`~P1200?qojm{yTec}{/uknaw7Xagy#yc}r/antZ73::1e`k}s^kmwq)sadoy=Rgasu-wiwt)kdzT=8<<;uknawuXagy#ygbes3\mkus'}gy~#mbp^3566=sadoyRgasu-wmhcu9Vcey!{msp-ghvX9>887ygbesq\mkus'}cfi?Pioqw+qkuz'if|R?7229wmhcu{Vcey!{ilgq5Zoi{}%a|!clr\5<453}cfi}Pioqw+qojm{;Tec}{/uoqv+ejxV89?6zfmdpp[lht|&~bah|>_hlpp*rjz{$ha}Q=0318plkbzzUbb~z thofv4Ynfz~$x`|}.bos[775;2~bah||_hlpp*rnelx:Sd`|t.vnvw(deyU9>?=4thofvvYnfz~$xdcjr0]jjvr(|dxy"nc_3116>rnelxxSd`|t.vji`t6W`dxx"zbrs,`iuY4:;1e`k}s^kmwq)sadoy=Rgasu-wiwt)kdzT8?<4thofvvYnfz~$xdcjr0]jjvr(|dxy"nc_401?qojm{yTec}{/uknaw7Xagy#yc}r/antZ05:2~bah||_hlpp*rnelx:Sd`|t.vnvw(deyU<>?5{ilgqwZoi{}%e`k}1^kmwq)se{x%o`~P8308plkbzzUbb~z thofv4Ynfz~$x`|}.bos[<453}cfi}Pioqw+qojm{;Tec}{/uoqv+ei|V:9>6zfmdpp[lht|&~bah|>_hlpp*rjz{$hbyQ>229wmhcu{Vcey!{ilgq5Zoi{}%a|!cov\55443}cfi}Pioqw+qojm{;Tec}{/uoqv+ei|V;:>>5{ilgqwZoi{}%e`k}1^kmwq)se{x%oczP1300?qojm{yTec}{/uknaw7Xagy#yc}r/ampZ74::1e`k}s^kmwq)sadoy=Rgasu-wiwt)kg~T=9<<;uknawuXagy#ygbes3\mkus'}gy~#mat^3666=sadoyRgasu-wmhcu9Vcey!{msp-gkrX9?887ygbesq\mkus'}cfi?Pioqw+qkuz'iexR?8229wmhcu{Vcey!{ilgq5Zoi{}%a|!cov\5=443}cfi}Pioqw+qojm{;Tec}{/uoqv+ei|V;2>?5{ilgqwZoi{}%e`k}1^kmwq)se{x%oczP2318plkbzzUbb~z thofv4Ynfz~$x`|}.blw[765;2~bah||_hlpp*rnelx:Sd`|t.vnvw(df}U9=?=4thofvvYnfz~$xdcjr0]jjvr(|dxy"n`{_3017>rnelxxSd`|t.vji`t6W`dxx"zbrs,`jqY5;;80xdcjrr]jjvr(|`gn~<=;uknawuXagy#ygbes3\mkus'}gy~#mat^616>rnelxxSd`|t.vji`t6W`dxx"zbrs,`jqY2:;1e`k}s^kmwq)sadoy=Rgasu-wiwt)kg~T:?<4thofvvYnfz~$xdcjr0]jjvr(|dxy"n`{_601?qojm{yTec}{/uknaw7Xagy#yc}r/ampZ>5:2~bah||_hlpp*rnelx:Sd`|t.vnvw(df}U2>=5{ilgqwZoi{}%e`k}1^kmwq)se{x%hd|>f:vji`ttW`dxx"zfmdp2[lht|&~f~ jn328plkbzzUbb~z thofv4Ynfz~$x`|}.hgm64=sadoyRgasu-wmhcu9Vcey!{msp-mkru::1e`k}s^kmwq)sadoy=Rgasu-wiwt)x{oxS=<<;uknawuXagy#ygbes3\mkus'}gy~#~}er]266=sadoyRgasu-wmhcu9Vcey!{msp-twctW;8n7ygbesq\mkus'}cfi?Pioqw+qkuz'z|a2?>/antmo``V:9i6zfmdpp[lht|&~bah|>_hlpp*rjz{${{`|30?,`iunnoaU:>k5{ilgqwZoi{}%e`k}1^kmwq)se{x%|zc}<1<-ghvoanbT==3:+ejxacldR?>2g9wmhcu{Vcey!{ilgq5Zoi{}%a|!pvoq858)kdzcejfP130e?qojm{yTec}{/uknaw7Xagy#yc}r/rtiw:76'if|eghh^306c=sadoyRgasu-wmhcu9Vcey!{msp-trku494%o`~gifj\514a3}cfi}Pioqw+qojm{;Tec}{/uoqv+vpe{6;2#mbpikdlZ72:o1e`k}s^kmwq)sadoy=Rgasu-wiwt)x~gy0=0!clrkmbnX9?8m7ygbesq\mkus'}cfi?Pioqw+qkuz'z|a2?>/antmo``V;<>k5{ilgqwZoi{}%e`k}1^kmwq)se{x%|zc}<1<-ghvoanbT=53:+ejxacldR?62d9wmhcu{Vcey!{ilgq5Zoi{}%a|!pvoq858)kdzcejfP23d8plkbzzUbb~z thofv4Ynfz~$x`|}.qunv969&jg{ddig_321b>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}ffgi]157`<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*uqjz5:5"nchhek[745n2~bah||_hlpp*rnelx:Sd`|t.vnvw(wdx7<3 lmqjjcmY5;;o0xdcjrr]jjvr(|`gn~1.bosllaoW:8n7ygbesq\mkus'}cfi?Pioqw+qkuz'z|a2?>/antmo``V>9i6zfmdpp[lht|&~bah|>_hlpp*rjz{${{`|30?,`iunnoaU>>h5{ilgqwZoi{}%e`k}1^kmwq)se{x%|zc}<1<-ghvoanbT:?k4thofvvYnfz~$xdcjr0]jjvr(|dxy"}ybr=2=*fkw``mcS:3:+ejxacldR6=e:vji`ttW`dxx"zfmdp2[lht|&~f~ wlp?4;(deybbkeQ62e9wmhcu{Vcey!{ilgq5Zoi{}%a|!pvoq858)kdzgczQ?2e9wmhcu{Vcey!{ilgq5Zoi{}%a|!pvoq858)kdzgczQ>2d9wmhcu{Vcey!{ilgq5Zoi{}%a|!pvoq858)kdzgczQ>03g8plkbzzUbb~z thofv4Ynfz~$x`|}.qunv969&jg{`byP100f?qojm{yTec}{/uknaw7Xagy#yc}r/rtiw:76'if|aax_001a>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}b`w^306`=sadoyRgasu-wmhcu9Vcey!{msp-trku494%o`~cov]207c<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*uqjz5:5"nclnu\504b3}cfi}Pioqw+qojm{;Tec}{/uoqv+vpe{6;2#mbpmmt[405m2~bah||_hlpp*rnelx:Sd`|t.vnvw(wdx7<3 lmqnlsZ70:l1e`k}s^kmwq)sadoy=Rgasu-wiwt)x~gy0=0!clrokrY60;o0xdcjrr]jjvr(|`gn~1.boshjqX908o7ygbesq\mkus'}cfi?Pioqw+qkuz'z|a2?>/antiipW;8n7ygbesq\mkus'}cfi?Pioqw+qkuz'z|a2?>/antiipW;:9i6zfmdpp[lht|&~bah|>_hlpp*rjz{${{`|30?,`iujhV8:>h5{ilgqwZoi{}%e`k}1^kmwq)se{x%|zc}<1<-ghvkg~U9>?k4thofvvYnfz~$xdcjr0]jjvr(|dxy"}ybr=2=*fkwdf}T>>3:+ejxee|S>3:+ejxee|S93:+ejxee|S83:+ejxee|S;3:+ejxee|S:3:+ejxee|S53:+ejxee|S4<8;uknawuXagy#ygbes3\mkus'}gy~#~xms>3:+ci::1e`k}s^kmwq)sadoy=Rgasu-wiwt)}yn{S=<<;uknawuXagy#ygbes3\mkus'}gy~#{dq]26==sadoyRgasu-wmhcu9Vcey!{msp\ghvXff~;<=?=9:vji`ttW`dxx"zfmdp2[lht|&~f~Qlmq]mkq6788;946zfmdpp[lht|&~bah|>_hlpp*rjz{UhbyQnne23454>3}cfi}Pioqw+qojm{;Tec}{/uoqvZei|Vkeh=>?000;?qojm{yTec}{/uknaw7Xagy#yc}r^ampZhh|9:;=?74thofvvYnfz~$xdcjr0]jjvr(|dxySn`{_omw45669;>0xdcjrr]jjvr(|`gn~?03c8plkbzzUbb~z thofv4Ynfz~$x`|}_hlwvZkrp9:;i5{ilgqwZoi{}%e`k}1^kmwq)se{xTecz}_lw{4567:0U:>k5{ilgqwZoi{}%e`k}1^kmwq)se{xTecz}_lw{4567:0UX[=_hlpp*rjz{Ubby|Pnnv34575k2~bah||_hlpp*rnelx:Sd`|t.vnvwYnf}xTbbz?0130544e3}cfi}Pioqw+qojm{;Tec}{/uoqvZoi|{Uecy>?001173=sadoyRgasu-wmhcu9Vcey!{msp\mkruWge<=>>33-OQQ2XLVH^J?j4thofvvYnfz~$xdcjr0]jjvr(|dxySd`{r^llp5679:8T>?h4thofvvYnfz~$xdcjr0]jjvr(|dxySd`{r^llp5679:8T_Z><1:vji`ttW`dxx"zfmdp2[lht|&~f~Qfnup\jjr789;8>R]X0^01`>rnelxxSd`|t.vji`t6W`dxx"zbrs]jjqtXff~;<=?PSV20<>rnelxxSd`|t.vji`t6W`dxx"zbrs]jjqtXff~;<=?PSV2,HPR3WEUIYK_hlpp*rjz{U{{`|30?]`iunnoaUecy>?00007>rnelxxSd`|t.vji`t6W`dxx"zbrs]ssht;87Uha}b`w^llp5679:>0xdcjrr]jjvr(|`gn~1_boshjqXff~;<=?>2g9wmhcu{Vcey!{ilgq5Zoi{}%a|Ppvoq858XmgUfyu>?0110?qojm{yTec}{/uknaw7Xagy#yc}r^rtiw:76VoeS`{w0123[VQ7;:1e`k}s^kmwq)sadoy=Rgasu-wiwtXx~gy0=0Peo]nq}6789UX[<3:ZciWge<=>>319wmhcu{Vcey!{ilgq5Zoi{}%a|Ppvoq858XmgUecy>?00322>rnelxxSd`|t.vji`t6Wz~i=:5{ilgqwZoi{}%e`k}1^qwf4723}cfi}Pioqw+qojm{;T{h?9;uknawuXagy#ygbes3\s`7602~bah||_hlpp*qeWmkSb2?>13:?qojm{yTec}{/v`\`drXg5:5=8<;;uknawuXagy#zlPd`v\k9699<%GYY:PL^@VB4d<|`gn~~Qfnrv,sgYci}Ud0=0>5.M2=>rnelxxSd`|t.ua[agsWf6;29>>9:vji`ttW`dxx"ym_ecw[j:687::m6zfmdpp[lht|&}iSio{_n>24;729h1e`k}s^kmwq)pjVnjxRa311<744g<|`gn~~Qfnrv,sgYci}Ud0c:vji`ttW`dxx"ym_ecw[j:697>2SK?l;uknawuXagy#zlPd`v\k9766=3TK2?6:[C7d3}cfi}Pioqw+rdXlh~Tc1?=>5;\C4g<|`gn~~Qfnrv,sgYci}Ud0<=1173b?qojm{yTec}{/v`\`drXg5;8297>c:vji`ttW`dxx"ym_ecw[j:6;7>2SK?l;uknawuXagy#zlPd`v\k9746=3TK4?6:[C7d3}cfi}Pioqw+rdXlh~Tc1?;>5;\C4g<|`gn~~Qfnrv,sgYci}Ud0<;1173b?qojm{yTec}{/v`\`drXg5;>297>c:vji`ttW`dxx"ym_ecw[j:6=7>2SK?l;uknawuXagy#zlPd`v\k9726=3TK<64thofvvYnfz~${oQkau]l84099h1e`k}s^kmwq)pjVnjxRa317<\B4g<|`gn~~Qfnrv,sgYci}Ud0<81_F3;?qojm{yTec}{/v`\`drXg5;<28?355f=sadoyRgasu-tfZbf|Ve7=50>6^D2g>rnelxxSd`|t.ua[agsWf6:43?9_F3b?qojm{yTec}{/v`\`drXg5;22<8>c:vji`ttW`dxx"ym_ecw[j:617;=SK?l;uknawuXagy#zlPd`v\k97>682:43(G830xdcjrr]jjvr(kUomyQ`<0<744g<|`gn~~Qfnrv,sgYci}Ud0?>1173`?qojm{yTec}{/v`\`drXg58;2<8PF0a8plkbzzUbb~z wc]geqYh4;:5=;QH1`9wmhcu{Vcey!xb^fbpZi;:84::VM:m6zfmdpp[lht|&}iSio{_n>16;719j1e`k}s^kmwq)pjVnjxRa323<22Z@6k2~bah||_hlpp*qeWmkSb2=2?35[B7f3}cfi}Pioqw+rdXlh~Tc1<<>042g>rnelxxSd`|t.ua[agsWf69?3?9_G3`?qojm{yTec}{/v`\`drXg5882<8PG0;8plkbzzUbb~z wc]geqYh4;>5=<74thofvvYnfz~${oQkau]l87399830xdcjrr]jjvr(kUomyQ`<34=54?<|`gn~~Qfnrv,sgYci}Ud0?9110;8plkbzzUbb~z wc]geqYh4;25=<74thofvvYnfz~${oQkau]l87?99820xdcjrr]jjvr(kUomyQ`<3<35<=sadoyRgasu-tfZbf|Ve7>3?:259wmhcu{Vcey!xb^fbpZi;:7;>#A[[4^N\FP@6j2~bah||_hlpp*qeWmkSb2=>07,K4?<|`gn~~Qfnrv,sgYci}Ud0?0;00;8plkbzzUbb~z wc]geqYh4::5=<74thofvvYnfz~${oQkau]l86799820xdcjrr]jjvr(kUomyQ`<2<35<=sadoyRgasu-tfZbf|Ve7?3?:259wmhcu{Vcey!xb^fbpZi;;7;>#A[[4^N\FP@6j2~bah||_hlpp*qeWmkSb2<>07,K4?<|`gn~~Qfnrv,sgYci}Ud0>0;00:8plkbzzUbb~z wc]geqYh4=4;=45{ilgqwZoi{}%|nRjnt^m?0;72901e`k}s^kmwq)pjVnjxRa34?635==sadoyRgasu-tfZbf|Ve793>>9:vji`ttW`dxx"ym_ecw[j:268?:56zfmdpp[lht|&}iSio{_n>6:16602~bah||_hlpp*qeWmkSb29>13:?qojm{yTec}{/v`\`drXg5<5=8?6;uknawuXagy#zlPd`v\k909<9;37ygbesq\mkus'~hThlzPo=5=44?<|`gn~~Qfnrv,sgYci}Ud0:0>50;8plkbzzUbb~z wc]geqYh4>4?<<74thofvvYnfz~${oQkau]l8=86>830xdcjrr]jjvr(kUomyQ`<9<7=4d<|`gn~~Qfnrv,sgYci}Ud050;9^D2f>rnelxxSd`|t.ua[agsWf63297PG0:8plkbzzUbb~z wc]geqYh404;=45{ilgqwZoi{}%|nRjnt^m?=;72901e`k}s^kmwq)pjVnjxRa39?63e>sfz}Ubb~z 1`9vewrXagy#io{a^pfeaXlesT}<74u`pw[lht|&nbzdjjr^p{[t:768k0yl|{_hlpp*bn~`nn~R|w_p>24;7f3|kyxRgasu-gmsocm{UytR310<2e>sfz}Ubb~z dhtj``tXzqUz0<<11`9vewrXagy#igyiegq[w~Xy5;82sfz}Ubb~z dhtj``tXzqUz0?0>9:wbvqYnfz~$hdxfddp\v}Yv4:4:56{nru]jjvr(l`|bhh|Pry]r818612j~yQfnrv,`lpnllxT~uQ~<4<2=>sfz}Ubb~z dhtj``tXzqUz0;0>9:wbvqYnfz~$hdxfddp\v}Yv4>4:56{nru]jjvr(l`|bhh|Pry]r8=8612j~yQfnrv,`lpnllxT~uQ~<8<2<>sfz}Ubb~z dhtj``tXzqUzS=?7;tcqpZoi{}%oe{gkes]q|ZwX9830yl|{_hlpp*bn~`nn~R|w_p]244?<}hxSd`|t.fjrlbbzVxsS|Q>10;8qdtsW`dxx"jfvhffvZtWxU:><74u`pw[lht|&nbzdjjr^p{[tY6;830yl|{_hlpp*bn~`nn~R|w_p]204?<}hxSd`|t.fjrlbbzVxsS|Q>50:8qdtsW`dxx"jfvhffvZtWxU9=55zasv\mkus'mc}eik}_sz\uZ5602j~yQfnrv,`lpnllxT~uQ~_53;?pgu|Vcey!kiwkgawYupV{T9<64u`pw[lht|&nbzdjjr^p{[tY1911~mzPioqw+aoqamoySvPq^52<>sfz}Ubb~z dhtj``tXzqUzS5?7;tcqpZoi{}%oe{gkes]q|ZwX18o0yl|{_hlpp*bn~`nn~R|w_p]mkq6788;m7xo}t^kmwq)cacoiQ}x^s\jjr789;:=?5zasv\mkus'mxnmiwPq0;8qdtsW`dxx"j}e`fz[tYhz9:;<h5zasv\mkus'ocmcRgasucmg`)JkfexR|kaefq[}iu484:=?k4u`pw[lht|&lbjbQfnrvbjfc(Eje~byQ}d`fgvZ~hz585=<_HLU[54b3|kyxRgasu-emciXagymcmj/LalqkrX{kohQwos>2:475m2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pxnp?6;76:l1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_ymq86869;>0yl|{_hlpp*`nnfUbb~znnbg,IwgjWdofcw>259vewrXagy#kgio^kmwqgikl%F~lcPmdolv|45<2j~yQfnrv,bl`hW`dxxl`le.OqehYjmdeyu><;;tcqpZoi{}%mekaPioqwekeb'DxjaRcjmnpz072<}hxSd`|t.djbjYnfz~jbnk Mscn[hcjg{s>>95zasv\mkus'ocmcRgasucmg`)JzhgTahc`rx410>sfz}Ubb~z fhdl[lht|hdhi"C}al]nahiuq>8?7xo}t^kmwq)aaoeTec}{aoaf+HtfeVgnab|v80`8qdtsW`dxx"hffn]jjvrffjo$B=?>a:wbvqYnfz~$jdh`_hlppdhdm&D8><5zasv\mkus'ocmcRgasucmg`)Umzgx1>1239vewrXagy#kgio^kmwqgikl%Yi~{ct=2=577<}hxSd`|t.djbjYnfz~jbnk Rdqvhq:66;80yl|{_hlpp*`nnfUbb~znnbg,V`urd}6:2<<>;tcqpZoi{}%mekaPioqwekeb'[oxyaz32?01?pgu|Vcey!iigm\mkusigin#_k|umv?6;7592j~yQfnrv,bl`hW`dxxl`le.Pfwpjs4:49>6{nru]jjvr(n`ldSd`|t`l`a*Tb{|f0>0>219vewrXagy#kgio^kmwqgikl%Tmcj?01215>sfz}Ubb~z fhdl[lht|hdhi"Qnne234575:2j~yQfnrv,bl`hW`dxxl`le.]bja6789;:>?5zasv\mkus'ocmcRgasucmg`)Xign;<=>P03:8qdtsW`dxx"hffn]jjvrffjo$S{o}ioff|56785:5>55zasv\mkus'ocmcRgasucmg`)X~hxbbikw0123848502j~yQfnrv,bl`hW`dxxl`le.]uewoillr;<=>32?0;?pgu|Vcey!iigm\mkusigin#Rxnrhlga}6789682?64u`pw[lht|&lbjbQfnrvbjfc(Wkyecjjx1234929:11~mzPioqw+coagVceyoacd-\rdtnfmos<=>?<4<1<>sfz}Ubb~z fhdl[lht|hdhi"Qyaskm``~789:7:3<7;tcqpZoi{}%mekaPioqwekeb'V|j~d`key2345:068n0yl|{_hlpp*`nnfUbb~znnbg,ahvsq;k0yl|{_hlpp*`nnfUbb~znnbg,ahvsqV|To`~Pep23454c3|kyxRgasu-emciXagymcmj/dosp|YqWjg{Sh?0127544d3|kyxRgasu-emciXagymcmj/dosp|YqWjg{Sh?012767b<}hxSd`|t.djbjYnfz~jbnk ftno[sYdeyUn}=>?053307c<}hxSd`|t.djbjYnfz~jbnk ftno[sYdeyUn}=>?0533044b3|kyxRgasu-emciXagymcmj/gwohZpXkdzTi|>?0162414482j~yQfnrv,bl`hW`dxxl`le.dvhiYqWjg{Sh?0127552Xn<8h7xo}t^kmwq)aaoeTec}{aoaf+cskdV|To`~Pep2345259;i0yl|{_hlpp*`nnfUbb~znnbg,bpjkWUha}Qjq123410?:k1~mzPioqw+coagVceyoacd-eqijX~Vif|Rk~01230<463|kyxRgasu-emciXagymcmj/sfb`at;878:7xo}t^kmwq)aaoeTec}{aoaf+wbflmx7=3<>;tcqpZoi{}%mekaPioqwekeb'{njhi|32?02?pgu|Vcey!iigm\mkusigin#jndep?7;473|kyxRgasu-emciXagymcmj/sfb`atX8;:0yl|{_hlpp*`nnfUbb~znnbg,vagcl{U:>=5zasv\mkus'ocmcRgasucmg`)ulhno~R<=0:wbvqYnfz~$jdh`_hlppdhdm&xomij}_205?pgu|Vcey!iigm\mkusigin#jndep\ekb789:9;6{nru]jjvr(n`ldSd`|t`l`a*tcimnySl`k012354`<}hxSd`|t.djbjYnfz~jbnk rdcg8586n2j~yQfnrv,bl`hW`dxxl`le.pfea:668l0yl|{_hlpp*`nnfUbb~znnbg,v`gc4;4:j6{nru]jjvr(n`ldSd`|t`l`a*tbim682f:wbvqYnfz~$jdh`_hlppdhdm&xnmi2:>0d8qdtsW`dxx"hffn]jjvrffjo$~hok<7<2b>sfz}Ubb~z fhdl[lht|hdhi"|jae>4:4c<}hxSd`|t.djbjYnfz~jbnk rdcg[57b3|kyxRgasu-emciXagymcmj/sgb`Z76m2j~yQfnrv,bl`hW`dxxl`le.pfeaY59l1~mzPioqw+coagVceyoacd-qadbX;8o0yl|{_hlpp*`nnfUbb~znnbg,v`gcW=;n7xo}t^kmwq)aaoeTec}{aoaf+wcflV?:i6{nru]jjvr(n`ldSd`|t`l`a*tbimU==h5zasv\mkus'ocmcRgasucmg`)umhnT;??4u`pw[lht|&lbjbQfnrvbjfc({koh2?>338qdtsW`dxx"hffn]jjvrffjo${okds>2:77<}hxSd`|t.djbjYnfz~jbnk wscg`w:56;;0yl|{_hlpp*`nnfUbb~znnbg,swgcl{682?>4u`pw[lht|&lbjbQfnrvbjfc({kohQ?219vewrXagy#kgio^kmwqgikl%|~ljkr^314>sfz}Ubb~z fhdl[lht|hdhi"y}aefq[7473|kyxRgasu-emciXagymcmj/vpb`atX;8h0yl|{_hlpp*`nnfUyhRoad1234475>2j~yQfnrv,bl`hW{nTmcj?01225*JR\=UOSO[I139vewrXagy#vPioqw+43<}hxSd`|t.p{[lht|&D;=<;4u`pw[lht|&xsSd`|t.L2542<}hxSd`|t.p{[lht|&D9=o5zasv\mkus'{rTec}{/Sgpqir;87;i7xo}t^kmwq)upVcey!]erwop9799k1~mzPioqw+w~Xagy#_k|umv?6;7e3|kyxRgasu-q|Zoi{}%Yi~{ct=1=5d=ri{~Tec}{/sz\mkus'kk~hjka/218qdtsW`dxx"|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^az8584>2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xkp6;2R|{359vewrXagy#vPioqw+ggrlnoe#@}zb^ampZgclzi<=>?_b{?5584<2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xkp6:=3=;;tcqpZoi{}%ytRgasu-aepb`mg%FxlPcov\eabt}k:;<=Qly=31:62<}hxSd`|t.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Ze~4895?95zasv\mkus'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Snw315<07>sfz}Ubb~z ry]jjvr(jhokh` Mrwa[fhsWhnoxl?012\g|:66:90yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vir0?0<3:wbvqYnfz~$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pcx>0:65<}hxSd`|t.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Ze~4=48?6{nru]jjvr(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:Tot2:>218qdtsW`dxx"|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^az8384;2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xkp6<2>=4u`pw[lht|&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;sfz}Ubb~z ry]jjvr(jhokh` Mrwa[fhsWhnoxl?012\g|:>6:?0yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vf~x1??>2`8qdtsW`dxx"|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^nvp9776VCEZR><5:wbvqYnfz~$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pltv?5484j2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=<0PIOT\463<}hxSd`|t.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Zjr|5;92>l4u`pw[lht|&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;sfz}Ubb~z ry]jjvr(jhokh` Mrwa[fhsWhnoxl?012\hpr;9:4TECXP0278qdtsW`dxx"|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^nvp9736:h0yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vf~x1?;>^KMRZ64=2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=80Pltv?508XAG\T<>:4u`pw[lht|&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;?0^nvp949;h1~mzPioqw+w~Xagy#oozdfgm+HurjViexRokdrwa4567We0?0PIOT\462<}hxSd`|t.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Zjr|595?l5zasv\mkus'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Sa{{<2<\MKPX8:>0yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vf~x1:13`9vewrXagy#vPioqw+ggrlnoe#@}zb^ampZgclzi<=>?_mww818XAG\T<>:4u`pw[lht|&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;?0^nvp909;h1~mzPioqw+w~Xagy#oozdfgm+HurjViexRokdrwa4567We0;0PIOT\462<}hxSd`|t.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Zjr|5=5?l5zasv\mkus'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Sa{{<6<\MKPX8:>0yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vf~x1613`9vewrXagy#vPioqw+ggrlnoe#@}zb^ampZgclzi<=>?_mww8=8XAG\T<>:4u`pw[lht|&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;?0^zlv969;<1~mzPioqw+w~Xagy#oozdfgm+HurjViexRokdrwa4567Wqey0<>1349vewrXagy#vPioqw+ggrlnoe#@}zb^ampZgclzi<=>?_ymq8479;<1~mzPioqw+w~Xagy#oozdfgm+HurjViexRokdrwa4567Wqey0<<1349vewrXagy#vPioqw+ggrlnoe#@}zb^ampZgclzi<=>?_ymq8459;<1~mzPioqw+w~Xagy#oozdfgm+HurjViexRokdrwa4567Wqey0<:1349vewrXagy#vPioqw+ggrlnoe#@}zb^ampZgclzi<=>?_ymq8439;=1~mzPioqw+w~Xagy#oozdfgm+HurjViexRokdrwa4567Wqey0<0<4:wbvqYnfz~$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pxnp?6;533|kyxRgasu-q|Zoi{}%imxjheo-NwpdXkg~Tmij|uc2345Yg{682>:4u`pw[lht|&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;?01]{kw:06:>0yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vrd~161359vewrXagy#vPioqw+ggrlnoe#@}zb^ampZgclzi<=>?_ymq8<8582j~yQfnrv,v}Ynfz~$nl{kgdl,gimh}}8<7xo}t^kmwq)upVcey!matfdak)ddbe~xRa}01236==ri{~Tec}{/sz\mkus'kk~hjka/bnhkprXg{:;<=?=0:wbvqYnfz~$~uQfnrv,fdscold$ocz30?02?pgu|Vcey!}x^kmwq)ei|nlic!lnu>24;463|kyxRgasu-q|Zoi{}%imxjheo-`jq:6978:7xo}t^kmwq)upVcey!matfdak)df}6:>3<>;tcqpZoi{}%ytRgasu-aepb`mg%hby2>3?02?pgu|Vcey!}x^kmwq)ei|nlic!lnu>20;463|kyxRgasu-q|Zoi{}%imxjheo-`jq:6=78;7xo}t^kmwq)upVcey!matfdak)df}6:2?>4u`pw[lht|&xsSd`|t.`bqaabf&iex1<1219vewrXagy#vPioqw+ggrlnoe#n`{<2<14>sfz}Ubb~z ry]jjvr(jhokh` cov?0;473|kyxRgasu-q|Zoi{}%imxjheo-`jq:26;:0yl|{_hlpp*tW`dxx"lnueefj*ei|5<5>=5zasv\mkus'{rTec}{/ccv`bci'jd0:0=0:wbvqYnfz~$~uQfnrv,fdscold$ocz38?03?pgu|Vcey!}x^kmwq)ei|nlic!lnu>::4`<}hxSd`|t.p{[lht|&hjyiijn.ampZ66n2j~yQfnrv,v}Ynfz~$nl{kgdl,gkrX9;:0yl|{_hlpp*tW`dxx"lnueefj*ei|V;;>=5zasv\mkus'{rTec}{/ccv`bci'jdSf:wbvqYnfz~$~uQfnrv,fdscold$oczP40d8qdtsW`dxx"|w_hlpp*df}mmnb"mat^72b>sfz}Ubb~z ry]jjvr(jhokh` cov\24`<}hxSd`|t.p{[lht|&hjyiijn.ampZ16n2j~yQfnrv,v}Ynfz~$nl{kgdl,gkrX08l0yl|{_hlpp*tW`dxx"lnueefj*ei|V39n6{nru]jjvr(zqUbb~z b`wgc`h(kg~Tmij|uc2345:76;i0yl|{_hlpp*tW`dxx"lnueefj*ei|Vkoh~{m01238469:j1~mzPioqw+w~Xagy#oozdfgm+fhsWhnoxl?012?5485k2j~yQfnrv,v}Ynfz~$nl{kgdl,gkrXimnxyo>?01>26;4d3|kyxRgasu-q|Zoi{}%imxjheo-`jqYflmy~n=>?0=30:7e<}hxSd`|t.p{[lht|&hjyiijn.ampZgclzi<=>?<06=6f=ri{~Tec}{/sz\mkus'kk~hjka/blw[dbc{|h;<=>314<1f>sfz}Ubb~z ry]jjvr(jhokh` cov\eabt}k:;<=2>>3`8qdtsW`dxx"|w_hlpp*df}mmnb"mat^cg`vse89:;0?0=b:wbvqYnfz~$~uQfnrv,fdscold$oczPaefpqg6789682?l4u`pw[lht|&xsSd`|t.`bqaabf&iexRokdrwa45674=49n6{nru]jjvr(zqUbb~z b`wgc`h(kg~Tmij|uc2345:26;h0yl|{_hlpp*tW`dxx"lnueefj*ei|Vkoh~{m01238385j2j~yQfnrv,v}Ynfz~$nl{kgdl,gkrXimnxyo>?01>4:7d<}hxSd`|t.p{[lht|&hjyiijn.ampZgclzi<=>?<9<1f>sfz}Ubb~z ry]jjvr(jhokh` cov\eabt}k:;<=26>3:8qdtsW`dxx"|w_hlpp*df}mmnb"mat^antZcv89:;>l5zasv\mkus'{rTec}{/ccv`bci'jdSnc_ds34566:;k0yl|{_hlpp*tW`dxx"lnueefj*ei|Vif|Rk~0123604f3|kyxRgasu-q|Zoi{}%imxjheo-`jqYdeyUn}=>?05;1e>sfz}Ubb~z ry]jjvr(jhokh` cov\ghvXmx:;<=8=2`9vewrXagy#vPioqw+ggrlnoe#n`{_bos[`w789:<:?64u`pw[lht|&xsSd`|t.`bqaabf&iexRczx1235969:01~mzPioqw+w~Xagy#oozdfgm+fhsWds<=>><1<26<=ri{~Tec}{/sz\mkus'kk~hjka/blw[hs89::0<>12`9vewrXagy#vPioqw+ggrlnoe#n`{_lw{456648:5=?74u`pw[lht|&xsSd`|t.`bqaabf&iexRczx12359766;k0yl|{_hlpp*tW`dxx"lnueefj*ei|Vg~t=>?1=32:44>3|kyxRgasu-q|Zoi{}%imxjheo-`jqYj}q:;<<2>2?0b?pgu|Vcey!}x^kmwq)ei|nlic!lnu]nq}67886:>3?=9:wbvqYnfz~$~uQfnrv,fdscold$oczPmtz3457;9:49m6{nru]jjvr(zqUbb~z b`wgc`h(kg~Taxv?013?5686:01~mzPioqw+w~Xagy#oozdfgm+fhsWds<=>><06=6d=ri{~Tec}{/sz\mkus'kk~hjka/blw[hs89::0<:113;8qdtsW`dxx"|w_hlpp*df}mmnb"mat^ov|56795;>2?o4u`pw[lht|&xsSd`|t.`bqaabf&iexRczx123597268837xo}t^kmwq)upVcey!matfdak)df}Ufyu>?00>2:7?<}hxSd`|t.p{[lht|&hjyiijn.ampZkrp9:;=1?113:8qdtsW`dxx"|w_hlpp*df}mmnb"mat^ov|5679585>45zasv\mkus'{rTec}{/ccv`bci'jdS`{w01228786:11~mzPioqw+w~Xagy#oozdfgm+fhsWds<=>><2<1=>sfz}Ubb~z ry]jjvr(jhokh` cov\ip~789;7?3?=8:wbvqYnfz~$~uQfnrv,fdscold$oczPmtz3457;<7827xo}t^kmwq)upVcey!matfdak)df}Ufyu>?00>7:44?3|kyxRgasu-q|Zoi{}%imxjheo-`jqYj}q:;<<2:>3;8qdtsW`dxx"|w_hlpp*df}mmnb"mat^ov|56795?5=?64u`pw[lht|&xsSd`|t.`bqaabf&iexRczx1235909:01~mzPioqw+w~Xagy#oozdfgm+fhsWds<=>><7<26==ri{~Tec}{/sz\mkus'kk~hjka/blw[hs89::0:0=9:wbvqYnfz~$~uQfnrv,fdscold$oczPmtz3457;?7;946{nru]jjvr(zqUbb~z b`wgc`h(kg~Taxv?013?<;4>3|kyxRgasu-q|Zoi{}%imxjheo-`jqYj}q:;<<27>00;?pgu|Vcey!}x^kmwq)ei|nlic!lnu]nq}6788622?74u`pw[lht|&xsSd`|t.`bqaabf&iexRczx12359?99;?0yl|{_hlpp*tW`dxx"lnueefj*ei|Vddx=>?2348qdtsW`dxx"|w_hlpp*df}mmnb"mat^llp567:8;i7xo}t^kmwq)upVcey!matfqavcs:;1~mzPioqw+w~Xagy#oozdsgpaqYhz9:;?0132a>sfz}Ubb~z ry]jjvr(lh~job{at=2=5`=ri{~Tec}{/sz\mkus'mkmnaznu>2:4c<}hxSd`|t.p{[lht|&njxlm`uov?6;7c3|kyxRgasu-q|Zoi{}%omyolotlw[57c3|kyxRgasu-q|Zoi{}%omyolotlw[47c3|kyxRgasu-q|Zoi{}%omyolotlw[7413|kyxRgasu-q|Zoi{}%omyolotlw[hs89:;0=0=7:wbvqYnfz~$~uQfnrv,`drfkfexRczx12349699;20yl|{_hlpp*tW`dxx"jnt`alqkrXe|r;<=>30?3262=ri{~Tec}{/sz\mkus'mkmnaznu]nq}67896;2?<7;tcqpZoi{}%ytRgasu-geqgdg|dS`{w012385859;30yl|{_hlpp*tW`dxx"jnt`alqkrXe|r;<=>30?]e170<}hxSd`|t.p{[lht|&njxlm`uov\ip~789:7=3<8;tcqpZoi{}%ytRgasu-geqgdg|dS`{w01238486:11~mzPioqw+w~Xagy#io{abmvjqYj}q:;<=2>>0313>sfz}Ubb~z ry]jjvr(lh~job{at^ov|56785;5>?74u`pw[lht|&xsSd`|t.fbpdeh}g~Taxv?012?5;Ya=;<0yl|{_hlpp*tW`dxx"jnt`alqkrXe|r;<=>32?04?pgu|Vcey!}x^kmwq)ci}khcx`{_lw{45674;4:=l5zasv\mkus'{rTec}{/ecwej:768k0yl|{_hlpp*tW`dxx"jnt`m?5;7f3|kyxRgasu-q|Zoi{}%omyo`<3<2e>sfz}Ubb~z ry]jjvr(lh~jc1=11`9vewrXagy#vPioqw+agsif6?2=45zasv\mkus'{rTec}{/ecwejY1901~mzPioqw+w~Xagy#io{an]45c=ri{~Tec}{/sz\mkus'mkmbQaou2344463|kyxRgasu-q|Zoi{}%omyo`_omw4566988;7xo}t^kmwq)upVcey!kaucl[kis89::>?0318qdtsW`dxx"|w_hlpp*bf|hxnmiwPnnv34575<2j~yQfnrv,v}Ynfz~$hlznrdcg}Zhh|9:;=8:wbvqYnfz~$~uQfnrv,v}b;;7;37xo}t^kmwq)upVcey!}xe>7:4><}hxSd`|t.p{[lht|&xsh1;1199vewrXagy#vPioqw+w~c4?4:46{nru]jjvr(zqUbb~z ryf?3;703|kyxRgasu-q|Zoi{}%ytiQ?1g9vewrXagy#vPioqw+w~cW9Uecy>?0003?pgu|Vcey!}x^kmwq)upmU;Sca{0122541<}hxSd`|t.p{[lht|&xshR?>7:wbvqYnfz~$~uQfnrv,v}bX:8=0yl|{_hlpp*tW`dxx"|wd^123>sfz}Ubb~z ry]jjvr(zqnT8<94u`pw[lht|&xsSd`|t.p{`Z36?2j~yQfnrv,v}Ynfz~$~ujP6058qdtsW`dxx"|w_hlpp*tlV=:h6{nru]jjvr(zqUbb~z ryoubljsm{%8m6{nru]jjvr(zqUbb~z ryoubljsm{%Fob{at^alqkrXyVrd~1>110]JJSY7;=1~mzPioqw+w~Xagy#vbvgkop`t(Eje~byQlotlw[tYg{6:2>358qdtsW`dxx"|w_hlpp*telb`yk}/bmvjqYv4;49;6{nru]jjvr(zqUbb~z ryoubljsm{%hcx`{_p>0:70<}hxSd`|t.p{[lht|&xsa{hflugq+firf}UzS=<9;tcqpZoi{}%ytRgasu-q|hpaae~n~"m`uov\uZ75>2j~yQfnrv,v}Ynfz~$~ucyfhnwaw)dg|dS|Q=279vewrXagy#vPioqw+w~j~ocgxh| cnwmpZwX;;i0yl|{_hlpp*tW`dxx"|wmwdjhqcu'je~byQ~_omw4566:m1~mzPioqw+w~Xagy#vbvgkop`t(kfexRPnnv34576:k1~mzPioqw+w~Xagy#vbvgkop`t(kfexRPos23454d3|kyxRgasu-q|Zoi{}%yt`xiimvfv*eh}g~T}Ra}0123577<}hxSd`|t.p{[lht|&xsa{hflugq+vir|;i0yl|{_hlpp*tW`dxx"|wmwdjhqcu'ze~xRmbp^ef4567:m1~mzPioqw+w~Xagy#vbvgkop`t({fSnc_fg34566:91~mzPioqw+w~Xagy#~zntd]EWHYANm;9?6{nru]jjvr(zqUbb~z sucwaZ@TEVLMh<#Fn368qdtsW`dxx"|w_hlpp*usi}oTJ^CPFGf2)Lh6:91~mzPioqw+w~Xagy#~zntd]EWHYANm89?6{nru]jjvr(zqUbb~z sucwaZ@TEVLMh?#Fn378qdtsW`dxx"|w_hlpp*usi}oTJ^CPFGf1)Lh3;;30yl|{_hlpp*tW`dxx"}{aug\BVKXNOn9!D`;3^QT47g<}hxSd`|t.p{[lht|&ymykPFRO\BCb5%@d??R]X000b?pgu|Vcey!}x^kmwq)t|h~nSK]B_GDg6(Oi<:UX[=<=c:wbvqYnfz~$~uQfnrv,wqgsmVLXARHId3/Jj15X[^:Tj8?<;tcqpZoi{}%ytRzfmdp,6f=ri{~Tec}{/sz\plkbz&GxyoQlnu]b`aurj9:;sfz}Ubb~z ry]wmhcu'Dy~nRmat^cg`vse89:;Snw30?]qp7b<}hxSd`|t.p{[qojm{%FxlPcov\eabt}k:;<=Qly=33:7b<}hxSd`|t.p{[qojm{%FxlPcov\eabt}k:;<=Qly=32:7b<}hxSd`|t.p{[qojm{%FxlPcov\eabt}k:;<=Qly=31:7b<}hxSd`|t.p{[qojm{%FxlPcov\eabt}k:;<=Qly=30:7b<}hxSd`|t.p{[qojm{%FxlPcov\eabt}k:;<=Qly=37:7e<}hxSd`|t.p{[qojm{%FxlPcov\eabt}k:;<=Qly=3=6f=ri{~Tec}{/sz\plkbz&GxyoQlnu]b`aurj9:;sfz}Ubb~z ry]wmhcu'Dy~nRmat^cg`vse89:;Snw33?0`?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Tot2;>3a8qdtsW`dxx"|w_uknaw)J{|hToczPaefpqg6789Uhu1;12b9vewrXagy#vPthofv*Kt}kUhbyQndeqvf5678Vir0;0=c:wbvqYnfz~$~uQ{ilgq+HurjViexRokdrwa4567Wjs7;3h5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5;;2>:4u`pw[lht|&xsSygbes-NwpdXkg~Tmij|uc2345Yk}}6:<3QFNW]36`=ri{~Tec}{/sz\plkbz&GxyoQlnu]b`aurj9:;25;YNF_U;>h5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5;92>:4u`pw[lht|&xsSygbes-NwpdXkg~Tmij|uc2345Yk}}6:>3QFNW]36`=ri{~Tec}{/sz\plkbz&GxyoQlnu]b`aurj9:;27;YNF_U;>h5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5;?2>:4u`pw[lht|&xsSygbes-NwpdXkg~Tmij|uc2345Yk}}6:83QFNW]36`=ri{~Tec}{/sz\plkbz&GxyoQlnu]b`aurj9:;21;YNF_U;>i5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5;5?>5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5;5SD@Y_10g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:T`xz32?10?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:T`xz32?]JJSY7:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^nvp959;:1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^nvp959W@D]S=7987xo}t^kmwq)upV~bah| Mrwa[fhsWhnoxl?012\hpr;>7UBB[Q?2e9vewrXagy#vPthofv*Kt}kUhbyQndeqvf5678Vf~x191329vewrXagy#vPthofv*Kt}kUhbyQndeqvf5678Vf~x191_HLU[54c3|kyxRgasu-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pltv?<;543|kyxRgasu-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pltv?<;YNF_U;>i5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|535?>5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|535SD@Y_10g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|30?0f?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|311<1a>sfz}Ubb~z ry]wmhcu'Dy~nRmat^cg`vse89:;Sua}<03=6`=ri{~Tec}{/sz\plkbz&GxyoQlnu]b`aurj9:;27;4b3|kyxRgasu-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pxnp?5185m2j~yQfnrv,v}Ysadoy#@}zb^ampZgclzi<=>?_ymq8439:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv979:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv949:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv959:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv929:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv939:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv909:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv919:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv9>9:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv9?9901~mzPioqw+w~X|`gn~"mcknww65=ri{~Tec}{/sz\plkbz&iggb{{_np3456592j~yQfnrv,v}Ysadoy#nbdotv\kw6789;:56{nru]jjvr(zqUe`k}/blw8586i2j~yQfnrv,v}Ysadoy#n`{<02=5d=ri{~Tec}{/sz\plkbz&iex1?>>0c8qdtsW`dxx"|w_uknaw)df}6:>3?n;tcqpZoi{}%ytRzfmdp,gkr;9:4:m6{nru]jjvr(zqUe`k}/blw84299h1~mzPioqw+w~X|`gn~"mat=36:4?<}hxSd`|t.p{[qojm{%hby2>>0;8qdtsW`dxx"|w_uknaw)df}692<74u`pw[lht|&xsSygbes-`jq:46830yl|{_hlpp*tW}cfi!lnu>7:4?<}hxSd`|t.p{[qojm{%hby2:>0;8qdtsW`dxx"|w_uknaw)df}6=2<74u`pw[lht|&xsSygbes-`jq:06830yl|{_hlpp*tW}cfi!lnu>;:4?<}hxSd`|t.p{[qojm{%hby26>0:8qdtsW`dxx"|w_uknaw)df}U;=55zasv\mkus'{rTxdcjr.ampZ7612j~yQfnrv,v}Ysadoy#n`{_022=>sfz}Ubb~z ry]wmhcu'jdS9:wbvqYnfz~$~uQ{ilgq+fhsW88:56{nru]jjvr(zqUe`k}/blw[45612j~yQfnrv,v}Ysadoy#n`{_062=>sfz}Ubb~z ry]wmhcu'jdS<;>8:wbvqYnfz~$~uQ{ilgq+fhsW;;37xo}t^kmwq)upV~bah| cov\74><}hxSd`|t.p{[qojm{%hbyQ;199vewrXagy#vPthofv*ei|V?:46{nru]jjvr(zqUe`k}/blw[37?3|kyxRgasu-q|Zrnelx$oczP70:8qdtsW`dxx"|w_uknaw)df}U3=55zasv\mkus'{rTxdcjr.ampZ?5<2j~yQfnrv,v}Ysadoy#n`{_`fgwpd789:7<3<:;tcqpZoi{}%ytRzfmdp,gkrXimnxyo>?01>24;423|kyxRgasu-q|Zrnelx$oczPaefpqg67896:=3<:;tcqpZoi{}%ytRzfmdp,gkrXimnxyo>?01>26;423|kyxRgasu-q|Zrnelx$oczPaefpqg67896:?3<:;tcqpZoi{}%ytRzfmdp,gkrXimnxyo>?01>20;423|kyxRgasu-q|Zrnelx$oczPaefpqg67896:93<;;tcqpZoi{}%ytRzfmdp,gkrXimnxyo>?01>2:72<}hxSd`|t.p{[qojm{%hbyQndeqvf5678585>95zasv\mkus'{rTxdcjr.ampZgclzi<=>?<2<10>sfz}Ubb~z ry]wmhcu'jdSljkst`3456;<78?7xo}t^kmwq)upV~bah| cov\eabt}k:;<=2:>368qdtsW`dxx"|w_uknaw)df}Ujhi}zb1234909:=1~mzPioqw+w~X|`gn~"mat^cg`vse89:;0:0=4:wbvqYnfz~$~uQ{ilgq+fhsWhnoxl?012?<;433|kyxRgasu-q|Zrnelx$oczPaefpqg6789622??4u`pw[lht|&xsSygbes-`jqYdeyUn}=>?0318qdtsW`dxx"|w_uknaw)df}Uha}Qjq1234445;2j~yQfnrv,v}Ysadoy#n`{_bos[`w789:99?=4u`pw[lht|&xsSygbes-`jqYdeyUn}=>?05;17>sfz}Ubb~z ry]wmhcu'jdSnc_ds34561:;90yl|{_hlpp*tW}cfi!lnu]`iuYby9:;<:8=1:wbvqYnfz~$~uQ{ilgq+fhsWds<=>><1<16>sfz}Ubb~z ry]wmhcu'jdS`{w01228586:;1~mzPioqw+w~X|`gn~"mat^ov|56795;;2?=4u`pw[lht|&xsSygbes-`jqYj}q:;<<2>0?316>sfz}Ubb~z ry]wmhcu'jdS`{w01228479::1~mzPioqw+w~X|`gn~"mat^ov|56795;:2<<=;tcqpZoi{}%ytRzfmdp,gkrXe|r;<=?313<17>sfz}Ubb~z ry]wmhcu'jdS`{w012284499;80yl|{_hlpp*tW}cfi!lnu]nq}67886:?3<<;tcqpZoi{}%ytRzfmdp,gkrXe|r;<=?312<267=ri{~Tec}{/sz\plkbz&iexRczx12359736;90yl|{_hlpp*tW}cfi!lnu]nq}67886:83?=2:wbvqYnfz~$~uQ{ilgq+fhsWds<=>><07=66=ri{~Tec}{/sz\plkbz&iexRczx1235972688:7xo}t^kmwq)upV~bah| cov\ip~789;7=3<=;tcqpZoi{}%ytRzfmdp,gkrXe|r;<=?31?315>sfz}Ubb~z ry]wmhcu'jdS`{w01228785:2j~yQfnrv,v}Ysadoy#n`{_lw{45664;4:><5zasv\mkus'{rTxdcjr.ampZkrp9:;=1=1239vewrXagy#vPthofv*ei|Vg~t=>?1=1=577<}hxSd`|t.p{[qojm{%hbyQbuy2344:36;80yl|{_hlpp*tW}cfi!lnu]nq}67886?2<<>;tcqpZoi{}%ytRzfmdp,gkrXe|r;<=?35?01?pgu|Vcey!}x^vji`t(kg~Taxv?013?1;7592j~yQfnrv,v}Ysadoy#n`{_lw{45664?49>6{nru]jjvr(zqUe`k}/blw[hs89::0;0>209vewrXagy#vPthofv*ei|Vg~t=>?1=5=67=ri{~Tec}{/sz\plkbz&iexRczx12359199;;0yl|{_hlpp*tW}cfi!lnu]nq}6788632?<4u`pw[lht|&xsSygbes-`jqYj}q:;<<27>002?pgu|Vcey!}x^vji`t(kg~Taxv?013?=;453|kyxRgasu-q|Zrnelx$oczPmtz3457;17;:>6{nru]jjvr(|qUhck 179vewrXagy#yvPcnpf+K7698>0yl|{_hlpp*rWjeyi"@<1c9vewrXagy#yvPcnpf+gosxfy7<3?m;tcqpZoi{}%tRm`rd-amqvh{5;5=o5zasv\mkus'}rTob|j/ckwtju;:7;i7xo}t^kmwq)spVid~h!miurlw9599h1~mzPioqw+q~Xkfxn#og{pnq\44g<}hxSd`|t.v{[fium&hbx}a|_03b?pgu|Vcey!{x^alv`)ea}zdR<>a:wbvqYnfz~$xuQlosg,flrwgzU8>>5zasv\mkus'}rTob|j/ckwtjuXe|r;<=<30?07?pgu|Vcey!{x^alv`)ea}zdRczx12369699;90yl|{_hlpp*rWjeyi"lftqmp[hs89:90<0=4:wbvqYnfz~$xuQlosg,flrwgzUfyu>?03>2:4443|kyxRgasu-w|Zehzl%iey~`s^ov|567:585>95zasv\mkus'}rTob|j/ckwtjuXe|r;<=<32?317>sfz}Ubb~z ty]`kwc(j`~{c~Qbuy2347:46;>0yl|{_hlpp*rWjeyi"lftqmp[hs89:90>0>179vewrXagy#yvPcnpf+lbkm8o0yl|{_hlpp*rWjeyi"gkld]nq}67898>7xo}t^kmwq)spVid~h!fdmg\ip~789::SD@Y_13f?pgu|Vcey!{x^alv`)nleoTbbz?0132b>sfz}Ubb~z ty]`kwc(amfnSca{012254><}hxSd`|t.v{[fium&cexuQ`219vewrXagy#yvPcnpf+lhspVeTbbz?01315>sfz}Ubb~z ty]`kwc(ag~sSbQaou234476i2j~yQfnrv,p}Ydg{o$`bokee]q67=ri{~Tec}{/uz\gjtb'eejhhjPr^ov|5678;90yl|{_hlpp*rWjeyi"b`aegg[wYj}q:;<=?=4:wbvqYnfz~$xuQlosg,hjgcmmUyS`{w012354453|kyxRgasu-w|Zehzl%gcljjd^p\jjr789;9?6{nru]jjvr(|qUhck lncgaaYuWge<=>>1368qdtsW`dxx"zw_bmqa*jhimooSQaou234476:?1~mzPioqw+q~Xkfxn#aanddf\vZhh|9:;=<<;;tcqpZoi{}%tRm`rd-okdbblVxTbbz?0131670<}hxSd`|t.v{[fium&fdmikk_s]mkq67888Tj8?7;tcqpZoi{}%tRm`rd-w[w:76820yl|{_hlpp*rWjeyi"zPr=3=5==ri{~Tec}{/uz\gjtb'}Uy0?0>8:wbvqYnfz~$xuQlosg,pZt;;7;37xo}t^kmwq)spVid~h!{_s>7:4><}hxSd`|t.v{[fium&~T~1;1199vewrXagy#yvPcnpf+qYu4?4:46{nru]jjvr(|qUhck t^p?3;703|kyxRgasu-w|Zehzl%SQ?169vewrXagy#yvPcnpf+qYuW8;<7xo}t^kmwq)spVid~h!{_s]152=ri{~Tec}{/uz\gjtb'}UyS>?8;tcqpZoi{}%tRm`rd-w[wY39>1~mzPioqw+q~Xkfxn#yQ}_434?pgu|Vcey!{x^alv`)sW{U==:5zasv\mkus'}rTob|j/u]q[27c3|kyxRgasu-w|Zehzl%SQaou23447b3|kyxRgasu-w|Zehzl%SQaou234476k2j~yQfnrv,p}Ydg{o$xo{if^p?4;7d3|kyxRgasu-w|Zehzl%nxhi_s>2:4e<}hxSd`|t.v{[fium&~iykhPr=0=5f=ri{~Tec}{/uz\gjtb'}h~jkQ}<2<2g>sfz}Ubb~z ty]`kwc(|kmjR|34?3`?pgu|Vcey!{x^alv`)sj|lmS2:>0a8qdtsW`dxx"zw_bmqa*re}olT~1811b9vewrXagy#yvPcnpf+qdrnoUy0:0>b:wbvqYnfz~$xuQlosg,pgsanVxT<sfz}Ubb~z ty]`kwc(|kmjR|P60`8qdtsW`dxx"zw_bmqa*re}olT~R9=1:wbvqYnfz~$xuQlosg,pgsanVxTbbz?01316>sfz}Ubb~z ty]`kwc(|kmjR|Pnnv345769>1~mzPioqw+q~Xkfxn#yvk_s3e?pgu|Vcey!{x^alv`)spmUyS`{w012267=ri{~Tec}{/uz\gjtb'}roSQbuy234476?;;0yl|{_hlpp*rWjeyi"zwd^p\ip~789;:>?<4u`pw[lht|&~sSna}e.v{`ZtXe|r;<=?>2001?pgu|Vcey!{x^alv`)spmUyS`{w0122564592j~yQfnrv,p}Ydg{o$xujPr^ov|5679=29=6{nru]jjvr(|qUhck tyf\vZkrp9:;=5:=3:wbvqYnfz~$xuQlosg,p}bXzVg~t=>?196\B75<}hxSd`|t.v{[fium&~shR|Pmtz3457?12g9vewrXagy#yvPthofv*Kt}kUhbyQndeqvf5678Vir0=0Pru0g?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:Tot2>0?0g?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:Tot2>1?0g?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:Tot2>2?0g?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:Tot2>3?0g?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:Tot2>4?0`?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:Tot2>>3a8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Uhu1<12b9vewrXagy#yvPthofv*Kt}kUhbyQndeqvf5678Vir0>0=c:wbvqYnfz~$xuQ{ilgq+HurjViexRokdrwa4567Wjs7832?m4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Ydq5<5>n5zasv\mkus'}rTxdcjr.OpqgYdf}Ujhi}zb1234Ze~4>49o6{nru]jjvr(|qUe`k}/LqvfZei|Vkoh~{m0123[f;078h7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\g|:>6;o0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:6879?7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\hpr;994TECXP03g8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2>1?17?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:T`xz310<\MKPX8;o0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:6:79?7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\hpr;9;4TECXP03g8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2>3?17?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:T`xz312<\MKPX8;o0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:6<79?7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\hpr;9=4TECXP03g8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2>5?17?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:T`xz314<\MKPX8;n0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:66:90yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:66VCEZR>=d:wbvqYnfz~$xuQ{ilgq+HurjViexRokdrwa4567We0?0<3:wbvqYnfz~$xuQ{ilgq+HurjViexRokdrwa4567We0?0PIOT\47b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qcuu>0:65<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qcuu>0:ZOI^V:9h6{nru]jjvr(|qUe`k}/LqvfZei|Vkoh~{m0123[iss4=48?6{nru]jjvr(|qUe`k}/LqvfZei|Vkoh~{m0123[iss4=4TECXP03f8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2:>218qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2:>^KMRZ65l2j~yQfnrv,p}Ysadoy#@}zb^ampZgclzi<=>?_mww8384;2j~yQfnrv,p}Ysadoy#@}zb^ampZgclzi<=>?_mww838XAG\T=4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yk}}6<2RGAV^21`>sfz}Ubb~z ty]wmhcu'Dy~nRmat^cg`vse89:;Sa{{<9<07>sfz}Ubb~z ty]wmhcu'Dy~nRmat^cg`vse89:;Sa{{<9<\MKPX8;n0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:>6:90yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:>6VCEZR>=d:wbvqYnfz~$xuQ{ilgq+HurjViexRokdrwa4567Wqey0=0=e:wbvqYnfz~$xuQ{ilgq+HurjViexRokdrwa4567Wqey0<>12d9vewrXagy#yvPthofv*Kt}kUhbyQndeqvf5678Vrd~1?>>3g8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Usc2>2?0f?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:Ttb|312<1a>sfz}Ubb~z ty]wmhcu'Dy~nRmat^cg`vse89:;Sua}<06=6`=ri{~Tec}{/uz\plkbz&GxyoQlnu]b`aurj9:;2:7b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qwos>1:7b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qwos>0:7b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qwos>7:7b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qwos>6:7b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qwos>5:7b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qwos>4:7b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qwos>;:7b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qwos>::4?<}hxSd`|t.v{[qojm{%h`fazt328qdtsW`dxx"zw_uknaw)ddbe~xRa}012364=ri{~Tec}{/uz\plkbz&iggb{{_np34566901~mzPioqw+q~X|`gn~"mat=2=5d=ri{~Tec}{/uz\plkbz&iex1??>0c8qdtsW`dxx"zw_uknaw)df}6:=3?n;tcqpZoi{}%tRzfmdp,gkr;9;4:m6{nru]jjvr(|qUe`k}/blw84599h1~mzPioqw+q~X|`gn~"mat=37:4g<}hxSd`|t.v{[qojm{%hby2>5?3:?pgu|Vcey!{x^vji`t(kg~7=3?6;tcqpZoi{}%tRzfmdp,gkr;:7;27xo}t^kmwq)spV~bah| cov?7;7>3|kyxRgasu-w|Zrnelx$ocz34?3:?pgu|Vcey!{x^vji`t(kg~793?6;tcqpZoi{}%tRzfmdp,gkr;>7;27xo}t^kmwq)spV~bah| cov?3;7>3|kyxRgasu-w|Zrnelx$ocz38?3:?pgu|Vcey!{x^vji`t(kg~753?7;tcqpZoi{}%tRzfmdp,gkrX8820yl|{_hlpp*rW}cfi!lnu]25<=ri{~Tec}{/uz\plkbz&iexR??189vewrXagy#yvPthofv*ei|V;:=45zasv\mkus'}rTxdcjr.ampZ75901~mzPioqw+q~X|`gn~"mat^305<=ri{~Tec}{/uz\plkbz&iexR?;189vewrXagy#yvPthofv*ei|V;>=55zasv\mkus'}rTxdcjr.ampZ4602j~yQfnrv,p}Ysadoy#n`{_23;?pgu|Vcey!{x^vji`t(kg~T8<64u`pw[lht|&~sSygbes-`jqY2911~mzPioqw+q~X|`gn~"mat^42<>sfz}Ubb~z ty]wmhcu'jdS:?7;tcqpZoi{}%tRzfmdp,gkrX0820yl|{_hlpp*rW}cfi!lnu]:61=ri{~Tec}{/uz\plkbz&iexRokdrwa4567494996{nru]jjvr(|qUe`k}/blw[dbc{|h;<=>311<11>sfz}Ubb~z ty]wmhcu'jdSljkst`3456;984996{nru]jjvr(|qUe`k}/blw[dbc{|h;<=>313<11>sfz}Ubb~z ty]wmhcu'jdSljkst`3456;9:4996{nru]jjvr(|qUe`k}/blw[dbc{|h;<=>315<11>sfz}Ubb~z ty]wmhcu'jdSljkst`3456;9<4986{nru]jjvr(|qUe`k}/blw[dbc{|h;<=>31?07?pgu|Vcey!{x^vji`t(kg~Tmij|uc2345:56;>0yl|{_hlpp*rW}cfi!lnu]b`aurj9:;<1=1259vewrXagy#yvPthofv*ei|Vkoh~{m01238185<2j~yQfnrv,p}Ysadoy#n`{_`fgwpd789:793<;;tcqpZoi{}%tRzfmdp,gkrXimnxyo>?01>5:72<}hxSd`|t.v{[qojm{%hbyQndeqvf56785=5>95zasv\mkus'}rTxdcjr.ampZgclzi<=>?<9<10>sfz}Ubb~z ty]wmhcu'jdSljkst`3456;178:7xo}t^kmwq)spV~bah| cov\ghvXmx:;<=<<;tcqpZoi{}%tRzfmdp,gkrXkdzTi|>?013166=ri{~Tec}{/uz\plkbz&iexRmbp^gr4567:<887xo}t^kmwq)spV~bah| cov\ghvXmx:;<=:6229vewrXagy#yvPthofv*ei|Vif|Rk~012327443|kyxRgasu-w|Zrnelx$oczPclr\at6789==><5zasv\mkus'}rTxdcjr.ampZkrp9:;=1>1239vewrXagy#yvPthofv*ei|Vg~t=>?1=2=574<}hxSd`|t.v{[qojm{%hbyQbuy2344:687887xo}t^kmwq)spV~bah| cov\ip~789;7==0>239vewrXagy#yvPthofv*ei|Vg~t=>?1=32:75<}hxSd`|t.v{[qojm{%hbyQbuy2344:697;9>6{nru]jjvr(|qUe`k}/blw[hs89::0<<1229vewrXagy#yvPthofv*ei|Vg~t=>?1=31:4453|kyxRgasu-w|Zrnelx$oczPmtz3457;9:49?6{nru]jjvr(|qUe`k}/blw[hs89::0<=11308qdtsW`dxx"zw_uknaw)df}Ufyu>?00>20;443|kyxRgasu-w|Zrnelx$oczPmtz3457;9=4:>?5zasv\mkus'}rTxdcjr.ampZkrp9:;=1?:>318qdtsW`dxx"zw_uknaw)df}Ufyu>?00>21;7592j~yQfnrv,p}Ysadoy#n`{_lw{45664849>6{nru]jjvr(|qUe`k}/blw[hs89::0<0>209vewrXagy#yvPthofv*ei|Vg~t=>?1=0=67=ri{~Tec}{/uz\plkbz&iexRczx12359499;;0yl|{_hlpp*rW}cfi!lnu]nq}6788682?<4u`pw[lht|&~sSygbes-`jqYj}q:;<<2<>002?pgu|Vcey!{x^vji`t(kg~Taxv?013?0;453|kyxRgasu-w|Zrnelx$oczPmtz3457;<7;9=6{nru]jjvr(|qUe`k}/blw[hs89::080=2:wbvqYnfz~$xuQ{ilgq+fhsWds<=>><4<264=ri{~Tec}{/uz\plkbz&iexRczx1235909:;1~mzPioqw+q~X|`gn~"mat^ov|56795<5=??4u`pw[lht|&~sSygbes-`jqYj}q:;<<28>308qdtsW`dxx"zw_uknaw)df}Ufyu>?00>4:4463|kyxRgasu-w|Zrnelx$oczPmtz3457;07897xo}t^kmwq)spV~bah| cov\ip~789;743?=1:wbvqYnfz~$xuQ{ilgq+fhsWds<=>><8<16>sfz}Ubb~z ty]wmhcu'jdS`{w01228<869l1~mzPioqw+q~X|`gn~"mat^llp567:8l0yl|{_hlpp*rW}cfi!lnu]mkq678;;:h6{nru]jjvr(kUomyQ`_1]nq}6789;:h6{nru]jjvr(kUomyQ`_0]nq}6789;:h6{nru]jjvr(kUomyQ`_3]nq}6789;=7{incmi22>~d<9:;i!xfrug{(sou|lr>46vflhl{qk7?3qfetRoztn]3[}be'jy"ulld79ziZuc'8o0u`Q|d.ObgfsjWzclalQcauak[f;87;n7tcPse-NefereVybk`oPl`v`lZe~484:i6wb_rf,Ided}dUxejcn_mcwgmYdq585=h5vm^qg+Hgdk|gTdiba^nbpfnXkp682e:{n[vb(Ehihy`Q|ifob[igskaUhu1811d9ziZuc'DkhoxcPsheneZjf|jbTot28>0g8}hYtl&Gjon{b_rkdidYki}icSnw38?3e?|kX{m%Fmnmzm^qjchgXdh~hdRbzt=2=5c=~eVyo#@olcto\wlajiVfjxnfPltv?5;7a3pgTi!BabaviZunodkT`lzlh^nvp9499o1raR}k/Lc`gpkX{`mfmRbntbj\hpr;;7;m7tcPse-NefereVybk`oPl`v`lZjr|5>5=k5vm^qg+Hgdk|gTdiba^nbpfnXd|~793?i;xo\wa)Jiji~aR}fglc\hdrd`Vf~x1811g9ziZuc'DkhoxcPsheneZjf|jbT`xz37?3e?|kX{m%Fmnmzm^qjchgXdh~hdRbzt=:=5c=~eVyo#@olcto\wlajiVfjxnfPxnp?4;7a3pgTi!BabaviZunodkT`lzlh^zlv9799o1raR}k/Lc`gpkX{`mfmRbntbj\|jt;:7;m7tcPse-NefereVybk`oPl`v`lZ~hz595=k5vm^qg+Hgdk|gTdiba^nbpfnXpfx783?i;xo\wa)Jiji~aR}fglc\hdrd`Vrd~1;11g9ziZuc'DkhoxcPsheneZjf|jbTtb|36?3e?|kX{m%Fmnmzm^qjchgXdh~hdRv`r=5=5c=~eVyo#@olcto\wlajiVfjxnfPxnp?<;7a3pgTi!BabaviZunodkT`lzlh^zlv9?9n2sfS~j Rdqvhq:76o1raR}k/Sgpqir;97l0u`Q|d.Pfwpjs4;4m7tcPse-Qavsk|595j6wb_rf,V`urd}6?2k5vm^qg+Wct}e~793h4yl]p`*Tb{|f0;0i;xo\wa)Umzgx191f:{n[vb(Zly~`y27>g9ziZuc'[oxyaz39?g8}hYtl&njxR30?g8}hYtl&njxR31?g8}hYtl&njxR32?g8}hYtl&njxR33?g8}hYtl&njxR34?g8}hYtl&njxR35?g8}hYtl&njxR36?g8}hYtl&njxR37?f8}hYtl&njxRP0e9ziZuc'mkS|Q>d:{n[vb(lh~T}Rj4yl]p`*bf|V{T8i5vm^qg+agsWxU>h6wb_rf,`drXyVo0u`Q|d.fbpdYh}};?7tcPse-pmbkfWekoe2?>068}hYtl&ybk`oPl`v`l9799=1raR}k/rkdidYki}ic0?0>4:{n[vb({`mfmRbntbj?7;733pgTi!|ifob[igska6?2<:4yl]p`*unodkT`lzlh=7=51=~eVyo#~ghm`]oeqeo4?4:86wb_rf,wlajiVfjxnf37?37?|kX{m%xejcn_mcwgm:?68>0u`Q|d.qjchgXdh~hd171129ziZuc'zclalQcauak[5743pgTi!|ifob[igskaU:=>5vm^qg+vo`ehUgmymg_330?|kX{m%xejcn_mcwgmY49:1raR}k/rkdidYki}icS9?<;xo\wa)tangjSao{ci]656=~eVyo#~ghm`]oeqeoW?;87tcPse-pmbkfWekoeQ8129ziZuc'zclalQcauak[=743pgTi!|ifob[igskaU2;6tuhll+f=}x|cec"m`rd-1f>|w}`dd#na}e.Ob`aYm}dzTmij}erq\eab789:Tot2?>3a8~usnff%hck M`fg[osjxVkohk|s^cg`5678Vir0<>12b9ytpoig&id~h!Baef\npkwWhno~h}|_`fg4567Wjs7=<0=c:xsqlhh'jeyi"Cnde]iqhvXimnyi~}Paef3456Xkp6:>3o5uptkmk*ehzl%FmijPjtos[dbczlyxSljk0123[f;:78i7w~ziom,gjtb'DkohRdzmq]b`atb{zUjhi>?01]`}959:k1q|xgao.alv`)JimnTfxc_`fgv`utWhno<=>?_b{?0;4e3sz~eca cnpf+HgclV`~a}QndepfwvYflm:;<=Qly=7=6g=}x|cec"m`rd-NeabXb|g{Sljkrdqp[dbc89:;Snw36?0a?vrage$ob|j/Lcg`ZlreyUjhi|jsr]b`a6789Uhu1912c9ytpoig&id~h!Baef\npkwWhno~h}|_`fg4567Wjs743n5uptkmk*ehzl%FmijPjtos[dbczlyxSljk0123[iss4949h6tuhll+fium&GjhiQeulr\eabumzyTmij?012\hpr;9949h6tuhll+fium&GjhiQeulr\eabumzyTmij?012\hpr;9849h6tuhll+fium&GjhiQeulr\eabumzyTmij?012\hpr;9;49h6tuhll+fium&GjhiQeulr\eabumzyTmij?012\hpr;9:49o6tuhll+fium&GjhiQeulr\eabumzyTmij?012\hpr;978h7w~ziom,gjtb'DkohRdzmq]b`atb{zUjhi>?01]oqq:56;i0v}{fnn-`kwc(EhnoSg{bp^cg`wct{Vkoh=>?0^nvp959:j1q|xgao.alv`)JimnTfxc_`fgv`utWhno<=>?_mww8185k2p{yd``/bmqa*KflmUay`~PaefqavuXimn;<=>Pltv?1;4d3sz~eca cnpf+HgclV`~a}QndepfwvYflm:;<=Qcuu>5:7e|w}`dd#na}e.Ob`aYm}dzTmij}erq\eab789:T`xz39?0`?vrage$ob|j/Lcg`ZlreyUjhi|jsr]b`a6789Usc2?>3f8~usnff%hck M`fg[osjxVkohk|s^cg`5678Vrd~1??>3f8~usnff%hck M`fg[osjxVkohk|s^cg`5678Vrd~1?>>3f8~usnff%hck M`fg[osjxVkohk|s^cg`5678Vrd~1?=>3f8~usnff%hck M`fg[osjxVkohk|s^cg`5678Vrd~1?<>3a8~usnff%hck M`fg[osjxVkohk|s^cg`5678Vrd~1?12b9ytpoig&id~h!Baef\npkwWhno~h}|_`fg4567Wqey0?0=c:xsqlhh'jeyi"Cnde]iqhvXimnyi~}Paef3456Xpfx7?3n5uptkmk*ehzl%FmijPjtos[dbczlyxSljk0123[}iu4?49o6tuhll+fium&GjhiQeulr\eabumzyTmij?012\|jt;?78h7w~ziom,gjtb'DkohRdzmq]b`atb{zUjhi>?01]{kw:?6;i0v}{fnn-`kwc(EhnoSg{bp^cg`wct{Vkoh=>?0^zlv9?9:<1q|xgao.alv`)JimnT|n`jxu]b`a6789Uhu1>1279ytpoig&id~h!Baef\tfhbp}Ujhi>?01]`}9776;30v}{fnn-`kwc(EhnoS}maeyv\eab789:Tot2>0?]qp70?_b{?548Xz}8=7w~ziom,gjtb'DkohR~lndzw[dbc89:;Snw313<1=>|w}`dd#na}e.Ob`aYwkgosxRokd1234Ze~4885Sz=5:xsqlhh'jeyi"Cnde]sgkc|Vkoh=>?0^az848502p{yd``/bmqa*KflmU{ockwt^cg`5678Vir0<0Pru06?vrage$ob|j/Lcg`ZvdflrSljk0123[f;:7837w~ziom,gjtb'DkohR~lndzw[dbc89:;Snw32?]qp73Pcx>0:Zts:<1q|xgao.alv`)JimnT|n`jxu]b`a6789Uhu1:1299ytpoig&id~h!Baef\tfhbp}Ujhi>?01]`}929W{~996tuhll+fium&GjhiQcog{pZgcl9:;|w}`dd#na}e.Ob`aYwkgosxRokd1234Ze~4<4T~y<:;{rvmki(kfxn#@okd^r`j`~sWhno<=>?_b{?2;4?3sz~eca cnpf+HgclVzhbhv{_`fg4567Wjs7:3Q}t378~usnff%hck M`fg[ueimq~Tmij?012\g|:06;20v}{fnn-`kwc(EhnoS}maeyv\eab789:Tot28>^pw60=}x|cec"m`rd-NeabXxjdntyQnde2345Ydq525>55uptkmk*ehzl%FmijPpblf|qYflm:;<=Qly=:=[wr5=2p{yd``/bmqa*KflmU{ockwt^cg`5678Vir040=8:xsqlhh'jeyi"Cnde]sgkc|Vkoh=>?0^az8<8Xz}8=7w~ziom,gjtb'DkohR~lndzw[dbc89:;Sa{{<1<1g>|w}`dd#na}e.Ob`aYwkgosxRokd1234Zjr|5:5SD@Y_105?vrage$ob|j/Lcg`ZvdflrSljk0123[}iu4949;6tuhll+fium&GjhiQcog{pZgcl9:;?0^zlv9756;=0v}{fnn-`kwc(EhnoS}maeyv\eab789:Ttb|312<1e>|w}`dd#na}e.Ob`aYwkgosxRokd1234Z~hz5;82R|{279ytpoig&id~h!Baef\tfhbp}Ujhi>?01]{kw:66;<0v}{fnn-`kwc(EhnoS}maeyv\eab789:Ttb|32?05?vrage$ob|j/Lcg`ZvdflrSljk0123[}iu4:49:6tuhll+fium&GjhiQcog{pZgcl9:;2?84zqwjjj)dg{o$Aljk_qama}rXimn;<=>Pxnp?2;413sz~eca cnpf+HgclVzhbhv{_`fg4567Wqey0:0=6:xsqlhh'jeyi"Cnde]sgkc|Vkoh=>?0^zlv9>9:?1q|xgao.alv`)JimnT|n`jxu]b`a6789Usc26>3g8~usnff%hck M`fg[utbn{$x|ckwt^cg`vse89::Snw34?13?vrage$ob|j/Lcg`Zvumox%}`jxu]b`aurj9:;=Rmv<5<257c>_b{?2;573sz~eca cnpf+HgclVzyik|!sqlf|qYflmy~n=>?1^az83869;o0v}{fnn-`kwc(EhnoS~zncj]b[dbczVkoh~{m0123[f;878n7w~ziom,gjtb'DkohR}{abi\eZgcl{Ujhi}zb1234Ze~4849i6tuhll+fium&GjhiQ|t`ah[dYflmxTmij|uc2345Ydq585>h5uptkmk*ehzl%FmijPsuc`oZgXimnySljkst`3456Xkp682?k4zqwjjj)dg{o$Aljk_rvbgnYfWhno~Rokdrwa4567Wjs783|w}`dd#na}e.Ob`aYt|hi`SlQndep\eabt}k:;<=Qly=4=74=}x|cec"m`rd-NeabX{}khgRoPaefq[dbc{|h;<=>Pcx>5:Zts:l1q|xgao.alv`)JimnTyolk^c\eabuWhnoxl?012\g|:06:;0v}{fnn-`kwc(EhnoS~zncj]b[dbczVkoh~{m0123[f;?7Uyx?h4zqwjjj)dg{o$Aljk_rvbgnYfWhno~Rokdrwa4567We0=0=f:xsqlhh'jeyi"Cnde]ppdelWhUjhi|Paefpqg6789Ugyy2>>3d8~usnff%hck M`fg[vrfkbUjSljkr^cg`vse89:;Sa{{<3<1b>|w}`dd#na}e.Ob`aYt|hi`SlQndep\eabt}k:;<=Qcuu>0:7`?_mww8185n2p{yd``/bmqa*KflmUxxlmd_`]b`atXimnxyo>?01]{kw:76;l0v}{fnn-`kwc(EhnoS~zncj]b[dbczVkoh~{m0123[}iu4849j6tuhll+fium&GjhiQ|t`ah[dYflmxTmij|uc2345Yg{692?h4zqwjjj)dg{o$Aljk_rvbgnYfWhno~Rokdrwa4567Wqey0>0=f:xsqlhh'jeyi"Cnde]ppdelWhUjhi|Paefpqg6789Usc2;>3d8~usnff%hck M`fg[vrfkbUjSljkr^cg`vse89:;Sua}<4<1b>|w}`dd#na}e.Ob`aYt|hi`SlQndep\eabt}k:;<=Qwos>5:7`?_ymq8285n2p{yd``/bmqa*KflmUxxlmd_`]b`atXimnxyo>?01]{kw:?6:80v}{fnn-`kwc(EhnoS~zncj]b[dbczVkoh~{m0123[}iu414T~yo5uptkmk*ehzl%FmijPsuc`oZdXimnySljk0123[f;978n7w~ziom,gjtb'DkohR}{abi\fZgcl{Ujhi>?01]`}979W{~9n6tuhll+fium&GjhiQ|t`ah[gYflmxTmij?012\g|:56;o0v}{fnn-`kwc(EhnoS~zncj]a[dbczVkoh=>?0^az878Xz}8i7w~ziom,gjtb'DkohR}{abi\fZgcl{Ujhi>?01]`}959:l1q|xgao.alv`)JimnTyolk^`\eabuWhno<=>?_b{?7;Yu|;h0v}{fnn-`kwc(EhnoS~zncj]a[dbczVkoh=>?0^az8185m2p{yd``/bmqa*KflmUxxlmd_c]b`atXimn;<=>Pcx>7:Zts:k1q|xgao.alv`)JimnTyolk^`\eabuWhno<=>?_b{?1;4b3sz~eca cnpf+HgclVymnePb^cg`wYflm:;<=Qly=7=[wr5j2p{yd``/bmqa*KflmUxxlmd_c]b`atXimn;<=>Pcx>5:7c?0^zlv969:j1q|xgao.alv`)JimnTyolk^`\eabuWhno<=>?_ymq8485k2p{yd``/bmqa*KflmUxxlmd_c]b`atXimn;<=>Pxnp?6;4d3sz~eca cnpf+HgclVymnePb^cg`wYflm:;<=Qwos>0:7e|w}`dd#na}e.Ob`aYt|hi`SoQndep\eab789:Ttb|36?0`?vrage$ob|j/Lcg`ZusijaTnRokds]b`a6789Usc28>3a8~usnff%hck M`fg[vrfkbUiSljkr^cg`5678Vrd~1612g9ytpoig&id~h!Baef\wqgdcVhTmij}_`fg4567Wqey050Pru0f?vrage$ob|j/Lcg`ZusijaTnRokds]b`aurj9:;|w}`dd#na}e.Ob`aYt|hi`SoQndep\eabt}k:;<=Qly=3=6`=}x|cec"m`rd-NeabX{}khgRlPaefq[dbc{|h;<=>Pcx>1:7c?_b{?7;4b3sz~eca cnpf+HgclVymnePb^cg`wYflmy~n=>?0^az8185m2p{yd``/bmqa*KflmUxxlmd_c]b`atXimnxyo>?01]`}939;81q|xgao.alv`)JimnTyolk^`\eabuWhnoxl?012\g|:26Vx>h5uptkmk*ehzl%FmijPsuc`oZdXimnySljkst`3456Xkp6=2>?4zqwjjj)dg{o$Aljk_rvbgnYeWhno~Rokdrwa4567Wjs7:3Q}t3g8~usnff%hck M`fg[vrfkbUiSljkr^cg`vse89:;Snw37?12?vrage$ob|j/Lcg`ZusijaTnRokds]b`aurj9:;?0^nvp969:o1q|xgao.alv`)JimnTyolk^`\eabuWhnoxl?012\hpr;978m7w~ziom,gjtb'DkohR}{abi\fZgcl{Ujhi}zb1234Zjr|585>k5uptkmk*ehzl%FmijPsuc`oZdXimnySljkst`3456Xd|~7?3Pxnp?6;4a3sz~eca cnpf+HgclVymnePb^cg`wYflmy~n=>?0^zlv959:o1q|xgao.alv`)JimnTyolk^`\eabuWhnoxl?012\|jt;<78m7w~ziom,gjtb'DkohR}{abi\fZgcl{Ujhi}zb1234Z~hz5?5>k5uptkmk*ehzl%FmijPsuc`oZdXimnySljkst`3456Xpfx7:3?0^az8469:?1q|xgao.alv`)JimnT{< {or]b`a6789Uhu1?>>348~usnff%hck M`fg[r7)|fyTmij?012\g|:6:78=7w~ziom,gjtb'DkohRy>.ump[dbc89:;Snw312<12>|w}`dd#na}e.Ob`aYp9'~dRokd1234Ze~48>5>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qly=36:70?_b{?5285>2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vir0<61279ytpoig&id~h!Baef\s4(sgzUjhi>?01]`}97>6;?0v}{fnn-`kwc(EhnoSz?!tnq\eab789:Tot2>>348~usnff%hck M`fg[r7)|fyTmij?012\g|:5878=7w~ziom,gjtb'DkohRy>.ump[dbc89:;Snw320<12>|w}`dd#na}e.Ob`aYp9'~dRokd1234Ze~4;85>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qly=00:70?_b{?6085>2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vir0?81279ytpoig&id~h!Baef\s4(sgzUjhi>?01]`}9406;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789:Tot2=8?05?vrage$ob|j/Lcg`Zq6&}exSljk0123[f;:04996tuhll+fium&GjhiQx1/vlwZgcl9:;|w}`dd#na}e.Ob`aYp9'~dRokd1234Ze~4::5>85uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qly=1=60=}x|cec"m`rd-NeabX8$c~Qnde2345Ydq5>5>85uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qly=7=60=}x|cec"m`rd-NeabX8$c~Qnde2345Ydq5<5>85uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qly=5=60=}x|cec"m`rd-NeabX8$c~Qnde2345Ydq525>85uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qly=;=63=}x|cec"m`rd-NeabX8$c~Qnde2345Yk}}6;2?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=>Pltv?5585?2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vf~x1?>>358~usnff%hck M`fg[r7)|fyTmij?012\hpr;9;49;6tuhll+fium&GjhiQx1/vlwZgcl9:;?0^nvp9726;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789:T`xz317<13>|w}`dd#na}e.Ob`aYp9'~dRokd1234Zjr|5;<2?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=>Pltv?5=85?2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vf~x1?6>348~usnff%hck M`fg[r7)|fyTmij?012\hpr;978<7w~ziom,gjtb'DkohRy>.ump[dbc89:;Sa{{<32=62=}x|cec"m`rd-NeabX8$c~Qnde2345Yk}}69=3<8;{rvmki(kfxn#@okd^u2*qitWhno<=>?_mww8749:>1q|xgao.alv`)JimnT{< {or]b`a6789Ugyy2=3?04?vrage$ob|j/Lcg`Zq6&}exSljk0123[iss4;>5>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qcuu>11;403sz~eca cnpf+HgclV}:"ya|_`fg4567We0?81269ytpoig&id~h!Baef\s4(sgzUjhi>?01]oqq:5?78<7w~ziom,gjtb'DkohRy>.ump[dbc89:;Sa{{<3:=62=}x|cec"m`rd-NeabX8$c~Qnde2345Yk}}6953<9;{rvmki(kfxn#@okd^u2*qitWhno<=>?_mww8785?2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vf~x1=?>358~usnff%hck M`fg[r7)|fyTmij?012\hpr;;849:6tuhll+fium&GjhiQx1/vlwZgcl9:;Pltv?1;413sz~eca cnpf+HgclV}:"ya|_`fg4567We0;0=6:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?0^nvp919:?1q|xgao.alv`)JimnT{< {or]b`a6789Ugyy27>348~usnff%hck M`fg[r7)|fyTmij?012\hpr;178=7w~ziom,gjtb'DkohRy>.ump[dbc89:;Sua}<1<13>|w}`dd#na}e.Ob`aYp9'~dRokd1234Z~hz5;;2?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=>Pxnp?5485?2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vrd~1?=>358~usnff%hck M`fg[r7)|fyTmij?012\|jt;9:49;6tuhll+fium&GjhiQx1/vlwZgcl9:;?0^zlv9716;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789:Ttb|316<13>|w}`dd#na}e.Ob`aYp9'~dRokd1234Z~hz5;32?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=>Pxnp?5<85>2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vrd~1?1269ytpoig&id~h!Baef\s4(sgzUjhi>?01]{kw:5878<7w~ziom,gjtb'DkohRy>.ump[dbc89:;Sua}<33=62=}x|cec"m`rd-NeabX8$c~Qnde2345Yg{69>3<8;{rvmki(kfxn#@okd^u2*qitWhno<=>?_ymq8759:>1q|xgao.alv`)JimnT{< {or]b`a6789Usc2=4?04?vrage$ob|j/Lcg`Zq6&}exSljk0123[}iu4;?5>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qwos>12;403sz~eca cnpf+HgclV}:"ya|_`fg4567Wqey0?91269ytpoig&id~h!Baef\s4(sgzUjhi>?01]{kw:5078<7w~ziom,gjtb'DkohRy>.ump[dbc89:;Sua}<3;=63=}x|cec"m`rd-NeabX8$c~Qnde2345Yg{692?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=>Pxnp?7585?2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vrd~1=>>348~usnff%hck M`fg[r7)|fyTmij?012\|jt;;78=7w~ziom,gjtb'DkohRy>.ump[dbc89:;Sua}<5<12>|w}`dd#na}e.Ob`aYp9'~dRokd1234Z~hz5?5>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qwos>5:70?_ymq8=85>2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vrd~171249ytpoig&id~h!Baef\s4(sgzUjhi>?00]`}969:?1q|xgao.alv`)JimnT{< {or]b`a6788Uhu1??>348~usnff%hck M`fg[r7)|fyTmij?013\g|:6978=7w~ziom,gjtb'DkohRy>.ump[dbc89::Snw313<12>|w}`dd#na}e.Ob`aYp9'~dRokd1235Ze~4895>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<>_b{?5385>2p{yd``/bmqa*KflmU|=#z`s^cg`5679Vir0<91279ytpoig&id~h!Baef\s4(sgzUjhi>?00]`}97?6;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789;Tot2>9?06?vrage$ob|j/Lcg`Zq6&}exSljk0122[f;978=7w~ziom,gjtb'DkohRy>.ump[dbc89::Snw321<12>|w}`dd#na}e.Ob`aYp9'~dRokd1235Ze~4;;5>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<>_b{?6185>2p{yd``/bmqa*KflmU|=#z`s^cg`5679Vir0?;1279ytpoig&id~h!Baef\s4(sgzUjhi>?00]`}9416;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789;Tot2=7?05?vrage$ob|j/Lcg`Zq6&}exSljk0122[f;:149:6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rmv<3;=60=}x|cec"m`rd-NeabX8$c~Qnde2344Ydq585>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<7:732?;4zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pcx>5:73;:731269ytpoig&id~h!Baef\s4(sgzUjhi>?00]oqq:6978<7w~ziom,gjtb'DkohRy>.ump[dbc89::Sa{{<00=62=}x|cec"m`rd-NeabX8$c~Qnde2344Yk}}6:?3<8;{rvmki(kfxn#@okd^u2*qitWhno<=>>_mww8429:>1q|xgao.alv`)JimnT{< {or]b`a6788Ugyy2>5?04?vrage$ob|j/Lcg`Zq6&}exSljk0122[iss48<5>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<23;403sz~eca cnpf+HgclV}:"ya|_`fg4566We0<61269ytpoig&id~h!Baef\s4(sgzUjhi>?00]oqq:6178=7w~ziom,gjtb'DkohRy>.ump[dbc89::Sa{{<0<13>|w}`dd#na}e.Ob`aYp9'~dRokd1235Zjr|58;2?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pltv?6485?2p{yd``/bmqa*KflmU|=#z`s^cg`5679Vf~x1<=>358~usnff%hck M`fg[r7)|fyTmij?013\hpr;::49;6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rbzt=07:7180=7:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?1^nvp9416;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789;T`xz326<13>|w}`dd#na}e.Ob`aYp9'~dRokd1235Zjr|5832?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pltv?6<85>2p{yd``/bmqa*KflmU|=#z`s^cg`5679Vf~x1<1269ytpoig&id~h!Baef\s4(sgzUjhi>?00]oqq:4878<7w~ziom,gjtb'DkohRy>.ump[dbc89::Sa{{<23=63=}x|cec"m`rd-NeabX8$c~Qnde2344Yk}}682?84zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pltv?0;413sz~eca cnpf+HgclV}:"ya|_`fg4566We080=6:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?1^nvp909:?1q|xgao.alv`)JimnT{< {or]b`a6788Ugyy28>348~usnff%hck M`fg[r7)|fyTmij?013\hpr;078=7w~ziom,gjtb'DkohRy>.ump[dbc89::Sa{{<8<12>|w}`dd#na}e.Ob`aYp9'~dRokd1235Z~hz5:5>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<24;403sz~eca cnpf+HgclV}:"ya|_`fg4566Wqey0?00]{kw:6:78<7w~ziom,gjtb'DkohRy>.ump[dbc89::Sua}<01=62=}x|cec"m`rd-NeabX8$c~Qnde2344Yg{6:83<8;{rvmki(kfxn#@okd^u2*qitWhno<=>>_ymq8439:>1q|xgao.alv`)JimnT{< {or]b`a6788Usc2>6?04?vrage$ob|j/Lcg`Zq6&}exSljk0122[}iu48=5>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<2<;403sz~eca cnpf+HgclV}:"ya|_`fg4566Wqey0<71279ytpoig&id~h!Baef\s4(sgzUjhi>?00]{kw:66;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789;Ttb|321<13>|w}`dd#na}e.Ob`aYp9'~dRokd1235Z~hz58:2?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pxnp?6785?2p{yd``/bmqa*KflmU|=#z`s^cg`5679Vrd~1<<>358~usnff%hck M`fg[r7)|fyTmij?013\|jt;:=49;6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rv`r=06:71;0=7:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?1^zlv9406;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789;Ttb|329<13>|w}`dd#na}e.Ob`aYp9'~dRokd1235Z~hz5822?84zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pxnp?6;403sz~eca cnpf+HgclV}:"ya|_`fg4566Wqey0>>1269ytpoig&id~h!Baef\s4(sgzUjhi>?00]{kw:4978=7w~ziom,gjtb'DkohRy>.ump[dbc89::Sua}<2<12>|w}`dd#na}e.Ob`aYp9'~dRokd1235Z~hz5>5>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<6:70>_ymq8285>2p{yd``/bmqa*KflmU|=#z`s^cg`5679Vrd~161279ytpoig&id~h!Baef\s4(sgzUjhi>?00]{kw:>6;n0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Sh?012\g|:76;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Sh?012\g|:6878n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rk~0123[f;9849i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qjq1234Ze~4885>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Pep2345Ydq5;82?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_ds3456Xkp6:830=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]fu5678Vir090=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]fu5678Vir080=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]fu5678Vir0;0=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]fu5678Vir0:0=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]fu5678Vir050=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]fu5678Vir040=e:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]fu5678Vf~x1>12g9ytpoig&id~h!BcnosewYp9'~dR>Pclr\at6789Ugyy2>0?0e?vrage$ob|j/LaliuguW~;%xb}P0^antZcv89:;Sa{{<03=6c=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXmx:;<=Qcuu>26;4a3sz~eca cnpf+HeheykySz?!tnq\4ZejxVoz<=>?_mww8459:o1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzTi|>?01]oqq:6<78m7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rk~0123[iss48?5>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Pep2345Yk}}6:2?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_ds3456Xd|~7>30=e:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]fu5678Vf~x1:12d9ytpoig&id~h!BcnosewYp9'~dR>Pclr\at6789Ugyy2:>3g8~usnff%hck MbmntdtX8$c~Q?_bos[`w789:T`xz36?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZcv89:;Sa{{<6<1a>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYby9:;::7bPcx>3:7cPcx>24;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>?_b{?5485m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?0^az8449:l1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?01]`}9746;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?012\g|:6<78n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0123[f;9<49i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Ze~48<5>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2345Ydq5;<2?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3456Xkp6:4312d9ytpoig&id~h!BcnosewYp9'~dR>Pclr\hq6789Uhu1<>>3g8~usnff%hck MbmntdtX8$c~Q?_bos[ir789:Tot2=2?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89:;Snw322<1a>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;Pcx>12;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>?_b{?6285m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?0^az87>9:l1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?01]`}94>6;n0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?012\g|:56;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?012\g|:4878n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0123[f;;849h6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Ze~4:49h6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Ze~4=49h6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Ze~4<49h6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Ze~4?49h6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Ze~4>49h6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Ze~4149h6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Ze~4049i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Zjr|5:5>k5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2345Yk}}6:<3Pclr\hq6789Ugyy2>2?0e?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89:;Sa{{<01=6c=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<=Qcuu>20;4a3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>?_mww8439:o1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?01]oqq:6>78m7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0123[iss48=5>k5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2345Yk}}6:43Pclr\hq6789Ugyy2>>3d8~usnff%hck MbmntdtX8$c~Q?_bos[ir789:T`xz321<1b>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;Pltv?6785n2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?0^nvp9446;l0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?012\hpr;:=49j6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Zjr|58>2?h4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3456Xd|~7>;0=f:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5678Vf~x1<8>3d8~usnff%hck MbmntdtX8$c~Q?_bos[ir789:T`xz329<1b>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;Pltv?6;4a3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>?_mww8669:o1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?01]oqq:4978n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0123[iss4:49i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Zjr|5>5>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2345Yk}}6>2?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3456Xd|~7:3Pclr\hq6789Ugyy26>3f8~usnff%hck MbmntdtX8$c~Q?_bos[ir789;Tot2?>3g8~usnff%hck MbmntdtX8$c~Q?_bos[ir789;Tot2>0?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89::Snw310<1a>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;=Rmv<00=6`=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<20;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>>_b{?5085m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^az8409:l1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?00]`}9706;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?013\g|:6078n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0122[f;9049h6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1235Ze~4849i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1235Ze~4;:5>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2344Ydq58:2?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3457Xkp69>3>0=e:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vir0?:12d9ytpoig&id~h!BcnosewYp9'~dR>Pclr\hq6788Uhu1<:>3g8~usnff%hck MbmntdtX8$c~Q?_bos[ir789;Tot2=6?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89::Snw326<1a>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;=Rmv<3:=6`=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<1:7c04;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>>_b{?7485l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^az8685l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^az8185l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^az8085l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^az8385l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^az8285l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^az8=85l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^az8<85m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^nvp969:o1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?00]oqq:6878m7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0122[iss48;5>k5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2344Yk}}6:>3Pclr\hq6788Ugyy2>4?0e?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89::Sa{{<07=6c=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<22;4a3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>>_mww8419:o1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?00]oqq:6078m7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0122[iss4835>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2344Yk}}6:2?h4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3457Xd|~7>=0=f:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vf~x1<>>3d8~usnff%hck MbmntdtX8$c~Q?_bos[ir789;T`xz323<1b>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;=Rbzt=00:7`.ump[5YdeyUgx=>?1^nvp9426;l0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?013\hpr;:?49j6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1235Zjr|58<2?h4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3457Xd|~7>50=f:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vf~x1<6>3g8~usnff%hck MbmntdtX8$c~Q?_bos[ir789;T`xz32?0e?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89::Sa{{<22=6c=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<05;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>>_mww8685m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^nvp929:l1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?00]oqq:26;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?013\hpr;>78n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0122[iss4>49i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1235Zjr|525>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2344Yk}}622?h4zqwjjj)dg{o$Aab_rcnticcLlidhhj@pbmgaZkrp9:98<:<0:xsqlhh'jeyi"C}ol]pehvkmmNnobjjdNr`kacXe|r;400e?vrage$ob|j/LpliZufeyfnhIkloeggKuehllUfyu>?25366`=}x|cec"m`rd-NwpdXx{om~#}ndzw[dbc{|h;<=>Pcx>3:67?_b{?4;Yu|;o0v}{fnn-`kwc(EziS}|jfs,ptkc|Vkoh~{m0123[f;978n7w~ziom,gjtb'Dy~nR~}egp-wuhbp}Ujhi}zb1234Ze~4;49i6tuhll+fium&GxyoQrddq*vvimq~Tmij|uc2345Ydq595>h5uptkmk*ehzl%FxlPpsgev+uwflrSljkst`3456Xkp6?2?k4zqwjjj)dg{o$A~{m_qpfbw(txgosxRokdrwa4567Wjs7933QFNW]36c=}x|cec"m`rd-NwpdXx{om~#}ndzw[dbc{|h;<=>Pltv?7;523sz~eca cnpf+HurjVzyik|!sqlf|qYflmy~n=>?0^nvp959W@D]S=7w~ziom,gjtb'Dy~nR~}egp-wuhbp}Ujhi}zb1234Zjr|5?5SD@Y_10e?vrage$ob|j/LqvfZvumox%}`jxu]b`aurj9:;Pltv?2;YNF_U;>k5uptkmk*ehzl%FxlPpsgev+uwflrSljkst`3456Xd|~7;3=:;{rvmki(kfxn#@}zb^rqact){ydntyQndeqvf5678Vf~x191_HLU[54a3sz~eca cnpf+HurjVzyik|!sqlf|qYflmy~n=>?0^nvp9>9;<1q|xgao.alv`)J{|hT|kir/qsj`~sWhnoxl?012\hpr;07UBB[Q?2g9ytpoig&id~h!Bst`\twcaz'y{bhv{_`fgwpd789:Ttb|30?0e?vrage$ob|j/LqvfZvumox%}`jxu]b`aurj9:;Pxnp?6;4a3sz~eca cnpf+HurjVzyik|!sqlf|qYflmy~n=>?0^zlv959:o1q|xgao.alv`)J{|hT|kir/qsj`~sWhnoxl?012\|jt;<78m7w~ziom,gjtb'Dy~nR~}egp-wuhbp}Ujhi}zb1234Z~hz5?5>k5uptkmk*ehzl%FxlPpsgev+uwflrSljkst`3456Xpfx7:3|w}`dd#na}e.ffgjbbWcf|R]X0.M2e>|w}`dd#na}e.ffgjbbWeejhR}179ytpoig&id~h!kebp-fwcfc;:0v}{fnn-`kwc(lliy"ikloeggKuehllU:><5uptkmk*ehzl%oin|!ddal``bHxjeoiR?=209ytpoig&id~h!kebp-``ehllnD|nake^3064=}x|cec"m`rd-gaft)llidhhj@pbmgaZ73:81q|xgao.alv`)cmjx%hhm`ddfLtficmV;>><5uptkmk*ehzl%oin|!ddal``bHxjeoiR?6209ytpoig&id~h!kebp-``ehllnD|nake^0164=}x|cec"m`rd-gaft)llidhhj@pbmgaZ44:81q|xgao.alv`)cmjx%hhm`ddfLtficmV8?><5uptkmk*ehzl%oin|!ddal``bHxjeoiR<:209ytpoig&id~h!kebp-``ehllnD|nake^0465=}x|cec"m`rd-gaft)llidhhj@pbmgaZ5592p{yd``/bmqa*bbk{$oinakeeMsgjbbW::9=6tuhll+fium&nno kebmgaaIwkfnnS>?=1:xsqlhh'jeyi"jjcs,gaficmmE{objj_2015>|w}`dd#na}e.ffgw(cmjeoiiAcnff[65582p{yd``/bmqa*bbk{$oinakeeMsgjbbW=8;7w~ziom,gjtb'moh~#jjcnff`JvdgmoT9?>4zqwjjj)dg{o$hhm}.eg`kaccGyidhhQ9219ytpoig&id~h!kebp-``ehllnD|nake^:14>|w}`dd#na}e.ffgw(cmjeoiiAcnff[<7f3sz~eca cnpf+acdz'lnxnfc^22e>|w}`dd#na}e.ffgw(am}ic|nQ>1c9ytpoig&id~h!kebp-b`rd`yiT==?m;{rvmki(kfxn#iklr/dfpfnwkV;:=o5uptkmk*ehzl%oin|!fdv`lueX9;;i7w~ziom,gjtb'moh~#hjtbjsgZ749h1q|xgao.alv`)cmjx%jhzlhqa\64ga:xsqlhh'jeyi"jjcs,eaqeoxjU>=l5uptkmk*ehzl%oin|!fdv`lueX>8k0v}{fnn-`kwc(lliy"kk{cir`[27f3sz~eca cnpf+acdz'lnxnfc^:2e>|w}`dd#na}e.ffgw(am}ic|nQ6129ytpoig&id~h!kebp-mh7>3sz~eca cnpf+acdz'e{objj_13:?vrage$ob|j/eg`v+iwkfnnS3sz~eca cnpf+acdz'e{objj_53:?vrage$ob|j/eg`v+iwkfnnS8?6;{rvmki(kfxn#iklr/msgjbbW?;>7w~ziom,gjtb'moh~#~l_136?vrage$ob|j/eg`v+vdW8;=7w~ziom,gjtb'moh~#~l_0222>|w}`dd#na}e.ffgw(wkV;:=;5uptkmk*ehzl%oin|!pb]2640?:;{rvmki(kfxn#iklr/r`[7723sz~eca cnpf+acdz'zhS>?:;{rvmki(kfxn#iklr/r`[1723sz~eca cnpf+acdz'zhS8?:;{rvmki(kfxn#iklr/r`[3723sz~eca cnpf+acdz'zhS:?:;{rvmki(kfxn#iklr/r`[=723sz~eca cnpf+acdz'zhS4?7;{rvmki(kfxn#iklr/r`mkrX8820v}{fnn-`kwc(lliy"}mfnu]25<=}x|cec"m`rd-gaft)xjcexR??189ytpoig&id~h!kebp-tfoi|V;:=45uptkmk*ehzl%oin|!pbkmpZ75901q|xgao.alv`)cmjx%|ngat^305==}x|cec"m`rd-gaft)xjcexR<>8:xsqlhh'jeyi"jjcs,sglhsW:;37w~ziom,gjtb'moh~#~liov\04>?i;{rvmki(kfxn#iklr/qweqcXNZGTJKj=239ytpoig&id~h!kebp-wqgsmVLXARHId3/Jj758n0v}{fnn-`kwc(lliy"ya|Snwqg`Y6?8i0v}{fnn-`kwc(lliy"ya|Snwqg`Y59j1q|xgao.alv`)cmjx%xb}\otp`aZ56k2p{yd``/bmqa*bbk{$c~]`usaf[17d3sz~eca cnpf+acdz'~d^azrbg\14e|w}`dd#na}e.ffgw(sgzYdymj_93`?vrage$ob|j/eg`v+rh{Ze~~nkP9048~usnff%hck ddaq*sgkam;n7w~ziom,gjtb'moh~Rl}e`i\ip~789::j6tuhll+fium&nnoQmrdch[hs89:;=?_g71e>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0<<12c9ytpoig&id~h!kebp\``ehllnD|nake^ov|56785;92<?01>20;4b3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>315<\WR6412p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<06=[VQ7'E__?RBPBTD04>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0<:1_RU3+J4f3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>314<1a>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0<;1_RU37<=}x|cec"m`rd-gaftXllidhhj@pbmgaZkrp9:;<1?:>^QT4*JR\:UGSO[I319ytpoig&id~h!kebp\``ehllnD|nake^ov|56785;>2R]X0.M1e>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0<712d9ytpoig&id~h!kebp\``ehllnD|nake^ov|56785;22R]X02;8~usnff%hck ddaq[acdgmooC}m`dd]nq}67896:53Q\W1-OQQ5XDVH^J>>4zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:617UX[=!@289ytpoig&id~h!kebp\``ehllnD|nake^ov|56785;5>o5uptkmk*ehzl%oin|Pddal``bHxjeoiRczx1234979989=7w~ziom,gjtb'moh~Rjjcnff`JvdgmoTaxv?012?5;76'E__8RJPBTD1e>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0<0=2`9ytpoig&id~h!kebp\``ehllnD|nake^ov|56785892?k4zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:5:7UX[==6;{rvmki(kfxn#iklr^ffgjbblFzhcikPmtz3456;:;4T_Z> LTV0[IYE]O9;7w~ziom,gjtb'moh~Rjjcnff`JvdgmoTaxv?012?678X[^:$C?o4zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:5;78n7w~ziom,gjtb'moh~Rjjcnff`JvdgmoTaxv?012?668X[^:9m6tuhll+fium&nnoQkebmgaaIwkfnnS`{w01238729:k1q|xgao.alv`)cmjxThhm`ddfLtficmVg~t=>?0=07:44f3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>324<1f>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0?;113c8~usnff%hck ddaq[acdgmooC}m`dd]nq}678969;34T_Z><9:xsqlhh'jeyi"jjcs]gaficmmE{objj_lw{45674;=5S^Y?/MWW0ZJXJ\L8<6tuhll+fium&nnoQkebmgaaIwkfnnS`{w01238719WZ];#B?0=13:ZUP8&E9m6tuhll+fium&nnoQkebmgaaIwkfnnS`{w01238679:j1q|xgao.alv`)cmjxThhm`ddfLtficmVg~t=>?0=12:455l2p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<23=5675n2p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<23=56Ya=;i0v}{fnn-`kwc(lliySikloeggKuehllUfyu>?01>05;73:j1q|xgao.alv`)cmjxThhm`ddfLtficmVg~t=>?0=12:774?2p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<23=64)K]]9THRLZF3a8~usnff%hck ddaq[acdgmooC}m`dd]nq}678968=3<<2`9ytpoig&id~h!kebp\``ehllnD|nake^ov|56785992?k4zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:4:7UX[==6;{rvmki(kfxn#iklr^ffgjbblFzhcikPmtz3456;;;4T_Z> LTV0[IYE]O9;7w~ziom,gjtb'moh~Rjjcnff`JvdgmoTaxv?012?778X[^:$C?o4zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:4;78n7w~ziom,gjtb'moh~Rjjcnff`JvdgmoTaxv?012?768X[^:956tuhll+fium&nnoQkebmgaaIwkfnnS`{w01238685i2p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<2<26<=}x|cec"m`rd-gaftXllidhhj@pbmgaZkrp9:;<1:12c9ytpoig&id~h!kebp\``ehllnD|nake^ov|56785>5=<=9;{rvmki(kfxn#iklr^ffgjbblFzhcikPmtz3456;<7;:#A[[3^F\FP@5l2p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<5<\WR6402p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<5<\WR6(D\^?SAQMUG0e?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:783Q\W1-L6<=}x|cec"m`rd-gaftXllidhhj@pbmgaZkrp9:;<1;12`9ytpoig&id~h!kebp\``ehllnD|nake^ov|56785?5=?74zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:16;k0v}{fnn-`kwc(lliySikloeggKuehllUfyu>?01>5:44>3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>38?0b?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:743?=b:xsqlhh'jeyi"jjcs]gaficmmE{objj_lw{4567414:=>84zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:?68;$@XZ<_E]AQC4>3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>39?0g?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:753Q\W11;?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:753Q\W1-OQQ5XDVH^J?h4zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:>6VY\<"A=3:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>3:73?<1<254423sz~eca cnpf+acdzVlnxnfc^ov|56785:5=9<:;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=2=64433sz~eca cnpf+acdzVlnxnfc^ov|56785:58?h4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<1<7+ISS?01>3:1)H:=1q|xgao.alv`)cmjxTjhzlhqa\ip~789:7==0=6:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>24;76:?1q|xgao.alv`)cmjxTjhzlhqa\ip~789:7==0>4378~usnff%hck ddaq[ccskazhS`{w01238469<::0v}{fnn-`kwc(lliySkk{cir`[hs89:;0<>14.NVP1YKWK_M>:5uptkmk*ehzl%oin|Pfdv`lueXe|r;<=>311<7+J433sz~eca cnpf+acdzVlnxnfc^ov|56785;:2?84zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<03=54413sz~eca cnpf+acdzVlnxnfc^ov|56785;:2<:=5:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>25;2482p{yd``/bmqa*bbk{Umiymgpb]nq}67896:=3: LTV7[IYE]O8<7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1?>>5-L61=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;9;49:6tuhll+fium&nnoQieuaktfYj}q:;<=2>2?3263=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;9;4:8?;4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<00=0661q|xgao.alv`)cmjxTjhzlhqa\ip~789:7=?0;/N07?vrage$ob|j/eg`vZ`b|jb{oRczx12349746;<0v}{fnn-`kwc(lliySkk{cir`[hs89:;0<=11005?vrage$ob|j/eg`vZ`b|jb{oRczx123497468>996tuhll+fium&nnoQieuaktfYj}q:;<=2>3?604>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:6;7>$@XZ;_M]AQC403sz~eca cnpf+acdzVlnxnfc^ov|56785;829!@229ytpoig&id~h!kebp\b`rd`yiTaxv?012?5;423sz~eca cnpf+acdzVlnxnfc^ov|56785;5=<<:;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=3=51433sz~eca cnpf+acdzVlnxnfc^ov|56785;58?h4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<0<7+ISS?01>2:1)H::1q|xgao.alv`)cmjxTjhzlhqa\ip~789:7>3<:;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=0=54423sz~eca cnpf+acdzVlnxnfc^ov|5678585=9<;;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=0=07`2p{yd``/bmqa*bbk{Umiymgpb]nq}67896929!@229ytpoig&id~h!kebp\b`rd`yiTaxv?012?7;423sz~eca cnpf+acdzVlnxnfc^ov|5678595=<<:;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=1=51433sz~eca cnpf+acdzVlnxnfc^ov|56785958?h4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<2<7+ISS?01>0:1)H::1q|xgao.alv`)cmjxTjhzlhqa\ip~789:783<:;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=6=54423sz~eca cnpf+acdzVlnxnfc^ov|56785>5=9<;;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=6=07`2p{yd``/bmqa*bbk{Umiymgpb]nq}67896?29!@229ytpoig&id~h!kebp\b`rd`yiTaxv?012?1;423sz~eca cnpf+acdzVlnxnfc^ov|56785?5=><6;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=7=56YT_98>7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1;12913?vrage$ob|j/eg`vZ`b|jb{oRczx1234939:1%GYY:PD^@VB73>>4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<4<76*JR\=UOSO[I249ytpoig&id~h!kebp\b`rd`yiTaxv?012?1;2>:01q|xgao.alv`)cmjxTjhzlhqa\ip~789:793:6_RU361=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;=7<996tuhll+fium&nnoQieuaktfYj}q:;<=2:>6004>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:26>8$@XZ=_M]AQC403sz~eca cnpf+acdzVlnxnfc^ov|56785?5;?!@249ytpoig&id~h!kebp\b`rd`yiTaxv?012?1;12:>1q|xgao.alv`)cmjxTjhzlhqa\ip~789:7939:_300?vrage$ob|j/eg`vZ`b|jb{oRczx1234909:?1q|xgao.alv`)cmjxTjhzlhqa\ip~789:7:3?>1238~usnff%hck ddaq[ccskazhS`{w0123838698%GYY:PD^@VB73?<7<02ZUP8;?0v}{fnn-`kwc(lliySkk{cir`[hs89:;0;0:7228~usnff%hck ddaq[ccskazhS`{w01238382?&F^X?QC_CWE62=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;>7?<#B<:;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=4=25403sz~eca cnpf+acdzVlnxnfc^ov|56785<5:=Q=259ytpoig&id~h!kebp\b`rd`yiTaxv?012?2;15n2p{yd``/bmqa*bbk{Umiymgpb]nq}67896=2:!CUU6\HZDRN;<0v}{fnn-`kwc(lliySkk{cir`[hs89:;0;08/N0;?vrage$ob|j/eg`vZ`b|jb{oRczx1234909?VY\?<6<11>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:06:<956tuhll+fium&nnoQieuaktfYj}q:;<=28>24\WR65=2p{yd``/bmqa*bbk{Umiymgpb]nq}67896<289<0:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>4:01(D\^9SAQMUG04?vrage$ob|j/eg`vZ`b|jb{oRczx1234919=>%D>85uptkmk*ehzl%oin|Pfdv`lueXe|r;<=>37?4362=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;?7<;S?<;;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=5=37`4<#A[[4^N\FP@5>2p{yd``/bmqa*bbk{Umiymgpb]nq}67896<2:!@299ytpoig&id~h!kebp\b`rd`yiTaxv?012?3;1X[^:9?6tuhll+fium&nnoQieuaktfYj}q:;<=27>378~usnff%hck ddaq[ccskazhS`{w01238=84>;30v}{fnn-`kwc(lliySkk{cir`[hs89:;050<6^QT473;>>4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<9<63*JR\;UGSO[I269ytpoig&id~h!kebp\b`rd`yiTaxv?012?<;30'F8>7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1616104?vrage$ob|j/eg`vZ`b|jb{oRczx12349>9>9U9>95uptkmk*ehzl%oin|Pfdv`lueXe|r;<=>38?51b>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:?6>%GYY:PL^@VB70?0=:=3ZUP8;90v}{fnn-`kwc(lliySkk{cir`[hs89:;040=5:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>::60512p{yd``/bmqa*bbk{Umiymgpb]nq}6789622>8PSV211>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:>6<=996tuhll+fium&nnoQieuaktfYj}q:;<=26>7213>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:>6?:T>?:4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<8<46c=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;17=$@XZ;_M]AQC413sz~eca cnpf+acdzVlnxnfc^ov|5678535;"A=8:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>::2YT_9;i7w~ziom,gjtb'moh~Rgb_lw{45679o1q|xgao.alv`)cmjxTe`Qbuy2345YT_8897w~ziom,gjtb'moh~Racnff[hs89:;0=0=3:xsqlhh'jeyi"jjcs]ltficmVg~t=>?0=2=674239ytpoig&id~h!kebp\kuehllUfyu>?01>1:756tuhll+fium&nnoQ`pbmgaZkrp9:;<1=1229ytpoig&id~h!kebp\kuehllUfyu>?01>0:4453sz~eca cnpf+acdzVe{objj_lw{45674=49?6tuhll+fium&nnoQ`pbmgaZkrp9:;<1:11308~usnff%hck ddaq[jvdgmoTaxv?012?1;443sz~eca cnpf+acdzVe{objj_lw{45674<4:>?5uptkmk*ehzl%oin|Poqal``Yj}q:;<=29>318~usnff%hck ddaq[jvdgmoTaxv?012?2;76j2p{yd``/bmqa*bbk{U{oR``t12354e331a>|w}`dd#na}e.ffgwYwk`dS`{w012385859&F^X9QK_CWE66=}x|cec"m`rd-gaftXxjcexRczx1234969;88n7w~ziom,gjtb'moh~R~liov\ip~789:7<3=>/MWW0ZBXJ\L9>6tuhll+fium&nnoQchlw[hs89:;0<>1229ytpoig&id~h!kebp\tfoi|Vg~t=>?0=33:4453sz~eca cnpf+acdzVzheczPmtz3456;9849?6tuhll+fium&nnoQchlw[hs89:;0?01>26;443sz~eca cnpf+acdzVzheczPmtz3456;9;4:>?5uptkmk*ehzl%oin|PpbkmpZkrp9:;<1?<>318~usnff%hck ddaq[uenf}Ufyu>?01>27;7592p{yd``/bmqa*bbk{U{od`{_lw{45674849>6tuhll+fium&nnoQchlw[hs89:;0<0>209ytpoig&id~h!kebp\tfoi|Vg~t=>?0=0=67=}x|cec"m`rd-gaftXxjcexRczx12349499;;0v}{fnn-`kwc(lliyS}mfnu]nq}6789682?<4zqwjjj)dg{o$hhm}_qajjqYj}q:;<=2<>002?vrage$ob|j/eg`vZvdag~Taxv?012?0;453sz~eca cnpf+acdzVzheczPmtz3456;<7;9=6tuhll+fium&nnoQchlw[hs89:;080=2:xsqlhh'jeyi"jjcs]sglhsWds<=>?<4<264=}x|cec"m`rd-gaftXxjcexRczx1234909:;1q|xgao.alv`)cmjxT|ngat^ov|56785<5=??4zqwjjj)dg{o$hhm}_qajjqYj}q:;<=28>308~usnff%hck ddaq[uenf}Ufyu>?01>4:4463sz~eca cnpf+acdzVzheczPmtz3456;07897w~ziom,gjtb'moh~R~liov\ip~789:743?=1:xsqlhh'jeyi"jjcs]sglhsWds<=>?<8<16>|w}`dd#na}e.ffgwYwk`dS`{w01238<86:=1q|xgao.alv`)cmjxT}Aifrgw[hs89:;0=0=5:xsqlhh'jeyi"jjcs]ptJ`a{l~Taxv?012?4;75<2p{yd``/bmqa*bbk{Ux|Bhisdv\ip~789:7=3<:;{rvmki(kfxn#iklr^qsKc`tm}Ufyu>?01>2:4433sz~eca cnpf+acdzVy{Ckh|eu]nq}6789692?;4zqwjjj)dg{o$hhm}_rrLbcub|Vg~t=>?0=0=57285uptkmk*ehzl%oin|PsqMebvcsWds<=>?<2<261=}x|cec"m`rd-gaftX{yEmj~k{_lw{45674=4996tuhll+fium&nnoQ|pNdew`rXe|r;<=>34?31e>|w}`dd#na}e.ffgwYt|hi`C}k}aukljZkrp9:;<1?12b9ytpoig&id~h!kebp\wqgdcFzn~lzfoo]nq}67896:298<7:xsqlhh'jeyi"jjcs]ppdelGyoymyg`n^ov|56785;58;!CUU6\HZDRN;o0v}{fnn-`kwc(lliyS~zncjMsawgsafdTaxv?012?5;21'F9;7w~ziom,gjtb'moh~R}{abiLt`tf|`eeS`{w01238483>VY\<>?4zqwjjj)dg{o$hhm}_rvbgnIwm{keb`Pmtz3456;97>=S^Y?1218~usnff%hck ddaq[vrfkbE{io{inl\ip~789:7=3:9_RU3[c35k2p{yd``/bmqa*bbk{UxxlmdOqgqeqohfVg~t=>?0=3=334f3sz~eca cnpf+acdzVymne@pdpbpliiWds<=>?<3<1`>|w}`dd#na}e.ffgwYt|hi`C}k}aukljZkrp9:;<1<110205>|w}`dd#na}e.ffgwYt|hi`C}k}aukljZkrp9:;<1<1102\WR65k2p{yd``/bmqa*bbk{UxxlmdOqgqeqohfVg~t=>?0=0=634c3sz~eca cnpf+acdzVymne@pdpbpliiWds<=>?<3<1244c3sz~eca cnpf+acdzVymne@pdpbpliiWds<=>?<3<1274a3sz~eca cnpf+acdzVymne@pdpbpliiWds<=>?<3<12Z`2:j1q|xgao.alv`)cmjxTyolkNrfvdrnggUfyu>?01>1:115k2p{yd``/bmqa*bbk{UxxlmdOqgqeqohfVg~t=>?0=0=23503sz~eca cnpf+acdzVymne@pdpbpliiWds<=>?<3<52*JR\=UGSO[I2d9ytpoig&id~h!kebp\wqgdcFzn~lzfoo]nq}6789692;8 O3c8~usnff%hck ddaq[vrfkbE{io{inl\ip~789:7?3>4zqwjjj)dg{o$hhm}_rvbgnIwm{keb`Pmtz3456;;7>33?64[VQ7WN8>7w~ziom,gjtb'moh~Rz`sRmvvfcXe|r;<=>30?05?vrage$ob|j/eg`vZrh{Ze~~nkPmtz3456;87;9:6tuhll+fium&nnoQ{orQlqwebWds<=>?<02=6==}x|cec"m`rd-gaftX|fyXcx|le^ov|56785;;2329ytpoig&id~h!kebp\pjuTg|xhiRczx12349776;;$@XZ<_E]AQC4?3sz~eca cnpf+acdzV~d^azrbg\ip~789:7==0<1218~usnff%hck ddaq[qit[fyohQbuy2345:6879:#A[[4^F\FP@5i2p{yd``/bmqa*bbk{Uc~]`usaf[hs89:;0<>1_RU270=}x|cec"m`rd-gaftX|fyXcx|le^ov|56785;;2R]X1.NVP6YKWK_M>n5uptkmk*ehzl%oin|PtnqPkptdmVg~t=>?0=33:ZUP9&E9:6tuhll+fium&nnoQ{orQlqwebWds<=>?<03=6`=}x|cec"m`rd-gaftX|fyXcx|le^ov|56785;:2R]X0^QT4703<8;{rvmki(kfxn#iklr^vlwVirzjoTaxv?012?5786:?1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1?<>3c8~usnff%hck ddaq[qit[fyohQbuy2345:6;7UX[==:;{rvmki(kfxn#iklr^vlwVirzjoTaxv?012?568X[^:$@XZ<_M]AQC4d3sz~eca cnpf+acdzV~d^azrbg\ip~789:7=>0PSV2,K700312>|w}`dd#na}e.ffgwYsgzYdymj_lw{456748?5>:5uptkmk*ehzl%oin|PtnqPkptdmVg~t=>?0=36:4413sz~eca cnpf+acdzV~d^azrbg\ip~789:7=;0=8:xsqlhh'jeyi"jjcs]wkvUh}{inS`{w01238409:<8h7w~ziom,gjtb'moh~Rz`sRmvvfcXe|r;<=>317<11ZUP8;i0v}{fnn-`kwc(lliySya|Snwqg`Yj}q:;<=2>6?06[VQ6:>1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1?9>411?vrage$ob|j/eg`vZrh{Ze~~nkPmtz3456;9?4>#A[[3^N\FP@512p{yd``/bmqa*bbk{Uc~]`usaf[hs89:;0<815.M12>|w}`dd#na}e.ffgwYsgzYdymj_lw{456748=5>l5uptkmk*ehzl%oin|PtnqPkptdmVg~t=>?0=34:ZUP8:?0v}{fnn-`kwc(lliySya|Snwqg`Yj}q:;<=2>7?]PS5)K]]>T@RLZF3a8~usnff%hck ddaq[qit[fyohQbuy2345:6?7UX[=!@249ytpoig&id~h!kebp\pjuTg|xhiRczx1234979:?1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1?10238~usnff%hck ddaq[qit[fyohQbuy2345:669%GYY=PL^@VB7>31?3;77=}x|cec"m`rd-gaftX|fyXcx|le^ov|56785;5=5!CUU0\@ZDRN;=0v}{fnn-`kwc(lliySya|Snwqg`Yj}q:;<=2>>541=>|w}`dd#na}e.ffgwYsgzYdymj_lw{4567484?:RH=9:xsqlhh'jeyi"jjcs]wkvUh}{inS`{w01238483>VM9;6tuhll+fium&nnoQ{orQlqwebWds<=>?<0<;673?01>1:4423sz~eca cnpf+acdzV~d^azrbg\ip~789:7?3<9;{rvmki(kfxn#iklr^vlwVirzjoTaxv?012?7;75=2p{yd``/bmqa*bbk{Uc~]`usaf[hs89:;090=8:xsqlhh'jeyi"jjcs]wkvUh}{inS`{w0123818698987w~ziom,gjtb'moh~Rz`sRmvvfcXe|r;<=>34?325*JR\;UOSO[I269ytpoig&id~h!kebp\pjuTg|xhiRczx1234929=;8i7w~ziom,gjtb'moh~Rz`sRmvvfcXe|r;<=>34?71[VQ7:m1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1:153]PS5YA:m1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1:153]PS5Y@:<1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1;1279ytpoig&id~h!kebp\pjuTg|xhiRczx12349399;?0v}{fnn-`kwc(lliySya|Snwqg`Yj}q:;<=29>3f8~usnff%hck ddaq[qit[fyohQbuy2345:16VY\^QT4ZUP8;?0v}{fnn-`kwc(lliySya|Snwqg`Yj}q:;<=27>3f8~usnff%hck ddaq[qit[fyohQbuy2345:?6VY\6;=0v}{fnn-`kwc(lliySya|Snwqg`Yj}q:;<=26>011<>|w}`dd#na}e.ffgwYsgzYdymj_lw{4567404:?<?<8<174c?m;{rvmki(kfxn#hv}.omp[vgqmV;?=o5uptkmk*ehzl%nt aor]pescX9<;i7w~ziom,gjtb'lry"ca|_rcuaZ719k1q|xgao.alv`)bp{$ec~Q|awg\527e3sz~eca cnpf+`~u&gexS~oye^3;5g=}x|cec"m`rd-f|w(igzUxm{kP183b?vrage$ob|j/dzq*kitWzk}iR<>b:xsqlhh'jeyi"kwr/llwZuf~lU9<|w}`dd#na}e.g{v+hh{VyjzhQ=60`8~usnff%hck eyp-jjuX{h|nS?9>b:xsqlhh'jeyi"kwr/llwZuf~lU94|w}`dd#na}e.g{v+usi}oTJ^CPFGf24741g9ytpoig&id~h!jxs,ppdrbWOYFSKHk1001?vrage$ob|j/dzq*vrf|lUM_@QIFe32)Lh5>2p{yd``/bmqa*cz'ymykPFRO\BCb69$CeS^Y>1g9ytpoig&id~h!jxs,ppdrbWOYFSKHk1301?vrage$ob|j/dzq*vrf|lUM_@QIFe31)Lh5;2p{yd``/bmqa*cz'ymykPFRO\BCb6:$Ce=3,Km66=}x|cec"m`rd-f|w(t|h~nSK]B_GDg56+Nf8;m7w~ziom,gjtb'lry"~zntd]EWHYANm;?>?5uptkmk*ehzl%nt |t`vf[CUJWOLo=9#Fn378~usnff%hck eyp-wqgsmVLXARHId06.Mk760;30v}{fnn-`kwc(mqx%yo{e^DPIZ@Al8>&Ec?>8^QT472>55uptkmk*ehzl%nt |t`vf[CUJWOLo=9#Fn07\WR6512p{yd``/bmqa*cz'ymykPFRO\BCb6<$Ce=8Q\W131=>|w}`dd#na}e.g{v+usi}oTJ^CPFGf20(Oi94,Km50YT_9Um9?:4zqwjjj)dg{o$iu|!sucwaZ@TEVLMh<:"Io1361=}x|cec"m`rd-f|w(t|h~nSK]B_GDg51+Nf=9986tuhll+fium&os~#}{aug\BVKXNOn:8 Ga6707?vrage$ob|j/dzq*vrf|lUM_@QIFe37)Lh108o0v}{fnn-`kwc(mqx%yo{e^DPIZ@Al;8:7w~ziom,gjtb'lry"~zntd]EWHYANm8&Ec<=;{rvmki(kfxn#hv}.rvbp`YA[DUMJi<"Io32a>|w}`dd#na}e.g{v+usi}oTJ^CPFGf064=}x|cec"m`rd-f|w(t|h~nSK]B_GDg7(Oi:;1q|xgao.alv`)bp{$xxlzj_GQN[C@c;$Ce=;{rvmki(kfxn#hv}.rvbp`YA[DUMJi:"Io01?vrage$ob|j/dzq*vrf|lUM_@QIFe6.Mk76m2p{yd``/bmqa*cz'ymykPFRO\BCb2:81q|xgao.alv`)bp{$xxlzj_GQN[C@c=$Ce>?5uptkmk*ehzl%nt |t`vf[CUJWOLo9 Ga10g8~usnff%hck eyp-wqgsmVLXARHId702?vrage$ob|j/dzq*vrf|lUM_@QIFe4.Mk453sz~eca cnpf+`~u&z~jxhQISL]EBa0*Ag;:i6tuhll+fium&os~#}{aug\BVKXNOn<><5uptkmk*ehzl%nt |t`vf[CUJWOLo; Ga249ytpoig&id~h!jxs,ppdrbWOYFSKHk7,Km[VQ79l1q|xgao.alv`)bp{$xxlzj_GQN[C@c1;;0v}{fnn-`kwc(mqx%yo{e^DPIZ@Al0'Bb?<4zqwjjj)dg{o$iu|!sucwaZ@TEVLMh4#Fn03`?vrage$ob|j/dzq*vrf|lUDyy?91078~usnff%hck eyp-pjuX88?0v}{fnn-`kwc(mqx%xb}P1048~usnff%hck eyp-pjuX99;=7w~ziom,gjtb'lry"ya|_0322>|w}`dd#na}e.g{v+rh{V;9=;5uptkmk*ehzl%nt {or]27402p{yd``/bmqa*cz'~dR?9179ytpoig&id~h!jxs,wkvY6?8<0v}{fnn-`kwc(mqx%xb}P1935?vrage$ob|j/dzq*qitW83:96tuhll+fium&os~#z`s^022>|w}`dd#na}e.g{v+rh{V8;=;5uptkmk*ehzl%nt {or]1540??9;{rvmki(kfxn#hv}.ump[756>2p{yd``/bmqa*cz'~dR<;179ytpoig&id~h!jxs,wkvY5=8<0v}{fnn-`kwc(mqx%xb}P2735?vrage$ob|j/dzq*qitW;=::6tuhll+fium&os~#z`s^0;53=}x|cec"m`rd-f|w(sgzU95<;4zqwjjj)dg{o$iu|!tnq\7405:xsqlhh'jeyi"kwr/vlwZ36=2p{yd``/bmqa*cz'~dR8>5:xsqlhh'jeyi"kwr/vlwZ16=2p{yd``/bmqa*cz'~dR6>5:xsqlhh'jeyi"kwr/vlwZ?6i2p{yd``/bmqa*cz'~dR}nvd]35d=}x|cec"m`rd-f|w(sgzUxm{kP10`8~usnff%hck eyp-pjuX{h|nS<>>b:xsqlhh'jeyi"kwr/vlwZuf~lU:=|w}`dd#na}e.g{v+rh{VyjzhQ>70`8~usnff%hck eyp-pjuX{h|nS<6>b:xsqlhh'jeyi"kwr/vlwZuf~lU:58?m;{rvmki(kfxn#hv}.ump[vgqmV8==o5uptkmk*ehzl%nt {or]pescX:>;i7w~ziom,gjtb'lry"ya|_rcuaZ4?9k1q|xgao.alv`)bp{$c~Q|awg\6<7f3sz~eca cnpf+`~u&}exS~oye^12f>|w}`dd#na}e.g{v+rh{VyjzhQ<00`8~usnff%hck eyp-pjuX{h|nS>?>a:xsqlhh'jeyi"kwr/vlwZuf~lU?=l5uptkmk*ehzl%nt {or]pescX=8k0v}{fnn-`kwc(mqx%xb}Ps`tf[37f3sz~eca cnpf+`~u&}exS~oye^52e>|w}`dd#na}e.g{v+rh{VyjzhQ71`9ytpoig&id~h!jxs,wkvYtioT5<84zqwjjj)dg{o$iu|!wc]`}f723sz~eca cnpf+`~u&~hT{h<<;{rvmki(kfxn#hv}_hlJjqcuz|zS`{w012261=}x|cec"m`rd-f|wYnf@di|zpu]nq}6788;9<6tuhll+fium&os~Rz`s^qbr`Yig}:;<<<>;{rvmki(kfxn#hv}_ump[vgqmVddx=>?103f?vrage$ob|j/dzq[rdXkpiTaxv?01314>|w}`dd#na}e.g{vZqeWjshS`{w01226=463sz~eca cnpf+`~uW~hTotmPmtz34575088:7w~ziom,gjtb'lrySzlPcxa\ip~789;94?<<;{rvmki(kfxn#hv}_v`\g|eXe|r;<=?=8^d65c=}x|cec"m`rd-f|wYpjViroRczx1235=7c3sz~eca cnpf+`~uW~hT{hQbuy23457a3sz~eca cnpf+`~uW~hT{hQbuy2345739o1q|xgao.alv`)bp{U|nRyj_lw{4567:>;m7w~ziom,gjtb'lrySzlPwd]nq}67899<=95uptkmk*ehzl%ntxQmur{22>|w}`dd#na}e.g{qZdr{p;;=;5uptkmk*ehzl%ntxQmur{0640<>;{rvmki(kfxn#hvz_cwp}65(D\^9SIQMUG36?vrage$ob|j/dzv[gstq=8;7w~ziom,gjtb'lr~So{|y5-OQQ2XDVH^J<94zqwjjj)dg{o$iu{Pbtqz0*I6>2p{yd``/bmqa*c}Vh~t:=1`9ytpoig&id~h!jxt]aqv3:VY\<<;4zqwjjj)dg{o$iu{Pbtqz=4>?5uptkmk*ehzl%ay`~PaefqavuX8Vkeh=>?03`8~usnff%hck jtos[dbczlyxS=Qnne2345YpoVir0=0=b:xsqlhh'jeyi"dzmq]b`atb{zU;Sl`k0123[raXkp6:2?l4zqwjjj)dg{o$fxc_`fgv`utW9Ujbi>?01]tcZe~4;49n6tuhll+fium&`~a}QndepfwvY7Whdo<=>?_ve\g|:46;h0v}{fnn-`kwc(b|g{Sljkrdqp[5Yffm:;<=Qxg^az8185j2p{yd``/bmqa*lreyUjhi|jsr]3[dhc89:;SziPcx>6:7d|w}`dd#na}e.hviuYflmxn~Q?_`lg4567W~mTot28>3a8~usnff%hck jtos[dbczlyxS=Qnne2345YpoVf~x1>12b9ytpoig&id~h!eulr\eabumzyT3?01]tcZjr|5>5>n5uptkmk*ehzl%ay`~PaefqavuX8Vkeh=>?0^ud[iss4<49o6tuhll+fium&`~a}QndepfwvY7Whdo<=>?_ve\hpr;>78h7w~ziom,gjtb'cf|RokdsgpwZ6Xign;<=>Pwf]oqq:06;90v}{fnn-`kwc(b|g{Sljkrdqp[dbc89:;0=0=4:xsqlhh'jeyi"dzmq]b`atb{zUjhi>?01>24;433sz~eca cnpf+osjxVkohk|s^cg`56785;:2?:4zqwjjj)dg{o$fxc_`fgv`utWhno<=>?<00=61=}x|cec"m`rd-iqhvXimnyi~}Paef3456;9:49?6tuhll+fium&`~a}QndepfwvYflm:;<=2>>318~usnff%hck jtos[dbczlyxSljk01238785;2p{yd``/bmqa*lreyUjhi|jsr]b`a6789682?=4zqwjjj)dg{o$fxc_`fgv`utWhno<=>?<5<17>|w}`dd#na}e.hviuYflmxn~Qnde2345:26;90v}{fnn-`kwc(b|g{Sljkrdqp[dbc89:;0;0=3:xsqlhh'jeyi"dzmq]b`atb{zUjhi>?01>4:750`8~usnff%hck lrjjbqcuWldjnak>3:xsqlhh'jeyi"``s=2=54c4:xsqlhh'jeyi"``s=32:4733sz~eca cnpf+kit4885=<:4zqwjjj)dg{o$bb}312<251=}x|cec"m`rd-mkv:6<7;:86tuhll+fium&dd1?:>037?vrage$ob|j/omp840998>0v}{fnn-`kwc(ffy7=:0>159ytpoig&id~h!aor>2<;76<2p{yd``/bmqa*hh{5;22>037?vrage$ob|j/omp874998>0v}{fnn-`kwc(ffy7>>0>159ytpoig&id~h!aor>10;76<2p{yd``/bmqa*hh{58>24:=95uptkmk*ehzl%ec~2=8?320>|w}`dd#na}e.llw94>68;87w~ziom,gjtb'gex0?0>159ytpoig&id~h!aor>04;76<2p{yd``/bmqa*hh{59:2129ytpoig&id~h!aor>5:47b3sz~eca cnpf+kit4?4:#A[[3^F\FP@6;2p{yd``/bmqa*hh{5=5=25;713sz~eca cnpf+ueimq~7=?0>6:xsqlhh'jeyi"~lndzw84599<1q|xgao.alv`)wkgosx1?1149ytpoig&id~h!cog{p9499<1q|xgao.alv`)wkgosx1=1149ytpoig&id~h!cog{p9299<1q|xgao.alv`)wkgosx1;1149ytpoig&id~h!cog{p9099<1q|xgao.alv`)wkgosx191149ytpoig&id~h!cog{p9>99<1q|xgao.alv`)wkgosx171159ytpoig&id~h!ft]aqv6=2p{yd``/bmqa*va}Vh~t?>7:xsqlhh'jeyi"~`pqa\mkus9;80v}{fnn-`kwc(xfz{oRgasu3,HPR4WMUIYK?8;{rvmki(kfxn#}|jfs,av`gl:81q|xgao.alv`)wzlly"ikloeggKuehllU:>?5uptkmk*ehzl%{~hh}.eg`kaccGyidhhQ>2308~usnff%hck psgev+bbkfnnhB~loeg\51453sz~eca cnpf+utbn{$oinakeeMsgjbbW8?9>6tuhll+fium&zyik|!ddal``bHxjeoiR?6239ytpoig&id~h!rddq*acdgmooC}m`dd]1674?5uptkmk*ehzl%{~hh}.eg`kaccGyidhhQ<3338~usnff%hck psgev+bbkfnnhB~loeg\077|w}`dd#na}e.rqact)nl~hd}mP10a8~usnff%hck psgev+`b|jb{oR??1b9ytpoig&id~h!rddq*ccskazhSc:xsqlhh'jeyi"~}egp-b`rd`yiT=??l;{rvmki(kfxn#}|jfs,eaqeoxjU:?|w}`dd#na}e.rqact)nl~hd}mP70`8~usnff%hck psgev+`b|jb{oR6>b:xsqlhh'jeyi"~}egp-b`rd`yiT5a:xsqlhh'jeyi"~}egp-kuehllU>=l5uptkmk*ehzl%{~hh}.nr`kacX>8<0v}{fnn-`kwc(x{om~#~l_135?vrage$ob|j/qpfbw(wkV;:;6tuhll+fium&zyik|!pb]24417:xsqlhh'jeyi"~}egp-tfY6:8=0v}{fnn-`kwc(x{om~#~l_0122>|w}`dd#na}e.rqact)xjU9=;5uptkmk*ehzl%{~hh}.qa\7402p{yd``/bmqa*vumox%|nQ9179ytpoig&id~h!rddq*ueX?8<0v}{fnn-`kwc(x{om~#~l_935?vrage$ob|j/qpfbw(wkV3:i6tuhll+fium&zyik|!rdaliussmVy{=:5uptkmk*ehzl%{~hh}.rr\55713sz~eca cnpf+utbn{$x|R<>6:xsqlhh'jeyi"~}egp-wuY49?1q|xgao.alv`)wzlly"~~P4048~usnff%hck psgev+uwW<;=7w~ziom,gjtb'yxnj |p^422>|w}`dd#na}e.rqact){yU<=;5uptkmk*ehzl%{~hh}.rr\<40|w}`dd#na}e.rqact){ydntyQ:1`9ytpoig&id~h!rddq*vvimq~T:;j7w~ziom,gjtb'yxnj |pog{pZ>6i2p{yd``/bmqa*vumox%}`jxu]:5a=}x|cec"m`rd-sv``u&}ex_b{}cd]35a=}x|cec"m`rd-sv``u&}ex_b{}cd]25`=}x|cec"m`rd-sv``u&}ex_b{}cd]244c=i5uptkmk*ehzl%{~hh}.umpWjsuklU==i5uptkmk*ehzl%{~hh}.umpWjsuklU<=i5uptkmk*ehzl%{~hh}.umpWjsuklU3=i5uptkmk*ehzl%{~hh}.umpWjsuklU2=:5uptkmk*ehzl%{~hh}.wcoma423sz~eca cnpf+utbn{Ud|ZgclGpfacXg{:;<=<8;{rvmki(kfxn#}|jfs]ltRokdOxniwkPos234577:>1q|xgao.alv`)wzllySb~XimnEv`c}mVey<=>?1605?vrage$ob|j/qpfbwYhx^cg`K|je{g\kw6789>996tuhll+fium&zyik|PrdaliussmVy{Sb|?01212>|w}`dd#na}e.rqactXzlida}{{e^qs[jt789::=n5uptkmk*ehzl%{~hh}_rr\jjr789;9<6tuhll+fium&zyik|Psq]mkq6788UX[=<9;{rvmki(kfxn#}|jfs]ptkc|Vkoh~{m01238585>2p{yd``/bmqa*vumoxT}`jxu]b`aurj9:;<1?1279ytpoig&id~h!rddq[vvimq~Tmij|uc2345:56;<0v}{fnn-`kwc(x{om~R}ndzw[dbc{|h;<=>33?05?vrage$ob|j/qpfbwYtxgosxRokdrwa45674=49:6tuhll+fium&zyik|Psqlf|qYflmy~n=>?0=7=63=}x|cec"m`rd-sv``uWzzeiuzPaefpqg67896=2?84zqwjjj)dg{o$|kir^qsj`~sWhnoxl?012?3;413sz~eca cnpf+utbn{Ux|ckwt^cg`vse89:;050=4:xsqlhh'jeyi"~}egp\wuhbp}Ufyu>?01>24;413sz~eca cnpf+utbn{Ux|ckwt^ov|56785;;2?:=8:xsqlhh'jeyi"~}egp\wuhbp}Ufyu>?01>24;43WO837w~ziom,gjtb'yxnjQ|pog{pZkrp9:;<1??>36\C70?0=33:=>5;2p{yd``/bmqa*vumoxT}`jxu]nq}6789692?;4zqwjjj)dg{o$|kir^qsj`~sWds<=>?<3<2170?0=0=504502p{yd``/bmqa*vumoxT}`jxu]nq}6789692<;Pf406?vrage$ob|j/qpfbwYtxgosxRczx1234949<:827w~ziom,gjtb'yxnjQ|pog{pZkrp9:;<1<142]PS5443sz~eca cnpf+utbn{Ux|ckwt^ov|5678595>85uptkmk*ehzl%{~hh}_rrma}rXe|r;<=>33?0060=}x|cec"m`rd-sv``uWzzeiuzPmtz3456;;7<8>45uptkmk*ehzl%{~hh}_rrma}rXe|r;<=>33?40[VQ6::1q|xgao.alv`)wzllyS~~aeyv\ip~789:783<:;{rvmki(kfxn#}|jfs]ptkc|Vg~t=>?0=6=61423sz~eca cnpf+utbn{Ux|ckwt^ov|56785>5?4<:;{rvmki(kfxn#}|jfs]ptkc|Vg~t=>?0=6=07423sz~eca cnpf+utbn{Ux|ckwt^ov|56785>544<9;{rvmki(kfxn#}|jfs]ptkc|Vg~t=>?0=6=<<7502p{yd``/bmqa*vumoxT}`jxu]nq}67896?257Pf400?vrage$ob|j/qpfbwYtxgosxRczx1234939:<1q|xgao.alv`)wzllyS~~aeyv\ip~789:793<7249ytpoig&id~h!rddq[vvimq~Taxv?012?1;27:<1q|xgao.alv`)wzllyS~~aeyv\ip~789:793;7249ytpoig&id~h!rddq[vvimq~Taxv?012?1;17:<1q|xgao.alv`)wzllyS~~aeyv\ip~789:79376229ytpoig&id~h!rddq[vvimq~Taxv?012?2;413sz~eca cnpf+utbn{Ux|ckwt^ov|56785<5=<:=5:xsqlhh'jeyi"~}egp\wuhbp}Ufyu>?01>5:015?2p{yd``/bmqa*vumoxT}`jxu]nq}67896=289PF358~usnff%hck psgevZuwflrS`{w01238382?VM996tuhll+fium&zyik|Psqlf|qYj}q:;<=29>9:12>|w}`dd#na}e.rqactX{ydntyQbuy2345:1612:>;5uptkmk*ehzl%{~hh}_rrma}rXe|r;<=>36?:;67>?0=5=07423sz~eca cnpf+utbn{Ux|ckwt^ov|56785=544<9;{rvmki(kfxn#}|jfs]ptkc|Vg~t=>?0=5=<<7502p{yd``/bmqa*vumoxT}`jxu]nq}67896<257Pf400?vrage$ob|j/qpfbwYtxgosxRczx12349>9:<1q|xgao.alv`)wzllyS~~aeyv\ip~789:743:9269ytpoig&id~h!rddq[vvimq~Taxv?012?<;21WO8<7w~ziom,gjtb'yxnjQ|pog{pZkrp9:;<16147]D61=}x|cec"m`rd-sv``uWzzeiuzPmtz3456;07?996tuhll+fium&zyik|Psqlf|qYj}q:;<=27>9;17>|w}`dd#na}e.rqactX{ydntyQbuy2345:>6;?0v}{fnn-`kwc(x{om~R}ndzw[hs89:;040>2378~usnff%hck psgevZuwflrS`{w01238<859;?0v}{fnn-`kwc(x{om~R}ndzw[hs89:;040;1348~usnff%hck psgevZuwflrS`{w01238<83988=7w~ziom,gjtb'yxnjQ|pog{pZkrp9:;<1714001<>|w}`dd#na}e.rqactX{ydntyQbuy2345:>6=;Tj8<:;{rvmki(kfxn#}|jfs]ptkc|Vg~t=>?0=;=3<473sz~eca cnpf+utbn{Ux|ckwt^llp5679;;0v}{fnn-`kwc(x{om~R}ndzw[kis89::=??4zqwjjj)dg{o$|kir^qsj`~sWge<=>>2318~usnff%hck psgevZuwflrSca{0122[c36m2p{yd``/bmqa*vumoxTzlbfd^mq45679o1q|xgao.alv`)wzllyS{ocie]lv567888?7w~ziom,gjtb'zkf|akkDdal``bHxjeoi1?<>348~usnff%hck s`osh`bCmjeoiiAcnff8459W;837w~ziom,gjtb'zkf|akkDdal``bHxjeoi1?<>^QT565/MWW0ZJXJ\L9m6tuhll+fium&yja}bjdEg`kaccGyidhh2>3?]PS4)H:h1q|xgao.alv`)tidzgiiJjcnff`Jvdgmo7=>0PSV3\57>?289ytpoig&id~h!|alroaaBbkfnnhB~loeg\ekb789::?95uptkmk*ehzl%xm`~ceeFfgjbblFzhcikPaof34566'E__8RJPBTD1f>|w}`dd#na}e.qbiujblMohcikkOqal``YdeyUn}=>?03a8~usnff%hck s`osh`bCmjeoiiAcnff[fkwWl{;<=>>369ytpoig&id~h!|alroaaBbkfnnhB~loeg\ghvXmx:;<=? LTV7[AYE]O837w~ziom,gjtb'zkf|akkSuc`oJvbzh~bccQ`r12377g<100b?vrage$ob|j/rcnticc[}khgB~jr`vjkkYhz9:;?RH=a:xsqlhh'jeyi"}nmqnf`VrfkbE{io{inl\kw678:UL=k5uptkmk*ehzl%xm`~ceeVlwVirzjo7<3<:;{rvmki(kfxn#~obpmggPjuTg|xhiRoad12344403sz~eca cnpf+vgjxeooXb}\otp`aZejxVoz<=><299ytpoig&id~h!|alroaaRh{Ze~~nkPclr\at678:;956tuhll+fium&yja}bjdUmpWjsuklUha}Qjq1237474<2p{yd``/bmqa*ufeyfnhYa|Snwqg`YdeyUn}=>?303,HPR4WMUIYK<6;{rvmki(kfxn#~obpmggPjuTg|xhiRmbp^gr456398;;7w~ziom,gjtb'zbg#?m4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclV;<>n5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcW829o6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?01]NeabX908h7w~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?0^Ob`aY58;i0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>?_Lcg`Z46:j1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=>PM`fg[745k2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;<=QBaef\664d3sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop56789UFmijP260`?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw45678VGjhiQ=83a8~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohR<62b9ytpoig&id~h!|hm-NipjsW{{T>=c:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct12345YJimnT?<=?;{rvmki(kfxn#~fc/LovhqYuyV:Taxb{01234ZKflmUhu1?8>228~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohRmv<0:=75=}x|cec"m`rd-pli)Je|fSP0^ovhq6789:TAljk_b{?5<8482p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;<=QBaef\g|:5879;7w~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?0^Ob`aYdq58:2>>4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclVir0?<1319ytpoig&id~h!|hm-NipjsW{{T|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop56789UFmijPcx>10;573sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;?_Lcg`Ze~4;<5?=5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWjs7>:0<0:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct12345YJimnTot2=8?13?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw45678VGjhiQly=0::66PM`fg[iss48=5?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWe0<61309ytpoig&id~h!|hm-NipjsW{{TPM`fg[iss4;;5?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWe0?<1309ytpoig&id~h!|hm-NipjsW{{TPM`fg[iss4;?5?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWe0?81309ytpoig&id~h!|hm-NipjsW{{T9;81q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=>PM`fg[iss4;35?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWe0>>1309ytpoig&id~h!|hm-NipjsW{{TPM`fg[}iu4825?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWqey0<71309ytpoig&id~h!|hm-NipjsW{{TPM`fg[}iu4;85?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWqey0?=1309ytpoig&id~h!|hm-NipjsW{{TPM`fg[}iu4;<5?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWqey0?91309ytpoig&id~h!|hm-NipjsW{{TPM`fg[}iu4::5?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWqey0>?12e9ytpoig&id~h!|hm-NipjsW{{TPst`nqirX89UFmijP1915?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_12\IdbcW838:6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:;S@okd^0373=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]34ZKflmU9=>84zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T<=QBaef\67513sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;S~{mmtnw[56XEhnoS?=<6:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR>?_Lcg`Z43;?1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY78VGjhiQ=5248~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP01]NeabX:?9=7w~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?_rwaipjsW9:TAljk_3502>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^23[HgclV83?;5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;PM`fg[674i2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;2c8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP01]NeabXkp6:53=n;{rvmki(kfxn#~fc/LovhqYuyV:Taxb{0123[vsee|fS=>PM`fg[f;:948m6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:;S@okd^az8779;h1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY78VGjhiQly=01:6gPmtnw4567Wziaxb{_12\IdbcWjs7>90?_Lcg`Ze~4;?5?l5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;Pst`nqirX89UFmijPcx>13;5f3sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;S~{mmtnw[56XEhnoSnw329<0e>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^23[HgclVir0?713`9ytpoig&id~h!|hm-NipjsW{{Tl4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T<=QBaef\hpr;9>48n6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:;S@okd^nvp97?6:h0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX89UFmijPltv?5<84j2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^23[HgclVf~x1<=>2`8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP01]NeabXd|~7>>0?_Lcg`Zjr|58?2>l4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T<=QBaef\hpr;:<48n6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:;S@okd^nvp9416:h0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX89UFmijPltv?6284j2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^23[HgclVf~x1=?>2`8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP01]NeabXd|~7?<0?_Lcg`Z~hz5;<2>l4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T<=QBaef\|jt;9148n6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:;S@okd^zlv97>6:h0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX89UFmijPxnp?6584j2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^23[HgclVrd~1<<>2`8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP01]NeabXpfx7>90?_Lcg`Z~hz58>2>l4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T<=QBaef\|jt;:?48n6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:;S@okd^zlv9406:h0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX89UFmijPxnp?6=84j2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^23[HgclVrd~1=>>3g8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP001g?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_13\TZrhWHnoiQM_11g?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_13\TZrhWHnoiQM_01f?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_13\TZrhWHnoiQM_020a>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^22[UYsgVKohh|PB^327`=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]35ZVX|fUJhik}_C]266c4l2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;?0^qvfhsk|V:9S<7<1:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR>=_3205>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^21[77492p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;?0^qvfhsk|V:9S?=<1:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR>=_3605>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^21[73492p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;?0^qvfhsk|V:9S?9<1:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR>=_3:05>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^21[7?492p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;?0^qvfhsk|V:9S>?<1:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR>=_205g>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^2\FFIR\V~dSw~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?_rwaipjsW9;TNNGA_14`?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_1]AGJSSW}eTv}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX88UIOD@P17f8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^@@KPRX|fUq|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY79VHHECQ>07f8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^@@KPRX|fUq|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY79VHHECQ>17f8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^@@KPRX|fUq|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY79VHHECQ>27f8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^@@KPRX|fUq|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY79VHHECQ>37f8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^@@KPRX|fUq|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY79VHHECQ>47f8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^@@KPRX|fUq|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY79VHHECQ>57f8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^@@KPRX|fUq|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY79VHHECQ>67f8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^@@KPRX|fUq|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY79VHHECQ>77a8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^@@KPRX|fUq|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY79VHHECQ=6b9ytpoig&id~h!|hm-NipjsW{{TPBBMVPZrhWsz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;S~{mmtnw[57XJJCES98l;{rvmki(kfxn#~fc/LovhqYuyV:Taxb{0123[vsee|fS=QMCNWW[qiXrybbb!losg,wmj(EdgxR|~_1]nqir789:Txlbumv\44YEK@DT9;m4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T?01]pqgkrd}U;SOM@UU]wkZ|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^22[GENFV==o6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:TNNAZT^vl[vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_13\FFOIW1?_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX1:i0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX8VZTxbQNdegq[DY7;j1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY7WYUcROkddp\EZ74l2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;4l2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^2\TZrhWHnoiQN_71`?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_1]S[qiXImnn~ROP72a8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^R\pjYFlmoySLQ73b9ytpoig&id~h!|hm-NipjsW{{T|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^31[65492p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;8=6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V;9S>;<1:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR?=_2405>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^31[61492p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;?0^qvfhsk|V;9S>7<1:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR?=_5205>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^31[17492p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;?0^qvfhsk|V;9S9=<1:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR?=_5605>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^31[13492p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;?0^qvfhsk|V;T\Rz`_@fgawYFW99h7w~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?_rwaipjsW8U[SyaPAeffvZGX9:n0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX9VZTxbQNdegq[DY68:n0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX9VZTxbQNdegq[DY69:n0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX9VZTxbQNdegq[DY6::n0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX9VZTxbQNdegq[DY6;:n0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX9VZTxbQNdegq[DY6<:i0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX9VZTxbQNdegq[DY5;j1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY6WYUcROkddp\EZ54k2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^3\TZrhWHnoiQN_91`?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_0]S[qiXImnn~ROP90d8~usnff%hck sin,IvnumnUdyy~zt^22b>|w}`dd#na}e.qkh*Kt`{olSb{{ptv\5763328~usnff%hck sin,IvnumnUdyy~zt^3765=}x|cec"m`rd-pli)J{axnkRaztqww[43582p{yd``/bmqa*uod&Gxdkh_nwwtprX9?8;7w~ziom,gjtb'zbg#@}grde\kprw}}U:;?>4zqwjjj)dg{o$eb MrjqabYh}}z~xR?7219ytpoig&id~h!|hm-NwmtboVe~x}{{_0;2b>|w}`dd#na}e.qkh*Kt`{olSb{{ptv\676?4zqwjjj)dg{o$eb MrjqabYh}}z~xR<7219ytpoig&id~h!|hm-NwmtboVe~x}{{_3;2b>|w}`dd#na}e.qkh*Kt`{olSb{{ptv\776f:xsqlhh'jeyi"}gl.Oplwc`Wf|xzP60d8~usnff%hck sin,IvnumnUdyy~zt^52b>|w}`dd#na}e.qkh*Kt`{olSb{{ptv\<4`2:425uptkmk*ehzl%xda!k_130?vrage$ob|j/rjo+aY69k1q|xgao.alv`)t`e%oSb:xsqlhh'jeyi"}gl.flj`Yhz9:;<1100a?vrage$ob|j/rjo+lbWds<=>><1<25*JR\:UOSO[I219ytpoig&id~h!|hm-j`}Yj}q:;<<2?>3314>|w}`dd#na}e.qkh*ocpVg~t=>?1=2=747a3sz~eca cnpf+vnk'`nsS`{w01228469:91q|xgao.alv`)t`e%bhuQbuy2344:687;9=6tuhll+fium&yc`"gkx^ov|56795;;2331g>|w}`dd#na}e.qkh*ocpVg~t=>?1=33:77(D\^8SIQMUG3e?vrage$ob|j/rjo+lbWds<=>><03=65=}x|cec"m`rd-pli)nlqUfyu>?00>25;7592p{yd``/bmqa*uod&cotRczx123597668;9o6tuhll+fium&yc`"gkx^ov|56795;:2<002?vrage$ob|j/rjo+lbWds<=>><00=544d3sz~eca cnpf+vnk'`nsS`{w0122844998%GYY=PD^@VB770>1338~usnff%hck sin,ma~Xe|r;<=?312<157eQK_CWE5c=}x|cec"m`rd-pli)nlqUfyu>?00>20;473sz~eca cnpf+vnk'`nsS`{w0122842998l0v}{fnn-`kwc({af$eivPmtz3457;9<49<6tuhll+fium&yc`"gkx^ov|56795;>26?3266=}x|cec"m`rd-pli)nlqUfyu>?00>22;YT_98n7w~ziom,gjtb'zbg#djw_lw{456648<5S^Y?/MWW0ZJXJ\L996tuhll+fium&yc`"gkx^ov|56795;=2R]X0.M2b>|w}`dd#na}e.qkh*ocpVg~t=>?1=34:774:="BZT2]G[GSA:91q|xgao.alv`)t`e%bhuQbuy2344:6?78:j6tuhll+fium&yc`"gkx^ov|56795;32??4zqwjjj)dg{o$eb iez\ip~789;7=50>13a8~usnff%hck sin,ma~Xe|r;<=?319<25*JR\:UOSO[I219ytpoig&id~h!|hm-j`}Yj}q:;<<2>8?02b>|w}`dd#na}e.qkh*ocpVg~t=>?1=3::771209ytpoig&id~h!|hm-j`}Yj}q:;<<2=0?3266=}x|cec"m`rd-pli)nlqUfyu>?00>14;YT_9;m7w~ziom,gjtb'zbg#djw_lw{45664;;5>=5uptkmk*ehzl%xda!fdy]nq}678869=3?>f:xsqlhh'jeyi"}gl.kg|Zkrp9:;=1<=>328~usnff%hck sin,ma~Xe|r;<=?323<25c=}x|cec"m`rd-pli)nlqUfyu>?00>17;473sz~eca cnpf+vnk'`nsS`{w0122875998l0v}{fnn-`kwc({af$eivPmtz3457;:=49<6tuhll+fium&yc`"gkx^ov|567958?2|w}`dd#na}e.qkh*ocpVg~t=>?1=05:7650=0:xsqlhh'jeyi"}gl.kg|Zkrp9:;=1<7>03e?vrage$ob|j/rjo+lbWds<=>><3;=65=}x|cec"m`rd-pli)nlqUfyu>?00>1=;76m2p{yd``/bmqa*uod&cotRczx1235949:;1q|xgao.alv`)t`e%bhuQbuy2344:56VY\=?j4zqwjjj)dg{o$eb iez\ip~789;7>3Q\W0-OQQ5XDVH^J?:4zqwjjj)dg{o$eb iez\ip~789;7>3Q\W0-L5c=}x|cec"m`rd-pli)nlqUfyu>?00>04;473sz~eca cnpf+vnk'`nsS`{w0122866998l0v}{fnn-`kwc({af$eivPmtz3457;;849<6tuhll+fium&yc`"gkx^ov|567959:20>f:xsqlhh'jeyi"}gl.kg|Zkrp9:;=1=110g8~usnff%hck sin,ma~Xe|r;<=?34?01?vrage$ob|j/rjo+lbWds<=>><5<\WR75l2p{yd``/bmqa*uod&cotRczx1235929WZ]:#A[[3^N\FP@5<2p{yd``/bmqa*uod&cotRczx1235929WZ]:#B?j;{rvmki(kfxn#~fc/hf{[hs89::080>f:xsqlhh'jeyi"}gl.kg|Zkrp9:;=1;110g8~usnff%hck sin,ma~Xe|r;<=?36?3e?vrage$ob|j/rjo+lbWds<=>><7<25`=}x|cec"m`rd-pli)nlqUfyu>?00>4:4`99o1q|xgao.alv`)t`e%bhuQbuy2344:?68;n7w~ziom,gjtb'zbg#djw_lw{4566404:j6tuhll+fium&yc`"gkx^ov|5679535=<74zqwjjj)dg{o$eb otvsqq:768k0v}{fnn-`kwc({af$cxzuu>24;7f3sz~eca cnpf+vnk'f|xz310<2e>|w}`dd#na}e.qkh*ir|y0<<11`9ytpoig&id~h!|hm-lqqvr|5;820c8~usnff%hck sin,kprw}}6:43?n;{rvmki(kfxn#~fc/nwwtpr;904:56tuhll+fium&yc`"aztqww8486i2p{yd``/bmqa*uod&e~x}{{<32=5d=}x|cec"m`rd-pli)h}}z~x1<>>0c8~usnff%hck sin,kprw}}69>3?n;{rvmki(kfxn#~fc/nwwtpr;::4:m6tuhll+fium&yc`"aztqww87299h1q|xgao.alv`)t`e%dyy~zt=06:4g:0>a:xsqlhh'jeyi"}gl.mvpuss4;25=l5uptkmk*ehzl%xda!`uurvp94>6830v}{fnn-`kwc({af$cxzuu>1:4g9:xsqlhh'jeyi"}gl.mvpuss4:4:56tuhll+fium&yc`"aztqww818612p{yd``/bmqa*uod&e~x}{{<4<2=>|w}`dd#na}e.qkh*ir|y0;0>9:xsqlhh'jeyi"}gl.mvpuss4>4:56tuhll+fium&yc`"aztqww8=8612p{yd``/bmqa*uod&e~x}{{<8<2<>|w}`dd#na}e.qkh*ir|yS=?7;{rvmki(kfxn#~fc/nwwtprX9830v}{fnn-`kwc({af$cxzuu]244?10;8~usnff%hck sin,kprw}}U:><74zqwjjj)dg{o$eb otvsqqY6;830v}{fnn-`kwc({af$cxzuu]204?50;8~usnff%hck sin,kprw}}U::<74zqwjjj)dg{o$eb otvsqqY6?830v}{fnn-`kwc({af$cxzuu]2<4?90:8~usnff%hck sin,kprw}}U9=45uptkmk*ehzl%xda!`uurvpZ47901q|xgao.alv`)t`e%dyy~zt^025<=}x|cec"m`rd-pli)h}}z~xR<=189ytpoig&id~h!|hm-lqqvr|V88=45uptkmk*ehzl%xda!`uurvpZ43901q|xgao.alv`)t`e%dyy~zt^065<=}x|cec"m`rd-pli)h}}z~xR<9189ytpoig&id~h!|hm-lqqvr|V8<=45uptkmk*ehzl%xda!`uurvpZ4?901q|xgao.alv`)t`e%dyy~zt^0:5==}x|cec"m`rd-pli)h}}z~xR=>9:xsqlhh'jeyi"}gl.mvpussW:::56tuhll+fium&yc`"aztqww[67602p{yd``/bmqa*uod&e~x}{{_53;?vrage$ob|j/rjo+jssx|~T9<64zqwjjj)dg{o$eb otvsqqY1911q|xgao.alv`)t`e%dyy~zt^52<>|w}`dd#na}e.qkh*ir|yS5?7;{rvmki(kfxn#~fc/nwwtprX18o0v}{fnn-`kwc({af$cxzuu]mkq6788;m7w~ziom,gjtb'zbg#b{{ptv\jjr789;:=h5uptkmk*ehzl%xxlmd_`]b`at;994:=?74zqwjjj)dg{o$yolk^c\eabu48:5=T_Z>>f:xsqlhh'jeyi"}{abi\eZgcl{6:<3<>50d8~usnff%hck suc`oZgXimny0<>12242b>|w}`dd#na}e.qwefmXiVkoh2>0?0744c24;26'E__8RBPBTD14>|w}`dd#na}e.qwefmXiVkoh2>0?62+J7b3sz~eca cnpf+vrfkbUjSljkr=33:146m2p{yd``/bmqa*usijaTmRokds>24;369l1q|xgao.alv`)t|hi`SlQndep?558198n0v}{fnn-`kwc({}khgRoPaefq878698n0v}{fnn-`kwc({}khgRoPaefq8786<8i0v}{fnn-`kwc({}khgRoPaefq87839m1q|xgao.alv`)t|hi`SlQndep?7;769m1q|xgao.alv`)t|hi`SlQndep?7;739j1q|xgao.alv`)t|hi`SlQndep?7;26l2p{yd``/bmqa*usijaTmRokds>7:466k2p{yd``/bmqa*usijaTmRokds>7:17d3sz~eca cnpf+vrfkbUjSljkr=6==71e:xsqlhh'jeyi"}{abi\eZgcl{6?24!@1e9ytpoig&id~h!|t`ah[dYflmx793??1b9ytpoig&id~h!|t`ah[dYflmx793:>c:xsqlhh'jeyi"}{abi\eZgcl{6>24<8;{rvmki(kfxn#~zncj]b[dbcz5?55"BZT5]O[GSA9l1q|xgao.alv`)t|hi`SlQndep?1;?(G8n0v}{fnn-`kwc({}khgRoPaefq838688i0v}{fnn-`kwc({}khgRoPaefq83839j1q|xgao.alv`)t|hi`SlQndep?2;?5?2p{yd``/bmqa*usijaTmRokds>5:<)K]]>T@RLZF0g8~usnff%hck suc`oZgXimny0;06/N3g?vrage$ob|j/rvbgnYfWhno~191113`?vrage$ob|j/rvbgnYfWhno~1914358~usnff%hck suc`oZgXimny0:0;/MWW0ZJXJ\L:i6tuhll+fium&ymnePa^cg`w:06=%D=n5uptkmk*ehzl%xxlmd_`]b`at;?73:h6tuhll+fium&ymnePa^cg`w:?68>9=6tuhll+fium&ymnePa^cg`w:?68>T_Z>>c:xsqlhh'jeyi"}{abi\eZgcl{6329<8;{rvmki(kfxn#~zncj]b[dbcz5258"BZT5]O[GSA9l1q|xgao.alv`)t|hi`SlQndep?<;2(G8n0v}{fnn-`kwc({}khgRoPaefq8<86<;;0v}{fnn-`kwc({}khgRoPaefq8<86:5uptkmk*ehzl%xxlmd_`]b`at;17>$@XZ;_M]AQC7b3sz~eca cnpf+vrfkbUjSljkr=;=0*I5>2p{yd``/bmqa*usijaTmRokds]b`aurj9:;<1>1279ytpoig&id~h!|t`ah[dYflmxTmij|uc2345:66;<0v}{fnn-`kwc({}khgRoPaefq[dbc{|h;<=>32?05?vrage$ob|j/rvbgnYfWhno~Rokdrwa45674:49:6tuhll+fium&ymnePa^cg`wYflmy~n=>?0=6=63=}x|cec"m`rd-ppdelWhUjhi|Paefpqg67896>2?84zqwjjj)dg{o$yolk^c\eabuWhnoxl?012?2;413sz~eca cnpf+vrfkbUjSljkr^cg`vse89:;0:0=6:xsqlhh'jeyi"}{abi\eZgcl{Ujhi}zb12349>99o1q|xgao.alv`)t|hi`SlQndep\kw67898;7w~ziom,gjtb'z~jofQn_`fgvZiu89:;=|w}`dd#na}e.qwefmXiVoemobj_RU25f=}x|cec"m`rd-ppdelWhU|~dzj<1<2`>|w}`dd#na}e.qwefmXiV}yeyk30?32a>|w}`dd#na}e.qwefmXiV}yeyk30?325`=}x|cec"m`rd-ppdelWhU|~dzj<1<26766tuhll+fium&ymnePa^uqmqc;87UX[=!@1e9ytpoig&id~h!|t`ah[dYpz`~n0<>1209ytpoig&id~h!|t`ah[dYpz`~n0<>1_RU36f=}x|cec"m`rd-ppdelWhU|~dzj<02=[VQ7'E__8RBPBTD17>|w}`dd#na}e.qwefmXiV}yeyk311<\WR6(G8n0v}{fnn-`kwc({}khgRoPwskwa9766;;0v}{fnn-`kwc({}khgRoPwskwa9766VY\>5uptkmk*ehzl%xxlmd_`]tvlrb48;5S^Y?/N3g?vrage$ob|j/rvbgnYfW~xbxh2>2?02?vrage$ob|j/rvbgnYfW~xbxh2>2?]PS54d3sz~eca cnpf+vrfkbUjSz|ftd>26;YT_9%GYY:PL^@VB75=c:xsqlhh'jeyi"}{abi\eZqua}o7=>0PSV2,HPR3WEUIYK<<;{rvmki(kfxn#~zncj]b[rtn|l6:?3Q\W1-L5a=}x|cec"m`rd-ppdelWhU|~dzj<06=64=}x|cec"m`rd-ppdelWhU|~dzj<06=[VQ7:j1q|xgao.alv`)t|hi`SlQxrhvf8429WZ];#A[[4^N\FP@5;2p{yd``/bmqa*usijaTmRy}iug?518X[^:$C2??4zqwjjj)dg{o$yolk^c\swosm5;>2R]X03a8~usnff%hck suc`oZgX{ci1?:>^QT4*JR\=UGSO[I229ytpoig&id~h!|t`ah[dYpz`~n0<;1_RU3+J7c3sz~eca cnpf+vrfkbUjSz|ftd>22;463sz~eca cnpf+vrfkbUjSz|ftd>22;YT_98h7w~ziom,gjtb'z~jofQn_vpjp`:6>7UX[=!CUU6\HZDRN;90v}{fnn-`kwc({}khgRoPwskwa9716VY\<"A>d:xsqlhh'jeyi"}{abi\eZqua}o7=:0=1:xsqlhh'jeyi"}{abi\eZqua}o7=:0PSV21g>|w}`dd#na}e.qwefmXiV}yeyk316<\WR6(D\^?SAQMUG00?vrage$ob|j/rvbgnYfW~xbxh2>7?]PS5)H9m1q|xgao.alv`)t|hi`SlQxrhvf84>9:81q|xgao.alv`)t|hi`SlQxrhvf84>9WZ];>n5uptkmk*ehzl%xxlmd_`]tvlrb4825S^Y?/MWW0ZJXJ\L9?6tuhll+fium&ymnePa^uqmqc;914T_Z> O0f8~usnff%hck suc`oZgX{ci1?6>338~usnff%hck suc`oZgX{ci1?6>^QT47eo5uptkmk*ehzl%xxlmd_`]tvlrb484T_Z> LTV7[IYE]O897w~ziom,gjtb'z~jofQn_vpjp`:66VY\<"A>d:xsqlhh'jeyi"}{abi\eZqua}o7>=0=1:xsqlhh'jeyi"}{abi\eZqua}o7>=0PSV21g>|w}`dd#na}e.qwefmXiV}yeyk321<\WR6(D\^?SAQMUG00?vrage$ob|j/rvbgnYfW~xbxh2=0?]PS5)H9m1q|xgao.alv`)t|hi`SlQxrhvf8779:81q|xgao.alv`)t|hi`SlQxrhvf8779WZ];>n5uptkmk*ehzl%xxlmd_`]tvlrb4;;5S^Y?/MWW0ZJXJ\L9?6tuhll+fium&ymnePa^uqmqc;:84T_Z> O0f8~usnff%hck suc`oZgX{ci1<=>338~usnff%hck suc`oZgX{ci1<=>^QT47e|w}`dd#na}e.qwefmXiV}yeyk325<15>|w}`dd#na}e.qwefmXiV}yeyk325<\WR65k2p{yd``/bmqa*usijaTmRy}iug?618X[^:$@XZ;_M]AQC443sz~eca cnpf+vrfkbUjSz|ftd>10;YT_9%D=i5uptkmk*ehzl%xxlmd_`]tvlrb4;?5><5uptkmk*ehzl%xxlmd_`]tvlrb4;?5S^Y?2b9ytpoig&id~h!|t`ah[dYpz`~n0?;1_RU3+ISS80PSV2,K4b;{rvmki(kfxn#~zncj]b[rtn|l69;3Q\W10`?vrage$ob|j/rvbgnYfW~xbxh2=7?]PS5)K]]>T@RLZF318~usnff%hck suc`oZgX{ci1<8>^QT4*I6l2p{yd``/bmqa*usijaTmRy}iug?6=8592p{yd``/bmqa*usijaTmRy}iug?6=8X[^:9o6tuhll+fium&ymnePa^uqmqc;:14T_Z> LTV7[IYE]O887w~ziom,gjtb'z~jofQn_vpjp`:507UX[=!@1e9ytpoig&id~h!|t`ah[dYpz`~n0?71209ytpoig&id~h!|t`ah[dYpz`~n0?71_RU36f=}x|cec"m`rd-ppdelWhU|~dzj<3;=[VQ7'E__8RBPBTD17>|w}`dd#na}e.qwefmXiV}yeyk328<\WR6(G8i0v}{fnn-`kwc({}khgRoPwskwa949:91q|xgao.alv`)t|hi`SlQxrhvf878X[^:9n6tuhll+fium&ymnePa^uqmqc;:7UX[=!CUU6\HZDRN;80v}{fnn-`kwc({}khgRoPwskwa949WZ];#B?k;{rvmki(kfxn#~zncj]b[rtn|l68<3<>;{rvmki(kfxn#~zncj]b[rtn|l68<3Q\W10`?vrage$ob|j/rvbgnYfW~xbxh2<0?]PS5)K]]>T@RLZF318~usnff%hck suc`oZgX{ci1=?>^QT4*I6n2p{yd``/bmqa*usijaTmRy}iug?7486;8o0v}{fnn-`kwc({}khgRoPwskwa9566;;m7w~ziom,gjtb'z~jofQn_vpjp`:49782=n5uptkmk*ehzl%xxlmd_`]tvlrb4:49<6tuhll+fium&ymnePa^uqmqc;;7UX[=?5uptkmk*ehzl%xxlmd_`]tvlrb4:4T_Z> O0a8~usnff%hck suc`oZgX{ci1:1219ytpoig&id~h!|t`ah[dYpz`~n090PSV21f>|w}`dd#na}e.qwefmXiV}yeyk34?]PS5)K]]>T@RLZF308~usnff%hck suc`oZgX{ci1:1_RU3+J7d3sz~eca cnpf+vrfkbUjSz|ftd>6:766:ZUP8&E:o6tuhll+fium&ymnePa^uqmqc;>78;7w~ziom,gjtb'z~jofQn_vpjp`:16VY\6tuhll+fium&ymnePa^uqmqc;>7UX[=!@1b9ytpoig&id~h!|t`ah[dYpz`~n0:0=0:xsqlhh'jeyi"}{abi\eZqua}o7;3Q\W10a?vrage$ob|j/rvbgnYfW~xbxh28>^QT4*JR\=UGSO[I239ytpoig&id~h!|t`ah[dYpz`~n0:0PSV2,K4e6;:0v}{fnn-`kwc({}khgRoPwskwa9?9WZ];>o5uptkmk*ehzl%xxlmd_`]tvlrb404T_Z> LTV7[IYE]O897w~ziom,gjtb'z~jofQn_vpjp`:>6VY\<"A>f:xsqlhh'jeyi"}{abi\eZqua}onbllce318~usnff%hck suc`oZgX{cih`nbmg\WR65;2p{yd``/bmqa*usijaTnRokds]b`a67896;2?=4zqwjjj)dg{o$yolk^`\eabuWhno<=>?<0<17>|w}`dd#na}e.qwefmXjVkohQnde2345:56;90v}{fnn-`kwc({}khgRlPaefq[dbc89:;0>0=3:xsqlhh'jeyi"}{abi\fZgcl{Ujhi>?01>7:75318~usnff%hck suc`oZdXimnySljk01238285;2p{yd``/bmqa*usijaTnRokds]b`a6789632?84zqwjjj)dg{o$yolk^`\eabuWhnoxl?012?4;413sz~eca cnpf+vrfkbUiSljkr^cg`vse89:;0<0=6:xsqlhh'jeyi"}{abi\fZgcl{Ujhi}zb1234949:?1q|xgao.alv`)t|hi`SoQndep\eabt}k:;<=2<>348~usnff%hck suc`oZdXimnySljkst`3456;<78=7w~ziom,gjtb'z~jofQm_`fgvZgclzi<=>?<4<12>|w}`dd#na}e.qwefmXjVkohQndeqvf56785<5>;5uptkmk*ehzl%xxlmd_c]b`atXimnxyo>?01>4:706:xsqlhh'jeyi"z@pbmga9099?1q|xgao.alv`)sGyidhh28>328~usnff%hck tNr`kacXe|r;<=?30?0:67=}x|cec"m`rd-wKuehllUfyu>?00>3:7?XN;80v}{fnn-`kwc(|FzhcikPmtz3457;8782SJ4<=;{rvmki(kfxn#yAcnff[hs89::0?0=9^D16>|w}`dd#na}e.vLtficmVg~t=>?1=0=66tuhll+fium&~D|nake^ov|5679595>4QI239ytpoig&id~h!{Oqal``Yj}q:;<<2<>3;\C76:;1q|xgao.alv`)sGyidhhQbuy2344:36;3TJ?<4zqwjjj)dg{o$xB~loeg\ip~789;783<6_F03?vrage$ob|j/uMsgjbbWds<=>><4<1=74WO897w~ziom,gjtb'}E{objj_lw{45664<495RI=0:xsqlhh'jeyi"z@pbmgaZkrp9:;=1812801?vrage$ob|j/uMsgjbbWds<=>><7<1=Z@5:2p{yd``/bmqa*rHxjeoiRczx1235909:0UL>=5uptkmk*ehzl%C}m`dd]nq}67886<2?7=2:xsqlhh'jeyi"z@pbmgaZkrp9:;=19128]E67=}x|cec"m`rd-wKuehllUfyu>?00>4:7?XO;;0v}{fnn-`kwc(8Uec~Q|awg\4Zkrp9:;?00117>|w}`dd#na}e.u2[kitWzk}iR>Pmtz34565<;?0v}{fnn-`kwc(8Uec~Q|awg\4Zkrp9:;;5uptkmk*ehzl%|=R``s^qbr`Y68Vg~t=>?036\B70|w}`dd#na}e.u2[kitWzk}iR?>_lw{45679:8?7w~ziom,gjtb'~;Tbb}Ps`tf[47Xe|r;<=>=4348~usnff%hck w0]mkvYtioT==4^E16>|w}`dd#na}e.u2[kitWzk}iR?=_lw{4567:=1q|xgao.alv`)p9VddR}nvd]26Zkrp9:;<<==4:xsqlhh'jeyi"y>_omp[vgqmV;9S`{w012361413sz~eca cnpf+r7XffyTlxj_00\ip~789:98RH=6:xsqlhh'jeyi"y>_omp[vgqmV;9S`{w012361Y@:;1q|xgao.alv`)p9VddR}nvd]27Zkrp9:;?013061=}x|cec"m`rd-t5Zhh{VyjzhQ>3^ov|5678;>9:6tuhll+fium&}:Sca|_rcuaZ74Wds<=>?25]E63=}x|cec"m`rd-t5Zhh{VyjzhQ>3^ov|5678;>TK?<4zqwjjj)dg{o${?0107?vrage$ob|j/v3\jjuX{h|nS<:Pmtz34566;;>0v}{fnn-`kwc(8Uec~Q|awg\51Yj}q:;<=<;279ytpoig&id~h!x1^llwZuf~lU:8Rczx123472XN;<0v}{fnn-`kwc(8Uec~Q|awg\51Yj}q:;<=<;_F01?vrage$ob|j/v3\jjuX{h|nS<;Pmtz34565<2p{yd``/bmqa*q6WgexS~oye^36[hs89:;=><;;{rvmki(kfxn#z?Pnnq\wdpbW8?Taxv?012107095uptkmk*ehzl%|=R``s^qbr`Y6>Vg~t=>?00110>|w}`dd#na}e.u2[kitWzk}iR?9_lw{4567:=8=7w~ziom,gjtb'~;Tbb}Ps`tf[40Xe|r;<=>=4^D12>|w}`dd#na}e.u2[kitWzk}iR?9_lw{4567:=UL>?5uptkmk*ehzl%|=R``s^qbr`Y6?Vg~t=>?0368~usnff%hck w0]mkvYtioT=:Qbuy234574:=1q|xgao.alv`)p9VddR}nvd]23Zkrp9:;_omp[vgqmV;?010763=}x|cec"m`rd-t5Zhh{VyjzhQ>8^ov|5678;>TJ?84zqwjjj)dg{o${?0107[B453sz~eca cnpf+r7XffyTlxj_0;\ip~789:986tuhll+fium&}:Sca|_rcuaZ7>Wds<=>?1207?vrage$ob|j/v3\jjuX{h|nS<7Pmtz34565<;<0v}{fnn-`kwc(8Uec~Q|awg\5=3:xsqlhh'jeyi"y>_omp[vgqmV;Taxv?0122775996tuhll+fium&}:Sca|_rcuaZ7Xe|r;<=>=4^D11>|w}`dd#na}e.u2[kitWzk}iR?Pmtz345656tuhll+fium&}:Sca|_rcuaZ47Wds<=>?259ytpoig&id~h!x1^llwZuf~lU99<9;{rvmki(kfxn#z?Pnnq\wdpbW;:Taxv?01210Z@5>2p{yd``/bmqa*q6WgexS~oye^03[hs89:;>9QH239ytpoig&id~h!x1^llwZuf~lU9=Rczx12347295uptkmk*ehzl%|=R``s^qbr`Y59Vg~t=>?03612>|w}`dd#na}e.u2[kitWzk}iR<>_lw{4567:=UM>;5uptkmk*ehzl%|=R``s^qbr`Y59Vg~t=>?036\C74>3368~usnff%hck w0]mkvYtioT>?Qbuy234543:?1q|xgao.alv`)p9VddR}nvd]16Zkrp9:;?Qbuy234543WN897w~ziom,gjtb'~;Tbb}Ps`tf[75Xe|r;<=>=4:xsqlhh'jeyi"y>_omp[vgqmV88S`{w012356433sz~eca cnpf+r7XffyTlxj_31\ip~789:98?84zqwjjj)dg{o${?0107[C413sz~eca cnpf+r7XffyTlxj_31\ip~789:98RI=2:xsqlhh'jeyi"y>_omp[vgqmV8?S`{w012361=}x|cec"m`rd-t5Zhh{VyjzhQ=4^ov|567889986tuhll+fium&}:Sca|_rcuaZ43Wds<=>?2505?vrage$ob|j/v3\jjuX{h|nS?:Pmtz34565?25]D67=}x|cec"m`rd-t5Zhh{VyjzhQ=5^ov|5678;>0v}{fnn-`kwc(8Uec~Q|awg\60Yj}q:;<=?<259ytpoig&id~h!x1^llwZuf~lU99Rczx1234725>2p{yd``/bmqa*q6WgexS~oye^06[hs89:;>9QI279ytpoig&id~h!x1^llwZuf~lU99Rczx123472XO;80v}{fnn-`kwc(8Uec~Q|awg\63Yj}q:;<=<;;{rvmki(kfxn#z?Pnnq\wdpbW;;5uptkmk*ehzl%|=R``s^qbr`Y5>Vg~t=>?036\B70|w}`dd#na}e.u2[kitWzk}iR<8_lw{45679:8?7w~ziom,gjtb'~;Tbb}Ps`tf[71Xe|r;<=>=4348~usnff%hck w0]mkvYtioT>:Qbuy234543WO8=7w~ziom,gjtb'~;Tbb}Ps`tf[71Xe|r;<=>=4^E16>|w}`dd#na}e.u2[kitWzk}iR<7_lw{4567:=1q|xgao.alv`)p9VddR}nvd]1_omp[vgqmV83S`{w012361413sz~eca cnpf+r7XffyTlxj_3:\ip~789:98RH=6:xsqlhh'jeyi"y>_omp[vgqmV83S`{w012361Y@:;1q|xgao.alv`)p9VddR}nvd]1=Zkrp9:;?013061=}x|cec"m`rd-t5Zhh{VyjzhQ=9^ov|5678;>9:6tuhll+fium&}:Sca|_rcuaZ4>Wds<=>?25]E63=}x|cec"m`rd-t5Zhh{VyjzhQ=9^ov|5678;>TK??4zqwjjj)dg{o${?0318~usnff%hck w0]mkvYtioT>Rczx1234455;2p{yd``/bmqa*q6WgexS~oye^0\ip~789:98?;4zqwjjj)dg{o${?036\B73TK?<4zqwjjj)dg{o${?0107?vrage$ob|j/v3\jjuX{h|nS>>Pmtz34566;;>0v}{fnn-`kwc(8Uec~Q|awg\75Yj}q:;<=<;279ytpoig&id~h!x1^llwZuf~lU8?Pmtz34565<2p{yd``/bmqa*q6WgexS~oye^12[hs89:;=><;;{rvmki(kfxn#z?Pnnq\wdpbW:;Taxv?0121070>3318~usnff%hck w0]mkvYtioT?Rczx1234725=2p{yd``/bmqa*q6WgexS~oye^1\ip~789:98RH=5:xsqlhh'jeyi"y>_omp[vgqmV9Taxv?01210ZA592p{yd``/bmqa*q6WgexS~oye^6\ip~789:9?6tuhll+fium&}:Sca|_rcuaZ2Xe|r;<=>>3318~usnff%hck w0]mkvYtioT8Rczx1234725=2p{yd``/bmqa*q6WgexS~oye^6\ip~789:98RH=5:xsqlhh'jeyi"y>_omp[vgqmV>Taxv?01210ZA592p{yd``/bmqa*q6WgexS~oye^7\ip~789:9?6tuhll+fium&}:Sca|_rcuaZ3Xe|r;<=>>3318~usnff%hck w0]mkvYtioT9Rczx1234725=2p{yd``/bmqa*q6WgexS~oye^7\ip~789:98RH=5:xsqlhh'jeyi"y>_omp[vgqmV?Taxv?01210ZA592p{yd``/bmqa*q6WgexS~oye^4\ip~789:9?6tuhll+fium&}:Sca|_rcuaZ0Xe|r;<=>>3318~usnff%hck w0]mkvYtioT:Rczx1234725=2p{yd``/bmqa*q6WgexS~oye^4\ip~789:98RH=5:xsqlhh'jeyi"y>_omp[vgqmV>3318~usnff%hck w0]mkvYtioT;Rczx1234725=2p{yd``/bmqa*q6WgexS~oye^5\ip~789:98RH=5:xsqlhh'jeyi"y>_omp[vgqmV=Taxv?01210ZA592p{yd``/bmqa*q6WgexS~oye^:\ip~789:9?6tuhll+fium&}:Sca|_rcuaZ>Xe|r;<=>>3318~usnff%hck w0]mkvYtioT4Rczx1234725=2p{yd``/bmqa*q6WgexS~oye^:\ip~789:98RH=5:xsqlhh'jeyi"y>_omp[vgqmV2Taxv?01210ZA592p{yd``/bmqa*q6WgexS~oye^;\ip~789:9?6tuhll+fium&}:Sca|_rcuaZ?Xe|r;<=>>3318~usnff%hck w0]mkvYtioT5Rczx1234725=2p{yd``/bmqa*q6WgexS~oye^;\ip~789:98RH=5:xsqlhh'jeyi"y>_omp[vgqmV3Taxv?01210ZA6k2p{yd``/bmqa*q6W}exS=Qbuy23477a3sz~eca cnpf+r7X|fyTPmtz3454698l0v}{fnn-`kwc(8Uc~Q?_lw{45659;?9m6tuhll+fium&}:Sya|_1]nq}678;;99"BZT5]O[GSA:81q|xgao.alv`)p9V~dR>Pmtz34546:<%D><5uptkmk*ehzl%|=Rz`s^2\ip~7898:>8Q>209ytpoig&id~h!x1^vlwZ6Xe|r;<=<>24]166=}x|cec"m`rd-t5Zrh{V:Taxv?010260YT_9;n7w~ziom,gjtb'~;Txb}P0^ov|567:8>:j6tuhll+fium&}:Sya|_1]nq}678;;?5??4zqwjjj)dg{o${=15;\WR66n2p{yd``/bmqa*q6W}exS=Qbuy23477208l0v}{fnn-`kwc(8Uc~Q?_lw{45659>99m6tuhll+fium&}:Sya|_1]nq}678;;Pmtz34546?:%D=k5uptkmk*ehzl%|=Rz`s^2\ip~7898:4??i;{rvmki(kfxn#z?Ptnq\4Zkrp9:;>?>81d9ytpoig&id~h!x1^vlwZ6Xe|r;<=<=10g8~usnff%hck w0]wkvY7Wds<=>=223e?vrage$ob|j/v3\pjuX8Vg~t=>?22326d=}x|cec"m`rd-t5Zrh{V:Taxv?010054)K]]8THRLZF0g8~usnff%hck w0]wkvY7Wds<=>=343f?vrage$ob|j/v3\pjuX8Vg~t=>?2242`>|w}`dd#na}e.u2[qitW9Ufyu>?0361<>|w}`dd#na}e.u2[qitW9Ufyu>?036,HPR3WEUIYK?i;{rvmki(kfxn#z?Ptnq\4Zkrp9:;>9!@209ytpoig&id~h!x1^vlwZ6Xe|r;<=<;10216f=}x|cec"m`rd-t5Zrh{V:Taxv?01075465'E__8RJPBTD11>|w}`dd#na}e.u2[qitW9Ufyu>?0362554X[^:9<6tuhll+fium&}:Sya|_1]nq}678;>:=<9?>53`8~usnff%hck w0]wkvY7Wds<=>=4036+ISS:VFTNXH=2:xsqlhh'jeyi"y>_ump[5Yj}q:;14-L65=}x|cec"m`rd-t5Zrh{V:Taxv?0107563582p{yd``/bmqa*q6W}exS=Qbuy234726>88;7w~ziom,gjtb'~;Txb}P0^ov|567:=;<89=5328~usnff%hck w0]wkvY7Wds<=>=500665=}x|cec"m`rd-t5Zrh{V:Taxv?010656>5:2p{yd``/bmqa*q6W}exS=Qbuy234736;1UM>?5uptkmk*ehzl%|=Rz`s^2\ip~7898>=>6PG0d8~usnff%hck w0]wkvY7Wds<=>=5332a>|w}`dd#na}e.u2[qitW9Ufyu>?03756<=}x|cec"m`rd-t5Zrh{V:Taxv?01062*JR\=UGSO[I219ytpoig&id~h!x1^vlwZ6Xe|r;<=<:6.M2a>|w}`dd#na}e.u2[qitW9Ufyu>?034;5`=}x|cec"m`rd-t5Zrh{V:Taxv?010;54cPmtz34547'E__8RBPBTD14>|w}`dd#na}e.u2[qitW8:Taxv?0103+J7a3sz~eca cnpf+r7X|fyT==Qbuy234777:h1q|xgao.alv`)p9V~dR??_lw{456599%GYY:PL^@VB770^ov|567:8:T_Z>=0:xsqlhh'jeyi"y>_ump[46Xe|r;<=<>1801?vrage$ob|j/v3\pjuX99Ufyu>?0332=Z75:2p{yd``/bmqa*q6W}exS<>Pmtz3454690U9>95uptkmk*ehzl%|=Rz`s^33[hs89:9=<7PSV214>|w}`dd#na}e.u2[qitW8:Taxv?0102064e3sz~eca cnpf+r7X|fyT==Qbuy234773;&F^X9QC_CWE67=}x|cec"m`rd-t5Zrh{V;;S`{w0121515(G;>0v}{fnn-`kwc(8Uc~Q>0^ov|567:8>8S^Y?219ytpoig&id~h!x1^vlwZ77Wds<=>=1762b>|w}`dd#na}e.u2[qitW8:Taxv?0101275>7 LTV7[IYE]O8:7w~ziom,gjtb'~;Txb}P11]nq}678;92#B?i;{rvmki(kfxn#z?Ptnq\55Yj}q:;1g9ytpoig&id~h!x1^vlwZ77Wds<=>=653e?vrage$ob|j/v3\pjuX99Ufyu>?03515c=}x|cec"m`rd-t5Zrh{V;;S`{w0121<07a3sz~eca cnpf+r7X|fyT==Qbuy2347>19o1q|xgao.alv`)p9V~dR??_lw{45651=8:7w~ziom,gjtb'~;Txb}P11]nq}678;3?S>f:xsqlhh'jeyi"y>_ump[47Xe|r;<=<>03c8~usnff%hck w0]wkvY69Vg~t=>?202,HPR3WEUIYK<>;{rvmki(kfxn#z?Ptnq\54Yj}q:;?0333574=10314>|w}`dd#na}e.u2[qitW8;Taxv?0102634e3sz~eca cnpf+r7X|fyT=&F^X9QC_CWE67=}x|cec"m`rd-t5Zrh{V;:S`{w0121570(G;:0v}{fnn-`kwc(8Uc~Q>1^ov|567:8>2>=5uptkmk*ehzl%|=Rz`s^32[hs89:9=86>f:xsqlhh'jeyi"y>_ump[47Xe|r;<=<=6318~usnff%hck w0]wkvY69Vg~t=>?234\WR66n2p{yd``/bmqa*q6W}exS1^ov|567::3$@XZ;_M]AQC463sz~eca cnpf+r7X|fyT='F;m7w~ziom,gjtb'~;Txb}P10]nq}678;?:=k5uptkmk*ehzl%|=Rz`s^32[hs89:9:9?i;{rvmki(kfxn#z?Ptnq\54Yj}q:;=73-OQQ2XDVH^J??4zqwjjj)dg{o${:< O0d8~usnff%hck w0]wkvY69Vg~t=>?2612b>|w}`dd#na}e.u2[qitW8;Taxv?010;14bRczx12364cRczx123654>3sz~eca cnpf+r7X|fyT=?Qbuy23476(D\^?SAQMUG03?vrage$ob|j/v3\pjuX9;Ufyu>?032,K4`Rczx1236465i2p{yd``/bmqa*q6W}exS<6tuhll+fium&}:Sya|_00\ip~7898:<229ytpoig&id~h!x1^vlwZ75Wds<=>=11]PS5473sz~eca cnpf+r7X|fyT=?Qbuy2347769;:0v}{fnn-`kwc(8Uc~Q>2^ov|567:88=>o5uptkmk*ehzl%|=Rz`s^31[hs89:9=?8 LTV7[IYE]O897w~ziom,gjtb'~;Txb}P13]nq}678;;9:"A=0:xsqlhh'jeyi"y>_ump[44Xe|r;<=<>4803?vrage$ob|j/v3\pjuX9;Ufyu>?0336<4`Rczx1236705;2p{yd``/bmqa*q6W}exS<VY\<>7=a:xsqlhh'jeyi"y>_ump[44Xe|r;<=<<9.NVP1YKWK_M><5uptkmk*ehzl%|=Rz`s^31[hs89:9?4!@1g9ytpoig&id~h!x1^vlwZ75Wds<=>=503e?vrage$ob|j/v3\pjuX9;Ufyu>?03475c=}x|cec"m`rd-t5Zrh{V;9S`{w0121377a3sz~eca cnpf+r7X|fyT=?Qbuy234714:h1q|xgao.alv`)p9V~dR?=_lw{4565?:%GYYRczx123625(G8l0v}{fnn-`kwc(8Uc~Q>2^ov|567:1?:h6tuhll+fium&}:Sya|_01\ip~7898:i6tuhll+fium&}:Sya|_01\ip~7898;>45uptkmk*ehzl%|=Rz`s^30[hs89:9<"BZT5]O[GSA:91q|xgao.alv`)p9V~dR?<_lw{45658&E:j6tuhll+fium&}:Sya|_01\ip~7898:<> LTV7[IYE]O8:7w~ziom,gjtb'~;Txb}P12]nq}678;;;#B?2022[4443sz~eca cnpf+r7X|fyT=>Qbuy234777WZ];>=5uptkmk*ehzl%|=Rz`s^30[hs89:9=_ump[45Xe|r;<=<>270a?vrage$ob|j/v3\pjuX9:Ufyu>?03312*JR\=UGSO[I239ytpoig&id~h!x1^vlwZ74Wds<=>=134,K76:91q|xgao.alv`)p9V~dR?<_lw{45659<2:j6tuhll+fium&}:Sya|_01\ip~78989:?=4zqwjjj)dg{o${?8PSV22b>|w}`dd#na}e.u2[qitW89Taxv?0100=7g?031:+J7a3sz~eca cnpf+r7X|fyT=>Qbuy2347369o1q|xgao.alv`)p9V~dR?<_lw{4565>=;m7w~ziom,gjtb'~;Txb}P12]nq}678;=9>l5uptkmk*ehzl%|=Rz`s^30[hs89:9;?!CUU6\HZDRN;;0v}{fnn-`kwc(8Uc~Q>3^ov|567:>8$C:=>f:xsqlhh'jeyi"y>_ump[45Xe|r;<=<750f8~usnff%hck w0]wkvY6?20g8~usnff%hck w0]wkvY6?210:?vrage$ob|j/v3\pjuX9=Ufyu>?032,HPR3WEUIYK O0d8~usnff%hck w0]wkvY6?2021e>|w}`dd#na}e.u2[qitW8>Taxv?01024*JR\=UGSO[I209ytpoig&id~h!x1^vlwZ73Wds<=>=11-L65=}x|cec"m`rd-t5Zrh{V;?S`{w012155>5j2p{yd``/bmqa*q6W}exS<:Pmtz3454681%GYY:PL^@VB74=12314>|w}`dd#na}e.u2[qitW8>Taxv?0102057a3sz~eca cnpf+r7X|fyT=9Qbuy234741::1q|xgao.alv`)p9V~dR?;_lw{4565:?UX[=?i;{rvmki(kfxn#z?Ptnq\51Yj}q:;=38-OQQ2XDVH^J??4zqwjjj)dg{o${>7 O0d8~usnff%hck w0]wkvY6?2432b>|w}`dd#na}e.u2[qitW8>Taxv?010504`4^ov|567:08:h6tuhll+fium&}:Sya|_07\ip~7898:i6tuhll+fium&}:Sya|_07\ip~7898;>45uptkmk*ehzl%|=Rz`s^36[hs89:9<"BZT5]O[GSA:91q|xgao.alv`)p9V~dR?:_lw{45658&E:j6tuhll+fium&}:Sya|_07\ip~7898:<> LTV7[IYE]O8:7w~ziom,gjtb'~;Txb}P14]nq}678;;;#B?202;+ISS_ump[43Xe|r;<=<>09-L66=}x|cec"m`rd-t5Zrh{V;>S`{w012155YT_98;7w~ziom,gjtb'~;Txb}P14]nq}678;;8=?>4zqwjjj)dg{o${<:?1g9ytpoig&id~h!x1^vlwZ72Wds<=>=2700?vrage$ob|j/v3\pjuX9?0305[VQ79o1q|xgao.alv`)p9V~dR?:_lw{4565;08j7w~ziom,gjtb'~;Txb}P14]nq}678;92#A[[4^N\FP@592p{yd``/bmqa*q6W}exS<;Pmtz345441&E:j6tuhll+fium&}:Sya|_07\ip~7898>=;:>f:xsqlhh'jeyi"y>_ump[43Xe|r;<=<820d8~usnff%hck w0]wkvY6=Vg~t=>?2962b>|w}`dd#na}e.u2[qitW8?Taxv?010:64b3sz~eca cnpf+r7X|fyT=;Qbuy23476(D\^?SAQMUG03?vrage$ob|j/v3\pjuX9?Ufyu>?032,K4`!CUU6\HZDRN;80v}{fnn-`kwc(8Uc~Q>6^ov|567:8:8#B<<;{rvmki(kfxn#z?Ptnq\53Yj}q:;>5uptkmk*ehzl%|=Rz`s^35[hs89:9>;Q\W13e?vrage$ob|j/v3\pjuX9?Ufyu>?031:6d=}x|cec"m`rd-t5Zrh{V;=S`{w01217<)K]]>T@RLZF338~usnff%hck w0]wkvY6>Vg~t=>?22;,K4`6^ov|567:>::j6tuhll+fium&}:Sya|_04\ip~78983=56>d:xsqlhh'jeyi"y>_ump[41Xe|r;<=<>e:xsqlhh'jeyi"y>_ump[41Xe|r;<==0.NVP1YKWK_M>=5uptkmk*ehzl%|=Rz`s^34[hs89:9<"A>f:xsqlhh'jeyi"y>_ump[41Xe|r;<=<>03c8~usnff%hck w0]wkvY6?Vg~t=>?202,HPR3WEUIYK<>;{rvmki(kfxn#z?Ptnq\52Yj}q:;Ufyu>?0333<7d|w}`dd#na}e.u2[qitW8=Taxv?01024=)H::1q|xgao.alv`)p9V~dR?8_lw{456599UX[=?20635c=}x|cec"m`rd-t5Zrh{V;f:xsqlhh'jeyi"y>_ump[41Xe|r;<=<:10d8~usnff%hck w0]wkvY6?Vg~t=>?2762b>|w}`dd#na}e.u2[qitW8=Taxv?010464`:8n0v}{fnn-`kwc(8Uc~Q>8^ov|567:8o0v}{fnn-`kwc(8Uc~Q>8^ov|567:9827w~ziom,gjtb'~;Txb}P19]nq}678;:$@XZ;_M]AQC473sz~eca cnpf+r7X|fyT=5Qbuy23476(G8l0v}{fnn-`kwc(8Uc~Q>8^ov|567:8:9m6tuhll+fium&}:Sya|_0:\ip~7898:<"BZT5]O[GSA:81q|xgao.alv`)p9V~dR?7_lw{456599%D>=5uptkmk*ehzl%|=Rz`s^3;[hs89:9==6=b:xsqlhh'jeyi"y>_ump[4>Xe|r;<=<>09-OQQ2XDVH^J?<4zqwjjj)dg{o${<>7/N00?vrage$ob|j/v3\pjuX91Ufyu>?0333[VQ7:91q|xgao.alv`)p9V~dR?7_lw{45659:;9<6tuhll+fium&}:Sya|_0:\ip~7898:8=?i;{rvmki(kfxn#z?Ptnq\5=Yj}q:;=27]PS57a3sz~eca cnpf+r7X|fyT=5Qbuy23475>:h1q|xgao.alv`)p9V~dR?7_lw{4565;0%GYY:PL^@VB778^ov|567:<;:j6tuhll+fium&}:Sya|_0:\ip~7898=8:<>f:xsqlhh'jeyi"y>_ump[4>Xe|r;<=<740d8~usnff%hck w0]wkvY60Vg~t=>?2802`>|w}`dd#na}e.u2[qitW83Taxv?0102a>|w}`dd#na}e.u2[qitW83Taxv?01036<=}x|cec"m`rd-t5Zrh{V;2S`{w01214*JR\=UGSO[I219ytpoig&id~h!x1^vlwZ7>Wds<=>=0.M2b>|w}`dd#na}e.u2[qitW83Taxv?010247g?0333+J473sz~eca cnpf+r7X|fyT=4Qbuy234777;;h0v}{fnn-`kwc(8Uc~Q>9^ov|567:8:8#A[[4^N\FP@5:2p{yd``/bmqa*q6W}exS<7Pmtz345468:%D>>5uptkmk*ehzl%|=Rz`s^3:[hs89:9==Q\W103?vrage$ob|j/v3\pjuX90Ufyu>?0331376Wds<=>=380b?vrage$ob|j/v3\pjuX90Ufyu>?031:+ISS_ump[4?Xe|r;<=<<9.M2b>|w}`dd#na}e.u2[qitW83Taxv?010654`9^ov|567:1;:j6tuhll+fium&}:Sya|_0;\ip~789834>f:xsqlhh'jeyi"y>_ump[4YdeyUn}=>?10d8~usnff%hck w0]wkvY6Wjg{Sh?0102b>|w}`dd#na}e.u2[qitW8Uha}Qjq12374e_lw{45659=%GYY:PL^@VB76:#A[[4^N\FP@592p{yd``/bmqa*q6W}exSe:xsqlhh'jeyi"y>_ump[4Yj}q:;=373g?vrage$ob|j/v3\pjuX9Vg~t=>?253f?vrage$ob|j/v3\pjuX9Vg~t=>?25014>|w}`dd#na}e.u2[qitW8Ufyu>?0361[47c3sz~eca cnpf+r7X|fyT=Rczx123607b3sz~eca cnpf+r7X|fyT=Rczx1236006m2p{yd``/bmqa*q6W}exS69l1q|xgao.alv`)p9V~dR?Pmtz3454?<;30v}{fnn-`kwc(8Uc~Q>_lw{45650=%GYY:PL^@VB76:;30v}{fnn-`kwc(8Uc~Q>_lw{45651;%GYY:PL^@VB76>8n0v}{fnn-`kwc(8Uc~Q=0^ov|567:8o0v}{fnn-`kwc(8Uc~Q=0^ov|567:9827w~ziom,gjtb'~;Txb}P21]nq}678;:$@XZ;_M]AQC473sz~eca cnpf+r7X|fyT>=Qbuy23476(G8l0v}{fnn-`kwc(8Uc~Q=0^ov|567:8:9m6tuhll+fium&}:Sya|_32\ip~7898:<"BZT5]O[GSA:81q|xgao.alv`)p9V~dR=5uptkmk*ehzl%|=Rz`s^03[hs89:9==6=3:xsqlhh'jeyi"y>_ump[76Xe|r;<=<>0^QT476=Qbuy2347749&E9<6tuhll+fium&}:Sya|_32\ip~7898:8=?i;{rvmki(kfxn#z?Ptnq\65Yj}q:;=27]PS57a3sz~eca cnpf+r7X|fyT>=Qbuy23475>:h1q|xgao.alv`)p9V~dR:<>f:xsqlhh'jeyi"y>_ump[76Xe|r;<=<740d8~usnff%hck w0]wkvY58Vg~t=>?2802`>|w}`dd#na}e.u2[qitW;;Taxv?0102a>|w}`dd#na}e.u2[qitW;;Taxv?01036<=}x|cec"m`rd-t5Zrh{V8:S`{w01214*JR\=UGSO[I219ytpoig&id~h!x1^vlwZ46Wds<=>=0.M2b>|w}`dd#na}e.u2[qitW;;Taxv?010247g?0333+J473sz~eca cnpf+r7X|fyT>>5uptkmk*ehzl%|=Rz`s^02[hs89:9==Q\W103?vrage$ob|j/v3\pjuX:8Ufyu>?0330576_lw{4565:?887w~ziom,gjtb'~;Txb}P20]nq}678;8=S^Y?1g9ytpoig&id~h!x1^vlwZ46Wds<=>=380b?vrage$ob|j/v3\pjuX:8Ufyu>?031:+ISS_ump[77Xe|r;<=<<9.M2b>|w}`dd#na}e.u2[qitW;;Taxv?010654`:j6tuhll+fium&}:Sya|_33\ip~78982>=<6;{rvmki(kfxn#z?Ptnq\67Yj}q:; LTV7[IYE]O8;7w~ziom,gjtb'~;Txb}P23]nq}678;:$C<>=a:xsqlhh'jeyi"y>_ump[74Xe|r;<=<>0.NVP1YKWK_M><5uptkmk*ehzl%|=Rz`s^01[hs89:9==!@219ytpoig&id~h!x1^vlwZ45Wds<=>=11:1f>|w}`dd#na}e.u2[qitW;8Taxv?01024=)K]]>T@RLZF308~usnff%hck w0]wkvY5:Vg~t=>?202;+J443sz~eca cnpf+r7X|fyT>?Qbuy234777WZ];>=5uptkmk*ehzl%|=Rz`s^01[hs89:9=>?=0:xsqlhh'jeyi"y>_ump[74Xe|r;<=<>413e?vrage$ob|j/v3\pjuX:;Ufyu>?030566=}x|cec"m`rd-t5Zrh{V89S`{w012163YT_9;m7w~ziom,gjtb'~;Txb}P23]nq}678;92>l5uptkmk*ehzl%|=Rz`s^01[hs89:9?4!CUU6\HZDRN;;0v}{fnn-`kwc(8Uc~Q=2^ov|567::3$C8?>f:xsqlhh'jeyi"y>_ump[74Xe|r;<=<940d8~usnff%hck w0]wkvY5:Vg~t=>?2602b>|w}`dd#na}e.u2[qitW;8Taxv?010;04`Rczx1236<46l2p{yd``/bmqa*q6W}exS?=Pmtz34546m2p{yd``/bmqa*q6W}exS?=Pmtz34547:01q|xgao.alv`)p9V~dR<<_lw{45658&F^X9QC_CWE65=}x|cec"m`rd-t5Zrh{V88S`{w01214*I6n2p{yd``/bmqa*q6W}exS?=Pmtz345468;k0v}{fnn-`kwc(8Uc~Q=3^ov|567:8:$@XZ;_M]AQC463sz~eca cnpf+r7X|fyT>>Qbuy234777'F8;7w~ziom,gjtb'~;Txb}P22]nq}678;;;4?l4zqwjjj)dg{o${<>7/MWW0ZJXJ\L9>6tuhll+fium&}:Sya|_31\ip~7898:<5!@229ytpoig&id~h!x1^vlwZ44Wds<=>=11]PS5473sz~eca cnpf+r7X|fyT>>Qbuy2347738;>0v}{fnn-`kwc(8Uc~Q=3^ov|567:8>;S^Y?1g9ytpoig&id~h!x1^vlwZ44Wds<=>=2700?vrage$ob|j/v3\pjuX::Ufyu>?0305[VQ79o1q|xgao.alv`)p9V~dR<<_lw{4565;08j7w~ziom,gjtb'~;Txb}P22]nq}678;92#A[[4^N\FP@592p{yd``/bmqa*q6W}exS?=Pmtz345441&E:j6tuhll+fium&}:Sya|_31\ip~7898>=;:>f:xsqlhh'jeyi"y>_ump[75Xe|r;<=<820d8~usnff%hck w0]wkvY5;Vg~t=>?2962b>|w}`dd#na}e.u2[qitW;9Taxv?010:64b3sz~eca cnpf+r7X|fyT>9Qbuy23476(D\^?SAQMUG03?vrage$ob|j/v3\pjuX:=Ufyu>?032,K4`=27]PS57a3sz~eca cnpf+r7X|fyT>9Qbuy23475>:h1q|xgao.alv`)p9V~dR<;_lw{4565;0%GYY:PL^@VB77:<>f:xsqlhh'jeyi"y>_ump[72Xe|r;<=<740d8~usnff%hck w0]wkvY5?2802`>|w}`dd#na}e.u2[qitW;?Taxv?0102a>|w}`dd#na}e.u2[qitW;?Taxv?01036<=}x|cec"m`rd-t5Zrh{V8>S`{w01214*JR\=UGSO[I219ytpoig&id~h!x1^vlwZ42Wds<=>=0.M2b>|w}`dd#na}e.u2[qitW;?Taxv?010247g?0333+J473sz~eca cnpf+r7X|fyT>8Qbuy2347770;90v}{fnn-`kwc(8Uc~Q=5^ov|567:8:T_Z>=0:xsqlhh'jeyi"y>_ump[73Xe|r;<=<>4107?vrage$ob|j/v3\pjuX:?03374ZUP8;l0v}{fnn-`kwc(8Uc~Q=5^ov|567:8>;S^Y?/MWW0ZJXJ\L9:6tuhll+fium&}:Sya|_37\ip~7898:8=Q\W1-L5c=}x|cec"m`rd-t5Zrh{V8>S`{w012163443sz~eca cnpf+r7X|fyT>8Qbuy234741WZ];=k5uptkmk*ehzl%|=Rz`s^06[hs89:9?4f:xsqlhh'jeyi"y>_ump[73Xe|r;<=<:10d8~usnff%hck w0]wkvY5=Vg~t=>?2762b>|w}`dd#na}e.u2[qitW;?Taxv?010464`:8n0v}{fnn-`kwc(8Uc~Q=6^ov|567:8o0v}{fnn-`kwc(8Uc~Q=6^ov|567:9827w~ziom,gjtb'~;Txb}P27]nq}678;:$@XZ;_M]AQC473sz~eca cnpf+r7X|fyT>;Qbuy23476(G8l0v}{fnn-`kwc(8Uc~Q=6^ov|567:8:9m6tuhll+fium&}:Sya|_34\ip~7898:<"BZT5]O[GSA:81q|xgao.alv`)p9V~dR<9_lw{456599%D>=5uptkmk*ehzl%|=Rz`s^05[hs89:9==6=b:xsqlhh'jeyi"y>_ump[70Xe|r;<=<>09-OQQ2XDVH^J?<4zqwjjj)dg{o${<>7/N00?vrage$ob|j/v3\pjuX:?Ufyu>?0333[VQ7:91q|xgao.alv`)p9V~dR<9_lw{45659=:986tuhll+fium&}:Sya|_34\ip~7898:8=Q\W13e?vrage$ob|j/v3\pjuX:?Ufyu>?030566=}x|cec"m`rd-t5Zrh{V8=S`{w012163YT_9;m7w~ziom,gjtb'~;Txb}P27]nq}678;92>l5uptkmk*ehzl%|=Rz`s^05[hs89:9?4!CUU6\HZDRN;;0v}{fnn-`kwc(8Uc~Q=6^ov|567::3$C8?>f:xsqlhh'jeyi"y>_ump[70Xe|r;<=<940d8~usnff%hck w0]wkvY5>Vg~t=>?2602b>|w}`dd#na}e.u2[qitW;o5uptkmk*ehzl%|=Rz`s^04[hs89:9==6 LTV7[IYE]O897w~ziom,gjtb'~;Txb}P26]nq}678;;;4"A=3:xsqlhh'jeyi"y>_ump[71Xe|r;<=<>0^QT476>f:xsqlhh'jeyi"y>_ump[71Xe|r;<=<=6318~usnff%hck w0]wkvY5?Vg~t=>?234\WR66m2p{yd``/bmqa*q6W}exS?9Pmtz345449o1q|xgao.alv`)p9V~dR<8_lw{4565;08j7w~ziom,gjtb'~;Txb}P26]nq}678;92#A[[4^N\FP@592p{yd``/bmqa*q6W}exS?9Pmtz345441&E:j6tuhll+fium&}:Sya|_35\ip~7898>=;:>f:xsqlhh'jeyi"y>_ump[71Xe|r;<=<820d8~usnff%hck w0]wkvY5?Vg~t=>?2962b>|w}`dd#na}e.u2[qitW;=Taxv?010:64b3sz~eca cnpf+r7X|fyT>5Qbuy23476(D\^?SAQMUG03?vrage$ob|j/v3\pjuX:1Ufyu>?032,K4`=27]PS57a3sz~eca cnpf+r7X|fyT>5Qbuy23475>:h1q|xgao.alv`)p9V~dR<7_lw{4565;0%GYY:PL^@VB77:<>f:xsqlhh'jeyi"y>_ump[7>Xe|r;<=<740d8~usnff%hck w0]wkvY50Vg~t=>?2802`>|w}`dd#na}e.u2[qitW;3Taxv?0102a>|w}`dd#na}e.u2[qitW;3Taxv?01036<=}x|cec"m`rd-t5Zrh{V82S`{w01214*JR\=UGSO[I219ytpoig&id~h!x1^vlwZ4>Wds<=>=0.M2b>|w}`dd#na}e.u2[qitW;3Taxv?010247g?0333+J473sz~eca cnpf+r7X|fyT>4Qbuy2347770;h0v}{fnn-`kwc(8Uc~Q=9^ov|567:8:3#A[[4^N\FP@5:2p{yd``/bmqa*q6W}exS?7Pmtz3454681%D>>5uptkmk*ehzl%|=Rz`s^0:[hs89:9==Q\W103?vrage$ob|j/v3\pjuX:0Ufyu>?0337472;<<;{rvmki(kfxn#z?Ptnq\64Qbuy23475>'E__8RBPBTD15>|w}`dd#na}e.u2[qitW;3Taxv?0100=*I6n2p{yd``/bmqa*q6W}exS?7Pmtz3454298l0v}{fnn-`kwc(8Uc~Q=9^ov|567:?>:j6tuhll+fium&}:Sya|_3;\ip~7898<>5:>f:xsqlhh'jeyi"y>_ump[7?Xe|r;<=<620a8~usnff%hck w0]wkvY5Wds<=>=1g9ytpoig&id~h!x1^vlwZ4Xe|r;<=<>033e?vrage$ob|j/v3\pjuX:Vg~t=>?200766=}x|cec"m`rd-t5Zrh{V8Taxv?010261YT_98n7w~ziom,gjtb'~;Txb}P2^ov|567:88?S^Y?/MWW0ZJXJ\L996tuhll+fium&}:Sya|_3]nq}678;;98R]X0.M2b>|w}`dd#na}e.u2[qitW;Ufyu>?033074cRczx123642?:h1q|xgao.alv`)p9V~dR(G8l0v}{fnn-`kwc(8Uc~Q=_lw{45659>;:j6tuhll+fium&}:Sya|_3]nq}678;;3<>?j;{rvmki(kfxn#z?Ptnq\6Zkrp9:;>>;>e:xsqlhh'jeyi"y>_ump[7Yj}q:;$C9=50g8~usnff%hck w0]wkvY5Wds<=>=570:?vrage$ob|j/v3\pjuX:Vg~t=>?244,HPR3WEUIYK88 O0g8~usnff%hck w0]wkvY5Wds<=>=693f?vrage$ob|j/v3\pjuX:Vg~t=>?2932a>|w}`dd#na}e.u2[qitW;Ufyu>?03::5a=}x|cec"m`rd-t5Zrh{V9;S`{w01215`=}x|cec"m`rd-t5Zrh{V9;S`{w012147?T@RLZF328~usnff%hck w0]wkvY48Vg~t=>?21-L5c=}x|cec"m`rd-t5Zrh{V9;S`{w0121554f3sz~eca cnpf+r7X|fyT?=Qbuy234777'E__8RBPBTD15>|w}`dd#na}e.u2[qitW::Taxv?01024*I582p{yd``/bmqa*q6W}exS>>Pmtz34546818i7w~ziom,gjtb'~;Txb}P31]nq}678;;;4"BZT5]O[GSA:;1q|xgao.alv`)p9V~dR=?_lw{4565992$C?=4zqwjjj)dg{o${<>PSV214>|w}`dd#na}e.u2[qitW::Taxv?010205433sz~eca cnpf+r7X|fyT?=Qbuy2347738VY\<?8=3:xsqlhh'jeyi"y>_ump[66Xe|r;<=<=6^QT44`>Pmtz345441&F^X9QC_CWE64=}x|cec"m`rd-t5Zrh{V9;S`{w01217<)H9o1q|xgao.alv`)p9V~dR=?_lw{4565=8;m7w~ziom,gjtb'~;Txb}P31]nq}678;=933g?vrage$ob|j/v3\pjuX;8Ufyu>?033f?vrage$ob|j/v3\pjuX;8Ufyu>?0321=>|w}`dd#na}e.u2[qitW:;Taxv?0103+ISS_ump[67Xe|r;<=?03336d=}x|cec"m`rd-t5Zrh{V9:S`{w012155)K]]>T@RLZF338~usnff%hck w0]wkvY49Vg~t=>?202,K752.NVP1YKWK_M>?5uptkmk*ehzl%|=Rz`s^12[hs89:9=<< O328~usnff%hck w0]wkvY49Vg~t=>?206761=}x|cec"m`rd-t5Zrh{V9:S`{w0121512X[^::j6tuhll+fium&}:Sya|_23\ip~78989:?=4zqwjjj)dg{o${?8PSV22b>|w}`dd#na}e.u2[qitW:;Taxv?0100=7g?031:+J7a3sz~eca cnpf+r7X|fyT?_lw{4565>?8;7w~ziom,gjtb'~;Txb}P30]nq}678;<==?<4zqwjjj)dg{o${;8Pf43e?vrage$ob|j/v3\pjuX;8Ufyu>?03565c=}x|cec"m`rd-t5Zrh{V9:S`{w0121<=7a3sz~eca cnpf+r7X|fyT?Qbuy234777:8l0v}{fnn-`kwc(8Uc~Q<_lw{45659;>9?6tuhll+fium&}:Sya|_2]nq}678;;98R]X03g8~usnff%hck w0]wkvY4Wds<=>=136\WR6(D\^?SAQMUG06?vrage$ob|j/v3\pjuX;Vg~t=>?2007[VQ7'F;m7w~ziom,gjtb'~;Txb}P3^ov|567:898=h5uptkmk*ehzl%|=Rz`s^1\ip~7898:8_ump[6Yj}q:;<5uptkmk*ehzl%|=Rz`s^1\ip~7898:85!@1g9ytpoig&id~h!x1^vlwZ5Xe|r;<=<>703e?vrage$ob|j/v3\pjuX;Vg~t=>?20:35`=}x|cec"m`rd-t5Zrh{V9Taxv?010174cQbuy23472502p{yd``/bmqa*q6W}exS>Qbuy23472(D\^?SAQMUG3e?vrage$ob|j/v3\pjuX;Vg~t=>?25-L5`=}x|cec"m`rd-t5Zrh{V9Taxv?0107676;30v}{fnn-`kwc(8Uc~Q<_lw{4565=?%GYY:PL^@VB769<<;{rvmki(kfxn#z?Ptnq\0Zkrp9:;><<;_RU36`=}x|cec"m`rd-t5Zrh{V>Taxv?010261YT_9%GYY:PL^@VB73=>e:xsqlhh'jeyi"y>_ump[1Yj}q:;490b?vrage$ob|j/v3\pjuX?206;+ISS_ump[1Yj}q:;|w}`dd#na}e.u2[qitW=Ufyu>?033454`45uptkmk*ehzl%|=Rz`s^6\ip~7898>:"BZT5]O[GSA:91q|xgao.alv`)p9V~dR:Pmtz34542>&E:i6tuhll+fium&}:Sya|_5]nq}678;<3=h5uptkmk*ehzl%|=Rz`s^6\ip~78983=f:xsqlhh'jeyi"y>_ump[0Yj}q:;=136\WR65m2p{yd``/bmqa*q6W}exS8Qbuy234775323f?vrage$ob|j/v3\pjuX=Vg~t=>?2062b>|w}`dd#na}e.u2[qitW?0337<7gS`{w012151>(D\^?SAQMUG02?vrage$ob|j/v3\pjuX=Vg~t=>?206;+J7a3sz~eca cnpf+r7X|fyT9Rczx12364169o1q|xgao.alv`)p9V~dR;Pmtz3454609;n7w~ziom,gjtb'~;Txb}P5^ov|567:;9:i6tuhll+fium&}:Sya|_4]nq}678;9>=h5uptkmk*ehzl%|=Rz`s^7\ip~78988:9S8?j;{rvmki(kfxn#z?Ptnq\1Zkrp9:;>88=9:xsqlhh'jeyi"y>_ump[0Yj}q:;;6>e:xsqlhh'jeyi"y>_ump[0Yj}q:;1d9ytpoig&id~h!x1^vlwZ3Xe|r;<=<790a8~usnff%hck w0]wkvY1Wds<=>=1d9ytpoig&id~h!x1^vlwZ0Xe|r;<=<>00d8~usnff%hck w0]wkvY1Wds<=>=1132b>|w}`dd#na}e.u2[qitW?Ufyu>?033324`|w}`dd#na}e.u2[qitW?Ufyu>?0332177;S<<>;{rvmki(kfxn#z?Ptnq\2Zkrp9:;><:?_300?vrage$ob|j/v3\pjuX>Vg~t=>?2063[VQ79o1q|xgao.alv`)p9V~dR8Pmtz34546>=8j7w~ziom,gjtb'~;Txb}P6^ov|567:8=1972a>|w}`dd#na}e.u2[qitW?Ufyu>?03145`=}x|cec"m`rd-t5Zrh{VT@RLZF328~usnff%hck w0]wkvY1Wds<=>=45-L67=}x|cec"m`rd-t5Zrh{VVg~t=>?256\WR6X[^:$@XZ;_M]AQC4?3sz~eca cnpf+r7X|fyT:Rczx123612X[^:T_Z> O0g8~usnff%hck w0]wkvY1Wds<=>=503f?vrage$ob|j/v3\pjuX>Vg~t=>?2722a>|w}`dd#na}e.u2[qitW?Ufyu>?03476<=}x|cec"m`rd-t5Zrh{V:<>f:xsqlhh'jeyi"y>_ump[3Yj}q:;00g8~usnff%hck w0]wkvY1Wds<=>=843f?vrage$ob|j/v3\pjuX>Vg~t=>?2812g>|w}`dd#na}e.u2[qitW>Ufyu>?033f?vrage$ob|j/v3\pjuX?Vg~t=>?2022b>|w}`dd#na}e.u2[qitW>Ufyu>?033324`=1:xsqlhh'jeyi"y>_ump[2Yj}q:;|w}`dd#na}e.u2[qitW>Ufyu>?03374Z45;2p{yd``/bmqa*q6W}exS:Qbuy2347738VY\<_ump[2Yj}q:;<5uptkmk*ehzl%|=Rz`s^5\ip~7898::9!@229ytpoig&id~h!x1^vlwZ1Xe|r;<=<>65]PS57a3sz~eca cnpf+r7X|fyT;Rczx12364>29l1q|xgao.alv`)p9V~dR9Pmtz34544?;30v}{fnn-`kwc(8Uc~Q8_lw{4565;>%GYY:PL^@VB76?26-L5`=}x|cec"m`rd-t5Zrh{V=Taxv?010464cT@RLZF0d8~usnff%hck w0]wkvY?Wds<=>=0.M2a>|w}`dd#na}e.u2[qitW1Ufyu>?03336<=}x|cec"m`rd-t5Zrh{V2Taxv?01024*JR\=UGSO[I219ytpoig&id~h!x1^vlwZ>Xe|r;<=<>0.M16>|w}`dd#na}e.u2[qitW1Ufyu>?0333[VQ79o1q|xgao.alv`)p9V~dR6Pmtz34546908:7w~ziom,gjtb'~;Txb}P8^ov|567:8;2S<<>;{rvmki(kfxn#z?Ptnq\?203:[VQ79o1q|xgao.alv`)p9V~dR6Pmtz34546<:8j7w~ziom,gjtb'~;Txb}P8^ov|567:8>8#A[[4^N\FP@592p{yd``/bmqa*q6W}exS5Qbuy234773;&E9?6tuhll+fium&}:Sya|_9]nq}678;;??R]X00d8~usnff%hck w0]wkvY?Wds<=>=1762a>|w}`dd#na}e.u2[qitW1Ufyu>?030567=}x|cec"m`rd-t5Zrh{V2Taxv?01012ZUP88o0v}{fnn-`kwc(8Uc~Q7_lw{4565;0827w~ziom,gjtb'~;Txb}P8^ov|567::3$@XZ;_M]AQC473sz~eca cnpf+r7X|fyT4Rczx12366?(G8o0v}{fnn-`kwc(8Uc~Q7_lw{4565=8;n7w~ziom,gjtb'~;Txb}P8^ov|567:?>:i6tuhll+fium&}:Sya|_9]nq}678;=9=h5uptkmk*ehzl%|=Rz`s^:\ip~7898394:=0:xsqlhh'jeyi"y>_ump[=Yj}q:;?20f8~usnff%hck w0]wkvY>Wds<=>=03:8~usnff%hck w0]wkvY>Wds<=>=0.NVP1YKWK_M=k5uptkmk*ehzl%|=Rz`s^;\ip~7898;#B?j;{rvmki(kfxn#z?Ptnq\=Zkrp9:;><>=9:xsqlhh'jeyi"y>_ump[<>PSV22b>|w}`dd#na}e.u2[qitW0Ufyu>?0332=77?=4zqwjjj)dg{o${|w}`dd#na}e.u2[qitW0Ufyu>?033777g?2060+J443sz~eca cnpf+r7X|fyT5Rczx1236424WZ];=k5uptkmk*ehzl%|=Rz`s^;\ip~7898::9?j;{rvmki(kfxn#z?Ptnq\=Zkrp9:;>?8=2:xsqlhh'jeyi"y>_ump[T@RLZF328~usnff%hck w0]wkvY>Wds<=>=38-L5`=}x|cec"m`rd-t5Zrh{V3Taxv?010654c29l1q|xgao.alv`)p9V~dR7Pmtz3454?>8o0v}{fnn-`kwc(8Uc~Q6_lw{45651=8;7w~ziom,gjtb'~;Txb}P9^ov|567:0>T=e:xsqlhh'jeyi"y>_ump[dbc89:;0<<11d9ytpoig&id~h!x1^vlwZgcl9:;<1?<>0g8~usnff%hck w0]wkvYflm:;<=2>4?3f?vrage$ob|j/v3\pjuXimn;<=>314<2a>|w}`dd#na}e.u2[qitWhno<=>?<04=5`=}x|cec"m`rd-t5Zrh{Vkoh=>?0=34:4c?01>2<;7b3sz~eca cnpf+r7X|fyTmij?012?5<86l2p{yd``/bmqa*q6W}exSljk01238486m2p{yd``/bmqa*q6W}exSljk012387699l1q|xgao.alv`)p9V~dRokd123494668o0v}{fnn-`kwc(8Uc~Qnde2345:5:7;n7w~ziom,gjtb'~;Txb}Paef3456;::4:i6tuhll+fium&}:Sya|_`fg45674;>5=h5uptkmk*ehzl%|=Rz`s^cg`567858>2:0>e:xsqlhh'jeyi"y>_ump[dbc89:;0?611d9ytpoig&id~h!x1^vlwZgcl9:;<1<6>0f8~usnff%hck w0]wkvYflm:;<=2=>0g8~usnff%hck w0]wkvYflm:;<=2<0?3f?vrage$ob|j/v3\pjuXimn;<=>330<2`>|w}`dd#na}e.u2[qitWhno<=>?<2<2`>|w}`dd#na}e.u2[qitWhno<=>?<5<2`>|w}`dd#na}e.u2[qitWhno<=>?<4<2`>|w}`dd#na}e.u2[qitWhno<=>?<7<2`>|w}`dd#na}e.u2[qitWhno<=>?<6<2`>|w}`dd#na}e.u2[qitWhno<=>?<9<2`>|w}`dd#na}e.u2[qitWhno<=>?<8<2`>|w}`dd#na}e.u2[qitWhno<=>><1<2a>|w}`dd#na}e.u2[qitWhno<=>><02=5`=}x|cec"m`rd-t5Zrh{Vkoh=>?1=32:4c?00>26;7b3sz~eca cnpf+r7X|fyTmij?013?5686m2p{yd``/bmqa*q6W}exSljk012284299l1q|xgao.alv`)p9V~dRokd123597268o0v}{fnn-`kwc(8Uc~Qnde2344:6>7;n7w~ziom,gjtb'~;Txb}Paef3457;9>4:i6tuhll+fium&}:Sya|_`fg45664825=h5uptkmk*ehzl%|=Rz`s^cg`56795;22<0>e:xsqlhh'jeyi"y>_ump[dbc89::0?<11d9ytpoig&id~h!x1^vlwZgcl9:;=1<<>0g8~usnff%hck w0]wkvYflm:;<<2=4?3f?vrage$ob|j/v3\pjuXimn;<=?324<2a>|w}`dd#na}e.u2[qitWhno<=>><34=5`=}x|cec"m`rd-t5Zrh{Vkoh=>?1=04:4c?00>1<;7b3sz~eca cnpf+r7X|fyTmij?013?6<86l2p{yd``/bmqa*q6W}exSljk01228786m2p{yd``/bmqa*q6W}exSljk012286699l1q|xgao.alv`)p9V~dRokd123595668n0v}{fnn-`kwc(8Uc~Qnde2344:468n0v}{fnn-`kwc(8Uc~Qnde2344:368n0v}{fnn-`kwc(8Uc~Qnde2344:268n0v}{fnn-`kwc(8Uc~Qnde2344:168n0v}{fnn-`kwc(8Uc~Qnde2344:068n0v}{fnn-`kwc(8Uc~Qnde2344:?68n0v}{fnn-`kwc(8Uc~Qnde2344:>68=0v}{fnn-`kwc({eioolk^s2b>|w}`dd#na}e.uqkqceijaT}Rczx123474?003364=}x|cec"m`rd-tvjrbjhi`S|Qbuy234575:;1q|xgao.alv`)pzf~nnlmd_p]nq}6789;?=?<4zqwjjj)dg{o${a{ecc`oZwXe|r;<=>>9002?vrage$ob|j/vplp`dfkbUzS`{w012360463sz~eca cnpf+rth|lhjofQ~_lw{4567;=897w~ziom,gjtb'~xdxhlncj]r[hs89:;?8<=1:xsqlhh'jeyi"y}ougaefmXyVg~t=>?05715>|w}`dd#na}e.uqkqceijaT}Rczx1234345k2p{yd``/bmqa*qug}oimnePq^ov|5678?8$@XZ;_E]AQC463sz~eca cnpf+rth|lhjofQ~_lw{4567>1;<7w~ziom,gusXzhgT{oQlyb]j5a=}x|cec"jmg^dqkhY}x|Uxxlmdb=2=5`=}x|cec"jmg^dqkhY}x|Uxxlmdb=33:4c25;7b3sz~eca dce\bwijWsz~S~zncj`?5786m2p{yd``/e`d[ctheVp{yR}{abia84599l1q|xgao.facZ`ugdUq|xQ|t`ahf97368o0v}{fnn-gfbYazfgTv}{Psuc`og:6=7;n7w~ziom,`gaXn{efSw~z_rvbgnd;9?4:i6tuhll+ad`WoxdaRtu^qwefme48=5=h5uptkmk*beoVlyc`Qupt]ppdelj5;32=0>e:xsqlhh'mhlSk|`m^xsqZusijai0??11d9ytpoig&nikRh}ol]ytpYt|hi`n1<=>0g8~usnff%onjQirno\~usX{}khgo2=3?3f?vrage$hoiPfsmn[vrWz~jofl325<2a>|w}`dd#ilh_gpliZ|w}Vymnem<37=5`=}x|cec"jmg^dqkhY}x|Uxxlmdb=05:4c13;7b3sz~eca dce\bwijWsz~S~zncj`?6=86m2p{yd``/e`d[ctheVp{yR}{abia87?99m1q|xgao.facZ`ugdUq|xQ|t`ahf9499l1q|xgao.facZ`ugdUq|xQ|t`ahf95768o0v}{fnn-gfbYazfgTv}{Psuc`og:497;o7w~ziom,`gaXn{efSw~z_rvbgnd;;7;o7w~ziom,`gaXn{efSw~z_rvbgnd;<7;o7w~ziom,`gaXn{efSw~z_rvbgnd;=7;o7w~ziom,`gaXn{efSw~z_rvbgnd;>7;o7w~ziom,`gaXn{efSw~z_rvbgnd;?7;o7w~ziom,`gaXn{efSw~z_rvbgnd;07;o7w~ziom,`gaXn{efSw~z_rvbgnd;17k0v}{fnn-jk*7a3sz~eca in-Nip~XWkyecjjx1234Z779o1q|xgao.kl+HkrpVU}mgaddz3456X988;7w~ziom,mj)Je|rTS{o}ioff|5678V;:=?>4zqwjjj)ng&GfyuQPv`pjjac89:;SP10]e177;;0v}{fnn-jk*Kj}qUTzl|fneg{4567W?Um9?:4zqwjjj)ng&GfyuQPv`pjjac89:;S;Qi5^pw5`=}x|cec"g`/Lov|ZYqi{cehhv?012\3770:8~usnff%bc"okds]pescXy5?5=55uptkmk*oh'hno~R}nvd]r838602p{yd``/hm,eabuWzk}iR37?3;?vrage$eb!ndep\wdpbWx632<64zqwjjj)ng&kohQ|awg\u9?9911q|xgao.kl+dbczVyjzhQ~_022<>|w}`dd#da aefq[vgqmV{T=8:xsqlhh'`e$mij}_rcuaZwX9<;37w~ziom,mj)flmxTlxj_p]22418:xsqlhh'`e$mij}_rcuaZwX::;37w~ziom,mj)flmxTlxj_p]104>7:xsqlhh'`e$mij}_rcuaZwX=8=0v}{fnn-jk*gcl{Uxm{kPq^423>|w}`dd#da aefq[vgqmV{T;<94zqwjjj)ng&kohQ|awg\uZ>6?2p{yd``/hm,eabuWzk}iRP90f8~usnff%bc"okds]pescXyVddx=>?10g8~usnff%bc"okds]pescXyVddx=>?103`?vrage$eb!ndep\wdpbWxUd~=>?00f8~usnff%bc"okds]pescXyVey<=>?1068~usnff%bc"gats]jjvr(9>1q|xgao.kl+lhszVcey!A103;?vrage$eb!fnup\mkus'G;:=<94zqwjjj)ng&cexQfnrv,J446?2p{yd``/hm,mkruW`dxx"@>3058~usnff%bc"gats]jjvr(F8<::6tuhll+li(ag~ySd`|t.L153=}x|cec"g`/hlwvZoi{}%E:<84zqwjjj)ng&cexQfnrv,J24?3sz~eca in-jjqtXagy#iaPiovfvwsw|Vg~t=>?13c8~usnff%bc"gats]jjvr(lfUbbyk}rtrw[hs89::===a:xsqlhh'`e$ecz}_hlpp*bhW`di|zpu]nq}67882;>h5uptkmk*oh'`d~Rgasu-gkZoi|lxyy}zPmtz3457?8VY\<>74zqwjjj)ng&cexQfnrv,`jYnf}oy~x~{_lw{456609UX[=!CUU1\@ZDRN830v}{fnn-jk*oi|{Ubb~z idl\u76;5uptkmk*oh'`d~Rgasu-jjqtXll~noykk_p>3:71|w}`dd#da iovq[lht|&cexQkeug`p`bXy5;82?94zqwjjj)ng&cexQfnrv,mkruWmoinzjd^s?5185?2p{yd``/hm,mkruW`dxx"gats]gaqcd|lnT}1?:>358~usnff%bc"gats]jjvr(ag~ySik{ebvf`Zw;9?49;6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq=34:702p{yd``/hm,mkruW`dxx"gats]gaqcd|lnT}1=1279ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[t:36;<0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhR35?05?vrage$eb!fnup\mkus'`d~RjjtdawaaYv4?49:6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq=5=63=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWx632?84zqwjjj)ng&cexQfnrv,mkruWmoinzjd^s?=;423sz~eca in-jjqtXagy#d`{r^ffp`esmmUzS=2p{yd``/hm,mkruW`dxx"gats]gaqcd|lnT}R??2d9ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[tY68Vddx=>?13d8~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX99Uecy>?00312>|w}`dd#da iovq[lht|&cexQkeug`p`bXyV;:>h5uptkmk*oh'`d~Rgasu-jjqtXll~noykk_p]25Zhh|9:;=>>4zqwjjj)ng&cexQfnrv,mkruWmoinzjd^s\54Yig}:;<3c9ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[tY69Vddx=>?103,HPR3WMUIYK;5uptkmk*oh'`d~Rgasu-jjqtXll~noykk_p]277cQaou23444a3sz~eca in-jjqtXagy#d`{r^ffp`esmmUzS<=Pnnv34576:?1q|xgao.kl+lhszVcey!fnup\``rbk}ooS|Q>43g8~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX9=Uecy>?000e?vrage$eb!fnup\mkus'`d~RjjtdawaaYvW8>Tbbz?013263=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWxU:9?k4zqwjjj)ng&cexQfnrv,mkruWmoinzjd^s\50Yig}:;<<279ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[tY6>;o0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhRP17]nq}67898m7w~ziom,mj)nf}xTec}{/hlwvZbb|liiiQ~_04\ip~789::>h5uptkmk*oh'`d~Rgasu-jjqtXll~noykk_p]22Zhh|9:;=?h4zqwjjj)ng&cexQfnrv,mkruWmoinzjd^s\53Yig}:;<7^llp5679;l0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhRP16]mkq6788;9h6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq^3\jjr789;9i6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq^3\jjr789;:>85uptkmk*oh'`d~Rgasu-jjqtXll~noykk_p]16a=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWxU9Sca{01226`=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWxU9Sca{0122573?4zqwjjj)ng&cexQfnrv,mkruWmoinzjd^s\7Zhh|9:;=R]X02a8~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX;Vddx=>?1^QT4*JR\=UGSO[I329ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[tY4Wge<=>>_RU3+J423sz~eca in-jjqtXagy#d`{r^ffp`esmmUzS9;{rvmki(af%bby|Pioqw+lhszVnnxhm{ee]r[1Yig}:;<?00]PS5)K]]>T@RLZF218~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX?1^QT4*I5=2p{yd``/hm,mkruW`dxx"gats]gaqcd|lnT}R;=d:xsqlhh'`e$ecz}_hlpp*oi|{Uoiykltdf\uZ3Xff~;<=?=e:xsqlhh'`e$ecz}_hlpp*oi|{Uoiykltdf\uZ3Xff~;<=?>249ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[tY1:m1q|xgao.kl+lhszVcey!fnup\``rbk}ooS|Q9_omw4566:l1q|xgao.kl+lhszVcey!fnup\``rbk}ooS|Q9_omw45669;?0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhRP73f8~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX?Vddx=>?13g8~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX?Vddx=>?1006?vrage$eb!fnup\mkus'`d~RjjtdawaaYvW18o7w~ziom,mj)nf}xTec}{/hlwvZbb|liiiQ~_9]mkq67888n7w~ziom,mj)nf}xTec}{/hlwvZbb|liiiQ~_9]mkq6788;996tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq^;1`>|w}`dd#da iovq[lht|&cexQkeug`p`bXyV3Tbbz?0131a>|w}`dd#da iovq[lht|&cexQkeug`p`bXyV3Tbbz?013265=}x|cec"g`/hlwvZoi{}%bby|Pio]r868582p{yd``/hm,mkruW`dxx"gats]jjZw;<7;m7w~ziom,mj)nf}xTec}{/hlwvZoiWxU8=k5uptkmk*oh'`d~Rgasu-jjqtXagUzS9<;;{rvmki(af%bby|Pioqw+lhszVfnzhbPq=35:72>5uptkmk*oh'`d~Rgasu-jjqtXdl|n`RP170a?vrage$eb!fnup\mkus'`d~Rbjvdn\uZ71Wge<=>>2b9ytpoig&cd#d`{r^kmwq)nf}xT`hxjl^s\53Yig}:;<319ytpoig&cd#d`{r^kmwq)nf}xT`hxjl^s\53Yig}:;<<<>_g717>|w}`dd#da iovq[lht|&cexQcewgo[tY6?;:0v}{fnn-jk*oi|{Ubb~z iovq[ioim5:5><5uptkmk*oh'`d~Rgasu-jjqtXd`dn0<>1239ytpoig&cd#d`{r^kmwq)nf}xT`d`j<02=577;{rvmki(af%bby|Pioqw+lhszVfbbh2>2?00?vrage$eb!fnup\mkus'`d~Rbfnd>26;76:;1q|xgao.kl+lhszVcey!fnup\hlhb4885>??4zqwjjj)ng&cexQfnrv,mkruWecei1?<>308~usnff%bc"gats]jjvr(ag~ySagae=30:4463sz~eca in-jjqtXagy#d`{r^njj`:6<7897w~ziom,mj)nf}xTec}{/hlwvZjnfl6:83?=1:xsqlhh'`e$ecz}_hlpp*oi|{Ugeck314<16>|w}`dd#da iovq[lht|&cexQciog?5086:81q|xgao.kl+lhszVcey!fnup\hlhb48<5>85uptkmk*oh'`d~Rgasu-jjqtXd`dn0<81_RU364=}x|cec"g`/hlwvZoi{}%bby|Plhlf8419::1q|xgao.kl+lhszVcey!fnup\hlhb48=5=<7?32+ISS;{rvmki(af%bby|Pioqw+lhszVfbbh2<>003?vrage$eb!fnup\mkus'`d~Rbfnd>7:77<5uptkmk*oh'`d~Rgasu-jjqtXd`dn080>219ytpoig&cd#d`{r^kmwq)nf}xT`d`j<7<15>|w}`dd#da iovq[lht|&cexQciog?2;7582p{yd``/hm,mkruW`dxx"gats]omkc;?78:7w~ziom,mj)nf}xTec}{/hlwvZjnfl6<2<<=;{rvmki(af%bby|Pioqw+lhszVfbbh28>0310>|w}`dd#da iovq[lht|&cexQciog?3;7Xn<8;7w~ziom,mj)nf}xTec}{/hlwvZjnfl632??4zqwjjj)ng&cexQfnrv,mkruWecei1611328~usnff%bc"gats]jjvr(ag~ySagae=;=64=}x|cec"g`/hlwvZoi{}%bby|Plhlf8<86:=1q|xgao.kl+lhszVcey!fnup\w`tqmmUz0=0=5:xsqlhh'`e$ecz}_hlpp*oi|{Uxixjd^s?5585=2p{yd``/hm,mkruW`dxx"gats]pawpblV{7=<0=5:xsqlhh'`e$ecz}_hlpp*oi|{Uxixjd^s?5785=2p{yd``/hm,mkruW`dxx"gats]pawpblV{7=>0=5:xsqlhh'`e$ecz}_hlpp*oi|{Uxixjd^s?5185=2p{yd``/hm,mkruW`dxx"gats]pawpblV{7=80=5:xsqlhh'`e$ecz}_hlpp*oi|{Uxixjd^s?5385=2p{yd``/hm,mkruW`dxx"gats]pawpblV{7=:0=4:xsqlhh'`e$ecz}_hlpp*oi|{Uxixjd^s?5;433sz~eca in-jjqtXagy#d`{r^qfvsccWx692?:4zqwjjj)ng&cexQfnrv,mkruWzoyzhjPq=1=61=}x|cec"g`/hlwvZoi{}%bby|PsdpuaaYv4=4986tuhll+li(ag~ySd`|t.kmpwYtm{|nhR35?07?vrage$eb!fnup\mkus'`d~R}jrwgg[t:16;>0v}{fnn-jk*oi|{Ubb~z iovq[vcu~lnT}191259ytpoig&cd#d`{r^kmwq)nf}xTh|yee]r8=85<2p{yd``/hm,mkruW`dxx"gats]pawpblV{753<<;{rvmki(af%bby|Pioqw+lhszVyn~{kk_p]366=}x|cec"g`/hlwvZoi{}%bby|PsdpuaaYvW88?7w~ziom,mj)nf}xTec}{/hlwvZubzooS|Q>0368~usnff%bc"gats]jjvr(ag~yS~k}vdf\uZ76:=1q|xgao.kl+lhszVcey!fnup\w`tqmmUzS<<=4:xsqlhh'`e$ecz}_hlpp*oi|{Uxixjd^s\56433sz~eca in-jjqtXagy#d`{r^qfvsccWxU:8?:4zqwjjj)ng&cexQfnrv,mkruWzoyzhjPq^3661=}x|cec"g`/hlwvZoi{}%bby|PsdpuaaYvW8<986tuhll+li(ag~ySd`|t.kmpwYtm{|nhRP1600?vrage$eb!fnup\mkus'`d~R}jrwgg[tY5::1q|xgao.kl+lhszVcey!fnup\w`tqmmUzS><<;{rvmki(af%bby|Pioqw+lhszVyn~{kk_p]766=}x|cec"g`/hlwvZoi{}%bby|PsdpuaaYvW<887w~ziom,mj)nf}xTec}{/hlwvZubzooS|Q9229ytpoig&cd#d`{r^kmwq)nf}xTh|yee]r[2443sz~eca in-jjqtXagy#d`{r^qfvsccWxU3>>5uptkmk*oh'`d~Rgasu-jjqtX{lx}iiQ~_80:?vrage$eb!fnup\mkus'`d~R}jrwgg[tYig}:;<<?0378~usnff%bc"gats]jjvr(a{ojhtQ~_lw{45679;>0v}{fnn-jk*oi|{Ubb~z isgb`|YvWge<=>>249ytpoig&cd#d`{r^kmwq)nzlkouRPnnv345769m1q|xgao.kl+lhszVcey!bari\u9699l1q|xgao.kl+lhszVcey!bari\u97768o0v}{fnn-jk*oi|{Ubb~z m`qh[t:697;n7w~ziom,mj)nf}xTec}{/lcpoZw;9;4:i6tuhll+li(ag~ySd`|t.obwnYv4895=h5uptkmk*oh'`d~Rgasu-nevmXy5;?2e:xsqlhh'`e$ecz}_hlpp*kf{bUz0<911e9ytpoig&cd#d`{r^kmwq)jizaT}1?11e9ytpoig&cd#d`{r^kmwq)jizaT}1<11e9ytpoig&cd#d`{r^kmwq)jizaT}1=11e9ytpoig&cd#d`{r^kmwq)jizaT}1:11e9ytpoig&cd#d`{r^kmwq)jizaT}1;11e9ytpoig&cd#d`{r^kmwq)jizaT}1811e9ytpoig&cd#d`{r^kmwq)jizaT}1911e9ytpoig&cd#d`{r^kmwq)jizaT}1611e9ytpoig&cd#d`{r^kmwq)jizaT}1711b9ytpoig&cd#d`{r^kmwq)jizaT}R>>c:xsqlhh'`e$ecz}_hlpp*kf{bUzS?k;{rvmki(af%bby|Pioqw+hgtcV{T=9?k;{rvmki(af%bby|Pioqw+hgtcV{T=8?k;{rvmki(af%bby|Pioqw+hgtcV{T=;?k;{rvmki(af%bby|Pioqw+hgtcV{T=:?l;{rvmki(af%bby|Pioqw+hgtcV{T>:o6tuhll+li(ag~ySd`|t.obwnYvW<;h7w~ziom,mj)nf}xTec}{/lcpoZwX>8i0v}{fnn-jk*oi|{Ubb~z m`qh[tY09j1q|xgao.kl+lhszVcey!bari\uZ>6k2p{yd``/hm,mkruW`dxx"cnsj]r[<453sz~eca in-jjqtXagy#`o|k^s\jjr789;9?6tuhll+li(ag~ySd`|t.obwnYvWge<=>>1368~usnff%bc"gats]jjvr(ehy`iiQfvdap8479:=1q|xgao.kl+lhszVcey!barif`Zoqmjy7=>0=4:xsqlhh'`e$ecz}_hlpp*kf{booSdxjcr>20;433sz~eca in-jjqtXagy#`o|kdf\mscd{5;>2?:4zqwjjj)ng&cexQfnrv,idulmmUbzhm|<04=66=}x|cec"g`/hlwvZoi{}%fm~ejd^kuafu;97887w~ziom,mj)nf}xTec}{/lcpo`bXaoh1=1229ytpoig&cd#d`{r^kmwq)jizanhRgyebq?2;443sz~eca in-jjqtXagy#`o|kdf\mscd{5=5>>5uptkmk*oh'`d~Rgasu-nevmblVc}in}39?0a?vrage$eb!fnup\mkus'dkxghjPiwg`wZ6Xign;<=>>2b9ytpoig&cd#d`{r^kmwq)jizanhRgyebq\54Yffm:;<=?=c:xsqlhh'`e$ecz}_hlpp*kf{booSdxjcr]27Zgil9:;<<=8;{rvmki(af%bby|Pioqw+hgtclnTe{kls^30[dhc89:;="BZT3]G[GSA:j1q|xgao.kl+lhszVcey!barif`ZoqmjyT=9Qnne234574?2p{yd``/hm,mkruW`dxx"cnsjgg[lpbkzU:8Road12344)K]]8THRLZF3a8~usnff%bc"gats]jjvr(ehy`iiQfvdap[43Xign;<=>>369ytpoig&cd#d`{r^kmwq)jizanhRgyebq\50Yffm:;<=? LTV1[AYE]O8h7w~ziom,mj)nf}xTec}{/lcpo`bXaohR?9_`lg45679;h0v}{fnn-jk*oi|{Ubb~z m`qhaaYn~lixS2p{yd``/hm,mkruW`dxx"cnsjgg[lpbkzU:Sl`k01235*JR\;UOSO[I2c9ytpoig&cd#d`{r^kmwq)jizanhRgyebq\7Zgil9:;<<=9;{rvmki(af%bby|Pioqw+hgtclnTe{kls^1\ekb789::#A[[2^F\FP@5j2p{yd``/hm,mkruW`dxx"cnsjgg[lpbkzU=Sl`k0123560?013,HPR5WMUIYK?0132`>|w}`dd#da iovq[lht|&}iSd`{a^m11>|w}`dd#da iovq[lht|&}iSd`{a^m\jjr789;9:6tuhll+li(ag~ySd`|t.ua[lhsiVeTbbz?013264=}x|cec"g`/hlwvZoi{}%|nR||t^k\mkp6991q|xgao.kl+liXkpi:n6tuhll+li(afUhunQ`r1234Zoi~8i0v}{fnn-jk*ohWjshSb|?012\mkp69>1q|xgao.kl+liXll|bohQncj3b?vrage$eb!fo^ffrlebWhi`=<>>a:xsqlhh'`e$ebQkewk`aZgdc88:>85uptkmk*oh'`eThhxfcd]bgn759&F^X>QK_CWE5d=}x|cec"g`/hm\``pnklUjof?;40a8~usnff%bc"g`_egumfcXija:89Q=1d9ytpoig&cd#daPddtjg`Yfkb;?8R]X00;8~usnff%bc"g`_egumfcXija8=?:4zqwjjj)ng&cdSikyibg\efm49&F^X>QK_CWE5<=}x|cec"g`/hm\``pnklUjof;>189ytpoig&cd#daPddtjg`Yfkb??=45uptkmk*oh'`eThhxfcd]bgn03901q|xgao.kl+liXll|bohQncj515<=}x|cec"g`/hm\``pnklUjof6?1e9ytpoig&cd#daPddtjg`Yfkb2;S^Y?189ytpoig&cd#daPddtjg`Yfkb3=>95uptkmk*oh'`eThhxfcd]bgn?1'E__?RBPBTD2f>|w}`dd#da in]gasodmVkhg48 O0f8~usnff%bc"g`_sgb`Zubdliii2?>0d8~usnff%bc"g`_sgb`Zubdliii2?>2015>|w}`dd#da in]qadbX{lfnoykk<1<06Z@592p{yd``/hm,mjYumhnThbjcugg8584:VM:j6tuhll+li(afUyiljPsdnfgqcc494>>3:=0X[^:$@XZ;_M]AQC423sz~eca in-jkZtbimUxiakltdf?4;>1WZ];#B?j;{rvmki(af%bcR|jae]paicd|ln7==0=0:xsqlhh'`e$ebQ}e`f\w`jbk}oo0<>14001?vrage$eb!fo^pfeaYtmeohxhj311<75Z@5:2p{yd``/hm,mjYumhnThbjcugg8469<8UL=h5uptkmk*oh'`eT~hok_rgoafrbl5;:2?>4zqwjjj)ng&cdSknd^qfh`esmm6:=3:>239ytpoig&cd#daPrdcg[vckmj~nh1?>>53\B7425;26WN;n7w~ziom,mj)ngVxnmiQ|emg`p`b;9;49<6tuhll+li(afUyiljPsdnfgqcc48858<<=;{rvmki(af%bcR|jae]paicd|ln7=?0;1^D16>|w}`dd#da in]qadbX{lfnoykk<00=04Y@9l1q|xgao.kl+liXzlkoS~kcebvf`9746;:0v}{fnn-jk*ohW{ojhR}jldawaa:6;7>:>?5uptkmk*oh'`eT~hok_rgoafrbl5;829?PF308~usnff%bc"g`_sgb`Zubdliii2>3?62[B7b3sz~eca in-jkZtbimUxiakltdf?518582p{yd``/hm,mjYumhnThbjcugg8429<8897w~ziom,mj)ngVxnmiQ|emg`p`b;9=4?=RH=2:xsqlhh'`e$ebQ}e`f\w`jbk}oo0<:140]D5`=}x|cec"g`/hm\v`gcWzoginzjd=36:7621;26:;1q|xgao.kl+liXzlkoS~kcebvf`9726=;TJ?<4zqwjjj)ng&cdSknd^qfh`esmm6:93:>_F3f?vrage$eb!fo^pfeaYtmeohxhj317<14>|w}`dd#da in]qadbX{lfnoykk<04=57473sz~eca in-jkZtbimUxiakltdf?5382:;80v}{fnn-jk*ohW{ojhR}jldawaa:6>7?9SK<=;{rvmki(af%bcR|jae]paicd|ln7=;0:2^E2a>|w}`dd#da in]qadbX{lfnoykk<05=65=}x|cec"g`/hm\v`gcWzoginzjd=34:44582p{yd``/hm,mjYumhnThbjcugg8419=;897w~ziom,mj)ngVxnmiQ|emg`p`b;9>4>>RH=2:xsqlhh'`e$ebQ}e`f\w`jbk}oo0<9153]D5`=}x|cec"g`/hm\v`gcWzoginzjd=3;:4`2<;76m2p{yd``/hm,mjYumhnThbjcugg84?99o1q|xgao.kl+liXzlkoS~kcebvf`97>68;o7w~ziom,mj)ngVxnmiQ|emg`p`b;97;m7w~ziom,mj)ngVxnmiQ|emg`p`b;97;<=k5uptkmk*oh'`eT~hok_rgoafrbl5;5:<<>;{rvmki(af%bcR|jae]paicd|ln7=38>_G02?vrage$eb!fo^pfeaYtmeohxhj31?42[B7a3sz~eca in-jkZtbimUxiakltdf?5;009l1q|xgao.kl+liXzlkoS~kcebvf`94768l0v}{fnn-jk*ohW{ojhR}jldawaa:587;:i6tuhll+li(afUyiljPsdnfgqcc4;;5=k5uptkmk*oh'`eT~hok_rgoafrbl58:2?0>f:xsqlhh'`e$ebQ}e`f\w`jbk}oo0?<110g8~usnff%bc"g`_sgb`Zubdliii2=3?3e?vrage$eb!fo^pfeaYtmeohxhj322<25`=}x|cec"g`/hm\v`gcWzoginzjd=07:4`10;76m2p{yd``/hm,mjYumhnThbjcugg87399o1q|xgao.kl+liXzlkoS~kcebvf`94268;n7w~ziom,mj)ngVxnmiQ|emg`p`b;:?4:j6tuhll+li(afUyiljPsdnfgqcc4;<5=:0>1d9ytpoig&cd#daPrdcg[vckmj~nh1<7>0d8~usnff%bc"g`_sgb`Zubdliii2=8?32a>|w}`dd#da in]qadbX{lfnoykk<3;=5c=}x|cec"g`/hm\v`gcWzoginzjd=0::47c3sz~eca in-jkZtbimUxiakltdf?6;7a3sz~eca in-jkZtbimUxiakltdf?6;7?:91q|xgao.kl+liXzlkoS~kcebvf`94991;9>6tuhll+li(afUyiljPsdnfgqcc4;4:4Rh:1g9ytpoig&cd#daPrdcg[vckmj~nh1<1373e?vrage$eb!fo^pfeaYtmeohxhj32?5366=}x|cec"g`/hm\v`gcWzoginzjd=0=35YT_98n7w~ziom,mj)ngVxnmiQ|emg`p`b;:7=;S^Y?/MWW0ZJXJ\L996tuhll+li(afUyiljPsdnfgqcc4;4<|w}`dd#da in]qadbX{lfnoykk<22=5c=}x|cec"g`/hm\v`gcWzoginzjd=13:47b3sz~eca in-jkZtbimUxiakltdf?7486n2p{yd``/hm,mjYumhnThbjcugg867998n0v}{fnn-jk*ohW{ojhR}jldawaa:468l0v}{fnn-jk*ohW{ojhR}jldawaa:46829<6tuhll+li(afUyiljPsdnfgqcc4:4:4<<=;{rvmki(af%bcR|jae]paicd|ln7?3?7_g72b>|w}`dd#da in]qadbX{lfnoykk<2<024`0:265;2p{yd``/hm,mjYumhnThbjcugg86808VY\PSV2,HPR3WEUIYK<:;{rvmki(af%bcR|jae]paicd|ln7?39?_RU3+J7c3sz~eca in-jkZtbimUxiakltdf?0;7a3sz~eca in-jkZtbimUxiakltdf?0;4?:91q|xgao.kl+liXzlkoS~kcebvf`929:1;9>6tuhll+li(afUyiljPsdnfgqcc4=494Rh:1g9ytpoig&cd#daPrdcg[vckmj~nh1:1333e?vrage$eb!fo^pfeaYtmeohxhj34?635c=}x|cec"g`/hm\v`gcWzoginzjd=6=34463sz~eca in-jkZtbimUxiakltdf?0;16WO8:7w~ziom,mj)ngVxnmiQ|emg`p`b;<7=:SJ?k;{rvmki(af%bcR|jae]paicd|ln793?i;{rvmki(af%bcR|jae]paicd|ln793<7219ytpoig&cd#daPrdcg[vckmj~nh1;129314>|w}`dd#da in]qadbX{lfnoykk<4<1<7453sz~eca in-jkZtbimUxiakltdf?1;4?Wo?:j6tuhll+li(afUyiljPsdnfgqcc4<4?<2:>=1:xsqlhh'`e$ebQ}e`f\w`jbk}oo08080^D15>|w}`dd#da in]qadbX{lfnoykk<4<44ZA6l2p{yd``/hm,mjYumhnThbjcugg8386n2p{yd``/hm,mjYumhnThbjcugg83850;:0v}{fnn-jk*ohW{ojhR}jldawaa:16;2:>=5uptkmk*oh'`eT~hok_rgoafrbl5<5>5<=2:xsqlhh'`e$ebQ}e`f\w`jbk}oo0;0=8^d65c=}x|cec"g`/hm\v`gcWzoginzjd=4=057a3sz~eca in-jkZtbimUxiakltdf?2;17:81q|xgao.kl+liXzlkoS~kcebvf`909?9UM><5uptkmk*oh'`eT~hok_rgoafrbl5<5;=QH1e9ytpoig&cd#daPrdcg[vckmj~nh1911g9ytpoig&cd#daPrdcg[vckmj~nh1912903?vrage$eb!fo^pfeaYtmeohxhj37?0;5764:7>5:;1q|xgao.kl+liXzlkoS~kcebvf`919:1Um9>f:xsqlhh'`e$ebQ}e`f\w`jbk}oo0:080338~usnff%bc"g`_sgb`Zubdliii28>62\B774:26XO8n0v}{fnn-jk*ohW{ojhR}jldawaa:?68l0v}{fnn-jk*ohW{ojhR}jldawaa:?6=;9=6tuhll+li(afUyiljPsdnfgqcc414?=RH=1:xsqlhh'`e$ebQ}e`f\w`jbk}oo050;1^E2`>|w}`dd#da in]qadbX{lfnoykk<8<2b>|w}`dd#da in]qadbX{lfnoykk<8<7577::17XN;;0v}{fnn-jk*ohW{ojhR}jldawaa:>6=;TK<>4zqwjjj)ng&cdS~zm199ytpoig&cd#daPsu`\ip~789::j6tuhll+li(afUxxoQbuy23457XAG\T<<64zqwjjj)ng&cdS~zm_omw4566901q|xgao.kl+liX{}hTbbz?0132b>|w}`dd#da in]ta4g1d9ytpoig&cd#~b`t^azgZoX8Vg~t=>?0308~usnff%bc"}cou]`}fYnW9Ufyu>?01]PS54c3sz~eca in-phjrXkpiTeR>Pmtz3456X[^:$@XZ=_E]AQC463sz~eca in-phjrXkpiTeR?>_lw{4567988h7w~ziom,mj)tdf~TotmPi^32[hs89:;=o5uptkmk*oh'zfdxRmvc^k\54Yj}q:;<=< LTV0[AYE]O;n7w~ziom,mj)tdf~TotmPi^0\ip~789::j6tuhll+li({eeSnwl_h]1[hs89:;=??4zqwjjj)ng&ygcyQlyb]j[7Yj}q:;<=Qi50d8~usnff%bc"}cou]`}fYnW:Ufyu>?01315>|w}`dd#da smmw[fdW`U?Snc_ds34565:2p{yd``/hm,wiisWjshSdQ;_bos[`w789::=h5uptkmk*oh'zfdxRmvc^k\1Zkrp9:;<f:xsqlhh'`e$aa{_b{`[lY0Wds<=>?1008~usnff%bc"ym_`ah[j723sz~eca in-tfZbf|Ve7<3?9;{rvmki(af%|nRjnt^m?5586>2p{yd``/hm,sgYci}Ud03?35?vrage$eb!xb^fbpZi;9=4::6tuhll+li(kUomyQ`<07=53=}x|cec"g`/v`\`drXg5;=2<84zqwjjj)ng&}iSio{_n>23;713sz~eca in-tfZbf|Ve7=50>6:xsqlhh'`e${oQkau]l84?99<1q|xgao.kl+rdXlh~Tc1?1179ytpoig&cd#zlPd`v\k94768<0v}{fnn-jk*qeWmkSb2=1?35?vrage$eb!xb^fbpZi;:;4::6tuhll+li(kUomyQ`<31=53=}x|cec"g`/v`\`drXg58?2<84zqwjjj)ng&}iSio{_n>11;713sz~eca in-tfZbf|Ve7>;0>6:xsqlhh'`e${oQkau]l87199?1q|xgao.kl+rdXlh~Tc1<7>048~usnff%bc"ym_ecw[j:517;>7w~ziom,mj)pjVnjxRa32?35?vrage$eb!xb^fbpZi;;94::6tuhll+li(kUomyQ`<23=50=}x|cec"g`/v`\`drXg595=85uptkmk*oh'~hThlzPo=6=50=}x|cec"g`/v`\`drXg5?5=85uptkmk*oh'~hThlzPo=4=50=}x|cec"g`/v`\`drXg5=5=85uptkmk*oh'~hThlzPo=:=50=}x|cec"g`/v`\`drXg535=95uptkmk*oh'~hThlzPo^220>|w}`dd#da wc]geqYhW8;>7w~ziom,mj)pjVnjxRaP1136?vrage$eb!xb^fbpZiX98;>7w~ziom,mj)pjVnjxRaP1336?vrage$eb!xb^fbpZiX9:;>7w~ziom,mj)pjVnjxRaP1536?vrage$eb!xb^fbpZiX9<;>7w~ziom,mj)pjVnjxRaP1736?vrage$eb!xb^fbpZiX9>;>7w~ziom,mj)pjVnjxRaP1936?vrage$eb!xb^fbpZiX90;?7w~ziom,mj)pjVnjxRaP2078~usnff%bc"ym_ecw[jY588?0v}{fnn-jk*qeWmkSbQ=1078~usnff%bc"ym_ecw[jY5:8?0v}{fnn-jk*qeWmkSbQ=3078~usnff%bc"ym_ecw[jY5<8?0v}{fnn-jk*qeWmkSbQ=5078~usnff%bc"ym_ecw[jY5>8?0v}{fnn-jk*qeWmkSbQ=7078~usnff%bc"ym_ecw[jY508?0v}{fnn-jk*qeWmkSbQ=9068~usnff%bc"ym_ecw[jY49<1q|xgao.kl+rdXlh~TcR=?149ytpoig&cd#zlPd`v\kZ569=1q|xgao.kl+rdXlh~TcR:>4:xsqlhh'`e${oQkau]l[0733sz~eca in-tfZbf|VeT:<:4zqwjjj)ng&}iSio{_n]451=}x|cec"g`/v`\`drXgV2:86tuhll+li(kUomyQ`_83a?vrage$eb!xb^km[qtffzkhxdaa189ytpoig&cd#z|ftd]pescXy5:5=l5uptkmk*oh'~xbxhQ|awg\u97768k0v}{fnn-jk*qua}oTlxj_p>25;7f3sz~eca in-tvlrbWzk}iR313<2e>|w}`dd#da wskwaZuf~lUz0<=11`9ytpoig&cd#z|ftd]pescXy5;?20c8~usnff%bc"y}iug\wdpbWx6:53?6;{rvmki(af%|~dzj_rcuaZw;97;j7w~ziom,mj)pz`~nS~oye^s?6586i2p{yd``/hm,swosmVyjzhQ~<33=5d=}x|cec"g`/vpjp`YtioT}1<=>0c8~usnff%bc"y}iug\wdpbWx69?3?n;{rvmki(af%|~dzj_rcuaZw;:=4:m6tuhll+li({ciR}nvd]r87399h1q|xgao.kl+rtn|lUxm{kPq=05:4g50>a:xsqlhh'`e${g{e^qbr`Yv4;35=45uptkmk*oh'~xbxhQ|awg\u9499h1q|xgao.kl+rtn|lUxm{kPq=13:4g3sz~eca in-tvlrbWzk}iR36?3:?vrage$eb!xrhvf[vgqmV{7;3?6;{rvmki(af%|~dzj_rcuaZw;07;27w~ziom,mj)pz`~nS~oye^s?=;7?3sz~eca in-tvlrbWzk}iRP00:8~usnff%bc"y}iug\wdpbWxU:=45uptkmk*oh'~xbxhQ|awg\uZ77901q|xgao.kl+rtn|lUxm{kPq^325<=}x|cec"g`/vpjp`YtioT}R?=189ytpoig&cd#z|ftd]pescXyV;8=45uptkmk*oh'~xbxhQ|awg\uZ73901q|xgao.kl+rtn|lUxm{kPq^365<=}x|cec"g`/vpjp`YtioT}R?9189ytpoig&cd#z|ftd]pescXyV;<=45uptkmk*oh'~xbxhQ|awg\uZ7?901q|xgao.kl+rtn|lUxm{kPq^3:5==}x|cec"g`/vpjp`YtioT}R<>9:xsqlhh'`e${g{e^qbr`YvW;::56tuhll+li({ciR}nvd]r[77612p{yd``/hm,swosmVyjzhQ~_302=>|w}`dd#da wskwaZuf~lUzS?=>9:xsqlhh'`e${g{e^qbr`YvW;>:56tuhll+li({ciR}nvd]r[73612p{yd``/hm,swosmVyjzhQ~_342=>|w}`dd#da wskwaZuf~lUzS?9>9:xsqlhh'`e${g{e^qbr`YvW;2:56tuhll+li({ciR}nvd]r[7?602p{yd``/hm,swosmVyjzhQ~_23:?vrage$eb!xrhvf[vgqmV{T?=?6;{rvmki(af%|~dzj_rcuaZwX;8;37w~ziom,mj)pz`~nS~oye^s\04>c:xsqlhh'`efi`czx.q3[rdXkpiTc85uptkmk*kbefxr#obrdep[aiXhcSb|?01225<=}x|cec"cjmnpz+wgjzfg$~lc 229ytpoig&gnab|v/scnvjk(zhg$Aob_SCN44443sz~eca mdolv|)uidxda"|nm.OqehYUID:9>>5uptkmk*kbefxr#obrno,vdk(E{kfS_OB1000?vrage$ahc`rx-qehthe&xja"C}al]QEH75::1q|xgao.ofijt~'{kf~bc r`o,IwgjW[KF><<<;{rvmki(elgd~t!}alpli*tfe&Gym`Q]AL0166=}x|cec"cjmnpz+wgjzfg$~lc Mscn[WGJ;8887w~ziom,i`khzp%ym`|`m.pbi*KuidUYM@==149ytpoig&gnab|v/smn[del981q|xgao.rltueXagy=;5uptkmk*tfeV}iSio{_n>3:417:xsqlhh'{kfSzlPd`v\k97668=0v}{fnn-qehYpjVnjxRa313<23>|w}`dd#ob_v`\`drXg5;82<94zqwjjj)uidU|nRjnt^m?5186?2p{yd``/scn[rdXlh~Tc1?:>058~usnff%ym`Qxb^fbpZi;9?4:;6tuhll+wgjW~hThlzPo=34:417:xsqlhh'{kfSzlPd`v\k97>68<0v}{fnn-qehYpjVnjxRa31?34?vrage$~lcPwc]geqYh4;:5=:5uptkmk*tfeV}iSio{_n>15;703sz~eca r`o\sgYci}Ud0?<1169ytpoig&xjaRym_ecw[j:5;7;<7w~ziom,vdkXkUomyQ`<36=52=}x|cec"|nm^ua[agsWf6993?8;{rvmki(zhgT{oQkau]l87099>1q|xgao.pbiZqeWmkSb2=7?34?vrage$~lcPwc]geqYh4;25=:5uptkmk*tfeV}iSio{_n>1=;713sz~eca r`o\sgYci}Ud0?0>7:xsqlhh'{kfSzlPd`v\k95768=0v}{fnn-qehYpjVnjxRa330<22>|w}`dd#ob_v`\`drXg595=;5uptkmk*tfeV}iSio{_n>7:402p{yd``/scn[rdXlh~Tc191179ytpoig&xjaRym_ecw[j:?68<0v}{fnn-qehYpjVnjxRa39?34?vrage$~bcPwc]`}fYnW`d}=55uptkmk*theV}iSnwl_h]jjs76>2p{yd``/smn[rdXlh~Tc1>1169ytpoig&xdaRym_ecw[j:687;<7w~ziom,vjkXkUomyQ`<03=52=}x|cec"|`m^ua[agsWf6:>3?8;{rvmki(zfgT{oQkau]l84599>1q|xgao.pliZqeWmkSb2>4?34?vrage$~bcPwc]geqYh48?5=:5uptkmk*theV}iSio{_n>22;703sz~eca rno\sgYci}Ud0<91169ytpoig&xdaRym_ecw[j:607;<7w~ziom,vjkXkUomyQ`<0;=53=}x|cec"|`m^ua[agsWf6:2<94zqwjjj)ugdU|nRjnt^m?6586?2p{yd``/smn[rdXlh~Tc1<>>058~usnff%yc`Qxb^fbpZi;:;4:;6tuhll+wijW~hThlzPo=00:4190>7:xsqlhh'{efSzlPd`v\k94268=0v}{fnn-qkhYpjVnjxRa327<23>|w}`dd#ab_v`\`drXg58<2<94zqwjjj)ugdU|nRjnt^m?6=86?2p{yd``/smn[rdXlh~Tc1<6>048~usnff%yc`Qxb^fbpZi;:7;<7w~ziom,vjkXkUomyQ`<22=52=}x|cec"|`m^ua[agsWf68=3?9;{rvmki(zfgT{oQkau]l8686>2p{yd``/smn[rdXlh~Tc1:1179ytpoig&xdaRym_ecw[j:268<0v}{fnn-qkhYpjVnjxRa36?35?vrage$~bcPwc]geqYh4>4::6tuhll+wijW~hThlzPo=:=53=}x|cec"|`m^ua[agsWf622i5uptkmk*usija$=?5uptkmk*usija$yolk058~usnff%xxlmd_`]b`at;994::6tuhll+vrfkbUjSljkr=0=53=}x|cec"}{abi\eZgcl{682<84zqwjjj)t|hi`SlQndep?0;713sz~eca suc`oZgXimny080>6:xsqlhh'z~jofQn_`fgv9099?1q|xgao.qwefmXiVkoh28>048~usnff%xxlmd_`]b`at;07;=7w~ziom,wqgdcVkTmij}<8<21>|w}`dd#~zncj]b[`hfjeo::6tuhll+vrfkbUjSknd=2=52=}x|cec"}{abi\eZtbim6:<3?8;{rvmki({}khgRoPrdcg84799>1q|xgao.qwefmXiVxnmi2>2?34?vrage$yolk^c\v`gc4895=:5uptkmk*usijaTmR|jae>20;703sz~eca suc`oZgXzlko0<;1169ytpoig&ymnePa^pfea:6>7;<7w~ziom,wqgdcVkT~hok<05=52=}x|cec"}{abi\eZtbim6:43?8;{rvmki({}khgRoPrdcg84?99?1q|xgao.qwefmXiVxnmi2>>058~usnff%xxlmd_`]qadb;:94:;6tuhll+vrfkbUjSknd=02:41?0>7:xsqlhh'z~jofQn_sgb`94468=0v}{fnn-ppdelWhUyilj325<23>|w}`dd#~zncj]b[wcfl58>2<94zqwjjj)t|hi`SlQ}e`f?6386?2p{yd``/rvbgnYfW{ojh1<8>058~usnff%xxlmd_`]qadb;:14:;6tuhll+vrfkbUjSknd=0::403?8;{rvmki({}khgRoPrdcg86699>1q|xgao.qwefmXiVxnmi2<1?35?vrage$yolk^c\v`gc4:4::6tuhll+vrfkbUjSknd=6=53=}x|cec"}{abi\eZtbim6>2<84zqwjjj)t|hi`SlQ}e`f?2;713sz~eca suc`oZgXzlko0:0>6:xsqlhh'z~jofQn_sgb`9>99?1q|xgao.qwefmXiVxnmi26>058~usnff%xxlmd_`]tvlrb494:46tuhll+vrfkbUjSz|ftd>24;7?3sz~eca suc`oZgX{ci1?>>0:8~usnff%xxlmd_`]tvlrb4885=55uptkmk*usijaTmRy}iug?568602p{yd``/rvbgnYfW~xbxh2>4?3;?vrage$yolk^c\swosm5;>2<64zqwjjj)t|hi`SlQxrhvf8409911q|xgao.qwefmXiV}yeyk316<2<>|w}`dd#~zncj]b[rtn|l6:43?7;{rvmki({}khgRoPwskwa97>68=0v}{fnn-ppdelWhU|~dzj<0<2<>|w}`dd#~zncj]b[rtn|l69<3?7;{rvmki({}khgRoPwskwa9466820v}{fnn-ppdelWhU|~dzj<30=5==}x|cec"}{abi\eZqua}o7>>0>8:xsqlhh'z~jofQn_vpjp`:5<7;37w~ziom,wqgdcVkT{g{e=06:4>4:46tuhll+vrfkbUjSz|ftd>1<;7?3sz~eca suc`oZgX{ci1<6>058~usnff%xxlmd_`]tvlrb4;4:46tuhll+vrfkbUjSz|ftd>04;7?3sz~eca suc`oZgX{ci1=>>058~usnff%xxlmd_`]tvlrb4:4:;6tuhll+vrfkbUjSz|ftd>7:417:xsqlhh'z~jofQn_vpjp`:168=0v}{fnn-ppdelWhU|~dzj<6<23>|w}`dd#~zncj]b[rtn|l632<94zqwjjj)t|hi`SlQxrhvf8<86i2p{yd``/rvbgnYfW~xbxhkaacnf52=}x|cec"}{abi\fZgcl{6:<3?7;{rvmki({}khgRlPaefq846998<0v}{fnn-ppdelWkUjhi|32?34?vrage$yolk^`\eabu4;4:=;5uptkmk*usijaTnRokds>0:416:xsqlhh'z~jofQm_`fgv9299>1q|xgao.qwefmXjVkoh2;>035?vrage$yolk^`\eabu4<4:;6tuhll+vrfkbUiSljkr=7=540058~usnff%xxlmd_c]b`at;?7;::6tuhll+vrfkbUiSljkr=:=52=}x|cec"}{abi\fZgcl{63225;703sz~eca suc`oZdXzlko0<<1169ytpoig&ymnePb^pfea:6;7;<7w~ziom,wqgdcVhT~hok<06=52=}x|cec"}{abi\fZtbim6:93?8;{rvmki({}khgRlPrdcg84099>1q|xgao.qwefmXjVxnmi2>7?34?vrage$yolk^`\v`gc4825=:5uptkmk*usijaTnR|jae>2=;713sz~eca suc`oZdXzlko0<0>7:xsqlhh'z~jofQm_sgb`94768=0v}{fnn-ppdelWkUyilj320<23>|w}`dd#~zncj]a[wcfl5892<94zqwjjj)t|hi`SoQ}e`f?6686?2p{yd``/rvbgnYeW{ojh1<;>058~usnff%xxlmd_c]qadb;:<4:;6tuhll+vrfkbUiSknd=05:41:0>7:xsqlhh'z~jofQm_sgb`94?68=0v}{fnn-ppdelWkUyilj328<22>|w}`dd#~zncj]a[wcfl585=:5uptkmk*usijaTnR|jae>04;703sz~eca suc`oZdXzlko0>?1179ytpoig&ymnePb^pfea:468<0v}{fnn-ppdelWkUyilj34?35?vrage$yolk^`\v`gc4<4::6tuhll+vrfkbUiSknd=4=53=}x|cec"}{abi\fZtbim6<2<84zqwjjj)t|hi`SoQ}e`f?<;713sz~eca suc`oZdXzlko040k;{rvmki(kUjofsO@q01`gc28;=87?51211=1b=:hoh46]=7c82532=93;8??7;d;0baf`<[=h26lkk1:Q7g`<69?9148l51211=1b=:hoo:6]=7c82535=:3;8??7;d;0baa051;0xW71a2h9365;l:0106<2c2;kon45+25d960b33A9m:6`;d186554d?j;0(9mi:37g0>"3l8039o5yT5ag>5<62809=:otS35e>d5?21?h6<=<286g>7gcj01/5:954cf8Rd542;q~8i651:w7`<<73t.3o54k2:&74=<73->;:7<;>{I;40>">?;0:=;j4Z373>6}>03326495}oc00?6<#0n;6=4+8bf9=f>5<#0jn15n64n9a`>2=<#0n86=4+8bf9=f>5<#0jn15n64n9a`>0=<#0i?6=4+8bf9=f>5<#0jn15n64n9a`>6=<#0i=6=4+8bf9=f>5<#0jn15n64n9a`>4=<#0i26=4+8bf9=f>5<#0jn15hj4n9a`>0=<#0o26=4+8bf9=`b5<#0jn15hj4n9a`>c=<#0oj6=4+8bf9=`b54+8ge>5<#0jn15hj4n9a`>`=<#0oi6=4+8bf9=`b5<#0jn15hj4n9a`>a=<#0oh6=4+8bf9=`b5<#0jn15hj4n9a`>f=<#0on6=4+8bf9=`b5<#0jn15hj4n9a`>g=<#0l86=4+8bf9=`b5<#0jn15hj4n9a`><=<#0l>6=4+8bf9=`b5<#0jn15hj4n9a`>2=<#0l<6=4+8bf9=`b5<<#0i96=4+8bf9=f>5<#0jn15n64n9a`>`=<#0ii6=4+8bf9=f>5<#0jn15n64n9a`>f=<#0io6=4+8bf9=f>5<#0jn15n64n9a`>d=<#0im6=4+8bf9=f>5<6=44i9d0>5<5<6=44+8:;>5<#0jn15594n9a`>5=<#02=6=4+8bf9==15<#0jn15594n9a`>7=<#02?6=4+8bf9==154+8:0>5<#0jn15594n9a`>1=<#0296=4+8bf9==15<#0jn15594n9a`>3=<#03;6=4+8bf9==15<#0jn15594n9a`>==<#02n6=4+8bf9==15<#0jn15594n9a`>d=<#02h6=4+8bf9==15<#0jn15594n9a`>f=<#02j6=4+8bf9==15<#0jn15594n9a`>`=<#02:6=4+8bf9==14<6290;w)782;665>Nf9m1C5::4$`11>d5>3->i4717f8k12b290/m><584;8?xdf9o0:6<4?:1y'=24=1>?0Dl?k;I;40>"f;;039:5+4c:96ad>3gk887<4$81:>471l2e39l4?:%c06?>2121vn"3>h087E6jd:&7f=<5lk30bl=;:29'=6?=9j827d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb31b6?7=03:1"3j109ho74n`17>1=#1:31>?8=;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f6b0=3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532<1/5>753e53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj:n;47?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb2f3=?7=>3:1"3j109ho74n`17>2=#1:31?lk9;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n:87?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb2f2b?7=>3:1"3j109ho74n`17><=#1:31?lk9;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n9>7?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb2f17?7=>3:1"3j109ho74n`17>g=#1:31?lk9;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n987?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb2f11?7=>3:1"3j109ho74n`17>a=#1:31?lk9;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n9:7?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb2f13?7=>3:1"3j109ho74n`17>c=#1:31?lk9;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n;m7?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?4$81:>6gb>2c99?4?:%c06?41=21b>5j50;&b77<3=l10c5j6:18'e64=0<307d<:f;29 d552;?m76g7d183>!g4:339565f26c94?"f;;03ik54}c1g4g<62?0;6=u+9609077<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?763-3857=ne79j604=83.j??4=6498m7>c290/m><544g8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n0m:1<7*n338:6<=;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>2:&:7<<4il<0e?;=:18'e64=:??07d<7d;29 d552=?n76a7d883>!g4:32>565f24d94?"f;;099k54i9f3>5<#i:815?74;h04e?6=,h9965ki;:a7a6c280=6=4?{%;46?2592Bj=i5G9668 d552=8m7):9a;18L=cc3->i47753`g5?l42:3:1(l==:346?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11><4>32c9;l4?:%c06?>bn21vn>j?e;392?6=8r.2;?4;209Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:068 <5>2:kn:6g=5383>!g4:38=965f29f94?"f;;0?9h54o9f:>5<#i:814874;h06b?6=,h996?;i;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg5c8o0:6;4?:1y'=24=<;;0Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3;>7)7<9;1ba3=n:<81<7*n338120=5$`11>=3>32c99k4?:%c06?42n21b4i>50;&b77<>:010e?9n:18'e64=0ll07pl5G8df8 1d?2;ni56`n35822>">;008mh84i371>5<#i:81>;;4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m=b7290/m><593;8?l40i3:1(l==:9ge?>{e;m;:6<49:183!?0:3>9=6Fn1e9K=22<,h99691=:5+92;97dc13`8>>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb2f26?7=>3:1"3j109ho74n`17>4><,0926>oj6:k117<72-k8>7<95:9j6=b=83.j??4;5d98k=b>290/m><584;8?l42n3:1(l==:37e?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=4>:783>5}#1>818??4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887?6;%;0=?5fm?1b>8<50;&b77<5><10e?6k:18'e64=<!g4:38>j65f8e294?"f;;02>454i35b>5<#i:814hh4;|`0`43=93<1<7>t$851>1463Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6i2.2?44<52778?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n:5$`11>=ca32wi?i?9:085>5<7s-3<>7:=1:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:51c9'=6?=;ho=7d<:2;29 d552;<>76g=8e83>!g4:3>>i65`8e;94?"f;;039454i37e>5<#i:81>8h4;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f6b6?3;1:7>50z&:37<3:81Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328i0(4=6:2cf2>o5=;0;6)o<2;051>=n:1n1<7*n33871`=j7>5$`11>73a32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54338Ld7c3A3<86*n33876c=#=:mh27co<4;3g?!?4139ji;5f24094?"f;;09:854i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j<58dd8?xd4l831=7850;2x <152=8:7Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:i6*63880e`05$`11>13b32e3h44?:%c06?>2121b>8h50;&b77<5=o10e5j?:18'e64=1;307d<8a;29 d5521om76sm3e3b>4<1290;w)782;615>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa2695c=#1:31?lk9;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n:n7?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?4$81:>6gb>2c99?4?:%c06?41=21b>5j50;&b77<3=l10c5j6:18'e64=0<307d<:f;29 d552;?m76g7d183>!g4:339565f26c94?"f;;03ik54}c1g5f<62?0;6=u+9609077<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?463-3857=ne79j604=83.j??4=6498m7>c290/m><544g8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n0m:1<7*n338:6<=;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94=2:&:7<<4il<0e?;=:18'e64=:??07d<7d;29 d552=?n76a7d883>!g4:32>565f24d94?"f;;099k54i9f3>5<#i:815?74;h04e?6=,h9965ki;:a7a7b280=6=4?{%;46?2592Bj=i5G9668 d552=8m7):9a;18L=cc3->i47753`g5?l42:3:1(l==:346?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11><4>32c9;l4?:%c06?>bn21vn>j=0;392?6=8r.2;?4;209Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:368 <5>2:kn:6g=5383>!g4:38=965f29f94?"f;;0?9h54o9f:>5<#i:814874;h06b?6=,h996?;i;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg5c:80:6;4?:1y'=24=<;;0Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<38>7)7<9;1ba3=n:<81<7*n338120=5$`11>=3>32c99k4?:%c06?42n21b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n35812>">;008mh84i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a7fea280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i471/5>753`g5?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>="3>h087E6jd:&7f=<5lk30bl=;:3:8 <5>2;o;46g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3il6;oc00?4>3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94=a:&:7<<5:?80e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm22f6>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa2696g=#1:31>?8=;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f75c>3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532;i0(4=6:3056>o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887;1b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=3b:95?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n3581a>">;009>;<4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a66e>28036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47752341?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;9h>7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?>4$81:>741:2c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo<=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;12?!?41389:?5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`172e=93<1<7>t$851>1463Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<4:2.2?44=34g8m735290/m><52778?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n:5$`11>=ca32wi>>o>:085>5<7s-3<>7:=4:Jb5a=O1>>0(l==:50e?!21i32?7):m8;0gf<=ii:>1?>5+92;967053`8>>7>5$`11>70232c94i4?:%c06?22m21b4i>50;&b77<>:010c5j6:18'e64=0<307d<:f;29 d552;?m7E783:9j<``=83.j??47eg9K=25<3th8h5?51;:94?6|,0=969<7;Ic2`>N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94<4:&:7<<4l>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa26970=#1:31>?8=;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f6eb?3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532:<0(4=6:2cf2>o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887=8;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0415=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<402.2?44=e1:8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?=:7:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5389'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f66313;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532:k0(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;1a?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd48=h1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=08o6*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa2697a=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj::857?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?k4$81:>7c702c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c137d<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?5a3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=l51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94;0:&:7<<5m920e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a755d280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>>"3>h087E6jd:&7f=<5lk30bl=;:508 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg57;l0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3>87)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<02d95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n35870>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;9>;6<49:183!?0:3>9?6Fn1e9K=22<,h99691885+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2275?7=>3:1"3j109ho74n`17>10<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887:8;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0412=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<302.2?44=e1:8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?=:::085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5489'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f663>3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532=k0(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;6a?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd48:=1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0?o6*63881a5>5$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi??;?:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:54e9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f64293;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532=o0(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;6e?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4:?=1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0><6*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa26914=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:8=n7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?7c702c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c112f<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?343-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=;j51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94:4:&:7<<5m920e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a770b280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn><9f;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:448 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg55=;0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3?<7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<24195?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n3586<>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;;??6<49:183!?0:3>9?6Fn1e9K=22<,h99691945+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2061?7=>3:1"3j109ho74n`17>0g<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887;m;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0601=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<2k2.2?44=e1:8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi??;7:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:55e9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f64213;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;7e?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4:d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0=<6*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa26924=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:8>i7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?7c702c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c111c<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?043-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=;>51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?9494:&:7<<5m920e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a7706280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47<1/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn><92;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:748 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg55>:0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3<<7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<27695?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n3585<>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;;<>6<49:183!?0:3>9?6Fn1e9K=22<,h99691:45+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2052?7=>3:1"3j109ho74n`17>3g<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk8878m;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`063?=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<1k2.2?44=e1:8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?=9>:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:56e9'=6?=:l:37d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb2246?7=03:1"3j109ho74n`17>3c<,0926?k?8:k117<72-k8>7<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg57?>0:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<35$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9480:&:7<<5m920e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm315:>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa26934=#1:31>h>7;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f660i3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532>80(4=6:3g3<>o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8879<;%;0=?4b811b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl<06a95?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n35840>">;009i=64i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a751c28036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47752d2;?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj::?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?7c702c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo=?7282>=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;54?!?4138n<55f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`0422=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<002.2?44=e1:8m735290/m><52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e;9=>6<47:183!?0:3>996Fn1e9K=22<,h99641;45+92;96`6?3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn>>86;39"3>h087E6jd:&7f=<5lk30bl=;:6c8 <5>2;o;46g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?1e3-3857c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd487Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=05$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi??7>:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57e9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f663l3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532>o0(4=6:3g3<>o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8879i;%;0=?4b811b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl<04195?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358;4>">;009i=64i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a753328036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47752d2;?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj::>97?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?7c702c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo=?5782>=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;:0?!?4138n<55f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`0401=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e;9?36<47:183!?0:3>996Fn1e9K=22<,h99641485+92;96`6?3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn>>:9;39"3>h087E6jd:&7f=<5lk30bl=;:948 <5>2;o;46g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?>03-3857c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd48=l1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0346*63881a5>5$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi?=;?:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5889'=6?=:l:37d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb2265?7=03:1"3j109ho74n`17>=g<,0926?k?8:k117<72-k8>7<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg57=;0:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<32i7)7<9;0f4==n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?947c:&:7<<5m920e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a753d280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>>:d;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:9g8 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg57=l0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<32m7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<04d95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358:4>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;9<;6<49:183!?0:3>9?6Fn1e9K=22<,h996915<5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2255?7=>3:1"3j109ho74n`17><4<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk8877<;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`042`=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<><2.2?44=e1:8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?=6?:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5949'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f66?93;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5320<0(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;;4?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd48191=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0246*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269=<=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:8;=7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?7c702c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c114f<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00??e3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=<951;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?946c:&:7<<5m920e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a777f280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn><>b;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:8g8 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg559j0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<33m7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<20f95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358b4>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;;;n6<49:183!?0:3>9?6Fn1e9K=22<,h99691m<5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb202b?7=>3:1"3j109ho74n`17>d4<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887o<;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0655=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi??>;:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5a49'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f647=3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532h<0(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;c4?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4:9=1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0j46*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269e<=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:8;57?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?7c702c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c114d<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?ge3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<==l51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94nc:&:7<<5m920e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a776c280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>"3>h087E6jd:&7f=<5lk30bl=;:`g8 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg558o0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3km7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<20295?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358a4>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;;;:6<49:183!?0:3>9?6Fn1e9K=22<,h99691n<5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2026?7=>3:1"3j109ho74n`17>g4<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=4>:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887l<;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0642=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi???::085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5b49'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f646>3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532k<0(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;`4?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4:831=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0i46*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269f<=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f653i3;147>50z&:37<3:h1Cm"3j109ho74n`17>gg<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l?5>3:1(l==:862?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=6b=83.j??463e9K=25<3th8?9l51;:94?6|,0=969N>?=1/m><593`8 10f21>0(9l7:3fa=>hf;=0in6*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21b5?850;&b77<><810c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`38h7>5$`11><5c3A32;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h;12?6=,h9964:>;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb71<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n1;<1<7*n338:04=5$`11><4>3A3=;e;39"3>h0386*;b981`g?7c702c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<:76a7d883>!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5>j50;&b77<>;m1C5:=4;|`071`=9321<7>t$851>14f3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n358ab>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j=70=83.j??464098k=b>290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77=zj:9><7?58;294~">?;0?>l5Ga0f8L<133-k8>77=b:&72do5=;0;6)o<2;051>=n:5$`11><2632e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1:n1<7*n338:7a=O1>907pl<35:95?>=83:p(49=:50b?Mg6l2B2;95+a209=7d<,=7be12dj?94l1:&:7<<5m920e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d750z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532j80(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;a0?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd480>1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h86*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g0=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj::2:7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?7c702c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c13=2<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e03-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94l8:&:7<<5m920e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a75?>280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>>6a;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:bc8 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg571k0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3ii7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<09a95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358`g>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;92o6<49:183!?0:3>9?6Fn1e9K=22<,h99691oi5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb22;a?7=>3:1"3j109ho74n`17>fc<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887mi;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`04<6=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?=7>:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5d09'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f66>:3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532m80(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;f0?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd481>1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0o86*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269`0=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj::3:7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?7c702c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c11=5<6210;6=u+9609073<@h;o7E784:&b77<>:k1/8;o53:J;aa=#il6;oc00?b03-3857c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd49ol1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0o46*63881a5>5$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi?9jl:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5d89'=6?=;:io7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f62c13;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532mk0(4=6:21``>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54368Ld7c3A3<86*n33876c=#3gk887jm;%;0=?45>;1b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k5G9618?l>bn3:1(l==:9ge?M?0;21vn>=;0;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:ea8 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg54<80:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3no7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<35095?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358ga>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;:>86<49:183!?0:3>9?6Fn1e9K=22<,h99691hk5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2170?7=>3:1"3j109ho74n`17>`6<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887k>;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0710=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?>:8:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e29'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f654n3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532l>0(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b77=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;g6?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`0432=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb712.2?44=e1:8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e;9<26<47:183!?0:3>9:6Fn1e9K=22<,h99641i:5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn>>9a;39"3>h087E6jd:&7f=<5lk30bl=;:d:8 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?c>3-3857<524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd48?i1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0nm6*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi?=8k:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5ec9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb225a?7=03:1"3j109ho74n`17>`e<,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg57>o0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3oo7)7<9;0f4==n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=51;:94?6|,0=969<9;Ic2`>N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94je:&:7<<5m920e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm3146>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269ac=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f661>3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532o:0(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887h>;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl<07:95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358e6>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a7636280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>=:2;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:g68 <5>2;o;46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg54=k0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3l>7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<34a95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358e2>">;009i=64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;:?o6<49:183!?0:3>9?6Fn1e9K=22<,h99691j:5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb216a?7=>3:1"3j109ho74n`17>c><,0926?k?8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887h6;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0736=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?>8>:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5fc9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f651:3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532oi0(4=6:3g3<>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;dg?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4;<>1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0mi6*63881a5>j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269bc=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:9>:7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g??;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0701=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6881/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>=:8;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:021?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4;<31=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:<>5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb216e?7=>3:1"3j109ho74n`17>4633-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>049'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f655i3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328:=7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<33`95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358242=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:99o7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?7;%;0=?4b811b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`077b=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6801/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>==e;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:02b?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4;;l1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2131?7=>3:1"3j109ho74n`17>46d3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=:>51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>0e9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f64093;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328:n7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<26a95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n35824c=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:83;7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`06=g=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6981/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn><7b;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:031?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4:1i1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:=>5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb20;`?7=>3:1"3j109ho74n`17>4733-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=5k51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>149'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f64?n3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328;=7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<26095?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358252=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:8?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0622=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6901/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn><85;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:03b?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4:><1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:=o5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2043?7=>3:1"3j109ho74n`17>47d3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=:651;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>1e9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f64013;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328;n7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<26c95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n35825c=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:8?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`062b=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6:81/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn><8e;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:001?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4:>l1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:>>5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb20;4?7=>3:1"3j109ho74n`17>4433-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=5?51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>249'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f64?:3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd53288=7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<29195?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358262=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:8387?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`06=3=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6:01/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn><76;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:00b?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4:121=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:>o5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb20;=?7=>3:1"3j109ho74n`17>44d3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94>2e9'=6?=:l:37d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb212e?7=>3:1"3j109ho74n`17>44b3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>2g9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f65583;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd53289;7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<33395?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358274=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:99>7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0775=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6;:1/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>==4;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:017?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4;;?1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:?85+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2112?7=>3:1"3j109ho74n`17>4513-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>369'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f656k3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328937)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<30f95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n35827<=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:9:i7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`074`=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6;k1/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>=?6;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:01`?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4;8:1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:?i5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2121?7=>3:1"3j109ho74n`17>45b3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>3g9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f656?3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328>;7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<30:95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358204=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:9:57?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0751=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6<:1/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>=?8;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:067?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4;931=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:885+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb213e?7=>3:1"3j109ho74n`17>4213-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>469'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f657k3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328>37)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<31f95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n35820<=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:9;i7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`075`=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>=>1;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:06`?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4;881=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:8i5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2127?7=>3:1"3j109ho74n`17>42b3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>4g9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f62cn3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd5328?;7)7<9;10ga=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94>509'=6?=:;<97d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb312f?7=>3:1"3j109ho74n`17>4353-3857<=639j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94>529'=6?=:;<97d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb2104?7=>3:1"3j109ho74n`17>4333-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=?51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>549'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f654>3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328?=7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<32595?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358212=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:9847?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`076?=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6=01/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>="3>h087E6jd:&7f=<5lk30bl=;:07b?!?4138n<55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4;:h1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:9o5+92;96`6?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb210g?7=>3:1"3j109ho74n`17>43d3-3857<524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=j51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>5e9'=6?=:l:37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f654:3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328?n7)7<9;0f4==n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl<32195?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n35821c=#1:31>h>7;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:9887?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0763=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6>81/5>752d2;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>j;9;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:041?!?4139ji;5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4l=k1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0::>5+92;97dc13`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2f61?7=>3:1"3j109ho74n`17>4033-3857=ne79j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>649'=6?=;ho=7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f6b1;3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328<=7)7<9;1ba3=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl5G8df8 1d?2;ni56`n358222=#1:31?lk9;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n=97?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0`30=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6>01/5>753`g5?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>j97;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:04b?!?4139ji;5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4l?21=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0::o5+92;97dc13`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2f7f?7=>3:1"3j109ho74n`17>40d3-3857=ne79j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>6e9'=6?=;ho=7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f6b3l3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd53285$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl5G8df8 1d?2;ni56`n35822c=#1:31?lk9;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n?j7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0`06=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6?81/5>753`g5?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>j:1;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:051?!?4139ji;5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4l<81=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:;>5+92;97dc13`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2f67?7=>3:1"3j109ho74n`17>4133-3857=ne79j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>749'=6?=;ho=7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f6b2>3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328==7)7<9;1ba3=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl5G8df8 1d?2;ni56`n358232=#1:31?lk9;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n>47?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0`0?=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6?01/5>753`g5?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>j:a;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:05b?!?4139ji;5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4ld:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:;o5+92;97dc13`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2f6g?7=>3:1"3j109ho74n`17>41d3-3857=ne79j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>7e9'=6?=;ho=7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f6b2m3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328=n7)7<9;1ba3=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl5G8df8 1d?2;ni56`n35823c=#1:31?lk9;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:n==7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0`34=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6081/5>753`g5?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>l?d;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:0:1?!?4139ji;5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4j881=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:4>5+92;97dc13`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2`27?7=>3:1"3j109ho74n`17>4>33-3857=ne79j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>849'=6?=;ho=7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f6d6=3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd53282=7)7<9;1ba3=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl5G8df8 1d?2;ni56`n3582<2=#1:31?lk9;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:h:;7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0f4>=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6001/5>753`g5?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>l?6;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:0:b?!?4139ji;5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4j9=1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:4o5+92;97dc13`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2`33:1"3j109ho74n`17>4>d3-3857=ne79j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>8e9'=6?=;ho=7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f6d7i3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd53282n7)7<9;1ba3=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl5G8df8 1d?2;ni56`n35827<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:h;o7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0f5c=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6181/5>753`g5?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn>l?f;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:0;1?!?4139ji;5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4j8:1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:5>5+92;97dc13`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2`25?7=>3:1"3j109ho74n`17>4?33-3857=ne79j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>949'=6?=;ho=7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f6ec<3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd53283=7)7<9;1ba3=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=34d95?0=83:p(49=:502?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n3582=2=#1:31>>;j;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:>o47?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`237g=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<6101/5>75174;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8k2<7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2e=`=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<61k1/5>751`:5?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn"3>h087E6jd:&7f=<5lk30bl=;:0;`?!?413;j4;5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6i021=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:5i5+92;95d>13`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0c:3?7=03:1"3j109ho74n`17>4?b3-3857?n879j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd6i0l1=7650;2x <152=8j7Eo>d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb71<61o1/5>751`:5?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>;m0;6)o<2;;0`>N>?:10qo?na682>=<729q/5:<54358Ld7c3A3<86*n338:6g=#=:mh27co<4;3b4>">;00:m584i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:a5d?628036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887?n2:&:7<<6i1<0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5d?e280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b77=<729q/5:<54358Ld7c3A3<86*n338:6g=#=:mh27co<4;3b0>">;00:m584i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:a5d>0280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;3b2>">;00:4h:4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e91oo6<49:183!?0:3>9?6Fn1e9K=22<,h99691=l94$81:>4>b<2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3;af<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?7f02.2?44>8d68m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=5h9:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:51`;8 <5>282n86g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg7?n<0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3;jm6*63882<`2j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi=5hj:08;>5<7s-3<>7:=a:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>1=ll4$81:>4>b<2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<:76a7d883>!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5>j50;&b77<>;m1C5:=4;|`2=50=9321<7>t$851>1403Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<6ij1/5>7519g7?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=zj82nj7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>8gc95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n3582e`=#1:31=5k;;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj82m57?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2=57=9321<7>t$851>1403Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<6j91/5>7519g7?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=zj82n97?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?;%;0=?7?m=1b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`22d>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582f7=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;66:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695g5<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?i36<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51c68 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g5=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582f0=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7;:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695g0<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n86<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51c58 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22gc=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582f==#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7i:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695g?<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?nn6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51cc8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22f7=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582fg=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;o>:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695ge<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?o:6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51cf8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22f4=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582f`=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;o=:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695g`<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?o96<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51b28 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22f5=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582g4=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;o<:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695f4<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?o86<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51b18 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22f2=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582g1=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;o;:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695f3<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?o?6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51b48 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22f3=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582g2=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;o::087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695f><,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?o>6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51b;8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22f0=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582gd=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;o9:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695fd<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?o=6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51ba8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22f1=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582ga=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;o8:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695fc<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?o<6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51bd8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22d?=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582`5=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;6n:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695a7<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?i26<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51e08 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22dg=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582`6=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;6m:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695a2<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?ij6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51e78 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22dd=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582`3=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;6l:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695a1<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?ii6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51e:8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22de=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582`<=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;6k:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695ag<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?ih6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51e`8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22db=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582`f=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;6j:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695ab<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?io6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51eg8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22dc=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582`c=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;6i:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695`6<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?in6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51d38 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22d`=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582a7=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7?:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695`5<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?im6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51d68 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g6=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582a0=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7>:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695`0<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n;6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51d58 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g7=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582a==#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7=:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695`?<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n:6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51dc8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g4=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582ag=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7<:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695`e<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n96<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51df8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g2=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582a`=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7::087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695``<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n?6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51g28 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g3=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582b4=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;79:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695c4<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n>6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51g18 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g0=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582b1=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;78:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695c3<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n=6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51g48 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g1=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582b2=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;77:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695c><,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n<6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51g;8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582bd=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;76:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695cd<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n36<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51ga8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g?=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n3582ba=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7n:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695cc<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?n26<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51gd8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22gg=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n358145=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7m:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269657<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?nj6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:52108 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22gd=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n358146=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7l:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269652<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?ni6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:52178 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22ge=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n358143=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7k:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269651<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?nh6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:521:8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22gb=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n35814<=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;7j:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26965g<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?no6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:521`8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22g`=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n35814f=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;o?:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26965b<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9?nm6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:521g8 <5>28<=46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`22f6=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n35814c=#1:31=;87;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=;k?:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269646<,0926<898:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd4<931=79<:183!?0:3>o?6Fn1e9K=22d7=kk0j>7s+2439712h?l;0:7c6k3;28L<0f3-k8>7:k3:&2g6<>:h1/8o652e`:?kg4<38:=6*638807fb5<5a93:17d6i2;29?l7183:1(5mk:07e?k>dk3:0(:=n:01f?k1413;:76g>5d83>!>dl3;>j6`7cb82?!14i3;8i6`838824>=n96*83`827`=i?:31j65f14a94?"?km0:9k5a8ba97>"0;h0:?h5a72;9a>=n9"0;h0:?h5a72;9g>=n9<31<7*7ce821c=i0ji1:6*83`827`=i?:31n65f14:94?"?km0:9k5a8ba93>"0;h0:?h5a72;9e>=n9?31<7*7ce821c=i0ji146*83`827`=i?:31565f17:94?"?km0:9k5a8ba9=>"0;h0:?h5a72;9<>=n9?=1<7*7ce821c=i0ji1m6*83`827`=i?:31;65f17494?"?km0:9k5a8ba9f>"0;h0:?h5a72;92>=n9??1<7*7ce821c=i0ji1o6*83`827`=i?:31965f17694?"?km0:9k5a8ba9`>"0;h0:?h5a72;90>=n9?91<7*7ce821c=i0ji1i6*83`827`=i?:31?65f17094?"?km0:9k5a8ba9b>"0;h0:?h5a72;96>=n9?;1<7*7ce821c=i0ji1==5+72c956c926<54i074>5<#0jn1=8h4n9a`>47<,>9j6<=j;o50=?6<3`;m<7>5$9ag>4ca3g2ho7>4$61b>4b63g=857?>;:k2a`<72-2hh7?jf:l;gf<63-=8m7?k1:l47<<6821b=hj50;&;ga<6mo1e4nm52:&47d<6l81e;>75f:9j5`e=83.3oi4>eg9md09m36?=m21b=hl50;&;ga<6mo1e4nm54:&47d<6l81e;>75d:9j5`g=83.3oi4>eg9md09m36?=k21b=h750;&;ga<6mo1e4nm56:&47d<6l81e;>75b:9j5`>=83.3oi4>eg9md09m36?=i21b=k750;&;ga<6mo1e4nm58:&47d<6l81e;>759:9j5c>=83.3oi4>eg9md09m36?=021b=k950;&;ga<6mo1e4nm5a:&47d<6l81e;>757:9j5c0=83.3oi4>eg9md09m36?=>21b=k;50;&;ga<6mo1e4nm5c:&47d<6l81e;>755:9j5c2=83.3oi4>eg9md09m36?=<21b=k=50;&;ga<6mo1e4nm5e:&47d<6l81e;>753:9j5c4=83.3oi4>eg9md09m36?=:21b=k?50;&;ga<6mo1e4nm5119'36g=9m;0b:=6:098m4c0290/4nj51dd8j=ed28;0(:=n:0f2?k1413:07d<>8;29 =ec2;;<7c6lc;28 25f28lh7c9<9;32?>o59?0;6)6ld;023>h?kj0:7)9h0;00:<65f20794?"?km09=:5a8ba96>"0;h0:jn5a72;9b>=n:8>1<7*7ce8152=i0ji1?6*83`82bf=i?:31i65f20194?"?km09=:5a8ba90>"0;h0:jn5a72;9`>=n:881<7*7ce8152=i0ji196*83`82bf=i?:31o65f20394?"?km09=:5a8ba92>"0;h0:jn5a72;9f>=n:8:1<7*7ce8152=i0ji1;6*83`82bf=i?:31m65f23394?"?km09=:5a8ba9<>"0;h0:jn5a72;9=>=n:;:1<7*7ce8152=i0ji156*83`82bf=i?:31465f20d94?"?km09=:5a8ba9e>"0;h0:jn5a72;93>=n:8o1<7*7ce8152=i0ji1n6*83`82bf=i?:31:65f20f94?"?km09=:5a8ba9g>"0;h0:jn5a72;91>=n:8i1<7*7ce8152=i0ji1h6*83`82bf=i?:31865f20`94?"?km09=:5a8ba9a>"0;h0:jn5a72;97>=n:8k1<7*7ce8152=i0ji1j6*83`82bf=i?:31>65f20;94?"?km09=:5a8ba955=#?:k1=km4n61:>4=4`d3g=857>4;n:ab?6=,1io65lj;o:`g?6<,>9j65;n;o50=?4432e3ni4?:%:``?>em2d3on4>;%50e?>2i2dei3:1(5mk:9`f?k>dk3>0(:=n:97b?k1413;m76a7b883>!>dl32ii6`7cb86?!14i32>m6`83882a>=h0k=1<7*7ce8;f`=i0ji1:6*83`8;1d=i?:31=i54o9`5>5<#0jn14ok4n9a`>2=#?:k148o4n61:>4e<3f2i97>5$9ag>=db3g2ho764$61b>=3f3g=857?m;:m;f1<72-2hh76me:l;gf<>3-=8m76:a:l47<<6i21d4o=50;&;ga751898k=d5290/4nj58cg8j=ed2k1/;>o584c8j25>28207b6m1;29 =ec21hn7c6lc;a8 25f21?j7c9<9;34?>i?j90;6)6ld;:aa>h?kj0o7)9h0;00::65`8`d94?"?km03nh5a8ba9a>"0;h039l5a72;950=926<:4;n:bg?6=,1io65lj;o:`g?773-=8m76:a:l47<<6;21d4ll50;&;gafi3:1(5mk:9`f?k>dk3;97)9h0;00:=65`8`;94?"?km03nh5a8ba956=#?:k148o4n61:>46<3f2j47>5$9ag>=db3g2ho7?;;%50e?>2i2dm6`8388a?>i?i:0;6)6ld;:aa>h?kj0:56*83`8;1d=i?:31m65`8b494?"?km03nh5a8ba95d=#?:k148o4n61:><=6=4+8bf9=3f3g=85764;n:`0?6=,1io65lj;o:`g?7d3-=8m76:a:l47<<032e3o>4?:%:``?>em2d3on4>d:&47d756:9lo584c8j25>2<10c5m>:18'd83:1(5mk:9`f?k>dk38;7)9h0;00876a7b983>!>dl32ii6`7cb815>"0;h039l5a72;96>=h0hn1<7*7ce8;f`=i0ji1>?5+72c9<0g926<54o9c1>5<#0jn14ok4n9a`>75<,>9j65;n;o50=?6<3f88i7>5$9ag>75c3g2ho7>4$61b>7453g=857?>;:m17f<72-2hh7<>l50;&;ga<5;m1e4nm52:&47d<5:;1e;>75f:9l66g=83.3oi4=3e9m>750;&;ga<5;m1e4nm54:&47d<5:;1e;>75d:9l66>=83.3oi4=3e9m>950;&;ga<5;m1e4nm56:&47d<5:;1e;>75b:9l660=83.3oi4=3e9m9950;&;ga<5;m1e4nm58:&47d<5:;1e;>759:9l610=83.3oi4=3e9m9;50;&;ga<5;m1e4nm5a:&47d<5:;1e;>757:9l612=83.3oi4=3e9m21d>9=50;&;ga<5;m1e4nm5c:&47d<5:;1e;>755:9l614=83.3oi4=3e9m9?50;&;ga<5;m1e4nm5e:&47d<5:;1e;>753:9l616=83.3oi4=3e9m>h50;&;ga<5;m1e4nm5119'36g=:;80b:=6:098k752290/4nj522f8j=ed28;0(:=n:301?k1413:07pl<45795?14290;w)782;6g7>Nf9m1C5::4Z373>0}>n3k;6l?5cc8b6?{#:<;1>8l4n36`>5=i9jl1=6`7d382?k>c;3:0D48n;%c06?2c;2.:o>462`9'0g>=:mh27co<4;026>">;008?nj4i343>5<5<6=4G8bg8?l>a83:17d6i1;29?l>a:3:17d?90;29 =ec28?m7c6lc;28 25f289n7c9<9;32?>o6=l0;6)6ld;36b>h?kj0:7)9h0;00:<65f14f94?"?km0:9k5a8ba96>"0;h0:?h5a72;9b>=n9"0;h0:?h5a72;9`>=n9"0;h0:?h5a72;9f>=n9<21<7*7ce821c=i0ji1;6*83`827`=i?:31m65f17;94?"?km0:9k5a8ba9<>"0;h0:?h5a72;9=>=n9?21<7*7ce821c=i0ji156*83`827`=i?:31465f17594?"?km0:9k5a8ba9e>"0;h0:?h5a72;93>=n9?<1<7*7ce821c=i0ji1n6*83`827`=i?:31:65f17794?"?km0:9k5a8ba9g>"0;h0:?h5a72;91>=n9?>1<7*7ce821c=i0ji1h6*83`827`=i?:31865f17194?"?km0:9k5a8ba9a>"0;h0:?h5a72;97>=n9?81<7*7ce821c=i0ji1j6*83`827`=i?:31>65f17394?"?km0:9k5a8ba955=#?:k1=>k4n61:>4=45b3g=857>4;h3e4?6=,1io69j6;o50=?7632c:ih4?:%:``?7bn2d3on4>;%50e?7c92d0:9j5`b=83.3oi4>eg9md09m36?=n21b=hm50;&;ga<6mo1e4nm53:&47d<6l81e;>75e:9j5`d=83.3oi4>eg9md09m36?=l21b=ho50;&;ga<6mo1e4nm55:&47d<6l81e;>75c:9j5`?=83.3oi4>eg9m2.d09m36?=j21b=h650;&;ga<6mo1e4nm57:&47d<6l81e;>75a:9j5c?=83.3oi4>eg9md09m36?=121b=k650;&;ga<6mo1e4nm59:&47d<6l81e;>758:9j5c1=83.3oi4>eg9md09m36?=?21b=k850;&;ga<6mo1e4nm5b:&47d<6l81e;>756:9j5c3=83.3oi4>eg9md09m36?==21b=k:50;&;ga<6mo1e4nm5d:&47d<6l81e;>754:9j5c5=83.3oi4>eg9md09m36?=;21b=k<50;&;ga<6mo1e4nm5f:&47d<6l81e;>752:9j5c7=83.3oi4>eg9mo51e38j25>2810edk3:0(:=n:0d`?k1413;:76g=1783>!>dl38:;6`7cb82?!14i3;mo6`838824>=n:8?1<7*7ce8152=i0ji1>6*83`82bf=i?:31j65f20694?"?km09=:5a8ba97>"0;h0:jn5a72;9a>=n:891<7*7ce8152=i0ji186*83`82bf=i?:31h65f20094?"?km09=:5a8ba91>"0;h0:jn5a72;9g>=n:8;1<7*7ce8152=i0ji1:6*83`82bf=i?:31n65f20294?"?km09=:5a8ba93>"0;h0:jn5a72;9e>=n:;;1<7*7ce8152=i0ji146*83`82bf=i?:31565f23294?"?km09=:5a8ba9=>"0;h0:jn5a72;9<>=n:8l1<7*7ce8152=i0ji1m6*83`82bf=i?:31;65f20g94?"?km09=:5a8ba9f>"0;h0:jn5a72;92>=n:8n1<7*7ce8152=i0ji1o6*83`82bf=i?:31965f20a94?"?km09=:5a8ba9`>"0;h0:jn5a72;90>=n:8h1<7*7ce8152=i0ji1i6*83`82bf=i?:31?65f20c94?"?km09=:5a8ba9b>"0;h0:jn5a72;96>=n:831<7*7ce8152=i0ji1==5+72c95ce926<54i32e>5<#0jn1><94n9a`>47<,>9j65$9ag>=db3g2ho7>4$61b>=3f3g=857<<;:m;fa<72-2hh76me:l;gf<63-=8m76:a:l47<<5:21d4om50;&;ga752098k=de290/4nj58cg8j=ed2:1/;>o584c8j25>2;:07b6ma;29 =ec21hn7c6lc;68 25f21?j7c9<9;3e?>i?j00;6)6ld;:aa>h?kj0>7)9h0;00:i65`8c594?"?km03nh5a8ba92>"0;h039l5a72;95a=926<,>9j65;n;o50=?7e32e3n94?:%:``?>em2d3on46;%50e?>2i2da:9le93:1(5mk:9`f?k>dk3i0(:=n:97b?k1413;<76a7b183>!>dl32ii6`7cb8g?!14i32>m6`838822>=h0hl1<7*7ce8;f`=i0ji1i6*83`8;1d=i?:31=854o9cf>5<#0jn14ok4n9a`>c=#?:k148o4n61:>42<3f2jo7>5$9ag>=db3g2ho7??;%50e?>2i2d3:9lo584c8j25>28807b6na;29 =ec21hn7c6lc;31?!14i32>m6`838825>=h0h31<7*7ce8;f`=i0ji1=>5+72c9<0g926<>4;n:bem2d3on4>5:&47d75e:9lo584c8j25>2m10c5o::18'f<3:1(5mk:9`f?k>dk3;37)9h0;00i76a7a283>!>dl32ii6`7cb82=>"0;h039l5a72;9e>=h0j<1<7*7ce8;f`=i0ji1=l5+72c9<0g926454o9a6>5<#0jn14ok4n9a`>4d<,>9j65;n;o50=?><3f2h87>5$9ag>=db3g2ho7?l;%50e?>2i2d21d4n<50;&;ga07b6l0;29 =ec21hn7c6lc;03?!14i32>m6`83880?>i?j10;6)6ld;:aa>h?kj09=6*83`8;1d=i?:31>65`8`f94?"?km03nh5a8ba967=#?:k148o4n61:>4==3f3g=857>4;n00a?6=,1io6?=k;o:`g?6<,>9j6?<=;o50=?7632e9?n4?:%:``?44l2d3on4>;%50e?45:2d0:9l66d=83.3oi4=3e9m>o50;&;ga<5;m1e4nm53:&47d<5:;1e;>75e:9l66?=83.3oi4=3e9m>650;&;ga<5;m1e4nm55:&47d<5:;1e;>75c:9l661=83.3oi4=3e9m2.>850;&;ga<5;m1e4nm57:&47d<5:;1e;>75a:9l611=83.3oi4=3e9m9850;&;ga<5;m1e4nm59:&47d<5:;1e;>758:9l613=83.3oi4=3e9m9:50;&;ga<5;m1e4nm5b:&47d<5:;1e;>756:9l615=83.3oi4=3e9m9<50;&;ga<5;m1e4nm5d:&47d<5:;1e;>754:9l617=83.3oi4=3e9m9>50;&;ga<5;m1e4nm5f:&47d<5:;1e;>752:9l66`=83.3oi4=3e9mo52308j25>2810c?=::18'o?6Fn1e9K=22d7=kk0j>7s+2439712h?l;0:7c6k3;28L<0f3-k8>7:k3:&2g6<>:h1/8o652e`:?kg4<38:?6*638807fb5<5a93:17d6i2;29?l7183:1(5mk:07e?k>dk3:0(:=n:01f?k1413;:76g>5d83>!>dl3;>j6`7cb82?!14i3;8i6`838824>=n96*83`827`=i?:31j65f14a94?"?km0:9k5a8ba97>"0;h0:?h5a72;9a>=n9"0;h0:?h5a72;9g>=n9<31<7*7ce821c=i0ji1:6*83`827`=i?:31n65f14:94?"?km0:9k5a8ba93>"0;h0:?h5a72;9e>=n9?31<7*7ce821c=i0ji146*83`827`=i?:31565f17:94?"?km0:9k5a8ba9=>"0;h0:?h5a72;9<>=n9?=1<7*7ce821c=i0ji1m6*83`827`=i?:31;65f17494?"?km0:9k5a8ba9f>"0;h0:?h5a72;92>=n9??1<7*7ce821c=i0ji1o6*83`827`=i?:31965f17694?"?km0:9k5a8ba9`>"0;h0:?h5a72;90>=n9?91<7*7ce821c=i0ji1i6*83`827`=i?:31?65f17094?"?km0:9k5a8ba9b>"0;h0:?h5a72;96>=n9?;1<7*7ce821c=i0ji1==5+72c956c926<54i074>5<#0jn1=8h4n9a`>47<,>9j6<=j;o50=?6<3`;m<7>5$9ag>4ca3g2ho7>4$61b>4b63g=857?>;:k2a`<72-2hh7?jf:l;gf<63-=8m7?k1:l47<<6821b=hj50;&;ga<6mo1e4nm52:&47d<6l81e;>75f:9j5`e=83.3oi4>eg9md09m36?=m21b=hl50;&;ga<6mo1e4nm54:&47d<6l81e;>75d:9j5`g=83.3oi4>eg9md09m36?=k21b=h750;&;ga<6mo1e4nm56:&47d<6l81e;>75b:9j5`>=83.3oi4>eg9md09m36?=i21b=k750;&;ga<6mo1e4nm58:&47d<6l81e;>759:9j5c>=83.3oi4>eg9md09m36?=021b=k950;&;ga<6mo1e4nm5a:&47d<6l81e;>757:9j5c0=83.3oi4>eg9md09m36?=>21b=k;50;&;ga<6mo1e4nm5c:&47d<6l81e;>755:9j5c2=83.3oi4>eg9md09m36?=<21b=k=50;&;ga<6mo1e4nm5e:&47d<6l81e;>753:9j5c4=83.3oi4>eg9md09m36?=:21b=k?50;&;ga<6mo1e4nm5119'36g=9m;0b:=6:098m4c0290/4nj51dd8j=ed28;0(:=n:0f2?k1413:07d<>8;29 =ec2;;<7c6lc;28 25f28lh7c9<9;32?>o59?0;6)6ld;023>h?kj0:7)9h0;00:<65f20794?"?km09=:5a8ba96>"0;h0:jn5a72;9b>=n:8>1<7*7ce8152=i0ji1?6*83`82bf=i?:31i65f20194?"?km09=:5a8ba90>"0;h0:jn5a72;9`>=n:881<7*7ce8152=i0ji196*83`82bf=i?:31o65f20394?"?km09=:5a8ba92>"0;h0:jn5a72;9f>=n:8:1<7*7ce8152=i0ji1;6*83`82bf=i?:31m65f23394?"?km09=:5a8ba9<>"0;h0:jn5a72;9=>=n:;:1<7*7ce8152=i0ji156*83`82bf=i?:31465f20d94?"?km09=:5a8ba9e>"0;h0:jn5a72;93>=n:8o1<7*7ce8152=i0ji1n6*83`82bf=i?:31:65f20f94?"?km09=:5a8ba9g>"0;h0:jn5a72;91>=n:8i1<7*7ce8152=i0ji1h6*83`82bf=i?:31865f20`94?"?km09=:5a8ba9a>"0;h0:jn5a72;97>=n:8k1<7*7ce8152=i0ji1j6*83`82bf=i?:31>65f20;94?"?km09=:5a8ba955=#?:k1=km4n61:>4=4`d3g=857>4;n:ab?6=,1io65lj;o:`g?6<,>9j65;n;o50=?4432e3ni4?:%:``?>em2d3on4>;%50e?>2i2dei3:1(5mk:9`f?k>dk3>0(:=n:97b?k1413;m76a7b883>!>dl32ii6`7cb86?!14i32>m6`83882a>=h0k=1<7*7ce8;f`=i0ji1:6*83`8;1d=i?:31=i54o9`5>5<#0jn14ok4n9a`>2=#?:k148o4n61:>4e<3f2i97>5$9ag>=db3g2ho764$61b>=3f3g=857?m;:m;f1<72-2hh76me:l;gf<>3-=8m76:a:l47<<6i21d4o=50;&;ga751898k=d5290/4nj58cg8j=ed2k1/;>o584c8j25>28207b6m1;29 =ec21hn7c6lc;a8 25f21?j7c9<9;34?>i?j90;6)6ld;:aa>h?kj0o7)9h0;00::65`8`d94?"?km03nh5a8ba9a>"0;h039l5a72;950=926<:4;n:bg?6=,1io65lj;o:`g?773-=8m76:a:l47<<6;21d4ll50;&;gafi3:1(5mk:9`f?k>dk3;97)9h0;00:=65`8`;94?"?km03nh5a8ba956=#?:k148o4n61:>46<3f2j47>5$9ag>=db3g2ho7?;;%50e?>2i2dm6`8388a?>i?i:0;6)6ld;:aa>h?kj0:56*83`8;1d=i?:31m65`8b494?"?km03nh5a8ba95d=#?:k148o4n61:><=6=4+8bf9=3f3g=85764;n:`0?6=,1io65lj;o:`g?7d3-=8m76:a:l47<<032e3o>4?:%:``?>em2d3on4>d:&47d756:9lo584c8j25>2<10c5m>:18'd83:1(5mk:9`f?k>dk38;7)9h0;00876a7b983>!>dl32ii6`7cb815>"0;h039l5a72;96>=h0hn1<7*7ce8;f`=i0ji1>?5+72c9<0g926<54o9c1>5<#0jn14ok4n9a`>75<,>9j65;n;o50=?6<3f88i7>5$9ag>75c3g2ho7>4$61b>7453g=857?>;:m17f<72-2hh7<>l50;&;ga<5;m1e4nm52:&47d<5:;1e;>75f:9l66g=83.3oi4=3e9m>750;&;ga<5;m1e4nm54:&47d<5:;1e;>75d:9l66>=83.3oi4=3e9m>950;&;ga<5;m1e4nm56:&47d<5:;1e;>75b:9l660=83.3oi4=3e9m9950;&;ga<5;m1e4nm58:&47d<5:;1e;>759:9l610=83.3oi4=3e9m9;50;&;ga<5;m1e4nm5a:&47d<5:;1e;>757:9l612=83.3oi4=3e9m21d>9=50;&;ga<5;m1e4nm5c:&47d<5:;1e;>755:9l614=83.3oi4=3e9m9?50;&;ga<5;m1e4nm5e:&47d<5:;1e;>753:9l616=83.3oi4=3e9m>h50;&;ga<5;m1e4nm5119'36g=:;80b:=6:098k752290/4nj522f8j=ed28;0(:=n:301?k1413:07pl=40395?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358151=#1:31>?8=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f726:3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532;;>7)7<9;0127=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94=179'=6?=:;<97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb31a0?7=03:1"3j109ho74n`17>7703-3857<=639j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5;k?1=7650;2x <152=837Eo>d:J:31=#hf;=09=55+92;967053`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07d7=6;29 d5520>:76a7d883>!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21vn?=m6;395<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77t$851>14?3Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71<59h1/5>752341?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><2632e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=zj;9i;7?58;294~">?;0?>55Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?;1b>8<50;&b77<5><10e?;i:18'e64=:!g4:338h65f93494?"f;;028<54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907pl=3c:95?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n35815f=#1:31>?8=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f75ei3;147>50z&:37<3:11Cmbl2.?n54=dc;8jd532;;o7)7<9;0127=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21b5?850;&b77<><810c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3th9?ol51;:94?6|,0=969<9;Ic2`>N>?=1/8;o53:&b77<>:k1C4hj4$5`;>7be12dj?94=1d9'=6?=:;<97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb31ag?7=03:1"3j109ho74n`17>77a3-3857<=639j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5;<31=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=09>=5+92;967053`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?=:a;39"3>h087E6jd:&7f=<5lk30bl=;:302?!?41389:?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`170d=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<5:;1/5>752341?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;99m7?58;294~">?;0?>:5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?;1b>8<50;&b77<5><10e?6k:18'e64=<!g4:33?=65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907pl=33`95?>=83:p(49=:504?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358161=#1:31>?8=;h066?6=,h996?8:;:k17::e:9j<59538?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9~f755l3;147>50z&:37<3:>1Cmbl2.?n54=dc;8jd532;8>7)7<9;0127=n:<81<7*n338120=5$`11><5c32c2>;4?:%c06??3921d4i750;&b77N>?=1/8;o53:&b77<>:k1C4hj4$5`;>7be12dj?94=279'=6?=:;<97d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3"3j109ho74n`17>7403-3857<=639j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>o>:?0;6)o<2;;75>=h0m31<7*n338;1<=5$`11><4>3A37Eo>d:J:31=#hf;=09>55+92;967053`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?=<0;395<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1767=9321<7>t$851>1403Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71<5:h1/5>752341?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=zj;98?7?58;294~">?;0?>:5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?;1b>8<50;&b77<5><10e?6k:18'e64=<!g4:33?=65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907pl=32695?>=83:p(49=:504?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n35816f=#1:31>?8=;h066?6=,h996?8:;:k17::e:9j<59538?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9~f753=3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532;8o7)7<9;0127=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94=2d9'=6?=:;<97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3173?7=03:1"3j109ho74n`17>74a3-3857<=639j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5;=21=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=09?=5+92;967053`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?=;9;39"3>h087E6jd:&7f=<5lk30bl=;:312?!?41389:?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`171g=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<5;;1/5>752341?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;9?n7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?;1b>8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=35a95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358171=#1:31>?8=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f75393;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532;9>7)7<9;0127=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94=379'=6?=:;<97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3177?7=03:1"3j109ho74n`17>7503-3857<=639j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5;=>1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=09?55+92;967053`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?=id;39"3>h087E6jd:&7f=<5lk30bl=;:31:?!?41389:?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`17cc=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<5;h1/5>752341?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;9mj7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?;1b>8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=41295?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n35817f=#1:31>?8=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f72793;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532;9o7)7<9;0127=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94=3d9'=6?=:;<97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb31e=?7=03:1"3j109ho74n`17>75a3-3857<=639j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5;ok1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=098=5+92;967053`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?=ib;39"3>h087E6jd:&7f=<5lk30bl=;:362?!?41389:?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`17ce=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<5<;1/5>752341?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8=;47?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?11b>8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>71;95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358101=#1:31=;87;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f416<3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532;>>7)7<9;352==n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94=479'=6?=9?<37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb052b?7=03:1"3j109ho74n`17>7203-3857?9699j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd6?;81=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=09855+92;9530?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<9=4;39"3>h087E6jd:&7f=<5lk30bl=;:36:?!?413;=:55f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2373=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<5

    75174;?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8=9:7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?11b>8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>73595?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n35810f=#1:31=;87;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f417i3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532;>o7)7<9;352==n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94=4d9'=6?=9?<37d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb053g?7=03:15<#i:81>8h4;h0;`?6=,h9969;j;:k:63<72-k8>77;1:9l290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i81g>5<#i:815>j4H850?>{e9>:o6<47:183!?0:3>9:6Fn1e9K=22<,h99641>8>4$81:>40102c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?80d82>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;065>">;00::;64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a526a28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?o4H`3g?M?0<2.j??462c9'03g=0=1/8o652e`:?kg4<38>?6*6388223>j7>5$`11>73a32c94i4?:%c06?22m21b5?850;&b77<><810c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`38h7>5$`11><5c3A35<#i:81>8h4;h0;`?6=,h9969;j;:k:63<72-k8>77;1:9l290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i81g>5<#i:815>j4H850?>{e9>;>6<47:183!?0:3>9:6Fn1e9K=22<,h99641>8;4$81:>40102c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?81382>=<729q/5:<543c8Ld7c3A3<86*n338:6g=#3gk887<:6:&:7<<6>?20e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d750z&:37<3:?1Cmbl2.?n54=dc;8jd532;?<7)7<9;352==n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f21>0(9l7:3fa=>hf;=09955+92;9530?3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e4<9:18'e64=1=;07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2?i4?:%c06??4l2B2;>54}c3452<6210;6=u+9609070<@h;o7E784:&b77<>:k1/8;o53:J;aa=#il6;oc00?4212.2?44>67:8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e9>;36<47:183!?0:3>9:6Fn1e9K=22<,h99641>8o4$81:>40102c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?81882>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;06f>">;00::;64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a527f28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887<:d:&:7<<6>?20e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm163`>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa26960c<,0926<898:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg709m0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<38>j6*6388223>j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi=:?j:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:52728 <5>28<=46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?4192.2?44>67:8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e9>8:6<47:183!?0:3>9:6Fn1e9K=22<,h99641>;<4$81:>40102c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo=;6282>3<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;057>">;008?nj4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;=<96<49:183!?0:3>9?6Fn1e9K=22<,h99691>;:4$81:>65dl2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c1724<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?41=2.2?44<3bf8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?98?:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:52748 <5>2:9hh6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg53=o0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<38=;6*638807fbj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa26963><,0926>=ld:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887<99:&:7<<4;jn0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a713d280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;05f>">;008?nj4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;=?j6<49:183!?0:3>9?6Fn1e9K=22<,h99691>;m4$81:>65dl2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c171<<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?41l2.2?44<3bf8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?9;7:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:527g8 <5>2:9hh6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg53=>0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<38=j6*638807fbj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269626<,0926>=ld:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887<81:&:7<<4;jn0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a71g2280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i4780(4=6:21``>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;047>">;008?nj4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;=k86<49:183!?0:3>9?6Fn1e9K=22<,h99691>::4$81:>65dl2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c17e7<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?40=2.2?44<3bf8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?9o>:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:52648 <5>2:9hh6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg53i90:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<38<;6*638807fbj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa26962><,0926>=ld:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887<89:&:7<<4;jn0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a71?c280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47k0(4=6:21``>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;04f>">;008?nj4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;=3i6<49:183!?0:3>9?6Fn1e9K=22<,h99691>:m4$81:>65dl2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c17=d<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?40l2.2?44<3bf8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?976:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:526g8 <5>2:9hh6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg53110:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<38j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa2696=6<,0926>=ld:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:983>5}#1>818?64H`3g?M?0<2.?:l4<;%c06??5j2B3ii5+4c:96ad>3gk887<71:&:7<<5:?80e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=4Nf9m1C5::4$54b>6=#i:815?l4H9gg?!2e038on45aa2696=4<,0926?<92:k117<72-k8>7<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg450;0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887<73:&:7<<5:?80e?8<:18'e64=!g4:339465`72d94?"f;;039454}c01=`<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?<7a;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>7>23-3857<=639j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;8j97?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:3:5?!?41389:?5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a67>d280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1>594$81:>741:2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9>5k51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3581<<=#1:31>?8=;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5:h=1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94=8`9'=6?=:;<97d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c01=5<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<383n6*638816345$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?4?k2.2?44=2708m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;8257?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd532;2o7)7<9;0127=n<=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;0;a>">;009>;<4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg45i00:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887<7f:&:7<<5:?80e?8<:18'e64=<!g4:32>565rb30:e?7=;3:1bm2.?n54=dc;8 10f2ok0bl=;:3;3?!?41389:?5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c01=6<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<382=6*638816345$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?4>:2.2?44=2708m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;82n7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd532;387)7<9;0127=n<=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;0:0>">;009>;<4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg45ik0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887<65:&:7<<5:?80e?8<:18'e64=<!g4:32>565rb30:g?7=;3:1bm2.?n54=dc;8 10f2ok0bl=;:3;5?!?41389:?5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c01=0<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<382;6*638816345$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?4>02.2?44=2708m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;82h7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd532;327)7<9;0127=n<=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;0:e>">;009>;<4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg45im0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887<6b:&:7<<5:?80e?8<:18'e64=<!g4:32>565rb30:3?7=;3:1bm2.?n54=dc;8 10f2ok0bl=;:3;`?!?41389:?5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c01<1<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<382h6*638816345$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?4>m2.2?44=2708m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;8247?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd532;3m7)7<9;0127=n<=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;0b4>">;009>;<4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg45i90:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887!g4:32>565rb30;2?7=<3:15$`11><4?32e2121vn?"3j109ho74n`17>7g43-3857<=639j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:;236<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:52`68 <5>2;8=>6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a67g528086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1>l;4$81:>741:2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=2`195?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<5i?1/5>752341?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;0b3>">;009i=64i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg4bml0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887!g4:32>565rb3gae?7=<3:15$`11><4?32e2121vn?ki9;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>7gf3-3857<544g8?j14n3:1(l==:97:?>{e:li>6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:52``8 <5>2;o;46g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a6c6328086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1>lm4$81:>7c702c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=eb595?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<5im1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9j=951;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n3581e`=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4bk10:694?:1y'=24="f;;0?h95+4c:96ad>3gk887!g4:339465`72d94?"f;;039454}c0e4=<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?kl9;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>7d63-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;l;57?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:3`1?!?4138n<55f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a6`ef280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1>o=4$81:>7c702c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i470(4=6:3g3<>o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9inl51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3581f0=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n9h1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94=b79'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0fgf<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<38i;6*63881a5>5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?4e02.2?44=e1:8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;ohh7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:3`:?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`7l3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>hki:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa2696ge<,0926?k?8:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5mk;1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94=be9'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1ac6=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=09nh5+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?4en2.2?44=e1:8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;0`4>">;009i=64i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7ce;3;187>50z&:37<3l<1Cmo86*;b981`g?;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3ge6?7=;3:15$`11>=3>32wi>hl;:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2696f5<,0926?k?8:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:ll86<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:52b68 <5>2;o;46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1ag3=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=09o85+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=eg695?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<5k?1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;0`3>">;009i=64i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg4bn<0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887!g4:32>565rb3ga3?7=<3:15$`11><4?32e2121vn?ki6;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>7ef3-3857<544g8?j14n3:1(l==:97:?>{e:lh36<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:52b`8 <5>2;o;46g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a6``028086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1>nm4$81:>7c702c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=ec;95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<5km1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9ik651;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n3581g`=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4bjk0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887!g4:339465`72d94?"f;;039454}c0fbd<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?kmc;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>7b63-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;omn7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:3f1?!?4138n<55f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a6`dc280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1>i=4$81:>7c702c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i470(4=6:3g3<>o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9iok51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3581`0=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5mon1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94=d79'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0ffc<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<38o;6*63881a5>5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?4c02.2?44=e1:8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;oh<7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:3f:?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7can3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>k>?:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa2696ae<,0926?k?8:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5mj81=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94=de9'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1b57=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=09hh5+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?4cn2.2?44=e1:8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;0f4>">;009i=64i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7cd<3;187>50z&:37<3l<1Cmo86*;b981`g?;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3d37?7=;3:15$`11>=3>32wi>hm9:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2696`5<,0926?k?8:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:o:>6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:52d68 <5>2;o;46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1b50=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=09i85+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8870bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=e1;95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<5m>1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9i?:51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n3581a==#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4b9k0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?4b12.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5m9n1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94=e`9'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1a7?=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=09io5+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8870bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=e1g95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<5mm1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9i?o51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n3581a`=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4b9m0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?4bn2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5m9l1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94=f19'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1a7d=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=09j<5+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8870bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=e0295?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<5n:1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9i?m51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n3581b1=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4b9o0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?4a=2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5m8;1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94=f79'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1a7b=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=09j:5+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8870bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=e0095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<5n01/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9i?k51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n3581bd=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4b:80:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?4aj2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5m891=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94=fb9'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1a7`=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=09ji5+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8870bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=e0695?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<5no1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9i>>51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358045=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4b::0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?5792.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5m8?1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94<039'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1a67=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=08<>5+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887=?4:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=e1c95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<48<1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9i?;51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358043=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4b910:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?57?2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5m9h1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94<099'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1a70=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=08<45+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887=?a:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=e1a95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<48k1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9i?951;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n35804f=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4b9h0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?57l2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5m;21=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94<0d9'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0f3d<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<39;j6*63881a5>5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?5682.2?44=e1:8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;o?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:232?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7c?k3;1?7>50z&:37?=;%;0=?4b811b>;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>h6k:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269742<,0926?k?8:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5m>l1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94<149'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1a=c=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=08=;5+92;96`6?3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?56?2.2?44=e1:8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;12<>">;009i=64i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7c?;3;187>50z&:37<3l<1Cmo86*;b981`g??6;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3g:4?7=;3:15$`11>=3>32wi>h6::087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26974d<,0926?k?8:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:l3:6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:530a8 <5>2;o;46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1a=1=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=08=i5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=e8095?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<49l1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=4>:283>5}#1>81m=:mh27co<4;12b>">;009i=64i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7c4:3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3g7`?7=;3:15$`11>=3>32wi>h=6:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269774<,0926?k?8:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:l?86<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:53318 <5>2;o;46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1a6d=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=08>95+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=e4695?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<4:<1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;112>">;009i=64i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg4b=<0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887==7:&:7<<5m920e?8<:18'e64=<!g4:32>565rb3g0b?7=<3:15$`11><4?32e2121vn?k:6;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>64>3-3857<544g8?j14n3:1(l==:97:?>{e:l>:6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:533c8 <5>2;o;46g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a6`3028086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1??l4$81:>7c702c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=e5195?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<4:j1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9i8651;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n35806a=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4b<<0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887==e:&:7<<5m920e?8<:18'e64=!g4:339465`72d94?"f;;039454}c0f1<<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?k;7;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6573-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;o>m7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:212?!?4138n<55f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a6`2>280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1?><4$81:>7c702c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9i>=51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358071=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5m=o1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94<349'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0f70<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<398:6*63881a5>5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?54?2.2?44=e1:8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;o8;7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:21;?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7c283;1?7>50z&:37=6;%;0=?4b811b>;=50;&b77<3=l10e9l8:18'e64=<4<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9i;l51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=08?o5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>h8?:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26976e<,0926?k?8:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:l=;6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:532f8 <5>2;o;46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1a3e=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n35807`=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a6`06280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1?>h4$81:>7c702c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9i;j51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=088<5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>h8=:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269714<,0926?k?8:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:l=96<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:53518 <5>2;o;46g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1a3c=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n358001=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a6`04280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1?9;4$81:>7c702c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9i;:51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358002=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5m>>1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94<499'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0f20<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<39?56*63881a5>5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?53i2.2?44=e1:8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;o=;7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:26a?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7c0>3;1?7>50z&:37:l;%;0=?4b811b>;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>h98:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa26971c<,0926?k?8:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5m>21=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94<4g9'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0fe5<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1a<3=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=089<5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=e`795?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<4=;1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<39>?6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9i4851;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358011=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5mh<1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94<549'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0fe7<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1a<1=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=089:5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=e`595?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<4=11/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=4>:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<39>56*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9i4651;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n35801d=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5mh21=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94<5c9'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0fe1<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1a1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=089i5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=e`;95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<4=l1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;16b>">;009i=64i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg4bih0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887=90:&:7<<5m920e?8<:18'e64=<!g4:32>565rb3g:g?7=<3:15$`11><4?32e2121vn?knb;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>6053-3857<544g8?j14n3:1(l==:97:?>{e:l3n6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:53718 <5>2;o;46g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a6`gd28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1?;:4$81:>7c702c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=e`f95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<4><1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;152>">;009i=64i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg57:00:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887=97:&:7<<5m920e?8<:18'e64=<!g4:32>565rb2226?7=<3:15$`11><4?32e2121vn>>=a;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>60>3-3857<544g8?j14n3:1(l==:97:?>{e;9;86<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:537c8 <5>2;o;46g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a754e28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1?;l4$81:>7c702c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl<00695?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<4>j1/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th8N>?=1/m><5a0`8 1d?2;ni56`n35802a=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg579<0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887=9e:&:7<<5m920e?8<:18'e64=!g4:339465`72d94?"f;;039454}c136a<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn>>>6;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6173-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj::9i7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:252?!?4138n<55f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a7570280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1?:<4$81:>7c702c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i4790(4=6:3g3<>o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th8<<651;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358031=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd48::1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94<749'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c1374<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?h8d;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4553gk887=87:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=f7f95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<4?11/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9j5:51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8277=ii:>1?:74$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?h84;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>61f3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;l397?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:011?kg4<396=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9j:;51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n35803f=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n1<1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>339me62=;>n0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;l<:7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:25f?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`??3;197>50z&:37<3k>1Cm<51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5n>=1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94<819'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1b=>=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:??5aa2697=7<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`003;187>50z&:37<3l<1Cmo86*;b981`g?6=;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3d;=?7==3:1bm2.?n54=dc;8 10f28997co<4;1;7>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1b2?=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=08495+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f9c95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;;1em>:53978 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3d4e?7=<3:15$`11><4?32e2121vn?h7b;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4553gk887=77:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=f6`95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<4011/5>752d2;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9j5m51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8277=ii:>1?574$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?h8c;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6>f3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;l?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:011?kg4<393n6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9j;k51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3580h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n>l1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>339me62=;1n0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;l=j7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2:f?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`?83;197>50z&:37<3k>1Cm<51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5n>:1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94<919'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1b=7=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:??5aa2697<7<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`093;187>50z&:37<3l<1Cmo86*;b981`g?7=;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3d;6?7==3:1bm2.?n54=dc;8 10f28997co<4;1:7>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1b24=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=08595+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f9195?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;;1em>:53878 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3d47?7=<3:15$`11><4?32e2121vn?h7d;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6?03-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;l247?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2;;?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`f;3;187>50z&:37<3l<1Cmo86*;b981`g?76;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3db2?7=<3:15$`11><4?32e2121vn?hn7;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6?e3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;lj47?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2;`?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`f13;187>50z&:37<3l<1Cmo86*;b981`g?7k;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3dbe?7=<3:15$`11><4?32e2121vn?hnb;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6?a3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;ljo7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2c3?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`?m3;187>50z&:37<3l<1Cmo86*;b981`g?o>;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3d;b?7=<3:15$`11><4?32e2121vn?h60;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6g43-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;l2=7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2c7?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`>:3;187>50z&:37<3l<1Cmo86*;b981`g?o:;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3d:7?7=<3:15$`11><4?32e2121vn?h64;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6g03-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;l297?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2c;?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`>>3;187>50z&:37<3l<1Cmo86*;b981`g?o6;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3d:3?7=<3:15$`11><4?32e2121vn?h69;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6ge3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;l2m7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2c`?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`>j3;187>50z&:37<3l<1Cmo86*;b981`g?ok;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3d:g?7=<3:15$`11><4?32e2121vn?h6d;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6ga3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;l2i7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2`3?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`>n3;187>50z&:37<3l<1Cmo86*;b981`g?l>;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3db4?7=<3:15$`11><4?32e2121vn?hn1;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6d43-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;lj>7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2`7?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`f<3;187>50z&:37<3l<1Cmo86*;b981`g?l:;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3db1?7=<3:15$`11><4?32e2121vn?hld;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6d03-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;lo47?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2`;?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`b;3;187>50z&:37<3l<1Cmo86*;b981`g?l6;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3df2?7=<3:15$`11><4?32e2121vn?hj7;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6de3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;ln47?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2``?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`b13;187>50z&:37<3l<1Cmo86*;b981`g?lk;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3dfe?7=<3:15$`11><4?32e2121vn?hjb;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6da3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;lno7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2a3?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`dm3;187>50z&:37<3l<1Cmo86*;b981`g?m>;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3d`b?7=<3:15$`11><4?32e2121vn?hk0;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6e43-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;lo=7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2a7?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`c:3;187>50z&:37<3l<1Cmo86*;b981`g?m:;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3dg7?7=<3:15$`11><4?32e2121vn?hk4;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6e03-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;lo97?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2a;?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`c>3;187>50z&:37<3l<1Cmo86*;b981`g?m6;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3dg3?7=<3:15$`11><4?32e2121vn?hk9;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6ee3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;lom7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2a`?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`cj3;187>50z&:37<3l<1Cmo86*;b981`g?mk;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3dgg?7=<3:15$`11><4?32e2121vn?hkd;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6ea3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;loi7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2f3?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`cn3;187>50z&:37<3l<1Cmo86*;b981`g?j>;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3df4?7=<3:15$`11><4?32e2121vn?hj1;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>6b43-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;ln>7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2f7?!?4138n<55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7`b<3;187>50z&:37<3l<1Cmo86*;b981`g?j:;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3df1?7=<3:15$`11><4?32e2121vn?"3j109ho74n`17>6b03-3857<=639j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;9;h7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:2f;?!?41389:?5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a67`>280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1?i74$81:>741:2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9>kl51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3580`g=#1:31>?8=;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5;9l1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94!g4:3>>i65`72d94?"f;;039454}c01ba<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<39oh6*638816345$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?5cm2.2?44=2708m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;8mj7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:2fe?!?41389:?5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f75693;1?7>50z&:37k?;%;0=?45>;1b>;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>>?=:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa2697`4<,0926?<92:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5;991=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1745=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=08i95+92;967053`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?5b=2.2?44=2708m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;1f2>">;009>;<4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f757?3;187>50z&:37<3l<1Cmo86*;b981`g?k8;%;0=?45>;1b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3121?7=;3:15$`11>=3>32wi>>?9:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa2697`?<,0926?<92:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5:ho1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`16f3=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=08io5+92;967053`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?5bk2.2?44=2708m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;1f`>">;009>;<4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f74e93;187>50z&:37<3l<1Cmo86*;b981`g?kj;%;0=?45>;1b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb30`=?7=;3:15$`11>=3>32wi>?l<:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa2697c6<,0926?<92:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:;ij6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:53g38 <5>2;8=>6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`16g3=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=08j?5+92;967053`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=2b`95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<4n:1/5>752341?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;1e0>">;009>;<4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg45kj0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887=i5:&:7<<5:?80e?8<:18'e64=<!g4:32>565rb30a=?7=<3:15$`11><4?32e2121vn?"3j109ho74n`17>6`03-3857<=639j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:;hi6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:53g:8 <5>2;8=>6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a67eb28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1?k74$81:>741:2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=2cf95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<4nh1/5>752341?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9>nh51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n3580bg=#1:31>?8=;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg45jo0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887=ic:&:7<<5:?80e?8<:18'e64=!g4:339465`72d94?"f;;039454}c01`5<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?"3j109ho74n`17>6`b3-3857<=639j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:;n:6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:53gd8 <5>2;8=>6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a67c?28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>18=>4$81:>741:2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=2e695?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<3881/5>752341?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9>hm51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358747=#1:31>?8=;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg45l?0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887:?3:&:7<<5:?80e?8<:18'e64=!g4:339465`72d94?"f;;039454}c01aa<62:0;6=u+9609e4e<@h;o7E784:&b77;86*63881634i;7>5$`11>13b32e2121vn?"3j109ho74n`17>1623-3857<=639j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;8ni7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:525?!?41389:?5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a67bf280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>18=94$81:>741:2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9>im51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n35874<=#1:31>?8=;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5:o:1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;0`9'=6?=:;<97d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c01``<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3>;n6*638816345$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?27k2.2?44=2708m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;8n<7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:52g?!?41389:?5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f74a:3;1?7>50z&:37j;%;0=?45>;1b>;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>?h<:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269046<,0926?<92:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5:l>1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94;109'=6?=:;<97d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`16c2=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0?=?5+92;967053`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?26;2.2?44=2708m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;620>">;009>;<4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f74bi3;1?7>50z&:37;1b>;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>?9k:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269041<,0926?<92:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5:?i1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94;199'=6?=:;<97d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`162c=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0?=45+92;967053`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?26i2.2?44=2708m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;62f>">;009>;<4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f741n3;187>50z&:37<3l<1Cmo86*;b981`g?;1b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb30;4?7=;3:15$`11>=3>32wi>?9>:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26904c<,0926?<92:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:;2:6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:540d8 <5>2;8=>6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1635=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?>=5+92;967053`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=26595?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3:81/5>752341?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;616>">;009>;<4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg45?10:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887:=3:&:7<<5:?80e?8<:18'e64=<!g4:32>565rb3053?7=<3:15$`11><4?32e2121vn?<89;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>1423-3857<=639j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:;<26<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:54348 <5>2;8=>6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a671f28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>18?94$81:>741:2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=26`95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3:11/5>752341?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>956*638807fb6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8?ij51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n35876d=#1:31?>mk;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd4;ok1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;2c9'=6?=;:io7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c10af<62:0;6=u+96090f7<@h;o7E784:&b77">;008?nj4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`07ac=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?>i5+92;976ec3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl<3g`95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3:l1/5>7532ag?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>9j6*638807fb6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8?ih51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358775=#1:31?>mk;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd4;oi1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;309'=6?=;:io7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c10a`<62:0;6=u+96090f7<@h;o7E784:&b77">;008?nj4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`07`6=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0??>5+92;976ec3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl<3gf95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3;=1/5>7532ag?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>896*638807fb6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8?h?51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358773=#1:31?>mk;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd4;oo1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;369'=6?=;:io7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c10b5<62:0;6=u+96090f7<@h;o7E784:&b77">;008?nj4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`07`4=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0??45+92;976ec3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl<3gd95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3;h1/5>7532ag?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>8n6*638807fb6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8?h=51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n35877f=#1:31?>mk;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd4<9:1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;3e9'=6?=;:io7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c10b7<62:0;6=u+96090f7<@h;o7E784:&b77">;008?nj4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`07`2=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0??k5+92;976ec3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl<41395?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3<91/5>7532ag?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=4>:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>?=6*638807fb6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8?h;51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358707=#1:31?>mk;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd4<981=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;429'=6?=;:io7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c10b1<62:0;6=u+96090f7<@h;o7E784:&b77">;008?nj4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`07`0=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?885+92;976ec3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl<41195?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<37532ag?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>?;6*638807fb6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8?h951;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n35870==#1:31?>mk;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd4<9>1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;489'=6?=;:io7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c10b3<62:0;6=u+96090f7<@h;o7E784:&b77">;008?nj4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`07`>=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?8o5+92;976ec3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl<41795?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<37532ag?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>?h6*638807fb6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8?h751;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n35870`=#1:31?>mk;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd4<9<1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;4g9'=6?=;:io7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c10b=<62:0;6=u+96090f7<@h;o7E784:&b77">;008?nj4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`07`g=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?9<5+92;976ec3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl<41595?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3=;1/5>7532ag?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>>?6*638807fb6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th88=651;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358711=#1:31?>mk;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg53:>0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?22=2.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4<831=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94;579'=6?=;:io7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`0060=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0?9:5+92;976ec3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887::8:&:7<<4;jn0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl<40c95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<3=01/5>7532ag?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th88>951;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n35871d=#1:31?>mk;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg53:00:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?22j2.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4<8h1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94;5b9'=6?=;:io7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`006>=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0?9i5+92;976ec3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887::e:&:7<<4;jn0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl<40a95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<3=o1/5>7532ag?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th88>751;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358725=#1:31?>mk;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg53:k0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?2192.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4<8n1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94;639'=6?=;:io7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`006g=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0?:>5+92;976ec3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887:94:&:7<<4;jn0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl<40g95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<3><1/5>7532ag?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th88>l51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358723=#1:31?>mk;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg53:m0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?21?2.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4<8l1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94;699'=6?=;:io7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`006e=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0?:45+92;976ec3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887:9a:&:7<<4;jn0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl<43295?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<3>k1/5>7532ag?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th88>j51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n35872f=#1:31?>mk;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg53:o0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?21l2.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4<;;1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94;6d9'=6?=;:io7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`006c=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0?:k5+92;976ec3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887:80:&:7<<4;jn0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl<43095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<3?81/5>7532ag?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th88>h51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358737=#1:31?>mk;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg53;80:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?20;2.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4<;91=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94;759'=6?=;:io7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`0016=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0?;85+92;976ec3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887:86:&:7<<4;jn0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl<43695?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<3?>1/5>7532ag?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th889?51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n35873==#1:31?>mk;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg53;:0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?2012.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4<;?1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94;7`9'=6?=;:io7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`0014=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0?;o5+92;976ec3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887:8c:&:7<<4;jn0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl<43495?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<3?m1/5>7532ag?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th889=51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n35873`=#1:31?>mk;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg53;<0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?20n2.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4<=>1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;819'=6?=;:io7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e6a<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b5c=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?4?5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f5f95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<30:1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>386*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j<751;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587<0=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n<21=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;879'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e06<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b72=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?455+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f7195?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3001/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>3m6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j?851;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n?<1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;8b9'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e02<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b71=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?4h5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f7595?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<30o1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>2<6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j?651;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587=4=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n?21=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;939'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e0<<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b7?=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?595+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f7;95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<31<1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>2:6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j?o51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587=2=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n?k1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;999'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e0g<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b7d=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?5l5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f7`95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<31k1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>2o6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j?m51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587=a=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n?i1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;9d9'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e6`<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b5`=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?m=5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f5g95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3i81/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=k4>:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>j>6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j<>51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587e6=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n=l1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;a59'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e75<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b47=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?m;5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f4295?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3i>1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>j46*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j<<51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587e<=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n<;1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;a`9'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e77<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b45=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?mn5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f4095?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3im1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=4>:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>ji6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j<:51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587ec=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n<91=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;b19'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e71<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b43=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?n?5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f4695?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3j:1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>i86*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j<851;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587f0=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5nd:J:31=#i:81m7be12dj?94;b79'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e73<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b41=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?n55+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f4495?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3j01/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>im6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j<651;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587fg=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n<=1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;bb9'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e7<<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b4g=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?nh5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f4;95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3jo1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>h<6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9jN>?=1/m><54e68 1d?2;ni56`n3587g4=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5nd:J:31=#i:81m7be12dj?94;c39'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e7g<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b4e=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?o95+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f4`95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3k<1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>h:6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9jN>?=1/m><54e68 1d?2;ni56`n3587g2=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5nd:J:31=#i:81m7be12dj?94;c99'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e7a<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b4c=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?ol5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f4f95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3kk1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>ho6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9jN>?=1/m><54e68 1d?2;ni56`n3587ga=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5nd:J:31=#i:81m7be12dj?94;cd9'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e7c<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b76=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?h=5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f4d95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3l81/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>o>6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j??51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587`6=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n?:1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;d59'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e04<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b74=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?h;5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f7395?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3l>1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>o46*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j?=51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3587`<=#1:31>h>7;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5n?81=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94;d`9'=6?=:l:37d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0e01<62:0;6=u+96090f7<@h;o7E784:&b77">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1b73=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0?hn5+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=f7695?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<3lm1/5>752d2;?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3>oi6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9j;;51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n3587`c=#1:31>h>7;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg43>80:694?:1y'=24="f;;0?h95+4c:96ad>3gk887:j0:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c065<<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3>n=6*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94;e39'=6?=983:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:=h86<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:54d18 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a61bc280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#1c33-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?2b=2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<==54>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;6f2>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg42;j0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<3m>1/5>75104g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>9jm:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97cem1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg43=o0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887:ja:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c0652<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3>nn6*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94;eb9'=6?=983:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:=km6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:54df8 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a61bf280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#1cb3-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?2bn2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<==;4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;6e4>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg42;h0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<3n81/5>75104g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>9j6:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97cem1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg43=m0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887:i4:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c0650<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3>m96*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94;f79'=6?=983:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:=ki6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:54g58 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a61b?280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#1`?3-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?2a12.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<==94>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;6ee>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg42;10:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<3nk1/5>75104g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>9j8:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97cem1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg43=k0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887:ie:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c0656<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3>mj6*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94:019'=6?=983:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:=k<6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:55138 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a61b1280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0653-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?37;2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<==?4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;730>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg42;<0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<28<1/5>75104g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>9;6:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa269151<,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:<;:6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:551:8 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a6053280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#06>3-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?37i2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;73f>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg42990:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;?c:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c060`<62=0;6=u+96090f2<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi>8=<:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97cej;%;0=?76>m1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg43i80:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;?f:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c07`6<62=0;6=u+96090f2<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi>9;9:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa269147<,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:<:n6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:55008 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a6055280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0743-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?36<2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;721>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg428m0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;>6:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c0674<62=0;6=u+96090f2<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi>97m:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa26914><,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:=??6<4;:183!?0:3>o;6Fn1e9K=22<,h9969j;;%6a:550;8 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a606d280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19471l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;72g>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg43=:0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;>d:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c064g<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?:i6*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94:1g9'=6?=983:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:=3<6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:55328 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a6135280?6=4?{%;46?2c?2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19??4$81:>471l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>85<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97cem1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg431<0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;=4:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c0714<62=0;6=u+96090a1<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?996*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?35>2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=>i4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0>>:5+92;9540c3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a61?4280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19?64$81:>471l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6g3>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>8>7:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa26917g<,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:<8i6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c07=4<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?9o6*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?35l2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=<:4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;71a>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg42:h0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<2:o1/5>75104g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>9:j:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa269167<,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:<:=6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:55208 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a604>280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0543-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?34<2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;701>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg428<0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;<6:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c066=<62=0;6=u+96090f2<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi>96m:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa26916><,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:=<36<4;:183!?0:3>o;6Fn1e9K=22<,h9969j;;%6a:552;8 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a6047280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19>o4$81:>471l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=>:4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0>?n5+92;9540c3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a61e5280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19>j4$81:>471l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;70b>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg429o0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;;0:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c0663<62=0;6=u+96090f2<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi>9m?:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa269114<,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:=<=6<4;:183!?0:3>o;6Fn1e9K=22<,h9969j;;%6a:55518 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a607b280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>199:4$81:>471l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;772>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg43><0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;;7:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c065a<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3??46*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94:489'=6?=983:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:=hh6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:555c8 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a6103280?6=4?{%;46?2c?2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>199l4$81:>471l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>8<<:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97cem1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg43jh0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;;e:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c0726<62=0;6=u+96090a1<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3??j6*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?3282.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=>?4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0>9<5+92;9540c3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a61d?280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>198<4$81:>471l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6g3>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>8?n:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa269102<,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:<9m6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a7)7<9;322a=n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c07f3<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?>:6*6388253b6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?32?2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;76<>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg42;l0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<2=01/5>75104g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>9:l:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa26910d<,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:<:?6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:554a8 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a6050280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#03c3-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?32m2.2?44>17f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;76b>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg428:0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;90:&:7<<69?n0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c066f<62=0;6=u+96090f2<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi>967:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa269134<,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:=8h6<4;:183!?0:3>o;6Fn1e9K=22<,h9969j;;%6a:55718 <5>28;=h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a61c3280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19;:4$81:>471l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;752>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg5fmj0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;97:&:7<<4il<0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c1bb5<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?=46*63880e`06=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94:689'=6?=;ho=7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;hl:6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c1baa<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?=n6*63880e`06=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94:6b9'=6?=;ho=7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e9896Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l:75<6<2d8j:4l;oc00?31l2.2?44>17`8m133290/m><544g8?j14n3:1(l==:97:?>{e9896Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b217;8m133290/m><544g8?j14n3:1(l==:97:?>{e98<36<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b21758m133290/m><544g8?j14n3:1(l==:97:?>{e98<=6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b21778m133290/m><544g8?j14n3:1(l==:97:?>{e9?9m6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b262g8m133290/m><544g8?j14n3:1(l==:97:?>{e9?9o6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b262a8m133290/m><544g8?j14n3:1(l==:97:?>{e9?9i6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b262c8m133290/m><544g8?j14n3:1(l==:97:?>{e9?926<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b262:8m133290/m><544g8?j14n3:1(l==:97:?>{e9?9<6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b26248m133290/m><544g8?j14n3:1(l==:97:?>{e9?9>6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b22.2?44>6268m133290/m><544g8?j14n3:1(l==:97:?>{e9?:i6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b261c8m133290/m><544g8?j14n3:1(l==:97:?>{e9?:26<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b261:8m133290/m><544g8?j14n3:1(l==:97:?>{e9?:<6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b26148m133290/m><544g8?j14n3:1(l==:97:?>{e9?:>6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b26168m133290/m><544g8?j14n3:1(l==:97:?>{e9?:86<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b26108m133290/m><544g8?j14n3:1(l==:97:?>{e9?::6<4=:183!?0:32>96Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b26128m133290/m><544g8?j14n3:1(l==:97:?>{e996Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b25gg8m133290/m><544g8?j14n3:1(l==:97:?>{e996Fn1e9K=22<,;?j6>j7;%c06?43l2B?n?5+4c69<0?<,=h36?jm9:l0b25ga8m133290/m><544g8?j14n3:1(l==:97:?>{e;92<6<47:183!?0:3>996Fn1e9K=22<,h996419:h4$81:>7c702c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo==2182>=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;7;4>">;009i=64i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a775f28036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887;72:&:7<<5m920e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm3363>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa2691=5<,0926?k?8:k117<72-k8>7<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg55<:0:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3?386*63881a5>5$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi??:9:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:55978 <5>2;o;46g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?3?>2.2?44=e1:8m735290/m><52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e;;>h6<47:183!?0:3>996Fn1e9K=22<,h996419594$81:>7c702c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo==2082>=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;7;<>">;009i=64i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a774328036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:4>:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887;7a:&:7<<5m920e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm330b>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa2691=d<,0926?k?8:k117<72-k8>7<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg55:m0:654?:1y'=24=<;30Dl?k;I;40>"f;;02>o5+47c9<1=#il6;oc00?3?k2.2?44=e1:8m735290/m><52778?l4?l3:1(l==:57f?>o>:?0;6)o<2;;75>=h0m31<7*n338;1<=5$`11><4>3A35<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~ff27280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0>b3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vno7>:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2691=`<,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xdd<80:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdd090:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xden00:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;62:&:7<!g4:32>565rbc52>4<3290;w)782;6g3>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thih<4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0>595+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|``0f<62=0;6=u+96090f2<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thin<4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;7:2>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~ff30280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#j4n`17>0?03-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~ff>6280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#j4n`17>0??3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~ff7>280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19474$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307plm7382>1<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?3>i2.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjknh6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rbb41>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjkh96<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:558f8 <5>2k=;7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|``2a<62<0;6=u+96090f1<@h;o7E784:&b778h6`n3586=`=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|``8h6`n3586=c=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|``5d<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?j<6*6388a35=n<5$`11><4?32e2121vno9<:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa2691d7<,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xdem>0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<2i;1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pll7982>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94:a29'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdejm0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;n4:&:7<!g4:32>565rbb5g>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbb;3>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbb0b>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thi;h4>:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;7b<>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fg`5280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0g>3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnn9j:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fge?280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19ll4$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pll7g82>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24l2dj?94:ab9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pll9082>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24l2dj?94:ae9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pll3482>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?3fm2.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjk226<4;:183!?0:3>o;6Fn1e9K=22<,h9969j;;%6a:55`d8 <5>2k=;7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`ab1<62=0;6=u+96090f2<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thh8?4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0>n<5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`agd<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?i>6*6388a35=n<5$`11><4?32e2121vnn:<:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906b7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnn7=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906b7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnn=8:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2691g3<,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xde0k0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;m6:&:7<!g4:32>565rbcd6>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjj>?6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rbcaa>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thh884>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60`>hf;=0>nl5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thh5>4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60`>hf;=0>no5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thh?54>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;7ag>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fg>d280?6=4?{%;46?2c?2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19oj4$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307plmf782>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94:bd9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdd0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<2jo1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307plmcb82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?3d82.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjj><6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjj3?6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rbb1:>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thi4i4>:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;7`0>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fg`0280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0e23-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnn:7:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fgec280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19n94$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pll4882>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?25j2dj?94:c99'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xdd;h0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;l9:&:7<!g4:32>565rbc:f>4<3290;w)782;6g3>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thih?4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0>oo5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|``0d<62=0;6=u+96090f2<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thioh4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;7``>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~ff2e280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0eb3-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vnn=l:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2691f`<,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xde0o0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;k0:&:7<!g4:32>565rbcf7>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjj>o6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rbcae>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thh8h4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;61f>hf;=0>h95+92;9f266<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|``7`<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?o96*6388a35=n<5$`11><4?32e2121vno7?:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa2691a0<,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xdel?0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<2l>1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pll4g82>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94:d99'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdej:0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;k9:&:7<!g4:32>565rbb73>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbb;6>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbb3a>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thi;94>:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;7g`>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fgb0280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0bb3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnn;>:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fgd3280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>19h>4$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pll5382>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?25j2dj?94:e09'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xdd9j0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;j2:&:7<!g4:32>565rbc56>4<3290;w)782;6g3>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thih44>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0>i95+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|``16<62=0;6=u+96090f2<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thin84>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;7f2>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~ff33280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0c03-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vnn?j:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2691`><,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xde??0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;j9:&:7<!g4:32>565rbcfa>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjj?>6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rbc`5>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thh9;4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;61f>hf;=0>ii5+92;9f266<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|``65<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?ni6*6388a35=n<5$`11><4?32e2121vno98:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa2691``<,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xdell0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<2n91/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pll5982>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94:f09'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdej>0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887;i2:&:7<!g4:32>565rbb7:>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zjj896<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:55g68 <5>2k=;7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`a3=<62=0;6=u+96090a1<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?m96*6388a35=n<5$`11><4?32e2121vnok?:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~ff3f280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#0`03-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnol7:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2691c><,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xdd=k0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:=b:lb71<2n01/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pll2582>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?3ai2.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjk=26<4;:183!?0:3>o;6Fn1e9K=22<,h9969j;;%6a:55g`8 <5>2k=;7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`aa7<62=0;6=u+96090f2<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thh9n4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0>ji5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`af<<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3?mi6*6388a35=n<5$`11><4?32e2121vnn;k:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c907d:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~ff41280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1:=>4$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307plm7`82>1<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?0792.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjko?6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rbb7f>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjkhj6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:56168 <5>2k=;7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|``1c<62=0;6=u+96090f2<@h;o7E784:&b779n6`n358540=#1:31n:>4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3thh5;4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;61f>hf;=0=<;5+92;9f266<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|``62<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3<;;6*6388a35=n<5$`11><4?32e2121vno9m:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa26925><,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xdem<0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<1801/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pll6182>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?9490`9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdejk0:694?:1y'=24="f;;0?h95+4c:96ad>3gk8878?b:&:7<!g4:32>565rbb42>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zjj296<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rbb0;>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i479o0(4=6:c53?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thi;n4>:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;43b>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fgc1280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#3773-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnn8<:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fgdd280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1:<<4$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pll6582>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?25j2dj?949129'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xdd0:0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:=b:lb71<19=1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pll2882>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?06=2.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjk=o6<4;:183!?0:3>o;6Fn1e9K=22<,h9969j;;%6a:56048 <5>2k=;7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`aa=<62=0;6=u+96090f2<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thh:84>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0==55+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`af`<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3<:56*6388a35=n<5$`11><4?32e2121vnn89:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c907d:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~ff>3280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#37e3-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vnn5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa26924e<,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xde?o0:694?:1y'=24="f;;0?h95+4c:96ad>3gk8878>d:&:7<!g4:32>565rbcg:>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjj<<6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rbc`e>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47;:0(4=6:c53?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thh:54>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60`>hf;=0=><5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thh484>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;63`>hf;=0=>?5+92;9f266<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|``6f<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3<9?6*6388a35=n<5$`11><4?32e2121vno6?:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa269272<,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xdemh0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<1:<1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pll6882>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?949279'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdek90:694?:1y'=24="f;;0?h95+4c:96ad>3gk8878=7:&:7<!g4:32>565rbb4b>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zjj2=6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rbb0g>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47;k0(4=6:c53?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thi4<4>:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;41f>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fgce280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#34d3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnn8m:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fge6280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1:?k4$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pll6b82>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?25j2dj?9492g9'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xdd0>0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:=b:lb71<1;91/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pll2d82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?0492.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjk296<4;:183!?0:3>o;6Fn1e9K=22<,h9969j;;%6a:56208 <5>2k=;7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`aaf<62=0;6=u+96090f2<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thh:h4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0=?95+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`ag7<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3<896*6388a35=n<5$`11><4?32e2121vnn8i:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906b7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnn67:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c905b:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~ff4a280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1:>64$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307plm8282>1<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?0412.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjkoo6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rbb53>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjki86<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:562a8 <5>2k=;7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|``34<62<0;6=u+96090f1<@h;o7E784:&b778h6`n35857a=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|``<<<62=0;6=u+96090f2<@h;o7E784:&b77;h6`n35857`=#1:31n:>4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3thh?=4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;40b>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fg>3280?6=4?{%;46?2c?2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1:9>4$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307plmed82>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?949409'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdd?;0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<1<;1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307plmc582>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?03;2.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjj=86<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a?7)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjj2j6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a>7)7<9;`44>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rbb12>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47=<0(4=6:c53?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thi484>:583>5}#1>818i94H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;473>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fgca280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#32?3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnn9;:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fge2280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1:9o4$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pll7482>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24l2dj?9494c9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pll8c82>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?27l2dj?9494b9'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xdd;;0:694?:1y'=24="f;;0?h95+4c:96ad>3gk8878;d:&:7<!g4:32>565rbc:5>4<3290;w)782;6g3>Nf9m1C5::4$`11>1b33->i47=o0(4=6:c53?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thij=4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0=8k5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|``33<62=0;6=u+96090f2<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thio;4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;465>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~ff10280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#j4n`17>3353-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~ff>c280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#3343-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vnn=<:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa269202<,0926o9?;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xde0>0:694?:1y'=24="f;;0?h95+4c:96ad>3gk8878:5:&:7<!g4:32>565rbcd2>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjj=26<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rbca4>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47<20(4=6:c53?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thh;l4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60`>hf;=0=945+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thh4h4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60`>hf;=0=9l5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thh?94>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;46f>">;00i;=5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fg>?280?6=4?{%;46?2c?2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1:8m4$81:>g173`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307plmf282>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?9495e9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdd?k0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<1=l1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307plmc882>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?02n2.2?44m719j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjj=h6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjj2m6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjj9=6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:56708 <5>2k=;7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`a5$`11><4?32e2121vnh>m:08;>5<7s-3<>7:=9:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>1:;:4$81:>g173`8>>7>5$`11>70232c94i4?:%c06?22m21b5?850;&b77<><810c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2?i4?:%c06??4l2B2;>54}cde6?7=>3:1"3j109ho74n`17>3023-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}cde4?7=>3:1"3j109ho74n`17>3003-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}cdfa?7=>3:1"3j109ho74n`17>30>3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}cdfe?7=>3:1"3j109ho74n`17>30e3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}cdf3:1"3j109ho74n`17>30c3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}cdf2?7=>3:1"3j109ho74n`17>30a3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c336g<62?0;6=u+9609075<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?0092.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?949739'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a554>280=6=4?{%;46?25;2Bj=i5G9668 10f2:1/m><543d8L=cc3->i47>90(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn<>=8;392?6=8r.2;?4;229Ke4b<@0=?7):9a;18 d552=8m7E6jd:&7f=<5lk30bl=;:757?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg77:>0:6;4?:1y'=24=<;90Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<3<<96*6388a35=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>03495?0=83:p(49=:500?Mg6l2B2;95+47c97>"f;;0?>k5G8df8 1d?2;ni56`n358533=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e998>6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1::94$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgfb>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa26922><,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjon26<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1::74$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgf;>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa26922g<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjon<6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1::l4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgf5>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa26922e<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjon>6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1::j4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgf1>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa26922c<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjon:6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1::h4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgf3>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692=6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoim6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:5?4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgaf>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692=4<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoio6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:5=4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgg1>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692=2<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoo:6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:5;4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgg3>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692=0<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjonm6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:594$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgff>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692=><,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjono6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:574$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbga1>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692=g<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoi:6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:5l4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbga3>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692=e<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjohm6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:5j4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg`f>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692=c<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoho6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:5h4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg`b>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692<6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoh26<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:4?4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg`;>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692<4<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoh<6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:4=4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg`5>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692<2<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoh>6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:4;4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgab>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692<0<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoi26<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:494$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbga;>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692<><,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoi<6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:474$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbga5>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa26927<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjoi>6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:4l4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg;g>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa26927<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo3h6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:4j4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg;a>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa26927<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo3j6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:4h4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg;:>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692d6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo336<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:l?4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg;6>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692d4<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo3?6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:l=4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg;0>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692d2<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo396<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:l;4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg;2>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692d0<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo3;6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:l94$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgc;>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692d><,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjok<6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:l74$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgc5>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692dg<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjok>6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:ll4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbgc7>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692de<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjok86<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:lj4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg5g>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692dc<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo=h6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:lh4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg5a>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692g6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo=j6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:o?4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg5:>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692g4<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo=36<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:o=4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg56>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692g2<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo=?6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:o;4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg50>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692g0<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo=96<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:o94$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg52>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692g><,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo=;6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:o74$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg:g>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692gg<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo2h6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:ol4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg:a>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692ge<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo2j6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:oj4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg::>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692gc<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo236<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:oh4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg46>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692f6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:n?4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg40>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692f4<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo<96<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:n=4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg42>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692f2<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo<;6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:n;4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg4g>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692f0<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:n94$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg4a>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692f><,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:n74$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg4:>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692fg<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo<36<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:nl4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg66>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692fe<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo>?6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:nj4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg60>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692fc<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo>96<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:nh4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg62>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692a6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo>;6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:i?4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg1g>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692a4<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo9h6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:i=4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg1a>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692a2<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo9j6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:i;4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg1:>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692a0<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo936<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:i94$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg6g>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692a><,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo>h6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:i74$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg6a>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692ag<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo>j6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:il4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg6:>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692ae<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo>36<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:ij4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg0b>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692ac<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo826<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:ih4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg0;>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692`6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo8<6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:h?4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg05>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692`4<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo8>6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:h=4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg01>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692`2<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo8:6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:h;4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg03>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692`0<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo;m6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:h94$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg3f>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692`><,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo;o6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:h74$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg16>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692`g<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo9?6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:hl4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg10>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692`e<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo996<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:hj4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg12>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692`c<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo9;6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:hh4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb022e?7=>3:1"3j109ho74n`17>3`73-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk8878i1:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`244>=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<1n;1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi==?8:085>5<7s-3<>7:=3:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:56g18 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f466>3;1:7>50z&:37<3::1Cmbl2.?n54=dc;8jd532?l?7)7<9;`44>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;4e1>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd68881=7850;2x <152=887Eo>d:J:31=#hf;=0=j;5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2692c1<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8::<7?56;294~">?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c334c<62?0;6=u+9609075<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?0a12.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?949f`9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a556c280=6=4?{%;46?25;2Bj=i5G9668 10f2:1/m><543d8L=cc3->i47oh0(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn<>=2;392?6=8r.2;?4;229Ke4b<@0=?7):9a;18 d552=8m7E6jd:&7f=<5lk30bl=;:7d`?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg77:80:6;4?:1y'=24=<;90Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<35$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>03295?0=83:p(49=:500?Mg6l2B2;95+47c97>"f;;0?>k5G8df8 1d?2;ni56`n3585b`=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e99;m6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1:kh4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb022a?7=>3:1"3j109ho74n`17>2673-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk8879?1:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`245g=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<08;1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi==>6:085>5<7s-3<>7:=3:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:57118 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f46703;1:7>50z&:37<3::1Cmbl2.?n54=dc;8jd532>:?7)7<9;`44>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;531>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd689<1=7850;2x <152=887Eo>d:J:31=#hf;=0<<;5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa269351<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8:;>7?56;294~">?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?7;%;0=?d082c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3344<62?0;6=u+9609075<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?1712.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?9480`9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:abc`=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<08k1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wijkk51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?9480b9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:abcb=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<08m1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wijo<51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?9480d9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:abg7=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<08o1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wijo>51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?948119'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:abd`=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<0981/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wijlk51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?948139'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:abdb=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<09:1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wij5;51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?948159'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:ab=2=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<09<1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wij5=51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?948179'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:ab=4=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<09>1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wij5?51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?948199'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:ab=6=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<0901/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wijko51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?9481`9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:abc?=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<09k1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wijk651;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?9481b9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:abc1=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<09m1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wijk851;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?9481d9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:abc3=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<09o1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wij=h51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?948219'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:ab5c=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<0:81/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wij=j51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?948239'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:ab5e=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<0::1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wij=l51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?948259'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:ab5g=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<0:<1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=<==:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:57348 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rbg7g>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa269371<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo?h6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1;?64$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg7a>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa26937?<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo?j6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1;?o4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rbg7:>4<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa26937d<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zjo?36<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1;?m4$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb031f?7=03:1"3j109ho74n`17>24c3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg76;90:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3=9i6*6388a35=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=?51;:94?6|,0=969<9;Ic2`>N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9482g9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm100e>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269366<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f47513;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532>9:7)7<9;`44>o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=l4>:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8879<2:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>13:95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358476=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a544c28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i470(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8;9i7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?>2782>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;502>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2576=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<0;>1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e988<6<47:183!?0:3>9:6Fn1e9K=22<,h99641;>64$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vnf;39"3>h087E6jd:&7f=<5lk30bl=;:61:?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?14i2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd69;?1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi=<<<:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:572a8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb032`?7=03:1"3j109ho74n`17>25c3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg769l0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3=8i6*6388a35=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9483g9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm103a>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269316<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f475:3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532>>:7)7<9;`44>o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8879;2:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>10695?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358406=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a547228036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i470(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8;:?7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?>1982>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;572>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`254?=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<0<>1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e98;<6<47:183!?0:3>9:6Fn1e9K=22<,h99641;964$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn1;39"3>h087E6jd:&7f=<5lk30bl=;:66:?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?13i2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd69921=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0<8o5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi=<>k:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:575a8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb033a?7=03:1"3j109ho74n`17>22c3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg768j0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3=?i6*6388a35=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9484g9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm1024>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269306<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f477=3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532>?:7)7<9;`44>o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8879:2:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>11c95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358416=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a546328036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i470(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8;;?7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo??fe82>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;562>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2554=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<0=>1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e99lh6<47:183!?0:3>9:6Fn1e9K=22<,h99641;864$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn"3>h087E6jd:&7f=<5lk30bl=;:67:?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?12i2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd699:1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0<9o5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi==hn:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:574a8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb02eb?7=03:1"3j109ho74n`17>23c3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg77n00:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3=>i6*6388a35=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9485g9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm11d1>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269336<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f46a?3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532><:7)7<9;`44>o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887992:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>0g495?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358426=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a55`728036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i470(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8:m97?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo??eg82>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;552>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`24c2=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<0>>1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e99oo6<47:183!?0:3>9:6Fn1e9K=22<,h99641;;64$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<>je;39"3>h087E6jd:&7f=<5lk30bl=;:64:?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?11i2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd68l>1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0<:o5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi==k::08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:577a8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb02f7?7=03:1"3j109ho74n`17>20c3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg77m;0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3==i6*6388a35=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9486g9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm11g4>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269326<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f46b93;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532>=:7)7<9;`44>o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887982:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>0d295?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358436=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a55b>28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47>0(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8:om7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo??d982>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;542>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`24ac=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<0?>1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e99nm6<47:183!?0:3>9:6Fn1e9K=22<,h99641;:64$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<>k7;39"3>h087E6jd:&7f=<5lk30bl=;:65:?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?10i2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd68mi1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0<;o5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi==j::08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:576a8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb02g2?7=03:1"3j109ho74n`17>21c3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg77kk0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=51;:94?6|,0=969<9;Ic2`>N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9487g9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm11f7>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa2693=6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~fc6328036=4?{%;46?25i2Bj=i5G9668 d55208i7):9a;:7?!2e038on45aa2693=7<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m<41290/m><59538?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:7a<72-k8>77:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887972:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pljf`82>=<729q/5:<543c8Ld7c3A3<86*n338:6g=#3gk887973:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:33?=65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4=k:18'e64=1:n0D49<;:aac?=9321<7>t$851>14f3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n3584<1=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j=70=83.j??464098k=b>290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77=zjll<6<47:183!?0:3>9m6Fn1e9K=22<,h996433->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>;m0;6)o<2;;0`>N>?:10qoki8;39"3>h0386*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<:76a7d883>!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5>j50;&b77<>;m1C5:=4;|`fb3<6210;6=u+960907g<@h;o7E784:&b77<>:k1/8;o5859'0g>=:mh27co<4;5;3>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k:63<72-k8>77;1:9l290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i81g>5<#i:815>j4H850?>{emo?1=7650;2x <152=8j7Eo>d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb71<0011/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n1;<1<7*n338:04=5$`11><4>3A35<7s-3<>7:=a:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>1;574$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e4<9:18'e64=1=;07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2?i4?:%c06??4l2B2;>54}cgf6?7=03:1!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h;12?6=,h9964:>;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3"f;;02>o5+47c9<1=#il6;oc00?1?j2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o>:?0;6)o<2;;75>=h0m31<7*n338;1<=!g4:32nj6F67298m<5c290/m><592f8L<1432wiih951;:94?6|,0=969N>?=1/m><593`8 10f21>0(9l7:3fa=>hf;=0<4n5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21b5?850;&b77<><810c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`38h7>5$`11><5c3A3"3j109ho74n`17>2>c3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098ygcb93;147>50z&:37<3:h1Cm"3j109ho74n`17>2>b3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l?5>3:1(l==:862?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=6b=83.j??463e9K=25<3thni;4>:983>5}#1>818?o4H`3g?M?0<2.j??462c9'03g=0=1/8o652e`:?kg4<3=3j6*6388a35=n:<81<7*n338120=5$`11>13b32c2>;4?:%c06??3921d4i750;&b7776jf:J:36=4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa2693<6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f`c728036=4?{%;46?25i2Bj=i5G9668 d55208i7):9a;:7?!2e038on45aa2693<7<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m<41290/m><59538?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:7a<72-k8>77?;0?>l5Ga0f8L<133-k8>77=b:&72d397)7<9;`44>o5=;0;6)o<2;051>=n:5$`11><2632e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1:n1<7*n338:7a=O1>907pl>10495?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n3584=6=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:aaa`=9321<7>t$851>14f3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n3584=1=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j=70=83.j??464098k=b>290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77=zjlo?6<47:183!?0:3>9m6Fn1e9K=22<,h996433->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>;m0;6)o<2;;0`>N>?:10qo?>0882>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;5:2>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`24cc=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<01>1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e99l86<47:183!?0:3>9:6Fn1e9K=22<,h99641;464$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<>kb;39"3>h087E6jd:&7f=<5lk30bl=;:6;:?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?1>i2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd68k>1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0<5o5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi==l>:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:578a8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb02g7?7=03:1"3j109ho74n`17>2?c3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg77l;0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3=2i6*6388a35=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9489g9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm11ab>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa2693d6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f46c83;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532>k:7)7<9;`44>o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8879n2:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>0b;95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n3584e6=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a55e?28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i470(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8:hi7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo??c682>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;5b2>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`24fb=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<0i>1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e99i=6<47:183!?0:3>9:6Fn1e9K=22<,h99641;l64$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<>lc;39"3>h087E6jd:&7f=<5lk30bl=;:6c:?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?1fi2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd68j?1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi==lj:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:57`a8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb02`0?7=03:1"3j109ho74n`17>2gc3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg77jm0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3=ji6*6388a35=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?948ag9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm11a0>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa2693g6<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f46d93;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532>h:7)7<9;`44>o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8879m2:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>0ca95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n3584f6=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a55d>28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i470(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8:i47?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo??b`82>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;5a2>">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`24g0=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71<0j>1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{em0o1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa2693g?<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f`?d280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh7m:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57c`8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`?c280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh76:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57cf8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`?f280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh77:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57cd8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`?1280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh78:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57b38 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`>f280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh7;:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57b18 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`>>280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i470(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh6j:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57b78 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`>0280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh67:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57b58 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`>d280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh6k:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57b;8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`>2280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh6;:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57b`8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`>4280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh6>:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57bf8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`>7280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh6=:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57bd8 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`g4280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnho=:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57e38 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`g7280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh7i:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57e18 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`g6280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i470(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh6m:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57e78 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`>1280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnh7::085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:57e58 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f`1f280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn"3>h087E6jd:&7f=<5lk30bl=;:6f:?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A33:1"3j109ho74n`17>2bf3-3857l80:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8879kb:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>12195?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n3584`f=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a545028036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8=2j7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:6ff?!?413;<8=5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a52?b28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1;ih4$81:>41382c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>76a95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<0m91/5>751663?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;5j51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=0>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=:7k:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa2693`4<,0926<9;0:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6?>h1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?948e29'=6?=9>>;7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`23=e=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n3584a1=#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a52?d28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1;h;4$81:>41382c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>76c95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<0m?1/5>751663?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;5l51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=0>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=:7m:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa2693`><,0926<9;0:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6?>31=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?948e89'=6?=9>>;7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`23=g=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n3584ad=#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a52?f28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1;hl4$81:>41382c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>76:95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<0mj1/5>751663?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;5751;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=0>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=:76:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa2693`c<,0926<9;0:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6?>=1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?948eg9'=6?=9>>;7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`23=>=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n3584b5=#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a52??28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1;k?4$81:>41382c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>76495?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<0n;1/5>751663?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;5951;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=05+92;952273`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=:o7:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa2693c2<,0926<9;0:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6?1?1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?948f49'=6?=9>>;7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`23<0=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n3584b3=#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a52g028086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1;k94$81:>41382c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>79695?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<0n11/5>751663?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;4;51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=0>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=:o9:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa2693cg<,0926<9;0:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6?191=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?948fc9'=6?=9>>;7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`23<2=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n3584bf=#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a52g228086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1;kj4$81:>41382c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>79095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<0nl1/5>751663?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;4=51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=0>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=:o;:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269<56<,0926<9;0:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6?1;1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?947009'=6?=9>>;7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`23<4=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n358;47=#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a52g428086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>14==4$81:>41382c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>79295?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751663?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;4?51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=03<85+92;952273`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=:o=:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269<50<,0926<9;0:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6?>l1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?947069'=6?=9>>;7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`23<6=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n358;4==#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a52g628086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>14=74$81:>41382c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>76g95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751663?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;5h51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=03>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=:o?:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269<5e<,0926<9;0:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6?>n1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?9470e9'=6?=9>>;7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`23=c=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mm6`n358;4`=#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a52?028086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>14=h4$81:>41382c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>76795?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751663?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;5851;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=03=<5+92;952273`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=:8m:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269<44<,0926<9;0:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6??k1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?947129'=6?=9>>;7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c340=<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<32:86*638823165$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8876>5:&:7<<6?=:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>77;95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751663?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:23>">;00:;9>4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg70=10:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?>602.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd6??21=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?947189'=6?=9>>;7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3403<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<32:m6*638823165$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8876>b:&:7<<6?=:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>77595?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751663?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:2`>">;00:;9>4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg70=?0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?>6m2.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd6??<1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?9471g9'=6?=9>>;7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3401<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<329<6*638823165$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8876=1:&:7<<6?=:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>77795?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751663?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=4>:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:17>">;00:;9>4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg70==0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?>5<2.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd6??>1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?947249'=6?=9>>;7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3407<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<329:6*638823165$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8876=7:&:7<<6?=:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>76695?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751663?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:1=>">;00:;9>4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg70>;0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?>5i2.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd6?>91=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?9472c9'=6?=9>>;7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3415<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<329o6*638823165$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8876=d:&:7<<6?=:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>76095?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751663?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:1b>">;00:;9>4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg70>90:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?>482.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd6?>;1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?947309'=6?=9>>;7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c340`<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<328>6*638823165$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8876<3:&:7<<6?=:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>76295?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751663?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:01>">;00:;9>4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg70=l0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?>4>2.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd6??l1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?947369'=6?=9>>;7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c340f<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<32846*638823165$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8876<9:&:7<<6?=:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>77g95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751663?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:0f>">;00:;9>4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg70=j0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?>4k2.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd6??n1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?9473e9'=6?=9>>;7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c340d<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<328i6*638823165$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk88760bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>77a95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751663?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:75>">;00:;9>4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg70=h0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?>3:2.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd6??91=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?947429'=6?=9>>;7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3404<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<32?86*638823165$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk8876;5:&:7<<6?=:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>88`95?>=83:p(49=:50b?Mg6l2B2;95+a209=7d<,=7be12dj?947479'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:338h6F67298yg7??>0:654?:1y'=24=<;k0Dl?k;I;40>"f;;02>o5+47c9<1=#il6;oc00?>3?2.2?44>7528m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n1;<1<7*n338:04=5$`11><4>3A3"3>h087E6jd:&7f=<5lk30bl=;:96;?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2<<>=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj822;7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>88;95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358;0g=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f4>>>3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd5321>h7)7<9;3405=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9474e9'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb0:b7?7=03:1"3j109ho74n`17>=2b3-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd60h81=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=038k5+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<664;39"3>h087E6jd:&7f=<5lk30bl=;:973?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj82j<7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>88g95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358;16=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f4>>l3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd5321??7)7<9;3405=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?947549'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb0::g?7=03:1"3j109ho74n`17>=313-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd60091=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=039:5+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<662;39"3>h087E6jd:&7f=<5lk30bl=;:97;?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2<20=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj82<97?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>86195?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358;1g=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f4>0:3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd5321?h7)7<9;3405=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9475e9'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb0:45?7=03:1"3j109ho74n`17>=3b3-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd60>:1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=039k5+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<68e;39"3>h087E6jd:&7f=<5lk30bl=;:943?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2<2b=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb7181/5>751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj82?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>86a95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358;26=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f4>0j3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd53215$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?947649'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb0:4"3j109ho74n`17>=013-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd60>k1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=03::5+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<69f;39"3>h087E6jd:&7f=<5lk30bl=;:94;?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2<3c=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb7101/5>751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj82>n7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>84c95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358;2g=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f4>cj3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd53215$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>8ec95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358;2a=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj82o57?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71o1/5>751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn<6k7;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:953?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd60m<1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=03;<5+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0:f7?7=>3:1"3j109ho74n`17>=153-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?947729'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4>b93;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5321=?7)7<9;3405=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>8d295?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358;30=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj82oj7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb711/5>751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn<6kd;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:95;?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd60mi1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=03;45+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0:g1?7=>3:1"3j109ho74n`17>=1f3-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?9477c9'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4>ej3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5321=h7)7<9;3405=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>8cc95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358;3a=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj82i57?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn<6m7;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:9:3?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd60k<1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=034<5+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0:`7?7=>3:1"3j109ho74n`17>=>53-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?947829'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4>d93;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd53212?7)7<9;3405=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>8b295?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358;<0=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj82ij7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb711/5>751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn<6md;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:9:;?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd60ki1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=03445+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0:a1?7=>3:1"3j109ho74n`17>=>f3-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?9478c9'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4>2?3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd53212h7)7<9;3405=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?9478e9'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb0:33?7=03:1"3j109ho74n`17>=>b3-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd609k1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=034k5+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<6?4;39"3>h087E6jd:&7f=<5lk30bl=;:9;3?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2<64=9321<7>t$851>14f3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n358;=4=#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m<41290/m><59538?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:7a<72-k8>77k4>:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887662:&:7<<6?=:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm16df>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269<<5<,0926<9;0:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg7?880:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<32286*63882316j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi=:hm:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:58878 <5>28=?<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?>>>2.2?44>7528m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e918h6<47:183!?0:3>9:6Fn1e9K=22<,h996414494$81:>41382c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?72782>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;::<>">;00:;9>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a52`228036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk88766a:&:7<<6?=:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm1900>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269<7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg70m90:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<322o6*63882316j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi=:jk:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:588f8 <5>28=?<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?>>m2.2?44>7528m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e91>h6<47:183!?0:3>9:6Fn1e9K=22<,h9964144h4$81:>41382c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?8f182>=<729q/5:<543c8Ld7c3A3<86*n338:6g=#3gk8876n0:&:7<<6?=:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7313;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd5321k:7)7<9;3405=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?947a39'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb05fe?7=03:1"3j109ho74n`17>=g43-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd60=<1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=03m95+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<9j4;39"3>h087E6jd:&7f=<5lk30bl=;:9c6?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`23`7=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751663?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj82?<7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>85195?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358;e==#1:31=::?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f4>4i3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd5321k27)7<9;3405=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=951;:94?6|,0=969<9;Ic2`>N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?947a`9'=6?=9>>;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb0:0`?7=03:1"3j109ho74n`17>=ge3-3857?8419j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd608n1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=03mn5+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn<6;f;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:9cg?!?413;<8=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd60;81=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=03mh5+92;952273`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vnl8>:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fd05280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#=d73-3857o;d:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnl8?:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2697:90:9j=70=83.j??462998k25a290/m><584;8?xdf=l0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb7175a5f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pln5g82>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?947b29'=6?=i=n0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdf=m0:694?:1y'=24="f;;0?h95+4c:96ad>3gk8876m4:&:7<!g4:32>565rb`7a>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjh?h6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb`7b>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thj954>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=03n55+92;9e1b6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`b1<<62=0;6=u+96090f2<@h;o7E784:&b775<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thj9:4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:ae>">;00j8i5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fd32280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#=de3-3857o;d:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnl;9:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fd33280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>14oj4$81:>d2c3`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pln5382>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?947bd9'=6?=i=n0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdf=:0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb7175a5f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pln5082>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?>d82.2?44n4e9j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjh=h6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb`5g>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjh=i6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:58b18 <5>2h>o7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`b3<<62=0;6=u+96090f2<@h;o7E784:&b775<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thj;l4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=03o85+92;9e1b6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`b3=<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<32h:6*6388b0a=n<5$`11><4?32e2121vnl99:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fd10280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#=e?3-3857o;d:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnl9::087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2697:90:9j=70=83.j??462998k25a290/m><584;8?xdf?:0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb7175a5f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pln7582>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?947cc9'=6?=i=n0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdf?;0:694?:1y'=24="f;;0?h95+4c:96ad>3gk8876lc:&:7<!g4:32>565rb`53>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjh=:6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb`4e>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3thj:i4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=03h=5+92;9e1b6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`b2`<62=0;6=u+96090f2<@h;o7E784:&b775<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thj:n4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;:g6>">;00j8i5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~fd0f280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#=b43-3857o;d:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnl8m:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fd0>280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>14i;4$81:>d2c3`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pln6682>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?947d79'=6?=i=n0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdf>10:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb711/5>75a5f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pln6782>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?>c02.2?44n4e9j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zjh>m6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb`73>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjh>n6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:58e`8 <5>2h>o7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`b21<62=0;6=u+96090f2<@h;o7E784:&b775<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thj:84>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=03hi5+92;9e1b6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`b26<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<32oi6*6388b0a=n<5$`11><4?32e2121vnlm;:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58ed8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fde4280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlm=:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58d38 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fde6280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlm?:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58d18 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fdda280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i470(4=6:`6g?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlml:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58d78 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fdee280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlmn:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58d58 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fde>280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlm7:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58d;8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fde0280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlm9:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58d`8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fde2280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnllj:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58df8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fddc280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlo=:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58dd8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fdg6280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlo?:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58g38 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fd?a280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnl7j:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58g18 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fd?c280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i470(4=6:`6g?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlon:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58g78 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fdg>280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlo7:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58g58 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fdg0280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlo9:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58g;8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fdg2280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlo;:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58g`8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fdg4280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnl7l:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:58gf8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fd?e280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vno;k:08;>5<7s-3<>7:=a:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>14kh4$81:>d2c3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e4<9:18'e64=1=;07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2?i4?:%c06??4l2B2;>54}c`6g?7=03:1"3j109ho74n`17><673-3857o;d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098ygd2j3;147>50z&:37<3:h1Cm"3j109ho74n`17><663-3857o;d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l?5>3:1(l==:862?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=6b=83.j??463e9K=25<3thi9l4>:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8877?2:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07plm5882>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;;37>">;00j8i5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`a1=<6210;6=u+9609070<@h;o7E784:&b77<>:k1/8;o53:J;aa=#il6;oc00??7<2.2?44n4e9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xde><0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<33;96*6388b0a=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8877?6:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07plm6282>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;;33>">;00j8i5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`a27<6210;6=u+9609070<@h;o7E784:&b77<>:k1/8;o53:J;aa=#il6;oc00??702.2?44n4e9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xde>80:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<33;56*6388b0a=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk8877?a:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07plm5g82>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;;3f>">;00j8i5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`a1`<6210;6=u+9609070<@h;o7E784:&b77<>:k1/8;o53:J;aa=#il6;oc00??7k2.2?44n4e9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xde=>0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<33;h6*6388b0a=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=:983>5}#1>818?o4H`3g?M?0<2.j??462c9'03g=0=1/8o652e`:?kg4<33;i6*6388b0a=n:<81<7*n338120=5$`11>13b32c2>;4?:%c06??3921d4i750;&b7776jf:J:36="f;;0?>k5G8df8 1d?2;ni56`n358:4c=#1:31m9j4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{ei191=7850;2x <152=887Eo>d:J:31=#hf;=02==5+92;9e1bj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b77"f;;0?>k5G8df8 1d?2;ni56`n358:54=#1:31m9j4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{ej?31=7650;2x <152=8j7Eo>d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb71<>9;1/5>75a5f8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n1;<1<7*n338:04=5$`11><4>3A35<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:59018 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fg4a280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i470(4=6:`6g?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vno5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:59078 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fg4c280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vno5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:59058 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fg4e280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vno5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:590;8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~fg4>280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vnlk;:08;>5<7s-3<>7:=a:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>15d2c3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e4<9:18'e64=1=;07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2?i4?:%c06??4l2B2;>54}ccf7?7=03:1!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h;12?6=,h9964:>;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3"f;;02>o5+47c9<1=#il6;oc00??6l2.2?44n4e9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o>:?0;6)o<2;;75>=h0m31<7*n338;1<=!g4:32nj6F67298m<5c290/m><592f8L<1432wimh?51;:94?6|,0=969N>?=1/m><593`8 10f21>0(9l7:3fa=>hf;=02=h5+92;9e1bj7>5$`11>73a32c94i4?:%c06?22m21b5?850;&b77<><810c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`38h7>5$`11><5c3A34Nf9m1C5::4$`11><4e3->=m76;;%6a:590d8 <5>2h>o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:338h6F67298yggcn3;147>50z&:37<3:h1Cm"3j109ho74n`17><473-3857o;d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l?5>3:1(l==:862?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=6b=83.j??463e9K=25<3thjin4>:983>5}#1>818?o4H`3g?M?0<2.j??462c9'03g=0=1/8o652e`:?kg4<339=6*6388b0a=n:<81<7*n338120=5$`11>13b32c2>;4?:%c06??3921d4i750;&b7776jf:J:36==83:p(49=:50b?Mg6l2B2;95+a209=7d<,=7be12dj?946239'=6?=i=n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d77<:f:9j6=b=83.j??4;5d98m<41290/m><59538?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:7a<72-k8>77?;0?>l5Ga0f8L<133-k8>77=b:&72do5=;0;6)o<2;051>=n:5$`11><2632e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1:n1<7*n338:7a=O1>907plne982>=<729q/5:<543c8Ld7c3A3<86*n338:6g=#3gk8877=5:&:7<8<50;&b77<5><10e?;i:18'e64=:!g4:33?=65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4=k:18'e64=1:n0D49<;:ae`1=9321<7>t$851>14f3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n358:63=#1:31m9j4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j=70=83.j??464098k=b>290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77=zjho=6<47:183!?0:3>9m6Fn1e9K=22<,h996433->i47o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>;m0;6)o<2;;0`>N>?:10qooj5;39"3>h0386*;b981`g?8h50;&b77<5=o10e?6k:18'e64=<:76a7d883>!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5>j50;&b77<>;m1C5:=4;|`b``<6210;6=u+960907g<@h;o7E784:&b77<>:k1/8;o5859'0g>=:mh27co<4;;1=>">;00j8i5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k:63<72-k8>77;1:9l290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i81g>5<#i:815>j4H850?>{eimn1=7650;2x <152=8j7Eo>d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb71<>:h1/5>75a5f8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n1;<1<7*n338:04=5$`11><4>3A33;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17><4e3-3857?l309j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e9l;96<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:593a8 <5>28i8=6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2`cd=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02>i5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>e0f95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<>:l1/5>751b12?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;;1b>">;00:o>?4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg7b9j0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk8877<0:&:7<<6k:;0e?8<:18'e64=<!g4:32>565rb0g3f?7=<3:15$`11><4?32e2121vnb;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17><553-3857?l309j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e9l:26<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:59218 <5>28i8=6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5`7f28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>15>:4$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>e1595?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>;<1/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:i<751;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:73=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7b8<0:694?:1y'=24="f;;0?h95+4c:96ad>3gk8877<7:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3f5=<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn"3j109ho74n`17><5>3-3857?l309j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8o:;7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:81b?!?413;h?<5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a5`66280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>15>l4$81:>4e492c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th:hkh51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:7a=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6m8?1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?9463d9'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3gba<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<338j6*63882g675$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00??382.2?44>c238m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8nmm7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:862?!?413;h?<5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4eb;3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th:on951;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:01=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6kl;1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?946449'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3`g0<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<33?:6*63882g675$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00??3?2.2?44>c238m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8ih?7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:86;?!?413;h?<5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4ecn3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=njj:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269=1d<,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6kkl1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?9464b9'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g`b=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=028i5+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00??3m2.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;;7b>">;00:o>?4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f4ec?3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb0aff?7=;3:15$`11>=3>32wi=nj::087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269=04<,09267:90:9j6<593:8?j14n3:1(l==:97:?>{e9joj6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:59418 <5>28i8=6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2ga5=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02995+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>cd;95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<>=<1/5>751b12?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;;62>">;00:o>?4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg7dm10:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk8877:7:&:7<<6k:;0e?8<:18'e64=<!g4:32>565rb0a`b?7=<3:15$`11><4?32e2121vn"3j109ho74n`17><3>3-3857?l309j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e9jio6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:594c8 <5>28i8=6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5fc128086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>158l4$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>cb`95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>=j1/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:oh;51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:1a=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7dk00:694?:1y'=24="f;;0?h95+4c:96ad>3gk8877:e:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3``a<62:0;6=u+9609e4e<@h;o7E784:&b77j6*63882g67i;7>5$`11>13b32e2121vn"3j109ho74n`17><073-3857?l309j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8i?h7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:842?!?413;h?<5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a5f2d28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>15;<4$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>c2c95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>>:1/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o9l51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:21=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7d;00:694?:1y'=24="f;;0?h95+4c:96ad>3gk887795:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3`06<62:0;6=u+96090f7<@h;o7E784:&b77">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`2g1g=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=02::5+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00??102.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<33=56*63882g676=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th:o9751;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:2d=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7d;>0:694?:1y'=24="f;;0?h95+4c:96ad>3gk88779b:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3`04<62:0;6=u+96090f7<@h;o7E784:&b77">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`2g1>=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=02:i5+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00??1m2.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<33=j6*63882g676=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th:o9951;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:35=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7d;<0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887781:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3`7c<62:0;6=u+96090f7<@h;o7E784:&b77">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`2g10=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=02;>5+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00??0<2.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<33<96*63882g676=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th:o9;51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:33=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7d;:0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887787:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3`7a<62:0;6=u+96090f7<@h;o7E784:&b77">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`2g12=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=02;45+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00??0i2.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<336=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th:hhh51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:3f=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7cml0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk88778d:&:7<<6k:;0e?8<:18'e64=<!g4:32>565rb0fg3?7=<3:15$`11><4?32e2121vn"3j109ho74n`17><1a3-3857?l309j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e9mo26<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:59928 <5>28i8=6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5a`?28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>155?4$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>dd595?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>0;1/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:hk951;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:<6=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7cm<0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887774:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3gb3<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn"3j109ho74n`17><>13-3857?l309j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8nm97?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:8:4?!?413;h?<5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a5ac6280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>15564$81:>4e492c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th:hih51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6lo91=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?9468c9'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3g`a<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<333o6*63882g675$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00???l2.2?44>c238m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8non7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:8:f?!?413;h?<5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4ba93;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=ikk:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269=<7<,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6lm<1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946939'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2`fd=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=025>5+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00??><2.2?44>c238m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8ni?7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:8;6?!?413;h?<5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4bc=3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=ij;:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269=<><,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6lj91=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946989'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2`a5=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=025l5+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00??>j2.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;;:g>">;00:o>?4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f4ben3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb0fg5?7=;3:15$`11>=3>32wi=ilk:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269=<`<,09267:90:9j6<593:8?j14n3:1(l==:97:?>{e9mn;6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:59`28 <5>28i8=6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2`gd=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02m<5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>dbd95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<>i;1/5>751b12?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;;b7>">;00:o>?4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg7ckl0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk8877n4:&:7<<6k:;0e?8<:18'e64=<!g4:32>565rb0fa3?7=<3:17)7<9;3`74=n:?91<7*n338725=5$`11><4?32e2121vn"3j109ho74n`17><544g8?j14n3:1(l==:97:?>{e9mh>6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:59`58 <5>28i8=6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5ae>28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>15l64$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>dc095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>i01/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:hlo51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:ed=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7ci00:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk8877nb:&:7<<6k:;0e?8<:18'e64=<!g4:32>565rb0f3g?7=<3:15$`11><4?32e2121vn"3j109ho74n`17><544g8?j14n3:1(l==:97:?>{e9m:j6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:59`g8 <5>28i8=6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5ag128086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>15lh4$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>d1;95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>j91/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:hl;51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:f4=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7c810:694?:1y'=24="f;;0?h95+4c:96ad>3gk8877m2:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3ge1<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn"3j109ho74n`17><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8nj?7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:8`6?!?413;h?<5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a5a61280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>15o84$81:>4e492c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th:h=;51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:f==#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6lh;1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?946b89'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3g41<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<33im6*63882g675$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00??ej2.2?44>c238m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8n;?7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:8``?!?413;h?<5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4b>n3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=i7j:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269=g`<,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6l9;1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946c19'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2`t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=02o<5+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00??d:2.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;;`7>">;00:o>?4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f4eam3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb0f:e?7=;3:17)7<9;3`74=n:?91<7*n33871`=5$`11>=3>32wi=nhk:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269=f0<,09267:90:9j6<593:8?j14n3:1(l==:97:?>{e9m326<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:59b58 <5>28i8=6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2gce=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02o55+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>d8:95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<>k01/5>751b12?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;;`e>">;00:o>?4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg7c1>0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk8877lb:&:7<<6k:;0e?8<:18'e64=<!g4:32>565rb0aee?7=<3:15$`11><4?32e2121vn"3j109ho74n`17><544g8?j14n3:1(l==:97:?>{e9jl26<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:59bg8 <5>28i8=6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5a?228086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>15nh4$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>cg:95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>l91/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:h4:51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358:`4=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7dn>0:694?:1y'=24="f;;0?h95+4c:96ad>3gk8877k2:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3g=6<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn"3j109ho74n`17><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8ni=7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:8f6?!?413;h?<5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a5a74280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>15i84$81:>4e492c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th:h<<51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:`==#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6lhl1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?946d89'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3g54<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<33om6*63882g675$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00??cj2.2?44>c238m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8n:<7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:8f`?!?413;h?<5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4bfl3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=iol:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269=a`<,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6l9o1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946e19'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2`dd=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=02i<5+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00??b:2.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;;f7>">;00:o>?4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f4b7j3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb0f:`?7=;3:17)7<9;3`74=n:?91<7*n33871`=5$`11>=3>32wi=i>?:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269=`0<,09267:90:9j6<593:8?j14n3:1(l==:97:?>{e9m396<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:59d58 <5>28i8=6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2gc2=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02i55+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c`095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>m01/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:ol?51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:ad=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6khh1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946ec9'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2gdg=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02in5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c`;95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>mm1/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:ol651;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:a`=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6kh=1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946eg9'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2gd0=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02j=5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c`795?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>n81/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:ol:51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:b7=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6kh91=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946f29'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2gd6=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02j95+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c4495?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>n<1/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o8;51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:b3=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k<>1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946f69'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g05=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02j55+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c4095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>n01/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o8?51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:bd=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946fc9'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g0c=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=02jn5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c4f95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<>nm1/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o8m51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358:b`=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k7Eo>d:J:31=#i:818i:4$5`;>7be12dj?946fg9'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g0g=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j<=5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c4;95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o8651;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b47=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k<=1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n029'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g06=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j<95+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c9c95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o5751;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b43=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k091=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n069'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g<4=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j<55+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c8395?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o4>51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b4d=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k1l1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n0c9'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g=c=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c9f95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o5m51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b4`=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k1h1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n0g9'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g=>=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j==5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c6095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o:?51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b57=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k>h1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n129'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g2g=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j=95+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c6;95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o:651;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b53=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k>=1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n169'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g20=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j=55+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>c6795?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:o::51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b5d=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6k>91=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n1c9'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2g26=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j=n5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>e5295?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e9l>96<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0g0b?7=<3:15$`11><4?32e2121vn"3j109ho74$54b>dd>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg7b<=0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7om;oc00?g592.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl>e5395?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:i>k51;694?6|,0=969j8;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b66=#1:31=n=>;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xd6m:i1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4nb:lb71751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47:5a378 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj8o?97?54;294~">?;0?h;5Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:`05?!?413;h?<5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4c4>3;187>50z&:37<3k=1Cmd403-3857?l309j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?ge3gk887o=8:&:7<<6k:;0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6m:?1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n289'=6?=9j9:7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`2a6?=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n358b6d=#1:31=n=>;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=h=n:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9eg=ii:>1m?l4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f4c4?3;187>50z&:37<3l?1Cmo86*;b981`g?!g4:32>565rb0g00?7=<3:15$`11><4?32e2121vn"3j109ho74$54b>dd>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg7b;:0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7om;oc00?g5n2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl>e2`95?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:i?m51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8bf>hf;=0j?<5+92;95f563`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a5`4b280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn"3j109ho74n`17>d543-3857?l309j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8o9j7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:``8jd532h9?7)7<9;3`74=n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c3f75<62=0;6=u+96090f2<@h;o7E784:&b77">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:i?j51;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b73=#1:31=n=>;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xd6m;k1=7:50;2x <152=n<7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n369'=6?=9j9:7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`2a7>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jn6`n358b7==#1:31=n=>;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=h<6:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9eg=ii:>1m>74$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f4c493;187>50z&:37<3l?1Cmo86*;b981`g?!g4:32>565rb0g16?7=<3:1bm2.?n54=dc;8 10f2hh0bl=;:`1a?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=94>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;ca?kg4<3k8o6*63882g676=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`2a77=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j?i5+92;95f563`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pl>e3795?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e9l8=6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0g17?7=<3:1;7)7<9;3`74=n<5$`11><4?32e2121vn"3j109ho74n`17>d263-3857?l309j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8o:i7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:``8jd532h>97)7<9;3`74=n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c3f5c<62=0;6=u+96090f2<@h;o7E784:&b77">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:i?951;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b01=#1:31=n=>;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xd6mj81=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94n449'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3fg4<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn"3j109ho74n`17>d203-3857?l309j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8ohn7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:`6;?!?413;h?<5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a5`g>280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1m974$81:>4e492c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th:il651;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b0g=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6mj31=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94n4b9'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3fe2<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3k?h6*63882g675$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?g3m2.2?44>c238m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8oj:7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:`6e?!?413;h?<5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4cd?3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=hm9:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269e04<,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6mh>1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n529'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2af3=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0j995+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?g2=2.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;c62>">;00:o>?4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f4cf:3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb0g`7?7=;3:15$`11>=3>32wi=ho>:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269e0?<,09267:90:9j6<593:8?j14n3:1(l==:97:?>{e9li;6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5a4c8 <5>28i8=6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2a1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j9o5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>eg295?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751b12?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;c6`>">;00:o>?4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f4cdm3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb0ge=?7=;3:15$`11>=3>32wi=hj8:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269e36<,09267:90:9j6<593:8?j14n3:1(l==:97:?>{e9ll36<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5a738 <5>28i8=6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2aa0=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j:?5+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>eg595?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71:1/5>751b12?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;c50>">;00:o>?4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg7bn?0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887o95:&:7<<6k:;0e?8<:18'e64=<!g4:32>565rb0gg0?7=<3:15$`11><4?32e2121vn"3j109ho74n`17>d003-3857?l309j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e9ln86<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5a7:8 <5>28i8=6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5``328086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1m;74$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>ee095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71h1/5>751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:ik=51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358b2g=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7bl80:694?:1y'=24="f;;0?h95+4c:96ad>3gk887o9c:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3fb7<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn"3j109ho74n`17>d0b3-3857?l309j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8om=7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:`4e?!?413;h?<5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a5`ea280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1m:>4$81:>4e492c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47;0(4=6:0a05>o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th:inm51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b37=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6m0>1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94n729'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3f=6<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn"3j109ho74n`17>d123-3857?l309j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8o2>7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:`55?!?413;h?<5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a5`02280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1m:94$81:>4e492c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i4720(4=6:0a05>o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th:i;:51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358b3<=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6m0:1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94n7`9'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3f26<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3k5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?g0k2.2?44>c238m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8o=>7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:`5g?!?413;h?<5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4c>l3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47l0(4=6:0a05>o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=h7l:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269e=6<,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6m?o1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94n809'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2at$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0j4?5+92;95f563`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?g?;2.2?44>c238m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;c;0>">;00:o>?4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f4c1k3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb0g:=?7=;3:15$`11>=3>32wi=h8m:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269e=1<,09267:90:9j6<593:8?j14n3:1(l==:97:?>{e9l336<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5a9:8 <5>28i8=6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2a3g=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0j445+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>e8595?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751b12?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;c;f>">;00:o>?4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg7b1?0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887o7c:&:7<<6k:;0e?8<:18'e64=<!g4:32>565rb0g55$`11><4?32e2121vn"3j109ho74n`17>d>b3-3857?l309j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e9l<<6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5a9d8 <5>28i8=6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5`>b28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1m4>4$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>e7295?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:jN>?=1/m><5a0`8 1d?2;ni56`n358b=7=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7a9m0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887o63:&:7<<6k:;0e?8<:18'e64=<!g4:32>565rb0geg?7=<3:15$`11><4?32e2121vn"3j109ho74n`17>d?23-3857?l309j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e9o:>6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5a848 <5>28i8=6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5c4128086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1m494$81:>4e492c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>f1695?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71751b12?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:j?;51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358b=<=#1:31=n=>;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg7a8:0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887o6a:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c3e61<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn"3j109ho74n`17>d?d3-3857?l309j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8l9?7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:`;g?!?413;h?<5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a5c66280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1m4k4$81:>4e492c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th:j=>51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358be5=#1:31=n=>;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6n;;1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94na09'=6?=9j9:7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c3fbc<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3kj>6*63882g675$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?gf;2.2?44>c238m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8omi7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:`c7?!?413;h?<5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4`6n3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=k?l:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269ed1<,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6mok1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94na99'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`15`3=9321<7>t$851>1413Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;n87?58;294~">?;0?>;5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=1df95?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358beg=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77bk3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532hkh7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/8;o53:&b77<>:k1C4hj4$5`;>7be12dj?94nae9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33fe?7=03:1"3j109ho74n`17>dgb3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59l31=7650;2x <152=8=7Eo>d:J:31=#hf;=0jmk5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??j8;395<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`15`1=9321<7>t$851>1413Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;n:7?58;294~">?;0?>;5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=1d195?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358bf6=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77b:3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532hh?7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94nb49'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3255?7=03:1"3j109ho74n`17>dd13-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd58?:1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0jn:5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?>:f;39"3>h087E6jd:&7f=<5lk30bl=;:``;?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`140c=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;:>h7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=07c95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358bfg=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f76113;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532hhh7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94nbe9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3253?7=03:1"3j109ho74n`17>ddb3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd58?<1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0jnk5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?>95;39"3>h087E6jd:&7f=<5lk30bl=;:`a3?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1432=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;:=?7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=04a95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358bg6=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f762j3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532hi?7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/8;o53:&b77<>:k1C4hj4$5`;>7be12dj?94nc49'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33g2?7=03:1"3j109ho74n`17>de13-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59ml1=7650;2x <152=8=7Eo>d:J:31=#hf;=0jo:5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??ke;395<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`15ab=9321<7>t$851>1413Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;oo7?58;294~">?;0?>;5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=1e`95?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358bgg=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77ci3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532hih7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/8;o53:&b77<>:k1C4hj4$5`;>7be12dj?94nce9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33g"3j109ho74n`17>deb3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59m?1=7650;2x <152=8=7Eo>d:J:31=#hf;=0jok5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??k4;395<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`15f?=9321<7>t$851>1413Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;h47?58;294~">?;0?>;5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=1e395?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358b`6=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77c83;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532hn?7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/8;o53:&b77<>:k1C4hj4$5`;>7be12dj?94nd49'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33`a?7=03:1"3j109ho74n`17>db13-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59jn1=7650;2x <152=8=7Eo>d:J:31=#hf;=0jh:5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??lc;395<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`15fd=9321<7>t$851>1413Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;hm7?58;294~">?;0?>;5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=1b595?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358b`g=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77d>3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532hnh7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94nde9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb32`"3j109ho74n`17>dbb3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd58j=1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0jhk5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?>l6;39"3>h087E6jd:&7f=<5lk30bl=;:`g3?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`14f3=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8lnj7?58;294~">?;0?>;5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>fdg95?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358ba6=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f4`bl3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532ho?7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/8;o53:&b77<>:k1C4hj4$5`;>7be12dj?94ne49'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb0dff?7=03:1"3j109ho74n`17>dc13-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd6nlk1=7650;2x <152=8=7Eo>d:J:31=#hf;=0ji:5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2b`>=9321<7>t$851>1413Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8ln;7?58;294~">?;0?>;5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>fd495?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358bag=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f765l3;1:7>50z&:37<3::1Cmbl2.?n54=dc;8jd532hoh7)7<9;3`74=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=03a95?0=83:p(49=:500?Mg6l2B2;95+47c97>"f;;0?>k5G8df8 1d?2;ni56`n358baa=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;:9n7?56;294~">?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`156g=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;8;7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=12495?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358bb4=#1:31=n=>;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77413;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532hl97)7<9;3`74=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=;51;:94?6|,0=969<:;Ic2`>N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94nf29'=6?=9j9:7d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3300?7=03:1"3j109ho74n`17>d`33-3857?l309j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd59:91=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0jj85+92;95f563`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??<2;39"3>h087E6jd:&7f=<5lk30bl=;:`d5?!?413;h?<5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1567=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb711/5>751b12?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;8<7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=13g95?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358bb<=#1:31=n=>;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f775k3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532hlj7)7<9;3`74=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94nfc9'=6?=9j9:7d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb331f?7=03:1"3j109ho74n`17>d`d3-3857?l309j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd59;k1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0jji5+92;95f563`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??=9;39"3>h087E6jd:&7f=<5lk30bl=;:`df?!?413;h?<5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`157>=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;9;7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g??;%;0=?7d;81b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=13495?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a44=#1:31=n=>;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f775<3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532k:97)7<9;3`74=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m029'=6?=9j9:7d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3311?7=03:1"3j109ho74n`17>g633-3857?l309j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd59=:1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i<85+92;95f563`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??"3>h087E6jd:&7f=<5lk30bl=;:c25?!?413;h?<5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`156c=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb711/5>751b12?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;8h7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?7;%;0=?7d;81b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=12a95?>=83:p(49=:50:?Mg6l2B2;95+a209=7d<,=7be12dj?94m089'=6?=9j9:7d<:2;29 d552;<>76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:338h6F67298yg46;k0:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3h;m6*63882g675$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi><5<7s-3<>7:=9:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>1n=l4$81:>4e492c99?4?:%c06?41=21b>5j50;&b77<3=l10e4<9:18'e64=1=;07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5>j50;&b77<>;m1C5:=4;|`1574=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;847?58;294~">?;0?>45Ga0f8L<133-k8>77=b:&72d5$`11><2632e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=4Nf9m1C5::4$`11><4e3->=m76;;%6a:5b1g8 <5>28i8=6g=5383>!g4:38=965f29f94?"f;;0?9h54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07d7=6;29 d5520>:76a7d883>!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21vn?>m5;39"3>h087E6jd:&7f=<5lk30bl=;:c33?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77t$851>14?3Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><2632e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=zj;:i?7?58;294~">?;0?>55Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65f93494?"f;;028<54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907pl=0c095?>=83:p(49=:50;?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a56=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?l?5>3:1(l==:862?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9~f4`c13;1:7>50z&:37<3::1Cmbl2.?n54=dc;8jd532k;?7)7<9;3`74=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>fe:95?0=83:p(49=:500?Mg6l2B2;95+47c97>"f;;0?>k5G8df8 1d?2;ni56`n358a50=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8lo;7?56;294~">?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2ba0=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb711/5>751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6nm>1=7850;2x <152=887Eo>d:J:31=#hf;=0i=45+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0dg7?7=>3:1"3j109ho74n`17>g7f3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?94m1c9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4`c93;1:7>50z&:37<3::1Cmbl2.?n54=dc;8jd532k;h7)7<9;3`74=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=09495?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a5a=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f4`3i3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k;n7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/8;o53:&b77<>:k1C4hj4$5`;>7be12dj?94m1g9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb0d7"3j109ho74n`17>g473-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd6n==1=7650;2x <152=8=7Eo>d:J:31=#hf;=0i><5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`2b13=9321<7>t$851>1413Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8l?87?58;294~">?;0?>;5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>f5195?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358a60=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f764l3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532k8=7)7<9;3`74=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=02a95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358a62=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;:8n7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`146g=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?><9;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:c0b?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd58:21=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0i>o5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb3203?7=>3:1"3j109ho74n`17>g4d3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=851;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94m2e9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f76a?3;1:7>50z&:37<3::1Cmbl2.?n54=dc;8jd532k8n7)7<9;3`74=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=0g495?0=83:p(49=:500?Mg6l2B2;95+47c97>"f;;0?>k5G8df8 1d?2;ni56`n358a6c=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;:m97?56;294~">?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`14c2=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?>i3;392?6=8r.2;?4;229Ke4b<@0=?7):9a;18 d552=8m7E6jd:&7f=<5lk30bl=;:c11?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd58o81=7850;2x <152=887Eo>d:J:31=#hf;=0i?>5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb32e5?7=>3:1"3j109ho74n`17>g533-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?94m349'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f76am3;1:7>50z&:37<3::1Cmbl2.?n54=dc;8jd532k9=7)7<9;3`74=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=0gf95?0=83:p(49=:500?Mg6l2B2;95+47c97>"f;;0?>k5G8df8 1d?2;ni56`n358a72=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;:mo7?56;294~">?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`14cd=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?>ia;392?6=8r.2;?4;229Ke4b<@0=?7):9a;18 d552=8m7E6jd:&7f=<5lk30bl=;:c1b?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd58o31=7850;2x <152=887Eo>d:J:31=#hf;=0i?o5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb32e3:1"3j109ho74n`17>g5d3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=51;494?6|,0=969<<;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?94m3e9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f76bn3;1:7>50z&:37<3::1Cmbl2.?n54=dc;8jd532k9n7)7<9;3`74=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=05:95?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a7c=#1:31=n=>;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f771l3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k>;7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m409'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb335f?7=03:1"3j109ho74n`17>g253-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59?k1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i8>5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??99;39"3>h087E6jd:&7f=<5lk30bl=;:c67?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`153>=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;=;7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=17495?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a02=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77213;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k>37)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m489'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3363?7=03:1"3j109ho74n`17>g2f3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59<<1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i8o5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??:5;39"3>h087E6jd:&7f=<5lk30bl=;:c6`?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1502=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;>?7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=14095?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a0c=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f771;3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k?;7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m509'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3355?7=03:1"3j109ho74n`17>g353-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59?:1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i9>5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??:f;39"3>h087E6jd:&7f=<5lk30bl=;:c77?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1414=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;:?=7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=22095?0=83:p(49=:500?Mg6l2B2;95+47c97>"f;;0?>k5G8df8 1d?2;ni56`n358a12=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;89j7?56;294~">?;0?>>5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`167e=93<1<7>t$851>1443Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?>mb;392?6=8r.2;?4;229Ke4b<@0=?7):9a;18 d552=8m7E6jd:&7f=<5lk30bl=;:c7b?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd58hk1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i9o5+92;95f563`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?>6d;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:c7`?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd59j91=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i9i5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??l2;39"3>h087E6jd:&7f=<5lk30bl=;:c7f?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`15f7=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;h<7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=1cd95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a24=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77ek3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k<97)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m629'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33ae?7=03:1"3j109ho74n`17>g033-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59k31=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i:85+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??m8;39"3>h087E6jd:&7f=<5lk30bl=;:c45?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`15g3=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71>1/5>751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;i87?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=1c195?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a2<=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77e:3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m6c9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33a4?7=03:1"3j109ho74n`17>g0d3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59hl1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i:i5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??ne;39"3>h087E6jd:&7f=<5lk30bl=;:c4f?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`146c=9321<7>t$851>1423Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71o1/5>751b12?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;jn7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=1`c95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a34=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77f13;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k=97)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m729'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33b3?7=03:1"3j109ho74n`17>g133-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59h>1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i;85+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??n3;39"3>h087E6jd:&7f=<5lk30bl=;:c55?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`15d4=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb711/5>751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;j=7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=18g95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a3<=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77>l3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k=j7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m7c9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33:f?7=03:1"3j109ho74n`17>g1d3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd590k1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i;i5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??69;39"3>h087E6jd:&7f=<5lk30bl=;:c5f?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`15<>=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;2;7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=18695?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a<4=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77>;3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k297)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m829'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33:5?7=03:1"3j109ho74n`17>g>33-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59>i1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i485+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??8b;39"3>h087E6jd:&7f=<5lk30bl=;:c:5?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`152g=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb711/5>751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;<57?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=19g95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a<<=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77?l3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k2j7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m8c9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb33;f?7=03:1"3j109ho74n`17>g>d3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd591k1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i4i5+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??79;39"3>h087E6jd:&7f=<5lk30bl=;:c:f?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`15=>=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;3;7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=16495?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a=4=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f770=3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k397)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m929'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3347?7=03:1"3j109ho74n`17>g?33-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd59>81=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0i585+92;95f563`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn??81;39"3>h087E6jd:&7f=<5lk30bl=;:c;5?!?413;h?<5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1526=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb711/5>751b12?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;;=j7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=19095?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358a=<=#1:31=n=>;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f77?93;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532k3j7)7<9;3`74=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=51;:94?6|,0=969<9;Ic2`>N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94m9c9'=6?=9j9:7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb334b?7=03:1"3j109ho74n`17>g?d3-3857?l309j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5lm=1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94m9e9'=6?=:mhi7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0g`3<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?jl4;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>g?a3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;nhh7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd532kk;7)7<9;0gfg=n<=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;`b5>">;009hol4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7bd;3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3f`g?7=;3:1bm2.?n54=dc;8 10f2ok0bl=;:cc0?!?4138ono5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c0g`1<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?jl2;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>gg23-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;nhn7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd532kk=7)7<9;0gfg=n<=h?:l1<7*n338;1<=4>:283>5}#1>81m=:mh27co<4;`b3>">;009hol4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7bd93;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3f`e?7=;3:1bm2.?n54=dc;8 10f2ok0bl=;:cc:?!?4138ono5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c0g`7<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?jl0;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>gge3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;nh57?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd532kkh7)7<9;0gfg=n<=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;`b`>">;009hol4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7ben3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3f`bm2.?n54=dc;8 10f2ok0bl=;:cce?!?4138ono5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c0g`5<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?jme;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>gd63-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;nh;7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd532kh97)7<9;0gfg=n<=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;`a7>">;009hol4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7bel3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3f`2?7=;3:1bm2.?n54=dc;8 10f2ok0bl=;:c`6?!?4138ono5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c0gg`<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?jmc;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>gd03-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;nh97?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd532kh37)7<9;0gfg=n<=h?:l1<7*n338;1<=:983>5}#1>818?74H`3g?M?0<2.j??462c9'03g=0=1/8o652e`:?kg4<3hi56*63881`gd5$`11>13b32c2>;4?:%c06??3921d4i750;&b775$`11><5c3A3"3j109ho74n`17>gdf3-3857c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd5m9>1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0ino5+92;96ade3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?k?2;39"3>h087E6jd:&7f=<5lk30bl=;:c``?!?4138ono5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1a57=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71752e`a?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;o;?7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=dgd95?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358afc=#1:31>ilm;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f7bam3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532ki;7)7<9;0gfg=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=51;:94?6|,0=969<:;Ic2`>N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94mc09'=6?=:mhi7d<:2;29 d552;<>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3fe`?7=03:1"3j109ho74n`17>ge53-3857c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd5lo81=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0io>5+92;96ade3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?ji1;392?6=8r.2;?4;209Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:ca7?!?4138ono5f24094?"f;;09:854i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j<58dd8?xd5lll1=7850;2x <152=8:7Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0io85+92;96ade3`8>>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb3ffa?7=>3:1"3j109ho74n`17>ge13-3857c290/m><544g8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n0m:1<7*n338:6<=51;494?6|,0=969<>;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94mc69'=6?=:mhi7d<:2;29 d552;<>76g=8e83>!g4:3>>i65`8e;94?"f;;039454i37e>5<#i:81>8h4;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7bbk3;1:7>50z&:37<3:81Cm9j6*;6`80?M>bl2.?n54=dc;8jd532ki37)7<9;0gfg=n:<81<7*n338120=5$`11>=3>32c99k4?:%c06?42n21b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=dd`95?0=83:p(49=:502?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358ag<=#1:31>ilm;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;nnh7?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:38>j65f8e294?"f;;02>454i35b>5<#i:814hh4;|`1``g=93<1<7>t$851>1463Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71752e`a?l42:3:1(l==:346?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11><4>32c9;l4?:%c06?>bn21vn>l:8;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:ca`?!?4139i=i5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd4jd:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0ioi5+92;97g7c3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb2`6f?7=>3:1"3j109ho74n`17>geb3-3857=m1e9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94mcg9'=6?=;k;o7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f6d3i3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532kn;7)7<9;1a5a=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl5G8df8 1d?2;ni56`n358a`4=#1:31?o?k;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj:h3=7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0f67=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71753c3g?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj:h?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0g7d=9321<7>t$851>14?3Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb71753c3g?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><2632e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=zj:h?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`0f`2=9321<7>t$851>14f3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n358a`2=#1:31?o?k;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m<41290/m><59538?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:7a<72-k8>77:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887lk8:&:7<<4j8n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a7g1?280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;`ge>">;008n5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;k9?6Fn1e9K=22<,h99691nil4$81:>6d6l2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c1a2=<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?dck2.2?44<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?o68:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5bef8 <5>2:h:h6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg5e0?0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3hoi6*63880f4bj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269fa`<,0926>l>d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg5d8:0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3hn<6*63880f4bj7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi?n>=:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5bd38 <5>2:h:h6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?db:2.2?44<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e;j:;6<47:183!?0:3>9:6Fn1e9K=22<,h99641nh=4$81:>6d6l2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo=mfg82>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;`f0>">;008n5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a7g`b28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887lj6:&:7<<4j8n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm3b2`>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269f`1<,0926>l>d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg5d8k0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3hn46*63880f4bj7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi?n>n:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5bd;8 <5>2:h:h6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?dbi2.2?44<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e;j:36<47:183!?0:3>9:6Fn1e9K=22<,h99641nhl4$81:>6d6l2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo=l0682>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;`fg>">;008n5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a7f6128036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887lje:&:7<<4j8n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm3cd`>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269f``<,0926>l>d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg5enk0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3hm<6*63880f4bj7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi?o:9:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5bg38 <5>2:h:h6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg5e<00:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3hm>6*63880f4bj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269fc5<,0926>l>d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887li4:&:7<<4j8n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a7gb0280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;`e2>">;008n5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;kn>6<49:183!?0:3>9?6Fn1e9K=22<,h99691nk94$81:>6d6l2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c1a`1<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?da02.2?44<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?oj<:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5bg;8 <5>2:h:h6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg5el;0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3hmm6*63880f4bj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269fcd<,0926>l>d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887lic:&:7<<4j8n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a7gba280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;`ea>">;008n5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;kno6<49:183!?0:3>9?6Fn1e9K=22<,h99691nkh4$81:>6d6l2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c1a`f<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e782.2?44<52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?ojm:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5c138 <5>2:h:h6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg5elh0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3i;>6*63880f4bj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g55<,0926>l>d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m?4:&:7<<4j8n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a7g?728036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887m?6:&:7<<4j8n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm3c40>4<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g51<,0926>l>d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m?8:&:7<<4j8n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5<40280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#f6>3-3857?60g9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94l0`9'=6?=90:m7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e908=6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:5c1`8 <5>283;j6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a5<5f28036=4?{%;46?25>2Bj=i5G9668 10f2:1/m><593`8L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.?:l4<;%c06??5j2B3ii5+4c:96ad>3gk887m?d:&:7<<619l0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm181;>4Nf9m1C5::4$54b>6=#i:815?l4H9gg?!2e038on45aa269g5c<,0926<7?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg7>;>0:654?:1y'=24=<;<0Dl?k;I;40>"3>h087)o<2;;1f>N?mm1/8o652e`:?kg4<3i;j6*63882=5`j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi=4=9:08;>5<7s-3<>7:=6:Jb5a=O1>>0(98n:29'e64=1;h0D5kk;%6a:5c028 <5>283;j6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A377=b:J;aa=#il6;oc00?e692.2?44>91d8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e90><6<49:183!?0:3>9?6Fn1e9K=22<,h99691o<<4$81:>4?7n2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3:<7<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e6;2.2?44>91d8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=46>:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5c068 <5>283;j6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg7>?o0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3i:96*63882=5`j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g40<,0926<7?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m>7:&:7<<619l0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5<1d280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;a2=>">;00:5=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e90=j6<49:183!?0:3>9?6Fn1e9K=22<,h99691o4?7n2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3:3<<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e6j2.2?44>91d8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=497:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5c0a8 <5>283;j6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg7>?>0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3i:h6*63882=5`j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g4c<,0926<7?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m>f:&:7<<619l0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5<14280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;a15>">;00:5=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e90=:6<49:183!?0:3>9?6Fn1e9K=22<,h99691o?<4$81:>4?7n2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3:35<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e5;2.2?44>91d8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=48i:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5c368 <5>283;j6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg7>>l0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3i996*63882=5`j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g70<,0926<7?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m=7:&:7<<619l0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5<0e280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;a1=>">;00:5=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e902<6<49:183!?0:3>9?6Fn1e9K=22<,h99691o?o4$81:>4?7n2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3:<3<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e5j2.2?44>91d8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=46::085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5c3a8 <5>283;j6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg7>0=0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3i9h6*63882=5`j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g7c<,0926<7?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m=f:&:7<<619l0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5<12280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;a05>">;00:5=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e90<26<49:183!?0:3>9?6Fn1e9K=22<,h99691o><4$81:>4?7n2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3:gg<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e4;2.2?44>91d8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=4mn:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5c268 <5>283;j6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg7>k10:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3i896*63882=5`j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g60<,0926<7?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m<7:&:7<<619l0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;a0=>">;00:5=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e90i86<49:183!?0:3>9?6Fn1e9K=22<,h99691o>o4$81:>4?7n2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3:g7<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e4j2.2?44>91d8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=4m>:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5c2a8 <5>283;j6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg7>k90:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3i8h6*63882=5`j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g6c<,0926<7?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;a75>">;00:5=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e90hj6<49:183!?0:3>9?6Fn1e9K=22<,h99691o9<4$81:>4?7n2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3:f<<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e3;2.2?44>91d8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=4l7:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5c568 <5>283;j6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg7>j>0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3i?96*63882=5`j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g10<,0926<7?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m;7:&:7<<619l0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;a7=>">;00:5=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e90n;6<49:183!?0:3>9?6Fn1e9K=22<,h99691o9o4$81:>4?7n2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3:gc<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?e3j2.2?44>91d8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=4mj:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5c5a8 <5>283;j6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg7>km0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3i?h6*63882=5`j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269g1c<,0926<7?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887m;f:&:7<<619l0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;a65>">;00:5=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e90h96<49:183!?0:3>9?6Fn1e9K=22<,h99691o8<4$81:>4?7n2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c3::k1/8;o5859'0g>=:mh27co<4;a67>">;00:5=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j=70=83.j??464098k=b>290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77=zj83?=7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8o1b>8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2efg=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269g03<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn"3j109ho74$54b>6`d3gk887m:6:&:7<<6ik80e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6ij31=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94l569'=6?=9hh97d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`2eab=9321<7>t$851>1413Ak:h6F6759'03g=;2.j??462c9K<`b<,=h36?jm9:lb71751``1?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj8koo7?58;294~">?;0?>;5Ga0f8L<133->=m7=4$`11><4e3A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl>ae`95?>=83:p(49=:505?Mg6l2B2;95+47c97>"f;;02>o5G8df8 1d?2;ni56`n358`1d=#1:31=ll=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f4gci3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532j?i7)7<9;3bf7=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/8;o53:&b77<>:k1C4hj4$5`;>7be12dj?94l5b9'=6?=9hh97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb0cg"3j109ho74n`17>f3c3-3857?nb39j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd6ilk1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h9h5+92;95dd53`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0`11?7=>3:1"3j109ho74n`17>f3a3-3857?nb39j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94l619'=6?=9hh97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4d5:3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532j<:7)7<9;3bf7=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>b3395?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358`27=#1:31=ll=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8h9<7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2f4`=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71=1/5>751``1?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vne;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:b46?!?413;jn?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6j8n1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h:;5+92;95dd53`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0`2g?7=>3:1"3j109ho74n`17>f003-3857?nb39j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94l699'=6?=9hh97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4d6i3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532j<27)7<9;3bf7=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>b0;95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358`2d=#1:31=ll=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8h:;7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2f40=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71j1/5>751``1?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn5;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:b4g?!?413;jn?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6j8>1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h:h5+92;95dd53`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0`27?7=>3:1"3j109ho74n`17>f0a3-3857?nb39j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94l719'=6?=9hh97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4d693;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532j=:7)7<9;3bf7=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>b0295?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358`37=#1:31=ll=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8h;j7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2f5c=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71751``1?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn"3>h087E6jd:&7f=<5lk30bl=;:b56?!?413;jn?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6j;k1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h;;5+92;95dd53`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0`1=?7=>3:1"3j109ho74n`17>f103-3857?nb39j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94l799'=6?=9hh97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4d5?3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532j=27)7<9;3bf7=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>b3495?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358`3d=#1:31=ll=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8h9?7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2f4>=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71751``1?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn"3>h087E6jd:&7f=<5lk30bl=;:b5g?!?413;jn?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6j9i1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h;h5+92;95dd53`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0`5a?7=>3:1"3j109ho74n`17>f1a3-3857?nb39j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94l819'=6?=9hh97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4d1j3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532j2:7)7<9;3bf7=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>b7c95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358`<7=#1:31=ll=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8h=57?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2f3>=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71751``1?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn"3>h087E6jd:&7f=<5lk30bl=;:b:6?!?413;jn?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6j?<1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h4;5+92;95dd53`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0`51?7=>3:1"3j109ho74n`17>f>03-3857?nb39j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94l899'=6?=9hh97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4d1;3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532j227)7<9;3bf7=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>b7095?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358`7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8h=<7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2f0`=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71751``1?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn"3>h087E6jd:&7f=<5lk30bl=;:b:g?!?413;jn?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6jd:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h4h5+92;95dd53`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0`6g?7=>3:1"3j109ho74n`17>f>a3-3857?nb39j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94l919'=6?=9hh97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4d2i3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532j3:7)7<9;3bf7=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>b4;95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358`=7=#1:31=ll=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8h>47?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2f01=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71751``1?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn"3>h087E6jd:&7f=<5lk30bl=;:b;6?!?413;jn?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6j>91=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h5;5+92;95dd53`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0`46?7=>3:1"3j109ho74n`17>f?03-3857?nb39j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94l999'=6?=9hh97d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f4d083;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532j327)7<9;3bf7=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>b7d95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358`=d=#1:31=ll=;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8h=o7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`2f37=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71751``1?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn"3>h087E6jd:&7f=<5lk30bl=;:b;g?!?413;jn?5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd6jd:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0h5h5+92;95dd53`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb0`1a?7=03:15<#i:81>8h4;h0;`?6=,h9969;j;:k:63<72-k8>77;1:9l290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i81g>5<#i:815>j4H850?>{e9ho?6<49:183!?0:3>9?6Fn1e9K=22<,h99691ol>4$81:>4ge:2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}cc0g?7=:00;6=u+96096<1<@h;o7E784:X115<4sm:1j;4>2c8~b70a2;1e>:=5119m622=901/>:8540f8 7102ki0(?97:61g?!4013=8h6*=988:22=#:0k18ok4$266>1db3-9m5779f:&0b0<>>o1e4no50:&:6`<>:>1/59;540f8 <112=;o7):>b;067>N>>h1/m><52858 4e4208j7):m8;0gf<=ii:>1ol?4$81:>d5f3`8<97>5H9af?>o5?90;6E6le:9j<``=83d2:o4?;:k0b=<722c3h54?:o;5f?6<3`2o;7>5n84a>5=6=4a97`94>=n<=i1<7F62g98m12e290C5?h4;h0;6?6=@08m76g=8283>M?5n21b>5:50;J:6c=3:17b<9b;29?j41?3:17b<98;29?j4113:17b<9a;29?j41m3:17b<81;29?j40:3:17b<8c;29L<4a32e?nn4?::m;`3<722c94n4?:%:``?4?j2d3on4?;I;1b>"0;h09485a72;96>=n:1k1<7*7ce81>290/4nj529`8j=ed2;1C5?h4$61b>7>23g=857>4;h;57?6=,1io648=;o:`g?6<@08m7)9h0;00?76g66083>!>dl33=>6`7cb82?M?5n2.50;&;ga<>>;1e4nm52:J:6c=#?:k15864n61:>7=5G93d8 25f20?37c9<9;38?l?2m3:1(5mk:841?k>dk3>0D42d3on4>;%50e??3>2d4?:%:``??2>2d3on4<;%50e??3>2d2d3on4:;%50e??3>2d2d3on48;%50e??3>2d?2Bj=i5G9668^7372:qo<7h9:00a>x`5>o097c<83;:8j71328=0(?99:53g?!40?3hh7)<88;50`>"5?003>:h6*;1c8116=O1?k0(l==:3;4?!7d;339m6*;b981`g?=n:>:1<7F7cd98m=ca290e5;l50:9j7c>=831b4i650;l:2g<732c3h:4?:o;5f?6<3`2o97>5n84a>5=h6=4G93d8?l23j3:1D4:o10e?6;:18K=7`<3`83=7>5H80e?>i5>?0;66a=6c83>>i5>>0;66a=6983>>i5>00;66a=6`83>>i5>l0;66a=7083>>i5?;0;66a=7b83>M?5n21d8om50;9l5m50;&;ga<50k1e4nm50:J:6c=#?:k1>5;4n61:>7=7c9<9;38?l4?13:1(5mk:3:a?k>dk380D4N>:o1/;>o594:8j25>2:10e48?:18'9j64;7;o50=?4<3`3>j7>5$9ag><053g2ho7=4H80e?!14i33>46`83882?>o>=l0;6)6ld;;56>h?kj0?7E7=f:&47d<>=11e;>750:9l=01=83.3oi46579m=?1e4nm51:&47d<>756:9l=02=83.3oi46579m=?1e4nm53:&47d<>754:9l=04=83.3oi46579m=?1e4nm55:&47d<>752:9l=06=83.3oi46579m2.=?1e4nm57:&47d<>750:9~fd2e28096=4?{%;46?43m2Bj=i5G9668 d552;1ol=4$81:>d5f3`>>87?5$`11>13b32e:%c06?>2121vnl=i:081>5<7s-3<>7<;e:Jb5a=O1>>0(l==:347?!2e038on45aa269gd2<,0926l=n;h660?7=,h9969;j;:m47c<62-k8>76:9:9~fd2428096=4?{%;46?22i2Bj=i5G9668 73f2:n37)::c;;5b>"f;;09:95+4c6900c<@=h97):m8;0gf<=#<o4i577>5<#i:8188k4;n50b?6=,h9965;6;:ae6b=9381<7>t$851>72b3Ak:h6F6759'e64=:?>0(9l7:3fa=>hf;=0hm;5+92;9e6g=3>32wim9651;494?6|,0=969<;;Ic2`>N>?=1/m><543d8 10f21>0(9l7:3fa=>hf;=0hm:5+92;9e6g5$`11>13b32c3h=4?:%c06??5121d4i750;&b7776jf:J:36=?;0?>95Ga0f8L<133-k8>7:=f:&72do5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n2B2;>54i9ge>5<#i:814hh4H850?>{e9h?=6<47:183!?0:3>996Fn1e9K=22<,h99641ol74$81:>4g592c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?n5082>=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;abe>">;00:m??4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a5d3028036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887mnc:&:7<<6i;;0e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm1`77>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269gdb<,09267<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg7f=<0:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3iji6*63882e775$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi=l;<:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5c`d8 <5>28k9=6g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?ee82.2?44>a338m735290/m><52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e9h<86<47:183!?0:3>996Fn1e9K=22<,h99641oo?4$81:>4g592c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?n6582>=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;aa6>">;00:m??4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a5d0228036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887mm4:&:7<<6i;;0e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm1`44>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269gg3<,09267<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg7f>10:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3ii:6*63882e775$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi=l86:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5cc58 <5>28k9=6g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?ee02.2?44>a338m735290/m><52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e9h996Fn1e9K=22<,h99641oo74$81:>4g592c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?n4182>1<729q/5:<54e58Ld7c3A3<86*n3387`1=#il6;oc00?eei2.2?44>a338m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;aaf>">;00:m??4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg7f<80:694?:1y'=24="f;;0?h95+4c:96ad>3gk887mmc:&:7<<6i;;0e9;::18'e64=<!g4:339465`72d94?"f;;039454}c3b67<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3iih6*63882e775$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?eem2.2?44>a338m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj8k9?7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:b`e?!?413;j><5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4g4>3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=l=8:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269gf4<,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6i;=1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94lc29'=6?=9h8:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2e6>=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0ho95+92;95d463`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?ed=2.2?44>a338m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;a`2>">;00:m??4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f4g5j3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb0c0e?7=;3:15$`11>=3>32wi=l5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269gf?<,09267:90:9j6<593:8?j14n3:1(l==:97:?>{e9h9i6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5cbc8 <5>28k9=6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2e7`=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0hoo5+92;95d463`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>a2a95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751`02?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;a``>">;00:m??4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg7f;m0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887mle:&:7<<6i;;0e?8<:18'e64=<!g4:32>565rb0c0a?7=;3:15$`11>=3>32wi=l?;:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5ce28 <5>28k:?6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3il6;oc00?ec92.2?44>a018m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi=l9j:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5ce08 <5>28k:?6g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?ec;2.2?44>a018m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e9h;26<47:183!?0:3>996Fn1e9K=22<,h99641oi:4$81:>4g6;2c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?n1e82>=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;ag1>">;00:m<=4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a5d1?28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887mk7:&:7<<6i890e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm1`55>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269ga><,09263:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg7en00:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3io56*63882ff25$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi=oh;:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5cec8 <5>28hh86g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?ecj2.2?44>bb68m735290/m><52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e9kl>6<47:183!?0:3>996Fn1e9K=22<,h99641oim4$81:>4dd<2c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?mf682>=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;ag`>">;00:nn:4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a5g`?28036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887mkf:&:7<<6jj>0e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm1b26>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269g`6<,09267<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg7d8?0:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3in=6*63882ff25$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi=n>8:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5cd08 <5>28hh86g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?eb;2.2?44>bb68m735290/m><52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e9j:26<47:183!?0:3>996Fn1e9K=22<,h99641oh:4$81:>4dd<2c99?4?:%c06?41=21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?l0`82>=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;af1>">;00:nn:4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a5f6e28036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887mj7:&:7<<6jj>0e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm1b2g>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269g`><,09267<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg7d8l0:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3in56*63882ff25$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi=ok<:087>5<7s-3<>7:k7:Jb5a=O1>>0(l==:5f7?!2e038on45aa269g`g<,09267::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e9ko=6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:5cd`8 <5>28hh86g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a5gc3280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1ohm4$81:>4dd<2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=oj7:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269g`c<,09267::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd6jj<1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94leg9'=6?=9ki?7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`2fa?=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0hj=5+92;95ge33`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?ea92.2?44>bb68m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;ae6>">;00:nn:4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f4ddi3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb0`gf?7=;3:15$`11>=3>32wi=oml:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269gc3<,09267:90:9j6<593:8?j14n3:1(l==:97:?>{e9knh6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5cg48 <5>28hh86g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`2ffc=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0hj:5+92;95ge33`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl>bef95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751ca7?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;ae=>">;00:nn:4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg7ell0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887mia:&:7<<6jj>0e?8<:18'e64=<!g4:32>565rb0`g6?7=<3:15$`11><4?32e2121vn"3j109ho74n`17>f`d3-3857?mc59j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e9kn?6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5cgf8 <5>28hh86g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a5gc728086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1okk4$81:>4dd<2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl>bd395?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71751ca7?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887j?0:&:7<<6jk<0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm1b07>4<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269`57<,09267<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=<4>:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887j?2:&:7<<6jk<0e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm1b3f>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269`55<,09267<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg7ejj0:654?:1y'=24=<;?0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3n;86*63882fg05$`11>13b32c3ho4?:%c06??4l21d4i750;&b775$`11><2632wi=om?:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5d178 <5>28hi:6g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?b7>2.2?44>bc48m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e9j;j6<47:183!?0:3>9:6Fn1e9K=22<,h99641h=94$81:>4de>2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo?l1882>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;f3<>">;00:no84i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a6gb1280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;f3e>">;009n?k4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:kn36<49:183!?0:3>9?6Fn1e9K=22<,h99691h=l4$81:>7d5m2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0a`5<6210;6=u+9609073<@h;o7E784:&b77<>:k1/8;o53:J;aa=#il6;oc00?b7k2.2?44=b3g8m735290/m><52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e:kl26<47:183!?0:3>9:6Fn1e9K=22<,h99641h=j4$81:>7d5m2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo3<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;f3a>">;009n?k4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:j:o6<49:183!?0:3>9?6Fn1e9K=22<,h99691h=h4$81:>7d5m2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0`4f<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?b682.2?44=b3g8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>n>m:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5d038 <5>2;h9i6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4d8h0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3n:>6*63881f7cj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269`45<,0926?l=e:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887j>4:&:7<<5j;o0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6f62280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;f22>">;009n?k4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:kom6<49:183!?0:3>9?6Fn1e9K=22<,h99691h<94$81:>7d5m2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0ab5<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?b602.2?44=b3g8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>oh>:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5d0;8 <5>2;h9i6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4en;0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3n:m6*63881f7cj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269`4d<,0926?l=e:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887j>c:&:7<<5j;o0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6g`2280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b77=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;f2a>">;009o=h4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a6f7?28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887j=0:&:7<<5k9l0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm2b3b>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269`77<,0926?m?f:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg4d9k0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3n9>6*63881g5`j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi>n<<:08;>5<7s-3<>7:=5:Jb5a=O1>>0(98n:29'e64=1;h0D5kk;%6a:5d318 <5>2;h9i6g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A377=b:J;aa=#il6;oc00?b5<2.2?44=b3g8m735290/m><52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e:k:5d378 <5>2;h8:6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1f3g=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0o>;5+92;96g513`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?b5?2.2?44=b248m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;f1<>">;009n>84i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7d4k3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3`55$`11>=3>32wi>o=m:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269`7d<,0926?l<6:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:k<<6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5d3a8 <5>2;h8:6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1f6g=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0o>i5+92;96g513`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=b7495?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71752c15?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;f1b>">;009n>84i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg4e?=0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887j<0:&:7<<5j:<0e?8<:18'e64=<!g4:32>565rb3`72?7=<3:15$`11><4?32e2121vn?l83;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>a553-3857<544g8?j14n3:1(l==:97:?>{e:k>>6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5d218 <5>2;h8:6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a6g1528086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1h>:4$81:>7d4>2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=b5695?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71752c15?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9n:?51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358g73=#1:31>o=9;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4e<:0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887j<7:&:7<<5j:<0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c0a35<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?l;2;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>a5>3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;h=j7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:e1b?!?4138i?;5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a6g26280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1h>l4$81:>7d4>2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9n9>51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358g7a=#1:31>o=9;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5j?n1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94k3d9'=6?=:k9=7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0a7c<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3n8j6*63881f605$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?b382.2?44=b248m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;h8i7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:e62?!?4138i?;5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7d1=3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>o6i:085>5<7s-3<>7:=3:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:5d568 <5>2;h8:6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4e0l0:6;4?:1y'=24=<;90Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<3n?96*63881f60j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa269`10<,0926?l<6:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk887j;7:&:7<<5j:<0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6g>e280=6=4?{%;46?25;2Bj=i5G9668 10f2:1/m><543d8L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;f7=>">;009n>84i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:k3<6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1h9o4$81:>7d4>2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0a=3<62?0;6=u+9609075<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?b3j2.2?44=b248m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>o7::085>5<7s-3<>7:=3:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:5d5a8 <5>2;h8:6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4e1=0:6;4?:1y'=24=<;90Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<3n?h6*63881f60j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa269`1c<,0926?l<6:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk887j;f:&:7<<5j:<0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6g?6280=6=4?{%;46?25;2Bj=i5G9668 10f2:1/m><543d8L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;f65>">;009n>84i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:k226<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1h8<4$81:>7d4>2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0a<=<62?0;6=u+9609075<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?b2;2.2?44=b248m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>o9::08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5d468 <5>2;h8:6g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3il6;oc00?b2=2.2?44=ae:8m735290/m><52778?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n:5$`11>=ca32wi>o?l:085>5<7s-3<>7:=1:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5d448 <5>2;ko46g=5383>!g4:38=965f29f94?"f;;0?9h54o9f:>5<#i:814874;h06b?6=,h996?;i;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4e9m0:6;4?:1y'=24=<;;0Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3n>;6*63881ea>5$`11>13b32e3h44?:%c06?>2121b>8h50;&b77<5=o10e5j?:18'e64=1;307d<8a;29 d5521om76sm2c3f>4<1290;w)782;615>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269`0><,0926?ok8:k117<72-k8>7<95:9j6=b=83.j??4;5d98k=b>290/m><584;8?l42n3:1(l==:37e?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818??4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887j:9:&:7<<5im20e?;=:18'e64=:??07d<7d;29 d552=?n76a7d883>!g4:32>565f24d94?"f;;099k54i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6g47280=6=4?{%;46?2592Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=j7>5$`11>73a32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54338Ld7c3A3<86*n33876c=#=:mh27co<4;f6f>">;009mi64i371>5<#i:81>;;4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m=b7290/m><593;8?l40i3:1(l==:9ge?>{e:k896<49:183!?0:3>9=6Fn1e9K=22<,h99691h8m4$81:>7gc02c99?4?:%c06?41=21b>5j50;&b77<3=l10c5j6:18'e64=0<307d<:f;29 d552;?m76g7d183>!g4:339565f26c94?"f;;03ik54}c0a66<62?0;6=u+9609077<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?b2l2.2?44=ae:8m735290/m><52778?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n:5$`11>=ca32wi>lk>:08g>776j1:Jb5a=O1>>0(9l9:3fa<>N?mm1/8;o55:&7f=<5lk30bl=;:e7f?!?4138jh55f12d94?=n9=;1<75f15c94?=n9=h1<75f29f94?=n;o;1<75f3g094?=n;o91<75f3g694?=n1>n1<75f96g94?h>>k0:76a63683>>i4n90;66ln2983>=<729q/m><58d58 <152h837):m8;0gf<=#lj7;h3`1?6=,h9965$`11>4e33gk8<7?4;h3`3?6=,h9965$`11>4e33gk8<7=4;h66a?6=,h9969;j;:k120<72-k8>7<95:9j=2c=83.j??4=5g98k=3>290/m><584;8?xdf:>0;6n4?:1y'e64=0l<0(49=:`04?!2e038on45+47c91>hf;=0o:=5+92;96db?3`2oh7>5$`11>=bd3gk8<7>4;h:ga?6=,h9965jl;oc04?7<3`2oj7>5$`11>=bd3gk8<7<4;h:f4?6=,h9965jl;oc04?5<3`3<57>5$`11><1?3gk8<7>4;h;4e?6=,h996497;oc04?7<3`35$`11><1?3gk8<7<4;h;4g?6=,h996497;oc04?5<3`>>i7>5$`11>13b32c9:84?:%c06?41=21b5:k50;&b77<5=o10c5;6:18'e64=0<307p}=8e83>6}Y:1n01l<7:57f?8g5?3>>i6s|96g94?5|V0=n70o=8;;4a>;f:>02;h5rs85g>5<4sW3;;4}r30b?6=;rT:?k52a3:95f3<5h8<6496;|q204<72:qU=9?4=`0;>4e134k9;778a:p51g=839pR<:n;:467c9~w42e2908wS?;b:?b6=<6k116m?9596a8yv5a93:1>vPe71=0mn0q~=i2;296~X4n;16m?958eg8yv5a;3:1>vPe71=0ml0q~=i4;296~X4n=16m?958d28yv?4?3:1>vP6369>e7>=0<30q~=i0;296~X4n916m?9584;8yxd5il81=7j52;fx <1521o:7Eo>d:J:31=#il7;I:f`>"3>h0>7):m8;0gf<=ii:>1h;?4$81:>7gc02c:?k4?::k204<722c:8l4?::k20g<722c94i4?::k0b4<722c8j?4?::k0b6<722c8j94?::k:3a<722c2;h4?:o;5f?7<3f38;7>5;n1e4?6=3kk947>58;294~"f;;03i:5+9609e7><,=h36?jm9:&72d<23gk887j92:&:7<<5im20e0bl=?:198m4e1290/m><51b68jd572810e0bl=?:398m4e?290/m><51b68jd572:10e9;j:18'e64=<76g67d83>!g4:38>j65`84;94?"f;;039454}cc13?6=k3:181m?94$5`;>7be12.?:l4:;oc00?b1;2.2?44=ae:8m=bc290/m><58ea8jd572910e5jj:18'e64=0mi0bl=?:098m=ba290/m><58ea8jd572;10e5k?:18'e64=0mi0bl=?:298m<1>290/m><596:8jd572910e49n:18'e64=1>20bl=?:098m<1e290/m><596:8jd572;10e49l:18'e64=1>20bl=?:298m13b290/m><544g8?l41=3:1(l==:346?>o>?l0;6)o<2;06b>=h0<31<7*n338;1<=53z\113b3ty2;h4?:2y]=2c<5h83649j;<1v<=i:180[74n27j>54>c49>e71=1>30q~?;1;297~X6<816m?651b489d4020=j7p}>4`83>6}Y9=k01l<7:0a4?8g5?33i70o=8;3`<>;f:>02;n5rs2d2>5<5sW9m=63n268;`a=z{:l96=4={_1e6>;f:>03hh5rs2d0>5<5sW9m?63n268;`c=z{:l?6=4={_1e0>;f:>03i=5rs814>5<5sW38;63n298;1<=z{:l;6=4={_1e4>;f:>03945r}c0ba6<62m096iu+9609<`7<@h;o7E784:&7f3<5lk20D5kk;%65e?3<,=h36?jm9:lb71=1/5>752`f;?l74n3:17d?;1;29?l73i3:17d?;b;29?l4?l3:17d=i1;29?l5a:3:17d=i3;29?l5a<3:17d78d;29?l?0m3:1b48m:098k<502900c>h?:188fd4?29036=4?{%c06?>b?2.2;?4n299'0g>=:mh27):9a;78jd532m<>7)7<9;0b`==n9j?1<7*n3382g1=ii::1<65f1b494?"f;;0:o95aa2295>=n9j=1<7*n3382g1=ii::1>65f1b:94?"f;;0:o95aa2297>=n<6=4+a209633<3`35$`11>73a32e3944?:%c06?>2121vnl<8:18`>5<7s-k8>76j6:&:371/8o652e`:?!21i3?0bl=;:e45?!?4138jh55f8ef94?"f;;03hn5aa2294>=n0mo1<7*n338;`f=ii::1=65f8ed94?"f;;03hn5aa2296>=n0l:1<7*n338;`f=ii::1?65f96;94?"f;;02;55aa2294>=n1>k1<7*n338:3==ii::1=65f96`94?"f;;02;55aa2296>=n1>i1<7*n338:3==ii::1?65f44g94?"f;;0?9h54i346>5<#i:81>;;4;h;4a?6=,h996?;i;:m;1<<72-k8>76:9:9~w7>c2908wS<7d:?b6=<3=l16m?9544g8yv?0m3:1?vP67d9>e7>=1>o01l<8:85f?xu>?m0;6>uQ96f89d4?2;<>70o=7;051>{t9:l1<7=t^01e?8g503;h963n268:3<=z{8>:6=4<{_375>;f:10:o;52a359=2g53z\20d=:i;21=n94=`04><1e3ty:8o4?:2y]51d<5h836h=;cm2wx?k=50;0xZ6`434k9;76kf:p7c2=838pR>h;;b82wx5>950;0xZ<5034k9476:9:p7c6=838pR>h?;212wvn?oj4;39`?4=lr.2;?47e09Ke4b<@0=?7):m6;0gf==O0ln0(98n:49'0g>=:mh27co<4;f53>">;009mi64i01e>5<:6=44i06b>5<i6=44i3:g>5<5<5<5=83:p(l==:9g4?!?0:3k946*;b981`g?<,=<,0926?ok8:k2g0<72-k8>7?l4:lb75<732c:o;4?:%c06?7d<2dj?=4>;:k2g2<72-k8>7?l4:lb75<532c:o54?:%c06?7d<2dj?=4<;:k71`<72-k8>7::e:9j633=83.j??4=6498m<1b290/m><524d8?j>213:1(l==:97:?>{ei;=1<7m50;2x d5521o=7)782;c13>"3j109ho74$54b>0=ii:>1h;74$81:>7gc02c3hi4?:%c06?>ck2dj?=4?;:k;``<72-k8>76kc:lb75<632c3hk4?:%c06?>ck2dj?=4=;:k;a5<72-k8>76kc:lb75<432c2;44?:%c06??002dj?=4?;:k:3d<72-k8>7788:lb75<632c2;o4?:%c06??002dj?=4=;:k:3f<72-k8>7788:lb75<432c?9h4?:%c06?22m21b>;;50;&b77<5><10e49j:18'e64=:;f:>0?9h5rs85f>5<4sW3h4=`0;>4e234k9;7789:p517=839pR<:>;27j>:467`9~w42f2908wS?;a:?b6=<6k>16m?9596`8yv73j3:1?vP>4c9>e7>=9j201l<8:85`?xu4n80;6?uQ3g389d4021no7p}7}Y;o801l<8:9ff?xu4n:0;6?uQ3g189d4021nm7p}7}Y;o>01l<8:9g3?xu>;>0;6?uQ92589d4?21?27p}7}Y;o:01l<8:97:?x{e:ho>6<4k:38g!?0:32n=6Fn1e9K=22<,=h=6?jm8:J;aa=#h50;9j517=831b=9o50;9j51d=831b>5j50;9j7c7=831b?k<50;9j7c5=831b?k:50;9j=2b=831b5:k50;l:2g<632e2?:4?::m0b5<722hj>54?:983>5}#i:814h94$851>d4?3->i473:1(l==:0a7?kg483;07d?l7;29 d5528i?7co<0;08?l7d03:1(l==:0a7?kg483907d::e;29 d552=?n76g=6483>!g4:38=965f96g94?"f;;099k54o97:>5<#i:814874;|`b62<72j0;6=u+a209<`0<,0=96l<8;%6aj1/5>752`f;?l>cl3:1(l==:9f`?kg483:07d6ke;29 d5521nh7co<0;38?l>cn3:1(l==:9f`?kg483807d6j0;29 d5521nh7co<0;18?l?013:1(l==:85;?kg483:07d78a;29 d5520=37co<0;38?l?0j3:1(l==:85;?kg483807d78c;29 d5520=37co<0;18?l22m3:1(l==:57f?>o5><0;6)o<2;051>=n1>o1<7*n33811c=?l1v49k:180[?0l27j>54=649>e71=:??0q~?4083>6}Y9=;01l<7:0a5?8g5?33j70o=8;3`3>;f:>02;o5rs06a>5<4sW;?n63n2982g==:i;=15:m4}r1e5?6=:rT8j<52a3597>52z\0b7=:i;=14ik4}r1e7?6=:rT8j>52a35952z\0b1=:i;=14h>4}r;03?6=:rT2?:52a3:9<0?52z\0b5=:i;=14874}|`1e`>=93n1>7jt$851>=c63Ak:h6F6759'0g0=:mh37E6jd:&72d<23->i47o6;o0;66g>4083>>o64c83>>o50m0;66g>o4n;0;66g>o4n=0;66g67e83>>o>?l0;6c79b;38?j?4?3:17b=i0;29?gg503:147>50z&b771/5:<5a3:8 1d?2;ni56*;6`86?kg4<3n=i6*63881ea>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=5$`11>70232c2;h4?:%c06?42n21d48750;&b77"3>h0>7co<4;f5b>">;009mi64i9fg>5<#i:814im4n`13>5=5<#i:814im4n`13>7=54i85:>5<#i:815:64n`13>5=5<#i:815:64n`13>7=54i57f>5<#i:8188k4;h051?6=,h996?8:;:k:3`<72-k8>7<:f:9l<0?=83.j??475898yv4?l3:1?vP=8e9>e7>=<?l0;6>uQ96g89d4?20=n70o=7;;4a>{t1>n1<7=t^85g?8g5038=963n268120=z{89m6=4<{_30b>;f:10:o852a359=2?53z\204=:i;21=n84=`04><1f3ty:8l4?:2y]51g<5h836?j1v>h>:181[5a927j>:47de9~w6`52909wS=i2:?b62h<:181[5a;27j>:47dg9~w6`32909wS=i4:?b62547589~w6`72909wS=i0:?b62a<52mq/5:<58d38Ld7c3A3<86*;b781`g><@1oo7):9a;78 1d?2;ni56`n358g35=#1:31>lj7;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;h0;`?6=3`9m=7>5;h1e6?6=3`9m?7>5;h1e0?6=3`35;h;4a?6=f05<5">?;0j>55+4c:96ad>3->=m7;4n`17>a163-3857c59me66=821b=n850;&b77<6k=1em>>51:9j5f1=83.j??4>c59me66=:21b=n650;&b77<6k=1em>>53:9j00c=83.j??4;5d98m702290/m><52778?l?0m3:1(l==:37e?>i?=00;6)o<2;:6=>=zjh8<6=4l:183!g4:32n:6*6738b62=#il6;%65e?3>50:9j>52:9j<`6=83.j??47db9me66=;21b5:750;&b77<>?11em>>50:9j=2g=83.j??46799me66=921b5:l50;&b77<>?11em>>52:9j=2e=83.j??46799me66=;21b88k50;&b77<3=l10e?8::18'e64=:??07d78e;29 d552;?m76a75883>!g4:32>565rs3:g>5<4sW83h63n29871`=:i;=188k4}r;4a?6=;rT2;h52a3:9=2c<5h8<649j;|q:3a<72:qU5:j4=`0;>70234k9;7<95:p56`=839pR<=i;:46789~w4262908wS?;1:?b6=<6k?16m?9596c8yv73i3:1?vP>4`9>e7>=9j=01l<8:85a?xu6uQ15`89d4?28i370o=7;;4g>{t;o;1<7{t;o91<7{t1:=1<756s|3g294?4|V:l;70o=7;:6=>{zj;kn;7?5d;09`~">?;03i<5Ga0f8L<133->i:73gk887j83:&:7<<5im20e<=i:188m4262900e<:n:188m42e2900e?6k:188m6`62900e>h=:188m6`42900e>h;:188m<1c2900e49j:18m=3d=921d5>950;9l7c6=831im?650;:94?6|,h9965k8;%;46?g502.?n54=dc;8 10f2<1em>:5d668 <5>2;ko46g>c483>!g4:3;h86`n3183?>o6k?0;6)o<2;3`0>hf;90:76g>c683>!g4:3;h86`n3181?>o6k10;6)o<2;3`0>hf;90876g;5d83>!g4:3>>i65f27794?"f;;09:854i85f>5<#i:81>8h4;n:6=?6=,h9965;6;:ae71=83i1<7>t$`11>=c13-3<>7o=7:&7f=<5lk30(98n:49me62=l>?0(4=6:3cg<>o?lm0;6)o<2;:gg>hf;90;76g7dd83>!g4:32oo6`n3182?>o?lo0;6)o<2;:gg>hf;90976g7e183>!g4:32oo6`n3180?>o>?00;6)o<2;;4<>hf;90;76g67`83>!g4:33<46`n3182?>o>?k0;6)o<2;;4<>hf;90976g67b83>!g4:33<46`n3180?>o3=l0;6)o<2;66a>=n:??1<7*n338120=57>5$`11>=3>32wx>5j50;1xZ7>c34k947::e:?b62<3=l1v49j:180[?0m27j>5467d9>e71=1>o0q~78d;297~X>?m16m?6527789d402;<>7p}>3g83>6}Y9:l01l<7:0a6?8g5?33<56s|15394?5|V8>:70o=8;3`2>;f:>02;l5rs06b>5<4sW;?m63n2982g2=:i;=15:l4}r37f?6=;rT:8o52a3:95f><5h8<649l;|q0b4<72;qU?k?4=`04>=bc3ty8j?4?:3y]7c4<5h8<65jj;|q0b6<72;qU?k=4=`04>=ba3ty8j94?:3y]7c2<5h8<65k?;|q:72<72;qU5>94=`0;>=3>3ty8j=4?:3y]7c6<5h8<65;6;|a6g4?28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47<0(4=6:3cg<>o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=44>:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887j87:&:7<<5im20e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm2c0b>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269`2><,0926?ok8:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg4e:k0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3n<56*63881ea>j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi>o>j:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5d6c8 <5>2;ko46g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?b0j2.2?44=ae:8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e:k;;6<47:183!?0:3>9:6Fn1e9K=22<,h99641h:m4$81:>7gc02c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;f4`>">;009mi64i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a6f0d28036=4?{%;46?25=2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o0(4=6:3a1`>o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:783>5}#1>818?=4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk887j8f:&:7<<5k;n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6f>7280=6=4?{%;46?25;2Bj=i5G9668 10f2:1/m><543d8L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;f;5>">;009o?j4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:j236<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1h5<4$81:>7e5l2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0`<<<62?0;6=u+9609075<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?b?;2.2?44=c3f8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>n6n:085>5<7s-3<>7:=3:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:5d968 <5>2;i9h6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4d0k0:6;4?:1y'=24=<;90Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<3n396*63881g7bj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa269`=0<,0926?m=d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk887j77:&:7<<5k;n0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6f>b280=6=4?{%;46?25;2Bj=i5G9668 10f2:1/m><543d8L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;f;=>">;009o?j4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:j296<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1h5o4$81:>7e5l2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0`<6<62?0;6=u+9609075<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?b?j2.2?44=c3f8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>n6;:085>5<7s-3<>7:=3:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:5d9a8 <5>2;i9h6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4d0<0:6;4?:1y'=24=<;90Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<3n3h6*63881g7bj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa269`=c<,0926?m=d:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=h4>:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;f;b>">;009o?j4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg4d=j0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887j60:&:7<<5k;n0e?8<:18'e64=<!g4:32>565rb3a01?7=<3:15$`11><4?32e2121vn?m93;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>a?53-3857<544g8?j14n3:1(l==:97:?>{e:j9=6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5d818 <5>2;i9h6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a6f0328086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1h4:4$81:>7e5l2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=c2595?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71752b0g?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9o;;51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358g=3=#1:31>n7::e:9l36`=83.j??475898yg4d;10:694?:1y'=24="f;;0?h95+4c:96ad>3gk887j67:&:7<<5k;n0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c0`23<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?m<9;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>a?>3-3857<544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;i=;7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:e;b?!?4138h>i5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a6f5f280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1h4l4$81:>7e5l2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9o>l51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358g=a=#1:31>n7::f:9j=70=83.j??462998k25a290/m><584;8?xd5k?31=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94k9d9'=6?=:j8o7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0`7f<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3n2j6*63881g7b5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?bf82.2?44=c3f8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;i8h7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:ec2?!?4138h>i5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7e1j3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>n;k:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269`d2<,0926?m=d:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5k:;1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94ka49'=6?=:j8o7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1g0c=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0om;5+92;96f4c3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?bf?2.2?44=c3f8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;fb<>">;009o?j4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7e4;3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3a54?7=;3:15$`11>=3>32wi>n=;:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269`dd<,0926?m=d:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:j<:6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5d`a8 <5>2;i9h6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1g34=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0omi5+92;96f4c3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b77=<729q/5:<54378Ld7c3A3<86*n338:6g=#=:mh27co<4;fba>">;009h5<#i:81>;;4;h0;`?6=,h9969;j;:k;`g<72-k8>77<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a6a0d280=6=4?{%;46?25;2Bj=i5G9668 10f2:1/m><543d8L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;fa4>">;009h5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:m=?6<49:183!?0:3>9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1ho?4$81:>7b6i2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0g30<62?0;6=u+9609075<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?be:2.2?44=d0c8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>i99:085>5<7s-3<>7:=3:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:5dc18 <5>2;n:m6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4c?>0:6;4?:1y'=24=<;90Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<3ni86*63881`4gj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa269`g3<,0926?j>a:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk887jm6:&:7<<5l8k0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6a1f280=6=4?{%;46?25;2Bj=i5G9668 10f2:1/m><543d8L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;fa<>">;009h5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:m9?6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1ho74$81:>7b6i2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0g2c<62?0;6=u+9609075<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?bei2.2?44=d0c8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>i9?:085>5<7s-3<>7:=3:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:5dc`8 <5>2;n:m6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4c?80:6;4?:1y'=24=<;90Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<3nio6*63881`4gj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa269`gb<,0926?j>a:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=4>:783>5}#1>818?=4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk887jme:&:7<<5l8k0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6a7e280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1hoh4$81:>7b6i2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9h?<51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358gg4=#1:31>i?n;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5l<:1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94kc39'=6?=:m;j7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0g66<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3nh?6*63881`4g5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?bd<2.2?44=d0c8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;n987?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:ea6?!?4138o=l5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7b2:3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>i;<:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269`f><,0926?j>a:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5l;<1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94kc89'=6?=:m;j7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1`02=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0ool5+92;96a7f3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?bdj2.2?44=d0c8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;f`g>">;009h5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7b503;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3f62?7=;3:15$`11>=3>32wi>i<6:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269`f`<,0926?j>a:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:m?<6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5de28 <5>2;n:m6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1`7g=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0oh<5+92;96a7f3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=d4:95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71752e3b?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;fg7>">;009h5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg4c4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887jk4:&:7<<5l8k0e?8<:18'e64=<!g4:32>565rb3f2a?7=<3:17)7<9;0g5d=n:?91<7*n338725=5$`11><4?32e2121vn?j;b;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>ab13-3857<544g8?j14n3:1(l==:97:?>{e:m;m6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5de58 <5>2;n:m6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a6a2d28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1hi64$81:>7b6i2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=d3295?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71752e3b?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9h9j51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358g`d=#1:31>i?n;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4c:80:694?:1y'=24="f;;0?h95+4c:96ad>3gk887jkb:&:7<<5l8k0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c0g0`<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?j;f;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>abc3-3857<544g8?j14n3:1(l==:97:?>{e:m:=6<49:183!?0:3>9?6Fn1e9K=22<,h99691hik4$81:>7ed=2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0g42<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?bcn2.2?44=cb78m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>i>7:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5dd28 <5>2;ih96g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4c800:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3nn=6*63881gf3j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269``4<,0926?ml5:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887jj3:&:7<<5kj?0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6a6d280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i470(4=6:3a`1>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;ff1>">;009on;4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:jn36<47:183!?0:3>9m6Fn1e9K=22<,h996433->i47o5=;0;6)o<2;051>=n:5$`11><2632e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1:n1<7*n338:7a=O1>907pl=d0295?>=83:p(49=:50b?Mg6l2B2;95+47c9<1=#i:815?l4$5`;>7be12dj?94ke69'=6?=:ji>7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:338h6F67298yg4dm90:654?:1y'=24=<;<0Dl?k;I;40>"3>h087)o<2;;1f>N?mm1/8o652e`:?kg4<3nn46*63881gf3j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi>nml:08;>5<7s-3<>7:=6:Jb5a=O1>>0(98n:29'e64=1;h0D5kk;%6a:5dd;8 <5>2;ih96g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A377=b:J;aa=#il6;oc00?bbi2.2?44=cb78m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e:jin6<47:183!?0:3>9:6Fn1e9K=22<,=5+a209=7d<@1oo7):m8;0gf<=ii:>1hhl4$81:>7ed=2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo=<729q/5:<54348Ld7c3A3<86*;6`80?!g4:339n6F7ee9'0g>=:mh27co<4;ffg>">;009on;4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a6fbc28036=4?{%;46?25>2Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887jje:&:7<<5kj?0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6f`2280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;fe4>">;009on;4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:jl<6<49:183!?0:3>9?6Fn1e9K=22<,h99691hk?4$81:>7ed=2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0`b=<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?ba:2.2?44=cb78m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>nh6:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5dg18 <5>2;ih96g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4dnh0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3nm86*63881gf3j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269`c3<,0926?ml5:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:983>5}#1>818?;4H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887ji6:&:7<<5ik;0e?;=:18'e64=:??07d<7d;29 d552=?n76g7dc83>!g4:338h65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm2`ab>4<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269`c1<,0926?om1:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887ji8:&:7<<5ik;0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6de?280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;fee>">;009mo?4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:hi=6<49:183!?0:3>9?6Fn1e9K=22<,h99691hkl4$81:>7ge92c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0bg0<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?bak2.2?44=ac38m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>lj=:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5dgf8 <5>2;ki=6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4fl80:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3nmi6*63881eg7j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269`c`<,0926?om1:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887k?0:&:7<<5ik;0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6deb280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;g36>">;009mo?4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:hih6<49:183!?0:3>9?6Fn1e9K=22<,h99691i==4$81:>7ge92c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0bgg<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?c7<2.2?44=ac38m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>lm;:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e178 <5>2;ki=6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4fk:0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3o;:6*63881eg7j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269a51<,0926?om1:k117<72-k8>7<95:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg40m00:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3o;46*638811aej7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi>:kn:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e1;8 <5>2;?oo6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg40k?0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3o;m6*638811aej7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi>5>i:085>5<7s-3<>7:=1:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e1`8 <5>2;?oo6g=5383>!g4:38=965f29f94?"f;;0?9h54o9f:>5<#i:814874;h06b?6=,h996?;i;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg40m10:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3o;o6*638811aej7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi>5??:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e1f8 <5>2;?oo6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4?980:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3o;i6*638811aej7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269a5`<,0926?;kc:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887k>0:&:7<<5=mi0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6=7f280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;g26>">;0099im4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:1;h6<49:183!?0:3>9?6Fn1e9K=22<,h99691i<=4$81:>73ck2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0;5a<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?c6<2.2?44=5ea8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>5?j:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e078 <5>2;?oo6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4?9o0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3o::6*638811aej7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269a41<,0926?;kc:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=4>:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887k>8:&:7<<5=mi0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6=73280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;g2e>">;0099im4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:1;=6<49:183!?0:3>9?6Fn1e9K=22<,h99691i73ck2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0;52<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?c6k2.2?44=5ea8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>:kj:08;>5<7s-3<>7:=5:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5e0f8 <5>2;?oo6g=5383>!g4:38=965f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?c6m2.2?44=5ea8m735290/m><52778?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=5$`11><4>3A33:1(l==:862?>{e:>om6<49:183!?0:3>9=6Fn1e9K=22<,h99691i73ck2c99?4?:%c06?41=21b>5j50;&b77<3=l10c5j6:18'e64=0<307d<:f;29 d552;?m76g7d183>!g4:339565f26c94?"f;;03ik54}c04b5<62?0;6=u+9609077<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?c582.2?44=5ea8m735290/m><52778?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n:5$`11>=ca32wi>:h8:085>5<7s-3<>7:=1:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e338 <5>2;?oo6g=5383>!g4:38=965f29f94?"f;;0?9h54o9f:>5<#i:814874;h06b?6=,h996?;i;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg40n10:6;4?:1y'=24=<;;0Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3o9>6*638811ae5$`11>13b32e3h44?:%c06?>2121b>8h50;&b77<5=o10e5j?:18'e64=1;307d<8a;29 d5521om76sm26d:>4<1290;w)782;615>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269a75<,0926?;kc:k117<72-k8>7<95:9j6=b=83.j??4;5d98k=b>290/m><584;8?l42n3:1(l==:37e?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818??4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887k=4:&:7<<5=mi0e?;=:18'e64=:??07d<7d;29 d552=?n76a7d883>!g4:32>565f24d94?"f;;099k54i9f3>5<#i:815?74;h04e?6=,h9965ki;:a62`e280=6=4?{%;46?2592Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=j7>5$`11>73a32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54338Ld7c3A3<86*n33876c=#=:mh27co<4;g12>">;0099im4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m=b7290/m><593;8?l40i3:1(l==:9ge?>{e:>lo6<49:183!?0:3>9=6Fn1e9K=22<,h99691i?94$81:>73ck2c99?4?:%c06?41=21b>5j50;&b77<3=l10c5j6:18'e64=0<307d<:f;29 d552;?m76g7d183>!g4:339565f26c94?"f;;03ik54}c04b`<62?0;6=u+9609077<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?c502.2?44=5ea8m735290/m><52778?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n:5$`11>=ca32wi>:h>:085>5<7s-3<>7:=1:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e3;8 <5>2;?oo6g=5383>!g4:38=965f29f94?"f;;0?9h54o9f:>5<#i:814874;h06b?6=,h996?;i;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg40n;0:6;4?:1y'=24=<;;0Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3o9m6*638811ae5$`11>13b32e3h44?:%c06?>2121b>8h50;&b77<5=o10e5j?:18'e64=1;307d<8a;29 d5521om76sm26d0>4<1290;w)782;615>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269a7d<,0926?;kc:k117<72-k8>7<95:9j6=b=83.j??4;5d98k=b>290/m><584;8?l42n3:1(l==:37e?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818??4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887k=c:&:7<<5=mi0e?;=:18'e64=:??07d<7d;29 d552=?n76a7d883>!g4:32>565f24d94?"f;;099k54i9f3>5<#i:815?74;h04e?6=,h9965ki;:a62`2280=6=4?{%;46?2592Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=j7>5$`11>73a32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54338Ld7c3A3<86*n33876c=#=:mh27co<4;g1a>">;0099im4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m=b7290/m><593;8?l40i3:1(l==:9ge?>{e:>i<6<49:183!?0:3>9?6Fn1e9K=22<,h99691i?h4$81:>73ck2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c04g=<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?c482.2?44=5ea8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>:mi:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e238 <5>2;?oo6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg40l90:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3o8>6*638811aej7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269a65<,0926?;kc:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887k<4:&:7<<5=mi0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a62b4280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;g02>">;0099im4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:>n>6<49:183!?0:3>9?6Fn1e9K=22<,h99691i>94$81:>73ck2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c04`3<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?c402.2?44=5ea8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>:m6:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5e2;8 <5>2;?oo6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg40kh0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3o8m6*638811aej7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269a6d<,0926?;kc:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887k!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a62ec280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;g0a>">;0099im4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:>o<6<47:183!?0:3>9:6Fn1e9K=22<,h99641i>h4$81:>73ck2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo<73082>=<729q/5:<543c8Ld7c3A3<86*n338:6g=#3gk887k;0:&:7<<5=mi0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d74:3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532l>:7)7<9;06`f=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94j439'=6?=:76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb35fg?7=03:1"3j109ho74n`17>`243-3857<:db9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5?ln1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0n895+92;960bd3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?6=0;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:d66?!?4138>hn5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd50;;1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0n8;5+92;960bd3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb3:13:1"3j109ho74n`17>`203-3857<:db9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94j499'=6?=:76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7>5i3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532l>27)7<9;06`f=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=83`95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358f0d=#1:31>8jl;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;29o7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`1<7b=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb717524f`?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?6=e;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:d6g?!?4138>hn5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd50;l1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0n8h5+92;960bd3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb3:16?7=>3:1"3j109ho74n`17>`2a3-3857<:db9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94j519'=6?=:76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7>5<3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532l?:7)7<9;06`f=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=83795?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358f17=#1:31>8jl;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;29:7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`1<71=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb717524f`?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?9if;392?6=8r.2;?4;209Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:d76?!?4138>hn5f24094?"f;;09:854i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j<58dd8?xd509:1=7850;2x <152=8:7Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0n9;5+92;960bd3`8>>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb3:33?7=>3:1"3j109ho74n`17>`303-3857<:db9j604=83.j??4=6498m7>c290/m><544g8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n0m:1<7*n338:6<=;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94j599'=6?=:76g=8e83>!g4:3>>i65`8e;94?"f;;039454i37e>5<#i:81>8h4;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7>713;1:7>50z&:37<3:81Cm9j6*;6`80?M>bl2.?n54=dc;8jd532l?27)7<9;06`f=n:<81<7*n338120=5$`11>=3>32c99k4?:%c06?42n21b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=81c95?0=83:p(49=:502?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358f1d=#1:31>8jl;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;2;n7?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:38>j65f8e294?"f;;02>454i35b>5<#i:814hh4;|`1<5e=93<1<7>t$851>1463Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb717524f`?l42:3:1(l==:346?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11><4>32c9;l4?:%c06?>bn21vn?6?d;392?6=8r.2;?4;209Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:d7g?!?4138>hn5f24094?"f;;09:854i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j<58dd8?xd509o1=7850;2x <152=8:7Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0n9h5+92;960bd3`8>>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb3:35?7=>3:1"3j109ho74n`17>`3a3-3857<:db9j604=83.j??4=6498m7>c290/m><544g8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n0m:1<7*n338:6<=;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94j619'=6?=:76g=8e83>!g4:3>>i65`8e;94?"f;;039454i37e>5<#i:81>8h4;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7>7;3;1:7>50z&:37<3:81Cm9j6*;6`80?M>bl2.?n54=dc;8jd532l<:7)7<9;06`f=n:<81<7*n338120=5$`11>=3>32c99k4?:%c06?42n21b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=81695?0=83:p(49=:502?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358f27=#1:31>8jl;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;2;97?56;294~">?;0?><5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:38>j65f8e294?"f;;02>454i35b>5<#i:814hh4;|`1<50=93<1<7>t$851>1463Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71=1/5>7524f`?l42:3:1(l==:346?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11><4>32c9;l4?:%c06?>bn21vn?6<0;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:d46?!?4138>hn5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd5?m=1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0n:;5+92;960bd3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?9k8;39"3>h087E6jd:&7f=<5lk30bl=;:d44?!?4138>hn5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`13a`=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb7111/5>7524f`?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;=n<7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=7d395?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358f2d=#1:31>8jl;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f71b:3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532l5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94j6b9'=6?=:76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb35f0?7=03:1"3j109ho74n`17>`0c3-3857<:db9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5?l?1=7650;2x <152=8=7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0n:h5+92;960bd3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e5jm:18'e64=1:n07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?9j6;39"3>h087E6jd:&7f=<5lk30bl=;:d4e?!?4138>hn5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k;`g<72-k8>77290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`13a?=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb717524f`?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;=om7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?;%;0=?42lj1b>8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=7e`95?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358f37=#1:31>8jl;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f71ck3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532l=87)7<9;06`f=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94j759'=6?=:76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb35ga?7=03:1"3j109ho74n`17>`123-3857<:db9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5?=l1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0n;;5+92;960bd3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?9:0;39"3>h087E6jd:&7f=<5lk30bl=;:d54?!?4138>hn5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`130d=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb717524f`?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;==:7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=77;95?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358f3d=#1:31>8jl;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f711i3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532l=i7)7<9;06`f=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94j7b9'=6?=:76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb355g?7=03:1"3j109ho74n`17>`1c3-3857<:db9j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd5??n1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0n;h5+92;960bd3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?99e;39"3>h087E6jd:&7f=<5lk30bl=;:d5e?!?4138>hn5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1307=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb717524f`?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;=>>7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?;%;0=?42lj1b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=74195?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358f<7=#1:31>8jl;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f712<3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532l287)7<9;06`f=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94j859'=6?=:76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3562?7=03:1"3j109ho74n`17>`>23-3857<:db9j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd5?<=1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0n4;5+92;960bd3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?9:8;39"3>h087E6jd:&7f=<5lk30bl=;:d:4?!?4138>hn5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`130?=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb717524f`?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;=>m7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=74a95?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358f8jl;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f712l3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532l2i7)7<9;06`f=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94j8b9'=6?=:76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb356b?7=03:1"3j109ho74n`17>`>c3-3857<:db9j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd5??:1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0n4h5+92;960bd3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?991;39"3>h087E6jd:&7f=<5lk30bl=;:d:e?!?4138>hn5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1334=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb717524f`?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;==?7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?;%;0=?42lj1b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=77695?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358f=7=#1:31>8jl;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f711=3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532l387)7<9;06`f=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94j959'=6?=:76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb355"3j109ho74n`17>`?23-3857<:db9j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd5>mh1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94j979'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12ab=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269a<1<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8kc;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887k68:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>l;1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94j989'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12`5=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269a7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8j2;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887k6b:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>lk1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94j9b9'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12`e=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269a7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8jb;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887k6e:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>l>1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94j9g9'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12`0=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269ad6<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8j5;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887kn1:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>l=1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94ja39'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12`?=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269ad5<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8j8;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887kn4:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>ln1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94ja49'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12``=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269ad0<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8je;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887kn7:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>o:1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94ja99'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12c4=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269ad?<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8i1;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887kna:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>o91=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94jac9'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12c3=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269ade<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8i4;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887knd:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>o<1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94jad9'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12c>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269ad`<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8i7;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887km0:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>mo1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94jb09'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`12`6=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa269ag4<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8kf;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887km3:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5=l?1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>339me62=mk>0(4=6:37gg>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj;?oh7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:d`6?!?4138>hn5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f73b>3;197>50z&:37<3k>1Cm2.2?44=5ea8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd5=mo1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94jb69'=6?=:!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`11`1=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:??5aa269ag><,0926?;kc:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f73cn3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb37fbm2.?n54=dc;8 10f28997co<4;gae>">;0099im4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`11`6=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0nno5+92;960bd3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=5d;95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;;1em>:5eca8 <5>2;?oo6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb37f5?7=<3:15$`11><4?32e2121vn?;ja;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4553gk887kme:&:7<<5=mi0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=5d095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb717524f`?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th99hl51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8277=ii:>1in>4$81:>73ck2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?;j3;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>`e63-3857<:db9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;?no7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:011?kg4<3oh>6*638811ae6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th99h:51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358fg6=#1:31>8jl;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5>8n1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>309me62=mj>0(4=6:37gg>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj;<;h7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:da6?!?4138>hn5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f705<3;1?7>50z&:37<3k81Cm;oc00?cd>2.2?44=5ea8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5>8>1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94jc69'=6?=:!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1273=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?<5aa269af><,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f706=3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3412?7=;3:1bm2.?n54=dc;8 10f289:7co<4;g`e>">;0099im4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1240=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0noo5+92;960bd3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=63595?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;81em>:5eba8 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb3423?7=<3:15$`11><4?32e2121vn?8=8;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4563gk887kle:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=60:95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb717524f`?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9:?751;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8274=ii:>1ii>4$81:>73ck2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn?8>9;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>`b63-3857<:db9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;<9m7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3oo>6*638811ae6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9:N>?=1/m><54e68 1d?2;ni56`n358f`6=#1:31>8jl;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5>;h1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>309me62=mm>0(4=6:37gg>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj;<:n7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:df6?!?4138>hn5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f705k3;1?7>50z&:37<3k81Cm;oc00?cc>2.2?44=5ea8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5>8i1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94jd69'=6?=:!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`124c=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?<5aa269aa><,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f707m3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb342b?7=;3:1bm2.?n54=dc;8 10f289:7co<4;gge>">;0099im4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`125`=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0nho5+92;960bd3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=63295?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;81em>:5eea8 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb3424?7=<3:15$`11><4?32e2121vn?8=1;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4563gk887kke:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=60395?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb717524f`?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9:?<51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8274=ii:>1ih>4$81:>73ck2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn?8>2;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>`c63-3857<:db9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;<9?7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3on>6*638811ae6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9:<=51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358fa6=#1:31>8jl;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5=on1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>309me62=ml>0(4=6:37gg>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj;?nh7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:dg6?!?4138>hn5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f707<3;1?7>50z&:37<3k81Cm;oc00?cb>2.2?44=5ea8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5=o>1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94je69'=6?=:!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1253=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?<5aa269a`><,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f73a=3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3432?7=;3:1bm2.?n54=dc;8 10f289:7co<4;gfe>">;0099im4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`11c0=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0nio5+92;960bd3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=61595?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;81em>:5eda8 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb37e3?7=<3:15$`11><4?32e2121vn?8?8;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4563gk887kje:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=5g:95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb717524f`?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9:=751;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8274=ii:>1ik>4$81:>73ck2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn?;i9;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>``63-3857<:db9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;<;m7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3om>6*638811ae6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th99ko51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358fb6=#1:31>8jl;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5>9h1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>309me62=mo>0(4=6:37gg>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj;?mn7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:dd6?!?4138>hn5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f707k3;1?7>50z&:37<3k81Cm;oc00?ca>2.2?44=5ea8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5=oi1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94jf69'=6?=:!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`11cc=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?<5aa269ac><,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f73bm3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb37eb?7=;3:1bm2.?n54=dc;8 10f289:7co<4;gee>">;0099im4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`11``=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0njo5+92;960bd3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=61295?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;81em>:5ega8 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb37e4?7=<3:15$`11><4?32e2121vn?8?1;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4563gk887kie:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=5g395?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb717524f`?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9:=<51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8274=ii:>1j=>4$81:>73ck2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn?;i2;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>c663-3857<:db9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;<;?7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3l;>6*638811ae6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th99k=51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358e46=#1:31>8jl;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5>1n1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94i059'=6?=:!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`12fd=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0m<85+92;960bd3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?`7>2.2?44=5ea8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;d33>">;0099im4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f70><3;187>50z&:37<3l<1Cmo86*;b981`g?7;%;0=?42lj1b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb34g7?7=;3:15$`11>=3>32wi>;7::087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269b5g<,0926?;kc:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:?n?6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5f1`8 <5>2;?oo6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`12<0=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0m5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=6e795?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb717524f`?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;d3a>">;0099im4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg41l?0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887h?f:&:7<<5=mi0e?8<:18'e64=<!g4:32>565rb34:5$`11><4?32e2121vn?8k7;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>c763-3857<:db9j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:?326<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5f008 <5>2;?oo6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a63b?28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1j<=4$81:>73ck2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=68c95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb717524f`?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9:i751;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358e50=#1:31>8jl;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg411k0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887h>6:&:7<<5=mi0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c05`d<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?87e;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>c7?3-3857<:db9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:g3:?!?4138>hn5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a63>a280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1j73ck2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9:4>51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358e5f=#1:31>8jl;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5>jo1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94i1e9'=6?=:!g4:3>>i65`72d94?"f;;039454}c05=4<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3l:i6*638811ae5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?`6n2.2?44=5ea8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;<2>7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:g03?!?4138>hn5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f70c83;1?7>50z&:37;%;0=?42lj1b>;=50;&b77<3=l10e9l8:18'e64=<4<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9:?j51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358e66=#1:31>8jl;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5>?i1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94i259'=6?=:!g4:3>>i65`72d94?"f;;039454}c0507<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3l996*638811ae5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?`5>2.2?44=5ea8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;<>47?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:g04?!?4138>hn5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f70?:3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>;69:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269b7g<,0926?;kc:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94i2c9'=6?=:!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`12=1=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0m>n5+92;960bd3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?`5l2.2?44=5ea8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;d1a>">;0099im4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f701:3;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb34;=?7=;3:15$`11>=3>32wi>;8;:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269b67<,0926?;kc:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:?2j6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5f208 <5>2;?oo6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1230=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0m?>5+92;960bd3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=69`95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb717524f`?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;d01>">;0099im4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg410j0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887h<6:&:7<<5=mi0e?8<:18'e64=<!g4:32>565rb341a?7=<3:15$`11><4?32e2121vn?89d;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>c5?3-3857<:db9j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:?9;6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5f2;8 <5>2;?oo6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a630b28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1j>o4$81:>73ck2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=62095?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb717524f`?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9:;h51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358e7f=#1:31>8jl;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg41;=0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887h!g4:339465`72d94?"f;;039454}c0535<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?8<6;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>c5a3-3857<:db9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;<<=7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:g63?!?4138>hn5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a635?280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1j9?4$81:>73ck2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i47o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th9:>o51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n358e06=#1:31>8jl;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd5>>91=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94i459'=6?=:!g4:3>>i65`72d94?"f;;039454}c057f<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3l?96*638811ae5$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?`3>2.2?44=5ea8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;<8i7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:g64?!?4138>hn5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f700=3;1?7>50z&:37;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>;99:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269b1g<,0926?;kc:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd5>=>1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94i4c9'=6?=:!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`122>=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0m8n5+92;960bd3`8=?7>5$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?`3l2.2?44=5ea8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;d7a>">;0099im4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f70303;187>50z&:37<3l<1Cmo86*;b981`g?;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb344e?7=;3:15$`11>=3>32wi>;:n:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269b07<,0926?;kc:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:?=i6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5f408 <5>2;?oo6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`121e=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0m9>5+92;960bd3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=66a95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb717524f`?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;d61>">;0099im4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg41?m0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887h:6:&:7<<5=mi0e?8<:18'e64=<!g4:32>565rb3464?7=<3:15$`11><4?32e2121vn?88e;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>c3?3-3857<:db9j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:??96<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5f4;8 <5>2;?oo6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a631a28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1j8o4$81:>73ck2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=64695?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb717524f`?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9:5>51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358e1f=#1:31>8jl;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg41=?0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887h:d:&:7<<5=mi0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c05<4<62:0;6=u+9609e4e<@h;o7E784:&b77i6*638811aei;7>5$`11>13b32e2121vn?8:a;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>c3a3-3857<:db9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;<3?7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:g43?!?4138>hn5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a63>328086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1j;?4$81:>73ck2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=79a95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71;1/5>75264e?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;d57>">;009;;h4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f710n3;187>50z&:37<3l<1Cmo86*;b981`g?o1b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb35;e?7=;3:17)7<9;042c=n:?91<7*n33871`=5$`11>=3>32wi>:9k:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269b30<,0926?99f:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:>226<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:5f758 <5>2;==j6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`132d=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0m:55+92;9620a3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=79:95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb7101/5>75264e?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;d5e>">;009;;h4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg400>0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887h9b:&:7<<5??l0e?8<:18'e64=<!g4:32>565rb3543?7=<3:15$`11><4?32e2121vn?976;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>c0c3-3857<86g9j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:>=>6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5f7g8 <5>2;==j6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a62>228086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1j;h4$81:>711n2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=76195?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb7175264e?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9;5:51;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n358e34=#1:31>:8i;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg40?80:694?:1y'=24="f;;0?h95+4c:96ad>3gk887h82:&:7<<5??l0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c04<6<62:0;6=u+9609e4e<@h;o7E784:&b77i;7>5$`11>13b32e2121vn?980;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>c133-3857<86g9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;=3j7?54;294~">?;0?h;5Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:g56?!?4138<:k5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f71>93;187>50z&:37<3l?1Cmo86*;b981`g?o1b88;50;&b77<3=o10e9;9:18'e64=!g4:32>565rb35;a?7=<3:15$`11><4?32e2121vn?9m9;39"3>h087E6jd:&7f=<5lk30bl=;:g5;?!?4138<:k5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`13g>=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb7175264e?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;=i;7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?o1b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=7c495?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358e3g=#1:31>:8i;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f71e=3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532o=h7)7<9;042c=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94i7e9'=6?=:>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb35a7?7=03:1"3j109ho74n`17>c1b3-3857<86g9j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd5?k81=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0m;k5+92;9620a3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?9m1;39"3>h087E6jd:&7f=<5lk30bl=;:g:3?!?4138<:k5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`13g6=9321<7>t$851>1423Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb7175264e?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;=j=7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?o1b>8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=7`195?>=83:p(49=:506?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358e<6=#1:31>:8i;h066?6=,h996?8:;:k17::e:9j290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f71f:3;147>50z&:37<3:<1Cmbl2.?n54=dc;8jd532o2?7)7<9;042c=n:<81<7*n338120=5$`11><5c32e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=51;:94?6|,0=969<:;Ic2`>N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94i849'=6?=:>76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb35b1?7=03:1"3j109ho74n`17>c>13-3857<86g9j604=83.j??4=6498m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<41290/m><59538?xd5?0l1=7650;2x <152=8>7Eo>d:J:31=#i:815?l4$54b>6=O0ln0(9l7:3fa=>hf;=0m4:5+92;9620a3`8>>7>5$`11>70232c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2>;4?:%c06??3921vn?9n4;39"3>h087E6jd:&7f=<5lk30bl=;:g:;?!?4138<:k5f24094?"f;;09:854i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i805>5<#i:8159?4;|`1=g`=9321<7>t$851>1413Ak:h6F6759'e64=1;h0(98n:29K<`b<,=h36?jm9:lb717529cf?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>:?0;6)o<2;;75>=zj;3m>7?58;294~">?;0?>;5Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:338h65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=9g195?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358e5oj;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;3in7?58;294~">?;0?>85Ga0f8L<133-k8>77=b:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?6k:18'e64=<!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4<9:18'e64=1=;07pl=9g395?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358e5oj;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f7g5?3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532o2n7)7<9;0;e`=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=9g295?>=83:p(49=:505?Mg6l2B2;95+a209=7d<,=5G8df8 1d?2;ni56`n358e5oj;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m=be290/m><592f8?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:63<72-k8>77;1:9~f7?a?3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532o3;7)7<9;0;e`=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=9g:95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358e=4=#1:31>5oj;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;k;?7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`1e5>=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb717529cf?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?o?9;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:g;7?!?41383mh5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd5i9k1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0m585+92;96=gb3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb3c3f?7=>3:1"3j109ho74n`17>c?13-3857<7ad9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94i969'=6?=:1kn7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7g7l3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532o337)7<9;0;e`=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=a1g95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358e=<=#1:31>5oj;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;3m57?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`1=cg=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb717529cf?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?7ib;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:g;`?!?41383mh5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd51oi1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0m5i5+92;96=gb3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb3;e`?7=>3:1"3j109ho74n`17>c?b3-3857<7ad9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94i9g9'=6?=:1kn7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7?an3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532ok;7)7<9;0;e`=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=a1295?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358ee4=#1:31>5oj;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;k;=7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`1e54=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb717529cf?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?o?4;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:gc7?!?41383mh5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd5i9?1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0mm85+92;96=gb3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb3c32?7=>3:1"3j109ho74n`17>cg13-3857<7ad9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94ia69'=6?=:1kn7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7?d83;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532ok37)7<9;0;e`=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=9b395?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358ee<=#1:31>5oj;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;3ho7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`1=a7=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb717529cf?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?7k2;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:gc`?!?41383mh5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd51m91=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0mmi5+92;96=gb3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb3;g0?7=>3:1"3j109ho74n`17>cgb3-3857<7ad9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94iag9'=6?=:1kn7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7?c>3;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532oh;7)7<9;0;e`=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=9e595?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358ef4=#1:31>5oj;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;3h>7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`1=f5=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb717529cf?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?7l4;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:g`7?!?41383mh5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd51j?1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0mn85+92;96=gb3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb3;`2?7=>3:1"3j109ho74n`17>cd13-3857<7ad9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94ib69'=6?=:1kn7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7?d03;1:7>50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd532oh37)7<9;0;e`=n:<81<7*n338120=5$`11>13b32e3h44?:%c06?>2121b4i>50;&b77<>:010e?9n:18'e64=0ll07pl=9b;95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n358ef<=#1:31>5oj;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98k=b>290/m><584;8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj;3hm7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:32>565f8e294?"f;;02>454i35b>5<#i:814hh4;|`1=fd=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb717529cf?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11><4>32c9;l4?:%c06?>bn21vn?7ld;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:g``?!?41383mh5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j<58dd8?xd51jo1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0mni5+92;96=gb3`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10c5j6:18'e64=0<307d6k0;29 d55208276g=7`83>!g4:32nj65rb3;`b?7=>3:1"3j109ho74n`17>cdb3-3857<7ad9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n0m:1<7*n338:6<=51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94ibg9'=6?=:1kn7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54o9f:>5<#i:814874;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f7?a<3;147>50z&:37<3:?1Cmbl2.?n54=dc;8jd532oi;7)7<9;0;e`=n:<81<7*n338120=5$`11>13b32c3ho4?:%c06??4l21d4i750;&b7776jf:J:36=N>?=1/m><593`8 10f2:1C4hj4$5`;>7be12dj?94ic09'=6?=:1kn7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i9fa>5<#i:815>j4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:33?=65rb3;e2?7=03:1"3j109ho74n`17>ce53-3857<7ad9j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o?lk0;6)o<2;;0`>=h0m31<7*n338;1<=!g4:32nj6F67298m<41290/m><59538?xd5i;21=7650;2x <152=8j7Eo>d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb717529cf?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>;m0;6)o<2;;0`>N>?:10qo=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;d`0>">;0094lk4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a6d6a280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;d`2>">;0094lk4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:h;i6<49:183!?0:3>9?6Fn1e9K=22<,h99691jn94$81:>7>fm2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0b65<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?`d02.2?44=8`g8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>l<>:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5fb;8 <5>2;2ji6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4f:;0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3lhm6*63881j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269bfd<,0926?6ne:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=94>:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887hlc:&:7<<50ho0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6d42280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;d`a>">;0094lk4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:h;:6<49:183!?0:3>9?6Fn1e9K=22<,h99691jnh4$81:>7>fm2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0b57<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?`c82.2?44=8`g8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>l?<:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5fe38 <5>2;2ji6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4f9=0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3lo>6*63881j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269ba5<,0926?6ne:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887hk4:&:7<<50ho0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a6d70280=6=4?{%;46?25;2Bj=i5G9668 d552=8m7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b773<729q/5:<54318Ld7c3A3<86*n33876c=#=:mh27co<4;dg2>">;0094lk4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e:h;26<49:183!?0:3>9?6Fn1e9K=22<,h99691ji94$81:>7>fm2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c0b5d<62?0;6=u+9609075<@h;o7E784:&b77<3:o1/8;o53:J;aa=#il6;oc00?`c02.2?44=8`g8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi>l?l:085>5<7s-3<>7:=3:Jb5a=O1>>0(l==:50e?!21i390D5kk;%6a:5fe;8 <5>2;2ji6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg4f9m0:6;4?:1y'=24=<;90Dl?k;I;40>"f;;0?>k5+47c97>N?mm1/8o652e`:?kg4<3lom6*63881j7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<1290;w)782;617>Nf9m1C5::4$`11>14a3->=m7=4H9gg?!2e038on45aa269bad<,0926?6ne:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:783>5}#1>818?=4H`3g?M?0<2.j??4;2g9'03g=;2B3ii5+4c:96ad>3gk887hkc:&:7<<50ho0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a62Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887hke:&:7<<50ho0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm28g7>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269ba`<,0926?6ne:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg4>m00:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3ln<6*63881j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi>4kn:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5fd38 <5>2;2ji6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?`b:2.2?44=8`g8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e:0oh6<47:183!?0:3>9:6Fn1e9K=22<,h99641jh=4$81:>7>fm2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo<6ee82>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;df0>">;0094lk4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a62Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887hj6:&:7<<50ho0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm28fb>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269b`1<,0926?6ne:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg4>lk0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3ln46*63881j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi>4jl:08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5fd;8 <5>2;2ji6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?`bi2.2?44=8`g8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e:0nn6<47:183!?0:3>9:6Fn1e9K=22<,h99641jhl4$81:>7>fm2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo<6dg82>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;dfg>">;0094lk4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a62Bj=i5G9668 d55208i7):9a;18L=cc3->i47o5=;0;6)o<2;051>=n:5$`11><5c32e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1;<1<7*n338:04=:983>5}#1>818?84H`3g?M?0<2.j??462c9'03g=;2B3ii5+4c:96ad>3gk887hje:&:7<<50ho0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f8e`94?"f;;02?i54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7=6;29 d5520>:76sm28g1>4Nf9m1C5::4$`11><4e3->=m7=4H9gg?!2e038on45aa269b``<,0926?6ne:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l>cj3:1(l==:81g?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=70=83.j??464098yg4>m:0:654?:1y'=24=<;<0Dl?k;I;40>"f;;02>o5+47c97>N?mm1/8o652e`:?kg4<3lm<6*63881j7>5$`11>73a32c94i4?:%c06?22m21b4il50;&b77<>;m10c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`39:7>5$`11><2632wi>4k::08;>5<7s-3<>7:=6:Jb5a=O1>>0(l==:80a?!21i390D5kk;%6a:5fg38 <5>2;2ji6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h:gf?6=,h9964=k;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3:k1/8;o53:J;aa=#il6;oc00?`a:2.2?44=8`g8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n0mh1<7*n338:7a=5$`11><4>3A33:1(l==:862?>{e:0o<6<47:183!?0:3>9:6Fn1e9K=22<,h99641jk=4$81:>7>fm2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5?850;&b77<><810qo<6e982>=<729q/5:<54348Ld7c3A3<86*n338:6g=#=:mh27co<4;de0>">;0094lk4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77;:a6=de280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#<4n`17>c`23-3857<7ad9j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg4?io0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887hi6:&:7<<50ho0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c0;f`<62<0;6=u+96090f1<@h;o7E784:&b776`n358eb2=#1:31>5oj;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a6=d5280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1jk64$81:>7>fm2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0;f6<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3lmm6*638815$`11>13a32c2>;4?:%c06??5021d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?74:2dj?94ifc9'=6?=:1kn7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i47o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>5m>:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9564>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?`am2.2?44=8`g8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;306>hf;=0mjk5+92;96=gb3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>5l9:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955673-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2h?7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:011?kg4<3;;<<5+92;96=gb3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>5l8:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955653-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2h87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:011?kg4<3;;<>5+92;96=gb3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>5l7:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955633-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2h97?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:011?kg4<3;;<85+92;96=gb3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>5l6:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955613-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2h:7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:011?kg4<3;;<:5+92;96=gb3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>5ln:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269556?3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2io7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:011?kg4<3;;<45+92;96=gb3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>5l?:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269556f3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2ih7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:011?kg4<3;;>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>5l>:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269556d3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3;j7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5h8:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269556b3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:m7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>=:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955773-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:j7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;=<5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>8:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955753-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;39<7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;=>5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>7:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955733-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;39=7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;=85+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>6:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955713-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;39>7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;=:5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>n:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269557?3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;39?7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;=45+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>m:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269557f3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3987?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;=o5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>l:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269557d3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3997?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;=i5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>k:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269557b3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;39:7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;=k5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>j:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955473-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:<7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;><5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5h7:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955453-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:=7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;>>5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5h6:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955433-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:>7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;>85+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5hn:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955413-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:?7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;>:5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5hm:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269554?3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:87?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;>45+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5hl:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269554f3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:97?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;>o5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5hk:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269554d3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3::7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;>i5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5hj:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269554b3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:;7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;>k5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5hi:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955573-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:47?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;?<5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>?:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955553-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:57?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;?>5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>>:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955533-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:n7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;?85+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4><:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955513-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:o7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;?:5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>;:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269555?3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:h7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;?45+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>::087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269555f3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;3:i7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;?o5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>4>9:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269555d3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2oj7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;?i5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5m8:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269555b3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2nm7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;?k5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j=:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955273-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2nj7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;8<5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j8:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955253-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2m<7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;8>5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j7:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955233-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2m=7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;885+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j6:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955213-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2m>7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;8:5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5jn:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269552?3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2m?7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;845+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5jm:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269552f3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2m87?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;8o5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5jl:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269552d3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2m97?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;8i5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5jk:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269552b3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2m:7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;8k5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5jj:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955373-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n<7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;9<5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5m7:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955353-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n=7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;9>5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5m6:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955333-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n>7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;985+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5mn:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955313-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n?7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;9:5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5mm:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269553?3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n87?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;945+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5ml:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269553f3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n97?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;9o5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5mk:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269553d3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n:7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;9i5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5mj:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269553b3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n;7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;9k5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5mi:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955073-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n47?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;:<5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j?:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955053-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2n57?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;:>5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j>:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955033-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2nn7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;:85+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j<:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955013-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2no7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;::5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j;:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269550?3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2nh7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;:45+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j::087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269550f3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;2ni7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;;:o5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>5j9:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa269550d3-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;39;7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:025`>">;0094lk4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg4>0<0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887??6d9'=6?=:1kn7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0:77<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;;:k5+92;96=gb3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=98295?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<68>:0(4=6:3:ba>o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th95>851;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582427<,0926?6ne:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:03>6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:51151?!?41383mh5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a6<50280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1==9<;%;0=?4?il1b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3;:2?7=;3:1i;7>5$`11>13b32e2121vn?7<8;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>460=2.2?44=8`g8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;3333=#1:31>5oj;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4>;00:694?:1y'=24="f;;0?h95+4c:96ad>3gk887??769'=6?=:1kn7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1=<>=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0:<:64$81:>7>fm2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=92c95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<68>30(4=6:3:ba>o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>476:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa269551f3-3857<7ad9j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:09i6<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:5115a?!?41383mh5f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7?>i3;1?7>50z&:378c:&:7<<50ho0e?8<:18'e64=<!g4:32>565rb3;0g?7=<3:15$`11>13a32c2>;4?:%c06??5021d;>h50;&b776<729q/5:<5a0a8Ld7c3A3<86*n338b5g=#il6;oc00?77?l1/5>7529cf?l41;3:1(l==:57f?>o3j>0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;333c=#1:31>5oj;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd510i1=7=50;2x <152h;h7Eo>d:J:31=#i:81m7be12dj?94>0928 <5>2;2ji6g=6283>!g4:3>>i65f4c594?"f;;0?9h54o61e>5<#i:814874;|`1=7>=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:<5?4$81:>7>fm2c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<4290;w)782;c2g>Nf9m1C5::4$`11>d7e3->i475$`11>=3>32wi>4<6:087>5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955>43-3857<7ad9j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;33;7?53;294~">?;0j=n5Ga0f8L<133-k8>7o>b:&7f=<5lk30bl=;:02;0>">;0094lk4i340>5<#i:8188k4;h6a3?6=,h9969;j;:m47c<72-k8>76:9:9~f7?5i3;187>50z&:37<3l<1Cmo86*;b981`g?75:&:7<<50ho0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c0:<=<62:0;6=u+9609e4e<@h;o7E784:&b775$`11>13b32c?n:4?:%c06?22m21d;>h50;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?770>1/5>7529cf?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th955751;194?6|,0=96l?l;Ic2`>N>?=1/m><5a0`8 1d?2;ni56`n35824=><,0926?6ne:k126<72-k8>7::e:9j0g1=83.j??4;5d98k25a290/m><584;8?xd51;i1=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>09;8 <5>2;2ji6g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a6<>f28086=4?{%;46?g6k2Bj=i5G9668 d552h;i7):m8;0gf<=ii:>1==6n;%;0=?4?il1b>;=50;&b77<3=l10e9l8:18'e64=<4<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn?77b;397?6=8r.2;?4n1b9Ke4b<@0=?7)o<2;c2f>"3j109ho74n`17>46?k2.2?44=8`g8m704290/m><544g8?l2e?3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj;39i7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:02;`>">;0094lk4i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg4>0j0:6>4?:1y'=24=i8i0Dl?k;I;40>"f;;0j=o5+4c:96ad>3gk887??8d9'=6?=:1kn7d<93;29 d552=?n76g;b683>!g4:3>>i65`72d94?"f;;039454}c0:6c<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;;4k5+92;96=gb3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=99f95?5=83:p(49=:`3`?Mg6l2B2;95+a209e4d<,=h36?jm9:lb71<680:0(4=6:3:ba>o5>:0;6)o<2;66a>=n9m6=4+a209<0?<3th95>>51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n35824<7<,0926?6ne:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e:02n6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:511;1?!?41383mh5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a6<56280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1==7<;%;0=?4?il1b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb3;;b?7=;3:1i;7>5$`11>13b32e2121vn?7<3;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>46>=2.2?44=8`g8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>81m=:mh27co<4;33=3=#1:31>5oj;h057?6=,h9969;j;:k7f2<72-k8>7::e:9l36`=83.j??475898yg4>;=0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887??969'=6?=:1kn7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`1=<4=9391<7>t$851>d7d3Ak:h6F6759'e64=i8h0(9l7:3fa=>hf;=0:<464$81:>7>fm2c9:>4?:%c06?22m21b8o950;&b77<3=l10c:=i:18'e64=0<307pl=92795?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<68030(4=6:3:ba>o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>47<:080>5<7s-3<>7o>c:Jb5a=O1>>0(l==:`3a?!2e038on45aa26955?f3-3857<7ad9j635=83.j??4;5d98m1d0290/m><544g8?j14n3:1(l==:97:?>{e:03?6<4<:183!?0:3k:o6Fn1e9K=22<,h996l?m;%6a:511;a?!?41383mh5f27194?"f;;0?9h54i5`4>5<#i:8188k4;n50b?6=,h9965;6;:a77d1280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#h5aa26955?d3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg55il0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887??9e9'=6?=:l:37d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`06g1=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h09i6`n358247?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f64fn3;187>50z&:37<3l<1Cmo86*;b981`g?6f:&:7<<5m920e?8<:18'e64=!g4:339465`72d94?"f;;039454}c11f=<62<0;6=u+96090f1<@h;o7E784:&b77h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a77d7280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1==o>;%;0=?4b811b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb20a=?7==3:1bm2.?n54=dc;8 10f2;o0bl=;:02b6>">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`06g7=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:7c702c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:511c7?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c11f7<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;;m85+92;96`6?3`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl<2c`95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<5m2dj?94>0`48 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb20a7?7=<3:15$`11>13a32c2>;4?:%c06??5021d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?4b3gk887??a99'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn>"3j109ho74$54b>7cna:&:7<<5m920e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<2c795?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<68hh0(4=6:3g3<>o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi=:=;:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c96`=ii:>1==ol;%;0=?71>11b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b771<729q/5:<54e78Ld7c3A3<86*n3387`1=#il6;oc00?77im1/5>75174;?l41;3:1(l==:543?>o51k0;6)o<2;66b>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:;>;51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`81a>hf;=0:40102c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn<9=d;390?6=8r.2;?4;d49Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>46fn2.2?44>67:8m704290/m><54728?l4>j3:1(l==:57e?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;0f?kg4<3;;n=5+92;9530?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi=:5<7s-3<>7:k5:Jb5a=O1>>0(l==:5f7?!2e038on45aa26955d63-3857?9699j635=83.j??4;6198m7?e290/m><544d8?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8=8;7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:3g8jd5328:i>6*6388223>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th:;?h51;694?6|,0=969j:;Ic2`>N>?=1/m><54e68 1d?2;ni56`n35824g5<,0926<898:k126<72-k8>7:90:9j6<593:8?j14n3:1(l==:97:?>{e9>936<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:583>5}#1>818i;4H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;33f0=#1:31=;87;h057?6=,h99698?;:k1=g<72-k8>7::f:9j=70=83.j??462998k25a290/m><584;8?xd6?:31=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=e:lb71<68k<0(4=6:045<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj8=8=7?54;294~">?;0?h85Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:02a3>">;00::;64i340>5<#i:818;>4;h0:f?6=,h9969;i;:k:63<72-k8>77=8:9l36`=83.j??475898yg70;h0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m775174;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e9>996<4;:183!?0:3>o96Fn1e9K=22<,h9969j;;%6a:511`:?!?413;=:55f27194?"f;;0?:=54i3;a>5<#i:8188h4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f414j3;197>50z&:37<3k>1Cm46ei2.2?44>67:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd6?:91=7:50;2x <152=n>7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>0c`8 <5>28<=46g=6283>!g4:3>=<65f28`94?"f;;0?9k54i805>5<#i:815?64;n50b?6=,h9965;6;:a642>280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#h5aa26955dd3-3857?l309j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg46<80:694?:1y'=24="f;;0?h95+4c:96ad>3gk887??be9'=6?=9j9:7d<93;29 d552=<;76g=9c83>!g4:3>>j65f93494?"f;;02>554o61e>5<#i:814874;|`151g=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h09i6`n35824gc<,09267?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f773:3;187>50z&:37<3l<1Cmo86*;b981`g?mf:&:7<<6k:;0e?8<:18'e64=!g4:339465`72d94?"f;;039454}c020g<62<0;6=u+96090f1<@h;o7E784:&b77;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a6424280?6=4?{%;46?2c=2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1==m>;%;0=?7d;81b>;=50;&b77<3>910e?7m:18'e64=<!g4:32>565rb337g?7==3:1bm2.?n54=dc;8 10f2;o0bl=;:02`6>">;00:o>?4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`1512=93>1<7>t$851>1b23Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:4e492c9:>4?:%c06?21821b>4l50;&b77<3=o10e4<9:18'e64=1;207b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:511a7?!?413;h?<5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0200<62=0;6=u+96090a3<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;;o85+92;95f563`8=?7>5$`11>10732c95o4?:%c06?22n21b5?850;&b77<>:110c:=i:18'e64=0<307pl=15g95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<5m2dj?94>0b48 <5>28i8=6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3372?7=<3:15$`11>13a32c2>;4?:%c06??5021d;>h50;&b774g82>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?4b3gk887??c99'=6?=9j9:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6g1>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn??:0;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>7cla:&:7<<6k:;0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=15:95?2=83:p(49=:5f6?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<68jh0(4=6:0a05>o5>:0;6)o<2;654>=n:0h1<7*n33871c=<3f=8j7>5$`11>=3>32wi>>?6:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9bd=ii:>1==ml;%;0=?45>;1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?`f3gk887??ce9'=6?=:;<97d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;009>;<4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th9>k951;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8247=ii:>1==mi;%;0=?45>;1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg438>0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?77l91/5>752341?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e::?>6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1ee>hf;=0:4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn"3j109ho74$54b>6`f3gk887??d29'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`2b02=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jl5aa26955b23-3857?l309j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg7a=;0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7=ia:lb71<68m<0(4=6:0a05>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj8l><7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2db?kg4<3;;h:5+92;95f563`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=k:j:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97cgk8:&:7<<6k:;0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>f5a95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nh1em>:511f:?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c3bg4<62:0;6=u+96090f7<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a5<7b28086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898ygd3;3;1?7>50z&:37<3k81Cm75a5f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xdf0h0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m76;;oc00?77lm1/5>75a5f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd5k8;1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4ia:lb71<68mo0(4=6:3a3b>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj;koi7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:gc8jd5328:oj6*63881ea>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9mio51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=0:4$81:>7gc02c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn"3j109ho74$54b>cgj1:&:7<<6k:;0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>cca95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;81em>:511g1?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c3e3d<62=0;6=u+96090f2<@h;o7E784:&b77;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi=lk9:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c951gj4:&:7<<6ik80e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6i091=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>4`9me62=99o>7)7<9;3b<3=n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c3:06<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn<6i1;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>42f3gk887??e69'=6?=91o?7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:93m6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`2<1c=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa26955c>3-3857?8419j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>0dc8 <5>28=?<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj82?47?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2d`?kg4<3;;io5+92;952273`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a5=22280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#46bk2.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl>85095?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nj1em>:511gg?!?413;<8=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:41382c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f4>4k3;187>50z&:37<3k=1Cmhl;oc00?77mo1/5>751663?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;00:;9>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:4>851;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1==h>;%;0=?70<91b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg7?;=0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<68o80(4=6:0574>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0:05?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;33b6=#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=55<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97cei4:&:7<<6?=:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd60;h1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l47)7<9;3405=n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c3;6=<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn<6=5;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887??f69'=6?=9>>;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e91;m6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`2<5e=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa26955`>3-3857?8419j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>0gc8 <5>28=?<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj82;:7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2d`?kg4<3;;jo5+92;952273`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a5=64280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#46ak2.2?44>7528m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl>81295?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nj1em>:511dg?!?413;<8=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:41382c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f41ai3;187>50z&:37<3k=1Cmhl;oc00?77no1/5>751663?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;00:;9>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:;k:51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1=<>>;%;0=?70<91b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg70n;0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<69980(4=6:0574>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb05fb?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;3246=#1:31=::?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=:kl:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6?l31=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l47)7<9;3405=n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c34a3<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn<9j3;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887?>069'=6?=9>>;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e9>nm6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`a04<62=0;6=u+96090f2<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32win>h51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1=<>n;%;0=?g3l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fg5c280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#477j2.2?44n4e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b77"3j109ho74$54b>6`d3gk887?>0b9'=6?=i=n0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xde;00:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<699n0(4=6:`6g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b9:5102f?!?413k?h6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjk9>6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c3bg7<62=0;6=u+96090f2<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=4?i:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9`2=ii:>1=;%;0=?7>8o1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898ygg?03;187>50z&:37<3k=1Cm476:2.2?44n4e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?77:2dj?94>1018 <5>2;ih96g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj;i:>7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:021?kg4<3;:=95+92;96f6a3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a6dba280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#476=2.2?44=ae:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl=ae`95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<68;1em>:51035?!?4138jh55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;362>hf;=0:=<94$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f7ec=3;197>50z&:37<3k>1Cm47602.2?44=cb78m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5k;?1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>739me62=98;27)7<9;0a6`=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;f:?kg4<3;:=l5+92;95dd53`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi=45<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9`<=ii:>1=8o1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b><2c:&:7<>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7792dj?94>10f8 <5>2;i;j6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3cf4?7==3:1bm2.?n54=dc;8 10f28::7co<4;325`=#1:31>lj7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6dbd280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#476n2.2?44=ae:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5km;1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4ie:lb71<69;:0(4=6:3a`1>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj8l3o7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:031?kg4<3;:><5+92;95f563`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>9>k:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95fd0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=41a95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nj1em>:51000?!?41389:?5f44794?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60a>hf;=0:=?:4$81:>741:2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?:?9;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>070bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:18'e64=0<307pl=3e295?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3;11em>:51005?!?41389:?5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c00gf<62<0;6=u+96090f1<@h;o7E784:&b778m6`n3582571<,0926?<92:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f756m3;197>50z&:37<3k>1Cm752341?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e::;o6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;40?kg4<3;:>l5+92;967053`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a6173280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#475j2.2?44=2708m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5k8n1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>199me62=988h7)7<9;0`4c=n<=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1ee>hf;=0:=?j4$81:>7d4>2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn?>ma;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>db0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>ad195?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d13d8 <5>28ki>6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb0;74?7=;3:1bm2.?n54=dc;8 10f2hn0bl=;:0304>">;00:5=h4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1g74=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9?5aa26954563-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?573gk887?>339'=6?=9j9:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;009?8k4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th9?5=51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1=<=;;%;0=?44=l1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg440;0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<69:?0(4=6:316a>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb31;5?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;3273=#1:31>>;j;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi>>6?:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5;>l1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c003`<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?=8d;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887?>3`9'=6?=::?n7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;mh=6Fn1e9K=22<,h9965kj;%6a=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;cg?kg4<3;:?n5+92;967053`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>>>l:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97cg0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=34395?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<2k2dj?94>12g8 <5>2;8=>6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;9>h7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:3:g?kg4<3;:?k5+92;967053`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>>;l:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9f4=ii:>1=<:?;%;0=?45>;1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?263gk887?>409'=6?=:;<97d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:51061?!?41389:?5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0016<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?:?3;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>170bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=41695?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<392dj?94>1578 <5>2;8=>6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb31b=?7==3:1bm2.?n54=dc;8 10f2=9=7co<4;3203=#1:31>?8=;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a66g1280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#473?2.2?44=2708m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5;h21=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l437)7<9;0127=n<=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;32<>hf;=0:=974$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn"3j109ho74$54b>dc0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd6ih<1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=489me62=98>i7)7<9;3b<3=n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c3:40<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?>;7;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>14b3gk887?>4e9'=6?=9j9:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;00:mo<4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`2=a5=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=55aa269542a3-3857?60g9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg4dm:0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:=b:lb71<69<:0(4=6:3a`1>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb3ag3?7=<3:1bm2.?n54=dc;8 10f2kl0bl=;:0365>">;009on;4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th8nlj51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875>hf;=0:=8<4$81:>6d6l2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn><63;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?>529'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`066`=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0::n5aa26954323-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg55;j0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?9c:lb71<69<<0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:8957?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:04`?kg4<3;:9:5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi??<<:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95660bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=cd095?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3;l1em>:5107:?!?4138ho85f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0aa0<62<0;6=u+96090f1<@h;o7E784:&b77?<6`n358250g<,0926?l=e:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7dcl3;197>50z&:37<3k>1Cm472j2.2?44=b3g8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd6jk?1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=98?h7)7<9;3bf7=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:=8j4$81:>4ge:2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74$54b>17?3gk887?>5d9'=6?=9hh97d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:mo<4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`2fdb=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26954073-3857?nb39j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg7eik0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<69?;0(4=6:0ca6>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj8hj57?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;::?5+92;95dd53`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi=oo8:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>b`795?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:51047?!?413;jn?5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c3ae6<62<0;6=u+96090f1<@h;o7E784:&b77:46`n3582533<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f4df93;197>50z&:37<3k>1Cm?1/5>751``1?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e9k3m6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th:n4j51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=<87;%;0=?7fj;1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>17;8 <5>28ki>6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb0`:=?7==3:1bm2.?n54=dc;8 10f2=;37co<4;322d=#1:31=ll=;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a5g?0280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#471j2.2?44>ac08m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd6j0?1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=98=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:=;j4$81:>4ge:2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74$54b>17?3gk887?>6d9'=6?=9hh97d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:mo<4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`2f=b=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26954173-3857?nb39j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg7e0k0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<69>;0(4=6:0ca6>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj8h357?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;:;?5+92;95dd53`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi=o68:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>b9795?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:51057?!?413;jn?5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c3a<6<62<0;6=u+96090f1<@h;o7E784:&b77:46`n3582523<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f4d?93;197>50z&:37<3k>1Cm751``1?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e9k=m6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th:n:j51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=<97;%;0=?7fj;1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>16;8 <5>28ki>6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb0`4=?7==3:1bm2.?n54=dc;8 10f2=;37co<4;323d=#1:31=ll=;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a5d75280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#470j2.2?44>91d8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd6i8:1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=98=h7)7<9;3:4c=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:=:j4$81:>4?7n2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74$54b>17?3gk887?>7d9'=6?=90:m7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:5=h4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`2e5>=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26954>73-3857?60g9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg7f8?0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<691;0(4=6:0;3b>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj8k;87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;:4?5+92;95<6a3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi=l>=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>a1295?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:510:7?!?413;2=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c3:b`<62<0;6=u+96090f1<@h;o7E784:&b77:46`n35825=3<,0926<7?f:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f4?ak3;197>50z&:37<3k>1Cm75182e?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e90lj6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th:5k651;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=<67;%;0=?7>8o1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>19;8 <5>283;j6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb0;e0?7==3:1bm2.?n54=dc;8 10f2=;37co<4;32i;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a5<`5280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#47?j2.2?44>91d8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd61o:1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=982h7)7<9;3:4c=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:=5j4$81:>4?7n2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn<7jc;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?>8d9'=6?=90:m7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:5=h4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`2=`>=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26954?73-3857?60g9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg7>m?0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<690;0(4=6:0;3b>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj83n87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;:5?5+92;95<6a3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi=4k=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>9d295?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:510;7?!?413;2=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c3:``<62<0;6=u+96090f1<@h;o7E784:&b77:46`n35825<3<,0926<7?f:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f4?ck3;197>50z&:37<3k>1Cm75182e?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e90nj6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th:5i651;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=<77;%;0=?7>8o1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>18;8 <5>283;j6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb0`4bm2.?n54=dc;8 10f2=9<7co<4;32=d=#1:31=ll=;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a56=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#94n`17>47>j2.2?44>91d8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4;?=1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;429me62=983h7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;677>hf;=0:=4j4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>j82;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>47?3gk887?>9d9'=6?=;m=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47:510;e?!?4138i>h5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;:1?kg4<3;:m=5+92;95dd53`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi=4:::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9<7=ii:>1=;%;0=?7>8o1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?>73gk887?>a39'=6?=9hh97d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:510c0?!?413;2=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0aa4<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?lkb;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15?3gk887?>a49'=6?=:k8n7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`06t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??45aa26954g03-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg551o0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?<0:lb71<69h20(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:?ho7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03;?kg4<3;:m45+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi?h?::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95250bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=be095?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3<:1em>:510ca?!?4138i>h5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0``c<62=0;6=u+96090f2<@h;o7E784:&b77>6`n35825de<,0926?ml5:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?mkc;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>42f3gk887?>ae9'=6?=:ji>7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e:9336<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c3;a2<62<0;6=u+96090f1<@h;o7E784:&b77:7co<4;32ec=#1:31=5k;;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a5=31280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg7?:80:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?>a:lb71<69k;0(4=6:0574>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj8k2i7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:0a`?kg4<3;:n?5+92;95d>13`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi=l7k:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904=ii:>1=>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7dk2dj?94>1c68 <5>282n86g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb0:ef?7==3:1bm2.?n54=dc;8 10f2=;0bl=;:03a1>">;00:4h:4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`247b=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08<6`n35825g0<,0926o9?;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a554b280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e2121vn<>=f;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>dc>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg5ej80:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7;>;oc00?76j01/5>753c3g?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;;>>6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8>9o51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ge>hf;=0:=ol4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn><6b;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15e3gk887?>bb9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:o>?4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`14=d=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jh6`n35825gc<,09267?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f76?k3;197>50z&:37<3k>1Cm47en2.2?44>c238m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd580;1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>169me62=98i;7)7<9;3`74=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;677>hf;=0:=n?4$81:>4e492c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?>79;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4343gk887?>c39'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;00:o>?4i576>5<#i:81=n:4n`13>5=4<#i:814874;|`2t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=55aa26954e33-3857?7e59j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg7>8:0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:io;7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:228jd5328;h:6*63880e`06<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th:o?j51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8250=ii:>1=>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg7d:j0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7=?;oc00?76k11/5>751b0:?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e:9kh6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th9N>?=1/m><58dg8 1d?2;ni56*;6`877==ii:>1=>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?7602dj?94>1b`8 <5>2:n=o6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb3`33?7=;3:1bm2.?n54=dc;8 10f289:7co<4;32gf=#1:31>lj7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:af65=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0=?6`n35825fb<,0926l:k;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi>o?<:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c975=ii:>1=>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?363gk887?>cg9'=6?=:hh:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:510f3?!?413;j4;5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c3270<62<0;6=u+96090f1<@h;o7E784:&b77:7co<4;32`4=#1:31n:>4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`2546=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=6`n35825a4<,0926o9?;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a55ce280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f46e;3;197>50z&:37<3k>1Cm>4n`17>47c<2.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898ygca;3;197>50z&:37<3k>1Cm>4n`17>47c=2.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898ygcc?3;197>50z&:37<3k>1Cm>4n`17>47c>2.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898ygc>83;197>50z&:37<3k>1Cm47c?2.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898ygc0k3;197>50z&:37<3k>1Cm47c02.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg4e8;0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?=0:lb71<69m30(4=6:3cg<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zjl396<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}cg:5?7==3:1bm2.?n54=dc;8 10f2=937co<4;32`g=#1:31n:>4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`f3a<62<0;6=u+96090f1<@h;o7E784:&b778i6`n35825ae<,0926o9?;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:af76=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??h5aa26954bc3-3857o;d:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~fg7a280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6g613;187>50z&:37<3k=1Cm47cn2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl=a2795?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3:k1em>:510g3?!?41383mh5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;677>hf;=0:=h?4$81:>7>fm2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn"3j109ho74$54b>7c0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl>f3c95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<5m2dj?94>1d18 <5>28i8=6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb0d1f?7==3:1bm2.?n54=dc;8 10f2;o0bl=;:03f0>">;00:o>?4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`2b7e=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0>o6`n35825`3<,09267?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn"3j109ho74$54b>47f3gk887?>e79'=6?=9j9:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47:1em>:510g4?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;0f?kg4<3;:i55+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi??=7:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c96`=ii:>1=>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?7612dj?94>1dc8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:o:;7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:050?kg4<3;:io5+92;96`603`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?8m::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95220bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<5b095?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3;m1em>:510gg?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1527<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn>8:f;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>7c0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<67295?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=;1em>:510d3?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;347>hf;=0:=k?4$81:>7c7?2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>k>b;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4143gk887?>f39'=6?=:l:<7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47:1em>:510d0?!?4139ji;5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;07=>hf;=0:=k:4$81:>7d4>2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f4gf<3;197>50z&:37<3k>1Cm751`:5?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;l;o6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th9<4751;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8245=ii:>1=>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?70;2dj?94>1g:8 <5>2;o;;6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2g15?7==3:1bm2.?n54=dc;8 10f28=87co<4;32b<=#1:31>h>8;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7`44280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#47ai2.2?44=e158m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd4m891=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>729me62=98li7)7<9;0f42=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=4>:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;cg?kg4<3;:jn5+92;95f563`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=i;>:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9ea=ii:>1=>50:9j000=83.j??4>c59me66=921d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?gc3gk887?>fd9'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47:510de?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c3g0g<62:0;6=u+96090f7<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f4b313;1?7>50z&:37<3k81Cm44792.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd6l==1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1ee>hf;=0:>==4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn8;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>db0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>d0c95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d2178 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb0f21?7=;3:1bm2.?n54=dc;8 10f2:lj7co<4;3143=#1:31=n=>;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a6=?4280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?27l2dj?94>21:8 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj;22<7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;9<45+92;960bd3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>56j:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=89a95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:5132a?!?4138>hn5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0;:46`n358265e<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7>?03;197>50z&:37<3k>1Cm7524f`?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:12=6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th945:51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=?>i;%;0=?42lj1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>2028 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3:;4?7==3:1bm2.?n54=dc;8 10f2=;37co<4;3154=#1:31>8jl;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6=1b280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#446:2.2?44=5ea8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd50>i1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9;;87)7<9;06`f=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:><:4$81:>73ck2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?688;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?=149'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;0099im4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1<22=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26957703-3857<:db9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg4??;0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6:820(4=6:37gg>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;2<<7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;9=45+92;960bd3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>58j:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>a:&:7<<5=mi0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=87a95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:5133a?!?4138>hn5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0;2d<62<0;6=u+96090f1<@h;o7E784:&b77:46`n358264e<,0926?;kc:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7>103;197>50z&:37<3k>1Cm7524f`?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:1<=6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th94;:51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=??i;%;0=?42lj1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>2328 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3:54?7==3:1bm2.?n54=dc;8 10f2=;37co<4;3164=#1:31>8jl;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6=3b280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#445:2.2?44=5ea8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd50d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9;887)7<9;06`f=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:>?:4$81:>73ck2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?6:8;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?=249'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;0099im4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1<02=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26957403-3857<:db9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg4?=;0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6:;20(4=6:37gg>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;2287?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:0ag?kg4<3;9>45+92;960bd3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>57>:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9f6=ii:>1=?>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?76i2dj?94>23`8 <5>2:h:h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3c7f?7==3:1bm2.?n54=dc;8 10f2k90bl=;:001g>">;0094lk4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1e1e=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h09845aa269574c3-3857<7ad9j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?1?3gk887?=2d9'=6?=:1kn7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009on;4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1`40=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h095<5aa26957573-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg4c9;0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7<61:lb71<6::;0(4=6:3a`1>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;n:87?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:968jd532888>6*63881gf36=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9h5j51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`81a>hf;=0:>>=4$81:>7b6i2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?j7e;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>7c0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=d9d95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<5m2dj?94>2278 <5>2;n:m6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3f:4?7==3:1bm2.?n54=dc;8 10f2;o0bl=;:0002>">;009h4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`1`<7=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=l5aa26957503-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg4di90:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7752b0g?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e:jk:6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;0f?kg4<3;9?l5+92;96f4c3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>no<:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c96`=ii:>1=?=m;%;0=?4d:m1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?76i2dj?94>22a8 <5>2;i9h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3`b=?7==3:1bm2.?n54=dc;8 10f2;o0bl=;:000`>">;009n>84i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`1fdg=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h09i6`n358266c<,0926?l<6:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f7dfj3;197>50z&:37<3k>1Cm444n2.2?44=b248m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd5jhi1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=e:lb71<6:=:0(4=6:3`02>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj;hjh7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03b?kg4<3;98<5+92;96g513`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?llm:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95fe0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl2518 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:kij7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:4a8jd53288?86*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`0ef7=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26957223-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5fj00:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj8n:n7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:`f8jd53288?;6*63882g676=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8:9m51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8704=ii:>1=?:7;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24n2dj?94>25;8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2cag?7==3:1bm2.?n54=dc;8 10f2=>87co<4;310d=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7ddc280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#443j2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd4ikk1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4h7)7<9;0f4==n<=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;0f?kg4<3;98i5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?86m:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90170bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>d0f95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d25d8 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb0f2b?7=;3:1bm2.?n54=dc;8 10f2hn0bl=;:0064>">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`2`77=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jh6`n3582607<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f41513;1?7>50z&:37<3k81Cm75174;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e9j;o6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;cg?kg4<3;9995+92;95d743`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi?n5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c926=ii:>1=?;:;%;0=?5e9m1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg5d8l0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7oj;oc00?75=?1/5>753c3g?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;008n4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th9=l>51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ba>hf;=0:>864$81:>4e492c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f77003;187>50z&:37<3k=1Cm751b12?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b91em>:5137b?!?413k?h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb`c`>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5137a?!?413k?h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3`35?7==3:1bm2.?n54=dc;8 10f28997co<4;311f=#1:31>lj7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a5a4428086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg7c:<0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7ok;oc00?75=l1/5>751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e;:286<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:4>:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;cg?kg4<3;9:=5+92;95f563`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=n?;:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9ea=ii:>1=?8>;%;0=?7ek=1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?gc3gk887?=639'=6?=9ki?7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47:51340?!?413;io95f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c3b34<62:0;6=u+96090f7<@h;o7E784:&b77;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a5d0a28086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg7f>m0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7ok;oc00?75>?1/5>751`02?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e;>8>6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th:jl651;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`81a>hf;=0:>;64$81:>4e492c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn"3j109ho74$54b>4703gk887?=689'=6?=9j9:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0343=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9>5aa269570e3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg50990:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?:3:lb71<6:?i0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:=;n7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:070?kg4<3;9:i5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?:>9:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95050bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<71395?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=:1em>:5134e?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c15b7<62<0;6=u+96090f1<@h;o7E784:&b77?6`n3582626<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f60ej3;197>50z&:37<3k>1Cm752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;?2?6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8;N>?=1/m><58dg8 1d?2;ni56*;6`8216=ii:>1=?9<;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?72;2dj?94>2668 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb24eg?7==3:1bm2.?n54=dc;8 10f28?87co<4;3130=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a73`0280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#440>2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4>ln1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>529me62=9;=<7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;367>hf;=0:>:64$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>8j3;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4343gk887?=789'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`02a?=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9>5aa269571e3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg51l=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?:3:lb71<6:>i0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:070?kg4<3;9;i5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?;mn:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95050bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<6b795?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=:1em>:5135e?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c15g5<62<0;6=u+96090f1<@h;o7E784:&b77?6`n35826=6<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f60e>3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;?h:6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8:lm51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8216=ii:>1=?6<;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?72;2dj?94>2968 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb24b6?7==3:1bm2.?n54=dc;8 10f28?87co<4;31<0=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a73?c280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#44?>2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4>021=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>529me62=9;2<7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;367>hf;=0:>564$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>87e;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4343gk887?=889'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`2`7?=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jh6`n35826=d<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f63>:3;187>50z&:37<3k=1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`01d5=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jl5aa26957>b3-3857c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg521o0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6:1l0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:?2i7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2db?kg4<3;95=5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi?87n:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<58;95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nh1em>:513;1?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c16==<62<0;6=u+96090f1<@h;o7E784:&b77:46`n35826<5<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f63>?3;197>50z&:37<3k>1Cm;oc00?751=1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;<3>6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th894:51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8704=ii:>1=?79;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?gc3gk887?=969'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47:513;;?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c3g74<62:0;6=u+96090f7<@h;o7E784:&b77;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a6d2>280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#5aa26957?f3-3857<7ad9j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?043gk887?=9c9'=6?=:1kn7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{en<<1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;1g9me62=9;3h7)7<9;`44>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rbg73>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;13?kg4<3;95h5+92;97dc13`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>l5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904=ii:>1=?7i;%;0=?4?il1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77"3j109ho74$54b>07>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77"3j109ho74$54b>07>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77"3j109ho74$54b>17>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77"3j109ho74$54b>17>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77"3j109ho74$54b>17>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77"3j109ho74$54b>17>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?25m2dj?94>2`48 <5>2;2ji6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3c71?7==3:1bm2.?n54=dc;8 10f2<;0bl=;:00b3>">;0094lk4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`e14<62<0;6=u+96090f1<@h;o7E784:&b77846`n35826d><,0926o9?;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:ab04=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=k5aa26957g>3-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn"3j109ho74$54b>db0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=dc495?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nj1em>:513ca?!?4138jn<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;333>hf;=0:>lm4$81:>7ge92c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f6e>13;197>50z&:37<3k>1Cm4n`17>44fl2.2?44<51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4k0=1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l470:lb71<6:ho0(4=6:2cf2>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:i3o7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:928jd53288jj6*63880e`06=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8o5o51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8;4>hf;=0:>o>4$81:>6gb>2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>m78;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>=60bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl2c08 <5>2:kn:6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2a;0?7==3:1bm2.?n54=dc;8 10f21:0bl=;:00a7>">;008mh84i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0g=4=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h03<6`n35826g2<,0926>oj6:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f4ge93;187>50z&:37<3k=1Cmhl;oc00?75j<1/5>751`:5?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;00:m584i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:mlh51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1=?l8;%;0=?7f0?1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg7fil0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<6:k20(4=6:0c;2>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0cb`?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;31f<=#1:31=l69;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=lol:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6ihh1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c3bed<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn"3j109ho74$54b>6`d3gk887?=be9'=6?=9h2=7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e9hk36<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`2=5c=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa26957da3-3857?7e59j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>2b28 <5>282n86g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj83;o7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2d`?kg4<3;9o<5+92;95=c33`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a5<6e280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#44d:2.2?44>8d68m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl>91c95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nj1em>:513a0?!?413;3i95f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:>n:4$81:>4>b<2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f4?703;187>50z&:37<3k=1Cmhl;oc00?75k<1/5>7519g7?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;00:4h:4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:h>;51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8b`>hf;=0:>n94$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn>8;7;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1713gk887?=c99'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;?>86<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`0e44=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=45aa26957ef3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?26>2dj?94>2b`8 <5>2:h:h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:?347?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:3g8jd53288ho6*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th895751;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8b`>hf;=0:>nj4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn>;7a;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15f3gk887?=cd9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th8:>l51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8753=ii:>1=?j?;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg51;>0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:>6:lb71<6:m;0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb2407?7=<3:1bm2.?n54=dc;8 10f2=;=7co<4;31`7=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi?;5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90400bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd4>;21=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;179me62=9;n?7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c1561<62=0;6=u+96090f2<@h;o7E784:&b77::6`n35826a3<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn>8=0;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1713gk887?=d79'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;?;h6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`024>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=;5aa26957b?3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?26>2dj?94>2e;8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:<:<7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:535?kg4<3;9hl5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a736d280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#44cj2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl<61:95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<39?1em>:513f`?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;622>hf;=0:>ij4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f60783;187>50z&:37<3k=1Cm752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th89k651;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8753=ii:>1=?k?;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg52n=0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:>6:lb71<6:l;0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb27e4?7=<3:1bm2.?n54=dc;8 10f2=;=7co<4;31a7=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi?8kl:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90400bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd4=l21=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;179me62=9;o?7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c16a1<62=0;6=u+96090f2<@h;o7E784:&b77::6`n35826`3<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn>;j0;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1713gk887?=e79'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`01a>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=;5aa26957c?3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?26>2dj?94>2d;8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:?o<7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:535?kg4<3;9il5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a70e1280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#44bj2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl<5`a95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:513g`?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c16e=<62:0;6=u+96090f7<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f4b4?3;1?7>50z&:37<3k81Cm44bm2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4;1=1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l474:lb71<6:ll0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj:9347?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:033?kg4<3;9j=5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?>66:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c954>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>d2;95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d2g08 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb21`3?7=<3:1bm2.?n54=dc;8 10f2?90bl=;:00e7>">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th8n4=51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`865>hf;=0:>k:4$81:>6d6l2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?66e;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>g50bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=88f95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<002dj?94>2g48 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbd76>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cg62?7==3:1bm2.?n54=dc;8 10f2oi0bl=;:00e<>">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cg63?7==3:1bm2.?n54=dc;8 10f2=9h7co<4;31b<=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`f1=<62=0;6=u+96090f2<@h;o7E784:&b77::6`n35826cg<,0926o9?;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wii9k51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8230=ii:>1=?hm;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnh:i:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9504>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898ygc303;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdb<00:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7hl;oc00?75nl1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdb"f;;03ih5+4c:96ad>3->=m7:{em=h1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;179me62=9::;7)7<9;`44>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rbd62>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47?0bl=;:0135>">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cg76?7=<3:1bm2.?n54=dc;8 10f28?97co<4;3047=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3thn?o4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;35b>hf;=0:?==4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wii>m51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8eg>hf;=0:?=:4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wii>j51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`877f=ii:>1=>>:;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnh=j:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9040>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898ygc4<3;197>50z&:37<3k>1Cm1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdb;<0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7?:2:lb71<6;920(4=6:c53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b9o1em>:5122:?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbd0e>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5122b?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbd13>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cg05?7=<3:1bm2.?n54=dc;8 10f2=;=7co<4;304f=#1:31n:>4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3thn>:4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;341>hf;=0:?=j4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wii?651;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8217=ii:>1=>>j;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f`46280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#457n2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygc5:3;197>50z&:37<3k>1Cm45682.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygc5;3;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdb:=0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:>6:lb71<6;880(4=6:c53?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b9:51230?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbd3a>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:04e?kg4<3;8=85+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thn=84>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;d`?kg4<3;8=;5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thn=;4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60g>hf;=0:?<94$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wii<951;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8753=ii:>1=>?7;%;0=?d082c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f`6c280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#45612.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygc7m3;187>50z&:37<3k=1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307plj0682>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?71n2dj?94>30`8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b930a8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b9:5123g?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbd2b>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:056?kg4<3;8=k5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thn<<4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;366>hf;=0:??>4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a`cg=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0::k5aa26956463-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fa`e280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fa`d280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#m4n`17>455;2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygbal3;187>50z&:37<3k=1Cm75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307plkf282>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?70=2dj?94>3378 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b9:51205?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjmoo6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:ga8jd53289946*6388a35=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51`?kg4<3;8>45+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thoj=4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;622>hf;=0:??o4$81:>g173`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a``0=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:;85aa269564e3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fac0280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#455k2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b77"3j109ho74$54b>40a3gk887?<2e9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plke082>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?`d3gk887?<2d9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plke382>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24k2dj?94>33d8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b9:51213?!?413h<<6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zjmn26<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:071?kg4<3;8??5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`g`6<62<0;6=u+96090f1<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a`a2=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mo6`n3582762<,0926o9?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a`a3=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??n5aa26956523-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fab1280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#454>2.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b77"3j109ho74$54b>4123gk887?<369'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plkce82>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?72:2dj?94>32:8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{elj<1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>6g9me62=9:927)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjmi<6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjmi36<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:535?kg4<3;8?n5+92;9f266<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`gfc<62<0;6=u+96090f1<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a`f6=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9?5aa269565b3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnil6:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c953`>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>ce>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>15d3gk887?<409'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plkbb82>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?26>2dj?94>3508 <5>2k=;7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{elk81=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>749me62=9:>87)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjmh86<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}cfbg?7==3:1bm2.?n54=dc;8 10f284i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`gea<62<0;6=u+96090f1<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`ge`<62<0;6=u+96090f1<@h;o7E784:&b778o6`n3582711<,0926o9?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a`d`=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=;5aa269562?3-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vnio::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9523>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>4353gk887?<4`9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xdc1l0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?9f:lb71<6;=h0(4=6:c53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{el0l1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4ic:lb71<6;=i0(4=6:c53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{elh:1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;3b9me62=9:>o7)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjmk:6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}cf:3?7==3:1bm2.?n54=dc;8 10f28=>7co<4;300c=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`g==<62=0;6=u+96090f2<@h;o7E784:&b77>6`n3582706<,0926o9?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wih4<51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`822c=ii:>1=>;>;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vni7<:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9bf=ii:>1=>;=;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vni7;:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906e>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>1713gk887?<559'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xdc0k0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?85:lb71<6;{el1i1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>539me62=9:?=7)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rbe:6>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cf;2?7==3:1bm2.?n54=dc;8 10f2oi0bl=;:016<>">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cf;3?7==3:1bm2.?n54=dc;8 10f2=9h7co<4;301<=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`g<=<62=0;6=u+96090f2<@h;o7E784:&b77::6`n358270g<,0926o9?;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wih:k51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8230=ii:>1=>;m;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vni9i:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9504>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898ygb003;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdc?00:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7hl;oc00?74=l1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdc?h0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:{el>h1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;179me62=9:<;7)7<9;`44>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rbe52>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47?0bl=;:0155>">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cf46?7=<3:1bm2.?n54=dc;8 10f28?97co<4;3027=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3tho:o4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;35b>hf;=0:?;=4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wih;m51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8eg>hf;=0:?;:4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wih;j51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`877f=ii:>1=>8:;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vni8j:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9040>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898ygb1<3;197>50z&:37<3k>1Cm>1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdc><0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7?:2:lb71<6;?20(4=6:c53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b9o1em>:5124:?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbe7f>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5124b?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbe7e>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cf54?7=<3:1bm2.?n54=dc;8 10f2=;=7co<4;302f=#1:31n:>4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3tho9;4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;341>hf;=0:?;j4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wih8951;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8217=ii:>1=>8j;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~fa36280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#451n2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygb2:3;197>50z&:37<3k>1Cm45082.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygb2;3;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdc==0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:>6:lb71<6;>80(4=6:c53?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b9:51250?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbe6a>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:04e?kg4<3;8;85+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3tho884>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;d`?kg4<3;8;;5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3tho8;4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60g>hf;=0:?:94$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wih9951;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8753=ii:>1=>97;%;0=?d082c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~fa5c280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#45012.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygb4m3;187>50z&:37<3k=1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307plk3682>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?71n2dj?94>36`8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b936a8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b9:5125g?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbe1b>4<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:056?kg4<3;8;k5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3tho?<4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;366>hf;=0:?5>4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a`7g=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0::k5aa26956>63-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fa4e280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#53-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fa4d280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#m4n`17>45?;2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygb5l3;187>50z&:37<3k=1Cm75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307plk2282>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?70=2dj?94>3978 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b9:512:5?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zjm;h6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:ga8jd53289346*6388a35=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51`?kg4<3;8445+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3tho=k4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;622>hf;=0:?5o4$81:>g173`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a`43=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:;85aa26956>e3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fa71280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#45?k2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b770;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>40a3gk887?<8e9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plk1082>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?`d3gk887?<8d9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plk1382>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24k2dj?94>39d8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b9:512;3?!?413h<<6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zjm:26<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:071?kg4<3;85?5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`g46<62<0;6=u+96090f1<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a`52=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mo6`n35827<2<,0926o9?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a`53=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??n5aa26956?23-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fa61280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#45>>2.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b77"3j109ho74$54b>4123gk887?<969'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pllfe82>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?72:2dj?94>38:8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{eko<1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>6g9me62=9:327)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjjl<6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6ao3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjjl36<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:535?kg4<3;85n5+92;9f266<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|``ac<62<0;6=u+96090f1<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:agc6=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9?5aa26956?b3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vnnk6:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c953`>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>ce>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>15d3gk887?:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plleb82>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?26>2dj?94>3`08 <5>2k=;7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{ekl81=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>749me62=9:k87)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjjo86<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}cagg?7==3:1bm2.?n54=dc;8 10f284i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|```a<62<0;6=u+96090f1<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|````<62<0;6=u+96090f1<@h;o7E784:&b778o6`n35827d1<,0926o9?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:aga`=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=;5aa26956g?3-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vnnj::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9523>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>4353gk887?:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xddko0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?9f:lb71<6;hh0(4=6:c53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{ekm:1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4ic:lb71<6;hi0(4=6:c53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{ekm;1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;3b9me62=9:ko7)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjjn96<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}ca`bm2.?n54=dc;8 10f28=>7co<4;30ec=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|``g<<62=0;6=u+96090f2<@h;o7E784:&b77>6`n35827g6<,0926o9?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wion?51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`822c=ii:>1=>l>;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnnm=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9bf=ii:>1=>l=;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnnm<:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906e>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>1713gk887?:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xddjh0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?85:lb71<6;k?0(4=6:c53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{ekkh1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>539me62=9:h=7)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb21;a?7==3:1bm2.?n54=dc;8 10f2k=0bl=;:01a3>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`07=`=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08<6`n35827g><,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f65>83;197>50z&:37<3k>1Cm;oc00?74j01/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;:3:6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th:h>l51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8b`>hf;=0:?ol4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn"3j109ho74$54b>db0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl<38f95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6991em>:512`g?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c10=`<62:0;6=u+96090f7<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f6e5i3;1?7>50z&:37<3k81Cm753c3g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e;j8:6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8o;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`825==ii:>1=>m>;%;0=?5e9m1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?3d3gk887?3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;k8j6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8n?951;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=>m;;%;0=?5e9m1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>3b78 <5>2:h:h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2`1=?7==3:1bm2.?n54=dc;8 10f2=>87co<4;30g3=#1:31?o?k;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7g4e280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#45d?2.2?44<51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd6l:l1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4nd:lb71<6;j20(4=6:0a05>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj:?h=7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:50a?kg4<3;8o45+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a5a2628086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg54i?0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6;jh0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:9=m7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:c38jd53289ho6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8n5=51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`825==ii:>1=>mk;%;0=?5e9m1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?7602dj?94>3bg8 <5>2:h:h6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb2`4`?7=;3:1bm2.?n54=dc;8 10f28;37co<4;30gc=#1:31?o?k;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a7g1f28086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#45c82.2?44<51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4j>=1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>199me62=9:n:7)7<9;1a5a=n<=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;32<>hf;=0:?i<4$81:>6d6l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn>l97;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>47?3gk887?3:1(l==:0a7?kg483;07b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;008n5<#i:81=n:4n`13>5=5<#i:814874;|`0f34=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=55aa26956b23-3857=m1e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg5e=m0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7?>8:lb71<6;m<0(4=6:2`2`>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj:h>m7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03;?kg4<3;8h:5+92;97g7c3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi?o;8:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c954>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl:512f:?!?4139i=i5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c1a14<62:0;6=u+96090f7<@h;o7E784:&b77l>d:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f6d3k3;1?7>50z&:37<3k81Cm753c3g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e;k>36<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th:;>m51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bd=ii:>1=>jk;%;0=?71>11b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?4312dj?94>3eg8 <5>2:h:h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;;ii7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:`g8jd53289oj6*63882g676<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`15g1=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=45aa26956c73-3857?l309j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b77a782>1<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?gb3gk887?3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e:83=6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c02=5<62=0;6=u+96090f2<@h;o7E784:&b77;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi><6;:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c926=ii:>1=>k;;%;0=?7d;81b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg46><0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7?>9:lb71<6;l?0(4=6:0a05>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb336a?7=<3:1bm2.?n54=dc;8 10f2?90bl=;:01f2>">;00:o>?4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th9=8l51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`857>hf;=0:?h94$81:>4e492c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~fcge280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#974n`17>45b02.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?4b3gk887?3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:512gb?!?413;2=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c3361<62<0;6=u+96090f1<@h;o7E784:&b774i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`244e=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08<6`n35827`e<,0926o9?;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a5573280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f467k3;197>50z&:37<3k>1Cm45bm2.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg778=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7=?;oc00?74mo1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdanj0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7=?;oc00?74n91/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdan=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7;>;oc00?74n81/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdamj0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7;>;oc00?74n;1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdam=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?74n:1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdalj0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?74n=1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdal=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?74n<1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdakj0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?74n?1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdak=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7=?;oc00?74n>1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdajj0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7=?;oc00?74n11/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdaj=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7=?;oc00?74n01/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdaih0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m775b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda1o0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m775b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda0>0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7=?;oc00?74nj1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda=o0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m775b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda"f;;03ih5+4c:96ad>3->=m7;>;oc00?74nl1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda<>0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7;>;oc00?74no1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda;o0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?73891/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda;>0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?73881/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda:j0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?738;1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda:=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?738:1/5>75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xda980:684?:1y'=24="f;;03ih5+4c:96ad>3->=m775b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd4j>;1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9=:>7)7<9;1a5a=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:8=84$81:>6d6l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnk?9:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?363gk887?;099'=6?=:hn37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47o1em>:5152:?!?4138jh55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c3g01<62:0;6=u+96090f7<@h;o7E784:&b77;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a5a2128086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg51:m0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:>6:lb71<6<9i0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb241g?7==3:1bm2.?n54=dc;8 10f2=9n7co<4;374a=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a734b280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#427m2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4?:<1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;32=>hf;=0:8<>4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f7c2l3;197>50z&:37<3k>1Cm752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;>>h6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:8<=4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?9mf;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>db4:&:7<<5??l0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=7cf95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d4078 <5>2;==j6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb35af?7=;3:1bm2.?n54=dc;8 10f2hn0bl=;:0622>">;009;;h4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`2gc6=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:<95aa26951703-3857?l309j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg47l:0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7ok;oc00?73911/5>751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e:9n36<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a:56*63882g676=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`811=9?n;%;0=?7d;81b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7702dj?94>40`8 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb32g5?7==3:1bm2.?n54=dc;8 10f28;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a65b5280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#426l2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd500i1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l493:lb71<6<8o0(4=6:37gg>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb3::e?7=<3:1bm2.?n54=dc;8 10f2ho0bl=;:062b>">;0099im4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th949?51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ba>hf;=0:8?>4$81:>73ck2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f6e?83;197>50z&:37<3k>1Cm4n`17>42592.2?44<51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4k>o1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l470:lb71<6<;80(4=6:2cf2>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:i?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:928jd5328>9?6*63880e`06=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8o:o51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8;4>hf;=0:8?:4$81:>6gb>2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>m82;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>=60bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl4348 <5>2:kn:6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb036f?7=;3:1bm2.?n54=dc;8 10f2:lj7co<4;3762=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`072?=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0i;6`n358207><,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f664:3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:1><6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a9m6*638811ae6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9:oo51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1=9>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg41j10:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<6<;i0(4=6:37gg>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb34a2?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;376a=#1:31>8jl;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi>;l;:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5>k81=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c05f5<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?8ne;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887?;309'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:?kh6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a8>6*638811ae6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`12dg=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa26951543-3857<:db9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>4268 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj;?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2d`?kg4<3;??85+92;960bd3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a63g3280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#424>2.2?44=5ea8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl=6`095?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nj1em>:51514?!?4138>hn5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:8>64$81:>73ck2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f70f93;187>50z&:37<3k=1Cmhl;oc00?73;01/5>7524f`?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;0099im4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th8n4651;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`865>hf;=0:8>l4$81:>6d6l2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?6;5;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>170bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<75095?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<2k2dj?94>42f8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:=?:7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:3g8jd5328>8i6*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8;9951;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`81a>hf;=0:8>h4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>9;8;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>7c0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<50495?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d4538 <5>2:9hh6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;k>>7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03;?kg4<3;?8?5+92;960b?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996=3>32wi>l;?:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c954>0bl=?:198m131280/m><51b68jd572810c:=i:08'e64=0<307pl<51195?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<1;2dj?94>4568 <5>2:9hh6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:;2=7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;?885+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?<6i:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<19f95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:51564?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c12:46`n358201><,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f67?13;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;82<6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a?m6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8=5;51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=9:m;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b778282>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>45a8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb23;5?7==3:1bm2.?n54=dc;8 10f2=;37co<4;370a=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a741a280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#423m2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd49>n1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9=>m7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:88>4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>?89;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?;509'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`05`0=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h095?5aa26951343-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5e1o0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?73==1/5>753c3g?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;kk:6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a7)7<9;1a5a=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;367>hf;=0:8884$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi=<:=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9<5=ii:>1=9;8;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74$54b>15a3gk887?;599'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>12;95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=:1em>:5157:?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb030f?7==3:1bm2.?n54=dc;8 10f21:0bl=;:066e>">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c3205<62<0;6=u+96090f1<@h;o7E784:&b77?6`n358200d<,0926o9?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a5426280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f473i3;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd69=h1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l470:lb71<6<{e98?;6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a>j6*6388a35=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;cf?kg4<3;?:=5+92;97dc13`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a7fc3280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg4>1m0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7ok;oc00?73>;1/5>7529cf?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e:hkn6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a=?6*638811a>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9mlh51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8;4>hf;=0:8;:4$81:>73c02c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?om0;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17d3gk887?;649'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;0099i64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1ed>=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h03<6`n3582031<,0926?;k8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7gf13;197>50z&:37<3k>1Cm11/5>7524f;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:hk?6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a=56*638811a>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9ml;51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8;4>hf;=0:8;o4$81:>73c02c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?on6;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17d3gk887?;6c9'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;0099i64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1ed4=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h03<6`n358203b<,0926?;k8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7gf;3;197>50z&:37<3k>1Cml1/5>7524f;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:h3n6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a=j6*638811a>6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9m4h51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8;4>hf;=0:8:>4$81:>73c02c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?on0;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17d3gk887?;709'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;0099i64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1et$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=n5aa26951143-3857<:d99j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg4f1;0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7?>8:lb71<6<>>0(4=6:37g<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj;k2:7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53`?kg4<3;?;85+92;960b?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>l9m:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c954>0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=a6d95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<39j1em>:51554?!?4138>h55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0b1=<62:0;6=u+96090f7<@h;o7E784:&b77<,0926?;k8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f7g213;197>50z&:37<3k>1Cm4n`17>42012.2?44=5e:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5id:J:31=#i:814hk4$5`;>7be12.?:l4;1b9me62=9==j7)7<9;06`==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=4>:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;32<>hf;=0:8:l4$81:>73c02c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn?o:7;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17d3gk887?;7b9'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;008mh84i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0g30=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9>5aa269511b3-3857=ne79j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg4fih0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<6<>l0(4=6:37g<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb3c5f?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;37<5=#1:31>8j7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi>l88:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5i?91=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c0b1c<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn?o:b;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`d3gk887?;859'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:;?o6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a396*63882g676=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`160g=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa26951>13-3857?l309j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?3d3gk887?;869'=6?=9j9:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e:;?h6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a346*63882g676=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9>8;51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1=966;%;0=?7d;81b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg45=:0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<6<1k0(4=6:0a05>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb3060?7==3:1bm2.?n54=dc;8 10f2=;37co<4;37;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a672b280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#42?k2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl=25;95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nj1em>:515:g?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:85k4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>?:9;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>47?3gk887?;8g9'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0506=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?8<5aa26951?63-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=93.j??475898yg56<=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<6<080(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:;847?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:562?kg4<3;?5>5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?<5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95040bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd49;o1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;409me62=9=3>7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;32<>hf;=0:8484$81:>6d6l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn>ln7;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>3?0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl48:8 <5>2:h:h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2`:3?7==3:1bm2.?n54=dc;8 10f2?30bl=;:06:=>">;008n4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`14=4=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h09i6`n358207?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f76?;3;197>50z&:37<3k>1Cm42>j2.2?44>c238m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd581>1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=e:lb71<6<0i0(4=6:0a05>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj;:397?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03b?kg4<3;?5i5+92;95f563`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?8=>:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<53d95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:515;e?!?41398oi5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c166<<62<0;6=u+96090f1<@h;o7E784:&b77:46`n35820d6<,0926>=ld:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f635?3;197>50z&:37<3k>1Cm7532ag?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;<8>6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6aj>6*638807fb6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th89?=51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=9o<;%;0=?54km1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>4`68 <5>2:9hh6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb272b?7==3:1bm2.?n54=dc;8 10f2=;37co<4;37e0=#1:31?>mk;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a707c280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#42f>2.2?44<3bf8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd4=8h1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9=k<7)7<9;10ga=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<==44>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:8l64$81:>65dl2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>;>2;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?;a89'=6?=;:io7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;008?nj4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`015g=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26951ge3-3857=c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5c?=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m767;oc00?73ij1/5>753e53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:h9m6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6ajh6*638816<4+a2095f25<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9m>o51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`841>hf;=0:8lk4$81:>7>fm2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>m"3j109ho74$54b>1263gk887?;ag9'=6?=;ho=7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;008mh84i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th8o>j51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`877c=ii:>1=9l>;%;0=?5fm?1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?7612dj?94>4c08 <5>2:kn:6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:ih?7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:00;?kg4<3;?n>5+92;97dc13`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?nln:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c957>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl:515`6?!?4139ji;5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c032f<62:0;6=u+96090f7<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f763i3;1?7>50z&:37<3k81Cm;;oc00?73j>1/5>751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e;=li6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;cg?kg4<3;?n45+92;976ec3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi?9k9:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9<1=ii:>1=9ln;%;0=?54km1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?043gk887?;bc9'=6?=;:io7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;=o86<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6aio6*638807fb6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`0117=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26951dc3-3857=c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg52;o0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:?8h7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;?nk5+92;976ec3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?8=m:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<52;95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:515a2?!?41398oi5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1651<62<0;6=u+96090f1<@h;o7E784:&b77:46`n35820f4<,0926>=ld:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f63793;197>50z&:37<3k>1Cm7532ag?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;=lm6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6ah86*638807fb6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th88ko51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=9m:;%;0=?54km1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>4b48 <5>2:9hh6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb26fa?7==3:1bm2.?n54=dc;8 10f2=;37co<4;37g2=#1:31?>mk;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a71c>280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#42d02.2?44<3bf8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd589:1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;429me62=9=i27)7<9;3`74=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;677>hf;=0:8no4$81:>4e492c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?>?5;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>47?3gk887?;cc9'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`07f5=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0ji6`n35820fb<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn>mj1;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>dc0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd50=l1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4:1:lb71<6o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj;2><7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:908jd5328>o<6*638811ae6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9>>l51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`825==ii:>1=9j>;%;0=?7d;81b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?27k2dj?94>4e08 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb300=?7=;3:1bm2.?n54=dc;8 10f28;37co<4;37`6=#1:31=n=>;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a675f280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#42c<2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5::<1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>199me62=9=n>7)7<9;3`74=n<=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;63g>hf;=0:8i84$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?<<5;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>47?3gk887?;d69'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0gt$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??i5aa26951b>3-3857=ne79j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5d180:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:i<87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:ga8jd5328>on6*63880e`06=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8o9651;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`877a=ii:>1=9jl;%;0=?5fm?1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?25k2dj?94>4ef8 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2:56?7==3:1bm2.?n54=dc;8 10f2=;37co<4;37``=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a7=3a280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#42cn2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd40?91=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;429me62=9=o;7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;35g>hf;=0:8h?4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>69a;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?;e39'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0<0b=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0::n5aa26951c33-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5?=l0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7=ia:lb71<6o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj:ij47?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:04e?kg4<3;?i;5+92;97dc13`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?4;m:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90170bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<97395?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:515g;?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1:22<62<0;6=u+96090f1<@h;o7E784:&b77??6`n35820`?<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6?1l3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;0h;6Fn1e9K=22<,h9965kj;%6ann6*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th85;h51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8275=ii:>1=9kl;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>4df8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2;6b?7==3:1bm2.?n54=dc;8 10f28h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a7<0728086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#42bn2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd41h=1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;409me62=9=l;7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:8k?4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>7m3;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1243gk887?;f39'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0=gg=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26951`33-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5>jk0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?<0:lb71<6o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:3j=7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;?j;5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?4om:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c953e0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<9`a95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nh1em>:515d;?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c125a<62<0;6=u+96090f1<@h;o7E784:&b77?>6`n35820c?<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f676?3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;8;:6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6amn6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8==l51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8707=ii:>1=9hl;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770482>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?23:2dj?94>4gf8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb22eb?7==3:1bm2.?n54=dc;8 10f2=>97co<4;37b`=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a75`>280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#42an2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd48o91=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;439me62=9<:;7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;676>hf;=0:9=?4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?>=3;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>170bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=03695?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6>j1em>:51420?!?413;h?<5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0360<62:0;6=u+96090f7<@h;o7E784:&b777?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f765>3;197>50z&:37<3k>1Cm;oc00?728<1/5>751b12?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3;1(l==:97:?>{e:9:<6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;35g>hf;=0:9=94$81:>4e492c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?>>0;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1263gk887?:099'=6?=9j9:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0=f?=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa269506f3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5>ko0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;3:lb71<6=9h0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:3o97?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51e?kg4<3;>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?4j9:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<9e595?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;91em>:5142f?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1:fa<62<0;6=u+96090f1<@h;o7E784:&b77:46`n358215`<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6?d?3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;0i36<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th85ih51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8704=ii:>1=8?=;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>5018 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2;ff?7==3:1bm2.?n54=dc;8 10f2=>87co<4;3651=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47>5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7<`6280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#h4n`17>436=2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd41o81=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9<;=7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;304>hf;=0:9<94$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>7k9;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?:199'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0=`2=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jl5aa269507f3-3857c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg5>n<0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:>6:lb71<6=8h0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb2c3e?7==3:1bm2.?n54=dc;8 10f2=;37co<4;365f=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a7d74280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#436l2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4i8k1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;3g9me62=9<;n7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:97c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>o>d;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4573gk887?:219'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0=c>=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=55aa26950453-3857c59me66=821b88851;&b77<6k=1em>>51:9l36`=83.j??475898yg5>nm0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;3:lb71<6=;90(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:k:j7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:535?kg4<3;>>95+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a7d52280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#435=2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4i:31=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;3g9me62=9<8=7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:9?94$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>o"3j109ho74$54b>4573gk887?:299'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0e77=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=55aa269504f3-3857c59me66=821b88851;&b77<6k=1em>>51:9l36`=83.j??475898yg5f:;0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;3:lb71<6=;h0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:k>>7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51e?kg4<3;>>n5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?l;<:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl:5140f?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1b10<62<0;6=u+96090f1<@h;o7E784:&b77:46`n358217`<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6g2>3;1?7>50z&:37<3k81Cm752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?j14n3:1(l==:97:?>{e;h?<6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8m;h51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`877c=ii:>1=8==;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>5218 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2c45?7==3:1bm2.?n54=dc;8 10f289;7co<4;3671=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7d15280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#434=2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd4i>91=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>199me62=9<9=7)7<9;0f4==n<=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;677>hf;=0:9>94$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>99d;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15a3gk887?:399'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`033`=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?=5aa269505f3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg50?90:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6=:h0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:=<=7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03;?kg4<3;>?n5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h9965$`11>=3>32wi?:9=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90150bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<1b195?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;91em>:5141f?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c13a7<62<0;6=u+96090f1<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f66b83;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;9nn6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8N>?=1/m><58dg8 1d?2;ni56*;6`8275=ii:>1=8:=;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2602dj?94>5518 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb23g0?7==3:1bm2.?n54=dc;8 10f2=;37co<4;3601=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a74e3280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#433=2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd48l?1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;139me62=9<>=7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:9994$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>>mf;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?:499'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`04d3=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg57l=0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m76:;oc00?72752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`04f`=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:8=5aa269502c3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg57k:0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:?1:lb71<6==o0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj::im7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:`g8jd5328??j6*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`04g?=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=55aa26950373-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg57j<0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6=<;0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj::jh7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;>9?5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c907b0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=00`95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<392dj?94>5468 <5>28i8=6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb322g?7==3:1bm2.?n54=dc;8 10f28;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a6547280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#432>2.2?44>c238m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a280/m><584;8?xd58891=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;1:lb71<6=<=0(4=6:0a05>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj;::87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:04`?kg4<3;>955+92;95f563`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>=?7:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90170bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:08'e64=0<307pl>fg395?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nh1em>:5147b?!?413;h?<5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65`72d94?"f;;039454}c3eb6<62<0;6=u+96090f1<@h;o7E784:&b77:m6`n358210d<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f745>3;197>50z&:37<3k>1Cm432k2.2?44>c238m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd5:;=1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=e:lb71<6=o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj;8947?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03:?kg4<3;>9h5+92;95f563`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a6774280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#h5aa269503a3-3857?l309j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg459=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m791/5>751b12?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e:;;>6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`1656=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h09i6`n3582134<,09267?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f74793;197>50z&:37<3k>1Cm431;2.2?44>c238m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd5:981=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>189me62=9<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c10gf<62<0;6=u+96090f1<@h;o7E784:&b77h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a75g5280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#431>2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd489o1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4<0:lb71<6=?=0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:=3;7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51e?kg4<3;>:55+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?:67:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<79a95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nh1em>:5144b?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65`72d94?"f;;039454}c14:46`n358213d<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f61013;197>50z&:37<3k>1Cm;oc00?72>j1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;>2;6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8;5?51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bd=ii:>1=88j;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24n2dj?94>57d8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb25b0?7==3:1bm2.?n54=dc;8 10f2=;37co<4;3635=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a72g2280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#>4n`17>43092.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd4?h31=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9<=97)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:9:=4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>96c;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>40d3gk887?:759'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`03g`=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??k5aa26950113-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg50k90:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6=>=0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:=h87?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2db?kg4<3;>;55+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h9965$`11>=3>32wi?:m::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<7c395?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3<81em>:5145b?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c14f=<62<0;6=u+96090f1<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f61e13;1?7>50z&:37<3k81Cmhn;oc00?72?j1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e;>n36<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8;i751;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=89j;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7482dj?94>56d8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb25gf?7==3:1bm2.?n54=dc;8 10f2=>:7co<4;36<5=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a72b6280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#43?92.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4?m81=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60b>hf;=0:95=4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>9i0;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?:859'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`03c4=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?8<5aa26950>13-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg50m10:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?9c:lb71<6=1=0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:=n57?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2db?kg4<3;>455+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi?5>9:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906`0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<81595?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;91em>:514:b?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1;4=<62=0;6=u+96090f2<@h;o7E784:&b77>6`n35821=d<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn>6?9;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1263gk887?:8b9'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0<56=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jl5aa26950>b3-3857c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg5?9m0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:2:i7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;>5=5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?5?i:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95660bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<83295?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3<81em>:514;1?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1;53<62<0;6=u+96090f1<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6>6?3;1?7>50z&:37<3k81Cmhn;oc00?721=1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e;19?6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th84>;51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=879;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7482dj?94>5858 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2:03?7==3:1bm2.?n54=dc;8 10f2=>:7co<4;36===#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7=4c280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#43>12.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd40;o1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1ee>hf;=0:94l4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn>j9d;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>73>3gk887?:9b9'=6?=;m3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;:i26<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`0<1d=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??k5aa26950?b3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5?"f;;03ih5+4c:96ad>3->=m7?<0:lb71<6=0l0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:2?h7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:071?kg4<3;>m=5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a7=2b280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#43f92.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd40=>1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>6b9me62=9=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1ee>hf;=0:9l=4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn>"3j109ho74$54b>6`d3gk887?:a59'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;12?6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th845;51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=8o9;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7482dj?94>5`58 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2:;3?7==3:1bm2.?n54=dc;8 10f2=>:7co<4;36e==#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7=1>280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#43f12.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd40>o1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:9ll4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f6>>j3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;13h6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th844j51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8275=ii:>1=8oj;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2392dj?94>5`d8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2::0?7==3:1bm2.?n54=dc;8 10f28h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a7=?228086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#43e92.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4:l>1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c1;f7<62<0;6=u+96090f1<@h;o7E784:&b778j6`n35821g5<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6>e;3;197>50z&:37<3k>1Cm752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;1h?6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th84o;51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8704=ii:>1=8l9;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?71k2dj?94>5c58 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2:bg?7=;3:1bm2.?n54=dc;8 10f2:lj7co<4;36f==#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a77c4280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#43e12.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl<8b;95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3;o1em>:514`b?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1;gd<62<0;6=u+96090f1<@h;o7E784:&b77:46`n35821gd<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6>dj3;197>50z&:37<3k>1Cm752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;1ih6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8>h<51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1=8lj;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg5?m90:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:2n=7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53;?kg4<3;>o=5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?5k=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95660bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<8d195?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3<81em>:514a1?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c11a4<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn>6i7;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15a3gk887?:c59'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?=5aa26950e13-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5?nh0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<6=j=0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:2no7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:562?kg4<3;>o55+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c954?0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd49ll1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;304>hf;=0:9nl4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>?l9;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?:cb9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`06d4=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:jl5aa26950eb3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg55i10:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;3:lb71<6=jl0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:8n<7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2d`?kg4<3;>h=5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a74ge280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24n2dj?94>5e08 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2;3b?7==3:1bm2.?n54=dc;8 10f2=;37co<4;36`6=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7<77280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#>4n`17>43c<2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd418;1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;409me62=97)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:9i84$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f6?593;197>50z&:37<3k>1Cm1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;0896<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th85?=51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8275=ii:>1=8j6;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2392dj?94>5ec8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb20ga?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;36`g=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi?4=7:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906`0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<92;95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3911em>:514fg?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1:7d<62<0;6=u+96090f1<@h;o7E784:&b777?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6?4j3;197>50z&:37<3k>1Cm;oc00?72lo1/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;;no6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`0=1`=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??k5aa26950c63-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5>=90:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6=l80(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:3>=7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:013?kg4<3;>i>5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?4;=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90170bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<2ea95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nj1em>:514g6?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60b>hf;=0:9h84$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>773;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?:e69'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0==3=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?8<5aa26950c>3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg55lk0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<6=lk0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb2;:=?7==3:1bm2.?n54=dc;8 10f2=9m7co<4;36ag=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a76=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#>4n`17>43bk2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd410o1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;409me62=9=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:9hk4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f64c03;187>50z&:37<3k=1Cmhl;oc00?72mo1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th8>i851;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1=8h>;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg55l<0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<6=o80(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb20g0?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;36b6=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi??j<:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd4:m81=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l47)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c11`4<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn>"3j109ho74$54b>6`d3gk887?:f69'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;;o26<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`06`>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa26950`>3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>5gc8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj:8om7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2d`?kg4<3;>jo5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a724d280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#43ak2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4?:=1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;677>hf;=0:9kk4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e:%c06?>2121vn>9:4;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15a3gk887?:fg9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0300=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?=5aa26953663-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg50:=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<6>980(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:=8<7?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03;?kg4<3;=<>5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi?:=::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906`0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl63582>7<729q/5:<544;8Ld7c3A3<86*n33810a=O6e9j002=83.j??4;5d98k25a290/m><584;8?xd?n=0:6?4?:1y'=24=<<30Dl?k;I;40>"f;;098i5G4c08 1d32=?n7):m8;0gf<=ii:>1=;>9;%;0=?76>m1b88:50;&b77<3=l10c:=i:18'e64=0<307pl=29195?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:51724?!?41389:?5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;83n7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=<55+92;967053`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`16=b=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa269536>3-3857<=639j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?<7f;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?90`9'=6?=:;<97d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5:0;1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?:i7)7<9;0127=n<5<#i:81=n:4n`13>4=i1?h1<65rb30;3?7=;3:1bm2.?n54=dc;8 10f289n7co<4;354f=#1:31>?8=;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9>5751;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;>k;%;0=?45>;1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f7c0k3;1?7>50z&:37<3k91Cm752d2;?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=e6g95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:5172e?!?4138n<55f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;o3<7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;===5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`1a=4=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953763-3857c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?k74;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9139'=6?=:l:37d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5m1<1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?;87)7<9;0f4==n<5<#i:81=n:4n`13>4=i1?h1<65rb3g;bm2.?n54=dc;8 10f289n7co<4;3551=#1:31>h>7;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9i>o51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;?:;%;0=?4b811b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f7c4k3;1?7>50z&:37<3k91Cm752d2;?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=e2g95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:51734?!?4138n<55f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;o?<7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;==55+92;96`6?3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`1a14=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa269537>3-3857c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?k;4;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?91`9'=6?=:l:37d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5m=<1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?;i7)7<9;0f4==n<5<#i:81=n:4n`13>4=i1?h1<65rb3g7bm2.?n54=dc;8 10f289n7co<4;355f=#1:31>h>7;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9i9o51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;?k;%;0=?4b811b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f7c4<3;1?7>50z&:37<3k91Cm752d2;?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=e2495?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:5173e?!?4138n<55f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;o847?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=>=5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`1a30=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953463-3857c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?k98;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9239'=6?=:l:37d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5m?k1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?887)7<9;0f4==n<5<#i:81=n:4n`13>4=i1?h1<65rb3g:f?7=;3:1bm2.?n54=dc;8 10f289n7co<4;3561=#1:31>h>7;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9i4j51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;<:;%;0=?4b811b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f7c>n3;1?7>50z&:37<3k91Cm752d2;?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl<00395?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:51704?!?4138n<55f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;8mm7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=>55+92;967053`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`16ce=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa269534>3-3857<=639j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?"3j109ho74$54b>45b3gk887?92`9'=6?=:;<97d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5;9:1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?8i7)7<9;0127=n<5<#i:81=n:4n`13>4=i1?h1<65rb3136?7=;3:1bm2.?n54=dc;8 10f289n7co<4;356f=#1:31>?8=;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9?=:51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;;1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f757>3;1?7>50z&:37<3k91Cm752341?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=31:95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:5170e?!?41389:?5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;8i<7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=?=5+92;967053`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`16g4=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953563-3857<=639j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?"3j109ho74$54b>45b3gk887?9339'=6?=:;<97d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5:k<1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?987)7<9;0127=n<5<#i:81=n:4n`13>4=i1?h1<65rb30abm2.?n54=dc;8 10f289n7co<4;3571=#1:31>?8=;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9>oo51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;=:;%;0=?45>;1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f74ek3;1?7>50z&:37<3k91Cm752341?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=2cg95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:51714?!?41389:?5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;8h<7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=?55+92;967053`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`16a3=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa269535>3-3857<=639j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?"3j109ho74$54b>45b3gk887?93`9'=6?=:;<97d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5:m31=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?9i7)7<9;0127=n<5<#i:81=n:4n`13>4=i1?h1<65rb30gf?7=;3:1bm2.?n54=dc;8 10f289n7co<4;357f=#1:31>?8=;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9>ij51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;=k;%;0=?45>;1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f74cn3;1?7>50z&:37<3k91Cm752341?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=2d395?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:5171e?!?41389:?5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;8n?7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=8=5+92;967053`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`16`3=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953263-3857<=639j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?"3j109ho74$54b>45b3gk887?9439'=6?=:;<97d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5:?n1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?>87)7<9;0127=n<5<#i:81=n:4n`13>4=i1?h1<65rb3044?7=;3:1bm2.?n54=dc;8 10f289n7co<4;3501=#1:31>?8=;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9>:<51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;::;%;0=?45>;1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f741<3;1?7>50z&:37<3k91Cm752341?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=27495?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:51764?!?41389:?5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;8=47?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=855+92;967053`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`163g=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa269532>3-3857<=639j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?94`9'=6?=9j9:7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6m9o1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?>i7)7<9;3`74=n<5<#i:81=n:4n`13>4=i1?h1<65rb0g3g?7=;3:1bm2.?n54=dc;8 10f289n7co<4;350f=#1:31=n=>;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th:i=o51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;:k;%;0=?7d;81b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f4c703;1?7>50z&:37<3k91Cm751b12?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl>e1495?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:5176e?!?413;h?<5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj8o;87?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=9=5+92;95f563`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`2a54=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953363-3857?l309j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?9539'=6?=9j9:7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6loo1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9??87)7<9;3`74=n<5<#i:81=n:4n`13>4=i1?h1<65rb0a`bm2.?n54=dc;8 10f289n7co<4;3511=#1:31=n=>;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th:on851;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;;:;%;0=?7d;81b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f4ed<3;1?7>50z&:37<3k91Cm751b12?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl>cb095?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:51774?!?413;h?<5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj8ih<7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=955+92;95f563`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`2gag=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa269533>3-3857?l309j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?95`9'=6?=9j9:7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6km<1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9??i7)7<9;3`74=n<5<#i:81=n:4n`13>4=i1?h1<65rb0ag0?7=;3:1bm2.?n54=dc;8 10f289n7co<4;351f=#1:31=n=>;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th:oi<51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;;k;%;0=?7d;81b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f4ec83;1?7>50z&:37<3k91Cm751b12?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl>cbg95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:5177e?!?413;h?<5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj8iho7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=:=5+92;95f563`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`2gfg=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953063-3857?l309j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?9639'=6?=9j9:7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6lm21=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?<87)7<9;3`74=n<5<#i:81=n:4n`13>4=i1?h1<65rb0ffe?7=;3:1bm2.?n54=dc;8 10f289n7co<4;3521=#1:31=n=>;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th:hh651;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;8:;%;0=?7d;81b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f4bb>3;1?7>50z&:37<3k91Cm?1/5>751b12?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl>dd695?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:51744?!?413;h?<5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj8nn>7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=:55+92;95f563`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`2``6=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa269530>3-3857?l309j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?96`9'=6?=9j9:7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6lmi1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?5<#i:81=n:4n`13>4=i1?h1<65rb0fge?7=;3:1bm2.?n54=dc;8 10f289n7co<4;352f=#1:31=n=>;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th:ho:51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;8k;%;0=?7d;81b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f4bd>3;1?7>50z&:37<3k91Cml1/5>751b12?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl>db695?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:5174e?!?413;h?<5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj8nh>7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=;=5+92;95f563`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`2`f6=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953163-3857?l309j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?9739'=6?=9j9:7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6lki1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?=87)7<9;3`74=n<5<#i:81=n:4n`13>4=i1?h1<65rb0fae?7=;3:1bm2.?n54=dc;8 10f289n7co<4;3531=#1:31=n=>;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th:ho651;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;9:;%;0=?7d;81b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f4be>3;1?7>50z&:37<3k91Cm751b12?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl>cg795?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:51754?!?413;h?<5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj8o2j7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=;55+92;95f563`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`2afb=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa269531>3-3857?l309j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?97`9'=6?=9j9:7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6moh1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?=i7)7<9;3`74=n<5<#i:81=n:4n`13>4=i1?h1<65rb0c10?7=;3:1bm2.?n54=dc;8 10f289n7co<4;353f=#1:31=l<>;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th:m?851;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;9k;%;0=?7f:81b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f4g503;1?7>50z&:37<3k91Cm751`02?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl>a3c95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:5175e?!?413;j><5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj8k9o7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=4=5+92;95d463`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`2e7c=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953>63-3857?n209j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?9839'=6?=9h8:7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6i:81=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?287)7<9;3b64=n<5<#i:81=n:4n`13>4=i1?h1<65rb0``3?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35<1=#1:31=om;;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th:nn751;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;6:;%;0=?7ek=1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f4ddj3;1?7>50z&:37<3k91Cm751ca7?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl>bbf95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517:4?!?413;io95f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj8hhj7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=455+92;95ge33`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`2fa7=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953>>3-3857?mc59j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?98`9'=6?=9ki?7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6jm?1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?2i7)7<9;3ag1=n<5<#i:81=n:4n`13>4=i1?h1<65rb3`0bm2.?n54=dc;8 10f289n7co<4;35o=9;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9o?h51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;6k;%;0=?4d:m1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f7b6k3;1?7>50z&:37<3k91Cm752e3b?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=65195?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517:e?!?4138>hn5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;<>57?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=5=5+92;960bd3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`120b=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953?63-3857<:db9j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?8:f;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9939'=6?=:o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5>?;1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?387)7<9;06`f=n<5<#i:81=n:4n`13>4=i1?h1<65rb3457?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35=1=#1:31>8jl;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9:;;51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;7:;%;0=?42lj1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f701?3;1?7>50z&:37<3k91Cm7524f`?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=67;95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517;4?!?4138>hn5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;<9j7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=555+92;960bd3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`1267=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953?>3-3857<:db9j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?8<3;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?99`9'=6?=:o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5>:?1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?3i7)7<9;06`f=n<5<#i:81=n:4n`13>4=i1?h1<65rb3403?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35=f=#1:31>8jl;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9:>751;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;7k;%;0=?42lj1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f704j3;1?7>50z&:37<3k91Cm7524f`?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=62f95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517;e?!?4138>hn5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;<8j7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=m=5+92;960bd3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`1217=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953g63-3857<:db9j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?8;5;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9a39'=6?=:o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5>==1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?k87)7<9;06`f=n<5<#i:81=n:4n`13>4=i1?h1<65rb347=?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35e1=#1:31>8jl;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9:9l51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;o:;%;0=?42lj1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f703l3;1?7>50z&:37<3k91Cm7524f`?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=65d95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517c4?!?4138>hn5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;<>=7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=m55+92;960bd3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`1205=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953g>3-3857<:db9j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?8:5;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9a`9'=6?=:o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5><=1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?ki7)7<9;06`f=n<5<#i:81=n:4n`13>4=i1?h1<65rb346f?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35ef=#1:31>8jl;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9;5>51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;ok;%;0=?40>o1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f710m3;1?7>50z&:37<3k91Cm75264e?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=76a95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517ce?!?4138<:k5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;=?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=n=5+92;9620a3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`132>=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953d63-3857<86g9j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?986;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9b39'=6?=:>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5?>>1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?h87)7<9;042c=n<5<#i:81=n:4n`13>4=i1?h1<65rb3546?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35f1=#1:31>:8i;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9>l:51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;l:;%;0=?45>;1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f7c><3;1?7>50z&:37<3k91Cm752d2;?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=e4095?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517`4?!?4138n<55f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;o<57?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=n55+92;96`6?3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`1adc=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953d>3-3857c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?=>7;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9b`9'=6?=:;<97d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5:j=1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?hi7)7<9;0127=n<5<#i:81=n:4n`13>4=i1?h1<65rb30ff?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35ff=#1:31>?8=;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9>:m51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;lk;%;0=?45>;1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f4c6<3;1?7>50z&:37<3k91Cm751b12?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl>cd695?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517`e?!?413;h?<5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj8i?i7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=o=5+92;95f563`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`2`c6=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953e63-3857?l309j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn"3j109ho74$54b>45b3gk887?9c39'=6?=9j9:7d::5;29 d5528i?7co<0;28?j14n3:1(l==:97:?>o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd6i:l1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?i87)7<9;3b64=n<5<#i:81=n:4n`13>4=i1?h1<65rb0`f6?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35g1=#1:31=om;;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th9:5;51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;m:;%;0=?42lj1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f71?l3;1?7>50z&:37<3k91Cm75264e?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=4c695?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517a4?!?413;::i5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;>i>7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=o55+92;9540c3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`10g6=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953e>3-3857?>6e9j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?:ne;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9c`9'=6?=98o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?ii7)7<9;322a=n<5<#i:81=n:4n`13>4=i1?h1<65rb36be?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35gf=#1:31=<8k;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th98l651;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;mk;%;0=?76>m1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f72f>3;1?7>50z&:37<3k91Cm75104g?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=4`695?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517ae?!?413;::i5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;>j>7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=h=5+92;9540c3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`10t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953b63-3857?>6e9j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?:6c;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9d39'=6?=98o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5<0k1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?n87)7<9;322a=n<5<#i:81=n:4n`13>4=i1?h1<65rb36:bm2.?n54=dc;8 10f289n7co<4;35`1=#1:31=<8k;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th984851;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;j:;%;0=?76>m1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f72><3;1?7>50z&:37<3k91Cm75104g?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=48095?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517f4?!?413;::i5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;>2<7?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=h55+92;9540c3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`10=c=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953b>3-3857?>6e9j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?:7c;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9d`9'=6?=98o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?ni7)7<9;322a=n<5<#i:81=n:4n`13>4=i1?h1<65rb36`5?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35`f=#1:31=<8k;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th98oh51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;jk;%;0=?76>m1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f72el3;1?7>50z&:37<3k91Cm75104g?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pl=4c`95?5=83:p(49=:5a3?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;l1em>:517fe?!?413;::i5f44794?"f;;0:o95aa2294>=h?:l1<7*n338;1<==zj;>i57?53;294~">?;0?o=5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:01f?kg4<3;=i=5+92;9540c3`>>97>5$`11>4e33gk8<7>4;n50b?6=,h9965;6;:k713<72-k8>7?l4:lb75<63g3=n7>4;|`10g1=9391<7>t$851>1e73Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?h5aa26953c63-3857?>6e9j003=83.j??4>c59me66=821d;>h50;&b770bl=?:09m=3d=821vn?:m5;397?6=8r.2;?4;c19Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>45b3gk887?9e39'=6?=98o3=?0;6)o<2;3`0>hf;90:7c79b;28?xd5<0l1=7=50;2x <152=i;7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>3d9me62=9?o87)7<9;322a=n<5<#i:81=n:4n`13>4=i1?h1<65rb36;=?7=;3:1bm2.?n54=dc;8 10f289n7co<4;35a1=#1:31=<8k;h661?6=,h9965$`11>=3>32c?9;4?:%c06?7d<2dj?=4>;o;5f?6<3th98n:51;194?6|,0=969m?;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827`=ii:>1=;k:;%;0=?76>m1b88;50;&b77<6k=1em>>50:9l36`=83.j??475898m131290/m><51b68jd57281e5;l50:9~f6gbm3;1?7>50z&:37<3k91Cm753`g5?l22=3:1(l==:0a7?kg483:07b9!g4:3;h86`n3182?k?1j3:07pll3c82>6<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?5ai2dj?94>6d58 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b9:517g;?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rbb1e>4<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}ca2`?7=;3:1bm2.?n54=dc;8 10f2:lj7co<4;35ad=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:814874;|``5c<62:0;6=u+96090f7<@h;o7E784:&b77>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:ag77=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jl5aa26953cd3-3857l80:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~ff4428086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#40bl2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yge5=3;1?7>50z&:37<3k81Cmhn;oc00?71ml1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4?:>1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4nd:lb71<6>ll0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj::hn7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:3g8jd53286<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th9jlj51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8215=ii:>1=;h>;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7282dj?94>6g08 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3dabm2.?n54=dc;8 10f28?;7co<4;35b6=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6c`?280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4n`17>40a<2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd49k<1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;139me62=9?l>7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;72?kg4<3;=j;5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<1g195?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<392dj?94>6g:8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb23e6?7==3:1bm2.?n54=dc;8 10f2=;37co<4;35b<=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a74`6280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg56n90:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6>oh0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:;h=7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:538jd53286<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8=n>51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875==ii:>1=;hk;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?23;2dj?94>6gg8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3g`a?7==3:1bm2.?n54=dc;8 10f2o:0bl=;:04eb>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1aa?=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0m<6`n3582356<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7cb<3;197>50z&:37<3k>1Cm4n`17>41792.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5ml=1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4i0:lb71<6?980(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;on47?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:g28jd5328=;?6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9jn=51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8215=ii:>1=:>;;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7282dj?94>7178 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3gf=?7==3:1bm2.?n54=dc;8 10f2o:0bl=;:0532>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1bf0=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9=5aa26952603-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg578?0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?:0:lb71<6?920(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;onm7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:g28jd5328=;56*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9jn951;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8215=ii:>1=:>n;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7282dj?94>71`8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3gff?7==3:1bm2.?n54=dc;8 10f2o:0bl=;:053g>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1bf>=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9=5aa269526c3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg57810:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?:0:lb71<6?9o0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;ono7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:g28jd5328=;j6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8:;l51;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582346<,0926?k?8:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e;?h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`023b=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa26952753-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?709:1/5>752d2;?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th8::;51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`80bf=ii:>1=:?;;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg51??0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<6?8?0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb2445?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>7058 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj:<?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2d`?kg4<3;<=55+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a730b280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=:?6;%;0=?4b811b88;50;&b77<3=o10e9;9:18'e64=!g4:32>565rb245b?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;345d=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi?;9?:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ceb:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd4?=;1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>1`9me62=9>;h7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:560?kg4<3;<=i5+92;9f266<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3thn9>4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;`:?kg4<3;<=h5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thn8:4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;677>hf;=0:;g173`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wii9851;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8a=>hf;=0:;?>4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wii>o51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8706=ii:>1=:<>;%;0=?d082c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vnh=6:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9f<=ii:>1=:<=;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnh5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9015>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77"3j109ho74$54b>g?>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>1243gk887?8249'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307plj1g82>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?d>3gk887?8279'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plj1282>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?23;2dj?94>7358 <5>2k=;7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b973:8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b9:5160:?!?413h<<6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rbd26>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5160b?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbed:>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}cfebm2.?n54=dc;8 10f2k30bl=;:051g>">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cffg?7==3:1bm2.?n54=dc;8 10f2=>87co<4;346a=#1:31n:>4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`gag<62<0;6=u+96090f1<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`g`c<62<0;6=u+96090f1<@h;o7E784:&b77??6`n358237`<,0926o9?;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a`ac=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0i56`n3582366<,0926o9?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a`a4=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?8>5aa26952563-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~fab6280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fae2280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#414;2.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898ygbd<3;197>50z&:37<3k>1Cm414<2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygbe03;197>50z&:37<3k>1Cm75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xdcj>0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7l6;oc00?70;?1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdcik0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;3:lb71<6?:=0(4=6:c53?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{elhk1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4m9:lb71<6?:20(4=6:c53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{el0n1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;429me62=9>927)7<9;`44>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zjm3h6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a9j7)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjm3:6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:c;8jd5328=8o6*6388a35=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:560?kg4<3;6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3tho4>4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;`:?kg4<3;6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3tho;:4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;677>hf;=0:;>h4$81:>g173`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wih:851;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8a=>hf;=0:;9>4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wih;o51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8706=ii:>1=::>;%;0=?d082c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vni86:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9f<=ii:>1=::=;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vni;l:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9015>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77"3j109ho74$54b>g?>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77"3j109ho74$54b>1243gk887?8449'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307plk4g82>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?d>3gk887?8479'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plk4282>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?23;2dj?94>7558 <5>2k=;7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b975:8 <5>2k=;7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b9:5166:?!?413h<<6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rbe16>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5166b?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbe0:>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}cf1bm2.?n54=dc;8 10f2k30bl=;:057g>">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}cf2f?7==3:1bm2.?n54=dc;8 10f2=>87co<4;340a=#1:31n:>4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`g5d<62<0;6=u+96090f1<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`g4c<62<0;6=u+96090f1<@h;o7E784:&b77??6`n358231`<,0926o9?;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a`5c=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0i56`n3582306<,0926o9?;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a`54=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?8>5aa26952363-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~fa66280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~ff`2280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#412;2.2?44m719j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898ygea<3;197>50z&:37<3k>1Cm412<2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898ygeb03;197>50z&:37<3k>1Cm75b628m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xddm>0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7l6;oc00?70=?1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xddlk0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;3:lb71<6?<=0(4=6:c53?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{ekmk1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4m9:lb71<6?<20(4=6:c53?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{ekjo1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;429me62=9>?27)7<9;`44>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zjjio6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a?j7)7<9;`44>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjji;6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6an6*6388a35=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:c;8jd5328=>o6*6388a35=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;674>hf;=0:;8j4$81:>4e492c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?>7d;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1513gk887?85d9'=6?=9j9:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;008mh84i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`2gde=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0m96`n3582336<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn"3j109ho74$54b>c30bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6k>i1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4i5:lb71<6??80(4=6:0a05>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0a54?7=<3:1bm2.?n54=dc;8 10f2o?0bl=;:0557>">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:olk51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8e1>hf;=0:;;:4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f4efl3;187>50z&:37<3k=1Cm411=2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl>cc595?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d7748 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj8ii:7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:g78jd5328==;6*63882g676=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`2gg3=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0m96`n358233><,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn"3j109ho74$54b>c30bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6kk91=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4i5:lb71<6??k0(4=6:0a05>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0aa6?7=<3:1bm2.?n54=dc;8 10f2o?0bl=;:055f>">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:oo?51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8e1>hf;=0:;;m4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f4ee83;187>50z&:37<3k=1Cm411l2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl>c`d95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d77g8 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj8i2:7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:g78jd5328==j6*63882g676=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`2g<3=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0m96`n3582326<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn"3j109ho74$54b>c30bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6k0o1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4i5:lb71<6?>80(4=6:0a05>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0a:`?7=<3:1bm2.?n54=dc;8 10f2o?0bl=;:0547>">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:o4m51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8e1>hf;=0:;::4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f4e>j3;187>50z&:37<3k=1Cm410=2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl>c8c95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d7648 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj8i257?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:g78jd5328=<;6*63882g676=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`2g<>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0m96`n358232><,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn"3j109ho74$54b>c30bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6k>o1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4i5:lb71<6?>k0(4=6:0a05>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0a4`?7=<3:1bm2.?n54=dc;8 10f2o?0bl=;:054f>">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:o5951;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8e1>hf;=0:;:m4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f4e?>3;187>50z&:37<3k=1Cm410l2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl>c9795?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d76g8 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj8i387?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:g78jd5328=6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`2g=5=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0m96`n35823=6<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn"3j109ho74$54b>c30bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd6k1;1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4i5:lb71<6?180(4=6:0a05>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0a;4?7=<3:1bm2.?n54=dc;8 10f2o?0bl=;:05;7>">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th:o:h51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8e1>hf;=0:;5:4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f77bn3;1?7>50z&:37<3k81Cm751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e:8o:6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9=i=51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`825==ii:>1=:68;%;0=?7d;81b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?`23gk887?8899'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e9j<>6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a227)7<9;3`74=n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c3`21<62=0;6=u+96090f2<@h;o7E784:&b777co<4;34;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=n8<:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9b0=ii:>1=:6m;%;0=?7d;81b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg7d>;0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7h:;oc00?700j1/5>751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47:516:g?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;d6?kg4<3;<4h5+92;95f563`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a5f0b280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#a3-3857?l309j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?`23gk887?8919'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e9jh86Fn1e9K=22<,h9965kj;%6a3:7)7<9;3`74=n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c3`2g<62=0;6=u+96090f2<@h;o7E784:&b777co<4;34=7=#1:31=n=>;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi=n8n:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9b0=ii:>1=:7<;%;0=?7d;81b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg7d>00:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7h:;oc00?701=1/5>751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47:516;6?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;d6?kg4<3;<5;5+92;95f563`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a617d280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#41>?2.2?44>17f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5<8h1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4337)7<9;322a=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1ea>hf;=0:;474$81:>471l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>oj7;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>6`b3gk887?89`9'=6?=;ho=7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:=;j4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`104c=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jh5aa26952?d3-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg439m0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7=ie:lb71<6?0n0(4=6:035`>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:kh87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:516?kg4<3;<5h5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c924=ii:>1=:7i;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?>73gk887?8a19'=6?=983:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:516c2?!?413;::i5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c061f<62=0;6=u+96090f2<@h;o7E784:&b77>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi>9k>:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9e`=ii:>1=:o<;%;0=?76>m1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg42=k0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7oj;oc00?70i=1/5>75104g?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47:516c6?!?413;::i5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=9l4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;cf?kg4<3;>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a603>280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#6e9j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?gb3gk887?8a99'=6?=983;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e:<>h6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6ak27)7<9;322a=n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c0604<62=0;6=u+96090f2<@h;o7E784:&b77>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi>8:?:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9e`=ii:>1=:om;%;0=?76>m1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg5d1o0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:i2>7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51g?kg4<3;>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?n:6:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906b0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=54295?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d7`d8 <5>28;=h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;>o97?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:`g8jd5328=i<6*6388253b6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`111`=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0ji6`n35823g7<,09267?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?:k4;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>dc0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd5==n1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4ne:lb71<6?k90(4=6:035`>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb36g6?7=<3:1bm2.?n54=dc;8 10f2ho0bl=;:05a0>">;00:=;j4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th999l51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ba>hf;=0:;o;4$81:>471l2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f72c83;187>50z&:37<3k=1Cm41e>2.2?44>17f8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl=55c95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d7c58 <5>28;=h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;>hj7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:`g8jd5328=i46*6388253b6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`111?=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0ji6`n35823g?<,09267?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?:le;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>dc0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd5==21=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4ne:lb71<6?kh0(4=6:035`>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb36``?7=<3:1bm2.?n54=dc;8 10f2ho0bl=;:05ag>">;00:=;j4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th999951;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ba>hf;=0:;oj4$81:>471l2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f72dk3;187>50z&:37<3k=1Cm41em2.2?44>17f8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl=55495?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d7cd8 <5>28;=h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;>hn7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:`g8jd5328=h<6*6388253b6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`1113=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0ji6`n35823f7<,09267?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?:la;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>dc0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd5==>1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4ne:lb71<6?j90(4=6:035`>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb36`=?7=<3:1bm2.?n54=dc;8 10f2ho0bl=;:05`0>">;00:=;j4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th999=51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ba>hf;=0:;n;4$81:>471l2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f72d03;187>50z&:37<3k=1Cm41d>2.2?44>17f8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl=54g95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d7b58 <5>28;=h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;>n?7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:`g8jd5328=h46*6388253b6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`110b=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0ji6`n35823f?<,09267?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?:j2;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>dc0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd51ko1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=489me62=9>ii7)7<9;0;e`=n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c04g1<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?964;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>c>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd5m?l1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>309me62=9>in7)7<9;0f4==n<=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;d;?kg4<3;>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a5gc>280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2392dj?94>7e38 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb35:4?7==3:1bm2.?n54=dc;8 10f28i27co<4;34`7=#1:31>:8i;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a62?5280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#41c;2.2?44=77d8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5?091=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>c89me62=9>n?7)7<9;042c=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;3`=>hf;=0:;i;4$81:>4g592c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74$54b>4e>3gk887?8d79'=6?=9h8:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:m??4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`2f`>=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:o45aa26952b?3-3857?mc59j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg7em>0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?l9:lb71<6?m30(4=6:0``0>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj8hn97?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:0a:?kg4<3;>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>i?::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95660bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=3`595?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6>o1em>:516f`?!?41389:?5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1`3=<62=0;6=u+96090f2<@h;o7E784:&b77::6`n35823ab<,0926>oj6:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn"3j109ho74$54b>170bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<86395?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<38m1em>:516fe?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;61g>hf;=0:;h>4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>=nf;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>14d3gk887?8e09'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`2b7>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>7d68 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj;>oj7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:3;1?kg4<3;>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>9jj:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c96<40bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=4ea95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<51;1em>:516g4?!?413;::i5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c07`4<62<0;6=u+96090f1<@h;o7E784:&b776`n35823`><,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f72d>3;197>50z&:37<3k>1Cm75104g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:=i>6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th998;51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8gf>hf;=0:;hl4$81:>471l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?;:4;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>ad0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=54195?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d7df8 <5>28;=h6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3766?7==3:1bm2.?n54=dc;8 10f2mh0bl=;:05fa>">;00:=;j4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1107=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0on6`n35823``<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f65>:3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:9::6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6al:7)7<9;3`74=n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c1a6`<62<0;6=u+96090f1<@h;o7E784:&b77?6`n35823c4<,0926>l>d:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6e4>3;197>50z&:37<3k>1Cm;oc00?70n:1/5>753`g5?l22=3:1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e9ol96<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6al?7)7<9;3`74=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;635>hf;=0:;k;4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>>le;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1663gk887?8f79'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`1053=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0>=6`n35823c><,0926?<92:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6?2>3;197>50z&:37<3k>1Cm;oc00?70n01/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;0k96<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th85ok51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8704=ii:>1=:hm;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2392dj?94>7ga8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3a1bm2.?n54=dc;8 10f28=:7co<4;34ba=#1:31>o>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a74d7280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#41am2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd49lk1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=9>lm7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;63`>hf;=0:4=>4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f67f=3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;;9;6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8>?h51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8777=ii:>1=5><;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>8168 <5>2;ki=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj;k8n7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:e48jd53282;96*638816=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8>lm51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`81a>hf;=0:4=84$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?oi5;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>660bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<3`;95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6881em>:5192;?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0eg<<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<5?<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f66713;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:loo6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:4=m4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>>?a;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?70e9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5192f?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0egg<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<5`<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f667j3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:ln;6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:4<<4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>>?c;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?7129'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:51937?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0ee`<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<43<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`bm3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:ln96<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:4<64$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?hjf;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?7189'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5193b?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0ef5<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<4d<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`a83;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:ln?6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:47c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?hi1;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?71g9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:51903?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0ef7<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<77<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`a:3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:ln=6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:4?:4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?hi3;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?7249'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:51905?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0ef1<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<71<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`a<3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:ln36<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:4?o4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?hi5;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?72c9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5190`?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0ef3<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<7b<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`a>3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:lni6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:4>>4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?hi7;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?7309'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:51911?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0ef<<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<65<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`a13;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:lno6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:4>84$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?hia;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?7369'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5191;?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0efg<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<6?<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`aj3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:lnm6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:4>m4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?hic;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?73e9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5191f?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0efa<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<6`<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`al3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:lo:6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a:7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:49<4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?hie;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?7429'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:51967?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0efc<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<13<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7`an3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:lo86<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a<7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;364>hf;=0:4964$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>>?0;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4373gk887?7489'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:5196b?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0eg4<62<0;6=u+96090f1<@h;o7E784:&b77<6`n3582<1d<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f66793;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:oi96<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8<=<51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8215=ii:>1=5:j;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7282dj?94>85d8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2230?7==3:1bm2.?n54=dc;8 10f28?;7co<4;3;15=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6ce2280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4n`17>4>292.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd489?1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>519me62=91?97)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=hl4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;:5?kg4<3;39>5+92;960b?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h665$`11>=3>32wi?=kk:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c975=ii:>1=5;;;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?72;2dj?94>8478 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2;6e?7==3:1bm2.?n54=dc;8 10f28?87co<4;3;13=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a76=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4>2?2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd41j81=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>529me62=91?37)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;367>hf;=0:4874$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>98c;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4343gk887?75`9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`03g2=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9>5aa2695=3d3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg50lj0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?:3:lb71<60o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:=m?7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:070?kg4<3;39h5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?5>n:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95050bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<83395?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=:1em>:51943?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1;7=<62<0;6=u+96090f1<@h;o7E784:&b77?6`n3582<37<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6>3n3;197>50z&:37<3k>1Cm;1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;1236<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th844h51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8216=ii:>1=58;;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?72;2dj?94>8778 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2707?7==3:1bm2.?n54=dc;8 10f2;397co<4;3;23=#1:31?>mk;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6`c1280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg4>>j0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:?0:lb71<60?20(4=6:3:ba>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;3=m7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:523?kg4<3;3:45+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>487:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90560bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=97495?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3891em>:5194a?!?41383mh5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0:21<62<0;6=u+96090f1<@h;o7E784:&b77;<6`n3582<3e<,0926?6ne:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7?1:3;197>50z&:37<3k>1Cm?;oc00?7?>m1/5>7529cf?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:0<;6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th958k51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8745=ii:>1=58i;%;0=?4?il1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2782dj?94>8628 <5>2;2ji6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3;64?7==3:1bm2.?n54=dc;8 10f2=:;7co<4;3;34=#1:31>5oj;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6<2b280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4n`17>4>0:2.2?44=8`g8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd51=i1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;019me62=91=87)7<9;0;e`=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;634>hf;=0:4::4$81:>7>fm2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?7;8;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1673gk887?7749'=6?=:1kn7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;0094lk4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1=12=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?<=5aa2695=103-3857<7ad9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg4><;0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:?0:lb71<60>20(4=6:3:ba>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;3??7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:523?kg4<3;3;45+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>4=i:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90560bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=94695?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3891em>:5195a?!?41383mh5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0:12<62<0;6=u+96090f1<@h;o7E784:&b77;<6`n3582<2e<,0926?6ne:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7?213;197>50z&:37<3k>1Cm?;oc00?7??m1/5>7529cf?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;9226<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c12f0<62<0;6=u+96090f1<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f67>j3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;8326<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8=4951;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8236=ii:>1=56=;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b779482>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?70;2dj?94>8918 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb23:7?7==3:1bm2.?n54=dc;8 10f28=87co<4;3;<1=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a75dd280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4>?=2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd40jn1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>529me62=912=7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;367>hf;=0:4594$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>6ib;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4343gk887?7899'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0=73=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9>5aa2695=>f3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5>;j0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?:3:lb71<601h0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:3>?7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:070?kg4<3;34n5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?469:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95050bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<98d95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=:1em>:519:f?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0bb1<62=0;6=u+96090f2<@h;o7E784:&b77lj7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi>i>i:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904=ii:>1=57?;%;0=?4dk<1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2782dj?94>8838 <5>2;2ji6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb235b?7==3:1bm2.?n54=dc;8 10f28=87co<4;3;=7=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a740c280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4>>;2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd49?h1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>729me62=913?7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;347>hf;=0:44;4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>?97;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4143gk887?7979'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i4790bl=;:0::3>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0535=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:;>5aa2695=??3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5ci=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:<2:lb71<60030(4=6:3g33>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:?2:7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:04`?kg4<3;35l5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?87<:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c953e0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>c3495?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6>l1em>:519;`?!?413;in;5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c3b<6<62<0;6=u+96090f1<@h;o7E784:&b773:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f770m3;197>50z&:37<3k>1Cm751b12?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e:jk=6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th:ok=51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827g=ii:>1=5o?;%;0=?7d;81b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2782dj?94>8`38 <5>2;2ji6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb20b=?7==3:1bm2.?n54=dc;8 10f28ij7co<4;3;e7=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a71c6280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#l4n`17>4>f;2.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4j8i1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;3d9me62=91k?7)7<9;1ba3=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62<>hf;=0:4l;4$81:>6d6l2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>=99;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15b3gk887?7a79'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:519c4?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0b73<62<0;6=u+96090f1<@h;o7E784:&b779i6`n3582<,0926?6ne:k710<62-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6dfj3;197>50z&:37<3k>1Cm4>f12.2?44<51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd49d:J:31=#i:814hk4$5`;>7be12.?:l4>539me62=91kj7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c1210<62=0;6=u+96090f2<@h;o7E784:&b77>6`n35827?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn>?;9;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4353gk887?7ab9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;89o6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`057?=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0no6`n35827?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f67503;197>50z&:37<3k>1Cm>4n`17>4>fn2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd49<21=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4jc:lb71<60k:0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:;>;7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:228jd53282i=6*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8=9m51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8fg>hf;=0:4o<4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>?;b;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>660bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<15295?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d8c68 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb230b?7==3:1bm2.?n54=dc;8 10f2::0bl=;:0:a1>">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0562=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0no6`n35827?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f674;3;197>50z&:37<3k>1Cm>4n`17>4>e?2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd59j?1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;399me62=91h37)7<9;3`74=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62?kg4<3;3n45+92;95f563`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=1`a95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<392dj?94>8c`8 <5>28i8=6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb335a?7==3:1bm2.?n54=dc;8 10f2<;0bl=;:0:ag>">;00:o>?4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`a23<62<0;6=u+96090f1<@h;o7E784:&b774<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`1e7`=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h03>6`n35827?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6?a>3;187>50z&:37<3k=1Cm4>en2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl<87795?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<69h1em>:519a3?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1:2<<62<0;6=u+96090f1<@h;o7E784:&b777?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6?e=3;197>50z&:37<3k>1Cm752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;0n:6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th85hj51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`825d=ii:>1=5m;;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?76i2dj?94>8b78 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2;2`?7=<3:1bm2.?n54=dc;8 10f28?97co<4;3;g3=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi?::m:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c954g0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=97f95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d8b:8 <5>2;2ji6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb3;4bm2.?n54=dc;8 10f289:7co<4;3;g<=#1:31>5oj;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a6<1c28086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#?4n`17>4>di2.2?44=8`g8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd51>o1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>309me62=91ii7)7<9;0;e`=n<=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;305>hf;=0:4nm4$81:>7>fm2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn?770;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4563gk887?7ce9'=6?=:1kn7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;0094lk4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1==4=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?<5aa2695=ea3-3857<7ad9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg41jk0:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7hn;oc00?7?l91/5>7524f`?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e:0286<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9:n<51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8274=ii:>1=5j=;%;0=?42lj1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?7492dj?94>8e18 <5>2;2ji6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rbg70>4<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c05g6<62:0;6=u+96090f7<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f7?1m3;1?7>50z&:37<3k81Cm;oc00?7?l?1/5>7529cf?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e:?i?6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th95;h51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8274=ii:>1=5j7;%;0=?4?il1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?7492dj?94>8e;8 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb3;44?7=;3:1bm2.?n54=dc;8 10f289:7co<4;3;`d=#1:31>5oj;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a63e128086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#?4n`17>4>cj2.2?44=5ea8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd51>;1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>309me62=91nh7)7<9;0;e`=n<=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;305>hf;=0:4ij4$81:>73ck2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn?782;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4563gk887?7dd9'=6?=:1kn7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:519fe?!?4138>h55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0b=2<62<0;6=u+96090f1<@h;o7E784:&b778j7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a6d?6280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg4f190:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7;>;oc00?7?m;1/5>7524f;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e:h2m6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;72?kg4<3;3i95+92;960b?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>l6k:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c914=ii:>1=5k:;%;0=?42l11b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?363gk887?7e79'=6?=:3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<4290;w)782;6`5>Nf9m1C5::4$`11>=cb3->i47">;0099im4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`1=25=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?<5aa2695=c?3-3857<7ad9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg41k00:6>4?:1y'=24="f;;03ih5+4c:96ad>3->=m7?<1:lb71<60l30(4=6:37gg>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj;3<87?53;294~">?;0?o<5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:012?kg4<3;3il5+92;96=gb3`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi>;mn:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95670bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl=96795?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6;81em>:519g`?!?41383mh5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c05ff<62:0;6=u+96090f7<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f7?0>3;1?7>50z&:37<3k81Cm;oc00?7?ml1/5>7529cf?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e:?ho6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th95:951;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8274=ii:>1=5h?;%;0=?4?il1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?7492dj?94>8g38 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb3;4=?7=;3:1bm2.?n54=dc;8 10f289:7co<4;3;b7=#1:31>5oj;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a63da28086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#?4n`17>4>a;2.2?44=5ea8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd51>k1=7=50;2x <152=i:7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>309me62=91l?7)7<9;0;e`=n<=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;305>hf;=0:4k;4$81:>73ck2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn?78b;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4563gk887?7f79'=6?=:1kn7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th9?4651;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`865>hf;=0:4k64$81:>741:2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?lnf;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>14e3gk887?7f89'=6?=:k9=7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;hh36<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th:o?h51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`876`=ii:>1=5hm;%;0=?7d:01b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b776<729q/5:<54b38Ld7c3A3<86*n338;a`=#il6;%65e?7492dj?94>8ga8 <5>2;?oo6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb3;4g?7=;3:1bm2.?n54=dc;8 10f289:7co<4;3;ba=#1:31>5oj;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a772e280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg4?1<0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:>f:lb71<60ol0(4=6:37gg>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb27`f?7==3:1bm2.?n54=dc;8 10f28;j7co<4;3:45=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7f4b28086=4?{%;46?2d92Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg5c?>0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:=b:lb71<61980(4=6:2f44>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb3a2b?7==3:1bm2.?n54=dc;8 10f2=>87co<4;3:46=#1:31>n>i;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a6db0280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4?7<2.2?44=ac38m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd4l?k1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l493:lb71<619?0(4=6:2cf2>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb2ag2?7=<3:1bm2.?n54=dc;8 10f2">;008mh84i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th9ho=51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`876g=ii:>1=4>8;%;0=?4c9h1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg4enj0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:<6:lb71<61920(4=6:3`1a>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:>oi7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:071?kg4<3;2<45+92;976ec3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a71be280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4?7i2.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl<25095?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6901em>:5182a?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;336>hf;=0:5=m4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f753m3;197>50z&:37<3k>1Cm4?7l2.2?44=2708m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd5:j>1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;389me62=90:n7)7<9;0127=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;347>hf;=0:5=h4$81:>7e5l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?lmd;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>14e3gk887?6119'=6?=:k9=7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e:jh=6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9noh51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`876g=ii:>1=4?=;%;0=?4e;?1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg4dj10:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?83:lb71<61890(4=6:3a1`>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;hh=7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:50a?kg4<3;2=95+92;96g513`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a6fdf280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4?6=2.2?44=c3f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5kki1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>729me62=90;=7)7<9;0`6a=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;347>hf;=0:5<94$81:>7e5l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?ll3;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>358:&:7<<5j:<0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd5kj:1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>729me62=90;27)7<9;0`6a=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;40?kg4<3;2=l5+92;96g513`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a6fe5280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4?6j2.2?44=c3f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5jj=1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l493:lb71<618i0(4=6:3`02>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb3a`0?7==3:1bm2.?n54=dc;8 10f28=87co<4;3:5a=#1:31>n>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a74g4280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4?6m2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd49l>1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;3g9me62=90;m7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60b>hf;=0:5?>4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>>n4;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4143gk887?6209'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:51801?!?4139ji;5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1415<62<0;6=u+96090f1<@h;o7E784:&b77856`n3582=75<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f7ee:3;197>50z&:37<3k>1Cm:=1/5>752b0g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:jh;6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thi884>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;7f?kg4<3;2>;5+92;9e1b6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9olk51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8236=ii:>1=4<8;%;0=?4d:m1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?70;2dj?94>93:8 <5>2;i9h6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3abe?7==3:1bm2.?n54=dc;8 10f28=87co<4;3:6<=#1:31>n>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6fg?280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4?5i2.2?44=c3f8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4:;i1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;389me62=908i7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;72?kg4<3;2>n5+92;96g4b3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>oh7:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904=ii:>1=4>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?3b3gk887?62d9'=6?=;ho=7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0e3?=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??45aa2695<573-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5f"f;;03ih5+4c:96ad>3->=m7:<9:lb71<61:;0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:k9o7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51:?kg4<3;2??5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?l>6:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906?0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl:51817?!?4139i=i5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;61f>hf;=0:5>;4$81:>73ck2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f6d>=3;187>50z&:37<3k=1Cm;?1/5>753c3g?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;008n4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th8?o;51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`876g=ii:>1=4=7;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg4f0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:=b:lb71<61:30(4=6:3:ba>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb3c73?7=<3:1bm2.?n54=dc;8 10f2ho0bl=;:0;0e>">;0094lk4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th8noj51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`825<=ii:>1=4=m;%;0=?5e9m1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg7ejk0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj8k:47?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51f?kg4<3;2?i5+92;95d743`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?ol<:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c954?0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd5ld:J:31=#i:814hk4$5`;>7be12.?:l4;3d9me62=909m7)7<9;0g5d=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62?kg4<3;28=5+92;96fe23`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?ooi:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c954?0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd4:=21=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>1`9me62=90>97)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;40?kg4<3;28>5+92;96g513`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a7406280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg56>90:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7?83:lb71<61=?0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;k9h7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:768jd53283?:6*638816<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th94>651;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`850>hf;=0:5994$81:>73ck2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>l6c;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>a00bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl95;8 <5>2:h:h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3274?7=<3:1bm2.?n54=dc;8 10f2=;m7co<4;3:0d=#1:31=n=>;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi?ol::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9`3=ii:>1=4:m;%;0=?5e9m1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?26n2dj?94>95a8 <5>2;i9h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;hhn7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:718jd53283?h6*63881f606<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`1ffb=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0=?6`n3582=1c<,0926?l<6:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn>l=d;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>17?3gk887?64g9'=6?=;k;o7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;008n4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0575=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=;5aa2695<363-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b772382>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?42;2dj?94>9408 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb23`b?7==3:1bm2.?n54=dc;8 10f2=8n7co<4;3:16=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a65b2280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg47l=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m79k;oc00?7>=<1/5>751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;<9?6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a:6*638807fb6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th89?o51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8262=ii:>1=4;8;%;0=?54km1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?1c3gk887?6599'=6?=;ho=7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;008mh84i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1<6`=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h02<6`n3582=0g<,0926?;kc:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7>4l3;187>50z&:37<3k=1Cm4?2j2.2?44=5ea8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:08'e64=0<307pl:5187`?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:58j4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>o9b;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4353gk887?65d9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;hh;6Fn1e9K=22<,h9965kj;%6aj6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8;;751;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8217=ii:>1=48?;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg50>10:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<61?;0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:=3?7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:071?kg4<3;2:?5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a72>5280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4?1;2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4?0l1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>539me62=90=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c14=`<62<0;6=u+96090f1<@h;o7E784:&b77?=6`n3582=33<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f61ej3;187>50z&:37<3k=1Cm>?1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`03a2=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9?5aa2695<0?3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2392dj?94>97;8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb25ff?7=<3:1bm2.?n54=dc;8 10f28?97co<4;3:2d=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi?:kn:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90170bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<81095?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=;1em>:5184`?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:5;j4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>6>9;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4353gk887?66d9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;1;36<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th84>>51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8217=ii:>1=49?;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg5?:o0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<61>;0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:2?;7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:071?kg4<3;2;?5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a7=21280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4?0;2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd401:1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>539me62=90=?7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c1;3c<62<0;6=u+96090f1<@h;o7E784:&b77?=6`n3582=23<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6>>?3;187>50z&:37<3k=1Cm??1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`01<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9?5aa2695<1?3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2392dj?94>96;8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2:`1?7=<3:1bm2.?n54=dc;8 10f28?97co<4;3:3d=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi?5m;:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90170bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<8ea95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=;1em>:5185`?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:5:j4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>6i3;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4353gk887?67d9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;1l96<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th85=o51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8217=ii:>1=46?;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=83.j??475898yg5>800:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<611;0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:3:o7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:071?kg4<3;24?5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a7<7e280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4??;2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd41:>1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>539me62=902?7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c1:76<62<0;6=u+96090f1<@h;o7E784:&b77?=6`n3582==3<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6?3j3;187>50z&:37<3k=1Cm0?1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0=2c=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9?5aa2695<>?3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2392dj?94>99;8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2;:1?7=<3:1bm2.?n54=dc;8 10f28?97co<4;3:h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi?47;:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90170bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<73d95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=;1em>:518:`?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=i4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:55j4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>o98;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4353gk887?68d9'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;h>i6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`0e7d=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9?5aa2695c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?72:2dj?94>9838 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;28:7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:36:?kg4<3;25?5+92;960bd3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a6=54280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg7?=80:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7<;9:lb71<610>0(4=6:0574>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb0:64?7==3:1bm2.?n54=dc;8 10f2::0bl=;:0;:1>">;00:;9>4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0670=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?>o5aa2695c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?42;2dj?94>9858 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2:54?7==3:1bm2.?n54=dc;8 10f2<;0bl=;:0;:<>">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0<37=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:9?5aa26953-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?363gk887?69`9'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6=4+a209<0?<3th85lk51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`865>hf;=0:54m4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>7nf;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4353gk887?69e9'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;0ij6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;366>hf;=0:54h4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f6?b>3;197>50z&:37<3k>1Cm4?f82.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd41l=1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>539me62=90k:7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65`72d94?"f;;039454}c147d<62<0;6=u+96090f1<@h;o7E784:&b77h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a725e280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4?f;2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:18'e64=0<307pl9`68 <5>2:h:h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;hin7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:718jd53283j96*63881f606<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`1fg?=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0=?6`n3582=d0<,0926?l<6:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn?lm7;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>350bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd5jk?1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l493:lb71<61h20(4=6:3`02>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb3`a7?7=<3:1bm2.?n54=dc;8 10f2?90bl=;:0;b=>">;009n>84i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th9no?51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`857>hf;=0:5lo4$81:>7d4>2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f4?783;197>50z&:37<3k>1Cm4?fj2.2?44>8d68m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd6ih;1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4:1:lb71<61hi0(4=6:0c;2>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj8h9h7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:228jd53283jh6*63882eg46<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th:55o51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`804>hf;=0:5lk4$81:>4?7n2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>=m6;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>7c0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:08'e64=0<307pl<77495?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6=;1em>:518`3?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;07=>hf;=0:5o?4$81:>4de>2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f4dd93;197>50z&:37<3k>1Cmj;1/5>751c`5?l22=3:1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e9khm6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=5<#i:814874;|`2fgb=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??h5aa2695c59me66=821b88851;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg7f:90:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7<;9:lb71<61k?0(4=6:0c27>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0c2a?7==3:1bm2.?n54=dc;8 10f2=8n7co<4;3:f3=#1:31=l?<;h661?6=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a5d7d280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4?e?2.2?44>a018m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:18'e64=0<307pl>a0c95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3;l1em>:518`;?!?413;j=>5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c146g<62=0;6=u+96090f2<@h;o7E784:&b77>6`n3582=g?<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e2121vn>o?4;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>4353gk887?6b`9'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;h::6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f24<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8m?951;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8217=ii:>1=4ll;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=83.j??475898yg5f:=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<61kn0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:k?;7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:071?kg4<3;2nh5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a7d23280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4?en2.2?44=e1:8m132290/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a280/m><584;8?xd4i?>1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>539me62=90i;7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65`72d94?"f;;039454}c1b24<62<0;6=u+96090f1<@h;o7E784:&b77?=6`n3582=f7<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f611:3;187>50z&:37<3k=1Cmk;1/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0667=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??k5aa2695c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg7?n=0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7?>5:lb71<61j?0(4=6:0:f0>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g83>!g4:32>565rb0:e6?7==3:1bm2.?n54=dc;8 10f2=>87co<4;3:g3=#1:31=5k;;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47>5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a5d?1280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4?d?2.2?44>a948m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:18'e64=0<307pl>a8695?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3<:1em>:518a;?!?413;j4;5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d95?"f;;039454}c10<4<62=0;6=u+96090f2<@h;o7E784:&b77::6`n3582=f?<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn>=70;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1673gk887?6c`9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00i;=5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c3214<62<0;6=u+96090f1<@h;o7E784:&b774i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0=4>=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??45aa2695c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5>9=0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<61jo0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:k??7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:562?kg4<3;2ok5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?l8?:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90170bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<74g95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3<81em>:518f2?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c143g<62<0;6=u+96090f1<@h;o7E784:&b77?=6`n3582=a4<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f61>?3;197>50z&:37<3k>1Cm;oc00?7>l:1/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;>h86<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8;i>51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8704=ii:>1=4j:;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2392dj?94>9e48 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb25ea?7==3:1bm2.?n54=dc;8 10f2=>:7co<4;3:`2=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7=72280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4?c02.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd40;i1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;409me62=90n27)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:5io4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>68a;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1263gk887?6dc9'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?8<5aa2695c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5?k80:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<61mo0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:2o47?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:562?kg4<3;2hk5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?5kk:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90170bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<91495?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3<81em>:518g2?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1:75<62<0;6=u+96090f1<@h;o7E784:&b77?=6`n3582=`4<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6?3?3;197>50z&:37<3k>1Cm;oc00?7>m:1/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;0=j6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th855k51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8704=ii:>1=4k:;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?23;2dj?94>9d48 <5>28hi:6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb0c;1?7==3:1bm2.?n54=dc;8 10f2=>87co<4;3:a2=#1:31=l?<;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a747d280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg569?0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>;oc00?7>m01/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;8;;6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62?kg4<3;2io5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?<>;:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904=ii:>1=4kl;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?263gk887?6ee9'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:518gf?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c13b7<62<0;6=u+96090f1<@h;o7E784:&b77:7co<4;3:ac=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a75ce280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg56?80:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7n81/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;ko=6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;321>hf;=0:5k=4$81:>7>fm2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f6g6j3;197>50z&:37<3k>1Cm4?a<2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4k:21=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;199me62=90l>7)7<9;1ba3=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=5=4>:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;32=>hf;=0:5k84$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f651j3;197>50z&:37<3k>1Cmn>1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;<286<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62?kg4<3;2j45+92;97dc13`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi=nh=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95570bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=d`795?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3<:1em>:518da?!?4138o=l5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0ge6<62<0;6=u+96090f1<@h;o7E784:&b77??6`n3582=ce<,0926?j>a:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f7bf93;197>50z&:37<3k>1Cmnm1/5>752e3b?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e9j886<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`2e=6=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=k5aa2695<`a3-3857?n129j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7092dj?94>a128 <5>2:9hh6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2723?7==3:1bm2.?n54=dc;8 10f2=9n7co<4;3b44=#1:31?>mk;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7072280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4g7:2.2?44<3bf8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4=ho1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>1`9me62=9h:87)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=mi4>:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:m=:4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>;m3;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>47f3gk887?n049'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`01=1=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=l5aa2695d603-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg520?0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:;1:lb71<6i920(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj;n2j7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:228jd5328k;56*63881`4g6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th9h4j51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`804>hf;=0:m=o4$81:>7b6i2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?j6b;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>660bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=d8;95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<482dj?94>a1a8 <5>2;n:m6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3f:3?7==3:1bm2.?n54=dc;8 10f2::0bl=;:0c3`>">;009h4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`1`<3=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08<6`n3582e5c<,0926?j>a:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f7be93;197>50z&:37<3k>1Cm>4n`17>4g7n2.2?44=d0c8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd5lhl1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4<0:lb71<6i8:0(4=6:3f2e>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj;njh7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:228jd5328k:=6*63881`4g6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th9hll51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`804>hf;=0:m<<4$81:>7b6i2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?jn9;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>663:&:7<<5l8k0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=d`595?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<482dj?94>a068 <5>2;n:m6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb3f:7?7==3:1bm2.?n54=dc;8 10f2=>87co<4;3b50=#1:31>i?n;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a74b7280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4g6>2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd49jo1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=599me62=9h;<7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:m<64$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>o<4;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15a3gk887?n189'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??k5aa2695d7e3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5?k;0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:<9:lb71<6i8i0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:2om7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51e?kg4<3;j=i5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?5j6:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906?e:&:7<<5m920e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<8dg95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3;01em>:51`3e?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1:4=<62<0;6=u+96090f1<@h;o7E784:&b778j6`n3582e76<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f6?7?3;197>50z&:37<3k>1Cm752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;0;j6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th85<751;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`877<=ii:>1=l<<;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24n2dj?94>a368 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2;05?7==3:1bm2.?n54=dc;8 10f2=927co<4;3b60=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7<2>280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#h4n`17>4g5>2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd41=21=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;389me62=9h8<7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60b>hf;=0:m?64$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>78b;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15>3gk887?n289'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0=<4=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??45aa2695d4e3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg540<0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>8:lb71<6i;i0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:9387?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:50`?kg4<3;j>i5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?n=<:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c914=ii:>1=l>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77dg82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7f:o1/5>752d2;?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th8=h>51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8274=ii:>1=l=?;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b77e082>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?26k2dj?94>a238 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb0373?7=<3:16*6388a35=n<5$`11><4?32e2121vn"3j109ho74$54b>17d3gk887?n329'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>15;95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<39j1em>:51`17?!?413h<<6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb24;4?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>a248 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj:<3>7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:2d`?kg4<3;j?:5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a731c280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=l=7;%;0=?4b811b88;50;&b77<3=o10e9;9:18'e64=!g4:32>565rb244a?7=<3:1bm2.?n54=dc;8 10f2:lh7co<4;3b7<=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi?;9i:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd4>>k1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>a2`8 <5>2;o;46g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a731e280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4g4k2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pl<66a95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<4nj1em>:51`1g?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3b7`=#1:31>h>7;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xd4>>21=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=n<<=1<7*n3382g1=ii::1>65`72d94?"f;;039454}c153<<62=0;6=u+96090f2<@h;o7E784:&b777?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn>=86;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4g392.2?44=e1:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;3`=>hf;=0:m9<4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>=88;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1713gk887?n429'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e:;>=6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51`67?!?413;h?<5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f743?3;197>50z&:37<3k>1Cm751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:;>36<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a=7)7<9;3`74=n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c017c<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;j8:5+92;95f563`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pl=25295?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72da5:8 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3075?7==3:1bm2.?n54=dc;8 10f21:0bl=;:0c7=>">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`07<3=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:m9o4$81:>7c702c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47:1em>:51`6a?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;335>hf;=0:m9m4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74n`17>4g3l2.2?44>c238m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;307>hf;=0:m9k4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74$54b>6`c3gk887?n4g9'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn"3j109ho74$54b>46e3gk887?n509'=6?=9j9:7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`2a02=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:m8=4$81:>4e492c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:51`77?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c3f13<62<0;6=u+96090f1<@h;o7E784:&b7796`n3582e03<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f65f;3;187>50z&:37<3l?1Cmo86*;b981`g?!g4:339465`72d94?"f;;039454}c10e1<62=0;6=u+96090f2<@h;o7E784:&b77h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi?>o::087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95540bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd4;j81=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>a4;8 <5>2;o;46g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a76e3280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4g2i2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4;j?1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;189me62=9h?i7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3b1f=#1:31?lk9;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xd4k=<1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>529me62=9h?o7)7<9;1ba3=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;cf?kg4<3;j9h5+92;97dc13`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a65be280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=l;i;%;0=?7d;81b88;50;&b77<3=o10e9;9:18'e64=!g4:32>565rb32gg?7==3:1bm2.?n54=dc;8 10f28;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a65bc280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4g192.2?44>c238m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:08'e64=0<307plm6b82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7f>;1/5>75a5f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03:?kg4<3;j:>5+92;9e1b6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`a2`<62<0;6=u+96090f1<@h;o7E784:&b77>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7f12280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=l8:;%;0=?5fm?1b88;50;&b77<3=o10e9;9:18'e64=!g4:32>565rb2a42?7==3:1bm2.?n54=dc;8 10f28>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7f10280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#j4n`17>4g1?2.2?44<51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd49k;1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>a7:8 <5>2;o;46g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a74d5280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg56j:0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m76=;oc00?7f>h1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:m;<6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51`4a?!?4138ho85f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7b603;197>50z&:37<3k>1Cmi;oc00?7f>j1/5>752ba6?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:m;26<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8onm51;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582e3c<,0926>oj6:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e;jio6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`0gfc=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0mo6`n3582e26<,0926>oj6:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6e3m3;187>50z&:37<3l?1Cmo86*;b981`g?!g4:339465`72d94?"f;;039454}c1`0c<62=0;6=u+96090f2<@h;o7E784:&b77oj6:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn>m:0;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>ce0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>0(4=6:2cf2>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi?nm6:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c97ce0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd4kjk1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4ic:lb71<6i><0(4=6:2cf2>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:i=>7?54;294~">?;0?h;5Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:0c43>">;008mh84i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg5d>:0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7=ic:lb71<6i>20(4=6:2cf2>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb2a50?7==3:1bm2.?n54=dc;8 10f2oi0bl=;:0c4=>">;008mh84i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0g0c=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:m:o4$81:>6gb>2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;008mh84i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th8o;>51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8eg>hf;=0:m:m4$81:>6gb>2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>m:a;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4g0l2.2?44<544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:m:k4$81:>6gb>2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f6e2k3;197>50z&:37<3k>1Cm4g0n2.2?44<51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4k<<1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>a928 <5>2:kn:6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a7f30280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4g?92.2?44<51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:18'e64=0<307pla908 <5>2:kn:6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2a66?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?5ak2dj?94>a968 <5>2:kn:6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj:i>87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:ga8jd5328k396*63880e`06=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8o;m51;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582e=0<,0926>oj6:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e;jh86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`0g3c=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??;5aa2695d>?3-3857=ne79j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5d>>0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?n889'=6?=;ho=7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`0g3>=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h08jn5aa2695d>f3-3857=ne79j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24>2dj?94>a9`8 <5>2:kn:6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb0367?7=<3:15$`11><4?32e2121vn"3j109ho74$54b>=6>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b775482>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?263gk887?n8d9'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=25195?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<6i1l0(4=6:0a05>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>?:;:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9e`=ii:>1=l7?;%;0=?7d;81b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg45<<0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7hl;oc00?7f181/5>751b12?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:9:96<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51`;1?!?413;h?<5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f767;3;197>50z&:37<3k>1Cm4g>;2.2?44>c238m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd589>1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l493:lb71<6i0>0(4=6:0a05>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb3cbf?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?4>:2dj?94>a848 <5>2;?o46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3cb`?7==3:1bm2.?n54=dc;8 10f2;397co<4;3b=2=#1:31>8j7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6d0d280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=l77;%;0=?42l11b88;50;&b77<3=o10e9;9:18'e64=!g4:32>565rb3c5`?7==3:1bm2.?n54=dc;8 10f2;397co<4;3b=<=#1:31>8j7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a6d0b280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4<4n`17>4g>i2.2?44=5e:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5i?21=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>a8`8 <5>2;?o46g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a6d0>280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4<4n`17>4g>k2.2?44=5e:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5i?k1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=939me62=9h3o7)7<9;06`==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3b=`=#1:31>8j7;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xd5i??1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=939me62=9h3m7)7<9;06`==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;0:6>hf;=0:ml>4$81:>73c02c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?o90;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4gf92.2?44=5e:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;0:6>hf;=0:ml<4$81:>73c02c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?o92;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>7?53gk887?na29'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn?o:d;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>7?53gk887?na49'=6?=:3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;0099i64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0gd?=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:ml94$81:>6gb>2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;008mh84i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th8oll51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8eg>hf;=0:ml74$81:>6gb>2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>ml4;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4gfi2.2?44<544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;63`>hf;=0:mll4$81:>6gb>2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f6ed>3;197>50z&:37<3k>1Cm4gfk2.2?44<51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4kkh1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>a`f8 <5>2:kn:6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a7fdd280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4gfm2.2?44<51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pla`d8 <5>2:kn:6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2aa6?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?27l2dj?94>ac38 <5>2:kn:6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:ii87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:ga8jd5328ki>6*63880e`06=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th9m:<51;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582eg5<,0926?;k8:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e:h=86<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`1e22=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h095?5aa2695dd23-3857<:d99j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg4f>o0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?nb79'=6?=:!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`1e26=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:=45aa2695dd03-3857<:d99j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?4>:2dj?94>ac:8 <5>2;?o46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3c;=?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?7612dj?94>acc8 <5>2;?o46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj;k3n7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:3;1?kg4<3;jno5+92;960b?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>l69:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695ddd3-3857<:d99j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;k3;7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03:?kg4<3;jni5+92;960b?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a6d>?280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4<4n`17>4gem2.2?44=5e:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd5i191=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>acd8 <5>2;?o46g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a6d>3280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4gd82.2?44=5e:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl=a9795?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<51;1em>:51`a2?!?4138>h55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0b<5<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;jo?5+92;960b?3`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pl=a9395?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6901em>:51`a0?!?4138>h55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;0:6>hf;=0:mn:4$81:>73c02c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn?o88;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4gd=2.2?44=5e:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;32=>hf;=0:mn84$81:>73c02c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f7g0i3;197>50z&:37<3k>1Cm1/5>7524f;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e:h=>6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51`a;?!?4138>h55f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7g0>3;187>50z&:37<3k=1Cm7524f;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;0099i64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`031b=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:mnl4$81:>7c702c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47:51`a`?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c140c<62=0;6=u+96090f2<@h;o7E784:&b77h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h9965$`11>=3>32wi?:?9:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695deb3-3857<54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj:=:;7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:52g?kg4<3;jok5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7>5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a727?280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#j4n`17>4gc82.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4?8;1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>ae38 <5>2;o;46g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a7275280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4gc:2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130290/m><51b68jd572;10c:=i:08'e64=0<307pl<70195?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3;m1em>:51`f0?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c144f<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;jh95+92;96`6?3`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pl<71f95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<38m1em>:51`f6?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60`>hf;=0:mi84$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>9?7;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4gc?2.2?44=e1:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;63`>hf;=0:mi64$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f61713;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;>:96<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51`fb?!?4138n<55f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f617;3;187>50z&:37<3k=1Cmk;oc00?7flk1/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`02c5=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:mij4$81:>7c702c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6<4+a209<0?<3th8:k;51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`877a=ii:>1=lji;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7fm91/5>752d2;?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th8:oj51;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`874a=ii:>1=lk>;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88950;&b77<6k=1em>>52:9l36`=93.j??475898yg51jl0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:<397?54;294~">?;0?h;5Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:0cf7>">;009i=64i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg510?0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:?d:lb71<6il>0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g82>!g4:32>565rb24;3?7==3:1bm2.?n54=dc;8 10f2=9o7co<4;3ba0=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a7247280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=lk9;%;0=?4b811b88;50;&b77<3=o10e9;9:18'e64=!g4:32>565rb2515?7=<3:1bm2.?n54=dc;8 10f2=:o7co<4;3ba2=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi?:<=:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906b0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<70`95?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<6il30(4=6:3g3<>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi?:?l:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c905b0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd4?8n1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;3e9me62=9hoi7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3baf=#1:31>h>7;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xd4>oo1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;0e9me62=9hoo7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c15bc<62<0;6=u+96090f1<@h;o7E784:&b778h6`n3582e`c<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f60a03;187>50z&:37<3l?1Cmo86*;b981`g?!g4:339465`72d94?"f;;039454}c15b<<62=0;6=u+96090f2<@h;o7E784:&b77;h6`n3582ec6<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn>8ia;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15c3gk887?nf09'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn>8jf;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>16c3gk887?nf29'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;?l;6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8:h751;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582ec3<,0926?k?8:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e;?oj6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`02`d=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??i5aa2695d`03-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg51m=0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?nf99'=6?=:l:37d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`02`3=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24l2dj?94>agc8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb24gb?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?27l2dj?94>aga8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51g?kg4<3;jji5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?;jn:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695d`b3-3857<54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj:?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:52g?kg4<3;jjk5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a73bd280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#j4n`17>4d782.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4>m?1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>b138 <5>2;o;46g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a73b1280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4d7:2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl<6e595?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<3;m1em>:51c20?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c15`5<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;i<95+92;96`6?3`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pl<6e395?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<38m1em>:51c26?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60`>hf;=0:n=84$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>8lb;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4d7?2.2?44=e1:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;63`>hf;=0:n=64$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<62-k8>76:9:9~f60dl3;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;?i=6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51c2b?!?4138n<55f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f60d?3;187>50z&:37<3k=1Cmk;oc00?7e8k1/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`02f7=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:n=j4$81:>7c702c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<3290;w)782;6`0>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th8:n=51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`877a=ii:>1=o>i;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7e991/5>752d2;?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th8:o651;694?6|,0=969m;;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`874a=ii:>1=o?>;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg51j00:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:7?54;294~">?;0?h;5Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:0`27>">;009i=64i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg51j:0:694?:1y'=24=0Dl?k;I;40>"f;;03ih5+4c:96ad>3->=m7:?d:lb71<6j8>0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb24a0?7==3:1bm2.?n54=dc;8 10f2=9o7co<4;3a50=#1:31>h>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a73gc280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=o?9;%;0=?4b811b88;50;&b77<3=o10e9;9:18'e64=!g4:32>565rb24ba?7=<3:1bm2.?n54=dc;8 10f2=:o7co<4;3a52=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi?;oi:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906b8:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<6`:95?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<6j830(4=6:3g3<>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi?;o6:087>5<7s-3<>7:l4:Jb5a=O1>>0(l==:9gf?!2e038on45+47c905ba:&:7<<5m920e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd4>hk1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;3e9me62=9k;i7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3a5f=#1:31>h>7;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xd4>h>1=7:50;2x <152=i?7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;0e9me62=9k;o7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c15e0<62<0;6=u+96090f1<@h;o7E784:&b778h6`n3582f4c<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f60>m3;187>50z&:37<3l?1Cmo86*;b981`g?f:&:7<<5m920e9;::18'e64=<!g4:339465`72d94?"f;;039454}c15=c<62=0;6=u+96090f2<@h;o7E784:&b77;h6`n3582f76<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn>8n0;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>15c3gk887?m209'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn>86a;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>16c3gk887?m229'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;?3i6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8:4:51;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582f73<,0926?k?8:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e;?3>6<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`02<0=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??i5aa2695g403-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg510o0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?m299'=6?=:l:37d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`02<6=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?24l2dj?94>b3c8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb24;e?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?27l2dj?94>b3a8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:<3o7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51g?kg4<3;i>i5+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi=h:7:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695g4b3-3857?l309j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj8o?57?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:015?kg4<3;i>k5+92;95f563`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi=h:n:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c957e0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>e5g95?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<6j:;0(4=6:0a05>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi=h:i:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9f0=ii:>1=o==;%;0=?7d;81b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?74=2dj?94>b218 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb0de0?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b771<729q/5:<54b68Ld7c3A3<86*n338;a`=#il6;%65e?7c;2dj?94>b278 <5>28i8=6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>i0;o0;6)o<2;:6=>=zj8lm:7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51e?kg4<3;i?;5+92;95f563`>>97?5$`11>4e33gk8<7>4;h662?6=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695g503-3857<54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj:;no7?54;294~">?;0?o95Ga0f8L<133-k8>76je:&7f=<5lk30(98n:718jd5328h846*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`05`b=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?95aa2695g5>3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b777<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e;h1/5>75104g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e;k1/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e;j1/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo=?1`82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e;m1/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo=?1b82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e;l1/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo=?1d82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e;o1/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo=?2182>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e<91/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo=?2382>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e<81/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo=?2582>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e<;1/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo=?2782>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e<:1/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo=?2982>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e<=1/5>752d2;?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo<<0`82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e<<1/5>752341?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo<=c382>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e752341?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo<=e682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e<>1/5>752341?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo<=7582>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e<11/5>752341?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo<=7782>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e<01/5>752341?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j1182>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e

    751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?ldb82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?keb82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?kc982>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k8282>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k8082>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k7e82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=91/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k7c82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=81/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k7882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=;1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k7682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=:1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k7482>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e==1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k7282>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=<1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k7082>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=?1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k6g82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=>1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k6e82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=11/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k6c82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=01/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k6682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=h1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k6482>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=k1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k6282>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=j1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k6082>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=m1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k5g82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=l1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k5e82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e=o1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k5c82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>91/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k5882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>81/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k5682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>;1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k5482>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>:1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k9082>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>=1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k8g82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e><1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k8e82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>?1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k8c82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>>1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k8882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>11/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k8682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>01/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k8482>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>h1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k7g82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>k1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?k6882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>j1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jae82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>m1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jac82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>l1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jbg82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e>o1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jbe82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?91/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jbc82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?81/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jb882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?;1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jb682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?:1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jb482>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?=1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jb282>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?<1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jb082>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e??1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jag82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?>1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jdc82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?11/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jd882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?01/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jee82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?h1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jec82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?k1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?je882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?j1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?je682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?m1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?je482>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?l1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?je282>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e?o1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?je082>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e091/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jdg82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e081/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?jde82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0;1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j7c82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0:1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j7882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0=1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j7682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0<1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j7482>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0?1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j7282>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0>1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j7082>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e011/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j8e82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e001/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j8c82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0h1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j8882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0k1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j8682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0j1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j8482>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0m1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j8282>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0l1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j8082>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e0o1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j7g82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e191/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?j7e82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e181/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i0882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1;1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i0682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1:1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i1c82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1=1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i1882>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1<1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i1682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1?1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i1482>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1>1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i1282>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e111/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i1082>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e101/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i0g82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1h1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i0e82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1k1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?i0c82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1j1/5>751b12?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?n3582>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1m1/5>751`02?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo?md682>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1l1/5>751ca7?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7e1o1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei91/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei81/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei;1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei:1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei=1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei<1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei?1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei>1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei11/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ei01/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7eih1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7eik1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7eij1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7eim1/5>752c15?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7eil1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7eio1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej91/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej81/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej;1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej:1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej=1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej<1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej?1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej>1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej11/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ej01/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ejh1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ejk1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ejj1/5>752b0g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ejm1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ejl1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ejo1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek91/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek81/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek;1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek:1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek=1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek<1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek?1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek>1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek11/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ek01/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ekh1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ekk1/5>752e3b?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo<96c82>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ekj1/5>7524f`?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qo<88382>7<729q/5:<54`f8Ld7c3A3<86*n33810a=#il6;oc00?7ekm1/5>75264e?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>Nf;810qoo;7;396?6=8r.2;?4;ae9Ke4b<@0=?7)o<2;07`>"3j109ho74n`17>4ddm2.2?44n3`9j002=83.j??4;5d98k25a290/m><584;8Ld5632wi>>?7:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gea3-3857<=639j002=83.j??4;5d98k25a290/m><584;8Ld5632wi>?h::081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb73-3857<=639j002=83.j??4;5d98k25a290/m><584;8Ld5632wi>>o?:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb63-3857<=639j002=83.j??4;5d98k25a290/m><584;8Ld5632wi>>o;:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb53-3857<=639j002=83.j??4;5d98k25a290/m><584;8Ld5632wi?nj>:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb43-3857=ne79j002=83.j??4;5d98k25a290/m><584;8Ld5632wi>njn:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb33-3857<584;8Ld5632wi>n??:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb23-3857<584;8Ld5632wi>ljk:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb13-3857<584;8Ld5632wi>lj6:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb03-3857<584;8Ld5632wi>?=;:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb?3-3857?l309j002=83.j??4;5d98k25a290/m><584;8Ld5632wi>?=>:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gb>3-3857?l309j002=83.j??4;5d98k25a290/m><584;8Ld5632wi>?5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gbf3-3857?l309j002=83.j??4;5d98k25a290/m><584;8Ld5632wi>=li:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gbe3-3857?l309j002=83.j??4;5d98k25a290/m><584;8Ld5632wi=nkj:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gbd3-3857?l309j002=83.j??4;5d98k25a290/m><584;8Ld5632wi=nlm:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gbc3-3857?l309j002=83.j??4;5d98k25a290/m><584;8Ld5632wi=n:i:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gbb3-3857?l309j002=83.j??4;5d98k25a290/m><584;8Ld5632wi=n5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gba3-3857?mb79j002=83.j??4;5d98k25a290/m><584;8Ld5632wi=ol6:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gc73-3857?mb79j002=83.j??4;5d98k25a290/m><584;8Ld5632wi=o=?:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gc63-3857?nb39j002=83.j??4;5d98k25a290/m><584;8Ld5632wi=l9k:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gc53-3857?n129j002=83.j??4;5d98k25a290/m><584;8Ld5632wi=l?9:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gc43-3857?n129j002=83.j??4;5d98k25a290/m><584;8Ld5632wi=46k:081>5<7s-3<>7:nd:Jb5a=O1>>0(l==:36g?!2e038on45aa2695gc33-3857?60g9j002=83.j??4;5d98k25a290/m><584;8Ld5632win;l51;094?6|,0=969ok;Ic2`>N>?=1/m><525f8 1d?2;ni56`n3582f`3<,0926l:k;h660?6=,h9969;j;:m47c<72-k8>76:9:Jb74=:383>5}#1>818lj4H`3g?M?0<2.j??4=4e9'0g>=:mh27co<4;3aa3=#1:31=;87;h660?6=,h9969;j;:m47c<72-k8>76:9:Jb74=:383>5}#1>818lj4H`3g?M?0<2.j??4=4e9'0g>=:mh27co<4;3aa2=#1:31>>;j;h660?6=,h9969;j;:m47c<72-k8>76:9:Jb74=4>:383>5}#1>818lj4H`3g?M?0<2.j??4=4e9'0g>=:mh27co<4;3aa==#1:31>8jl;h660?6=,h9969;j;:m47c<72-k8>76:9:Jb74=:383>5}#1>818lj4H`3g?M?0<2.j??4=4e9'0g>=:mh27co<4;3aa<=#1:31>5oj;h660?6=,h9969;j;:m47c<72-k8>76:9:Jb74=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1ee>hf;=0:nho4$81:>40102c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn4j::187>7<3s-3<>7:m1:Jb5a=O1>>0(9l7:3fa=>h>;90><6`n3582f`d<,0926"3j=0?9h5+4c:96ad>3gk887?meb9'=6?=98!g4:32>565rb975>4<4290;w)782;:62>"f;;02:i5G4c08 1d321?27):m8;0gf<=ii:>1=okk;%;0=?76>m1b5;650;&b77<5091Cm>?4;h660?6=,h9969;j;:m47c<72A3=i6*n338;1<=52z\:2==:0<<15;64}r660?6=:rT?9952844900252z\47c=:<<31;>h4}r6a4?6=;rT?n=5244;9002<51?=6:=i;|aa`g=9321<7>t$851>14f3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n3582f`c<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m<41290/m><59538?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:7a<72-k8>77?;0?>45Ga0f8L<133-k8>77=b:&72d5$`11><2632e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=p(49=:5`2?Mg6l2B2;95+4c:96ad>3g38<7;?;oc00?7en91/5>75104g?l22<3:17d798;29?j14n3:17&:m0;29?g2213;1>7>50z&:37<3=01/m><525f8L1d53->i87::e:&7f=<5lk30bl=;:0`e5>">;00:=;j4i577>5<#i:8188k4;n50b?6=,h9965;6;:a<00=9391<7>t$851>=313-k8>779d:J7f7=#14874$5`;>7be12dj?94>bg08 <5>28;=h6g66983>!g4:383<6Fn3098m133290/m><544g8?j14n3:1D48j;%c06?>2121v487:181[?102739;46699~w1332909wS::4:?;13<3==1v:=i:181[14n27?94483g9~w1d72908wS:m0:?71<<3==16488572d8yxdbmk0:654?:1y'=24=<;k0Dl?k;I;40>"f;;02>o5+47c9<1=#il6;oc00?7en:1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n1;<1<7*n338:04=5$`11><4>3A35<7s-3<>7:=9:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>1=oh;;%;0=?d082c99?4?:%c06?41=21b>5j50;&b77<3=l10e4<9:18'e64=1=;07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5>j50;&b77<>;m1C5:=4;|`:`g<72=0969u+96090g7<@h;o7E784:&7f=<5lk30b4=?:428jd5328hm96*6388253b5<9m6=44+5`3>5<>56*n33810a=O6e9j002=83.j??4;5d98k25a290/m><584;8?xd?=?0:6>4?:1y'=24=0<<0(l==:84g?M2e:2.?n947589'0g>=:mh27co<4;3ab2=#1:31=<8k;h;5=n<<>1<7*n33871`=9m6=4G97g8 d5521?276s|97:94?4|V0<3706:6;;5<>{t<<>1<72>3>>86s|72d94?4|V>9m70::9;50b>{t>863757847c=zukono7?58;294~">?;0?>l5Ga0f8L<133-k8>77=b:&72d5$`11>13b32c2>;4?:%c06??3921d4i750;&b7776jf:J:36==83:p(49=:50:?Mg6l2B2;95+a209=7d<,=7be12dj?94>bg;8 <5>2k=;7d<:2;29 d552;<>76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:338h6F67298yg?cm3:187<54z&:37<3j81Cm1=ohn;%;0=?76>m1b88:50;9j=3>=831d;>h50;9(0g6=831i88751;094?6|,0=969;6;%c06?43l2B?n?5+4c6900c<,=h36?jm9:lb71<6joh0(4=6:035`>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:7?53;294~">?;039;5+a209=3b<@=h97):m4;:6=>"3j109ho74n`17>4dak2.2?44>17f8m<0?290/m><52928Ld5632c?994?:%c06?22m21d;>h50;J:2`=#i:814874;|q:2=<72;qU5;64=975><0?3ty?994?:3y]002<51?=69;;;|q47c<72;qU;>h4=57:>25a3ty?n=4?:2y]0g6<5=?269;;;<:62?14n2wvnhkk:08;>5<7s-3<>7:=a:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>1=ohk;%;0=?d082c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<:76a7d883>!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5>j50;&b77<>;m1C5:=4;|`g0=<6210;6=u+960907?<@h;o7E784:&b77<>:k1/8;o5859'0g>=:mh27co<4;3ab`=#1:31n:>4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k:63<72-k8>77;1:9l<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77=zj0o:6=4;:387!?0:3>i=6Fn1e9K=22<,=h36?jm9:l:75<282dj?94>bgd8 <5>28;=h6g;5583>>o>>10;66a83g83>>-3j90;66l;5882>7<729q/5:<544;8 d552;>o7E:m2:&7f1<3=l1/8o652e`:?kg4<3;h<=5+92;9540c3`>>87>5$`11>13b32e2121vn5;9:080>5<7s-3<>76:6:&b77<>>m1C8o<4$5`7>=3>3->i47M?1m2.j??475898yv?103:1>vP6699><00=1?20q~::4;296~X3==1648854468yv14n3:1>vP83g9>00?=?:l0q~:m0;297~X3j916887544689=312>9m7psmedd95?>=83:p(49=:50b?Mg6l2B2;95+a209=7d<,=7be12dj?94>c108 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:338h6F67298ygb1:3;147>50z&:37<3:01Cm"3j109ho74n`17>4e7;2.2?44m719j604=83.j??4=6498m7>c290/m><544g8?l?5>3:1(l==:862?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<5c290/m><592f8L<1432wi5h:50;696?2|,0=969l>;Ic2`>N>?=1/8o652e`:?k?483?;7co<4;3`41=#1:31=<8k;h660?6=3`3=47>5;n50b?6=3">i<7>5;c66=?7=:3:19j4H5`1?!2e<3>>i6*;b981`g?hf;=0:o=84$81:>471l2c2:54?:%c06?4?82Bj?<54i577>5<#i:8188k4;n50b?6=@0=z{0<36=4={_;5<>;?=?02:55rs577>5<5sW>>8637578711=z{>9m6=4={_50b>;3=005<4sW>i<63;588711=:0<<1;>h4}|`fb5<6210;6=u+960907g<@h;o7E784:&b77<>:k1/8;o5859'0g>=:mh27co<4;3`42=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j=70=83.j??464098k=b>290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77=zjm956Fn1e9K=22<,h996433->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1:n1<7*n338:7a=O1>907pl6fc83>1<52=q/5:<54c38Ld7c3A3<86*;b981`g?4n`17>4e712.2?44>17f8m1332900e487:188k25a2900'9l?:188f13>28096=4?{%;46?2212.j??4=4e9K0g4<,=h?69;j;%6a:51b2b?!?413;::i5f44694?"f;;0?9h54o61e>5<#i:814874;|`;13<62:0;6=u+9609<00<,h99648k;I6a6>"3j=03945+4c:96ad>3gk887?l0c9'=6?=98=3>32wx5;650;0xZ<0?342>:7798:p002=838pR9;;;<:62?22<2wx;>h50;0xZ25a34>>57950z&:37<3:h1Cm"3j109ho74n`17>4e7k2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o>:?0;6)o<2;;75>=h0m31<7*n338;1<=!g4:32nj6F67298m<5c290/m><592f8L<1432wihoj51;:94?6|,0=969<6;Ic2`>N>?=1/m><593`8 10f21>0(9l7:3fa=>hf;=0:o=j4$81:>g173`8>>7>5$`11>70232c94i4?:%c06?22m21b5?850;&b77<><810c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2?i4?:%c06??4l2B2;>54}c;ea?6=<3818v*67387f4=Oi8n0D49;;%6a>5519me62=9j:n7)7<9;322a=n<<>1<75f97:94?=h?:l1<75$4c294?=e<<31=7<50;2x <152=?27)o<2;07`>N3j;1/8o:544g8 1d?2;ni56`n3582g5`<,09267::e:9l36`=83.j??475898yg>2>3;1?7>50z&:37<597f8L1d53->i876:9:&7f=<5lk30bl=;:0a24>">;00:=;j4i84;>5<#i:81>5>4H`12?>o3==0;6)o<2;66a>=h?:l1<7F66d9'e64=0<307p}66983>7}Y1?2015;9:84;?xu3==0;6?uQ44689=312=??7p}83g83>7}Y?:l019;6:61e?xu3j90;6>uQ4c28913>2=??706:6;50b>{zjllm6<47:183!?0:3>9m6Fn1e9K=22<,h996433->i47o5=;0;6)o<2;051>=n:5$`11><2632e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1:n1<7*n338:7a=O1>907plkc`82>=<729q/5:<543;8Ld7c3A3<86*n338:6g=#3gk887?l139'=6?=j>:0e?;=:18'e64=:??07d<7d;29 d552=?n76g62783>!g4:33?=65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7hf;=0:o<=4$81:>471l2c?994?::k:2=<722e:383>5}#1>818874$`11>72c3A>i>6*;b5871`=#il6;oc00?7d9=1/5>75104g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj1?=6<4<:183!?0:32>:6*n338:2a=O6e9j=3>=83.j??4=819Ke67<3`>>87>5$`11>13b32e"f;;039454}r;5>87>52z\711=:0<<188:4}r50b?6=:rTi<7>53z\7f5=:<<3188:4=975>25a3twiik?51;:94?6|,0=969N>?=1/m><593`8 10f21>0(9l7:3fa=>hf;=0:o<84$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e4<9:18'e64=1=;07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2?i4?:%c06??4l2B2;>54}cf4g?7=03:1">;00i;=5f24094?"f;;09:854i3:g>5<#i:8188k4;h;12?6=,h9964:>;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i81g>5<#i:815>j4H850?>{ei9;1<7:52;6x <152=h:7Eo>d:J:31=#il6;o;04?373gk887?l199'=6?=985$`11>=3>32wi48851;194?6|,0=965;9;%c06??1l2B?n?5+4c69<0?<,=h36?jm9:lb71<6k8k0(4=6:035`>o>>10;6)o<2;0;4>Nf;810e9;;:18'e64=<76:9:9~w<0?2909wS798:?;13<>>11v9;;:181[22<2739;4;559~w25a2909wS9<00=?:l0qpli0182>=<729q/5:<543c8Ld7c3A3<86*n338:6g=#3gk887?l1c9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d77<95:9j6=b=83.j??4;5d98m<41290/m><59538?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=6b=83.j??463e9K=25<3thj1}#1>818o?4H`3g?M?0<2.?n54=dc;8j<572<:0bl=;:0a2`>">;00:=;j4i577>5<5<<#=h;6=44b57:>4<5290;w)782;66=>"f;;098i5G4c08 1d32=?n7):m8;0gf<=ii:>1=n?j;%;0=?76>m1b88:50;&b77<3=l10c:=i:18'e64=0<307pl75782>6<729q/5:<58448 d55205$`11>7>73Ak8=65f44694?"f;;0?9h54o61e>5{t1?21<72>33=46s|44694?4|V=??706:6;660>{t?:l1<7;?=?0">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k:63<72-k8>77;1:9l290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i81g>5<#i:815>j4H850?>{el131=7650;2x <152=827Eo>d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb71<6k;;0(4=6:c53?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n1;<1<7*n338:04=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>;m0;6)o<2;;0`>N>?:10qoo?4;290?4=6e9j002=831b5;650;9l36`=831 8o>50;9a00?=9381<7>t$851>13>3-k8>7<;d:J7f7=#188k4$5`;>7be12dj?94>c318 <5>28;=h6g;5583>!g4:3>>i65`72d94?"f;;039454}c:62?7=;3:156*;b981`g?;:k711<72-k8>7::e:9l36`=83B2:h5+a209<0?<3ty2:54?:3y]=3><51?=6487;|q711<72;qU88:4=975>1333ty4=57:>133342>:797<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l?5>3:1(l==:862?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=6b=83.j??463e9K=25<3thoi94>:983>5}#1>818?74H`3g?M?0<2.j??462c9'03g=0=1/8o652e`:?kg4<3;h>;5+92;9f265$`11>13b32c2>;4?:%c06??3921d4i750;&b775$`11><5c3A35<32;0?w)782;6a5>Nf9m1C5::4$5`;>7be12d2?=4:0:lb71<6k;=0(4=6:035`>o3==0;66g66983>>i0;o0;66%;b183>>d3=00:6?4?:1y'=24=<<30(l==:36g?M2e:2.?n94;5d9'0g>=:mh27co<4;3`6==#1:31=<8k;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f=3128086=4?{%;46?>2>2.j??466e9K0g4<,=h?65;6;%6a:51b0:?!?413;::i5f97:94?"f;;094=5Ga238?l22<3:1(l==:57f?>i0;o0;6E79e:&b77>116488597:8yv22<3:1>vP;559><00=<<>0q~900?=<<>015;9:61e?x{en981=7650;2x <152=8j7Eo>d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb71<6k;k0(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>;m0;6)o<2;;0`>N>?:10qoji1;39"3>h0386*;b981`g?8<50;&b77<5><10e?6k:18'e64=<:76a7d883>!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4=k:18'e64=1:n0D49<;:ae5g=83>1>7:t$851>1d63Ak:h6F6759'0g>=:mh27c7<0;73?kg4<3;h>n5+92;9540c3`>>87>5;h;55;*6a4?6=3k>>57?52;294~">?;0?945+a20961b<@=h97):m4;66a>"3j109ho74n`17>4e5l2.2?44>17f8m133290/m><544g8?j14n3:1(l==:97:?>{e0<<1=7=50;2x <1521?=7)o<2;;5`>N3j;1/8o:584;8 1d?2;ni56`n3582g7c<,09267<70:Jb74=5H84f?!g4:32>565rs84;>5<5sW3=4637578:2==z{=??6=4={_660>;?=?0?995rs61e>5<5sW=8j63;58847c=z{=h;6=4<{_6a4>;3=00?9952844936`4>:983>5}#1>818?o4H`3g?M?0<2.j??462c9'03g=0=1/8o652e`:?kg4<3;h>k5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21b5?850;&b77<><810c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`38h7>5$`11><5c3A34Nf9m1C5::4$`11><4e3->=m76;;%6a:51b13?!?413h<<6g=5383>!g4:38=965f29f94?"f;;0?9h54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3"3j109ho74n813>065<7s-3<>7::9:&b77<513b3->i471<7*n33871`=9m6=4+a209<0?<3th39;4>:283>5}#1>814884$`11><0c3A>i>6*;b58;1<=#il6;oc00?7d;:1/5>75104g?l?103:1(l==:3:3?Mg4921b88:50;&b77<3=l10c:=i:18K=3c<,h9965;6;:p=3>=838pR487;<:62??102wx88:50;0xZ133342>:7::4:p36`=838pR:=i;<66=?14n2wx8o>50;1xZ1d734>>57::4:?;13<0;o1vqokic;39"3>h0386*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:33?=65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4=k:18'e64=1:n0D49<;:a`d5=9321<7>t$851>14>3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n3582g63<,0926o9?;h066?6=,h996?8:;:k17::e:9j=70=83.j??464098k=b>290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:7a<72-k8>7754;090~">?;0?n<5Ga0f8L<133->i47:51b15?!?413;::i5f44694?=n1?21<75`72d94?=,hf;=0:o>94$81:>471l2c?994?:%c06?22m21d;>h50;&b77212.?n54=dc;8jd5328i846*6388253b!g4:3>>i65`72d94?N>>l1/m><584;8?xu>>10;6?uQ97:89=3120<37p};5583>7}Y<<>015;9:577?xu0;o0;6?uQ72d8913>2>9m7p};b183>6}Y2>3=8j6srbddg>4Nf9m1C5::4$`11><4e3->=m76;;%6a:51b1:?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h;12?6=,h9964:>;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3"f;;02>o5+47c9<1=#il6;oc00?7d;h1/5>75b628m735290/m><52778?l4?l3:1(l==:57f?>o>:?0;6)o<2;;75>=h0m31<7*n338;1<=5$`11><4>3A37<3s-3<>7:m1:Jb5a=O1>>0(9l7:3fa=>h>;90><6`n3582g6d<,0926"3j=0?9h5+4c:96ad>3gk887?l3b9'=6?=98!g4:32>565rb975>4<4290;w)782;:62>"f;;02:i5G4c08 1d321?27):m8;0gf<=ii:>1=n=k;%;0=?76>m1b5;650;&b77<5091Cm>?4;h660?6=,h9969;j;:m47c<72A3=i6*n338;1<=52z\:2==:0<<15;64}r660?6=:rT?9952844900252z\47c=:<<31;>h4}r6a4?6=;rT?n=5244;9002<51?=6:=i;|aaac=9321<7>t$851>14f3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n3582g6c<,0926o9?;h066?6=,h996?8:;:k11c<72-k8>7<:f:9j6=b=83.j??4;5d98m<41290/m><59538?j>c13:1(l==:97:?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:7a<72-k8>77?;0?>45Ga0f8L<133-k8>77=b:&72d5$`11><2632e3h44?:%c06?>2121b>8h50;&b77<5=o10e4<6:18'e64=1;30D49<;:k;ac<72-k8>76jf:J:36=p(49=:5`2?Mg6l2B2;95+4c:96ad>3g38<7;?;oc00?7d<91/5>75104g?l22<3:17d798;29?j14n3:17&:m0;29?g2213;1>7>50z&:37<3=01/m><525f8L1d53->i87::e:&7f=<5lk30bl=;:0a75>">;00:=;j4i577>5<#i:8188k4;n50b?6=,h9965;6;:a<00=9391<7>t$851>=313-k8>779d:J7f7=#14874$5`;>7be12dj?94>c508 <5>28;=h6g66983>!g4:383<6Fn3098m133290/m><544g8?j14n3:1D48j;%c06?>2121v487:181[?102739;46699~w1332909wS::4:?;13<3==1v:=i:181[14n27?94483g9~w1d72908wS:m0:?71<<3==16488572d8yxdblm0:654?:1y'=24=<;k0Dl?k;I;40>"f;;02>o5+47c9<1=#il6;oc00?7d<:1/5>75b628m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=n1;<1<7*n338:04=5$`11><4>3A35<7s-3<>7:=9:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>1=n:;;%;0=?d082c99?4?:%c06?41=21b>5j50;&b77<3=l10e4<9:18'e64=1=;07b6k9;29 d5521?276g=5g83>!g4:38>j65f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5>j50;&b77<>;m1C5:=4;|`:=`<72=0969u+96090g7<@h;o7E784:&7f=<5lk30b4=?:428jd5328i?96*6388253b5<9m6=44+5`3>5<>56*n33810a=O6e9j002=83.j??4;5d98k25a290/m><584;8?xd?=?0:6>4?:1y'=24=0<<0(l==:84g?M2e:2.?n947589'0g>=:mh27co<4;3`02=#1:31=<8k;h;5=n<<>1<7*n33871`=9m6=4G97g8 d5521?276s|97:94?4|V0<3706:6;;5<>{t<<>1<72>3>>86s|72d94?4|V>9m70::9;50b>{t>863757847c=zukooo7?58;294~">?;0?>l5Ga0f8L<133-k8>77=b:&72d5$`11>13b32c2>;4?:%c06??3921d4i750;&b7776jf:J:36==83:p(49=:50:?Mg6l2B2;95+a209=7d<,=7be12dj?94>c5;8 <5>2k=;7d<:2;29 d552;<>76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h06b?6=,h996?;i;:k:6<<72-k8>77=9:J:36=!g4:338h6F67298yg?>j3:187<54z&:37<3j81Cm1=n:n;%;0=?76>m1b88:50;9j=3>=831d;>h50;9(0g6=831i88751;094?6|,0=969;6;%c06?43l2B?n?5+4c6900c<,=h36?jm9:lb71<6k=h0(4=6:035`>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:7?53;294~">?;039;5+a209=3b<@=h97):m4;:6=>"3j109ho74n`17>4e3k2.2?44>17f8m<0?290/m><52928Ld5632c?994?:%c06?22m21d;>h50;J:2`=#i:814874;|q:2=<72;qU5;64=975><0?3ty?994?:3y]002<51?=69;;;|q47c<72;qU;>h4=57:>25a3ty?n=4?:2y]0g6<5=?269;;;<:62?14n2wvnhjm:08;>5<7s-3<>7:=a:Jb5a=O1>>0(l==:80a?!21i32?7):m8;0gf<=ii:>1=n:k;%;0=?d082c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<:76a7d883>!g4:32>565f93;94?"f;;02>45G9618?l>bn3:1(l==:9ge?M?0;21b5>j50;&b77<>;m1C5:=4;|``a5<6210;6=u+960907?<@h;o7E784:&b77<>:k1/8;o5859'0g>=:mh27co<4;3`0`=#1:31n:>4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:k:63<72-k8>77;1:9l<524d8?l?513:1(l==:80:?M?0;21b4hh50;&b77=zj0336=4;:387!?0:3>i=6Fn1e9K=22<,=h36?jm9:l:75<282dj?94>c5d8 <5>28;=h6g;5583>>o>>10;66a83g83>>-3j90;66l;5882>7<729q/5:<544;8 d552;>o7E:m2:&7f1<3=l1/8o652e`:?kg4<3;h9=5+92;9540c3`>>87>5$`11>13b32e2121vn5;9:080>5<7s-3<>76:6:&b77<>>m1C8o<4$5`7>=3>3->i47M?1m2.j??475898yv?103:1>vP6699><00=1?20q~::4;296~X3==1648854468yv14n3:1>vP83g9>00?=?:l0q~:m0;297~X3j916887544689=312>9m7psmeec95?>=83:p(49=:50b?Mg6l2B2;95+a209=7d<,=7be12dj?94>c408 <5>2k=;7d<:2;29 d552;<>76g=5g83>!g4:38>j65f29f94?"f;;0?9h54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k:6<<72-k8>77=9:J:36=!g4:338h6F67298ygec;3;147>50z&:37<3:01Cm"3j109ho74n`17>4e2;2.2?44m719j604=83.j??4=6498m7>c290/m><544g8?l?5>3:1(l==:862?>i?l00;6)o<2;:6=>=n:!g4:32nj6F67298m<5c290/m><592f8L<1432wi54;50;696?2|,0=969l>;Ic2`>N>?=1/8o652e`:?k?483?;7co<4;3`11=#1:31=<8k;h660?6=3`3=47>5;n50b?6=3">i<7>5;c66=?7=:3:19j4H5`1?!2e<3>>i6*;b981`g?hf;=0:o884$81:>471l2c2:54?:%c06?4?82Bj?<54i577>5<#i:8188k4;n50b?6=@0=z{0<36=4={_;5<>;?=?02:55rs577>5<5sW>>8637578711=z{>9m6=4={_50b>;3=005<4sW>i<63;588711=:0<<1;>h4}|`f`<<6210;6=u+960907g<@h;o7E784:&b77<>:k1/8;o5859'0g>=:mh27co<4;3`12=#1:31n:>4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9j=70=83.j??464098k=b>290/m><584;8?l?513:1(l==:80:?M?0;21b4hh50;&b77=zjji=6<47:183!?0:3>956Fn1e9K=22<,h996433->i47o5=;0;6)o<2;051>=n:1n1<7*n33871`=5$`11>=3>32c99k4?:%c06?42n21b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1:n1<7*n338:7a=O1>907pl6bg83>1<52=q/5:<54c38Ld7c3A3<86*;b981`g?4n`17>4e212.2?44>17f8m1332900e487:188k25a2900'9l?:188f13>28096=4?{%;46?2212.j??4=4e9K0g4<,=h?69;j;%6a:51b7b?!?413;::i5f44694?"f;;0?9h54o61e>5<#i:814874;|`;13<62:0;6=u+9609<00<,h99648k;I6a6>"3j=03945+4c:96ad>3gk887?l5c9'=6?=98=3>32wx5;650;0xZ<0?342>:7798:p002=838pR9;;;<:62?22<2wx;>h50;0xZ25a34>>57950z&:37<3:h1Cm"3j109ho74n`17>4e2k2.2?44m719j604=83.j??4=6498m73a290/m><524d8?l4?l3:1(l==:57f?>o>:?0;6)o<2;;75>=h0m31<7*n338;1<=!g4:32nj6F67298m<5c290/m><592f8L<1432wii9m51;:94?6|,0=969<6;Ic2`>N>?=1/m><593`8 10f21>0(9l7:3fa=>hf;=0:o8j4$81:>g173`8>>7>5$`11>70232c94i4?:%c06?22m21b5?850;&b77<><810c5j6:18'e64=0<307d<:f;29 d552;?m76g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2?i4?:%c06??4l2B2;>54}c;ag?6=<3818v*67387f4=Oi8n0D49;;%6a>5519me62=9j?n7)7<9;322a=n<<>1<75f97:94?=h?:l1<75$4c294?=e<<31=7<50;2x <152=?27)o<2;07`>N3j;1/8o:544g8 1d?2;ni56`n3582g0`<,09267::e:9l36`=83.j??475898yg>2>3;1?7>50z&:37<597f8L1d53->i876:9:&7f=<5lk30bl=;:0a54>">;00:=;j4i84;>5<#i:81>5>4H`12?>o3==0;6)o<2;66a>=h?:l1<7F66d9'e64=0<307p}66983>7}Y1?2015;9:84;?xu3==0;6?uQ44689=312=??7p}83g83>7}Y?:l019;6:61e?xu3j90;6>uQ4c28913>2=??706:6;50b>{zjo:36<47:183!?0:3>9m6Fn1e9K=22<,h996433->i47o5=;0;6)o<2;051>=n:5$`11><2632e3h44?:%c06?>2121b5?750;&b77<>:01C5:=4;h:fb?6=,h9965ki;I;47>=n1:n1<7*n338:7a=O1>907plj3g82>=<729q/5:<543;8Ld7c3A3<86*n338:6g=#3gk887?l639'=6?=j>:0e?;=:18'e64=:??07d<7d;29 d552=?n76g62783>!g4:33?=65`8e;94?"f;;039454i37e>5<#i:81>8h4;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d7290?6?4;{%;46?2e92Bj=i5G9668 1d?2;ni56`631864>hf;=0:o;=4$81:>471l2c?994?::k:2=<722e:383>5}#1>818874$`11>72c3A>i>6*;b5871`=#il6;oc00?7d>=1/5>75104g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj1?=6<4<:183!?0:32>:6*n338:2a=O6e9j=3>=83.j??4=819Ke67<3`>>87>5$`11>13b32e"f;;039454}r;5>87>52z\711=:0<<188:4}r50b?6=:rTi<7>53z\7f5=:<<3188:4=975>25a3twij=951;:94?6|,0=969N>?=1/m><593`8 10f21>0(9l7:3fa=>hf;=0:o;84$81:>g173`8>>7>5$`11>70232c99k4?:%c06?42n21b>5j50;&b77<3=l10e4<9:18'e64=1=;07b6k9;29 d5521?276g62883>!g4:33956F67298m=ca290/m><58dd8L<1432c2?i4?:%c06??4l2B2;>54}cg06?7=03:1">;00i;=5f24094?"f;;09:854i3:g>5<#i:8188k4;h;12?6=,h9964:>;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m<4>290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i81g>5<#i:815>j4H850?>{e1k<1<7:52;6x <152=h:7Eo>d:J:31=#il6;o;04?373gk887?l699'=6?=985$`11>=3>32wi48851;194?6|,0=965;9;%c06??1l2B?n?5+4c69<0?<,=h36?jm9:lb71<6k?k0(4=6:035`>o>>10;6)o<2;0;4>Nf;810e9;;:18'e64=<76:9:9~w<0?2909wS798:?;13<>>11v9;;:181[22<2739;4;559~w25a2909wS9<00=?:l0qpli0782>=<729q/5:<543c8Ld7c3A3<86*n338:6g=#3gk887?l6c9'=6?=j>:0e?;=:18'e64=:??07d<:f;29 d552;?m76g=8e83>!g4:3>>i65f93494?"f;;028<54o9f:>5<#i:814874;h;1=?6=,h9964<6;I;47>=n0ll1<7*n338;ac=O1>907d77<95:9j6=b=83.j??4;5d98m<41290/m><59538?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=6b=83.j??463e9K=25<3th2n>4?:581>1}#1>818o?4H`3g?M?0<2.?n54=dc;8j<572<:0bl=;:0a5`>">;00:=;j4i577>5<5<<#=h;6=44b57:>4<5290;w)782;66=>"f;;098i5G4c08 1d32=?n7):m8;0gf<=ii:>1=n8j;%;0=?76>m1b88:50;&b77<3=l10c:=i:18'e64=0<307pl75782>6<729q/5:<58448 d55205$`11>7>73Ak8=65f44694?"f;;0?9h54o61e>5{t1?21<72>33=46s|44694?4|V=??706:6;660>{t?:l1<7;?=?0">;00i;=5f24094?"f;;09:854i37e>5<#i:81>8h4;h0;`?6=,h9969;j;:k:63<72-k8>77;1:9l290/m><593;8L<1432c3ik4?:%c06?>bn2B2;>54i81g>5<#i:815>j4H850?>{em821=7650;2x <152=827Eo>d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb71<6k>;0(4=6:c53?l42:3:1(l==:346?>o50m0;6)o<2;66a>=n1;<1<7*n338:04=j7>5$`11>73a32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>;m0;6)o<2;;0`>N>?:10qo7m0;290?4=6e9j002=831b5;650;9l36`=831 8o>50;9a00?=9381<7>t$851>13>3-k8>7<;d:J7f7=#188k4$5`;>7be12dj?94>c618 <5>28;=h6g;5583>!g4:3>>i65`72d94?"f;;039454}c:62?7=;3:156*;b981`g?;:k711<72-k8>7::e:9l36`=83B2:h5+a209<0?<3ty2:54?:3y]=3><51?=6487;|q711<72;qU88:4=975>1333ty4=57:>133342>:797<95:9j60`=83.j??4=5g98m7>c290/m><544g8?l?5>3:1(l==:862?>i?l00;6)o<2;:6=>=n1;31<7*n338:6<=O1>907d6jf;29 d5521om7E783:9j=6b=83.j??463e9K=25<3thom?4>:983>5}#1>818?74H`3g?M?0<2.j??462c9'03g=0=1/8o652e`:?kg4<3;h;;5+92;9f265$`11>13b32c2>;4?:%c06??3921d4i750;&b775$`11><5c3A35<32;0?w)782;6a5>Nf9m1C5::4$5`;>7be12d2?=4:0:lb71<6k>=0(4=6:035`>o3==0;66g66983>>i0;o0;66%;b183>>d3=00:6?4?:1y'=24=<<30(l==:36g?M2e:2.?n94;5d9'0g>=:mh27co<4;3`3==#1:31=<8k;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f=3128086=4?{%;46?>2>2.j??466e9K0g4<,=h?65;6;%6a:51b5:?!?413;::i5f97:94?"f;;094=5Ga238?l22<3:1(l==:57f?>i0;o0;6E79e:&b77>116488597:8yv22<3:1>vP;559><00=<<>0q~900?=<<>015;9:61e?x{emlo1=7650;2x <152=8j7Eo>d:J:31=#i:815?l4$54b>=2<,=h36?jm9:lb71<6k>k0(4=6:c53?l42:3:1(l==:346?>o5=o0;6)o<2;06b>=n:1n1<7*n33871`=5$`11>=3>32c2>44?:%c06??512B2;>54i9ge>5<#i:814hh4H850?>o>;m0;6)o<2;;0`>N>?:10qoj91;39"3>h0386*;b981`g?8<50;&b77<5><10e?6k:18'e64=<:76a7d883>!g4:32>565f24d94?"f;;099k54i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4=k:18'e64=1:n0D49<;:a=dg=83>1>7:t$851>1d63Ak:h6F6759'0g>=:mh27c7<0;73?kg4<3;h;n5+92;9540c3`>>87>5;h;55;*6a4?6=3k>>57?52;294~">?;0?945+a20961b<@=h97):m4;66a>"3j109ho74n`17>4e0l2.2?44>17f8m133290/m><544g8?j14n3:1(l==:97:?>{e0<<1=7=50;2x <1521?=7)o<2;;5`>N3j;1/8o:584;8 1d?2;ni56`n3582g2c<,09267<70:Jb74=5H84f?!g4:32>565rs84;>5<5sW3=4637578:2==z{=??6=4={_660>;?=?0?995rs61e>5<5sW=8j63;58847c=z{=h;6=4<{_6a4>;3=00?9952844936`4>:983>5}#1>818?o4H`3g?M?0<2.j??462c9'03g=0=1/8o652e`:?kg4<3;h;k5+92;9f26j7>5$`11>73a32c94i4?:%c06?22m21b5?850;&b77<><810c5j6:18'e64=0<307d7=9;29 d5520827E783:9j<``=83.j??47eg9K=25<3`38h7>5$`11><5c3A34Nf9m1C5::4$`11><4e3->=m76;;%6a:51b:3?!?413h<<6g=5383>!g4:38=965f29f94?"f;;0?9h54i805>5<#i:8159?4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3i>0;694=:5y'=24="3j109ho74n813>065<7s-3<>7::9:&b77<513b3->i471<7*n33871`=9m6=4+a209<0?<3th39;4>:283>5}#1>814884$`11><0c3A>i>6*;b58;1<=#il6;oc00?7d0:1/5>75104g?l?103:1(l==:3:3?Mg4921b88:50;&b77<3=l10c:=i:18K=3c<,h9965;6;:p=3>=838pR487;<:62??102wx88:50;0xZ133342>:7::4:p36`=838pR:=i;<66=?14n2wx8o>50;1xZ1d734>>57::4:?;13<0;o1vqokk8;39"3>h0386*;b981`g?8<50;&b77<5><10e?;i:18'e64=:!g4:33?=65`8e;94?"f;;039454i80:>5<#i:815?74H850?>o?mo0;6)o<2;:fb>N>?:10e4=k:18'e64=1:n0D49<;:agf3=9321<7>t$851>14>3Ak:h6F6759'e64=1;h0(98n:968 1d?2;ni56`n3582g=3<,0926o9?;h066?6=,h996?8:;:k17::e:9j=70=83.j??464098k=b>290/m><584;8?l42n3:1(l==:37e?>o>:00;6)o<2;;1=>N>?:10e5ki:18'e64=0ll0D49<;:k:7a<72-k8>777>54;090~">?;0?n<5Ga0f8L<133->i47:51b:5?!?413;::i5f44694?=n1?21<75`72d94?=,hf;=0:o594$81:>471l2c?994?:%c06?22m21d;>h50;&b77212.?n54=dc;8jd5328i346*6388253b!g4:3>>i65`72d94?N>>l1/m><584;8?xu>>10;6?uQ97:89=3120<37p};5583>7}Y<<>015;9:577?xu0;o0;6?uQ72d8913>2>9m7p};b183>6}Y2>3=8j6srbdf6>4Nf9m1C5::4$`11><4e3->=m76;;%6a:51b::?!?413h<<6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;h;12?6=,h9964:>;:m;`<<72-k8>76:9:9j=7?=83.j??46289K=25<3`2nj7>5$`11>=ca3A3"f;;02>o5+47c9<1=#il6;oc00?7d0h1/5>75b628m735290/m><52778?l4?l3:1(l==:57f?>o>:?0;6)o<2;;75>=h0m31<7*n338;1<=5$`11><4>3A32`8be?{#i8=14h64$5c:>4?<,=94$54`>71<,=;%65a?g33->=j7:<;%644?24;2.?;<4=9:&737<502.?;>4;4:&731<6:2.?;84=6:&733<2:2.?;:4;b:&73=<412.?;44ma:&73d<402.?;o4;0:&73f<4j2.?;i4:7:&73`<282.?;k42.?4<412=n0(968:4;8 1>?2=?0(966:248 1>f2d2jl0(96k:2a8 1>b2<>0(96i:5g8 1?72=k0(97>:03f?!2>:3><7):63;6:?!2><38i7):65;:0?!2>>38o7):67;;a?!2>03?>7):69;a;?!2>i33o7):6b;;`?!2>k3?=7):6d;a:?!2>m3?87):6f;7b?!2f839o7):n1;670>"3i;0:?6*;a280e>"3i=0?==#i:814h;4$9f2>=c03A3=m6*>c28:6d=#"3>00<7)::d;`5b>"3j109ho74n`17>4e?j2.2?44>c3;8m702290C4nk4;h637?6=f0531<7*7ce823==i0ji1<6*83`8233=i?:31m65f19094?"?km0:;55a8ba95>"0;h0:;;5a72;9=>=n91;1<7*7ce823==i0ji1>6*83`8233=i?:31465f19294?"?km0:;55a8ba97>"0;h0:;;5a72;93>=n9>l1<7*7ce823==i0ji186*83`8233=i?:31:65f16g94?"?km0:;55a8ba91>"0;h0:;;5a72;91>=n9>n1<7*7ce823==i0ji1:6*83`8233=i?:31865f16a94?"?km0:;55a8ba93>"0;h0:;;5a72;97>=n9>h1<7*7ce823==i0ji146*83`8233=i?:31>65f16c94?"?km0:;55a8ba9=>"0;h0:;;5a72;95>=n9>=1<7*7ce823==i0ji1m6*83`8233=i?:31<65f2`694?"?km09m>5a8ba94>"0;h095o5a72;93>=n:h81<7*7ce81e6=i0ji1=6*83`81=g=i?:31:65f2`394?"?km09m>5a8ba96>"0;h095o5a72;91>=n:h:1<7*7ce81e6=i0ji1?6*83`81=g=i?:31865f28d94?"?km09m>5a8ba90>"0;h095o5a72;97>=n:0o1<7*7ce81e6=i0ji196*83`81=g=i?:31>65f28f94?"?km09m>5a8ba92>"0;h095o5a72;95>=n:0i1<7*7ce81e6=i0ji1;6*83`81=g=i?:31<65f33d94?"?km08>h5a8ba94>"0;h08>i5a72;94>=h;=l1<7*7ce800`=i0ji1<6*83`8003=i?:31;65`35f94?"?km088h5a8ba95>"0;h088;5a72;92>=h;=i1<7*7ce800`=i0ji1>6*83`8003=i?:31965`35`94?"?km088h5a8ba97>"0;h088;5a72;90>=h;=k1<7*7ce800`=i0ji186*83`8003=i?:31?65`35;94?"?km088h5a8ba91>"0;h088;5a72;96>=h;=21<7*7ce800`=i0ji1:6*83`8003=i?:31=65`35594?"?km088h5a8ba93>"0;h088;5a72;94>=h;mh1<7*7ce80`d=i0ji1<6*83`80`<=i?:31<65rb2f4a?7=;90;6=u+9609<`2<@h;o7E784:X115<1s1i14i4ke;fe>`4=m:0vb?7::59'0dg=?2.?:o4>7:&72f<4;2.?:i49d:&72`<6n2.?:k4;129'026=>11/8:?52818 11520;0(99<:025?!20<3;9=6*;748263=#<><1ii5+4659545<,==36:<4$55:>16>3->2=#<>i1;6*;7e84?!20m3=0(99i:69'0=6=?2.?4<48;%6;6?1<,=286:5+49693>"30<0<7):76;58 1>02>1/85657:&7<<<03->3m794$5:a>2=#<1i1;6*;8e84?!2?m3=0(96i:69'0<6=?2.?5<48;%6:6?1<,=386:5+48693>"31<0<7):66;58 1?02>1/84657:&7=<<03->2m794$5;a>2=#<0i1;6*;9e84?!2>m3=0(97i:69'0d6=?2.?m<48;%6b6?1<,=k86:5+4`693>"3i<0<7):n6;58 1g02>1/8l657:&7e<<03-k:47o>6:&b5<4:54:&:7c<43-k8>76j4:&;`41C5;o4$0a0><4f3->jn7=4$5c`>6=#1=:1?6*;5e8a2c=#il6;oc00?7d0j1/5>753e5;?l41k3:1D5mj;:k12a<72A2hi65f41694?h>>k0:76g;0483>k?1j3;07d7;3;29j<0e2910e4:;:18m=3d=821b5:h50;9j==6=831b=4;50;&;ga<61=1e4nm50:&47d<60:1e;>75b:9j5<5=83.3oi4>959m829m36?=i21b=l<50;&;ga<61=1e4nm52:&47d<60:1e;>759:9j5d7=83.3oi4>959m829m36?=021b=4h50;&;ga<61=1e4nm54:&47d<60:1e;>757:9j5959m829m36?=>21b=4j50;&;ga<61=1e4nm56:&47d<60:1e;>755:9j5959m829m36?=<21b=4l50;&;ga<61=1e4nm58:&47d<60:1e;>753:9j5959m829m36?=:21b=4750;&;ga<61=1e4nm5a:&47d<60:1e;>751:9j5<4=83.3oi4>959m829m36?=821b=o;50;&;ga<6j=1e4nm50:&47d<6i:1e;>75b:9j5g5=83.3oi4>b59ma29m36?=i21b=n<50;&;ga<6j=1e4nm52:&47d<6i:1e;>759:9j5f7=83.3oi4>b59ma29m36?=021b=oh50;&;ga<6j=1e4nm54:&47d<6i:1e;>757:9j5gc=83.3oi4>b59ma29m36?=>21b=oj50;&;ga<6j=1e4nm56:&47d<6i:1e;>755:9j5ge=83.3oi4>b59ma29m36?=<21b=ol50;&;ga<6j=1e4nm58:&47d<6i:1e;>753:9j5gg=83.3oi4>b59ma29m36?=:21b=o750;&;ga<6j=1e4nm5a:&47d<6i:1e;>751:9j5g4=83.3oi4>b59ma29m36?=821b>h<50;&;ga<5m81e4nm50:&47d<5i<1e;>753:9j6ab=83.3oi4=e09mi<50;&;ga<5m81e4nm52:&47d<5i<1e;>751:9j6f1=83.3oi4=e09m753:9j777=83.3oi4<249m751:9j75d=83.3oi4<249m753:9l7=>=83.3oi4<8b9m751:9l724=83.3oi4<8b9m753:9l7fe=83.3oi4751:9l7g0=83.3oi4j8d;3975<729q/5:<58d68Ld7c3A3<86T=5185=e=0m0oi7ji:d09a6695+4`c93>"3>k09?6*;6b81b>"3>m0=>6*;6d811>"3>o0mn6*;718211=#<>;1>8j4$551>a><,==86<><;%640?75m2.?;8487:&733"3?o0<7):70;58 1>62>1/85<57:&7<6<03->38794$5:6>2=#<1<1;6*;8684?!2?03=0(966:69'0=g=?2.?4o48;%6;g?1<,=2o6:5+49g93>"30o0<7):60;58 1?62>1/84<57:&7=6<03->28794$5;6>2=#<0<1;6*;9684?!2>03=0(976:69'0"31o0<7):n0;58 1g62>1/8l<57:&7e6<03->j8794$5c6>2=#=i8<0(l?6:`35?k4><3>0(4=i:29'e64=0l>0(5j>:9g4?M?1i2.:o>462`9'0dd=;2.?mn4<;%;74?5<,=?o6o8i;%6a:51b:g?!?4139o;55f27a94?N?kl10e?8k:18K;87>5n84a>4=6=4a97`95>=n1=91<7`66c83?>o><=0;6c79b;28?l?0n3:17d770;29?l7>=3:1(5mk:0;7?k>dk3:0(:=n:0:0?k1413h07d?63;29 =ec283?7c6lc;38 25f28287c9<9;c8?l7f:3:1(5mk:0;7?k>dk380(:=n:0:0?k1413307d?n1;29 =ec283?7c6lc;18 25f28287c9<9;:8?l7>n3:1(5mk:0;7?k>dk3>0(:=n:0:0?k1413=07d?6e;29 =ec283?7c6lc;78 25f28287c9<9;48?l7>l3:1(5mk:0;7?k>dk3<0(:=n:0:0?k1413?07d?6c;29 =ec283?7c6lc;58 25f28287c9<9;68?l7>j3:1(5mk:0;7?k>dk320(:=n:0:0?k1413907d?6a;29 =ec283?7c6lc;;8 25f28287c9<9;08?l7>13:1(5mk:0;7?k>dk3k0(:=n:0:0?k1413;07d?62;29 =ec283?7c6lc;`8 25f28287c9<9;28?l7e=3:1(5mk:0`7?k>dk3:0(:=n:0c0?k1413h07d?m3;29 =ec28h?7c6lc;38 25f28k87c9<9;c8?l7d:3:1(5mk:0`7?k>dk380(:=n:0c0?k1413307d?l1;29 =ec28h?7c6lc;18 25f28k87c9<9;:8?l7en3:1(5mk:0`7?k>dk3>0(:=n:0c0?k1413=07d?me;29 =ec28h?7c6lc;78 25f28k87c9<9;48?l7el3:1(5mk:0`7?k>dk3<0(:=n:0c0?k1413?07d?mc;29 =ec28h?7c6lc;58 25f28k87c9<9;68?l7ej3:1(5mk:0`7?k>dk320(:=n:0c0?k1413907d?ma;29 =ec28h?7c6lc;;8 25f28k87c9<9;08?l7e13:1(5mk:0`7?k>dk3k0(:=n:0c0?k1413;07d?m2;29 =ec28h?7c6lc;`8 25f28k87c9<9;28?l4b:3:1(5mk:3g2?k>dk3:0(:=n:3c6?k1413907d7c9<9;08?l4c:3:1(5mk:3g2?k>dk380(:=n:3c6?k1413;07d7c9<9;28?l55>3:1(5mk:206?k>dk3:0(:=n:3g:?k1413907d==1;29 =ec2:8>7c6lc;38 25f2;o27c9<9;08?l56>3:1(5mk:206?k>dk380(:=n:3g:?k1413;07d=?b;29 =ec2:8>7c6lc;18 25f2;o27c9<9;28?j5?l3:1(5mk:2:`?k>dk3:0(:=n:273?k1413907b=78;29 =ec2:2h7c6lc;38 25f2:?;7c9<9;08?j50l3:1(5mk:2:`?k>dk380(:=n:273?k1413;07b=82;29 =ec2:2h7c6lc;18 25f2:?;7c9<9;28?j5c93:1(5mk:2f3?k>dk3:0(:=n:2;7?k1413907b=lc;29 =ec2:n;7c6lc;38 25f2:3?7c9<9;08?j5d93:1(5mk:2f3?k>dk380(:=n:2;7?k1413;07b=m6;29 =ec2:n;7c6lc;18 25f2:3?7c9<9;28?xd4l>l1=7=?:183!?0:32n86Fn1e9K=22ac=lo0n>7k<:|l1=0<33->jm794$54a>76<,=
    ?4$54g>ab<,=4ba3-><<78j;%645?5aj2.?;?4;109'025=kh1/8::52848 1122?=0(999:8g8 1102?k0(997:8c8 11>2;>37):8a;g8 11e2>1/8:m57:&73a<03->2=#<1:1;6*;8084?!2?:3=0(96<:69'0=2=?2.?4848;%6;2?1<,=2<6:5+49:93>"3000<7):7a;58 1>e2>1/85m57:&73i794$5:e>2=#<0:1;6*;9084?!2>:3=0(97<:69'0<2=?2.?5848;%6:2?1<,=3<6:5+48:93>"3100<7):6a;58 1?e2>1/84m57:&7=a<03->2i794$5;e>2=#"3i00<7)o>8;c22>"f900j=;5a28690>">;o087)o<2;:f0>"?l803i:5G97c8 4e4208j7):nb;18 1gd2:1/59>53:&71ao1/8o652e`:?kg4<3;h4h5+92;97a1?3`8=o7>5H9af?>o5>m0;6E6le:9j052=83d2:o4>;:k740<72g3=n7?4;h;77?6=f05"0;h0:4>5a72;9f>=n9091<7*7ce82=1=i0ji1=6*83`82<6=i?:31m65f1`094?"?km0:595a8ba96>"0;h0:4>5a72;9=>=n9h;1<7*7ce82=1=i0ji1?6*83`82<6=i?:31465f18d94?"?km0:595a8ba90>"0;h0:4>5a72;93>=n90o1<7*7ce82=1=i0ji196*83`82<6=i?:31:65f18f94?"?km0:595a8ba92>"0;h0:4>5a72;91>=n90i1<7*7ce82=1=i0ji1;6*83`82<6=i?:31865f18`94?"?km0:595a8ba9<>"0;h0:4>5a72;97>=n90k1<7*7ce82=1=i0ji156*83`82<6=i?:31>65f18;94?"?km0:595a8ba9e>"0;h0:4>5a72;95>=n9081<7*7ce82=1=i0ji1n6*83`82<6=i?:31<65f1c794?"?km0:n95a8ba94>"0;h0:m>5a72;9f>=n9k91<7*7ce82f1=i0ji1=6*83`82e6=i?:31m65f1b094?"?km0:n95a8ba96>"0;h0:m>5a72;9=>=n9j;1<7*7ce82f1=i0ji1?6*83`82e6=i?:31465f1cd94?"?km0:n95a8ba90>"0;h0:m>5a72;93>=n9ko1<7*7ce82f1=i0ji196*83`82e6=i?:31:65f1cf94?"?km0:n95a8ba92>"0;h0:m>5a72;91>=n9ki1<7*7ce82f1=i0ji1;6*83`82e6=i?:31865f1c`94?"?km0:n95a8ba9<>"0;h0:m>5a72;97>=n9kk1<7*7ce82f1=i0ji156*83`82e6=i?:31>65f1c;94?"?km0:n95a8ba9e>"0;h0:m>5a72;95>=n9k81<7*7ce82f1=i0ji1n6*83`82e6=i?:31<65f2d094?"?km09i<5a8ba94>"0;h09m85a72;97>=n:mn1<7*7ce81a4=i0ji1=6*83`81e0=i?:31>65f2e094?"?km09i<5a8ba96>"0;h09m85a72;95>=n:j=1<7*7ce81a4=i0ji1?6*83`81e0=i?:31<65f33494?"?km08>85a8ba94>"0;h09i45a72;97>=n;;;1<7*7ce8060=i0ji1=6*83`81a<=i?:31>65f30494?"?km08>85a8ba96>"0;h09i45a72;95>=n;9h1<7*7ce8060=i0ji1?6*83`81a<=i?:31<65`39f94?"?km084n5a8ba94>"0;h089=5a72;97>=h;121<7*7ce8065`36f94?"?km084n5a8ba96>"0;h089=5a72;95>=h;>81<7*7ce80"0;h08595a72;97>=h;ji1<7*7ce80`5=i0ji1=6*83`80=1=i?:31>65`3b394?"?km08h=5a8ba96>"0;h08595a72;95>=h;k<1<7*7ce80`5=i0ji1?6*83`80=1=i?:31<65rb2f;4?7=;90;6=u+9609<`2<@h;o7E784:X115<1s1i14i4ke;fe>`4=m:0vb?7::59'0dg=?2.?:o4=4:&72f<4<2.?:i4<5:&72`<6=2.?:k48f:&735<5111/8:?512c8 1152=;?7):83;a`?!20<3h37):85;0;b>"3??0n:6*;768;a>"3?10:>>5+46;923=#<>k1m6*;7c84?!20k3=0(99k:69'02c=?2.?;k48;%6;4?1<,=2:6:5+49093>"30:0<7):74;58 1>22>1/85857:&7<2<03->34794$5::>2=#<1k1;6*;8c84?!2?k3=0(96k:69'0=c=?2.?4k48;%6:4?1<,=3:6:5+48093>"31:0<7):64;58 1?22>1/84857:&7=2<03->24794$5;:>2=#<0k1;6*;9c84?!2>k3=0(97k:69'0"3i:0<7):n4;58 1g22>1/8l857:&7e2<03->j4794$5c:>2=#i821m<84$`3:>d713g8287:4$81e>6=#i:814h:4$9f2>=c03A3=m6*>c28:6d=#a3-3857=k799j63e=83B3oh54i34g>5::18m=3d=921b59=50;l:2g<732c2894?:o;5f?6<3`35;h;;4?6=3`;297>5$9ag>4?33g2ho7>4$61b>4>43g=857l4;h3:7?6=,1io6<7;;o:`g?7<,>9j6<6<;o50=?g<3`;j>7>5$9ag>4?33g2ho7<4$61b>4>43g=85774;h3b5?6=,1io6<7;;o:`g?5<,>9j6<6<;o50=?><3`;2j7>5$9ag>4?33g2ho7:4$61b>4>43g=85794;h3:a?6=,1io6<7;;o:`g?3<,>9j6<6<;o50=?0<3`;2h7>5$9ag>4?33g2ho784$61b>4>43g=857;4;h3:g?6=,1io6<7;;o:`g?1<,>9j6<6<;o50=?2<3`;2n7>5$9ag>4?33g2ho764$61b>4>43g=857=4;h3:e?6=,1io6<7;;o:`g??<,>9j6<6<;o50=?4<3`;257>5$9ag>4?33g2ho7o4$61b>4>43g=857?4;h3:6?6=,1io6<7;;o:`g?d<,>9j6<6<;o50=?6<3`;i97>5$9ag>4d33g2ho7>4$61b>4g43g=857l4;h3a7?6=,1io69j67>5$9ag>4d33g2ho7<4$61b>4g43g=85774;h3`5?6=,1io69j6<3`;ij7>5$9ag>4d33g2ho7:4$61b>4g43g=85794;h3aa?6=,1io69j65$9ag>4d33g2ho784$61b>4g43g=857;4;h3ag?6=,1io69j65$9ag>4d33g2ho764$61b>4g43g=857=4;h3ae?6=,1io69j65$9ag>4d33g2ho7o4$61b>4g43g=857?4;h3a6?6=,1io69j67>5$9ag>7c63g2ho7>4$61b>7g23g=857=4;h0g`?6=,1io6?k>;o:`g?7<,>9j6?o:;o50=?4<3`8o>7>5$9ag>7c63g2ho7<4$61b>7g23g=857?4;h0`3?6=,1io6?k>;o:`g?5<,>9j6?o:;o50=?6<3`99:7>5$9ag>6423g2ho7>4$61b>7c>3g=857=4;h115?6=,1io6><:;o:`g?7<,>9j6?k6;o50=?4<3`9::7>5$9ag>6423g2ho7<4$61b>7c>3g=857?4;h13f?6=,1io6><:;o:`g?5<,>9j6?k6;o50=?6<3f93h7>5$9ag>6>d3g2ho7>4$61b>6373g=857=4;n1;6l;o:`g?7<,>9j6>;?;o50=?4<3f95$9ag>6>d3g2ho7<4$61b>6373g=857?4;n146?6=,1io6>6l;o:`g?5<,>9j6>;?;o50=?6<3f9o=7>5$9ag>6b73g2ho7>4$61b>6?33g=857=4;n1`g?6=,1io6>j?;o:`g?7<,>9j6>7;;o50=?4<3f9h=7>5$9ag>6b73g2ho7<4$61b>6?33g=857?4;n1a2?6=,1io6>j?;o:`g?5<,>9j6>7;;o50=?6<3th8h:l51;13>5<7s-3<>76j4:Jb5a=O1>>0V?;?:7y;g?>c2mo1hk4j2;g0>xh51<0?7):na;58 10e28o0(98l:208 10c2"3?:099:5+466957`<,==>6:k4$555>=g<,==<6::4$55;>`g<,==26k74$55b>46<,==i6:5+46a93>"3?m0<7):8e;58 11a2>1/85>57:&7<4<03->3>794$5:0>2=#<1>1;6*;8484?!2?>3=0(968:69'0=>=?2.?4448;%6;e?1<,=2i6:5+49a93>"30m0<7):7e;58 1>a2>1/84>57:&7=4<03->2>794$5;0>2=#<0>1;6*;9484?!2>>3=0(978:69'0<>=?2.?5448;%6:e?1<,=3i6:5+48a93>"31m0<7):6e;58 1?a2>1/8l>57:&7e4<03->j>794$5c0>2=#1;6*;a484?!2f>3=0(9o8:69'0d>=?2.?m448;%c22.j=44n179m6<2=<2.2?k4<;%c06?>b<2.3h<47e69K=3g<,8i8645+95297>"3=m0i:k5+4c:96ad>3gk887?l919'=6?=;m=37d<9c;29L=eb32c9:i4?:I:`a>=n<9>1<7`66c82?>o38<0;6c79b;38?l?3;3:1b48m:198m<23290e5;l50:9j=2`=831b55>50;9j5<3=83.3oi4>959m829m36?=j21b=4=50;&;ga<61=1e4nm51:&47d<60:1e;>75a:9j5d4=83.3oi4>959m829m36?=121b=l?50;&;ga<61=1e4nm53:&47d<60:1e;>758:9j5<`=83.3oi4>959m829m36?=?21b=4k50;&;ga<61=1e4nm55:&47d<60:1e;>756:9j5959m2.829m36?==21b=4m50;&;ga<61=1e4nm57:&47d<60:1e;>754:9j5959m829m36?=;21b=4o50;&;ga<61=1e4nm59:&47d<60:1e;>752:9j5959m829m36?=921b=4<50;&;ga<61=1e4nm5b:&47d<60:1e;>750:9j5g3=83.3oi4>b59ma29m36?=j21b=o=50;&;ga<6j=1e4nm51:&47d<6i:1e;>75a:9j5f4=83.3oi4>b59ma29m36?=121b=n?50;&;ga<6j=1e4nm53:&47d<6i:1e;>758:9j5g`=83.3oi4>b59ma29m36?=?21b=ok50;&;ga<6j=1e4nm55:&47d<6i:1e;>756:9j5gb=83.3oi4>b59m2.a29m36?==21b=om50;&;ga<6j=1e4nm57:&47d<6i:1e;>754:9j5gd=83.3oi4>b59ma29m36?=;21b=oo50;&;ga<6j=1e4nm59:&47d<6i:1e;>752:9j5g?=83.3oi4>b59ma29m36?=921b=o<50;&;ga<6j=1e4nm5b:&47d<6i:1e;>750:9j6`4=83.3oi4=e09mij50;&;ga<5m81e4nm51:&47d<5i<1e;>752:9j6a4=83.3oi4=e09mn950;&;ga<5m81e4nm53:&47d<5i<1e;>750:9j770=83.3oi4<249m752:9j740=83.3oi4<249m750:9l7=b=83.3oi4<8b9m752:9l72b=83.3oi4<8b9m750:9l7a7=83.3oi4752:9l7f7=83.3oi4750:9~f6b0k3;1?=4?:1y'=24=0l>0Dl?k;I;40>\5=90=w5m58e8ga?ba2l81i>4rn3;6>1=#"3>j09o6*;6e85g>"3>l0:m6*;6g825g=#<>:1;=5+463934=#<>81j>5+461933=#<>>1;l5+467954b<,===6<>6;%643??53-><47h=;%64=?1d3->2=#<>i1;6*;7e84?!20m3=0(99i:69'0=6=?2.?4<48;%6;6?1<,=286:5+49693>"30<0<7):76;58 1>02>1/85657:&7<<<03->3m794$5:a>2=#<1i1;6*;8e84?!2?m3=0(96i:69'0<6=?2.?5<48;%6:6?1<,=386:5+48693>"31<0<7):66;58 1?02>1/84657:&7=<<03->2m794$5;a>2=#<0i1;6*;9e84?!2>m3=0(97i:69'0d6=?2.?m<48;%6b6?1<,=k86:5+4`693>"3i<0<7):n6;58 1g02>1/8l657:&7e<<03-k:47o>6:&b5<4:54:&:7c<43-k8>76j4:&;`41C5;o4$0a0><4f3->jn7=4$5c`>6=#1=:1?6*;5e8a2c=#il6;oc00?7d181/5>753e5;?l41k3:1D5mj;:k12a<72A2hi65f41694?h>>k0:76g;0483>k?1j3;07d7;3;29j<0e2910e4:;:18m=3d=821b5:h50;9j==6=831b=4;50;&;ga<61=1e4nm50:&47d<60:1e;>75b:9j5<5=83.3oi4>959m829m36?=i21b=l<50;&;ga<61=1e4nm52:&47d<60:1e;>759:9j5d7=83.3oi4>959m829m36?=021b=4h50;&;ga<61=1e4nm54:&47d<60:1e;>757:9j5959m829m36?=>21b=4j50;&;ga<61=1e4nm56:&47d<60:1e;>755:9j5959m829m36?=<21b=4l50;&;ga<61=1e4nm58:&47d<60:1e;>753:9j5959m829m36?=:21b=4750;&;ga<61=1e4nm5a:&47d<60:1e;>751:9j5<4=83.3oi4>959m829m36?=821b=o;50;&;ga<6j=1e4nm50:&47d<6i:1e;>75b:9j5g5=83.3oi4>b59ma29m36?=i21b=n<50;&;ga<6j=1e4nm52:&47d<6i:1e;>759:9j5f7=83.3oi4>b59ma29m36?=021b=oh50;&;ga<6j=1e4nm54:&47d<6i:1e;>757:9j5gc=83.3oi4>b59ma29m36?=>21b=oj50;&;ga<6j=1e4nm56:&47d<6i:1e;>755:9j5ge=83.3oi4>b59ma29m36?=<21b=ol50;&;ga<6j=1e4nm58:&47d<6i:1e;>753:9j5gg=83.3oi4>b59ma29m36?=:21b=o750;&;ga<6j=1e4nm5a:&47d<6i:1e;>751:9j5g4=83.3oi4>b59ma29m36?=821b>h<50;&;ga<5m81e4nm50:&47d<5i<1e;>753:9j6ab=83.3oi4=e09mi<50;&;ga<5m81e4nm52:&47d<5i<1e;>751:9j6f1=83.3oi4=e09m753:9j777=83.3oi4<249m751:9j75d=83.3oi4<249m753:9l7=>=83.3oi4<8b9m751:9l724=83.3oi4<8b9m753:9l7fe=83.3oi4751:9l7g0=83.3oi4j89;3975<729q/5:<58d68Ld7c3A3<86T=5185=e=0m0oi7ji:d09a6695+4`c93>"3>k0:46*;6b81e>"3>m0=<6*;6d822>"3>o0?>=5+4629<2=#<>;1=?j4$551>a7<,==86o>4$557>d5<,==>6i;4$555>f1<,==<6h64$55;>a2<,==26:l4$55b>a=#<>h1;6*;7b84?!20l3=0(99j:69'02`=?2.?4=48;%6;5?1<,=296:5+49193>"30=0<7):75;58 1>12>1/85957:&7<=<03->35794$5:b>2=#<1h1;6*;8b84?!2?l3=0(96j:69'0=`=?2.?5=48;%6:5?1<,=396:5+48193>"31=0<7):65;58 1?12>1/84957:&7==<03->25794$5;b>2=#<0h1;6*;9b84?!2>l3=0(97j:69'0<`=?2.?m=48;%6b5?1<,=k96:5+4`193>"3i=0<7):n5;58 1g12>1/8l957:&7e=<03->j5794$`3;>d713-k:57o>6:l1=1<33-38j7=4$`11>=c33-2o=76j7:J:2d=#9j915?o4$5ca>6=#20e?8l:18K5H9af?>o38=0;6c79b;38?l27=3:1b48m:098m<24290e5;l50:9j=12=83d2:o4?;:k:3c<722c24=4?::k2=0<72-2hh7?64:l;gf<73-=8m7?73:l47<4?:%:``?7><2d3on4>;%50e?7?;2d32c:m<4?:%:``?7><2d3on4<;%50e?7?;2d<2d3on4:;%50e?7?;2d<2d3on48;%50e?7?;2d<2d3on46;%50e?7?;2d<2d3on4m;%50e?7?;2d4?:%:``?7e<2d3on4>;%50e?7f;2d32c:o<4?:%:``?7e<2d3on4<;%50e?7f;2d;%50e?4f=2d<4?:%:``?55=2d3on4>;%50e?4b12d;%50e?5282d;%50e?5><2d<2d50z&:37a`=m;0n?7sa28790>"3ih0<7):9b;3a?!21k3;o7):9d;4a?!21m3;h7):9f;`a?!2083;;j6*;70825c=#<>81==;4$550>`?<,==?6k94$556>4423-><:7??a:&732<68l1/8:65ce9'02?=98>0(99n:g9'02d=?2.?;n48;%64`?1<,==n6:5+46d93>"3090<7):71;58 1>52>1/85=57:&7<1<03->39794$5:5>2=#<1=1;6*;8984?!2?13=0(96n:69'0=d=?2.?4n48;%6;`?1<,=2n6:5+49d93>"3190<7):61;58 1?52>1/84=57:&7=1<03->29794$5;5>2=#<0=1;6*;9984?!2>13=0(97n:69'0"3i90<7):n1;58 1g52>1/8l=57:&7e1<03->j9794$5c5>2=#c932n;6F66`9'5f5=1;k0(9om:29'0de=;2.28=4<;%66`?d1n2.?n54=dc;8jd5328i2?6*63880`2>5>k0;76g67g83>>o>090;66g>9483>!>dl3;286`7cb83?!14i3;3?6`8388a?>o61:0;6)6ld;3:0>h?kj0:7)9h0;00j76g>a383>!>dl3;286`7cb81?!14i3;3?6`8388:?>o6i80;6)6ld;3:0>h?kj087)9h0;00376g>9g83>!>dl3;286`7cb87?!14i3;3?6`83884?>o61l0;6)6ld;3:0>h?kj0>7)9h0;00=76g>9e83>!>dl3;286`7cb85?!14i3;3?6`83886?>o61j0;6)6ld;3:0>h?kj0<7)9h0;00?76g>9c83>!>dl3;286`7cb8;?!14i3;3?6`83880?>o61h0;6)6ld;3:0>h?kj027)9h0;00976g>9883>!>dl3;286`7cb8b?!14i3;3?6`83882?>o61;0;6)6ld;3:0>h?kj0i7)9h0;00;76g>b483>!>dl3;i86`7cb83?!14i3;j?6`8388a?>o6j:0;6)6ld;3a0>h?kj0:7)9h0;00j76g>c383>!>dl3;i86`7cb81?!14i3;j?6`8388:?>o6k80;6)6ld;3a0>h?kj087)9h0;00376g>bg83>!>dl3;i86`7cb87?!14i3;j?6`83884?>o6jl0;6)6ld;3a0>h?kj0>7)9h0;00=76g>be83>!>dl3;i86`7cb85?!14i3;j?6`83886?>o6jj0;6)6ld;3a0>h?kj0<7)9h0;00?76g>bc83>!>dl3;i86`7cb8;?!14i3;j?6`83880?>o6jh0;6)6ld;3a0>h?kj027)9h0;00976g>b883>!>dl3;i86`7cb8b?!14i3;j?6`83882?>o6j;0;6)6ld;3a0>h?kj0i7)9h0;00;76g=e383>!>dl38n=6`7cb83?!14i38j96`83880?>o5lm0;6)6ld;0f5>h?kj0:7)9h0;00976g=d383>!>dl38n=6`7cb81?!14i38j96`83882?>o5k>0;6)6ld;0f5>h?kj087)9h0;00;76g<2783>!>dl39996`7cb83?!14i38n56`83880?>o4:80;6)6ld;111>h?kj0:7)9h0;00976g<1783>!>dl39996`7cb81?!14i38n56`83882?>o48k0;6)6ld;111>h?kj087)9h0;00;76a<8e83>!>dl393o6`7cb83?!14i39><6`83880?>i4010;6)6ld;1;g>h?kj0:7)9h0;00976a<7e83>!>dl393o6`7cb81?!14i39><6`83882?>i4?;0;6)6ld;1;g>h?kj087)9h0;00;76a!>dl39o<6`7cb83?!14i39286`83880?>i4kj0;6)6ld;1g4>h?kj0:7)9h0;00976a!>dl39o<6`7cb81?!14i39286`83882?>i4j?0;6)6ld;1g4>h?kj087)9h0;00;76sm2252>4<503:14;51:&b5=h53:&b77jo7=4$863>6=#"3?=0<7):85;58 1112>1/8:957:&73=<03-><5794$55b>2=#<>h1;6*;7b84?!20l3=0(99j:69'02`=?2.?4=48;%6;5?1<,=296:5+49193>"30=0<7):75;58 1>12>1/85957:&7<=<03->35794$5:b>2=#<1h1;6*;8b84?!2?l3=0(96j:69'0=`=?2.?5=48;%6:5?1<,=396:5+48193>"31=0<7):65;58 1?12>1/84957:&7==<03->25794$5;b>2=#<0h1;6*;9b84?!2>l3=0(97j:69'0<`=?2.?m=48;%6b5?1<,=k96:5+4`193>"3i=0<7):n5;58 1g12>1/8l957:&7e=<03->j5794$5cb>2=#<7be12dj?94>c868 <5>2;9<<6g=6b83>M>dm21b>;j50;J;g`==n<9?1<7`66c82?>o><:0;6c79b;28?l?3<3:1b48m:198m<1a2900e46?:188m4??290/4nj51858j=ed291/;>o51918j25>2m10e<79:18'o51918j25>2k10e<7<:18'o51918j25>2010e:18'o51918j25>2>10e<7j:18'o51918j25>2<10e<7l:18'o51918j25>2:10e<7n:18'290/4nj51858j=ed2j1/;>o51918j25>2810e<7=:18'o51`18j25>2m10eo51`18j25>2k10eo51`18j25>2010e:18'o51`18j25>2>10eo51`18j25>2<10eo51`18j25>2:10e290/4nj51c58j=ed2j1/;>o51`18j25>2810eo52`78j25>2910e>>m:18'o53428j25>2910c>l9:18'0b:=6:198yg44?;0:6?650;2x <1521o97Eo>d:J:31=]:<:1:v7::849e03k36l75}o0:1?7<,h;36l?9;%c2=?g6>2d9594>;%;0b?5<,h9965k=;%:g5?>b?2B2:l5+1b19=7g<,=ki6>5+4`a97>"><9087):9b;58 10d2>1/8;j57:&72`<03->=j794$553>2=#<>;1;6*;7384?!20;3=0(99;:69'023=?2.?;;48;%643?1<,==36:5+46;93>"3?h0<7):8b;58 11d2>1/8:j57:&73`<03->2=#<1;1;6*;8384?!2?;3=0(96;:69'0=3=?2.?4;48;%6;3?1<,=236:5+49;93>"30h0<7):7b;58 1>d2>1/85j57:&7<`<03->3j794$5;3>2=#<0;1;6*;9384?!2>;3=0(97;:69'0<3=?2.?5;48;%6:3?1<,=336:5+48;93>"31h0<7):6b;58 1?d2>1/84j57:&7=`<03->2j794$5c3>2=#"3ih0<7)::d;`5b>"3j109ho74n`17>4e>=2.2?44=3628m70d290C4nk4;h05`?6=@1in76g;0583>k?1j3;07d:?5;29j<0e2810e4:<:18m=3d=821b59:50;l:2g<732c2;k4?::k:<5<722c:554?:%:``?7>?2d3on4?;%50e?7?;2d?2d3on4=;%50e?7?;2d?2d3on4;;%50e?7?;2d?2d3on49;%50e?7?;2d?2d3on47;%50e?7?;2d3-=8m7?73:l47<<332c:5o4?:%:``?7>?2d3on4n;%50e?7?;2d?2d3on4l;%50e?7?;2d;:k2=7<72-2hh7?67:l;gf3-=8m7?n3:l47<<332c:no4?:%:``?7e?2d3on4n;%50e?7f;2d;:k2f7<72-2hh7?m7:l;gf2d3on4?;%50e?4f=2d>9<:081>3k>6l85a98b=?{i:0?1=6*n198b53=#i831m<84n3;7>4=#1:l1?6*n338;a7=#0m;14h94H84b?!7d;339m6*;ac80?!2fk390(4:?:29'03d=?2.?:n48;%65`?1<,="3?90<7):81;58 1152>1/8:=57:&731<03-><9794$555>2=#<>=1;6*;7984?!2013=0(99n:69'02d=?2.?;n48;%64`?1<,==n6:5+46d93>"3090<7):71;58 1>52>1/85=57:&7<1<03->39794$5:5>2=#<1=1;6*;8984?!2?13=0(96n:69'0=d=?2.?4n48;%6;`?1<,=2n6:5+49d93>"3190<7):61;58 1?52>1/84=57:&7=1<03->29794$5;5>2=#<0=1;6*;9984?!2>13=0(97n:69'0"3i90<7):n1;58 1g52>1/8l=57:&7e1<03->j9794$5c5>2=#hf;=0:o484$81:>75082c9:n4?:I:`a>=n:?n1<7F7cd98m163290e5;l51:9j053=83d2:o4>;:k:06<72g3=n7>4;h;70?6=f05<5<#0jn1=494n9a`>5=#?:k1=5=4n61:>a=926n54i0;6>5<#0jn1=494n9a`>7=#?:k1=5=4n61:>g=5+72c95=5926l54i0c1>5<#0jn1=494n9a`>1=#?:k1=5=4n61:><=926554i0;e>5<#0jn1=494n9a`>3=#?:k1=5=4n61:>2=926;54i0;g>5<#0jn1=494n9a`>==#?:k1=5=4n61:>0=926954i0;a>5<#0jn1=494n9a`>d=#?:k1=5=4n61:>6=926?54i0;:>5<#0jn1=494n9a`>f=#?:k1=5=4n61:>4=926=54i0`;>5<#0jn1=o94n9a`>5=#?:k1=l=4n61:>a=926n54i0`6>5<#0jn1=o94n9a`>7=#?:k1=l=4n61:>g=5+72c95d5926l54i0a1>5<#0jn1=o94n9a`>1=#?:k1=l=4n61:><=926554i0`e>5<#0jn1=o94n9a`>3=#?:k1=l=4n61:>2=926;54i0`g>5<#0jn1=o94n9a`>==#?:k1=l=4n61:>0=926954i0`a>5<#0jn1=o94n9a`>d=#?:k1=l=4n61:>6=926?54i0`:>5<#0jn1=o94n9a`>f=#?:k1=l=4n61:>4=926=54i3a4>5<#0jn1>n84n9a`>5=#?:k1>l;4n61:>5=926=54o251>5<#0jn1?:?4n9a`>5=#?:k1?8>4n61:>5=926=54}c0031<62;21<7>t$851>=c53Ak:h6F6759Y606=>r3>6485a48b2?g?2h31qc<65;38 d7?2h;=7)o>9;c22>h51=0:7)7N>>h1/=n=593c8 1ge2:1/8lm53:&:05<43->=n794$54`>2=#"3??0<7):87;58 11?2>1/8:757:&73d<03->2=#<>n1;6*;7d84?!20n3=0(96?:69'0=7=?2.?4?48;%6;7?1<,=2?6:5+49793>"30?0<7):77;58 1>?2>1/85757:&73n794$5:`>2=#<1n1;6*;8d84?!2?n3=0(97?:69'0<7=?2.?5?48;%6:7?1<,=3?6:5+48793>"31?0<7):67;58 1??2>1/84757:&7=d<03->2n794$5;`>2=#<0n1;6*;9d84?!2>n3=0(9o?:69'0d7=?2.?m?48;%6b7?1<,=k?6:5+4`793>"3i?0<7):n7;58 1g?2>1/8l757:&7ed<03->>h7l9f:&7f=<5lk30bl=;:0a:3>">;009?:>4i34`>5;97>5n84a>4=86=4a97`94>=n1=>1<7`66c83?>o>?o0;66g68183>>o6110;6)6ld;3:3>h?kj0;7)9h0;00o76g>9783>!>dl3;2;6`7cb82?!14i3;3?6`8388`?>o61<0;6)6ld;3:3>h?kj097)9h0;00i76g>9283>!>dl3;2;6`7cb80?!14i3;3?6`8388b?>o6i;0;6)6ld;3:3>h?kj0?7)9h0;00276g>a083>!>dl3;2;6`7cb86?!14i3;3?6`8388;?>o61o0;6)6ld;3:3>h?kj0=7)9h0;00<76g>9d83>!>dl3;2;6`7cb84?!14i3;3?6`83885?>o61m0;6)6ld;3:3>h?kj037)9h0;00>76g>9b83>!>dl3;2;6`7cb8:?!14i3;3?6`83887?>o61k0;6)6ld;3:3>h?kj0j7)9h0;00876g>9`83>!>dl3;2;6`7cb8a?!14i3;3?6`83881?>o6100;6)6ld;3:3>h?kj0h7)9h0;00:76g>9383>!>dl3;2;6`7cb8g?!14i3;3?6`83883?>o6j10;6)6ld;3a3>h?kj0;7)9h0;00o76g>b783>!>dl3;i;6`7cb82?!14i3;j?6`8388`?>o6j<0;6)6ld;3a3>h?kj097)9h0;00i76g>b283>!>dl3;i;6`7cb80?!14i3;j?6`8388b?>o6k;0;6)6ld;3a3>h?kj0?7)9h0;00276g>c083>!>dl3;i;6`7cb86?!14i3;j?6`8388;?>o6jo0;6)6ld;3a3>h?kj0=7)9h0;00<76g>bd83>!>dl3;i;6`7cb84?!14i3;j?6`83885?>o6jm0;6)6ld;3a3>h?kj037)9h0;00>76g>bb83>!>dl3;i;6`7cb8:?!14i3;j?6`83887?>o6jk0;6)6ld;3a3>h?kj0j7)9h0;00876g>b`83>!>dl3;i;6`7cb8a?!14i3;j?6`83881?>o6j00;6)6ld;3a3>h?kj0h7)9h0;00:76g>b383>!>dl3;i;6`7cb8g?!14i3;j?6`83883?>o5k>0;6)6ld;0`2>h?kj0;7)9h0;00;76g<0c83>!>dl39;m6`7cb83?!14i38n56`83883?>i4?;0;6)6ld;145>h?kj0;7)9h0;00;76a!>dl39i96`7cb83?!14i39286`83883?>{e::=>6<4=8;294~">?;03i?5Ga0f8L<133S8><78t948:2?g22h<1m54n9;m6<3=92.j=54n179'e4?=i8<0b?7;:09'=6`=;2.j??47e39';6>5+47`93>"3>j0<7):9d;58 10b2>1/8;h57:&735<03-><=794$551>2=#<>91;6*;7584?!20=3=0(999:69'021=?2.?;548;%64=?1<,==j6:5+46`93>"3?j0<7):8d;58 11b2>1/8:h57:&7<5<03->3=794$5:1>2=#<191;6*;8584?!2?=3=0(969:69'0=1=?2.?4548;%6;=?1<,=2j6:5+49`93>"30j0<7):7d;58 1>b2>1/85h57:&7=5<03->2=794$5;1>2=#<091;6*;9584?!2>=3=0(979:69'0<1=?2.?5548;%6:=?1<,=3j6:5+48`93>"31j0<7):6d;58 1?b2>1/84h57:&7e5<03->j=794$5c1>2=#o5>j0;6E6le:9j63b=83B3oh54i527>5>k0:76g64283>k?1j3:07d7;4;29j<0e2910e49i:188m<>72900e<77:18'o51918j25>2j10e<7::18'o51918j25>2h10eo51918j25>2110e<7i:18'1/;>o51918j25>2?10e<7k:18'o51918j25>2=10e<7m:18'o51918j25>2;10e<76:18'o51918j25>2910eo51`18j25>2j10eo51`18j25>2h10eo51`18j25>2110e1/;>o51`18j25>2?10eo51`18j25>2=10eo51`18j25>2;10eo51`18j25>2910e?m8:18'o52d;8j25>2910c>9=:18';0b5ml:19'36g=;<:0b:=6:198k6d1290/4nj53c78j=ed291/;>o53868j25>2910qo<<7782>7>=83:p(49=:9g1?Mg6l2B2;95U24292~?220<1m84n6;c;>d?=ug8297?4$`3;>d713-k:57o>6:l1=1<63-38j7=4$`11>=c53-2o=76j7:J:2d=#9j915?o4$5ca>6=#"3?;0<7):83;58 1132>1/8:;57:&733<03-><;794$55;>2=#<>31;6*;7`84?!20j3=0(99l:69'02b=?2.?;h48;%64b?1<,=2;6:5+49393>"30;0<7):73;58 1>32>1/85;57:&7<3<03->3;794$5:;>2=#<131;6*;8`84?!2?j3=0(96l:69'0=b=?2.?4h48;%6;b?1<,=3;6:5+48393>"31;0<7):63;58 1?32>1/84;57:&7=3<03->2;794$5;;>2=#<031;6*;9`84?!2>j3=0(97l:69'0"3i;0<7):n3;58 1g32>1/8l;57:&7e3<03->j;794$5c;>2=#:0e?8l:18K5H9af?>o38=0;6c79b;38?l27=3:1b48m:098m<24290e5;l50:9j=12=83d2:o4?;:k:3c<722c24=4?::k2==<72-2hh7?67:l;gf<73-=8m7?73:l47<?2d3on4>;%50e?7?;2d4?:%:``?7>?2d3on4<;%50e?7?;2d32c:m<4?:%:``?7>?2d3on4:;%50e?7?;2d?2d3on48;%50e?7?;2d?2d3on46;%50e?7?;2d?2d3on4m;%50e?7?;2d?2d3on4k;%50e?7?;2d;%50e?7f;2d4?:%:``?7e?2d3on4<;%50e?7f;2d32c:o<4?:%:``?7e?2d3on4:;%50e?7f;2d<2d50z&:37d0=i10j57sa28795>"f910j=;5+a0;9e40"f;;03i?5+8e39<`1<@0"3ik087):nc;18 <272:1/8;l57:&72f<03->=h794$54f>2=#"3?10<7):89;58 11f2>1/8:l57:&73f<03->2=#<>l1;6*;8184?!2?93=0(96=:69'0=5=?2.?4948;%6;1?1<,=2=6:5+49593>"3010<7):79;58 1>f2>1/85l57:&73h794$5:f>2=#<1l1;6*;9184?!2>93=0(97=:69'0<5=?2.?5948;%6:1?1<,=3=6:5+48593>"3110<7):69;58 1?f2>1/84l57:&7=f<03->2h794$5;f>2=#<0l1;6*;a184?!2f93=0(9o=:69'0d5=?2.?m948;%6b1?1<,=k=6:5+4`593>"3i10<7):n9;58 1gf2>1/88j5b7d8 1d?2;ni56`n3582g;:18m=3d=921b8=;50;l:2g<632c28>4?:o;5f?6<3`3?87>5n84a>5=5<926i54i0;5>5<#0jn1=494n9a`>4=#?:k1=5=4n61:>f=6=4+8bf95<1926o54i0;0>5<#0jn1=494n9a`>6=#?:k1=5=4n61:>d=926454i0c2>5<#0jn1=494n9a`>0=#?:k1=5=4n61:>==926:54i0;f>5<#0jn1=494n9a`>2=#?:k1=5=4n61:>3=926854i0;`>5<#0jn1=494n9a`><=#?:k1=5=4n61:>1=926>54i0;b>5<#0jn1=494n9a`>g=#?:k1=5=4n61:>7=926<54i0;1>5<#0jn1=494n9a`>a=#?:k1=5=4n61:>5=926i54i0`5>5<#0jn1=o94n9a`>4=#?:k1=l=4n61:>f=6=4+8bf95g1926o54i0`0>5<#0jn1=o94n9a`>6=#?:k1=l=4n61:>d=926454i0a2>5<#0jn1=o94n9a`>0=#?:k1=l=4n61:>==926:54i0`f>5<#0jn1=o94n9a`>2=#?:k1=l=4n61:>3=926854i0``>5<#0jn1=o94n9a`><=#?:k1=l=4n61:>1=926>54i0`b>5<#0jn1=o94n9a`>g=#?:k1=l=4n61:>7=926<54i0`1>5<#0jn1=o94n9a`>a=#?:k1=l=4n61:>5=926=54i22a>5<#0jn1?=o4n9a`>5=#?:k1>h74n61:>5=926=54o2`5>5<#0jn1?o;4n9a`>5=#?:k1?4:4n61:>5=:3:94?6|,0=965k=;Ic2`>N>?=1Q>8>56z;6><0=i<0j:7o7:`;9yk4>=3;0(l?7:`35?!g613k::6`=9582?!?4n390(l==:9g1?!>c932n;6F66`9'5f5=1;k0(9om:29'0de=;2.28=4<;%65f?1<,="3>l0<7):9f;58 1172>1/8:?57:&737<03->2=#<>?1;6*;7784?!20?3=0(997:69'02?=?2.?;l48;%64f?1<,==h6:5+46f93>"3?l0<7):8f;58 1>72>1/85?57:&7<7<03->3?794$5:7>2=#<1?1;6*;8784?!2??3=0(967:69'0=?=?2.?4l48;%6;f?1<,=2h6:5+49f93>"30l0<7):7f;58 1?72>1/84?57:&7=7<03->2?794$5;7>2=#<0?1;6*;9784?!2>?3=0(977:69'0"31l0<7):6f;58 1g72>1/8l?57:&7e7<03->j?794$5c7>2=#5>k0;76g67g83>>o>090;66g>9983>!>dl3;2;6`7cb83?!14i3;3?6`8388g?>o61?0;6)6ld;3:3>h?kj0:7)9h0;00h76g>9483>!>dl3;2;6`7cb81?!14i3;3?6`8388a?>o61:0;6)6ld;3:3>h?kj087)9h0;00j76g>a383>!>dl3;2;6`7cb87?!14i3;3?6`8388:?>o6i80;6)6ld;3:3>h?kj0>7)9h0;00376g>9g83>!>dl3;2;6`7cb85?!14i3;3?6`83884?>o61l0;6)6ld;3:3>h?kj0<7)9h0;00=76g>9e83>!>dl3;2;6`7cb8;?!14i3;3?6`83886?>o61j0;6)6ld;3:3>h?kj027)9h0;00?76g>9c83>!>dl3;2;6`7cb8b?!14i3;3?6`83880?>o61h0;6)6ld;3:3>h?kj0i7)9h0;00976g>9883>!>dl3;2;6`7cb8`?!14i3;3?6`83882?>o61;0;6)6ld;3:3>h?kj0o7)9h0;00;76g>b983>!>dl3;i;6`7cb83?!14i3;j?6`8388g?>o6j?0;6)6ld;3a3>h?kj0:7)9h0;00h76g>b483>!>dl3;i;6`7cb81?!14i3;j?6`8388a?>o6j:0;6)6ld;3a3>h?kj087)9h0;00j76g>c383>!>dl3;i;6`7cb87?!14i3;j?6`8388:?>o6k80;6)6ld;3a3>h?kj0>7)9h0;00376g>bg83>!>dl3;i;6`7cb85?!14i3;j?6`83884?>o6jl0;6)6ld;3a3>h?kj0<7)9h0;00=76g>be83>!>dl3;i;6`7cb8;?!14i3;j?6`83886?>o6jj0;6)6ld;3a3>h?kj027)9h0;00?76g>bc83>!>dl3;i;6`7cb8b?!14i3;j?6`83880?>o6jh0;6)6ld;3a3>h?kj0i7)9h0;00976g>b883>!>dl3;i;6`7cb8`?!14i3;j?6`83882?>o6j;0;6)6ld;3a3>h?kj0o7)9h0;00;76g=c683>!>dl38h:6`7cb83?!14i38j96`83883?>o48k0;6)6ld;13e>h?kj0;7)9h0;00;76a<7383>!>dl39<=6`7cb83?!14i39><6`83883?>i4j?0;6)6ld;1a1>h?kj0;7)9h0;00;76sm225b>4<483:14;54:&b5=h53:&b77jo7=4$863>6=#"3?=0<7):85;58 1112>1/8:957:&73=<03-><5794$55b>2=#<>h1;6*;7b84?!20l3=0(99j:69'02`=?2.?4=48;%6;5?1<,=296:5+49193>"30=0<7):75;58 1>12>1/85957:&7<=<03->35794$5:b>2=#<1h1;6*;8b84?!2?l3=0(96j:69'0=`=?2.?5=48;%6:5?1<,=396:5+48193>"31=0<7):65;58 1?12>1/84957:&7==<03->25794$5;b>2=#<0h1;6*;9b84?!2>l3=0(97j:69'0<`=?2.?m=48;%6b5?1<,=k96:5+4`193>"3i=0<7):n5;58 1g12>1/8l957:&7e=<03->j5794$5cb>2=#<7be12dj?94>c8a8 <5>2;9<56g=6b83>M>dm21b>;j50;J;g`==n<9?1<7`66c82?>o><:0;6c79b;28?l?3<3:1b48m:198m<1a2900e46?:188m4?2290/4nj51868j=ed291/;>o51918j25>2k10e<7<:18'0b5ml:09'36g=9190b:=6:`98m4g5290/4nj51868j=ed2;1/;>o51918j25>2010e:18'0b5ml:29'36g=9190b:=6:998m4?a290/4nj51868j=ed2=1/;>o51918j25>2>10e<7j:18'0b5ml:49'36g=9190b:=6:798m4?c290/4nj51868j=ed2?1/;>o51918j25>2<10e<7l:18'0b5ml:69'36g=9190b:=6:598m4?e290/4nj51868j=ed211/;>o51918j25>2:10e<7n:18'0b5ml:89'36g=9190b:=6:398m4?>290/4nj51868j=ed2h1/;>o51918j25>2810e<7=:18'0b5ml:c9'36g=9190b:=6:198m4d2290/4nj51c68j=ed291/;>o51`18j25>2k10e0b5ml:09'36g=9h90b:=6:`98m4e5290/4nj51c68j=ed2;1/;>o51`18j25>2010e:18'0b5ml:29'36g=9h90b:=6:998m4da290/4nj51c68j=ed2=1/;>o51`18j25>2>10e0b5ml:49'36g=9h90b:=6:798m4dc290/4nj51c68j=ed2?1/;>o51`18j25>2<10e0b5ml:69'36g=9h90b:=6:598m4de290/4nj51c68j=ed211/;>o51`18j25>2:10e0b5ml:89'36g=9h90b:=6:398m4d>290/4nj51c68j=ed2h1/;>o51`18j25>2810e0b5ml:c9'36g=9h90b:=6:198m7c5290/4nj52d38j=ed291/;>o52`78j25>2:10e?jk:18'o52`78j25>2810e?m8:18'o52d;8j25>2:10e><>:18'o52d;8j25>2810e>>m:18'c290/4nj539a8j=ed291/;>o53428j25>2:10c>67:18'o53428j25>2810c>9=:18'o53868j25>2:10c>ml:18'0b:=6:398k6e6290/4nj53e28j=ed2;1/;>o53868j25>2810c>l9:18'0b:=6:198yg44?k0:6>>50;2x <1521o?7Eo>d:J:31=]:<:1:v6l:9f9``2d9594;;%;0b?5<,h9965k;;%:g5?>b?2B2:l5+1b19=7g<,=ki6>5+4`a97>"><9087):9b;58 10d2>1/8;j57:&72`<03->=j794$553>2=#<>;1;6*;7384?!20;3=0(99;:69'023=?2.?;;48;%643?1<,==36:5+46;93>"3?h0<7):8b;58 11d2>1/8:j57:&73`<03->2=#<1;1;6*;8384?!2?;3=0(96;:69'0=3=?2.?4;48;%6;3?1<,=236:5+49;93>"30h0<7):7b;58 1>d2>1/85j57:&7<`<03->3j794$5;3>2=#<0;1;6*;9384?!2>;3=0(97;:69'0<3=?2.?5;48;%6:3?1<,=336:5+48;93>"31h0<7):6b;58 1?d2>1/84j57:&7=`<03->2j794$5c3>2=#"3ih0<7)::d;`5b>"3j109ho74n`17>4e>l2.2?44=36;8m70d290C4nk4;h05`?6=@1in76g;0583>k?1j3;07d:?5;29j<0e2810e4:<:18m=3d=821b59:50;l:2g<732c2;k4?::k:<5<722c:584?:%:``?7><2d3on4?;%50e?7?;2d<2d3on4=;%50e?7?;2d<2d3on4;;%50e?7?;2d<2d3on49;%50e?7?;2d<2d3on47;%50e?7?;2d3-=8m7?73:l47<<532c:544?:%:``?7><2d3on4n;%50e?7?;2d;:k2=7<72-2hh7?64:l;gf3-=8m7?n3:l47<<532c:n44?:%:``?7e<2d3on4n;%50e?7f;2d;:k2f7<72-2hh7?m4:l;gf;:k1g2<72-2hh7;4?:%:``?55=2d3on4?;%50e?4b12d;:k04g<72-2hh7==5:l;gf<43-=8m7;:m037<72-2hh7=7c:l;gf<43-=8m7=:0:l47<<732e8h<4?:%:``?5c82d3on4?;%50e?5><2d<2d;:m0f3<72-2hh7=k0:l;gf<43-=8m7=64:l47<<732wi?im9:08be?6=8r.2;?47e29Ke4b<@0=?7W<:0;cx56>=9:31o=4l1;g3>`7=k;0n87m<:d79y!?4n3<0(98=:69'035=?2.?:948;%651?1<,=<=6:5+47593>"3>10<7):99;58 10e2>1/8;m57:&72a<03->=i794$54e>2=#<>:1;6*;7084?!20:3=0(99<:69'022=?2.?;848;%642?1<,==<6:5+46:93>"3?00<7):8a;58 11e2>1/8:m57:&73a<03->2=#<1:1;6*;8084?!2?:3=0(96<:69'0=2=?2.?4848;%6;2?1<,=2<6:5+49:93>"3000<7):7a;58 1>e2>1/85m57:&73i794$5:e>2=#<0:1;6*;9084?!2>:3=0(97<:69'0<2=?2.?5848;%6:2?1<,=3<6:5+48:93>"3100<7):6a;58 1?e2>1/84m57:&7=a<03->2i794$5;e>2=#"3i00<7):na;58 1ge2?1/8lm56:&b5=<58d18 =b621o<7E79a:&2g6<>:h1/88j5b7d8 1d?2;ni56*62e82g`=ii:>1=n7j;%;0=?5ck<1b>;m50;J;g`=4;h;4b?6=3`33<7>5;h3b5?6=,1io69j6<6<;o50=?><3`;2j7>5$9ag>4g73g2ho7?4$61b>4>43g=85794;h3:a?6=,1io69j6<6<;o50=?0<3`;2h7>5$9ag>4g73g2ho7=4$61b>4>43g=857;4;h3:g?6=,1io69j6<6<;o50=?2<3`;2n7>5$9ag>4g73g2ho7;4$61b>4>43g=857=4;h3:e?6=,1io69j6<6<;o50=?4<3`;257>5$9ag>4g73g2ho794$61b>4>43g=857?4;h3:6?6=,1io6<,>9j6<6<;o50=?6<3`;h=7>5$9ag>4e73g2ho7>4$61b>4g43g=85764;h3ab?6=,1io69j65$9ag>4e73g2ho7<4$61b>4g43g=85784;h3a`?6=,1io69j65$9ag>4e73g2ho7:4$61b>4g43g=857:4;h3af?6=,1io69j65$9ag>4e73g2ho784$61b>4g43g=857<4;h3a=?6=,1io69j67>5$9ag>4e73g2ho764$61b>4g43g=857>4;h0f4?6=,1io6?ji;o:`g?6<,>9j6?o:;o50=?7a32c9hh4?:%:``?4cn2d3on4>;%50e?4f=2de:9j6ae=83.3oi4=dg9mdk3>0(:=n:3c6?k1413;i76g=d883>!>dl38oj6`7cb86?!14i38j96`83882e>=n:m21<7*7ce81`c=i0ji1:6*83`81e0=i?:31=454i3f4>5<#0jn1>ih4n9a`>2=#?:k1>l;4n61:>4><3`8o:7>5$9ag>7ba3g2ho764$61b>7g23g=857?8;:k1`0<72-2hh73-=8m721b>i:50;&;ga<5lo1e4nm5a:&47d<5i<1e;>751498m7b4290/4nj52ed8j=ed2k1/;>o52`78j25>28>07d7c9<9;30?>o5l90;6)6ld;0gb>h?kj0o7)9h0;00:>65f2bd94?"?km09hk5a8ba9a>"0;h09m85a72;954=926<>4;h0``?6=,1io6?ji;o:`g?773-=8m71:&47d<5i<1e;>75e:9j6fd=83.3oi4=dg9mo52`78j25>2m10e?mn:18'7c9<9;a8?l4d13:1(5mk:3fe?k>dk3;?7)9h0;00i76g=c983>!>dl38oj6`7cb821>"0;h09m85a72;9e>=n:l21<7*7ce81`c=i0ji1=;5+72c96d3926454i3g4>5<#0jn1>ih4n9a`>41<,>9j6?o:;o50=?><3`8n:7>5$9ag>7ba3g2ho7?7;%50e?4f=2d21b>h:50;&;ga<5lo1e4nm51`9'36g=:h?0b:=6:498m7c4290/4nj52ed8j=ed28h0(:=n:3c6?k1413>07do5lm0;6)6ld;0gb>h?kj0:h6*83`81e0=i?:31>65f2e094?"?km09hk5a8ba95`=#?:k1>l;4n61:>4=7g23g=857>4;h110?6=,1io6><<;o:`g?6<,>9j6?k6;o50=?7a32c8>?4?:%:``?55;2d3on4>;%50e?4b12de:9j776=83.3oi4<229m?i:18'dk3>0(:=n:3g:?k1413;i76g<1e83>!>dl399?6`7cb86?!14i38n56`83882e>=n;8i1<7*7ce8066=i0ji1:6*83`81a<=i?:31=454i23a>5<#0jn1??=4n9a`>2=#?:k1>h74n61:>4><3`9:m7>5$9ag>6443g2ho764$61b>7c>3g=857?8;:k05<<72-2hh7==3:l;gf<>3-=8m721b?<650;&;ga<4::1e4nm5a:&47d<5m01e;>751498m670290/4nj53318j=ed2k1/;>o52d;8j25>28>07d=>5;29 =ec2:887c6lc;a8 25f2;o27c9<9;30?>o49=0;6)6ld;117>h?kj0o7)9h0;00:>65f30194?"?km08>>5a8ba9a>"0;h09i45a72;954=926<>4;h125?6=,1io6><<;o:`g?773-=8m71:&47d<5m01e;>75e:9j75`=83.3oi4<229mo52d;8j25>2m10e>>j:18'dk3;?7)9h0;00i76g<0b83>!>dl399?6`7cb821>"0;h09i45a72;9e>=n;;i1<7*7ce8066=i0ji1=;5+72c96`?926454i20a>5<#0jn1??=4n9a`>41<,>9j6?k6;o50=?><3`99m7>5$9ag>6443g2ho7?7;%50e?4b12d21b??650;&;ga<4::1e4nm51`9'36g=:l30b:=6:498m640290/4nj53318j=ed28h0(:=n:3g:?k1413>07d==6;29 =ec2:887c6lc;3`?!14i38n56`83880?>o4:80;6)6ld;117>h?kj0:h6*83`81a<=i?:31>65f30494?"?km08>>5a8ba95`=#?:k1>h74n61:>4=7c>3g=857>4;h10=?6=,1io6>=7;o:`g?6<,>9j6>=?;o50=?5<3`98;7>5$9ag>65?3g2ho7?4$61b>6573g=857<4;h102?6=,1io6>=7;o:`g?4<,>9j6>=?;o50=?7<3`9897>5$9ag>65?3g2ho7=4$61b>6573g=857>4;h177?6=,1io6>:=;o:`g?6<,>9j6>=n;o50=?5<3`9?=7>5$9ag>6253g2ho7?4$61b>65f3g=857<4;h174?6=,1io6>:=;o:`g?4<,>9j6>=n;o50=?7<3`98j7>5$9ag>6253g2ho7=4$61b>65f3g=857>4;n1;f?6=,1io6>6n;o:`g?6<,>9j6>;?;o50=?7a32e8444?:%:``?5?i2d3on4>;%50e?5282de:9l7=1=83.3oi4<8`9m69:18'dk3>0(:=n:273?k1413;i76a<8583>!>dl393m6`7cb86?!14i39><6`83882e>=h;191<7*7ce805<#0jn1?5o4n9a`>2=#?:k1?8>4n61:>4><3f93=7>5$9ag>6>f3g2ho764$61b>6373g=857?8;:m0<5<72-2hh7=7a:l;gf<>3-=8m7=:0:l47<<6>21d?:h50;&;ga<40h1e4nm5a:&47d<4=91e;>751498k61b290/4nj539c8j=ed2k1/;>o53428j25>28>07b=8c;29 =ec2:2j7c6lc;a8 25f2:?;7c9<9;30?>i4?k0;6)6ld;1;e>h?kj0o7)9h0;00:>65`36c94?"?km084l5a8ba9a>"0;h089=5a72;954=926<>4;n146n;o:`g?773-=8m7=:0:l47<1:&47d<4=91e;>75e:9l720=83.3oi4<8`9mo53428j25>2m10c>9::18'dk3;?7)9h0;00i76a<7283>!>dl393m6`7cb821>"0;h089=5a72;9e>=h;091<7*7ce80926454o2;1>5<#0jn1?5o4n9a`>41<,>9j6>;?;o50=?><3f92=7>5$9ag>6>f3g2ho7?7;%50e?5282d21d?5h50;&;ga<40h1e4nm51`9'36g=;<:0b:=6:498k6>b290/4nj539c8j=ed28h0(:=n:273?k1413>07b=7d;29 =ec2:2j7c6lc;3`?!14i39><6`83880?>i4010;6)6ld;1;e>h?kj0:h6*83`8015=i?:31>65`36f94?"?km084l5a8ba95`=#?:k1?8>4n61:>4=6373g=857>4;n1f1?6=,1io6>k;;o:`g?6<,>9j6>jl;o50=?5<3f9n?7>5$9ag>6c33g2ho7?4$61b>6bd3g=857<4;n1f6?6=,1io6>k;;o:`g?4<,>9j6>jl;o50=?7<3f9n=7>5$9ag>6c33g2ho7=4$61b>6bd3g=857>4;n1`b?6=,1io6>mj;o:`g?6<,>9j6>7;;o50=?7a32e8oi4?:%:``?5dm2d3on4>;%50e?5><2de:9l7fd=83.3oi4mn:18'0b:=6:0a8?j5d13:1(5mk:2af?k>dk3>0(:=n:2;7?k1413;i76a!>dl39hi6`7cb86?!14i39286`83882e>=h;j=1<7*7ce80g`=i0ji1:6*83`80=1=i?:31=454o2a5>5<#0jn1?nk4n9a`>2=#?:k1?4:4n61:>4><3f9h97>5$9ag>6eb3g2ho764$61b>6?33g=857?8;:m0g1<72-2hh7=le:l;gf<>3-=8m7=64:l47<<6>21d?n=50;&;ga<4kl1e4nm5a:&47d<41=1e;>751498k6e5290/4nj53bg8j=ed2k1/;>o53868j25>28>07b=l0;29 =ec2:in7c6lc;a8 25f2:3?7c9<9;30?>i4jo0;6)6ld;1`a>h?kj0o7)9h0;00:>65`3cg94?"?km08oh5a8ba9a>"0;h08595a72;954=926<>4;n1ag?6=,1io6>mj;o:`g?773-=8m7=64:l47<1:&47d<41=1e;>75e:9l7gg=83.3oi4o53868j25>2m10c>l6:18'dk3;?7)9h0;00i76a!>dl39hi6`7cb821>"0;h08595a72;9e>=h;m=1<7*7ce80g`=i0ji1=;5+72c97<2926454o2f5>5<#0jn1?nk4n9a`>41<,>9j6>7;;o50=?><3f9o97>5$9ag>6eb3g2ho7?7;%50e?5><2d21d?i=50;&;ga<4kl1e4nm51`9'36g=;0>0b:=6:498k6b5290/4nj53bg8j=ed28h0(:=n:2;7?k1413>07b=k1;29 =ec2:in7c6lc;3`?!14i39286`83880?>i4kj0;6)6ld;1`a>h?kj0:h6*83`80=1=i?:31>65`3b394?"?km08oh5a8ba95`=#?:k1?4:4n61:>4=6?33g=857>4;n1fb?6=,1io6>kj;o:`g?6<,>9j6>k9;o50=?5<3f9nh7>5$9ag>6cb3g2ho7?4$61b>6c13g=857<4;n1fg?6=,1io6>kj;o:`g?4<,>9j6>k9;o50=?7<3f9nn7>5$9ag>6cb3g2ho7=4$61b>6c13g=857>4;|`1070=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=n5aa2695f?a3-3857?>6e9j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg43:>0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>5:lb71<6kh:0(4=6:035`>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;>947?54;294~">?;0?h;5Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:0ab5>">;00:=;j4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg43:;0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7:>c:lb71<6kh80(4=6:035`>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj;>9?7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:536?kg4<3;hm>5+92;9540c3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>9<;:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695fg33-3857?>6e9j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;>957?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:53`?kg4<3;hm85+92;9540c3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>95<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90430bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=43`95?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<6kh=0(4=6:035`>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi?lk6:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c904e0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl:51bc:?!?4139ji;5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1bag<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;hml5+92;97dc13`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pl=3bg95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<482dj?94>c``8 <5>2;8=>6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb31`b?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b77"3j109ho74$54b>1533gk887?lae9'=6?=i=n0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plm0382>0<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?253gk887?lad9'=6?=i=n0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307plm0282>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7dio1/5>75a5f8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;61a>hf;=0:oo>4$81:>4e492c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?>;5;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4ee92.2?44>c238m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;db?kg4<3;hn?5+92;95dd53`>>97>5$`11>4e33gk8<7>4;h662?6=,h9965$`11>=3>32wi=lln:080>5<7s-3<>7:l1:Jb5a=O1>>0(l==:9gf?!2e038on45+47c9bd=ii:>1=nl<;%;0=?7fj;1b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7dj=1/5>751``1?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:5<;51;194?6|,0=969m>;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8ee>hf;=0:oo;4$81:>4?7n2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn<7>7;397?6=8r.2;?4;c09Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>cg0bl=?:198m131290/m><51b68jd572810c:=i:18'e64=0<307pl>90;95?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<6kk=0(4=6:0;3b>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>ojn:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90150bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=bea95?2=83:p(49=:5a7?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<38k1em>:51b`:?!?4138i>h5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3`fd=#1:31>o7:90:9j=70=83.j??462998k25a290/m><584;8?xd5kl<1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4=e:lb71<6kkh0(4=6:3a`1>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj;in;7?54;294~">?;0?h;5Ga0f8L<133-k8>7:k4:&7f=<5lk30bl=;:0aag>">;009on;4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898yg441j0:684?:1y'=24="f;;03ih5+4c:96ad>3->=m7;>;oc00?7djm1/5>752341?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e::3o6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51b`f?!?41389:?5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4gd?3;197>50z&:37<3k>1Cm751``1?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e9hi36<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51ba3?!?413;jn?5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f4?5<3;197>50z&:37<3k>1Cm75182e?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e908>6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51ba1?!?413;25<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f64>03;197>50z&:37<3k>1Cm752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;;326<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:814874;|`061<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:on;4$81:>7c702c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b91em>:51ba5?!?413k?h6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbc3f>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32winN>?=1/m><58dg8 1d?2;ni56*;6`8272=ii:>1=nm7;%;0=?g3l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vno?m:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695fe>3-3857o;d:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{ej8=1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>369me62=9jij7)7<9;c7`>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjk;36<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51baa?!?413k?h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:af42=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?:5aa2695fed3-3857o;d:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fg72280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=nmk;%;0=?g3l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b91em>:51baf?!?413k?h6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbc31>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32win=k51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8272=ii:>1=nj?;%;0=?g3l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vno>i:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695fb63-3857o;d:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{ej9h1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>369me62=9jn97)7<9;c7`>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjk:h6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51bf0?!?413k?h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:af5>=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?:5aa2695fb33-3857o;d:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fg6>280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=nj:;%;0=?g3l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b91em>:51bf5?!?413k?h6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rbc25>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wimkj51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8272=ii:>1=nj7;%;0=?g3l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnlhj:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695fb>3-3857o;d:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{eiok1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>369me62=9jnj7)7<9;c7`>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjhli6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51bfa?!?413k?h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:aec1=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0:?:5aa2695fbd3-3857o;d:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~fd`?280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=njk;%;0=?g3l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b91em>:51bff?!?413k?h6g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb`d6>4<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i47o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wimk?51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8272=ii:>1=nk?;%;0=?g3l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vnlh=:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695fc63-3857o;d:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{eilo1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>369me62=9jo97)7<9;c7`>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zjhom6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51bg0?!?413k?h6g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a5c?1280>6=4?{%;46?2d?2Bj=i5G9668 d5521on7):m8;0gf<=#4eb<2.2?44>c238m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd6n0=1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4>1b9me62=9jo>7)7<9;3`74=n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3`a3=#1:31=n=>;h661?6=,h9969;i;:k713<72-k8>7:90:9j=70=83.j??462998k25a290/m><584;8?xd4;>n1=7;50;2x <152=i<7Eo>d:J:31=#i:814hk4$5`;>7be12.?:l4;3`9me62=9jo<7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;35e>hf;=0:oh64$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>=8f;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4eb12.2?44=e1:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;0f?kg4<3;hil5+92;95f563`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>=o9:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695fce3-3857?l309j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;:j>7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:03b?kg4<3;hin5+92;95f563`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>=o<:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695fcc3-3857?l309j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;:j;7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:51:?kg4<3;hih5+92;95f563`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi>=o7:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c906?0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=0`;95?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<6ko:0(4=6:0a05>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi>=7::086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c95740bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl=08495?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6911em>:51bd1?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c03=2<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;hj>5+92;95f563`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pl=08`95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6>j1em>:51bd7?!?413;h?<5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c03=f<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;hj85+92;95f563`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307plcg48 <5>2:h:h6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2`a=?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?7482dj?94>cg:8 <5>2;ih96g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb3afe?7=;3:1bm2.?n54=dc;8 10f28;37co<4;3`b<=#1:31>nm:;h661?6=,h996>:7>5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a6fce280?6=4?{%;46?2c>2Bj=i5G9668 d552=n?7):m8;0gf<=ii:>1=nhn;%;0=?4dk<1b88;50;&b77<3=o10e9;9:18'e64=!g4:32>565rb2`;a?7==3:1bm2.?n54=dc;8 10f2<;0bl=;:0aef>">;008n4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0f=`=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:okm4$81:>6d6l2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`071<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=;5aa2695f`b3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7dno1/5>752d2;?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th89n651;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`877d=ii:>1=i>?;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?2682dj?94>d138 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb27`e?7=<3:16*63881a5>6=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?e33gk887?k029'=6?=9hh97d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn<7=c;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>f20bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>93g95?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<6l9<0(4=6:0;3b>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi?>ol:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c90640bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<3`f95?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<482dj?94>d1:8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb21ba?7=<3:16=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b770<729q/5:<54b58Ld7c3A3<86*n338;a`=#il6;%65e?573gk887?k0`9'=6?=:3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn>mkf;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>660bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307plo3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi=h;j:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c953e0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl>e4d95?2=83:p(49=:5f5?Mg6l2B2;95+a2090a2<,=h36?jm9:lb71<6l9l0(4=6:0a05>o3=<0;6)o<2;66b>=n<<<1<7*n338725=<3f=8j7>5$`11>=3>32wi=<;8:086>5<7s-3<>7:l7:Jb5a=O1>>0(l==:9gf?!2e038on45+47c93a=ii:>1=i??;%;0=?d082c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74$54b>171:&:7<>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b775882>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c9;1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:h<=4$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a545b280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4b6<2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b773g82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c9<1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:h<84$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a5422280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4b6?2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b774782>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c911/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:583>5}#1>818n:4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1eg>hf;=0:h<74$81:>g173`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a542b280?6=4?{%;46?2d<2Bj=i5G9668 d5521on7):m8;0gf<=#4b6i2.2?44m719j003=83.j??4>c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b774g82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c9k1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;60b>hf;=0:h6gb>2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>ml1;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>1713gk887?k1e9'=6?=;ho=7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;ji96<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51e3f?!?4139ji;5f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f6ee?3;197>50z&:37<3k>1Cm753`g5?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;jh36<4;:183!?0:3>h86Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`0gg?=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:h??4$81:>6gb>2c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;008mh84i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0gd`=93>1<7>t$851>1e33Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0?=;5aa2695a443-3857=ne79j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c:=1/5>753`g5?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:i8651;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`827a=ii:>1=i<:;%;0=?7d;81b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c:?1/5>751b12?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th949j51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875>hf;=0:h?94$81:>73ck2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?6;e;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4b502.2?44=5ea8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;d`?kg4<3;o>45+92;97dc13`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?n7k:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695a4f3-3857=ne79j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj:i3j7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:ga8jd5328n9n6*63880e`06=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8o4>51;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582`7e<,0926>oj6:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e9oli6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th:jkm51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8;4>hf;=0:h?k4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74n`17>4b5n2.2?44>c238m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;061>hf;=0:h>>4$81:>4e492c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74n`17>4b492.2?44>c238m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;72?kg4<3;o??5+92;96`6?3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695a543-3857<54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj::h57?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:538jd5328n886*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8N>?=1/m><54e68 1d?2;ni56`n3582`63<,0926?k?8:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e;03i6<4::183!?0:3>h;6Fn1e9K=22<,h9965kj;%6a6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th854m51;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582`61<,0926?k?8:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e;8k36<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;5:?kg4<3;o?45+92;96`6?3`>>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695a5f3-3857<54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;h;87?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:538jd5328n8n6*63881ea>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th9n=;51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`865>hf;=0:h>m4$81:>7gc02c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?l?6;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4b4l2.2?44=ae:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;1ea>hf;=0:h>k4$81:>6gb>2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>mn6;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4b4n2.2?44<544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<==7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:516?kg4<3;o8=5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thn9?4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3g04=#1:31n:>4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898ygc3<3;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdb<<0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?k429'=6?=j>:0e9;::18'e64=<!g4:339465`72d94?"f;;039454}cg03?7==3:1bm2.?n54=dc;8 10f2=9>7co<4;3g01=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`f7=<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;o885+92;9f266=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b77"3j109ho74$54b>1523gk887?k479'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plj2c82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c<>1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:516?kg4<3;o855+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thn=h4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3g0<=#1:31n:>4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898ygc683;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdb980:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?k4c9'=6?=j>:0e9;::18'e64=<!g4:339465`72d94?"f;;039454}cg37?7==3:1bm2.?n54=dc;8 10f2=9>7co<4;3g0f=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`f41<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;o8i5+92;9f266=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b77"3j109ho74$54b>1523gk887?k4d9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plkf682>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:516?kg4<3;o9=5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thoil4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3g14=#1:31n:>4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898ygbck3;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdclm0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?k529'=6?=j>:0e9;::18'e64=<!g4:339465`72d94?"f;;039454}cf`b?7==3:1bm2.?n54=dc;8 10f2=9>7co<4;3g11=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`g`5<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;o985+92;9f266=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b77"3j109ho74$54b>1523gk887?k579'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plkc282>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c=>1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:516?kg4<3;o955+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thon;4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3g1<=#1:31n:>4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898ygbf03;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdci00:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?k5c9'=6?=j>:0e9;::18'e64=<!g4:339465`72d94?"f;;039454}cf:e?7==3:1bm2.?n54=dc;8 10f2=9>7co<4;3g1f=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`g=g<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;o9i5+92;9f266=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b77"3j109ho74$54b>1523gk887?k5d9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plk8g82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c=o1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:516?kg4<3;o:=5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3tho4?4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3g24=#1:31n:>4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898ygb0<3;197>50z&:37<3k>1Cm;1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdc?<0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?k629'=6?=j>:0e9;::18'e64=<!g4:339465`72d94?"f;;039454}cf53?7==3:1bm2.?n54=dc;8 10f2=9>7co<4;3g21=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`g2=<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;o:85+92;9f266=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b77"3j109ho74$54b>1523gk887?k679'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plk5`82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c>>1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:516?kg4<3;o:55+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3tho8h4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3g2<=#1:31n:>4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898ygb383;197>50z&:37<3k>1Cmh1/5>75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdc<80:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?k6c9'=6?=j>:0e9;::18'e64=<!g4:339465`72d94?"f;;039454}cf07?7==3:1bm2.?n54=dc;8 10f2=9>7co<4;3g2f=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`g71<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;o:i5+92;9f266=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b77"3j109ho74$54b>1523gk887?k6d9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307plk2682>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c>o1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:516?kg4<3;o;=5+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3tho=44>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3g34=#1:31n:>4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898ygb7k3;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xdc8m0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?k729'=6?=j>:0e9;::18'e64=<!g4:339465`72d94?"f;;039454}caeb?7==3:1bm2.?n54=dc;8 10f2=9>7co<4;3g31=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`g45<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;o;85+92;9f266=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b77"3j109ho74$54b>1523gk887?k779'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pllf282>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c?>1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:516?kg4<3;o;55+92;9f266=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3thhi;4>:583>5}#1>818i84H`3g?M?0<2.j??4;d59'0g>=:mh27co<4;3g3<=#1:31n:>4i576>5<#i:8188h4;h662?6=,h99698?;:k:63<72-k8>77=8:9l36`=83.j??475898ygec03;197>50z&:37<3k>1Cm75b628m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xddl00:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?k7c9'=6?=j>:0e9;::18'e64=<!g4:339465`72d94?"f;;039454}ca`f?7==3:1bm2.?n54=dc;8 10f2=9>7co<4;3g3f=#1:31n:>4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|``gf<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;o;i5+92;9f266=4+a20900`<3`>>:7>5$`11>10732c2>;4?:%c06??5021d;>h50;&b77"3j109ho74$54b>1523gk887?k7d9'=6?=j>:0e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pllbd82>1<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c?o1/5>75b628m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:h5>4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>=n1;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4b?92.2?44=e1:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;675>hf;=0:h5<4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>=7c;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4b?;2.2?44=e1:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;3`=>hf;=0:h5:4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>?n2;390?6=8r.2;?4;d79Ke4b<@0=?7)o<2;6g0>"3j109ho74n`17>4b?=2.2?44=e1:8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;35`>hf;=0:h584$81:>4ge:2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn"3j109ho74n`17>4b??2.2?44>ac08m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;35`>hf;=0:h564$81:>4?7n2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn<7"3j109ho74n`17>4b?12.2?44>91d8m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;37g>hf;=0:h5o4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>>6f;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>42f3gk887?k8c9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;9k;6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51e:`?!?4138n<55f44794?"f;;0?9k54i575>5<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f7e5i3;197>50z&:37<3k>1Cm752c0f?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e:j8i6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th9o?m51;694?6|,0=969j9;Ic2`>N>?=1/m><54e68 1d?2;ni56`n3582`=`<,0926?l=e:k710<72-k8>7::f:9j000=83.j??4;6198m<41290/m><593:8?j14n3:1(l==:97:?>{e;:h:6<4<:183!?0:3>h=6Fn1e9K=22<,h9965kj;%6a6=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8?o<51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`8777=ii:>1=i7>;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c1;1/5>752d2;?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th:n>=51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`875>hf;=0:h4=4$81:>4ge:2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn"3j109ho74n`17>4b><2.2?44>ac08m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:483>5}#1>818n94H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;62?kg4<3;o585+92;95<6a3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi=47>:087>5<7s-3<>7:k6:Jb5a=O1>>0(l==:5f7?!2e038on45aa2695a?13-3857?60g9j003=83.j??4;5g98m131290/m><54728?l?5>3:1(l==:80;?>i0;o0;6)o<2;:6=>=zj;8>j7?55;294~">?;0?o:5Ga0f8L<133-k8>76je:&7f=<5lk30(98n:438jd5328n2;6*63882g676<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th9>;>51;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`825d=ii:>1=i77;%;0=?7d;81b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b771<729q/5:<54e48Ld7c3A3<86*n3387`1=#il6;oc00?7c101/5>751b12?l22=3:1(l==:57e?>o3=?0;6)o<2;654>=n1;<1<7*n338:6==9m6=4+a209<0?<3th9>8951;794?6|,0=969m8;Ic2`>N>?=1/m><58dg8 1d?2;ni56*;6`865>hf;=0:h4o4$81:>4e492c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn?<:8;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>47f3gk887?k9c9'=6?=9j9:7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<3290;w)782;6g2>Nf9m1C5::4$`11>1b33->i475$`11><4?32e2121vn?<:0;391?6=8r.2;?4;c69Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>070bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=24395?3=83:p(49=:5a4?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<69h1em>:51e;f?!?413;h?<5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c0117<62=0;6=u+96090a0<@h;o7E784:&b77<3l=1/8o652e`:?kg4<3;o5k5+92;95f563`>>97>5$`11>13a32c?9;4?:%c06?21821b5?850;&b77<>:110c:=i:18'e64=0<307pl>acg95?5=83:p(49=:5a2?Mg6l2B2;95+a209<`c<,=h36?jm9:&72d<6911em>:51ec3?!?413;jn?5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c3bfc<62=0;6=u+96090f2<@h;o7E784:&b77:6`n3582`d7<,09267?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532e2121vn"3j109ho74n`17>4bf:2.2?44>ac08m132290/m><544d8?l22>3:1(l==:543?>o>:?0;6)o<2;;1<>=h?:l1<7*n338;1<=:283>5}#1>818n?4H`3g?M?0<2.j??47ed9'0g>=:mh27):9a;32<>hf;=0:hl=4$81:>4?7n2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn<7>c;390?6=8r.2;?4;c59Ke4b<@0=?7)o<2;:fa>"3j109ho74$54b>7313gk887?ka59'=6?=90:m7d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e90;o6<4;:183!?0:3>o:6Fn1e9K=22<,h9969j;;%6a:51ec6?!?413;25<#i:818;>4;h;12?6=,h9964<7;:m47c<72-k8>76:9:9~f644;3;187>50z&:37<3k=1Cm752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0663=93>1<7>t$851>1b13Ak:h6F6759'e64=0(9l7:3fa=>hf;=0:hl64$81:>7c702c?984?:%c06?22n21b88850;&b77<3>910e4<9:18'e64=1;207b94<2290;w)782;6`3>Nf9m1C5::4$`11>=cb3->i47">;009n?k4i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`1f`4=93?1<7>t$851>1e03Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0??;5aa2695agf3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg4em:0:694?:1y'=24="f;;0?h95+4c:96ad>3gk887?kac9'=6?=:k8n7d::5;29 d552=?m76g;5783>!g4:3>=<65f93494?"f;;02>554o61e>5<#i:814874;|`05ce=9391<7>t$851>1e63Ak:h6F6759'e64=0lo0(9l7:3fa=>"3>h0jh6`n3582`de<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:m47c<72-k8>76:9:9~f67al3;197>50z&:37<3k>1Cm>4n`17>4bfl2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd49oo1=7:50;2x <152=n=7Eo>d:J:31=#i:818i:4$5`;>7be12dj?94>d`g8 <5>2;o;46g;5483>!g4:3>>j65f44494?"f;;0?:=54i805>5<#i:815?64;n50b?6=,h9965;6;:a71e628026=4?{Ic2`>N>?=1/8;o55:&:37<>;j1/m><592a8 =b62=>>7E79a:J;aa=#il6;oc00?7cio1/5>7532ag?l74n3:17d?;1;29?l73i3:17d?;b;29?l42n3:1b48m:098m702290C4nk4;h0;`?6=3f2o57>5;n:ge?6=3th89;k51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>dc28 <5>2:9hh6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg53j<0:644?:1yKe4b<@0=?7):9a;78 <15209h7)o<2;;0g>"?l80?885G97c8L=cc3->i47o5><0;6E6le:9j6=b=831d4i750;9l;92;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:0fa6>">;008?nj4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;=h=6<46:183Mg6l2B2;95+47c91>">?;02?n5+a209=6e<,1n:69::;I;5e>N?mm1/8o652e`:?kg4<3;on>5+92;976ec3`;8j7>5;h375?6=3`;?m7>5;h37f?6=3`8>j7>5n84a>4=6=4G8bg8?l4?l3:17b6k9;29?j>ci3:17pl<57195?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n3582`g2<,0926>=ld:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:883>5}Oi8n0D49;;%65e?3<,0=964=l;%c06??4k2.3h<4;449K=3g<@1oo7):m8;0gf<=ii:>1=il:;%;0=?54km1b=>h50;9j517=831b=9o50;9j51d=831b>8h50;l:2g<632c9:84?:I:`a>=n:1n1<75`8e;94?=h0mk1<75rb274=?7=>3:1"3j109ho74n`17>4be>2.2?44<3bf8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?9mi:08:>5<7sAk:h6F6759'03g==2.2;?463b9'e64=1:i0(5j>:566?M?1i2B3ii5+4c:96ad>3gk887?kb69'=6?=;:io7d?5;n:g=?6=3f2om7>5;|`012e=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6lk20(4=6:21``>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b77<<729qCm:51e`:?!?41398oi5f12d94?=n9=;1<75f15c94?=n9=h1<75f24d94?h>>k0:76g=6483>M>dm21b>5j50;9l50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328nim6*638807fbj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<>290;wEo>d:J:31=#m4$9f2>1223A3=m6F7ee9'0g>=:mh27co<4;3gfg=#1:31?>mk;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;h06b?6=f05c13:17b6ka;29?xd4=>o1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:hom4$81:>65dl2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c17`7<6200;6=uGa0f8L<133->=m7;4$851><5d3-k8>77;:k120<72A2hi65f29f94?=h0m31<75`8ec94?=zj:??;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a71b428026=4?{Ic2`>N>?=1/8;o55:&:37<>;j1/m><592a8 =b62=>>7E79a:J;aa=#il6;oc00?7cjo1/5>7532ag?l74n3:17d?;1;29?l73i3:17d?;b;29?l42n3:1b48m:098m702290C4nk4;h0;`?6=3f2o57>5;n:ge?6=3th895>51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>db28 <5>2:9hh6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg53l=0:644?:1yKe4b<@0=?7):9a;78 <15209h7)o<2;;0g>"?l80?885G97c8L=cc3->i47o5><0;6E6le:9j6=b=831d4i750;9l;71;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:0f`6>">;008?nj4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;=h<6<46:183Mg6l2B2;95+47c91>">?;02?n5+a209=6e<,1n:69::;I;5e>N?mm1/8o652e`:?kg4<3;oo>5+92;976ec3`;8j7>5;h375?6=3`;?m7>5;h37f?6=3`8>j7>5n84a>4=6=4G8bg8?l4?l3:17b6k9;29?j>ci3:17pl<57695?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n3582`f2<,0926>=ld:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:883>5}Oi8n0D49;;%65e?3<,0=964=l;%c06??4k2.3h<4;449K=3g<@1oo7):m8;0gf<=ii:>1=im:;%;0=?54km1b=>h50;9j517=831b=9o50;9j51d=831b>8h50;l:2g<632c9:84?:I:`a>=n:1n1<75`8e;94?=h0mk1<75rb2751?7=>3:1"3j109ho74n`17>4bd>2.2?44<3bf8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?9l6:08:>5<7sAk:h6F6759'03g==2.2;?463b9'e64=1:i0(5j>:566?M?1i2B3ii5+4c:96ad>3gk887?kc69'=6?=;:io7d?5;n:g=?6=3f2om7>5;|`0130=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6lj20(4=6:21``>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b77<<729qCm:51ea:?!?41398oi5f12d94?=n9=;1<75f15c94?=n9=h1<75f24d94?h>>k0:76g=6483>M>dm21b>5j50;9l50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328nhm6*638807fbj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<>290;wEo>d:J:31=#m4$9f2>1223A3=m6F7ee9'0g>=:mh27co<4;3ggg=#1:31?>mk;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;h06b?6=f05c13:17b6ka;29?xd4=?21=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:hnm4$81:>65dl2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c17ff<6200;6=uGa0f8L<133->=m7;4$851><5d3-k8>77;:k120<72A2hi65f29f94?=h0m31<75`8ec94?=zj:?=57?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a71dc28026=4?{Ic2`>N>?=1/8;o55:&:37<>;j1/m><592a8 =b62=>>7E79a:J;aa=#il6;oc00?7cko1/5>7532ag?l74n3:17d?;1;29?l73i3:17d?;b;29?l42n3:1b48m:098m702290C4nk4;h0;`?6=3f2o57>5;n:ge?6=3th89;o51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>de28 <5>2:9hh6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg53jl0:644?:1yKe4b<@0=?7):9a;78 <15209h7)o<2;;0g>"?l80?885G97c8L=cc3->i47o5><0;6E6le:9j6=b=831d4i750;9l;9b;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:0fg6>">;008?nj4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;=hm6<46:183Mg6l2B2;95+47c91>">?;02?n5+a209=6e<,1n:69::;I;5e>N?mm1/8o652e`:?kg4<3;oh>5+92;976ec3`;8j7>5;h375?6=3`;?m7>5;h37f?6=3`8>j7>5n84a>4=6=4G8bg8?l4?l3:17b6k9;29?j>ci3:17pl<57a95?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n3582`a2<,0926>=ld:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:883>5}Oi8n0D49;;%65e?3<,0=964=l;%c06??4k2.3h<4;449K=3g<@1oo7):m8;0gf<=ii:>1=ij:;%;0=?54km1b=>h50;9j517=831b=9o50;9j51d=831b>8h50;l:2g<632c9:84?:I:`a>=n:1n1<75`8e;94?=h0mk1<75rb275`?7=>3:1"3j109ho74n`17>4bc>2.2?44<3bf8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?9m=:08:>5<7sAk:h6F6759'03g==2.2;?463b9'e64=1:i0(5j>:566?M?1i2B3ii5+4c:96ad>3gk887?kd69'=6?=;:io7d?5;n:g=?6=3f2om7>5;|`013`=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6lm20(4=6:21``>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b77<<729qCm:51ef:?!?41398oi5f12d94?=n9=;1<75f15c94?=n9=h1<75f24d94?h>>k0:76g=6483>M>dm21b>5j50;9l50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328nom6*638807fbj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<>290;wEo>d:J:31=#m4$9f2>1223A3=m6F7ee9'0g>=:mh27co<4;3g`g=#1:31?>mk;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;h06b?6=f05c13:17b6ka;29?xd4=>;1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:him4$81:>65dl2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c17g0<6200;6=uGa0f8L<133->=m7;4$851><5d3-k8>77;:k120<72A2hi65f29f94?=h0m31<75`8ec94?=zj:?<>7?56;294~">?;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a71e128026=4?{Ic2`>N>?=1/8;o55:&:37<>;j1/m><592a8 =b62=>>7E79a:J;aa=#il6;oc00?7clo1/5>7532ag?l74n3:17d?;1;29?l73i3:17d?;b;29?l42n3:1b48m:098m702290C4nk4;h0;`?6=3f2o57>5;n:ge?6=3th89:=51;494?6|,0=969<<;Ic2`>N>?=1/m><543d8 10f2:1C4hj4$5`;>7be12dj?94>dd28 <5>2:9hh6g=5383>!g4:38=965f24d94?"f;;099k54i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg53k>0:644?:1yKe4b<@0=?7):9a;78 <15209h7)o<2;;0g>"?l80?885G97c8L=cc3->i47o5><0;6E6le:9j6=b=831d4i750;9l;84;392?6=8r.2;?4;229Ke4b<@0=?7)o<2;61b>"3>h087E6jd:&7f=<5lk30bl=;:0ff6>">;008?nj4i371>5<#i:81>;;4;h06b?6=,h996?;i;:k17::e:9l<593;8?l40i3:1(l==:9ge?>{e;=i36<46:183Mg6l2B2;95+47c91>">?;02?n5+a209=6e<,1n:69::;I;5e>N?mm1/8o652e`:?kg4<3;oi>5+92;976ec3`;8j7>5;h375?6=3`;?m7>5;h37f?6=3`8>j7>5n84a>4=6=4G8bg8?l4?l3:17b6k9;29?j>ci3:17pl<56795?0=83:p(49=:500?Mg6l2B2;95+a20907`<,=5G8df8 1d?2;ni56`n3582``2<,0926>=ld:k117<72-k8>7<95:9j60`=83.j??4=5g98m7>c290/m><544g8?j>c13:1(l==:97:?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=:883>5}Oi8n0D49;;%65e?3<,0=964=l;%c06??4k2.3h<4;449K=3g<@1oo7):m8;0gf<=ii:>1=ik:;%;0=?54km1b=>h50;9j517=831b=9o50;9j51d=831b>8h50;l:2g<632c9:84?:I:`a>=n:1n1<75`8e;94?=h0mk1<75rb2742?7=>3:1"3j109ho74n`17>4bb>2.2?44<3bf8m735290/m><52778?l42n3:1(l==:37e?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11>=ca32wi?9mn:08:>5<7sAk:h6F6759'03g==2.2;?463b9'e64=1:i0(5j>:566?M?1i2B3ii5+4c:96ad>3gk887?ke69'=6?=;:io7d?5;n:g=?6=3f2om7>5;|`0121=93<1<7>t$851>1443Ak:h6F6759'e64=<;l0(98n:29K<`b<,=h36?jm9:lb71<6ll20(4=6:21``>o5=;0;6)o<2;051>=n:5$`11>=3>32c3h=4?:%c06??5121b>:o50;&b77<<729qCm:51eg:?!?41398oi5f12d94?=n9=;1<75f15c94?=n9=h1<75f24d94?h>>k0:76g=6483>M>dm21b>5j50;9l50z&:37<3::1Cm9j6*;6`80?M>bl2.?n54=dc;8jd5328nnm6*638807fbj7>5$`11>73a32c94i4?:%c06?22m21d4i750;&b774<>290;wEo>d:J:31=#m4$9f2>1223A3=m6F7ee9'0g>=:mh27co<4;3gag=#1:31?>mk;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;h06b?6=f05c13:17b6ka;29?xd4=>k1=7850;2x <152=887Eo>d:J:31=#i:818?h4$54b>6=O0ln0(9l7:3fa=>hf;=0:hhm4$81:>65dl2c99?4?:%c06?41=21b>8h50;&b77<5=o10e?6k:18'e64=<!g4:339565f26c94?"f;;03ik54}c17g`<6200;6=uGa0f8L<133->=m7;4$851><5d3-k8>77;:k120<72A2hi65f29f94?=h0m31<75`8ec94?=zj:??;0?>>5Ga0f8L<133-k8>7:=f:&72d<43A2nh6*;b981`g?!g4:3>>i65`8e;94?"f;;039454i9f3>5<#i:815?74;h04e?6=,h9965ki;:a5303290<6?47{%;46??4i2Bj=i5G9668 10f2<1C4hj4$5`;>7be12dj?94>ddd8 <5>28<=?6g>3g83>>o6<80;66g>4`83>>o6>o50m0;66a7d883>>d>;k0:644?:1y'=24=1:i0(l==:81`?!>c93>?96F66`9K<`b<,=h36?jm9:&72d<23gk887?kf19'=6?=9?<87d<7d;29?l42n3:1b48m:098m702290C4nk4;h37f?6=3`;?m7>5;h375?6=3`;8j7>5;n:g=?6=3f2om7>5;|`:30<6280;6=u+a209<01?90c5;n:18'e64=0<307p}=8e83>7}Y:1n014=m:3:g?xu5><0;6?uQ27789<5e2;<>7p}>4c83>7}Y9=h014=m:06a?xu6j7p}>4083>7}Y9=;014=m:062?xu6;o0;6?uQ12d89<5e289m7p}7d883>7}Y0m3014=m:9f:?xu5=o0;6?u292`960`<50=>65;n;|a5300280=6=4?{%;46?2592Bj=i5G9668 10f2:1/m><543d8L=cc3->i475$`11>=3>32c99k4?:%c06?42n21b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>64d94?1=:32p(49=:81b?Mg6l2B2;95+47c91>N?mm1/8o652e`:?kg4<3;oj>5+92;9533b3`;8j7>5;h375?6=3`;?m7>5;h37f?6=3`8=97>5;h0;`?6=3f2o57>5;c;0f?7=13:1m4$9f2>1223A3=m6F7ee9'0g>=:mh27):9a;78jd5328nm86*6388220c5:188m45a2900c5j6:188k=bf2900qo785;395?6=8r.j??47569me62=9ml>7)7<9;351`=h052z\15j4}r051?6=:rT9:85292`963352z\20g=:1:h1=9l4}r37e?6=:rT:8l5292`951g52z\204=:1:h1=9?4}r30b?6=:rT:?k5292`956`52z\;`<=:1:h14i74}r06b?6=:r72?o4=5g9>=23=067095?0=83:p(49=:502?Mg6l2B2;95+47c97>"f;;0?>k5G8df8 1d?2;ni56`n3582`c0<,0926<8:e:k117<72-k8>7<95:9j6=b=83.j??4;5d98k=b>290/m><584;8?l42n3:1(l==:37e?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac==}#1>815>o4H`3g?M?0<2.?:l4:;I:f`>"3j109ho74n`17>4ba?2.2?44>64;8m45a2900e<:>:188m42f2900e<:m:188m7022900e?6k:188k=b>2900n4=m:08:>5<7s-3<>77;j1/4i?54578L<0f3A2nh6*;b981`g?<,=8h50;l:2g<632c9:84?:I:`a>=n9=h1<75f15c94?=n9=;1<75f12d94?=h0m31<75`8ec94?=zj0=>6<4>:183!g4:32>;6`n3582`c?<,0926<8:9:m;1d<72-k8>76:9:9~w7>c2909wS<7d:?:7g<50m1v?8::181[41=272?o4=649~w42e2909wS?;b:?:7g<64`9~w4262909wS?;1:?:7g<6<81v<=i:181[74n272?o4>3g9~w=b>2909wS6k9:?:7gj636748;1d=zuk;=9i4>:783>5}#1>818??4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk887?kf`9'=6?=9??27d<:2;29 d552;<>76g=8e83>!g4:3>>i65`8e;94?"f;;039454i37e>5<#i:81>8h4;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f402=3:1;7<58z&:37<>;h1Cm:51eda?!?413;=995f12d94?=n9=;1<75f15c94?=n9=h1<75f27794?=n:1n1<75`8e;94?=e1:h1=7750;2x <15209h7)o<2;;0g>"?l80?885G97c8L=cc3->i47dga8 <5>28<>86g=8e83>>o5=o0;6c79b;38?l41=3:1D5mj;:k20g<722c:8l4?::k204<722c:?k4?::m;`<<722e3hl4?::a=23=93;1<7>t$`11>=303gk887?kfe9'=6?=9???7b6:a;29 d5521?276s|29f94?4|V;2o707{t:??1<7i707{t9=k1<7:707{t9:l1<7{t:73a343<976:a:~f40203;1:7>50z&:37<3:81Cmbl2.?n54=dc;8jd5328nmi6*638822025$`11>13b32e3h44?:%c06?>2121b>8h50;&b77<5=o10e5j?:18'e64=1;307d<8a;29 d5521om76sm1773>5<02;03w)782;;0e>Nf9m1C5::4$54b>0=O0ln0(9l7:3fa=>hf;=0:hkh4$81:>403n2c:?k4?::k204<722c:8l4?::k20g<722c9:84?::k15;h06b?6=f05ci3:17pl67482>4<729q/m><58458jd5328o;=6*6388221`;;4=81a>7023ty:8o4?:3y]51d<509i6<:m;|q20d<72;qU=9o4=81a>42f3ty:8<4?:3y]517<509i6<:>;|q27c<72;qU=>h4=81a>45a3ty3h44?:3y]l524d89<1221?j7psm1770>4<1290;w)782;615>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2695`653-3857?94g9j604=83.j??4=6498m7>c290/m><544g8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n0m:1<7*n338:6<=|,0=964=n;Ic2`>N>?=1/8;o55:J;aa=#il6;oc00?7b8:1/5>75176b?l74n3:17d?;1;29?l73i3:17d?;b;29?l41=3:17d<7d;29?j>c13:17o7:566?M?1i2B3ii5+4c:96ad>3->=m7;4n`17>4c7<2.2?44>65c8m7>c2900e?;i:18m=3d=921b>;;50;J;g`=i6=44i06b>5<:6=44i01e>5<5<vP=8e9>=6d=:1n0q~<95;296~X5><165>l52778yv73j3:1>vP>4c9>=6d=9=h0q~?;a;296~X6l515c8yv7393:1>vP>409>=6d=9=;0q~?l512d8yv>c13:1>vP7d89>=6d=0m30q~<:f;296~;>;k099k529679<0g;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?94>e148 <5>28!g4:38=965f29f94?"f;;0?9h54o9f:>5<#i:814874;h06b?6=,h996?;i;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg71"3>h0>7E6jd:&7f=<5lk30bl=;:0g33>">;00::9;4i01e>5<:6=44i06b>5<i6=44i346>5<5<bl2.?n54=dc;8 10f2<1em>:51d2;?!?413;=885f29f94?=n:o5><0;6E6le:9j51d=831b=9o50;9j517=831b=>h50;9l2?2dj?94>e1;8 <5>28!g4:32>565rs3:g>5<5sW83h6363c816=4={_051>;>;k09:85rs06a>5<5sW;?n6363c820g=z{8>j6=4={_37e>;>;k0:8l5rs062>5<5sW;?=6363c8204=z{89m6=4={_30b>;>;k0:?k5rs9f:>5<5sW2o56363c8;`<=z{;?m6=4={<;0f?42n272;8475`9~yg71<00:6;4?:1y'=24=<;;0Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<3;n>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb0475?6=?3814v*6738:7d=Oi8n0D49;;%65e?3<@1oo7):m8;0gf<=ii:>1=h>m;%;0=?71<91b=>h50;9j517=831b=9o50;9j51d=831b>;;50;9j6=b=831d4i750;9a=6d=9331<7>t$851><5d3-k8>77hf;=0:i=m4$81:>40382c94i4?::k11c<72g3=n7?4;h051?6=@1in76g>4c83>>o64083>>o6;o0;66a7d883>>i?lh0;66sm96795?7=83:p(l==:974?kg4<3;nm7>5$`11>=3>32wx>5j50;0xZ7>c3438n7<7d:p633=838pR?8:;<;0f?41=2wx=9l50;0xZ42e3438n7?;b:p51g=838pR<:n;<;0f?73i2wx=9?50;0xZ4263438n7?;1:p56`=838pR<=i;<;0f?74n2wx4i750;0xZ=b>3438n76k9:p60`=838p14=m:37e?8?0=32>m6srb0470?7=>3:1"3j109ho74n`17>4c7m2.2?44>6528m735290/m><52778?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n:5$`11>=ca32wi=;=?:184>777>0(98n:49K<`b<,=h36?jm9:lb71<6m9l0(4=6:041b>o6;o0;66g>4083>>o64c83>>o5><0;66g=8e83>>i?l00;66l63c82><<729q/5:<592a8 d55209h7)6k1;671>N>>h1C4hj4$5`;>7be12.?:l4:;oc00?7b991/5>75170e?l4?l3:17d<:f;29j<0e2810e?8::18K5;h37e?6=3`;?=7>5;h30b?6=3f2o57>5;n:ge?6=3th2;84>:083>5}#i:814894n`17>4c692.2?44>63d8k=3f290/m><584;8?xu50m0;6?uQ29f89<5e2;2o7p}=6483>7}Y:??014=m:346?xu6i7p}>4`83>7}Y9=k014=m:06b?xu6<80;6?uQ15389<5e28>:7p}>3g83>7}Y9:l014=m:01e?xu?l00;6?uQ8e;89<5e21n27p}=5g83>7}:1:h1>8h4=856>=3f3twi=;=<:085>5<7s-3<>7:=1:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:51d31?!?413;=>k5f24094?"f;;09:854i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j<58dd8?xd6>;h1<7952;:x <15209j7Eo>d:J:31=#=:mh27co<4;3f56=#1:31=;5;h37e?6=3`;?n7>5;h051?6=3`83h7>5;n:g=?6=3k38n7?59;294~">?;02?n5+a209=6e<,1n:69::;I;5e>N?mm1/8o652e`:?!21i3?0bl=;:0g20>">;00::?o4i3:g>5<=n:??1<7F7cd98m42e2900e<:n:188m4262900e<=i:188k=b>2900c5jn:188yg?0=3;1=7>50z&b771em>:51d36?!?413;=>l5`84c94?"f;;039454}r0;`?6=:rT94i5292`96=b52z\120=:1:h1>;;4}r37f?6=:rT:8o5292`951d52z\20d=:1:h1=9o4}r375?6=:rT:8<5292`951752z\27c=:1:h1=>h4}r:g=?6=:rT3h45292`9j7>52z?:7g<5=o165:;584c8yxd6>;o1=7850;2x <152=8:7Eo>d:J:31=#hf;=0:i<84$81:>405i2c99?4?:%c06?41=21b>5j50;&b77<3=l10c5j6:18'e64=0<307d<:f;29 d552;?m76g7d183>!g4:339565f26c94?"f;;03ik54}c3563<72>0965u+9609=6g<@h;o7E784:&72d<23A2nh6*;b981`g?7:&:7<<6>;?0e<=i:188m4262900e<:n:188m42e2900e?8::188m7>c2900c5j6:188f<5e28026=4?{%;46??4k2.j??463b9'"3j109ho74$54b>0=ii:>1=h?7;%;0=?71:<1b>5j50;9j60`=83d2:o4>;:k120<72A2hi65f15`94?=n9=k1<75f15394?=n9:l1<75`8e;94?=h0mk1<75rb856>4<6290;w)o<2;:63>hf;=0:i<74$81:>405=2e39l4?:%c06?>2121v?6k:181[4?l272?o4=8e9~w7022909wS<95:?:7g<5><1v<:m:181[73j272?o4>4c9~w42f2909wS?;a:?:7g<6:181[739272?o4>409~w45a2909wS?c1272?o47d89~w73a2909w07;>?<039l5r}c356<<62?0;6=u+9609077<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?7b9h1/5>751706?l42:3:1(l==:346?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11><4>32c9;l4?:%c06?>bn21vn<8=1;293?4=0r.2;?463`9Ke4b<@0=?7):9a;78L=cc3->i47o50m0;66g=5g83>k?1j3;07d<95;29L=eb32c:8o4?::k20d<722c:8<4?::k27c<722e3h44?::m;`d<722wi5:;51;394?6|,h9965;8;oc00?7b9m1/5>751703?j>2i3:1(l==:97:?>{t:1n1<7707{t9=h1<7j707{t9=;1<7{t0m31<72i2wvn<8=4;392?6=8r.2;?4;209Ke4b<@0=?7):9a;18 d552=8m7E6jd:&7f=<5lk30bl=;:0g2a>">;00::?>4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m=b7290/m><593;8?l40i3:1(l==:9ge?>{e9?;h6=48:38;!?0:338m6Fn1e9K=22<,=b:k27c<722c:8<4?::k20d<722c:8o4?::k120<722c94i4?::m;`<<722h2?o4>:883>5}#1>815>m4$`11><5d3-2o=7:;5:J:2d=O0ln0(9l7:3fa=>"3>h0>7co<4;3f65=#1:31=;?m;h0;`?6=3`8>j7>5n84a>4=6=4G8bg8?l73j3:17d?;a;29?l7393:17d?c13:17b6ka;29?xd>?<0:6<4?:1y'e64=0<=0bl=;:0g15>">;00::5<#i:814874;|q15j4=81a>7>c3ty9:84?:3y]633<509i6?8:;|q20g<72;qU=9l4=81a>42e3ty:8l4?:3y]51g<509i6<:n;|q204<72;qU=9?4=81a>4263ty:?k4?:3y]56`<509i6<=i;|q;`<<72;qU4i74=81a>=b>3ty99k4?:3y>=6d=:9=6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1=h<=;%;0=?719k1b>8<50;&b77<5><10e?6k:18'e64=<!g4:38>j65f8e294?"f;;02>454i35b>5<#i:814hh4;|`2241=83=1>76t$851><5f3Ak:h6F6759'03g==2B3ii5+4c:96ad>3gk887?j229'=6?=9?;=7d?50z&:37<>;j1/m><592a8 =b62=>>7E79a:J;aa=#il6;%65e?38<0e?6k:188m73a290e5;l51:9j633=83B3oh54i06a>5<j6=44i062>5<5<1=h<:;%;0=?719?1d48o50;&b77l529f8yv41=3:1>vP=649>=6d=:??0q~?;b;296~X6l515`8yv73i3:1>vP>4`9>=6d=9=k0q~?;1;296~X6<8165>l51538yv74n3:1>vP>3g9>=6d=9:l0q~6k9;296~X?l0165>l58e;8yv42n3:1>v363c811c=:1>?148o4}|`224g=93<1<7>t$851>1463Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<6m;<0(4=6:0422>o5=;0;6)o<2;051>=n:1n1<7*n33871`=j7>5$`11>73a32c3h=4?:%c06??5121b>:o50;&b772<521q/5:<592c8Ld7c3A3<86*;6`86?M>bl2.?n54=dc;8jd5328o9;6*638822475<j6=44i06a>5<6=44i3:g>5<4<>290;w)782;;0g>"f;;02?n5+8e39013<@0>k0:76g=6483>M>dm21b=9l50;9j51g=831b=9?50;9j56`=831d4i750;9l5<7s-k8>76:7:lb71<6m;30(4=6:0425>i?=h0;6)o<2;:6=>=z{;2o6=4={_0;`>;>;k094i5rs346>5<5sW8=96363c8120=z{8>i6=4={_37f>;>;k0:8o5rs06b>5<5sW;?m6363c820d=z{8>:6=4={_375>;>;k0:8<5rs01e>5<5sW;8j6363c827c=z{1n26=4={_:g=>;>;k03h45rs37e>5<5s438n7<:f:?:303<729q/5:<54338Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;3f6d=#1:31=;?>;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8<;h7>57;09<~">?;02?l5Ga0f8L<133->=m7;4H9gg?!2e038on45aa2695`4e3-3857?90b9j56`=831b=9?50;9j51g=831b=9l50;9j633=831b>5j50;9ll51;;94?6|,0=964=l;%c06??4k2.3h<4;449K=3g<@1oo7):m8;0gf<=#5H9af?>o64`83>>o6<80;66g>3g83>>i?l00;66a7d`83>>{e1>?1=7?50;2x d5521?<7co<4;3f6a=#1:31=;>l;n:6e?6=,h9965;6;:p6=b=838pR?6k;<;0f?4?l2wx>;;50;0xZ7023438n7<95:p51d=838pR<:m;<;0f?73j2wx=9o50;0xZ42f3438n7?;a:p517=838pR<:>;<;0f?7392wx=>h50;0xZ45a3438n7?c12wx>8h50;0x9<5e2;?m70785;:6e>{zj8<:<7?56;294~">?;0?><5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?9i0e?;=:18'e64=:??07d<7d;29 d552=?n76a7d883>!g4:32>565f24d94?"f;;099k54i9f3>5<#i:815?74;h04e?6=,h9965ki;:a50`?290<6?47{%;46??4i2Bj=i5G9668 10f2<1C4hj4$5`;>7be12dj?94>e3d8 <5>28?m;6g>3g83>>o6<80;66g>4`83>>o6>o50m0;66a7d883>>d>;k0:644?:1y'=24=1:i0(l==:81`?!>c93>?96F66`9K<`b<,=h36?jm9:&72d<23gk887?j319'=6?=95;h375?6=3`;8j7>5;n:g=?6=3f2om7>5;|`:30<6280;6=u+a209<017}Y:1n014=m:3:g?xu5><0;6?uQ27789<5e2;<>7p}>4c83>7}Y9=h014=m:06a?xu6j7p}>4083>7}Y9=;014=m:062?xu6;o0;6?uQ12d89<5e289m7p}7d883>7}Y0m3014=m:9f:?xu5=o0;6?u292`960`<50=>65;n;|a50`e280=6=4?{%;46?2592Bj=i5G9668 10f2:1/m><543d8L=cc3->i475$`11>=3>32c99k4?:%c06?42n21b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>5g194?1=:32p(49=:81b?Mg6l2B2;95+47c91>N?mm1/8o652e`:?kg4<3;n?>5+92;950`53`;8j7>5;h375?6=3`;?m7>5;h37f?6=3`8=97>5;h0;`?6=3f2o57>5;c;0f?7=13:1m4$9f2>1223A3=m6F7ee9'0g>=:mh27):9a;78jd5328o886*638821c45:188m45a2900c5j6:188k=bf2900qo785;395?6=8r.j??47569me62=9l9>7)7<9;36b7=h052z\15j4}r051?6=:rT9:85292`963352z\20g=:1:h1=9l4}r37e?6=:rT:8l5292`951g52z\204=:1:h1=9?4}r30b?6=:rT:?k5292`956`52z\;`<=:1:h14i74}r06b?6=:r72?o4=5g9>=23=05g495?0=83:p(49=:502?Mg6l2B2;95+47c97>"f;;0?>k5G8df8 1d?2;ni56`n3582a60<,0926<;i2:k117<72-k8>7<95:9j6=b=83.j??4;5d98k=b>290/m><584;8?l42n3:1(l==:37e?>o?l90;6)o<2;;1=>=n:>k1<7*n338;ac=ih4?:681>=}#1>815>o4H`3g?M?0<2.?:l4:;I:f`>"3j109ho74n`17>4c4?2.2?44>5df8m45a2900e<:>:188m42f2900e<:m:188m7022900e?6k:188k=b>2900n4=m:08:>5<7s-3<>77;j1/4i?54578L<0f3A2nh6*;b981`g?<,=8h50;l:2g<632c9:84?:I:`a>=n9=h1<75f15c94?=n9=;1<75f12d94?=h0m31<75`8ec94?=zj0=>6<4>:183!g4:32>;6`n3582a6?<,0926<;jd:m;1d<72-k8>76:9:9~w7>c2909wS<7d:?:7g<50m1v?8::181[41=272?o4=649~w42e2909wS?;b:?:7g<64`9~w4262909wS?;1:?:7g<6<81v<=i:181[74n272?o4>3g9~w=b>2909wS6k9:?:7gj636748;1d=zuk;>j<4>:783>5}#1>818??4H`3g?M?0<2.?:l4<;%c06?25n2B3ii5+4c:96ad>3gk887?j3`9'=6?=976g=8e83>!g4:3>>i65`8e;94?"f;;039454i37e>5<#i:81>8h4;h:g4?6=,h9964<6;:k13d<72-k8>76jf:9~f43b13:1;7<58z&:37<>;h1Cm:51d1a?!?413;>i55f12d94?=n9=;1<75f15c94?=n9=h1<75f27794?=n:1n1<75`8e;94?=e1:h1=7750;2x <15209h7)o<2;;0g>"?l80?885G97c8L=cc3->i47e2a8 <5>28?n46g=8e83>>o5=o0;6c79b;38?l41=3:1D5mj;:k20g<722c:8l4?::k204<722c:?k4?::m;`<<722e3hl4?::a=23=93;1<7>t$`11>=303gk887?j3e9'=6?=9{t:??1<7i707{t9=k1<7:707{t9:l1<7{t:73a343<976:a:~f43bk3;1:7>50z&:37<3:81Cmbl2.?n54=dc;8jd5328o8i6*638821`>5$`11>13b32e3h44?:%c06?>2121b>8h50;&b77<5=o10e5j?:18'e64=1;307d<8a;29 d5521om76sm14g7>5<02;03w)782;;0e>Nf9m1C5::4$54b>0=O0ln0(9l7:3fa=>hf;=0:i>h4$81:>43b;2c:?k4?::k204<722c:8l4?::k20g<722c9:84?::k15;h06b?6=f05ci3:17pl67482>4<729q/m><58458jd5328o?=6*638821`5;;4=81a>7023ty:8o4?:3y]51d<509i6<:m;|q20d<72;qU=9o4=81a>42f3ty:8<4?:3y]517<509i6<:>;|q27c<72;qU=>h4=81a>45a3ty3h44?:3y]l524d89<1221?j7psm14g4>4<1290;w)782;615>Nf9m1C5::4$54b>6=#i:818?h4H9gg?!2e038on45aa2695`253-3857?:e29j604=83.j??4=6498m7>c290/m><544g8?j>c13:1(l==:97:?>o5=o0;6)o<2;06b>=n0m:1<7*n338:6<=|,0=964=n;Ic2`>N>?=1/8;o55:J;aa=#il6;oc00?7b<:1/5>7514ff?l74n3:17d?;1;29?l73i3:17d?;b;29?l41=3:17d<7d;29?j>c13:17o7:566?M?1i2B3ii5+4c:96ad>3->=m7;4n`17>4c3<2.2?44>5eg8m7>c2900e?;i:18m=3d=921b>;;50;J;g`=i6=44i06b>5<:6=44i01e>5<5<vP=8e9>=6d=:1n0q~<95;296~X5><165>l52778yv73j3:1>vP>4c9>=6d=9=h0q~?;a;296~X6l515c8yv7393:1>vP>409>=6d=9=;0q~?l512d8yv>c13:1>vP7d89>=6d=0m30q~<:f;296~;>;k099k529679<0g;Ic2`>N>?=1/8;o53:&b77<3:o1C4hj4$5`;>7be12dj?94>e548 <5>28?oi6g=5383>!g4:38=965f29f94?"f;;0?9h54o9f:>5<#i:814874;h06b?6=,h996?;i;:k;`5<72-k8>77=9:9j62g=83.j??47eg98yg72lh0;6:4=:9y'=24=1:k0Dl?k;I;40>"3>h0>7E6jd:&7f=<5lk30bl=;:0g73>">;00:9i74i01e>5<:6=44i06b>5<i6=44i346>5<5<bl2.?n54=dc;8 10f2<1em>:51d6;?!?413;>h45f29f94?=n:o5><0;6E6le:9j51d=831b=9o50;9j517=831b=>h50;9l2?2dj?94>e5;8 <5>28?o56a75`83>!g4:32>565rs3:g>5<5sW83h6363c816=4={_051>;>;k09:85rs06a>5<5sW;?n6363c820g=z{8>j6=4={_37e>;>;k0:8l5rs062>5<5sW;?=6363c8204=z{89m6=4={_30b>;>;k0:?k5rs9f:>5<5sW2o56363c8;`<=z{;?m6=4={<;0f?42n272;8475`9~yg72lm0:6;4?:1y'=24=<;;0Dl?k;I;40>"3>h087)o<2;61b>N?mm1/8o652e`:?kg4<3;n8l5+92;950b>3`8>>7>5$`11>70232c94i4?:%c06?22m21d4i750;&b77!g4:32nj65rb07g1?6=?3814v*6738:7d=Oi8n0D49;;%65e?3<@1oo7):m8;0gf<=ii:>1=h:m;%;0=?72l=1b=>h50;9j517=831b=9o50;9j51d=831b>;;50;9j6=b=831d4i750;9a=6d=9331<7>t$851><5d3-k8>77hf;=0:i9m4$81:>43c<2c94i4?::k11c<72g3=n7?4;h051?6=@1in76g>4c83>>o64083>>o6;o0;66a7d883>>i?lh0;66sm96795?7=83:p(l==:974?kg4<3;n8i5+92;950b33f2>m7>5$`11>=3>32wx>5j50;0xZ7>c3438n7<7d:p633=838pR?8:;<;0f?41=2wx=9l50;0xZ42e3438n7?;b:p51g=838pR<:n;<;0f?73i2wx=9?50;0xZ4263438n7?;1:p56`=838pR<=i;<;0f?74n2wx4i750;0xZ=b>3438n76k9:p60`=838p14=m:37e?8?0=32>m6srb07g3:1"3j109ho74n`17>4c3m2.2?44>5e68m735290/m><52778?l4?l3:1(l==:57f?>i?l00;6)o<2;:6=>=n:5$`11>=ca32wi=8j?:184>777>0(98n:49K<`b<,=h36?jm9:lb71<6m=l0(4=6:07`b>o6;o0;66g>4083>>o64c83>>o5><0;66g=8e83>>i?l00;66l63c82><<729q/5:<592a8 d55209h7)6k1;671>N>>h1C4hj4$5`;>7be12.?:l4:;oc00?7b=91/5>7514ae?l4?l3:17d<:f;29j<0e2810e?8::18K5;h37e?6=3`;?=7>5;h30b?6=3f2o57>5;n:ge?6=3th2;84>:083>5}#i:814894n`17>4c292.2?44>5bd8k=3f290/m><584;8?xu50m0;6?uQ29f89<5e2;2o7p}=6483>7}Y:??014=m:346?xu6i7p}>4`83>7}Y9=k014=m:06b?xu6<80;6?uQ15389<5e28>:7p}>3g83>7}Y9:l014=m:01e?xu?l00;6?uQ8e;89<5e21n27p}=5g83>7}:1:h1>8h4=856>=3f3twi=8j<:085>5<7s-3<>7:=1:Jb5a=O1>>0(98n:29'e64=<;l0D5kk;%6a:51d71?!?413;>ok5f24094?"f;;09:854i3:g>5<#i:8188k4;n:g=?6=,h9965;6;:k11c<72-k8>7<:f:9j<58dd8?xd6=jh1<7952;:x <15209j7Eo>d:J:31=#=:mh27co<4;3f16=#1:31=8mn;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;h051?6=3`83h7>5;n:g=?6=3k38n7?59;294~">?;02?n5+a209=6e<,1n:69::;I;5e>N?mm1/8o652e`:?!21i3?0bl=;:0g60>">;00:9no4i3:g>5<=n:??1<7F7cd98m42e2900e<:n:188m4262900e<=i:188k=b>2900c5jn:188yg?0=3;1=7>50z&b771em>:51d76?!?413;>ol5`84c94?"f;;039454}r0;`?6=:rT94i5292`96=b52z\120=:1:h1>;;4}r37f?6=:rT:8o5292`951d52z\20d=:1:h1=9o4}r375?6=:rT:8<5292`951752z\27c=:1:h1=>h4}r:g=?6=:rT3h45292`9j7>52z?:7g<5=o165:;584c8yxd6=jo1=7850;2x <152=8:7Eo>d:J:31=#hf;=0:i884$81:>43di2c99?4?:%c06?41=21b>5j50;&b77<3=l10c5j6:18'e64=0<307d<:f;29 d552;?m76g7d183>!g4:339565f26c94?"f;;03ik54}c36g3<72>0965u+9609=6g<@h;o7E784:&72d<23A2nh6*;b981`g?c2900c5j6:188f<5e28026=4?{%;46??4k2.j??463b9'"3j109ho74$54b>0=ii:>1=h;7;%;0=?72k<1b>5j50;9j60`=83d2:o4>;:k120<72A2hi65f15`94?=n9=k1<75f15394?=n9:l1<75`8e;94?=h0mk1<75rb856>4<6290;w)o<2;:63>hf;=0:i874$81:>43d=2e39l4?:%c06?>2121v?6k:181[4?l272?o4=8e9~w7022909wS<95:?:7g<5><1v<:m:181[73j272?o4>4c9~w42f2909wS?;a:?:7g<6:181[739272?o4>409~w45a2909wS?c1272?o47d89~w73a2909w07;>?<039l5r}c36g<<62?0;6=u+9609077<@h;o7E784:&72d<43-k8>7:=f:J;aa=#il6;oc00?7b=h1/5>7514a6?l42:3:1(l==:346?>o50m0;6)o<2;66a>=h0m31<7*n338;1<=5$`11><4>32c9;l4?:%c06?>bn21vn<;l1;293?4=0r.2;?463`9Ke4b<@0=?7):9a;78L=cc3->i47o50m0;66g=5g83>k?1j3;07d<95;29L=eb32c:8o4?::k20d<722c:8<4?::k27c<722e3h44?::m;`d<722wi5:;51;394?6|,h9965;8;oc00?7b=m1/5>7514a3?j>2i3:1(l==:97:?>{t:1n1<7707{t9=h1<7j707{t9=;1<7{t0m31<72i2wvn<;l4;392?6=8r.2;?4;209Ke4b<@0=?7):9a;18 d552=8m7E6jd:&7f=<5lk30bl=;:0g6a>">;00:9n>4i371>5<#i:81>;;4;h0;`?6=,h9969;j;:m;`<<72-k8>76:9:9j60`=83.j??4=5g98m=b7290/m><593;8?l40i3:1(l==:9ge?>{e9:883>5}#1>815>m4$`11><5d3-2o=7:;5:J:2d=O0ln0(9l7:3fa=>"3>h0>7co<4;3f25=#1:31=8lm;h0;`?6=3`8>j7>5n84a>4=6=4G8bg8?l73j3:17d?;a;29?l7393:17d?c13:17b6ka;29?xd>?<0:6<4?:1y'e64=0<=0bl=;:0g55>">;00:9ol4o97b>5<#i:814874;|q15j4=81a>7>c3ty9:84?:3y]633<509i6?8:;|q20g<72;qU=9l4=81a>42e3ty:8l4?:3y]51g<509i6<:n;|q204<72;qU=9?4=81a>4263ty:?k4?:3y]56`<509i6<=i;|q;`<<72;qU4i74=81a>=b>3ty99k4?:3y>=6d=:9=6Fn1e9K=22<,=5+a20907`<@1oo7):m8;0gf<=ii:>1=h8=;%;0=?72jk1b>8<50;&b77<5><10e?6k:18'e64=<!g4:38>j65f8e294?"f;;02>454i35b>5<#i:814hh4;|`21g1=83=1>76t$851><5f3Ak:h6F6759'03g==2B3ii5+4c:96ad>3gk887?j629'=6?=950z&:37<>;j1/m><592a8 =b62=>>7E79a:J;aa=#il6;%65e?35<j6=44i062>5<5<1=h8:;%;0=?72j?1d48o50;&b77l529f8yv41=3:1>vP=649>=6d=:??0q~?;b;296~X6l515`8yv73i3:1>vP>4`9>=6d=9=k0q~?;1;296~X6<8165>l51538yv74n3:1>vP>3g9>=6d=9:l0q~6k9;296~X?l0165>l58e;8yv42n3:1>v363c811c=:1>?148o4}|`21gg=93<1<7>t$851>1463Ak:h6F6759'03g=;2.j??4;2g9K<`b<,=h36?jm9:lb71<6m?<0(4=6:07a2>o5=;0;6)o<2;051>=n:1n1<7*n33871`=j7>5$`11>73a32c3h=4?:%c06??5121b>:o50;&b772<521q/5:<592c8Ld7c3A3<86*;6`86?M>bl2.?n54=dc;8jd5328o=;6*638821g75<j6=44i06a>5<6=44i3:g>5<4<>290;w)782;;0g>"f;;02?n5+8e39013<@0>k0:76g=6483>M>dm21b=9l50;9j51g=831b=9?50;9j56`=831d4i750;9l5<7s-k8>76:7:lb71<6m?30(4=6:07a5>i?=h0;6)o<2;:6=>=z{;2o6=4={_0;`>;>;k094i5rs346>5<5sW8=96363c8120=z{8>i6=4={_37f>;>;k0:8o5rs06b>5<5sW;?m6363c820d=z{8>:6=4={_375>;>;k0:8<5rs01e>5<5sW;8j6363c827c=z{1n26=4={_:g=>;>;k03h45rs37e>5<5s438n7<:f:?:303<729q/5:<54338Ld7c3A3<86*;6`80?!g4:3>9j6F7ee9'0g>=:mh27co<4;3f2d=#1:31=8l>;h066?6=,h996?8:;:k17::e:9l<524d8?l>c83:1(l==:80:?>o5?h0;6)o<2;:fb>=zj8?jh7>57;09<~">?;02?l5Ga0f8L<133->=m7;4H9gg?!2e038on45aa2695`0e3-3857?:ab9j56`=831b=9?50;9j51g=831b=9l50;9j633=831b>5j50;9ll51;;94?6|,0=964=l;%c06??4k2.3h<4;449K=3g<@1oo7):m8;0gf<=#5H9af?>o64`83>>o6<80;66g>3g83>>i?l00;66a7d`83>>{e1>?1=7?50;2x d5521?<7co<4;3f2a=#1:31=8ol;n:6e?6=,h9965;6;:p6=b=838pR?6k;<;0f?4?l2wx>;;50;0xZ7023438n7<95:p51d=838pR<:m;<;0f?73j2wx=9o50;0xZ42f3438n7?;a:p517=838pR<:>;<;0f?7392wx=>h50;0xZ45a3438n7?c12wx>8h50;0x9<5e2;?m70785;:6e>{zj8?i<7?56;294~">?;0?><5Ga0f8L<133->=m7=4$`11>14a3A2nh6*;b981`g?!g4:32>565f24d94?"f;;099k54i9f3>5<#i:815?74;h04e?6=,h9965ki;:a543a290<6?47{%;46??4i2Bj=i5G9668 10f2<1C4hj4$5`;>7be12dj?94>e7d8 <5>28;>i6g>3g83>>o6<80;66g>4`83>>o6>o50m0;66a7d883>>d>;k0:644?:1y'=24=1:i0(l==:81`?!>c93>?96F66`9K<`b<,=h36?jm9:&72d<23gk887?j719'=6?=98?n7d<7d;29?l42n3:1b48m:098m702290C4nk4;h37f?6=3`;?m7>5;h375?6=3`;8j7>5;n:g=?6=3f2om7>5;|`:30<6280;6=u+a209<017}Y:1n014=m:3:g?xu5><0;6?uQ27789<5e2;<>7p}>4c83>7}Y9=h014=m:06a?xu6j7p}>4083>7}Y9=;014=m:062?xu6;o0;6?uQ12d89<5e289m7p}7d883>7}Y0m3014=m:9f:?xu5=o0;6?u292`960`<50=>65;n;|a5405280=6=4?{%;46?2592Bj=i5G9668 10f2:1/m><543d8L=cc3->i475$`11>=3>32c99k4?:%c06?42n21b4i>50;&b77<>:010e?9n:18'e64=0ll07pl>ab495?4=83:p(49=:5cg?Mg6l2B2;95+a20961b<,=h36?jm9:lb71<6m>90(4=6:0ca6>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=Oi:;07pl>93195?4=83:p(49=:5cg?Mg6l2B2;95+a20961b<,=h36?jm9:lb71<6m>>0(4=6:0;3b>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=Oi:;07pl>ae295?4=83:p(49=:5cg?Mg6l2B2;95+a20961b<,=h36?jm9:lb71<6m>?0(4=6:0ca6>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=Oi:;07pl>93f95?4=83:p(49=:5cg?Mg6l2B2;95+a20961b<,=h36?jm9:lb71<6m><0(4=6:0;3b>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=Oi:;07plin6F6759me62=9l=<7d::4;29 d552=?n76a83g83>!g4:32>565rb2c`6?7==3:1>0Dl?k;%6ah>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a6d5c28096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg4f;j0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e?3->=m77529cf?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;jni6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0g4f>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i>7):9a;cf?kg4<3;n;n5+92;97dc13`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a75ec28096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg57kj0:694?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e23->=m7oj;oc00?7b?l1/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<5290;w)o<2;07`>">?;0?no5G9668jd5328o!g4:3>>i65`72d94?"f;;039454}c13`5<62=0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a5?!21i3;:96`n3582a=6<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vn>>l5;396?6=8r.j??4=4e9'=24=3-3<>7:l6:&72de908 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:k:97?52;294~"f;;098i5+96090gd<@0=?7co<4;3f<6=n<<>1<7*n33871`=9m6=4+a209<0?<3th8m<:51;194?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h>6*;6`825==ii:>1=h6;;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921d;>h50;&b77dd82>7<729q/m><525f8 <152=hi7E784:lb71<6m1?0e9;;:18'e64=<4<4290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c39'03g=;ok0bl=;:0g;2>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`05g>=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=h68;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f67e?3;187>50z&b774c?02.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl<2`495?4=83:p(l==:36g?!?0:3>in6F6759me62=9l227d::4;29 d552=?n76a83g83>!g4:32>565rb20b1?7==3:1>0Dl?k;%6a87co<4;3fh>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a77g328096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg55i:0:6>4?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e53->=m7?>8:lb71<6m1i0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj;2jh7?52;294~"f;;098i5+96090gd<@0=?7co<4;3f1<7*n33871`=9m6=4+a209<0?<3th94lm51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h46*;6`8bb>hf;=0:i5k4$81:>73c02c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>mk9;396?6=8r.j??4=4e9'=24=3-3<>7:l2:&72d<4nh1em>:51d;3?!?4139ji;5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c16g1<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:i4?4i577>5<#i:8188k4;n50b?6=,h9965;6;:a70e4280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g==#4c>:2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4=1?1=7<50;2x d552;>o7)782;6af>N>?=1em>:51d;0?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:?387?55;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?8o9:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4c>=2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?2602dj?94>e848 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb27b5?7=:3:1818ol4H857?kg4<3;n5:5f44694?"f;;0?9h54o61e>5<#i:814874;|`01d6=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0?=55aa2695`??3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg521j0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>e8;8m133290/m><544g8?j14n3:1(l==:97:?>{e;<3i6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o540:8jd5328o2m6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th94>;51;094?6|,h996?:k;%;46?2ej2B2;95aa2695`?e3`>>87>5$`11>13b32e2121vn?6<4;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n64$54b>7c0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<65;95?4=83:p(l==:36g?!?0:3>in6F6759me62=9l3o7d::4;29 d552=?n76a83g83>!g4:32>565rb247>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a732228096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg51<=0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:>8:lb71<6mh:0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:1<7*n33871`=9m6=4+a209<0?<3th8:9>51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`875==ii:>1=ho=;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<6mh90e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<820bl=;:0gb0>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`026?=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=ho:;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f60403;197>50z&b77752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;?9>6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0gb3>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;62<>hf;=0:il64$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>8<1;396?6=8r.j??4=4e9'=24=3-3<>7:l9:&72d<3911em>:51dcb?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c156d<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:ill4i577>5<#i:8188k4;n50b?6=,h9965;6;:a734>280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#4cfk2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4>;<1=7<50;2x d552;>o7)782;6af>N>?=1em>:51dcg?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:<997?55;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?;<=:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4cfn2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?2602dj?94>ec28 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb242a?7=:3:1818ol4H857?kg4<3;nn<5f44694?"f;;0?9h54o61e>5<#i:814874;|`024b=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0?=55aa2695`d53-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg519h0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>ec18m133290/m><544g8?j14n3:1(l==:97:?>{e;?;26<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o540:8jd5328oi86*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8:<851;094?6|,h996?:k;%;46?2ej2B2;95aa2695`d23`>>87>5$`11>13b32e2121vn>8>5;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>17?3gk887?jb79'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd5328oi;6g;5583>!g4:3>>i65`72d94?"f;;039454}c1554<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3>:46`n3582ag><,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f607m3;1>7>50z&b77<5<584;8?xd4>9n1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4;199me62=9lhj7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582agd5$`11>=3>32wi?;>6:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<61495?4=83:p(l==:36g?!?0:3>in6F6759me62=9lho7d::4;29 d552=?n76a83g83>!g4:32>565rb2431?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a736528096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg51880:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:>8:lb71<6mj:0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:?mi7?52;294~"f;;098i5+96090gd<@0=?7co<4;3fg4=n<<>1<7*n33871`=9m6=4+a209<0?<3th89kj51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`875==ii:>1=hm=;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<6mj90e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<820bl=;:0g`0>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`01c0=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=hm:;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f63a=3;197>50z&b77752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;>0bl=;:0g`3>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=j<4>:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;62<>hf;=0:in64$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>;je;396?6=8r.j??4=4e9'=24=3-3<>7:l9:&72d<3911em>:51dab?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c16ad<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:inl4i577>5<#i:8188k4;n50b?6=,h9965;6;:a70c>280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#4cdk2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4=l<1=7<50;2x d552;>o7)782;6af>N>?=1em>:51dag?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:?n97?55;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?8k=:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4cdn2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?2602dj?94>ee28 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb27ga?7=:3:1818ol4H857?kg4<3;nh<5f44694?"f;;0?9h54o61e>5<#i:814874;|`01ab=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0?=55aa2695`b53-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg52lh0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>ee18m133290/m><544g8?j14n3:1(l==:97:?>{e;6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th89i851;094?6|,h996?:k;%;46?2ej2B2;95aa2695`b23`>>87>5$`11>13b32e2121vn>;k5;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>17?3gk887?jd79'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd5328oo;6g;5583>!g4:3>>i65`72d94?"f;;039454}c16`4<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3>:46`n3582aa><,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f63dm3;1>7>50z&b77<5<584;8?xd4=jn1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4;199me62=9lnj7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=ml4>:383>5}#i:81>9j4$851>1de3A3<86`n3582aad5$`11>=3>32wi?8o6:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<5c795?4=83:p(l==:36g?!?0:3>in6F6759me62=9lno7d::4;29 d552=?n76a83g83>!g4:32>565rb27a0?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a70d728096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg52io0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:>8:lb71<6ml:0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:?3i7?52;294~"f;;098i5+96090gd<@0=?7co<4;3fa4=n<<>1<7*n33871`=9m6=4+a209<0?<3th895j51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`875==ii:>1=hk=;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<6ml90e9;;:18'e64=<4<4290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c39'03g=9820bl=;:0gf0>">;008n5<#i:81=n:4n`13>5=5<#i:814874;|`0g4c=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=hk:;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f6e6l3;1?7>50z&b77753c3g?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?j14n3:1(l==:97:?>{e;j;h6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0gf3>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:283>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i97):9a;32<>hf;=0:ih64$81:>6d6l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn>=?4;396?6=8r.j??4=4e9'=24=3-3<>7:l5:&72d<38m1em>:51dgb?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582a`d5$`11>=3>32wi><6::087>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o85+47c9e`=ii:>1=hkl;%;0=?7d;81b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg46=m0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>edf8m133290/m><544g8?j14n3:1(l==:97:?>{e:8?h6<4;:183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k<1/8;o510;8jd5328oni6*63882g676<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`ee4<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:ihh4i577>5<#i:8188k4;n50b?6=,h9965;6;:abd6=93>1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`1>"3>h0?=k5aa2695``73-3857l80:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532e:%c06?>2121vnk5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4ca92c?994?:%c06?22m21d;>h50;&b77818n;4$54b>17a3gk887?jf39'=6?=j>:0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xda9<0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>eg18m133290/m><544g8?j14n3:1(l==:97:?>{en8>1=7:50;2x d5521on7E784:Jb5a=#il6;%;46?2d=2.?:l4;1g9me62=9ll?7)7<9;`44>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rbg30>4<5290;w)o<2;07`>">?;0?no5G9668jd5328om96g;5583>!g4:3>>i65`72d94?"f;;039454}cd26?7=<3:1>0Dl?k;%6a4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th8n8>51;094?6|,h996?:k;%;46?2ej2B2;95aa2695``03`>>87>5$`11>13b32e2121vn>l;f;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n64$54b>170bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=0ec95?4=83:p(l==:36g?!?0:3>in6F6759me62=9ll27d::4;29 d552=?n76a83g83>!g4:32>565rb32g=?7=;3:1>0Dl?k;%6a">;00:o>?4i576>5<#i:81=n:4n`13>5=5<#i:814874;|`0462=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=hhm;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f664;3;197>50z&b77752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;j826<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0ge`>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=54>:283>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i97):9a;32<>hf;=0:ikk4$81:>6d6l2c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632e2121vn>m=7;396?6=8r.j??4=4e9'=24=3-3<>7:l2:&72d<6911em>:51g23?!?4139i=i5f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c1`60<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:j=?4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7f4328086=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g7=#4`7:2.2?44<51b68jd572910e9;9:18'e64=9j>0bl=?:098k25a290/m><584;8?xd4?=>1=7<50;2x d552;>o7)782;6af>N>?=1em>:51g20?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:=??7?53;294~"f;;03ih5G9668Ld7c3->i476=4+a2095f25<#i:81=n:4n`13>4=9m6=4+a209<0?<3th8o>;51;094?6|,h996?:k;%;46?2ej2B2;95aa2695c623`>>87>5$`11>13b32e2121vn>m<4;390?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n;4$54b>16e3gk887?i079'=6?=;ho=7d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e;=l=6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0d33>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i>7):9a;40?kg4<3;m<55+92;976ec3`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a741028096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg56??0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e?3->=m7m:;oc00?7a8h1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;8=>6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0d3f>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i37):9a;605>hf;=0:j=m4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>9<9;396?6=8r.j??4=4e9'=24=3-3<>7:l2:&72d<4nh1em>:51g2f?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65`72d94?"f;;039454}c1``a<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:j=h4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7fbd280?6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g0=#4`682.2?44<51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307plin6F6759me62=9o;:7d::4;29 d552=?n76a83g83>!g4:32>565rb2a0=?7=<3:1>0Dl?k;%6a>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32win;651;094?6|,h996?:k;%;46?2ej2B2;95aa2695c743`>>87>5$`11>13b32e2121vno88:087>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o85+47c954?4:&:7<>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg4f1k0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>f078m133290/m><544g8?j14n3:1(l==:97:?>{e:h3j6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o5819me62=9o;=7)7<9;06`==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582b415$`11>=3>32wi>l7<:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c9<5=ii:>1=k?7;%;0=?42l11b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<6n830e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=091em>:51g3b?!?4138>h55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c0b10<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:j5<#i:8188k4;n50b?6=,h9965;6;:a6d33280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg45;l0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>f0f8m133290/m><544g8?j14n3:1(l==:97:?>{e:;9o6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k11/8;o5509me62=9o;n7)7<9;3`74=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582b4`5$`11>=3>32wi?<:k:080>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o>5+47c954>0bl=?:198m131280/m><51b68jd572810c:=i:18'e64=0<307pl<15095?4=83:p(l==:36g?!?0:3>in6F6759me62=9o8:7d::4;29 d552=?n76a83g83>!g4:32>565rb2375?7=;3:1>0Dl?k;%6ah>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;n50b?6=,h9965;6;:a745128096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg56;<0:6>4?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e43->=m7?>8:lb71<6n;>0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>i0;o0;6)o<2;:6=>=zj:;9o7?52;294~"f;;098i5+96090gd<@0=?7co<4;3e60=n<<>1<7*n33871`=9m6=4+a209<0?<3th8=?l51;194?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h?6*;6`825==ii:>1=k<9;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<6n;=0e9;;:18'e64=<4<4290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c39'03g=9820bl=;:0d1<>">;008n5<#i:81=n:4n`13>5=5<#i:814874;|`0fd?=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=k<6;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f6df03;197>50z&b77<,=4`5i2.2?44<51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd4jh?1=7<50;2x d552;>o7)782;6af>N>?=1em>:51g0a?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:hj87?55;294~"f;;03ih5G9668Ld7c3->i476<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8nl=51;094?6|,h996?:k;%;46?2ej2B2;95aa2695c4c3`>>87>5$`11>13b32e2121vn>ln2;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n64$54b>a00bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=09295?4=83:p(l==:36g?!?0:3>in6F6759me62=9o8m7d::4;29 d552=?n76a83g83>!g4:32>565rb324b?7==3:1>0Dl?k;%6a">;00:o>?4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`1e14=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=k=>;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f7g393;197>50z&b777529cf?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;j>96<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0d07>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i=7):9a;61f>hf;=0:j>:4$81:>6gb>2c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f743j3;1>7>50z&b77<5<584;8?xd5:=k1=7:50;2x d5521on7E784:Jb5a=#il6;%;46?2d=2.?:l493:lb71<6n:<0(4=6:0a05>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976a83g82>!g4:32>565rb26e4?7=:3:1818ol4H857?kg4<3;m?:5f44694?"f;;0?9h54o61e>5<#i:814874;|`00``=9391<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`6>"3>h0:=55aa2695c5?3-3857=c59me66=821b88850;&b77<6k=1em>>51:9l36`=83.j??475898yg53m<0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>f2;8m133290/m><544g8?j14n3:1(l==:97:?>{e;=o?6<4;:183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k<1/8;o55b9me62=9o9j7)7<9;10ga=n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c1262<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:j>l4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7441280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g==#c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg56:<0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>f2f8m133290/m><544g8?j14n3:1(l==:97:?>{e;88?6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k11/8;o524a8jd5328l8i6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8>87>5$`11>13b32e2121vn>>m2;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n64$54b>660bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=82`95?4=83:p(l==:36g?!?0:3>in6F6759me62=9o>:7d::4;29 d552=?n76a83g83>!g4:32>565rb3:0e?7==3:1>0Dl?k;%6a">;0099im4i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`1f7b=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=k:<;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f7d5k3;197>50z&b77<,=4`3<2.2?44=ac38m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd40<21=7<50;2x d552;>o7)782;6af>N>?=1em>:51g66?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:2>;7?55;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?587:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4`3?2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?24n2dj?94>f5:8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2:5b?7=:3:1818ol4H857?kg4<3;m845f44694?"f;;0?9h54o61e>5<#i:814874;|`0<3c=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0?=55aa2695c2f3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5?=k0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>f5`8m133290/m><544g8?j14n3:1(l==:97:?>{e;1?j6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o54538jd5328l?o6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th85;;51;094?6|,h996?:k;%;46?2ej2B2;95aa2695c2c3`>>87>5$`11>13b32e2121vn>794;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>17?3gk887?i4d9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd5328l?j6g;5583>!g4:3>>i65`72d94?"f;;039454}c1:2d<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3;=o6`n3582b06<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6?2l3;1>7>50z&b77<5<584;8?xd41il6;%;46?2d12.?:l4;409me62=9o?97)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582b055$`11>=3>32wi?4l?:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c904>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<9c595?4=83:p(l==:36g?!?0:3>in6F6759me62=9o?>7d::4;29 d552=?n76a83g83>!g4:32>565rb2;a2?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a728096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg5>i10:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:;1:lb71<6n<20(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:;:j7?52;294~"f;;098i5+96090gd<@0=?7co<4;3e1<=n<<>1<7*n33871`=9m6=4+a209<0?<3th8=Nf9m1/8o652e`:?!?0:3>h56*;6`8744=ii:>1=k;n;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b771882>7<729q/m><525f8 <152=hi7E784:lb71<6n4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<9;0bl=;:0d6g>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0545=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=k;k;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f676:3;197>50z&b77>;oc00?7a=l1/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;8:o6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0d6b>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;635>hf;=0:j;>4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>??7;396?6=8r.j??4=4e9'=24=81b88:50;&b77<3=l10c:=i:18'e64=0<307pl<11495?3=83:p(l==:9gf?M?0<2Bj=i5+4c:96ad>3-3<>7:l9:&72d<3881em>:51g41?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1244<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:j;=4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7467280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#4`1<2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd48oh1=7<50;2x d552;>o7)782;6af>N>?=1em>:51g46?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj::mm7?55;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?=h::081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4`1?2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?2792dj?94>f7:8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb22fb?7=:3:1818ol4H857?kg4<3;m:45f44694?"f;;0?9h54o61e>5<#i:814874;|`04`c=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0?<<5aa2695c0f3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg47800:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>f7`8m133290/m><544g8?j14n3:1(l==:97:?>{e:9:36<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k11/8;o5409me62=9o=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582b3b5$`11>=3>32wi>=>k:080>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o>5+47c954>0bl=?:198m131280/m><51b68jd572810c:=i:18'e64=0<307pl<9bf95?4=83:p(l==:36g?!?0:3>in6F6759me62=9o!g4:32>565rb2;`g?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a77::e:9l36`=83.j??475898yg5>l;0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7?9c:lb71<6n>80(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:3h97?52;294~"f;;098i5+96090gd<@0=?7co<4;3e36=n<<>1<7*n33871`=9m6=4+a209<0?<3th85n:51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`8704=ii:>1=k9;;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<6n>?0e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<820bl=;:0d42>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0=``=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=k98;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f6?bm3;197>50z&b77752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;0o:6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0d4=>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;675>hf;=0:j:o4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>o>7;396?6=8r.j??4=4e9'=24=3-3<>7:l9:&72d<3911em>:51g5`?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1b72<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:j:j4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7d51280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#4`0m2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4i;o1=7<50;2x d552;>o7)782;6af>N>?=1em>:51g5e?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:k9h7?55;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?l;?:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4`?92c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?71k2dj?94>f908 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2c5`?7=:3:1818ol4H857?kg4<3;m4>5f44694?"f;;0?9h54o61e>5<#i:814874;|`0e3e=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0::n5aa2695c>33-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg50>k0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>f978m133290/m><544g8?j14n3:1(l==:97:?>{e;>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th803`>>87>5$`11>13b32e2121vn>>j3;390?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n;4$54b>dc0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd48m31=7<50;2x d552;>o7)782;6af>N>?=1em>:51g::?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj::o47?54;294~"f;;03ih5G9668Ld7c3->i47>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;n50b?7=,h9965;6;:a75d028096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg57j?0:694?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e13->=m78<;oc00?7a0j1/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<5290;w)o<2;07`>">?;0?no5G9668jd5328l3h6g;5583>!g4:3>>i65`72d94?"f;;039454}c13e`<62=0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a5?!21i3kn7co<4;3e<`=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi?5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4`?n2c?994?:%c06?22m21d;>h50;&b77d082>1<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m9;%65e?gb3gk887?i919'=6?=:l:37d::5;39 d5528i?7co<0;28?l22>3;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?j14n3;1(l==:97:?>{e:9:h6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0d:5>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i37):9a;13?kg4<3;m5?5+92;95f563`>>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi>=?j:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4`>;2c?994?:%c06?22m21d;>h50;&b776<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m<;%65e?7602dj?94>f868 <5>28i8=6g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76a83g83>!g4:32>565rb3222?7=:3:1818ol4H857?kg4<3;m585f44694?"f;;0?9h54o61e>5<#i:814874;|`1443=9391<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`7>"3>h0:=55aa2695c?13-3857?l309j003=93.j??4>c59me66=821b88851;&b77<6k=1em>>51:9l36`=83.j??475898yg45:=0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>f858m133290/m><544g8?j14n3:1(l==:97:?>{e:;886<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o52d9me62=9o337)7<9;3`74=n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582b5$`11>=3>32wi>???:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c96`=ii:>1=k7n;%;0=?7d;81b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77fd82>7<729q/m><525f8 <152=hi7E784:lb71<6n0h0e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=:l1em>:51g;`?!?413;h?<5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c12fd<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:j4j4i577>5<#i:8188k4;n50b?6=,h9965;6;:a74d>280?6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g0=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b777<729q/m><525f8 <152=hi7E784:lb71<6n0l0e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=9?i0bl=;:0db4>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`03=g=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=ko>;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f61?13;197>50z&b77752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;>=n6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0db7>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;675>hf;=0:jl:4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>9n1;396?6=8r.j??4=4e9'=24=3-3<>7:l9:&72d<6>j1em>:51gc5?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c14e2<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:jl94i577>5<#i:8188k4;n50b?6=,h9965;6;:a72g128086=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g6=#4`f02.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098k25a290/m><584;8?xd4?0k1=7<50;2x d552;>o7)782;6af>N>?=1em>:51gc:?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:=257?55;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?:lk:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4`fj2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?71k2dj?94>f`a8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb25`6?7=:3:1818ol4H857?kg4<3;mmi5f44694?"f;;0?9h54o61e>5<#i:814874;|`03f7=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0:?=5aa2695cgb3-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg50j?0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>f`d8m133290/m><544g8?j14n3:1(l==:97:?>{e;>h>6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o54538jd5328li<6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8;i851;094?6|,h996?:k;%;46?2ej2B2;95aa2695cd63`>>87>5$`11>13b32e2121vn>9k5;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>40d3gk887?ib39'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd5328li?6g;5583>!g4:3>>i65`72d94?"f;;039454}c14ga<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3>?=6`n3582bg2<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f61bl3;1>7>50z&b77<5<584;8?xd4?li1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4>6b9me62=9oh=7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582bg15$`11>=3>32wi?:k;:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c90170bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<81695?4=83:p(l==:36g?!?0:3>in6F6759me62=9oh27d::4;29 d552=?n76a83g83>!g4:32>565rb2:37?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a72`d28096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg50nk0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:;1:lb71<6nki0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:2:n7?52;294~"f;;098i5+96090gd<@0=?7co<4;3efa=n<<>1<7*n33871`=9m6=4+a209<0?<3th84Nf9m1/8o652e`:?!?0:3>h56*;6`822f=ii:>1=klj;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<6nkl0e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<=;0bl=;:0d`4>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0<64=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=km>;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f6>493;197>50z&b77752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;18j6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0d`7>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=44>:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;675>hf;=0:jn:4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>=lb;396?6=8r.j??4=4e9'=24=3-3<>7:l5:&72d<2k2dj?94>fb48 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>i0;o0:6)o<2;:6=>=zj:9h=7?52;294~"f;;098i5+96090gd<@0=?7co<4;3eg2=n<<>1<7*n33871`=9m6=4+a209<0?<3th8?n>51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h46*;6`804>hf;=0:jn64$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>6;9;396?6=8r.j??4=4e9'=24=3-3<>7:l9:&72d<6>j1em>:51gab?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1;04<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:jnl4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7=27280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#4`dk2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd40181=7<50;2x d552;>o7)782;6af>N>?=1em>:51gag?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:23=7?55;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?59l:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>4`dn2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?71k2dj?94>fe28 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2::=?7=:3:1818ol4H857?kg4<3;mh<5f44694?"f;;0?9h54o61e>5<#i:814874;|`0<<>=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0::n5aa2695cb53-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5?180:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94>fe18m133290/m><544g8?j14n3:1(l==:97:?>{e;13;6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o54538jd5328lo86*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th84o>51;094?6|,h996?:k;%;46?2ej2B2;95aa2695cb23`>>87>5$`11>13b32e2121vn>6nf;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>40d3gk887?id79'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd5328lo;6g;5583>!g4:3>>i65`72d94?"f;;039454}c1;e2<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3>?=6`n3582ba><,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6>d?3;1>7>50z&b77<5<584;8?xd40j<1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4>6b9me62=9onj7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582bad5$`11>=3>32wi?5lj:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c90170bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<8eg95?4=83:p(l==:36g?!?0:3>in6F6759me62=9ono7d::4;29 d552=?n76a83g83>!g4:32>565rb2:g`?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a7=b128096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg5?l<0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:;1:lb71<6nl:0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:2m97?52;294~"f;;098i5+96090gd<@0=?7co<4;3ea4=n<<>1<7*n33871`=9m6=4+a209<0?<3th84k:51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`822f=ii:>1=kk=;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b77e882>7<729q/m><525f8 <152=hi7E784:lb71<6nl90e9;;:18'e64=<4<4290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c39'03g=99>0bl=;:0df0>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:814874;|`07gc=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=kk:;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f65el3;197>50z&b77<,=>4n`17>4`b>2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd49hn1=7<50;2x d552;>o7)782;6af>N>?=1em>:51gg4?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:;jo7?55;294~"f;;03ih5G9668Ld7c3->i476=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th85=m51;094?6|,h996?:k;%;46?2ej2B2;95aa2695cc>3`>>87>5$`11>13b32e2121vn>7?b;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>40d3gk887?ie`9'=6?=:l:37d::5;29 d5528i?7co<0;28?l22>3:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd5328lnn6g;5583>!g4:3>>i65`72d94?"f;;039454}c1:46<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3>?=6`n3582b`e<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6?6n3;1>7>50z&b77<5<584;8?xd418o1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4>6b9me62=9oon7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n3582b``5$`11>=3>32wi?4?::086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c90170bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<92495?4=83:p(l==:36g?!?0:3>in6F6759me62=9ol:7d::4;29 d552=?n76a83g83>!g4:32>565rb2;01?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a7<4b28096=4?{%c06?43l2.2;?4;bc9K=227::e:9l36`=83.j??475898yg5>:m0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:;1:lb71<6no>0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:3?h7?52;294~"f;;098i5+96090gd<@0=?7co<4;3eb0=n<<>1<7*n33871`=9m6=4+a209<0?<3th859m51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`822f=ii:>1=kh9;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<6no=0e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<=;0bl=;:0de<>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`0==6=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1=kh6;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f6?0n3;197>50z&b77752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;0=36<4=:183!g4:38?h6*67387fg=O1>>0bl=;:0def>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;675>hf;=0:jkm4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>767;396?6=8r.j??4=4e9'=24=3-3<>7:l9:&72d<6>j1em>:51gdf?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1:=5<62;0;6=u+a20961b<,0=969lm;I;40>hf;=0:jkh4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7<>a280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#76782.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4:m:1=7<50;2x d552;>o7)782;6af>N>?=1em>:52122?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:8hj7?54;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a77`528096=4?{%c06?43l2.2;?4;bc9K=22?3:k711<72-k8>7::e:9l36`=83.j??475898yg55n80:694?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e23->=m7=ic:lb71<589>0(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb20e4?7=:3:1818ol4H857?kg4<38;<85f44694?"f;;0?9h54o61e>5<#i:814874;|`06``=93>1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`1>"3>h08jn5aa26965613-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<589=0e9;;:18'e64=<4<3290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c49'03g=;oi0bl=;:323<>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=9m6=4+a209<0?<3th8>hm51;094?6|,h996?:k;%;46?2ej2B2;95aa269656>3`>>87>5$`11>13b32e2121vn>818n;4$54b>6`d3gk8873:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?j14n3:1(l==:97:?>{e;;in6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:323f>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i>7):9a;1eg>hf;=09<=m4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f614l3;1>7>50z&b77<5<584;8?xd4?:i1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4;199me62=:9:n7)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n358145`5$`11>=3>32wi?:;>:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c953e>0:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<72095?4=83:p(l==:36g?!?0:3>in6F6759me62=:9;:7d::4;29 d552=?n76a83g83>!g4:32>565rb2505?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a74dd28096=4?{%c06?43l2.2;?4;bc9K=22>3:k711<72-k8>7::e:9l36`=83.j??475898yg56jk0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e?3->=m7;k;oc00?479=1/5>752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;8hn6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:3221>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:583>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i=7):9a;366>hf;=09<<84$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:m47c<72-k8>76:9:9~f61383;1>7>50z&b77<5<584;8?xd4?:l1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d02.?:l4;419me62=:9;37)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n358144?5$`11>=3>32wi?no?:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c953b>a:&:7<<4il<0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307plin6F6759me62=:9;i7d::4;29 d552=?n76a83g83>!g4:32>565rb2a:7?7==3:1>0Dl?k;%6a>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7f2e28096=4?{%c06?43l2.2;?4;bc9K=22>d:k711<72-k8>7::e:9l36`=83.j??475898yg5d"3j109ho74$851>1e>3->=m7?9d:lb71<588o0(4=6:2cf2>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:k;o7?52;294~"f;;098i5+96090gd<@0=?7co<4;035c=n<<>1<7*n33871`=9m6=4+a209<0?<3th8m=l51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`8704=ii:>1>=>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b777<729q/m><525f8 <152=hi7E784:lb71<58;;0e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<=;0bl=;:3216>">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0f66=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1>=<<;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f6d5n3;187>50z&b77753c3g?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807b94<5290;w)o<2;07`>">?;0?no5G9668jd532;:996g;5583>!g4:3>>i65`72d94?"f;;039454}c12=a<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3;mn6`n3581470<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6?203;1>7>50z&b77<5<584;8?xd41<=1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4;409me62=:9837)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n358147?5$`11>=3>32wi?4o<:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c9017=a:&:7<<5m920e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<9b295?4=83:p(l==:36g?!?0:3>in6F6759me62=:98i7d::4;29 d552=?n76a83g83>!g4:32>565rb2;ab?7==3:1>0Dl?k;%6a:7co<4;036f=#1:31>h>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7=d:k711<72-k8>7::e:9l36`=83.j??475898yg5>lk0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:;1:lb71<58;o0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj::on7?52;294~"f;;098i5+96090gd<@0=?7co<4;036c=n<<>1<7*n33871`=9m6=4+a209<0?<3th8Nf9m1/8o652e`:?!?0:3>h56*;6`865>hf;=09<>>4$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>?l6;396?6=8r.j??4=4e9'=24=3-3<>7:l6:&72d<6=;1em>:52111?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n35814655$`11>=3>32wi?=k9:087>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o;5+47c9504<4:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398k25a290/m><584;8?xd48hh1=7<50;2x d552;>o7)782;6af>N>?=1em>:52116?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj::jm7?54;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;n50b?6=,h9965;6;:a75g028096=4?{%c06?43l2.2;?4;bc9K=22<7:k711<72-k8>7::e:9l36`=83.j??475898yg57i?0:694?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e13->=m7?:2:lb71<58:20(4=6:3g3<>o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976a83g83>!g4:32>565rb23ge?7=:3:1818ol4H857?kg4<38;?45f44694?"f;;0?9h54o61e>5<#i:814874;|`05a?=93>1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`2>"3>h0:9?5aa269655f3-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21d;>h50;&b77d782>7<729q/m><525f8 <152=hi7E784:lb71<58:h0e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<81em>:5211`?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c13g4<62;0;6=u+a20961b<,0=969lm;I;40>hf;=09<>j4i577>5<#i:8188k4;n50b?6=,h9965;6;:a75e7280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg56=m0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94=02d8m133290/m><544g8?j14n3:1(l==:97:?>{e;8?h6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o517a8jd532;:?<6*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8=8=51;094?6|,h996?:k;%;46?2ej2B2;95aa26965263`>>87>5$`11>13b32e2121vn>?:2;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>40d3gk8873;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd532;:??6g;5583>!g4:3>>i65`72d94?"f;;039454}c1203<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3;=o6`n3581412<,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f674j3;1>7>50z&b77<5<584;8?xd49:k1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4>6b9me62=:9>=7)7<9;0f4==n<=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n35814115$`11>=3>32wi?8>l:087>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o85+47c926=ii:>1>=:7;%;0=?54km1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg52800:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94=05;8m133290/m><544g8?j14n3:1(l==:97:?>{e;<:36<4;:183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k<1/8;o5629me62=:9>j7)7<9;10ga=n<=n<<=1=7*n3382g1=ii::1>65`72d95?"f;;039454}c17ba<62;0;6=u+a20961b<,0=969lm;I;40>hf;=09<9l4i577>5<#i:8188k4;n50b?6=,h9965;6;:a71`d280?6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g0=#c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21d;>h51;&b777<729q/m><525f8 <152=hi7E784:lb71<58=n0e9;;:18'e64=<4<3290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c49'03g=>:1em>:5216f?!?41398oi5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n358141`5$`11>=3>32wi?9h>:087>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o85+47c954?:0:&:7<<4;jn0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398k25a280/m><584;8?xd4o7)782;6af>N>?=1em>:52172?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:>nn7?54;294~"f;;03ih5G9668Ld7c3->i47>6*638807fb6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`0e56=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1>=;<;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f6?an3;187>50z&b77762<2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10c:=i:08'e64=0<307pl<9ga95?4=83:p(l==:36g?!?0:3>in6F6759me62=:9?>7d::4;29 d552=?n76a83g83>!g4:32>565rb2;ef?7=<3:1>0Dl?k;%6a">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=9m6<4+a209<0?<3th85ko51;094?6|,h996?:k;%;46?2ej2B2;95aa26965303`>>87>5$`11>13b32e2121vn>7i9;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n64$54b>47f3gk8873;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b9in6F6759me62=:9?27d::4;29 d552=?n76a83g83>!g4:32>565rbg34>4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<=90bl=;:326e>">;00i;=5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1b7`<62;0;6=u+a20961b<,0=969lm;I;40>hf;=09<8l4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7d5c280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#762k2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd4io7)782;6af>N>?=1em>:5217g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:k>m7?55;294~"f;;03ih5G9668Ld7c3->i47>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?:;6:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>762n2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?76i2dj?94=0728 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb25:4?7=:3:1818ol4H857?kg4<38;:<5f44694?"f;;0?9h54o61e>5<#i:814874;|`03=`=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0:=l5aa26965053-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg50ij0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94=0718m133290/m><544g8?j14n3:1(l==:97:?>{e;>ki6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o510c8jd532;:=86*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8;n651;094?6|,h996?:k;%;46?2ej2B2;95aa26965023`>>87>5$`11>13b32e2121vn>9l7;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>47f3gk8873;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd532;:=;6g;5583>!g4:3>>i65`72d94?"f;;039454}c14``<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3;:m6`n358143><,0926?k?8:k710<62-k8>7?l4:lb75<732c?9;4>:%c06?7d<2dj?=4>;:k712<62-k8>7?l4:lb75<532c?954>:%c06?7d<2dj?=4<;:m47c<62-k8>76:9:9~f61a>3;1>7>50z&b77<5<584;8?xd4?o?1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4>1`9me62=:9=n<<=1=7*n3382g1=ii::1>65f44:95?"f;;0:o95aa2297>=h?:l1=7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n358143d5$`11>=3>32wi?5>l:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c954g9c:&:7<<5m920e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl<83695?4=83:p(l==:36g?!?0:3>in6F6759me62=:9!g4:32>565rb2:17?7==3:1>0Dl?k;%6ah>7;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7=5e28096=4?{%c06?43l2.2;?4;bc9K=229f:k711<72-k8>7::e:9l36`=83.j??475898yg5?;h0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7?>a:lb71<58>:0(4=6:3g3<>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5982>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:2<87?52;294~"f;;098i5+96090gd<@0=?7co<4;0334=n<<>1<7*n33871`=9m6=4+a209<0?<3th84:=51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`825d=ii:>1>=9=;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b777<729q/m><525f8 <152=hi7E784:lb71<58>90e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=98k0bl=;:3240>">;009i=64i576>4<#i:81=n:4n`13>5=4<#i:81=n:4n`13>7=54o61e>4<#i:814874;|`0t$`11>72c3-3<>7:mb:J:31=ii:>1>=9:;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f6>f93;197>50z&b77752d2;?l22=3;1(l==:0a7?kg483:07d::6;39 d5528i?7co<0;38?l22?3;1(l==:0a7?kg483807d::8;39 d5528i?7co<0;18?j14n3;1(l==:97:?>{e;1h26<4=:183!g4:38?h6*67387fg=O1>>0bl=;:3243>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;32e>hf;=09<:64$81:>7c702c?984>:%c06?7d<2dj?=4?;:k713<62-k8>7?l4:lb75<632c?9:4>:%c06?7d<2dj?=4=;:k71=<62-k8>7?l4:lb75<432e:%c06?>2121vn>6k0;396?6=8r.j??4=4e9'=24=3-3<>7:l9:&72d<69h1em>:5215b?!?4138n<55f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=n<<21=7*n3382g1=ii::1?65`72d95?"f;;039454}c1;a2<62;0;6=u+a20961b<,0=969lm;I;40>hf;=09<:l4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7=c1280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#760k2.2?44=e1:8m132280/m><51b68jd572910e9;9:08'e64=9j>0bl=?:098m130280/m><51b68jd572;10e9;7:08'e64=9j>0bl=?:298k25a280/m><584;8?xd40oo1=7<50;2x d552;>o7)782;6af>N>?=1em>:5215g?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:2mh7?55;294~"f;;03ih5G9668Ld7c3->i47>97?5$`11>4e33gk8<7>4;h662?7=,h996>;7?5$`11>4e33gk8<7<4;h66=3>32wi?4<7:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>760n2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?76i2dj?94=0928 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g82>!g4:32>565rb2;0b?7=:3:1818ol4H857?kg4<38;4<5f44694?"f;;0?9h54o61e>5<#i:814874;|`0=6c=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0:=l5aa26965>53-3857c59me66=821b88851;&b77<6k=1em>>51:9j001=93.j??4>c59me66=:21b88651;&b77<6k=1em>>53:9l36`=93.j??475898yg5>?;0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94=0918m133290/m><544g8?j14n3:1(l==:97:?>{e;0=:6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o510c8jd532;:386*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th855751;094?6|,h996?:k;%;46?2ej2B2;95aa26965>23`>>87>5$`11>13b32e2121vn>778;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>47f3gk8873;1(l==:0a7?kg483;07d::7;39 d5528i?7co<0;08?l2203;1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd532;:3;6g;5583>!g4:3>>i65`72d94?"f;;039454}c1;2g<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3>8j6`n35814=><,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f7>3i3;1>7>50z&b77<5<584;8?xd50=31=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d02.?:l483:lb71<581k0(4=6:37gg>o3=<0:6)o<2;3`0>hf;90;76g;5782>!g4:3;h86`n3182?>o3=>0:6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0:6)o<2;:6=>=zj:?8:7?52;294~"f;;098i5+96090gd<@0=?7co<4;031<7*n33871`=9m6=4+a209<0?<3th89>;51;694?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h:6*;6`875c=ii:>1>=6l;%;0=?54km1b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9l36`=93.j??475898yg52:j0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94=09f8m133290/m><544g8?j14n3:1(l==:97:?>{e;<8i6<4;:183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k?1/8;o540d8jd532;:3i6*638807fb6<4+a2095f24<#i:81=n:4n`13>4=4<#i:814874;|`0e30=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1>=6i;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f6g1=3;197>50z&b77;oc00?47191/5>752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;h>26<4=:183!g4:38?h6*67387fg=O1>>0bl=;:32:5>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;675>hf;=09<4<4$81:>7c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>o=9;396?6=8r.j??4=4e9'=24=3-3<>7:l9:&72d<3<81em>:521;7?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1b43<62;0;6=u+a20961b<,0=969lm;I;40>hf;=09<4;4i577>5<#i:8188k4;n50b?6=,h9965;6;:a7d62280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#76>>2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd4j>91=7<50;2x d552;>o7)782;6af>N>?=1em>:521;4?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:h<>7?55;294~"f;;03ih5G9668Ld7c3->i476<4+a2095f24<#i:81=n:4n`13>4=4<#i:81=n:4n`13>6=9m6<4+a209<0?<3th8n;k51;094?6|,h996?:k;%;46?2ej2B2;95aa26965?>3`>>87>5$`11>13b32e2121vn>l9d;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>666a:&:7<<4j8n0e9;::08'e64=9j>0bl=?:198m131280/m><51b68jd572810e9;8:08'e64=9j>0bl=?:398m13?280/m><51b68jd572:10c:=i:08'e64=0<307pl=0bd95?4=83:p(l==:36g?!?0:3>in6F6759me62=:93i7d::4;29 d552=?n76a83g83>!g4:32>565rb32`a?7=<3:1>0Dl?k;%6aj7co<4;03=f=#1:31=n=>;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996=3>32wi?>l6:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>76>l2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?25m2dj?94=08g8 <5>2;o;46g;5482>!g4:3;h86`n3183?>o3=?0:6)o<2;3`0>hf;90:76g;5682>!g4:3;h86`n3181?>o3=10:6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2550?7=:3:1818ol4H857?kg4<38;5k5f44694?"f;;0?9h54o61e>5<#i:814874;|`0335=93?1<7>t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0?8<5aa26965g73-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg50:>0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94=0`38m133290/m><544g8?j14n3:1(l==:97:?>{e;>8=6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o54538jd532;:j>6*63881a5>6<4+a2095f24<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th8m9?51;094?6|,h996?:k;%;46?2ej2B2;95aa26965g43`>>87>5$`11>13b32e2121vn>o;0;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>15a3gk8873:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd532;:j96g;5583>!g4:3>>i65`72d94?"f;;039454}c1b1a<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3>8j6`n35814d0<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f612k3;1>7>50z&b77<5<584;8?xd4?il6;%;46?2d12.?:l4;3g9me62=:9k37)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n35814d?5$`11>=3>32wi?:99:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c906`na:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<78195?4=83:p(l==:36g?!?0:3>in6F6759me62=:9ki7d::4;29 d552=?n76a83g83>!g4:32>565rb25:6?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a72ga28096=4?{%c06?43l2.2;?4;bc9K=22nd:k711<72-k8>7::e:9l36`=83.j??475898yg50il0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:=hn7?52;294~"f;;098i5+96090gd<@0=?7co<4;03ec=n<<>1<7*n33871`=9m6=4+a209<0?<3th8;no51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`877c=ii:>1>=l?;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<58k;0e9;;:18'e64=<4<2290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c89'03g=<:l0bl=;:32a6>">;009i=64i576>5<#i:81=n:4n`13>5=5<#i:81=n:4n`13>7=54o61e>5<#i:814874;|`03c?=9381<7>t$`11>72c3-3<>7:mb:J:31=ii:>1>=l<;h660?6=,h9969;j;:m47c<72-k8>76:9:9~f61a03;197>50z&b77752d2;?l22=3:1(l==:0a7?kg483:07d::6;29 d5528i?7co<0;38?l22?3:1(l==:0a7?kg483807d::8;29 d5528i?7co<0;18?j14n3:1(l==:97:?>{e;1;;6<4=:183!g4:38?h6*67387fg=O1>>0bl=;:32a1>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=:483>5}#i:814hk4H857?Mg6l2.?n54=dc;8 <152=i27):9a;60b>hf;=097c702c?984?:%c06?7d<2dj?=4?;:k713<72-k8>7?l4:lb75<632c?9:4?:%c06?7d<2dj?=4=;:k71=<72-k8>7?l4:lb75<432e2121vn>6=7;396?6=8r.j??4=4e9'=24=1b88:50;&b77<3=l10c:=i:18'e64=0<307pl<83495?3=83:p(l==:9gf?M?0<2Bj=i5+4c:96ad>3-3<>7:l9:&72d<3;o1em>:521`;?!?4138n<55f44794?"f;;0:o95aa2294>=n<<<1<7*n3382g1=ii::1=65f44594?"f;;0:o95aa2296>=n<<21<7*n3382g1=ii::1?65`72d94?"f;;039454}c1;7`<62;0;6=u+a20961b<,0=969lm;I;40>hf;=095<#i:8188k4;n50b?6=,h9965;6;:a7=5c280>6=4?{%c06?>bm2B2;95Ga0f8 1d?2;ni56*67387g<=#h4n`17>76ei2.2?44=e1:8m132290/m><51b68jd572910e9;9:18'e64=9j>0bl=?:098m130290/m><51b68jd572;10e9;7:18'e64=9j>0bl=?:298k25a290/m><584;8?xd40>=1=7<50;2x d552;>o7)782;6af>N>?=1em>:521`a?l22<3:1(l==:57f?>i0;o0;6)o<2;:6=>=zj:2<:7?55;294~"f;;03ih5G9668Ld7c3->i47>97>5$`11>4e33gk8<7>4;h662?6=,h996>;7>5$`11>4e33gk8<7<4;h665$`11>=3>32wi?56j:081>5<7s-k8>7<;d:&:37<3jk1C5::4n`17>76el2c?994?:%c06?22m21d;>h50;&b770<729q/m><58dg8L<133Ak:h6*;b981`g?<,0=969m6;%65e?24n2dj?94=0cg8 <5>2;o;46g;5483>!g4:3;h86`n3183?>o3=?0;6)o<2;3`0>hf;90:76g;5683>!g4:3;h86`n3181?>o3=10;6)o<2;3`0>hf;90876a83g83>!g4:32>565rb2:b1?7=:3:1818ol4H857?kg4<38;nk5f44694?"f;;0?9h54o61e>5<#i:814874;|`0t$`11>=cb3A3<86Fn1e9'0g>=:mh27)782;6`=>"3>h0??k5aa26965e73-3857c59me66=821b88850;&b77<6k=1em>>51:9j001=83.j??4>c59me66=:21b88650;&b77<6k=1em>>53:9l36`=83.j??475898yg5?jj0:6?4?:1y'e64=:=n0(49=:5`a?M?0<2dj?94=0b38m133290/m><544g8?j14n3:1(l==:97:?>{e;1hi6<4::183!g4:32ni6F6759Ke4b<,=h36?jm9:&:37<3k01/8;o542d8jd532;:h>6*63881a5>6=4+a2095f25<#i:81=n:4n`13>4=5<#i:81=n:4n`13>6=9m6=4+a209<0?<3th84i=51;094?6|,h996?:k;%;46?2ej2B2;95aa26965e43`>>87>5$`11>13b32e2121vn>6k2;391?6=8r.j??47ed9K=22<@h;o7):m8;0gf<=#1>818n74$54b>15a3gk8873:1(l==:0a7?kg483;07d::7;29 d5528i?7co<0;08?l2203:1(l==:0a7?kg483907b94<5290;w)o<2;07`>">?;0?no5G9668jd532;:h96g;5583>!g4:3>>i65`72d94?"f;;039454}c1;a<<62<0;6=u+a209<`c<@0=?7Eo>d:&7f=<5lk30(49=:5a:?!21i3>8j6`n35814f0<,0926?k?8:k710<72-k8>7?l4:lb75<732c?9;4?:%c06?7d<2dj?=4>;:k712<72-k8>7?l4:lb75<532c?954?:%c06?7d<2dj?=4<;:m47c<72-k8>76:9:9~f6?793;1>7>50z&b77<5<584;8?xd419:1=7;50;2x d5521on7E784:Jb5a=#il6;%;46?2d12.?:l4;3g9me62=:9i37)7<9;0f4==n<=n<<=1<7*n3382g1=ii::1>65f44:94?"f;;0:o95aa2297>=h?:l1<7*n338;1<=o4>:383>5}#i:81>9j4$851>1de3A3<86`n35814f?5$`11>=3>32wi?45<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o45+47c906`la:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<95095?4=83:p(l==:36g?!?0:3>in6F6759me62=:9ii7d::4;29 d552=?n76a83g83>!g4:32>565rb2;75?7==3:1>0Dl?k;%6ah>7;h661?6=,h996>:7>5$`11>4e33gk8<7?4;h663?6=,h996>47>5$`11>4e33gk8<7=4;n50b?6=,h9965;6;:a7<1228096=4?{%c06?43l2.2;?4;bc9K=22ld:k711<72-k8>7::e:9l36`=83.j??475898yg5>?=0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:33o7?52;294~"f;;098i5+96090gd<@0=?7co<4;03gc=n<<>1<7*n33871`=9m6=4+a209<0?<3th855l51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`877c=ii:>1>=j?;%;0=?4b811b88;50;&b77<6k=1em>>50:9j000=83.j??4>c59me66=921b88950;&b77<6k=1em>>52:9j00>=83.j??4>c59me66=;21d;>h50;&b777<729q/m><525f8 <152=hi7E784:lb71<58m;0e9;;:18'e64=<4<3290;w)o<2;:fa>N>?=1Cm7be12.2;?4;c49'03g=il1em>:521f1?!?41398oi5f44795?"f;;0:o95aa2294>=n<<<1=7*n3382g1=ii::1=65f44595?"f;;0:o95aa2296>=h?:l1=7*n338;1<=:383>5}#i:81>9j4$851>1de3A3<86`n35814a55$`11>=3>32wi?<=>:086>5<7s-k8>76je:J:31=Oi8n0(9l7:3fa=>">?;0?o55+47c9605k4:&:7<<5m920e9;::18'e64=9j>0bl=?:198m131290/m><51b68jd572810e9;8:18'e64=9j>0bl=?:398m13?290/m><51b68jd572:10c:=i:18'e64=0<307pl<51795?4=83:p(l==:36g?!?0:3>in6F6759me62=:9n>7d::4;29 d552=?n76a83g83>!g4:32>565rb2730?7==3:1>0Dl?k;%6amk;h661?7=,h996>:7?5$`11>4e33gk8<7?4;h663?7=,h996>47?5$`11>4e33gk8<7=4;n50b?7=,h9965;6;:a7d7728096=4?{%c06?43l2.2;?4;bc9K=22k7:k711<72-k8>7::e:9l36`=83.j??475898yg5f8o0:684?:1y'e64=0lo0D49;;Ic2`>"3j109ho74$851>1e>3->=m7:o3=<0;6)o<2;3`0>hf;90;76g;5783>!g4:3;h86`n3182?>o3=>0;6)o<2;3`0>hf;90976g;5983>!g4:3;h86`n3180?>i0;o0;6)o<2;:6=>=zj:2m<7?52;294~"f;;098i5+96090gd<@0=?7co<4;03`<=n<<>1<7*n33871`=9m6=4+a209<0?<3th84hh51;794?6|,h9965kj;I;40>Nf9m1/8o652e`:?!?0:3>h56*;6`877c=ii:>1>=jn;%;0=?4b811b88;51;&b77<6k=1em>>50:9j000=93.j??4>c59me66=921b88951;&b77<6k=1em>>52:9j00>=93.j??4>c59me66=;21d;>h51;&b77"3jh035l5+4c190fgkb:k;g2<72-k8>76l7:9~f=`4280:6=4?{%c06?>d?2B2;95G4738 1d32=?n7):ma;::=>"3j:0?on5aa26965bd3`2h;7>5$`11>=e032wi5><51;394?6|,h9965m8;I;40>N3>81/8o:584;8 1df21h4n>037c7<0;37?kg4<38;hi5`8b594?"f;;03o:54}c;07?7=93:1>0D98>;%6a0?22m2.?nl477g9'0g5=h8:99m=66==91em>:521ff?l>d?3:1(l==:9a4?>{e1:?1=7?50;2x d5521i<7E784:J724=#14874$5`b>=163->i?7:lb:lb71<58ml0c5m8:18'e64=0j=07pl63782>4<729q/m><58b58L<133A>==6*;b58;1<=#1ee3g9m;764n813>06j0:m;g2<72-k8>76l7:9~f<16280:6=4?{%c06?>d?2B2;95G4738 1d321?27):ma;::g>"3j:0?on5a3g59<>h>;90><6`n35814`7:083>5}#i:814n94H857?M2192.?nl47669'0g5=h8:99m=66==91em>:521g1?.>d?3:1(l==:9a4?>{e1181=7?50;2x d5521i<7E784:J724=#1ee3g9m;764n813>06j3:);g2<72-k8>76l7:9~f<>4280:6=4?{%c06?>d?2B2;95G4738 1df212o7):m3;6`f>h4n>037c7<0;73?kg4<38;i95$8b594?"f;;03o:54}c;;0?7=93:1>0D98>;%6ae?>>82.?n>4;cc9m7c1=02d2?=4:0:lb71<58l?0'5m8:18'e64=0j=07pl68482>4<729q/m><58b58L<133A>==6*;b`8;=6=#==i1::19=5aa26965c13"2h;7>5$`11>=e032wi55851;394?6|,h9965m8;I;40>N3>81/8oo58848 1d42=ii7c=i7;:8j<572<:0bl=;:32f3>-?k>0;6)o<2;:`3>=zj0236<4>:183!g4:32h;6F6759K037<,=hj6577;%6a7?2dj2d8j:47;o;04?373gk88750z&b771C5::4H542?!2ei32=h6*;b287gg=i;o=146`631864>hf;=095<#i:814n94;|`:>5519me62=:9oj7&6l7;29 d5521i<76sm99`95?7=83:p(l==:9a4?M?0<2B?:<5+4cc9<2><,=h869mm;o1e3?>4n`17>76bj2!3o:4?:%c06?>d?21vn46l:082>5<7s-k8>76l7:J:31=Ohn6`im768d:&7f6<3kk1e?k958:l:75<282dj?94=0df8/=e0290/m><58b58?xd>0l0:6<4?:1y'e64=0j=0D49;;I655>"3jh034?5+4c190fd1>=kj;*:`3?6=,h9965m8;:a==`=93;1<7>t$`11>=e03A3<86F;609'0gg=01>0(9l<:5aa?k5a?320b4=?:428jd532;:nj6%7c683>!g4:32h;65rb8;3>4<6290;w)o<2;:`3>N>?=1C8;?4$5`b>=>03->i?7:lb:l0b210qo761;395?6=8r.j??47c69K=22<@=<:7):ma;:;=>"3j:0?oo5a3g59<>h>;90><6`n35814c7<#1i<6=4+a209:083>5}#i:814n94H857?M2192.?n947589'0gg=00=0(9l<:5aa?k5a?320b4=?:428jd532;:m>6a7c683>!g4:32h;65rb8a0>4<6290;w)o<2;:`3>N>?=1C8;?4$5`b>=1b3->i?7:lb:l0b210qo7l4;395?6=8r.j??47c69K=22<@=<:7):ma;:4f>"3j:0?oo5a3g59<>h>;90><6`n35814c2<#1i<6=4+a209:083>5}#i:814n94H857?M2192.?nl47789'0g5=h8:99m=66==91em>:521d6?.>d?3:1(l==:9a4?>{e1j<1=7?50;2x d5521i<7E784:J724=#1ee3g9m;764n813>06i6:);g2<72-k8>76l7:9~fd?2B2;95G4738 1df21h4n>037c7<0;73?kg4<38;j:5$8b594?"f;;03o:54}c;`=?7=93:1>0D98>;%6ae?>1k2.?n>4;cc9m7c1=02d2?=4:0:lb71<58o20'5m8:18'e64=0j=07pl6c`82>4<729q/m><58b58L<133A>==6*;b58;1<=#1ee3g9m;764n813>06i9:m;g2<72-k8>76l7:9~fd?2B2;95G4738 1d321?27):ma;::6>"3j:0?oo5a3g59<>h>;90><6`n35814cg:083>5}#i:814n94H857?M2192.?n947589'0gg=00;0(9l<:5aa?k5a?320b4=?:428jd532;:mn6a7c683>!g4:32h;65rb8ag>4<6290;w)o<2;:`3>N>?=1C8;?4$5`7>=3>3->im767e:&7f6<3kk1e?k958:l:75<282dj?94=0ga8k=e0290/m><58b58?xd>kl0:6<4?:1y'e64=0j=0D49;;I655>"3j=03945+4cc9<=e<,=h869mm;o1e3?>4n`17>76al2e3o:4?:%c06?>d?21vn4mi:082>5<7s-k8>76l7:J:31=Ohf;=095<#i:814n94;|`:`5<6280;6=u+a209i?k>0;6)o<2;:`3>=zj0o<6<4>:183!g4:32h;6F6759K037<,=hj657k;%6a7?2dj2d8j:47;o;04?373gk887<>019(50z&b771C5::4H542?!2ei32>j6*;b287gg=i;o=146`631864>hf;=09==?4+9a4>5<#i:814n94;|`:a<<6280;6=u+a20991/8o=54b`8j6`0211e5>>5519me62=:8:97&6l7;29 d5521i<76sm9dc95?7=83:p(l==:9a4?M?0<2B?:<5+4cc9<37<,=h869mm;o1e3?>4n`17>777;2!3o:4?:%c06?>d?21vn4km:082>5<7s-k8>76l7:J:31=Ohn6`im7695:&7f6<3kk1e?k958:l:75<282dj?94=1178/=e0290/m><58b58?xd>ml0:6<4?:1y'e64=0j=0D49;;I655>"3jh03:;5+4c190fd1><>9;*:`3?6=,h9965m8;:a=``=93;1<7>t$`11>=e03A3<86F;609'0gg=00o0(9l<:5aa?k5a?320b4=?:428jd532;;;;6%7c683>!g4:32h;65rb8d3>4<6290;w)o<2;:`3>N>?=1C8;?4$5`7>=3>3->im766f:&7f6<3kk1e?k958:l:75<282dj?94=11:8k=e0290/m><58b58?xd>n80:6<4?:1y'e64=0j=0D49;;I655>"3j=03945+4cc94n`17>77712e3o:4?:%c06?>d?21vn4h=:082>5<7s-k8>76l7:J:31=Ohf;=09==o4o9a4>5<#i:814n94;|`:b6<6280;6=u+a209i?k>0;6)o<2;:`3>=zj0l?6<4>:183!g4:32h;6F6759K037<,=h?65;6;%6ae?>0<2.?n>4;cc9m7c1=02d2?=4:0:lb71<599i0c5m8:18'e64=0j=07pl6f482>4<729q/m><58b58L<133A>==6*;b58;1<=#1ee3g9m;764n813>0676l7:9~f<`1280:6=4?{%c06?>d?2B2;95G4738 1df212;7):m3;6`f>h4n>037c7<0;73?kg4<38:>0D98>;%6ae?>>j2.?n>4;cc9m7c1=02d2?=4:0:lb71<599l0'5m8:18'e64=0j=07pl6d082>4<729q/m><58b58L<133A>==6*6728:2c=#1ee3g9m;764n813>060:);g2<72-k8>76l7:9~fbj33=j6F6759K0g4<,=h36?jm9:lb71<598;0(4=6:035`>o3==0;6)o<2;66a>=h?:l1<7*n338;1<=>5519me62=:8;97&6l7;29 d5521i<76sm9e695?4=83:p(l==:36g?!?0:3>>56*;b5871`=#1>915;h4$9ga><0a3A3<86F;b39'0g>=:mh27co<4;0256=#1:31=<8k;h660?6=,h9969;j;:m47c<72-k8>76:9:9~w7022908wS<95:?b06<3==16>;;58b58yv2ci3:1>lot=`3f>12b3488m<4=8e9>7a>62;2o70?na3819:3:g?871i10?9:5217`0>13034;=nh4;569>53e62=?<70?9c38712=:9?i869;8;<35g1<3=>16=;m::574?871k?0?9:5217a4>13034;=m44;569>53gf2=?<70?9ab8712=:9?ko69;8;<35e`<3=>16=;l=:574?871j=0?9:5217`5>13034;=n:4;569>53d?2=?<70?9b88712=:9?hj69;8;<35fg<3=>16=;ll:574?871jm0?9:5217`e>13034;=o=4;569>716>21l;70=;088;b4=:;=:26?8>;<174<=`7349?8847f39>716>28<;70=;0882b5=:;=3=65h?;<17=340734894?4=629>67?b2;<870<=8781=g=::;236?7m;<0fec<5>:16>hkj:340?84b8009:>522d07>704348n;l4=629>6`>e2;<870h6>:3;a?84b0:095o522d:6>7?e348n4:4=9c9>6`552;<870h=k:3;a?84b;o095o522d62>7?e348n8>4=9c9>6`222;3i7026?7m;<0f76<51k16>h=::3;a?84b;>095o522d43>704348n;=4=629>6`022;3i70:16>ho::340?84b1h095o522d;`>7?e348n5h4=9c9>75772;3i70k99:3;a?84a?>095o522g5;>7?e348m;44=9c9>6c1f2;3i70k8i:3;a?84a?9095o522g52>7?e348m;?4=9c9>6c142;3i70<=f98126=::::o6?8<;<01b<<51k16>?hm:3;a?845nm095o5223de>7?e3488<<4=9c9>66642;3i70<<0481=g=::::<6?7m;<01e`<5>:16>?m::340?845io095o5223`2>7?e3489n>4=9c9>67d22;3i70<=b681=g=::;h26?7m;<01fg<51k16>?lk:3;a?845jo095o5223f2>7043489i54=629>67b32;3i70<=d781=g=::;n36?7m;<01`d<51k16>?jl:3;a?845ll095o5223g3>7?e3489i?4=9c9>67c32;3i70<=d381=g=::;:16>?8l:3;a?845>o095o522352>7?e3489:>4=9c9>67022;3i70<=6681=g=::;<26?7m;<10`a<5>:16?>hn:340?8539009:>523515>704348m6c2c2;<870?9388711=:9?:>69;;;<36bc<3==16=8hk:577?870<809:>521640>70434;<:o4;b69>52122;<870?8968126=:9>3m69l8;<3fbd<51k16=h8?:3;a?87bkj095o521d;f>7?e34;hj94=9c9>5ad52;<870?kc88126=:9mh>6?7m;<3gf2<51k16=il6:3;a?87cjk095o521e`g>7?e34;onk4=9c9>5ae62;3i70?kc281=g=:9mi>6?7m;<3gf6<51k16=ij9:340?87cmm09:>521ef:>7?e34;oho4=9c9>5abc2;3i70?kdg81=g=:9mo:6?7m;<3ga6<51k16=ik::3;a?87cm>095o521eg:>7?e34;oh:4=9c9>5f552;<870?l458126=:9j9j6?7m;<3`f`<5>:16=njk:340?87dk0095o521baa>7?e34;hoi4=9c9>5fea2;3i70?ld081=g=:9jn86?7m;<3``0<51k16=nj8:3;a?87dl0095o521b`e>7?e34;ho<4=9c9>5fe42;3i70?lc481=g=:9ji<6?7m;<3gbd<5>:16=h?>:340?87cnm095o521ede>7?e34;n<<4=9c9>5`642;3i70?j0481=g=:9l:<6?7m;<3f4<<51k16=h>m:3;a?87b8m095o521eda>7?e348onn4=629>6aeb2;<870e6d=0ll01l=m:9f;?8g4j32o;63n3c8;`0=:i:i1>:>4=`1`>=ca34k8o76k8:?b7f16m>m58e7894g5:38=?63>a279635<58k9?7<6b:?2e73=:0h01;6i;31>4l4=0c1f?4>j27:m?j528`894g5n382n63>a2396=:?901;6jj21>4l4=0``e?4>j27:nnm528`894ddm382n63>be2967<6b:?2fa2=:0h01?j>b;0:f>;5k;o1>4l4=3`03?4>j2794lh528`897>e:382n63=8c196;50k=1>4l4=3:aj2794o7528`897>ei382n63=8c296;5=ml1>4l4=37f4?4>j2799h?528`8973b:382n63=5d196;5>=81>4l4=346j279:8m528`89702m382n63=672967<6b:?1232=:0h01?896;0:f>;5>?21>4l4=341a?4>j279:>>528`89704:382n63=62696=:0h01?8;5>:i1>4l4=340a?4>j279:9>528`89703<382n63=65496;5>=o1>4l4=3464?4>j279:8<528`89702<382n63=64496m7<6b:?1326=:?901?973;057>;5?>;1>4l4=3547?4>j279;:;528`89710?382n63=76;96;4:ho1>4l4=20bb?4>j278>o>528`8964e9382n63<2c096;6?;i1>4l4=051`?4>j27:;?k528`89415n382n63>72296;59=;1>4l4=3376?4>j279=9=528`89773<382n63=15796;5:181>4l4=30;e?4>j279>5m528`8974?m382n63=28296j8e;;77>;4l>o159:4=2f4a???8278h:j5951896b0l33?86301>j8f;;;4>;4l1:159=4=2f;4??3<278h5>5992896b0j33??63j8c;;70>;4l>i155>4=2f4=??3;278h:75956896b01333<63;5;>;159:4=3145???8279?:<595189750:33?863=3609==6<5;901?=83;;;4>;5;>>159=4=3140??3<279?::599289750=33??63=3679=12<5;9<97770:?1720=1=901?=86;;70>;5;><155>4=3143??3;279?:9595689750?333<63=36:9=15<5;9<477;4:?172>=11:01?=8a;;77>;5;>k159:4=314e???8279?:l595189750j33?863=36`9==6<5:nh:77;3:?0`f0=1=>01>jl6;;;4>;4lj<1?>74=2f`2?54?278hn85324896bd>398963jl6;10b>;5;jl188;4=3af3?22=279?4j54448976f>3>>:63=0`19003<5:hi57::5:?0f=`=<;4kl:188;4=0g6b?22=27:i875447897>3m3>>:63?i9;662>;48jk188;4=2ab2?22>2788n?51538962d93;?m63<4b3951d<5:>i97?;1:?00g3=9=k01>:m5;37f>;4ho7?;b:?00f`=9=;01>:lf;37e>;4515c8962c83;?n63<4e39517<5:>o=7?;a:?00a7=9=h01>:k2;375>;4o87?;1:?00a2=9=k01>:k4;37f>;4i47?;b:?00g?=9=;01>:m9;37e>;4in7?;a:?00gd=9=h01>:mc;375>;4ii7?:me;37e>;4h<7?:l0;37e>;4h?7?:l3;37e>;4h97?:l5;37e>;43;?m63<4b4951d<5:>h;7?:l7;37e>;4h57?:l9;37e>;4hn7?:lb;37e>;4hi7?:le;37e>;4676951d<58<>j7?;6>64c951d<58<>97?;6>51538940283;?m63>642951d<58;6>=h1=9l4=0472?74n27::98515389403>3;?m63>654951d<58;6>=;1=9l4=0404?74n27::>>51538940483;?m63>622951d<58<9n7?;6>;h1=9l4=0412?74n27::?8515389405>3;?m63>634951d<58<9=7?;6>;;1=9l4=042g?74n27::60a951d<58<:;7?7;37e>;6>8=1=9l4=0426?74n27::<<515389406:3;?m63>600951d<58<;h7?;6>9n1=9l4=07e5g:951d<58?m?7?;6=o91=9l4=07fa?74n27:9hk51538943bm3;?m63>5dg951d<58?n57?;6=l31=9l4=07f0?74n27:9h:51538943b<3;?m63>5d6951d<58?oj7?;6=ml1=9l4=07ge?74n27:9io51538943ci3;?m63>5ec951d<58?o97?;6=m?1=9l4=07g4?74n27:9i>51538943c83;?m63>5e2951d<58?hn7?;6=jh1=9l4=07`2?74n27:9n851538943d>3;?m63>5b4951d<58?h=7?;6=j;1=9l4=07ag?74n27:9om51538943ek3;?m63>5ca951d<58?i;7?;6=k=1=9l4=07a6?74n27:9o<51538943e:3;?m63>5c0951d<58?jh7?;6=hn1=9l4=036b?74n27:=8h515389472n3;?m63>14d951don7>521y>70ef2>9m70=9498710=:;?>?69;:;<1505<3=116?;=l:57;?851;10?95523717>13?349=?=4;599>734>2=?370=924871==:;?8:69;7;<155a<3=116?;?6:57;?8519<0?95523732>13?349=736>2=?370=904871==:;?::69;7;<16ba<3=116?8h6:57;?852n<0?955234d2>13?349>ii4;599>70c>2=?370=:e4871==:;13?349>oi4;599~w1bd2903w0=:93847c=:;69;9;<16e5<3=?16?87m:575?852i00?9;5234`7>131349>mk4;579>70>c2=?=7p};de83>42cs4k:j76:a:?2g66=:1n01?=n2;0;`>;4l>?1>5j4=2f1k529f8940?1382n63>68696;6>h91>4l4=04b0?4>j27::l;528`8940f>382n63>6`596;6>1i1>4l4=04;`?4>j27::5k528`8940?n382n63>6`d9001<58<2<7<6b:?22g6=<<=01<861;0:f>;6>k;18894=04:6?4>j27::4=528`8940>=382n63>6c79001<58<2:7<6b:?22<1=:0h01<868;0:f>;6>031>4l4=04:e?4>j27::4l528`8940>k382n63>68f96;5m:81>4l4=2224?41;278>>6;0:f>;488=1>4l4=222j279j;j5271897`?l38=?63=fbf9635<5;8m47<6b:?16dc=:0h01?;5:?h1>4l4=305a?4>j27:4o:524d894>e=38>j63>8ca960`<582ih7<:f:?2;60j:1>8h4=0:`5?42n27:4n<524d894>d;38>j63>8c4960`<582i;7<:f:?2=:;60kk1>8h4=0:af?42n27:4i:524d894>c=38>j63>8ea960`<582oh7<:f:?2;60l:1>8h4=0:f5?42n27:4h<524d894>b;38>j63>8e4960`<582o;7<:f:?2=:;60mk1>8h4=0:gf?42n279<>k529f8976fi383h63=05396=b<5;:?>7<7d:?141>=:1n01;6n8i1>;=4=0ge`?4>j27:ikk528`894can382n63>f1296;6n9>1>4l4=0d31?4>j27:ikm528`894c1838=?63>e9g9635<58o=;7<6b:?2a3>=:0h01;6m?k1>4l4=0g5f?4>j27:i;m528`894c1l382n63>e7g96;6m?>1>4l4=0g51?4>j27:i;8528`894cdk38=?63>edg9635<58ohj7<6b:?2aa6=:0h01;6mm81>4l4=0gg7?4>j27:ii:528`894cc=382n63>ee496;6mj:1>;=4=0gb5?4>j27:il<528`894cf;382n63>e`696;6mh21>4l4=0gb=?4>j27:il>528`894e0838=?63>c9:9635<58i><7<93:?2gd6=:?901;6l081>;=4=0f34?4>j27:h=l528`894b7l382n63>d1g961;0:f>;6l881>4l4=0f27?4>j27:ok8528`894ea?382n63>cg:96;6koi1>4l4=0ae`?4>j27:okk528`894ean382n63>d13967<6b:?2`55=:0h01;6l9?1>4l4=0f32?4>j27:h=9528`894b70382n63>d1;96;6lm<1>4l4=0aaa?4>j27:hko528`894g6l383h63>a0;96=b<58k;6jki1>5j4=0a15?4?l27:nn;528`897gc=383h63=d4;96=b<5;n:n7<93:?1`1?=:?901?j=2;0:f>;5l;91>4l4=3f10?4>j279h?;528`897b5>382n63=d3596;5l8n1>4l4=3f2a?4>j279h;5k:?1>4l4=3a02?4>j279o>9528`897e40382n63=c2;96;5k:n1>4l4=3a04?4>j279o>?528`897e4:382n63=c2196;5j>?1>5j4=3`03?41;279n;;5271897d4m382n63=b2d96;5j=91>4l4=3`70?4>j279n9;528`897d3>382n63=b2;96;5j:n1>4l4=3;af?4?l2794lh5271897>a?38=?63=8b59635<5;=ni7<7d:?13f7=:1n01?;kd;057>;5>9n1>;=4=37f`?41;279:?j528`897108382n63=c6d960`<5;i3<7<:f:?1g=1=:;5k131>8h4=3a;e?42n279o5l524d897e?k38>j63=c9f960`<5;i3i7<:f:?1g=7=:;5k191>8h4=3a;0?42n279o5;524d897e?>38>j63<2`g9635<58=9o7<93:?1517=:?901>jl6;110>;4lj<1??<4=2f`2?558278hn8530d896bd>39:i63c:?0`f0=;8h01>jl6;12e>;4lj<1?<74=2f`2?560278hn85305896bd>39:9633:?0`f0=;8801>jl6;125>;4lj<1?<>4=2f`2?57n278hn8531g896bd>39;h63jl6;11e>;4lj<1??74=2f`2?550278hn85335896bd>399:636:?0`f0=;9h01?>;5;661>;410i18884=26`5?74n2788o;512d8962e>3;8j63<4ba956`<5:>hj7?:k1;30b>;4h4=26g7?74n2788i:512d8962e?3;8j63<4c:956`<5:>i57?:mb;30b>;4h4=26a`?74n2wx8ik50;0x9726=3=8j63=4069001oj7>52z?027b=<<=01>;l4;50b>{tv3=8539001<5;28979l6f;661>;4k;:1;>h4}r6f7?6=:r78n4m5445896e6m3=8j6s|4d694?4|5:h9m7::5:?0g4e=?:l0q~:j5;296~;4j?:18894=2130?14n2wx8h850;0x977e?3>>;63=194936`n;7>52z?150c=<{t13?34lj=79=4;66<>;a:l05<5s4ljn7::5:?e50<0;o1v9km:1818779j0?9552f01936`no7>52z?0f=5=<<<01>l:0;50b>{tv3ln6;662>;4k;=1;>h4}r6e4?6=:r78no?544:896e5=3=8j6s|4g394?4|5:i8i7::5:?0g63=?:l0q~:i2;296~;4=:n18894=26e2?14n2wx8k=50;0x967?n3>>463<165936`m87>52z?05<7=<?85;50b>{t7572d8yv2a>3:1>v3mj6;663>;4k:k1;>h4}r6el544789g0?2>9m7p};f883>7}::;9=69;:;<017`<0;o1v9hn:18185eim0?98523b00>25a3ty?jo4?:3y>7gg62=?>70=ma8847c=z{=lh6=4={<1ae2<3=?16?oo::61e?xu3nm0;6nu231aa>25a349:n=4;599>74cf2=?370=>a4871==:;9ih69;8;<12f2<3=>16?=k<:574?857l10?9:5230`a>13?349;hl4;569>74b22=?=70=?c18713=z{=ln6=4={<1a=2<3=?16?oo<:61e?xu3no0;6?u2237`>13234898o483g9~w0672909w0=:32871==:;=l;6:=i;|q644<72;q6?9k8:576?853m<05<5s49:944;549>74402>9m7p}:0283>7}:;8?j69;9;<1260<0;o1v8>;:181856m=0?955231`0>25a3ty><84?:3y>6=2a2=?370<73c847c=z{<:=6=4={<0b`1<3=>16>o0;6?u22106>132348;<4483g9~w06?29098;4>:=18884=2407?22>278:?h54448960503>>:63<6369000<5:<9<7::6:?024e=<<<01>8>8;662>;4>8>18884=2424?22>278:=m54448960703>>:63<6169000<5:<;<7::6:?01ce=<<<01>;i8;662>;4=o>18884=27e4?22>2789hm54448963b03>>:63<5d69000<5:?n<7::6:?01ae=<<<01>;k8;662>;4=m>18884=27g4?22>2789n854448963dj3=8j6s|51;94?4|5::n>7::8:?04`2=?:l0q~;?a;296~;49j918864=22g=?14n2wx9=l50;0x9765>3>>:63=01a936`52z?05dd=<<=01>?ma;50b>{t=9n1<7v3<0cc9000<5:9h=79>ke;663>;49l31;>h4}r724?6=:r7854458965em3=8j6s|50394?4|5:==>7::5:?06a6=?:l0q~;>2;296~;4i?>188;4=20e6?14n2wx9<=50;1x96g6:3=8j63o;7;661>;4:o:1;>h4}r721?6=1r785;j5447896?e13>>963<9e79003<5:3m=7::5:?0e4g=<o<9;661>;4?<>188;4=2564?14n2784;954478yv36>3:1>v3o?4;661>;4:li1;>h4}r72a;296~;40?<18864=2574?14n2wx9>46352z?016d=<<201>;?d;50b>{t=8n1<7v3<553900><5:>mh79;;4h4}r714?6=:r789=?544:8962a:3=8j6s|53394?4|5:>mj7::8:?00`e=?:l0q~;=2;296~;40>963<9ga936`52z?032d=<7ia;50b>{t=;?1<73:1>v3<50f900><5:?:=79?>c;663>;49:81;>h4}r71>;63<53d9001<5:?957::7:?0171=<<=01>;>d;663>;4=8h18894=272=?22?2wx9?750;31850:<013134929k4;579>713134972`a2=?=70=7178713=:;18o69;9;<1;01<3=?16?57;:575?85?ik0?9;52395a>1313ty>>l4?:9y>705e2=?<70=:388712=:;<::69;8;<17bc<3=>16?9hn:574?853n=0?9:5235d6>25a349?j;4;559~w04e2909w0=94c8710=:;hhj6:=i;|q66f<721q6?8><:61e?852:<0?9:523400>130349>><4;569>707a2=?<70=:138712=:;<:n69;8;<164d<3=>1v8130349?ih4;569>71c>2=?<70=:328712=:;=o?6:=i;<17a0<3==1v813?349;h5483g9>75b>2=??7p}:2g83>1}:;9kn69;:;<10gd<0;o16?>mm:577?854j10?955rs413>5<3s49hi84;549>7f5?2=?>70=l38847c=:;j9j69;;;|q674<72131349:n4483g9>74df2=??7p}:3383>7}::13?6:=i;<0;=4<3=?1v8=<:186854k:0130349:n?4;549>75b72=?=70=?b78710=z{<9?6=4={<0;=4<3=116>57::61e?xu2;<0;6lu23bg2>1303488554;569>7f5a2=?=70=l328710=:::3h69;8;<1``d<0;o16?njm:577?84?ij0?95523bf`>130348i>n4;579~w0512909w0j69;7;|q672<72:q6?=l;:57;?857j;01333ty>?54?:2y>6d2d2>9m701323ty>?l4?:31x964>;3>>463<25g9000<5:8957::6:?0675=<<<01><;5;663>;4>=h18884=2206?22>27848j5447896?2n3>>963<9``9003<5:3h;7::5:?0=`5=<970;661>;4?0i188;4=25a>963<7gd9003<5:2::7::5:?0<7b=<6;4;661>;400>188;4=2:bf?22=278;>;54448965113>>:63<3929000<5:8j57::8:?0e42=?:l01>o>5;660>;4=1>18884=2:4f?22=278=8m544789672:3>>963<1549003<5:;8m7::5:p16d=83kp1>>jd;50b>;498n18894=2323?22?278=>;63<1179001<5::mj7::7:?04c?=<<=01>>i3;663>;48li18894}r70g?6=:r78:9m572d89603j3>>;6s|52f94??|5::ii7::8:?04d4=<<201>?j2;66<>;49ok18864=22`a?220278>863<0e29001<5::h87::7:p16c=839p1>:j7;50b>;4h50;;x966e13>>463<0c7900><5::jh7::8:?05f2=<<201>>j5;66<>;48h318864=22b1?220278=o9572d8967e03>>86s|55294?`|5:;2=7::8:?05=b=<<201>?7b;66<>;491318864=23;3?220278=5;544:8967?;3>>463<193900><5:;?8b;66<>;49>318864=2347?220278=:8572d89670?3>>86s|55394?5|5::n<7::8:?04`5=?:l01>>j4;660>{t==81<7=t=23`e?14n278=nm544:8967d13>>46s|55194?3|5;9>=79;5;=o188;4=30`0?22=2wx99:50;01852kj013?349>o?4;569>732?2=?370=945871==:;?>;69;9;<157f<3=?16?;=7:575?851;=0?9;523713>131349=>44;579>73422=?=70=9208713=:;?;o69;9;<155<<3=?16?;?::575?851980?9;52372g>131349=<44;579>73622=?=70=9008713=:;131349>i44;579>70c22=?=70=:e08713=:;1313ty>884?:3y>5cg?2>9m70?ia18712=z{<>=6=48{<12b1<3=116?13?349:n;4;599>74de2>9m70=>bb8711=z{<><6=4={<3ee<<0;o16=ko?:57;?xu2<10;68u23412>13?349>>84;599>71cb2=?370=;f0847c=:;=l969;;;|q60<<7213?349?io483g9>71cd2=??7p}:4`83>0}:;<8269;7;<1664<3=116?9hn:57;?853nj01333ty>8o4?:0cx96e>13>>463<5:i3o7::8:?0g=g=<<201>m78;66<>;4k1<18864=2a;0?220278o5<544:896e?83>>463<5:im82;66<>;4k>:18864=2a``?22?278o9h5445896ed13>>;63j7::7:?0g0d=<<=01>m:7;663>;4k<918894=2a5`?22?278o;65445896e4<3=8j6355z?0171=<<201>;>f;66<>;418864=26e3?14n2788k654468yv33l3:1=ou23`3:>25a349j8i4;579>7d0f2=?=70=8698713=:;>2969;9;<14=`<3=?16?:ln:575?850l:0?9;5236gb>1313493<<4;579>7=7?2=?=70=72g8713=:;1>=69;9;<1;3c<3=?16?579:575?85?im0?9;5239a7>1313493ho4;579>7=`52=?=70=6088713=:;0;i69;9;<1:76<3=?16?4:n:575?85>?m0?9;5238;7>131349j7d572=?=7p}:4d83>1}:;<;969;7;<167a<3=116?8??:61e?852980?995rs46e>574262=?>70=>348710=:;88i69;:;<1263<0;o16?<<8:577?8569l0?9:52303;>130349:=?4;569>746d2=?<70=>078712=:;8:;69;8;<13bd<3=>16?=h;:574?857ml0?9:5rs473>5<0s49>m94;549>70?a2=?>70=:9`8710=:;<3369;:;<16=0<3=>16?8ol:576?8521805<5s4889i483g9>663d2=?37p}:5383>1}:;<;i69;7;<164`<3=116?8>l:61e?8528m0?995rs470>5<3s49>=44;599>706f2=?370=:09847c=:;<:269;;;|q611<72;q6>l:9:61e?84f<<0?955rs476>5<1s4;3i:4;569>5d?c2=?<70?7fc8712=:91lh6:=i;<3b<<<3=>16>>77:576?xu2=?0;6ou23035>130349:==4;569>746f2=?<70=>058712=:;9ln69;8;<13b=<3=>16?=h=:574?857mk0?9:523220>130349:?<483g9>74552=??7p}:5683>7}:;?><69;8;<150<<0;o1v8;7:187855<<0?955233c;>1323499mn4;549>7d7e2>9m7p}:5883>7}:;?>869;8;<1500<0;o1v8;n:181851;o0?9:523762>25a3ty>9o4?:3y>735e2=?<70=93e847c=z{16?;=6:61e?xu2=m0;64u2387a>1313492m:4;579>769;:;<1b5c<3=<16?:n?01313ty>9h4?:3y>73542=?<70=934847c=z{:576?85>im0?985238a:>1323492i84;549>72502=?>70=n2e8710=:;0li6:=i;<1:bf<3==1v88?:18:85>>80?9:5238cg>1303492o44;569>79<69;8;<1b6a<3=>16?4hi:61e?85f890?995rs442>5<5s49=>k4;569>73562>9m7p}:6383>4d|5:=8:797:a;661>;41h<188;4=2;`6?22=2785ik544789610k3>>963<78:9003<5:=i87::5:?03ae=<9i3;661>;409k188;4=2:15?22=2784>65447896>3n3>>963<89:9003<5:22j7::5:?06ld;661>;40l>188;4=2:ef?22=2785<<5447896?5=3>>963<92a9003<5:3>?7::5:?0==0=<76f;661>{t=?91<7v3<6369001<5:<9:798=0;663>;4>;81;>h4}r752?6=:r78:278=>6544489675m3>>:63<136936`<5:;997::4:?054c=<<201>?>8;66<>;498818864=233g?220278==8544:8967783>>463<0gc900><5::m87::8:?04`c=<<20q~;99;296~;4>8>18894=2422?14n2wx9;o50;0x960683>>;63<600936`52z?025e=<<=01>8?e;50b>{t=?i1<7v3<6169001<5:<;:79?7f;661>;491n188;4=23;f?22=278=5754478967??3>>963<1979003<5:;3?7::5:?05=7=<?8f;661>;49>n188;4=234f?22=278=:7544789670;3>>963<166936`<5:;<97::4:p13`=838p1>8?0;663>;4>981;>h4}r744?6=:r789km54458963am3=8j6s|56394?4|5:?m47::7:?01cg=?:l0q~;82;296~;4=o>18894=27e2?14n2wx9:=50;0x963a83>>;63<5g0936`5az?01<1=<<<01>;64;662>;4=1k1;>h4=27bg?22?2789lj54448963e:3>>:63<5949000<5:?j97::7:?01d6=<<=01>;6b;663>{t=>?1<73:1>v3<5d:9001<5:?nm79;j4;663>;4=l<1;>h4}r7454458963b:3=8j6s|56;94?4|5:?oo7::7:?01ac=?:l0q~;8a;296<}:;8o=69;:;<12g6<3=<16?=k=:575?857m90?985231ff>131349;h;4;549>75db2=?>70=>d58713=:;9n<69;9;<13fc<3=?16?=l6:575?857j<0?9;5231cg>131349;m?4;549>74ca2=?>70=>cb8710=:;8i269;:;<12b1<3=?16?131349:jl4;569>75eb2=?<70=>b18713=:;8oj69;9;<12e0<3=?16?<8i:575?856>m0?9;52304a>131349::44;579>74002=?=70=>648713=:;8<869;9;<13=`<3=>16?=7i:574?857l:0?9:5231ae>130349;o>4;569>74bc2>9m70=>dd8711=:;9h969;7;|q63g<72;q6?8j7:574?852lh05<5s49>h94;569>70b12>9m7p}:7e83>7}:;25a3ty>;k4?:3y>6=?b2>9m70<79e871==z{<2;6=4={<074a<0;o16>9>l:574?xu2080;6?u2324b>1313498:o483g9~w0>52909w0=m708712=:;k=86:=i;|q6<6<72;q6?o8l:574?85e>l05<5s4l::7::8:?e5=<0;o1v86::181857;;0?98523117>25a3ty>4;4?:3y>7g?a2=?370=ma1847c=z{<2<6=4={<0b7c<0;o16>l=l:57;?xu2010;6?u22`1b>13?348j8?483g9~w0>>2909w0=>d58712=:;8n=6:=i;|q65<5s49;i84;569>75c02>9m7p}:8b83>7}:;9n<69;8;<13`g<0;o1v86k:181857jo0?9:5231a2>25a3ty>4h4?:0`x96?6<3>>463967;661>;4?k9188;4=25g4?22=278;h954478961am3>>963<8079003<5:29o7::5:?0<15=<68a;661>;4009188;4=2:be?22=2784n?5447896>c03>>963<8df9003<5:3;:7::5:?0=66=<7;7;661>;41>k188;4=2;;a?22=278m=k5447896g4;3>>963<9g;936`<5:3mm7::4:p1=`=838p1>>n9;663>;48hh1;>h4}r7:4?6=:r78>463<0b7936`5bz?01=d=?:l01>;n4;663>;4=0l18894=27:e?22?27894654458963>=3>>963<23a900><5:?j57::7:?01g2=<<=01>;nf;663>;4=1n18894}r7:1?6=:r78>;6s|58494?4|5::i97::7:?04g1=?:l0q~;67;296~;48hn18894=22bb?14n2wx94650;0x9407l383h636d4847c=z{<326=4={<3557<50m165i6572d8yv3>i3:1>v3>60596=b<50ni6:=i;|q6=g<72;q6=l7j:61e?87f1m0?955rs4;`>5<5s4;==n4=8e9>=ac=?:l0q~;6d;296~;6>;;1>5j4=8g2>25a3ty>5h4?:3y>53412;2o707j4;50b>{t=0l1<7h4}r7b5?6=:r7::?l529f89<`?2>9m7p}:a383>7}:9??>6?6k;v3>64d96=b<5h:<6:=i;|q6e3<72;q6=;8;:3:g?8g7i3=8j6s|5`594?4|5852z?21c>=:1n014o;:61e?xu2ih0;6?u214d0>7>c343j=79;>1l05<5s4;>i44=8e9>=1>5j4=8;;>25a3ty>mh4?:3y>50ba2;2o70765;50b>{t=hl1<752z?21f0=:1n014l<:61e?xu2j<0;6?u214a2>7>c343i<79;>im05<5s4;>n:4=8e9>=dg=?:l0q~;m8;296~;6=k81>5j4=8c4>25a3ty>n44?:3y>50gc2;2o70762;50b>{t=kk1<79l572d8yv3ej3:1>v3<1c49001<5:;ii79?i5;50b>;49o>18894}r7a`?6=:r798=l572d8972713>>46s|5cg94?4|5:;m?79h4=23e4?22?2wx9n>50;0x967d93=8j63<1b2900152z?06d?=?:l01>=?2;662>{t=j81<78t=2;62?22>2785l<5444896?em3>>:63<9ec9000<5:=84790q~;l3;296~;4>?i1;>h4=245f?22=2wx9n:50;0x9601l3=8j63<67`900052z?0223=?:l01>884;661>{t=j<1<7v3<660936`<5:<<=7::5:p1f>=838p1>883;50b>;4>>;18884}r7`=?6=:r78:;h572d89601m3>>96s|5bc94?4|5:<<<79h4=250b?22?2wx9nm50;0x9`332>9m70k:3;663>{t=jn1<725a34o?:7::7:p1fc=839p1>mk7;66<>;4km21;>h4=2ag=?22<2wx9nh50;0x9`5f2>9m70k<9;663>{t=m:1<725a34o9o7::7:p1a7=838p1h>;6s|5e094?4|5l;86:=i;9m70k?5;663>{t=m>1<725a34nm47::7:p1a3=838p1ikl:61e?8bbj3>>;6s|5e494?4|5mnm6:=i;9m70jk1;663>{t=m21<725a34nh87::7:p1a?=838p1il7:61e?8be?3>>;6s|5ec94?4|5mki6:=i;9m70j6c;663>{t=mi1<725a34n2<7::7:p1ab=838p1i6;:61e?8b?;3>>;6s|5eg94?4|5m=<6:=i;9m70j99;663>{t=l:1<725a34n>n7::7:p1`7=838p1i;?:61e?8b3n3>>;6s|5d094?4|5m>86:=i;c3a900><58i9j7::5:p1`2=838p1i=9:61e?8b4=3>>;6s|5d794?4|5m826:=i;9m70j>a;663>{t=l=1<725a34n;i7::7:p1`>=838p1i>=:61e?8b793>>;6s|5d;94?4|5jl>6:=i;9m70mj7;663>{t=lh1<725a34iom7::7:p1`e=838p1nmj:61e?8edl3>>;6s|5df94?4|5ji;6:=i;>3>>:63<5819000<5:8247::8:?06;74;50b>;4=1?188:4}r7fb?6=:r79>46s|5g294?4|5;:3j79:3>>;6352z?0g1?=<<=01>m;b;50b>{t=o>1<7v3o<3;50b>;4i::18864}r7e3?6=;r78nh85444896d5n3=8j6352z?05d4=?:l01>?6d;663>{t=o31<76572d8yv3ai3:1>v3>fg0936`<58lm97::6:p1cd=838p1>7:6;66<>;41<21;>h4}r7eg?6=:r785l<544:896?f<3=8j6s|5gf94?4|5:3ii7::8:?0=f6=?:l0q~;ie;296~;41mk18864=2;gg?14n2wx9kh50;0x97e503>>463=c3a936`52z?05g6=<<=01>?m1;50b>{t>9;1<7v3<1`4936`<5:;j97::7:p255=838p1><<0;50b>;4:;l18894}r430?6=:r79m>85447897g403=8j6s|61794?4|5:;>j79<5l=o69;7;|q542<72;q6?<;::61e?856=;0?955rs72;>5<5s49:84483g9>74212=?37p}90883>7}:;89o6:=i;<127d<3=11v;>n:181856:0013?3ty=743?2>9m70=>56871==z{?:h6=4={<120f<0;o16?<:m:57;?xu18m0;6?u23063>25a349:?k4;599~w36b2909w0=>35847c=:;89869;7;|q54c<72:q6>13?3ty===4?:3y>64gc2>9m70<>ab871==z{?;:6=4={<1224<0;o16?<8?:57;?xu19;0;6?u222f3>25a3488on4;579~w3742908w0l=0;50b>;e9o0?9552b74900>52z?0f7b=?:l01>l=c;66<>{t>8?1<7=t=22f6?22?278=h6572d8967b13>>86s|60494?4|5:;9?797;296~;49jl1;>h4=23g4?22>2wx:<650;0x976c=3=8j63=0e6900>52z?0162=<<201>;<6;50b>{t>8k1<7v3;50:n18894}r42`?6=:r78m9k572d896g3l3>>46s|60g94?4|5:k=n79f;296~;4??31;>h4=25550;0x961?;3=8j63<790900>52z?03<`=?:l01>96e;66<>{t>;81<7v3<7e6936`<5:=o?7::8:p272=838p1>9jb;50b>;4?lk18864}r411?6=:r784=<572d896>793>>46s|63494?4|5:2:579=<<20q~8=7;296~;40::1;>h4=2:1b?2202wx:?650;0x96>3?3=8j63<854900>52z?0<=6=?:l01>68f;66<>{t>;k1<7v3<8`g936`<5:2jh7::8:p27e=838p1>6l5;50b>;40j>18864}r41`?6=:r784im572d896>cj3>>46s|63g94?4|5:2m?79h4=2;3=?2202wx:>>50;0x96?6k3=8j63<90`900>52z?0=62=?:l01>7<3;66<>{t>:81<7v3<96g936`<5:3765;50b>;410>18864}r401?6=:r78;?h572d89615l3>>46s|62494?4|5:k=479h4=2c7650;0x96g5j3=8j6352z?0e5>=?:l01>o?5;66<>{t>:k1<7=544:8yv04j3:1>v3>843936`<582><7::8:p26e=838p1><=6;50b>;4:;?18864}r40`?6=:r78?o8544:8965e13=8j6s|62g94?4|5:==:79h4=0``5?22=2wx:9>50;0x94den3=8j63>bcf900152z?2e76=?:l01e;661>{t>=81<7v3<73`936`<5:=9:7::8:p212=838p1>o?4;50b>;4i9;18864}r471?6=:r78m?9572d896g5<3>>46s|65494?4|5:k?;791;>h4=2c55?2202wx:9650;0x9611:3=8j63<74d900>52z?0667=<<201><<5;50b>{t>=k1<7v3>a84936`<58k287::8:p21e=838p1>=71;50b>;4;1:188;4}r47`?6=:r7:=8<572d8947293>>;6s|65g94?4|5:3:479h4=2c74?2202wx:8>50;0x96g183=8j63=7>52z?030c=?:l01>9:b;66<>{t><81<7v3<785936`<5:=2>7::8:p202=838p1>9m3;50b>;4?ho18864}r461?6=:r78;i>572d8961di3>>46s|64494?4|5:=n;79h4=25e6=3=8j63<81d900>57>52z?0<7e=?:l01>6=6;66<>{t>j544:8yv02j3:1>v3<86c936`<5:2<:7::8:p20e=838p1>663;50b>;401n18864}r46`?6=:r784lo572d896>f<3>>46s|64g94?4|5:2h=79h4=2:g6?2202wx:;>50;0x96>bl3=8j63<8d;900>52z?0=50=?:l01>7?0;66<>{t>?81<7<>{<1b56<3=116?l=::57;?85>>h0?955238`5>13?3492h?4;599>713?34972cd2=?370=702871==:;1;j69;7;<1;74<3=116?5:7:57;?85?080?955239;;>13?3493mk4;599>7=e12=?370=7de871==:;1l?69;7;<1:4g<3=116?4?j:57;?85>;<0?9552386`>13?3492;k4;599>79m6:=i;<1405<3==1v;8<:18185>;9013?3ty=:94?:3y>7<202>9m70=640871==z{?<>6=4={<1:3d<0;o16?49;:57;?xu1>?0;6?u238:f>25a34924o4;599~w3002909w0=:038712=:;<:>6:=i;|q52=<72;q6?8?8:61e?8529<0?9:5rs74:>5<5s49>mh483g9>70gc2=?37p}96`83>7}:;013?3ty=:n4?:3y>74b72>9m70=>cd871==z{?l0;6?u23`17>25a349j?>4;599~w30a2909w0=7c2847c=:;1i969;8;|q535<72;q6?5jn:61e?85?l00?9:5rs752>5<5s493ih4;569>7=`72>9m7p}97383>7}:;0:36:=i;<1:42<3=>1v;9<:18185>9h01303ty=;94?:3y>7<552>9m70=6308712=z{?=>6=4={<005`<0;o16>>?k:57;?xu1??0;6?u2386:>25a3492854;569~w3102909w0=67b847c=:;0=i69;8;|q53=<72;q6?47<:61e?85>1;0?9:5rs75:>5<5s49848483g9>76>32=?<7p}97`83>7}:;8o;6:=i;<12`c<3=<1v;9m:181856m801313ty=;n4?:3y>542?2>9m70?>468710=z{?=o6=4={<320<<0;o16=<:8:575?xu1?l0;6?u237:2>25a349=4=4;549~w31a2909w0=983847c=:;?2;69;9;|q5<5<72;q6?;9j:61e?851?m0?985rs7:2>5<5s49=;k483g9>731c2=?=7p}98383>7}:;?=i6:=i;<153d<3=<1v;6<:181851?j01313ty=494?:3y>731?2>9m70=9768710=z{?2>6=4={<153<<0;o16?;98:575?xu10?0;6?u23254>25a3498;;4;549~w3>02909w0=<79847c=:;:==69;9;|q5<=<72;q6>?:8:61e?8455<5s48985483g9>67212=?=7p}98`83>7}::;>;6:=i;<017c<3=<1v;6m:181845<801313ty=4n4?:3y>76?12>9m70=<948710=z{?2o6=4={<10=2<0;o16?>7::575?xu10l0;6?u21d71>25a34;n9<4;549~w3>a2909w0?j52847c=:9l?:69;9;|q5=5<72;q6=h:m:61e?87b<>0?985rs7;2>5<5s4;n8n483g9>5`202=?=7p}99383>7}:9l?>6:=i;<3f11<3=<1v;7<:18187b=?01313ty=594?:3y>76g32>9m70=6=4={<10e0<0;o16?>o<:575?xu11?0;6?u232a7>25a3498o?4;549~w3?02909w0=5<5s49h8:483g9>7f222=?=7p}99`83>7}::9nh6:=i;<03`g<3=<1v;7m:181847lm01313ty=5n4?:3y>f3b=?:l01o8l:576?xu11m0;6?u2b7g936`<5k5<5s49h;:483g9>7f122=?=7p}9a183>7}:;8h96:=i;<12f4<3=<1v;o>:182e~;4i<8188;4=2c5b?22=278;;j54478961??3>>963<7`19003<5:=ij7::5:?03a>=<9jf;661>;409<188;4=2:2`?22=2784>:5447896>3j3>>963<8969003<5:22n7::5:?06l9;661>;40l:188;4=2:e3?22=2785=k5447896?593>>963<92:9003<5:3?j7::5:?0==4=<769;661>;4?<:18864=257`?14n2wx:l<50;0x967e;3=8j63<1c3900052z?1`4>=?:l01?j>7;661>{t>h>1<7v3mle;50b>;4kji18884}r4b3?6=:r78o9h572d896e3m3>>96s|6`:94?4|5:i><79h4=2a`52z?0g35=?:l01>m92;661>{t>hi1<78t=27`6?220278:;?54478963d03>>463<5b;9001<5:?h?790q~8nd;296~;4k?>1;>h4=2a56?22>2wx:lk50;0x96e2n3=8j6352z?0g36=?:l01>m:e;662>{t>k:1<7v3m7::6:p2g4=838p1>m:7;50b>;4k<<188;4}r4a7?6=:r78o86572d896e2>3>>:6s|6c694?4|5:i>?791;>h4=2a66?22>2wx:o850;0x96e1l3=8j6352z?0g3c=?:l01>m9c;662>{t>k21<7v3;69<9188;4}r4af?6=:r7:=8;572d89472;3>>:6s|6ca94?4|5;8?879h4=3077?22>2wx:ok50;0x9767;3=8j63=010900352z?1452=?:l01?>?2;662>{t>j:1<7v3=a`f936`<5;kjn7::6:p2f4=838p1?o9d;50b>;5i?i188;4}r4`7?6=:r79m;k572d897g1k3>>:6s|6b694?4|5;k=579=<h4=3c52wx:n850;0x97g1=3=8j63=a76900352z?1e30=?:l01?o94;662>{t>j21<754478yv0d13:1>v3=a70936`<5;k=<7::6:p2fg=838p1?o:d;50b>;5i>:6s|6ba94?4|5:ijm79h4=2ab=?22>2wx:nk50;0x96ed=3=8j6352z?0gf0=?:l01>ml4;662>{t>m:1<7v3mm3;50b>;4kk8188;4}r4g7?6=:r78oo:572d896ee:3>>:6s|6e694?4|5;k>1;>h4=3c46?22>2wx:i850;0x97g083=8j63=a7d900352z?1e27=?:l01?o9f;662>{t>m21<7v3=a9`936`<5;k357::6:p2ag=838p1?o77;50b>;5i1<188;4}r4gf?6=:r79m56572d897g?>3>>:6s|6ea94?4|5;k3879h4=3c;7?22>2wx:ik50;0x97g?93=8j63=a92900352z?1e=4=?:l01?o70;662>{t>l:1<7v3=a6c936`<5;k<47::6:p2`4=838p1?o86;50b>;5i>?188;4}r4f7?6=:r79m:9572d897g0=3>>:6s|6d694?4|5:=?i79h4=257`?22>2wx:h850;0x9616?3=8j63<704900352z?034>=?:l01>9>6;662>{t>l21<7v3<701936`<5:=:=7::6:p2`g=838p1>9?d;50b>;4?9i188;4}r4ff?6=:r78;=k572d89617k3>>:6s|6da94?4|5:=;479h4=2533?22>2wx:hk50;0x9617;3=8j63<710900352z?0352=?:l01>9?2;662>{t>o:1<7v3<6g7936`<5:8md;50b>;4>ki188;4}r4e7?6=:r78:ok572d8960ek3>>:6s|6g694?4|5:<3:791=1;>h4=24;1?22>2wx:k850;0x961593=8j63<732900352z?0374=?:l01>9=0;662>{t>o21<7v3<70f936`<5:=:n7::6:p2cg=838p1>8ie;50b>;4>on188;4}r4ef?6=:r78:kh572d8960al3>>:6s|6ga94?4|5:=<ok1;>h4=24e2wx:kk50;0x960bn3=8j63<6dg900352z?02c6=?:l01>8je;662>{t?9:1<7v3<6d`936`<5:8j5;50b>;4>l>188;4}r537?6=:r78:h8572d8960b<3>>:6s|71694?4|5:l;1;>h4=24gb?22>2wx;=850;0x960cj3=8j63<6ec900352z?02ae=?:l01>8ka;662>{t?921<7v3<6e5936`<5:8k1;50b>;4>m:188;4}r53f?6=:r78:i<572d8960c83>>:6s|71a94?4|5:jn1;>h4=24`f?22>2wx;=k50;0x960d?3=8j63<6b4900352z?02f>=?:l01>8l6;662>{t?8:1<7v3<6b1936`<5:8m8;50b>;4>k=188;4}r527?6=:r78:o7572d8960e?3>>:6s|70694?4|5:5;296~;4>k>1;>h4=24a6?22>2wx;<850;0x960fm3=8j63<6`f900352z?02d`=?:l01>8nd;662>{t?821<7v3<6`c936`<5:8n4;50b>;4>h9188;4}r52f?6=:r78:l;572d8960f;3>>:6s|70a94?4|5:<2j79d;296~;4>h:1;>h4=24:a?22>2wx;i3=8j63<68;900352z?02869;662>{t?;:1<7v3<684936`<5:<287::6:p374=838p1>860;50b>;4>1l188;4}r517?6=:r78:4?572d8960?n3>>:6s|73694?4|5:<3n791i1;>h4=24;e?22>2wx;?850;0x94c313=8j63>e5:900352z?2a1g=?:l01{t?;21<7v3>e42936`<58o?i7::6:p37g=838p1;6no>188;4}r51f?6=:r7:jk8572d894`a<3>>:6s|73a94?4|5:;no79h4=23ff?22>2wx;?k50;0x963d=3=8j63<5b0900352z?0ef2=<<201>ol3;50b>{t?::1<7j572d8yv1493:1>v3=5ec900><5:ion79>k4;663>;48jn1;>h4}r507?6=:r78>l<544:896g6=3=8j6s|72694?4|5:n<87::8:?05ac=?:l0q~9<5;296~;49jk18894=23a850;0x964f03>>463<2`6936`52z?1e6`=<<201?6nd;50b>{t?:21<7a;3:1?vP7f29>015h<:9a4?xu?n=0;6?u28g6936`<58;>j7<7d:p1;0;`>;5;821;>h4}r:e2?6=:r79?o:529f89757l3=8j6s|8g594?4|5;9>57<7d:?16c3=?:l0q~6i8;296~;5;;k1>5j4=30`1?14n2wx4k750;0x9753=383h63=2d:936`52z?177e=:1n01?{t0oh1<7h7572d8yv>ak3:1>v3=3g:96=b<5;8<;79;5:lk1;>h4}r:ea?6=:r79?k7529f8974003=8j6s|8gd94?4|5;9mm7<7d:?162?=?:l0q~7?0;296~;5;oh1>5j4=304e?14n2wx5=?50;0x975ak383h63=26`936`7>52z?1044=:1n01?=>9;50b>{t1991<7v3=34c96=b<5;8m:79;5:j21;>h4}r;32?6=:r79?98529f8974bk3=8j6s|91594?4|5;>:?7<7d:?174g=?:l0q~7?8;296~;5;k<1>5j4=313b?14n2wx5=750;0x9752j383h63=2g5936`52z?177b=:1n01?{t19h1<7hj572d8yv?7k3:1>v3=3c596=b<5;9:<79;5:jk1;>h4}r;3a?6=:r79?96529f8974bm3=8j6s|91d94?4|5;9i47<7d:?1747=?:l0q~7>0;296~;5;;l1>5j4=30`f?14n2wx57>52z?17g?=:1n01?=>2;50b>{t1891<7nm572d8yv?6<3:1>v3=35c96=b<5;8m<79;5:>n1;>h4}r;22?6=:r79?oo529f89756;3=8j6s|90594?4|5;98=7<7d:?16fb=?:l0q~7>8;296~;5;=h1>5j4=30e5?14n2wx5<750;0x975am383h63=26g936`52z?17gd=:1n01?=>4;50b>{t18h1<7nk572d8yv?6k3:1>v3=35a96=b<5;8m>79;5:>l1;>h4}r;2a?6=:r79?om529f89756=3=8j6s|90d94?4|5;98?7<7d:?16f`=?:l0q~7=0;296~;5;=;1>5j4=30e7?14n2wx5??50;0x97278383h63=292936`7>52z?17gb=:1n01?=>6;50b>{t1;91<7i>572d8yv?5<3:1>v3=35096=b<5;8m879;5:1;1;>h4}r;06?6=;rT2??52104b>25a3438>76l7:p=65=839pR4=<;<;00?22<272?>47c69~w<532909w0?668811;>h4}r;01?6=;rT2?852104`>25a3438976l7:p=60=839pR4=9;<322=<0;o165>858b58yv?093:1?vP6709>54012>9m70781;:`3>{t11;1<7=t^8:2?8?>:3>i<636808;g2=z{0296=4<{_;;6>;>1<0?n=52990953z\:<6=:10218o>4=8:0>=e03ty2494?:2y]==2<503i69l?;<;;0?>d?2wx55;50;1xZ<>23432i7:m0:?:<01v469:180[??>272m<4;b19>==0=0j=0q~778;297~X>01165l:54c289<>?21i<7p}68883>6}Y113014o8:5`3?8??132h;6s|99c94?5|V02j707na;6a4>;>0h03o:5rs8:a>5<4sW33n636ae87f5=:11h14n94}r;;g?6=;rT24n529c290g6<502h65m8;|q:1d73433h76l7:p==c=839pR46j;<;a2?2e82724h47c69~w<>a2908wS77f:?:f<<3j91655h58b58yv?>83:1?vP6919>=ge=180;6>uQ98389{t1j81<7=t^8a1?872nm053z\:g6=:1m?18o>4=8a0>=e03ty2o94?:2y]=f2<50n369l?;<;`0?>d?2wx5n;50;1xZ1v4m9:180[?d>272hh4;b19>=f0=0j=0q~7l7;297~X>k>165h?54c2896}Y1j3014k;:5`3?8?d132h;6s|9bc94?5|V0ij70?:fg847c=:1jk14n94}r;`f?6=;rT2oo521722>25a343hn76l7:p=fe=839pR4ml;<3546<0;o165nm58b58yv?dl3:1?vP6ce9>53622>9m707ld;:`3>{t1jo1<7=t^8af?8718>053z\:gc=:9?:26:=i;<;`b?>d?2wx5i>50;1xZ=a6=0j=0q~7j7;297~X>m>165k654c2896}Y1l2014hm:5`3?8?b032h;6s|9d;94?5|V0o2707ie;6a4>;>m003o:5rs8gb>5<4sW3nm63n0087f5=:1lk14n94}r;ff?6=;rT2io52a1690g6<50oi65m8;|q:af<72:qU5hm4=`24>1d7343no76l7:p=`c=839pR4kj;53522>9m707i0;:`3>{t1o;1<7=t^8d2?871;>07>53z\:b7=:9?926:=i;<;e6?>d?2wx5k=50;1xZ<`434;=?o483g9>=c5=0j=0q~7i4;297~X>n=16=;=k:61e?8?a<32h;6s|9g794?5|V0l>70?93g847c=:1o?14n94}r;e2?6=;rT2j;52a0290g6<50l=65m8;|q:b2<72:qU5k94=`30>1d7343m;76l7:pe17=839p1l:<:61e?8g4n3>>863n3c8130=z{h>96=4={;f;k09;<5rs`66>5<5s4k8n7:mc:?b02<3==1vl:9:1808g3132o<63n498;`5=:i==1;>h4}rc7:q6=:=be34;<=947dc9>524421ni70?81g8;`g=:9>8965jm;<3461=be34;<526e21ni70?80b8:63=:9>:o65jm;<344`i:9fa?8709902>;521632><4134;<=847dc9>5275208=70?8128;`g=:9>;=64<9;<3452=be34;<=o47dc9>527d21ni70?81e8;`g=:9>;n65jm;<3465:9fa?8g3032o563>72a9003<5:>n=7::5:?0f4e=<=99;66<>;4:0?188;4=g70>1303483584;549>7f4b2=?>70=k768710=::j;m69;9;<0b`2<3=>16?i8n:575?85dl?0?98522e`0>131349hh>4;549>f13=<<<01>m<1;662>;50h:188;4=2`07?22=278?o;5447897g3m3>>963>bc`9003<58k:47::5:?1`0d=<;58=:188;4=3a5a?22=2794>j5447897>4;3>>:63>8429000<5:hn?7::6:?2f7b=<<<01<77a;662>;6jj;18894=0`a`?22=27:m>963>8g09003<58k287::5:?01=5=<<<01>l>a;661>;5lh?18884=3fb7?22>279hl?5444894e5;3>>963>a929003<5;n2j7::7:?1`;5l0318894=3f:3?22?279h4;5445897be93>>;63=d`d9001<5;njh7::7:?1`dd=<<=01?jn9;663>;5lh=18894=3f:7?22>27:;>j5447897g5l3>>;63=82:9001<5o;<69;8;<1a37<3=>16?o8k:574?xuf<00;6?u2a5;936?6k;|qb0d<72;q6m9l544689d5d2;=:7p}n4g83>7}:i=l1;>h4=`6f>1313tyj9=4?:3y>e06=?:l01l:j:576?xuf=;0;6?u2a40936`<5h?:69;9;|qb16<72;q6m8=572d89d362=?>7p}n5483>7}:ih4=`77>1313tyj9;4?:3y>e00=?:l01l;;:576?xuf=10;6?u2a4:936`<5h?<69;9;|qb1<<72;q6m87572d89d302=?>7p}n5c83>7}:ih4=`7b>1313tyj9n4?:3y>e0e=?:l01l;n:576?xuf=l0;6?u2a4g936`<5h?o69;9;|qb1c<72;q6m8h572d89d3c2=?>7p}n6083>7}:i?;1;>h4=`43>1313tyj:?4?:3y>e34=?:l01l8?:576?xuf>=0;6?u2a76936`<5h<869;9;|qb20<72;q6m;;572d89d042=?>7p}n6683>7}:i?=1;>h4=`45>1313tyj:54?:3y>e3>=?:l01l89:576?xuf>h0;6?u2a7c936`<5h<269;9;|qb2g<72;q6m;l572d89d0>2=?>7p}n6e83>7}:i?n1;>h4=`4`>1313tyj:h4?:3y>e3c=?:l01l8l:576?xuf?90;6?u2a62936`<5h7p}n7283>7}:i>91;>h4=`51>1313tyj;94?:3y>e22=?:l01l9=:576?xuf??0;6?u2a64936`<5h=>69;9;|qb32<72;q6m:9572d89d122=?>7p}n7883>7}:i>31;>h4=`5;>1313tyj;l4?:3y>e2g=?:l01l97:576?xuf?j0;6?u2a6a936`<5h=i69;9;|qb3a<72;q6m:j572d89d1e2=?>7p}n7d83>7}:j:;1;>h4=c66>13?3tyj;k4?:4y>e=4=0m301l6n:575?8g?03>>:63n878712=:j?n18894}rc;4?6=47d89>e=>=<<=01l69:57;?8d1l3>>:6s|a9394?5|5h2?65j6;27i:i4;549~wd>22909w0o74;0;`>;f0?05<5s4k3?7<7d:?b<=<0;o1vl66:1818g?:383h63n8`847c=z{h2i6=4<{c127jni4=8e9>e1`=<<<0q~o62;297~;f1j03h452acg96=b<5h{ti1n1<7=t=`;f>=b>34kh<7<7d:?b10<3=?1vl6j:1808g>n32o563nc081ef4=:1n01l;m:575?xuf190;6>u2a`392wxm4?50;1x9dg521n270ol4;0;`>;f>80?9;5rs`;0>5<4s4kj?76k9:?bg0<50m16m;954448yvg><3:1?v3na58;`<=:ij<1>5j4=`4b>1313tyj584?:2y>ed3=0m301lm8:3:g?8g1l3>>:6s|a8494?5|5hk=65j6;;fk0094i52a61900053z?be=6}:ih314i74=`aa>7>c34k<57::6:pe;fi<099k52a`4960`<5hk<6?;i;edg=:m38>j63n9g811c=:ih:1>8h4=`c2>73a34kj>7<:f:?bfa<5=o16mok524d89de22;?m70ol6;06b>;fk>099k52ab:960`<5hi26?;i;efe=:j63nc0811c=:ij81>8h4=`a0>73a34kh87<:f:?bef<0;o1vlok:1818gel32o563n4g8712=z{hh?6=4={c127j:94;569~wdgb2909w0omf;:g=>;f=;0?9:5rs`ce>5<5s4kh<76k9:?b10<3=>1vll?:1818gd932o563n598712=z{hh:6=4={c127j9o4;569~wdd52909w0ol3;:g=>;f=l0?9:5rs``0>5<5s4kh876k9:?b24<3=>1vll::1818gd=32o563n668712=z{hh=6=4={c127j:l4;569~wdd02909w0ol7;:g=>;f>m0?9:5rs``;>5<5s4kh476k9:?b35<3=>1vll6:1818gd132o563n728712=z{hhj6=4={c127j;;4;569~wdde2909w0olb;:g=>;f?00?9:5rs```>5<5s4kho76k9:?b3f<3=>1vlmk:1820~;flm03h452a8`96=b<5h?;69;9;<`35?22?27if4b=<<<01o?n:575?8d6?3>>:63m158713=:j8;18884=c2f>13134h;n7::6:?a4=<3=?16n=;544489d`c2=?=70oia;662>;fn>0?9;52ag69000<5hl:69;9;2wxmi:50;6x9dbb21n270o6c;0;`>;f><0?9;52adg900354z?b`cd;661>{tijl1<7:t=`g3>=b>34k2i7<7d:?b13<3=?16mk?54478yvgc83:18v3ne08;`<=:i0l1>5j4=`7:>13134km87::5:pea7=83>p1lk=:9f:?8gf8383h63n5b8713=:io=188;4}rcg6?6=47d89>ed7=:1n01l;i:575?8gai3>>96s|ae194?2|5ho?65j6;ecb=<;f>k0?9;52b1:900354z?ba2{tim21<7:t=`g;>=b>34kj:7<7d:?b34<3=?16n=k54478yvgc13:18v3ne88;`<=:ih=1>5j4=`57>13134h:=7::5:peag=83>p1lkn:9f:?8gf0383h63n768713=:j8>188;4}rcgf?6=ed?=:1n01l9n:575?8d6?3>>96s|aea94?2|5hoh65j6;f4g=<52z?ba`<0;o16mhh54478yvga83:1>v3ndg81h4}rce5?6=:r7jj<483g9>ec4=<52z?bb1<0;o16mk;54478yvga>3:1>v3ne081h4}rce3?6=:r7jj:483g9>ec>=<52z?bbd<0;o16mkl54478yvgak3:1>v3ne281h4}rce`?6=:r7jji483g9>ecc=<52z?a44<0;o16n==54448yvd793:1>v3m03847c=:j99188;4}r`30?6=:r7jhh4=8e9>f50=?:l0q~l?5;296~;e8<052z?ba0<50m16n=7572d8yvd703:1>v3m09847c=:j93188;4}r`3e?6=:r7ji;4=8e9>f5e=?:l0q~l?b;296~;e8k052z?ba2<50m16n=h572d8yvd7m3:1>v3m0d847c=:j9l188;4}r`24?6=:r7ji54=8e9>f44=?:l0q~l>1;296~;e98052z?ba<<50m16n<;572d8yvd6<3:1>v3m15847c=:j8?188;4}r`22?6=:r7jil4=8e9>f4>=?:l0q~l>7;296~;e9>052z?bag<50m16nv3m1`847c=:j8h188;4}r`2g?6=:r7jin4=8e9>f4c=?:l0q~l>d;296~;e9m0510y>eab=:j63ne7811c=:il=1>8h4=`g;>73a34kn57<:f:?bad<5=o16mhl524d89dcd2;?m70okf;06b>;fm9099k52ad3960`<5ho96?;i;f4`=?:l0q~l=1;2957}:j;314i74=c22>13134h;>7::6:?a5a<3=>16n4;663>;e980?9:52b1g9001<5k:i69;8;<`3ecb=<<=01lhn:574?8ga?3>>;63nf58712=:io;18894=`gf>1303tyi>?4?:3y>f7g=0m301o=::575?xue::0;6?u2b3`92=?=7p}m2483>7}:j;n14i74=c1a>1313tyi>;4?:3y>f7c=0m301o=k:575?xue:>0;6?u2b3d9>58e;89g262=?=7p}m3383>1}:j:91;>h4=c12>13134kjo7::6:?a2`<3=?1vo=;:1818d51383h63m34847c=z{k9=6=4={<`1e?4?l27i?:483g9~wg5?2909w0l=b;0;`>;e;005<5s4h9o7<7d:?a7g<0;o1vo=l:1818d5l383h63m3e847c=z{k9n6=4={<`1a?4?l27i?k483g9~wg272909w0l=f;0;`>;e<805<5s4h8<7<7d:?a06<0;o1vo:;:18`8d5138>j63m2`811c=:j;h1>8h4=c0`>73a34h9h7<:f:?a6`<5=o16n?h524d89g572;?m70o72;06b>;f0:099k52a96960`<5k>>6:=i;|qa03<72:q6n8858e;89d372=?<70oje;66<>{tj=n1<7=t=c74>=b>34k=97::7:?a40<3=11vo:8:1808d2032o563n528712=:io;18864}r`7e00=<<=01lh;:57;?xue<00;6>u2b4c9;fnh0?955rsc6a>5<4s4h>o76k9:?b1c<3=>16mkj544:8yvd3k3:18v3m5e8;`<=:i?818894=c22>13234h;>7::7:pf1c=839p1o;j:9f:?8g103>>;63m09871==z{k>m6=4<{<`6b?>c127j:o4;569>f5d=<<20q~l:0;297~;e>903h452a7g9001<5k:n69;7;|qa14<72:q6n;?58e;89d162=?<70l>1;66<>{tj<81<7=t=c41>=b>34k<87::7:?a51<3=11vo;<:1808d1;32o563n768712=:j8=18864}r`60?6=;r7i:947d89>e2g=<<=01o?n:57;?xue=<0;6>u2b77938>j63m56811c=:j8h4=c7e>73a34h=<7<:f:?a24<5=o16n;<524d89g042;?m70l94;06b>;e><099k52b4:960`<5k?26?;i;<`6e?42n27i9o4=5g9>f0e=:3=8j6s|b7;94?44s4h=576k9:?a06<3=<16m5o544789g262=?>70l;e;m0?9852b2`9003<5k9269;:;<`03?22=27i?84;549>e=>=<>963m678710=:j=?188;4=c4`><4134h=n7::4:?a44<3=116n=<544:89g7b208=70l>b;;12>;e9102>;52b079=70<5k;964<9;<`3b??5>27if5?=1;<01o>9:805?8gam339:63nfc8:63=:io215?84=`d6><4134km>77=6:?bac<>:?16n;954478yvd1i3:1>v3m6881h4}r`5g?6=:r7i:44=5g9>f3e=?:l0q~l61;296~;e1807>52z?af4<0;o16n:<54448yvd>;3:1>v3mcg847c=:j0:18884}r`:0?6=:r7in>483g9>f22=<<<0q~l65;296~;ej=052z?af0<0;o16n:854448yvd>?3:1>v3mb7847c=:j>=18884}r`:f2>=<<<0q~l69;296~;ej1052z?af<<0;o16n:o54448yvd>j3:1>v3mb`847c=:j>h18884}r`:g?6=:r7ino483g9>f2e=<<<0q~l6d;296~;ej;052z?aff<0;o16n:j54448yvd>n3:1>v3mbd847c=:j>l18884}r`b4?6=:r7ink483g9>f=6=<<<0q~ln1;296~;ek907>52z?ag4<0;o16n5<54448yvdf;3:1>v3mc3847c=:j1918884}r`b0?6=:r7io>483g9>f=2=<<<0q~ln5;296~;ek=052z?ag0<0;o16n5854448yvdf?3:1>v3mc7847c=:j1=18884}r`bf2c=<<<0q~ln9;296~;ek>052z?ag<<0;o16n5o54448yvdfj3:1>v3mc9847c=:j1318884}r`bg?6=:r7iol483g9>f=d=<<<0q~lnd;296~;ekk052z?agf<0;o16n5j54448yvdfn3:1>v3mce847c=:j1o18884}r`a4?6=:r7ioh483g9>f=`=<<<0q~lk0;296~;el9052z?a`4<0;o16no?54448yvdc:3:1>v3md5847c=:jjl18884}r`g7?6=:r7ih;483g9>fg5=<<<0q~lk4;296~;el>052z?a`<<0;o16no;54448yvdc>3:1>v3mdc847c=:jk<18884}r`g3?6=:r7ihh483g9>fg1=<<<0q~lk8;296~;em9052z?aa7<0;o16no754448yvdci3:1>v3me5847c=:jkk18884}r`gf?6=:r7ii8483g9>fgd=<<<0q~lkc;296~;elj052z?aa3<0;o16nom54448yvdcm3:1>v3me9847c=:jko18884}r`gb?6=:r7ii4483g9>fg`=<<<0q~lj0;296~;emh052z?aag<0;o16nn?54448yvdb:3:1>v3meb847c=:jj818884}r`f7?6=:r7iii483g9>ff5=<<<0q~lj4;296~;eml052z?aac<0;o16nn;54448yvdb>3:1>v3mf1847c=:jj<18884}r`f3?6=:r7ii:483g9>fgb=<<<0q~lj8;296~;en8052z?ab6<0;o16nn754448yvda:3:1>v3mf3847c=:jj218884}r`e0?6=:r7ij9483g9>ffg=<<<0q~li5;296~;en<052z?ab3<0;o16nnm54448yvda?3:1>v3mf6847c=:jjn18884}r`effc=<<<0q~li9;296~;en0052z?`5<<0;o16n:<54478yvdaj3:1>v3l3d847c=:j0:188;4}r`eg?6=:r7h=o483g9>f22=<52z?`5`<0;o16n:854478yvdan3:1>v3l21847c=:j>=188;4}ra34?6=:r7h>?483g9>f2>=<7>52z?`63<0;o16n:o54478yve7;3:1>v3l26847c=:j>h188;4}ra30?6=:r7h>5483g9>f2e=<52z?`6<<0;o16n:j54478yve7?3:1>v3l2c847c=:j>l188;4}ra3n483g9>f=6=<52z?`6`<0;o16n5<54478yve7j3:1>v3l2g847c=:j19188;4}ra3g?6=:r7h?=483g9>f=2=<52z?`77<0;o16n5854478yve7n3:1>v3l32847c=:j1=188;4}ra24?6=:r7h>l483g9>f2c=<1;296~;d;=07>52z?`73<0;o16n5o54478yve6;3:1>v3l34847c=:j13188;4}ra20?6=:r7h?:483g9>f=d=<5;296~;d;1052z?`7<<0;o16n5j54478yve6?3:1>v3l3`847c=:j1o188;4}ra2f=`=<c;296~;d9m052z?`5c<0;o16ov3l20847c=:k;:188;4}ra16?6=:r7h>>483g9>g74=<52z?`7g<0;o16o>o54478yve4k3:1>v3l3e847c=:k:i188;4}ra0a?6=:r7h?k483g9>g6c=<52z?`04<0;o16nk754448yve3:3:1>v3l43847c=:jjk188;4}ra77?6=:r7h8>483g9>g61=<<<0q~m;4;296~;d<=052z?`00<0;o16o>654448yve3>3:1>v3l47847c=:jji188;4}ra73?6=:r7h8:483g9>g6?=<<<0q~m;8;296~;d<1052z?`0<<0;o16o>o54448yve3i3:1>v3l4`847c=:jjo188;4}ra7f?6=:r7h8o483g9>g6e=<<<0q~m;c;296~;d52z?`0a<0;o16nnh54478yve3m3:1>v3l4d847c=:k:o18884}ra7b?6=:r7h8k483g9>fg5=<=7>52z?`14<0;o16no:54478yve2:3:1>v3l53847c=:k8i18884}ra67?6=:r7h9>483g9>fg3=<97>52z?`10<0;o16no854478yve2>3:1>v3l57847c=:k;:18884}ra63?6=:r7h9:483g9>g4?=<<<0q~m:8;296~;d=1057>52z?`1<<0;o16o?<54448yve2i3:1>v3l5`847c=:jk2188;4}ra6f?6=:r7h9o483g9>g72=<<<0q~m:c;296~;d=j0h7>52z?`1a<0;o16o?854448yve2m3:1>v3l5d847c=:jkk188;4}ra6b?6=:r7h9k483g9>g71=<<<0q~m90;296~;d>9052z?`24<0;o16o?654448yve1:3:1>v3l63847c=:jk8188;4}ra57?6=:r7h:>483g9>fge=<=052z?`20<0;o16nok54478yve1>3:1>v3l67847c=:k;h18884}ra53?6=:r7h::483g9>fg`=<1052z?`2<<0;o16nn>54478yve1i3:1>v3l6`847c=:k;n18884}ra5f?6=:r7h:o483g9>ff7=<j052z?`2a<0;o16ov3l6d847c=:jj8188;4}ra5b?6=:r7h:k483g9>g7`=<<<0q~m80;296~;d?9052z?`34<0;o16o>>54448yve0:3:1>v3l73847c=:jj>188;4}ra47?6=:r7h;>483g9>g67=<<<0q~m84;296~;d?=052z?`30<0;o16o><54448yve0>3:1>v3l77847c=:jj<188;4}ra43?6=:r7h;:483g9>g65=<<<0q~m88;296~;d?1052z?`3<<0;o16nn954478yve0i3:1>v3l7`847c=:k:>18884}ra4f?6=:r7h;o483g9>ff?=<52z?`3a<0;o16o?o54448yve0m3:1>v3l7d847c=:jj2188;4}ra4b?6=:r7h;k483g9>g63=<<<0q~m70;296~;d09052z?`<4<0;o16o<754478yve?:3:1>v3l83847c=:k;2188;4}ra;7?6=:r7h4>483g9>g7?=<52z?`<0<0;o16o?m54478yve?>3:1>v3l87847c=:k;n188;4}ra;3?6=:r7h4:483g9>g7c=<52z?`<<<0;o16o>>54478yve?i3:1>v3l8`847c=:k:;188;4}ra;f?6=:r7h4o483g9>g64=<52z?`=54478yve?m3:1>v3l8d847c=:k:>188;4}ra;b?6=:r7h4k483g9>g60=<52z?`=4<0;o16o>;54478yve>:3:1>v3l93847c=:k:=188;4}ra:7?6=:r7h5>483g9>g6>=<52z?`=0<0;o16o>3:1>v3l97847c=:k;=188;4}ra:3?6=:r7hn547d89>=<4=<<>0q~mm9;296~;dj1094i52ccg936`52z?`fd<0;o16ool54458yveej3:1>v3lbc847c=:kkn188;4}raag?6=:r7hni483g9>ggc=<<<0q~mmf;296~;djo052z?`g4<0;o16on=544:8yved:3:1>v3lc3847c=:kj918884}ra`7?6=:r7ho>483g9>ggb=<<=0q~ml4;296~;dk=052z?`g003:1>v3lc78;`<=:10?188:4}ra`3?6=:r7ho;4=8e9>gfe=?:l0q~ml8;296~;dk1052z?`g<<0;o16onl54478yvedi3:1>v3lcc847c=:kji18884}ra``?6=:r7hoi483g9>gfd=<<20q~mlf;296~;dko052z?``5<0;o16oi?54448yvec93:1>v3ld0847c=:kjh18894}rag6?6=:r7hh?483g9>gf?=<<<0q~m69;296~;dl:03h45298:900252z?``6<50m16oi7572d8yvec=3:1>v3ld4847c=:km<18894}rag2?6=:r7hh;483g9>ga>=<52z?``d<0;o16oi6544:8yveck3:1>v3ldb847c=:kmo18864}rag`?6=:r7hhi483g9>gac=<<<0q~mke;296~;dll052z?``c<0;o16oi854448yve>i3:1>v3le18;`<=:10h188:4}raf5?6=:r7hi=4=8e9>g`0=?:l0q~mj2;296~;dm;052z?`a6<0;o16oh;54478yveb<3:1>v3le4847c=:kl<18884}raf3?6=:r7hi:483g9>g`3=<<20q~mj9;296~;dm0052z?`ad<0;o16ohl54448yvebj3:1>v3lec847c=:kl?18894}rafg?6=:r7hin483g9>g`5=<<<0q~m6b;296~;dmm03h45298g900252z?`aa<50m16ok=572d8yvebn3:1>v3leg847c=:ko:18894}rae4?6=:r7hj=483g9>gc4=<52z?`b1<0;o16ok<544:8yvea>3:1>v3lf7847c=:ko218864}rae3?6=:r7hj:483g9>gc>=<<<0q~mi8;296~;dn1052z?`b<<0;o16ok>54448yve>k3:1>v3lf`8;`<=:1h;188:4}raef?6=:r7hjl4=8e9>`56=?:l0q~mic;296~;dnj052z?`ba<0;o16okh54478yveam3:1>v3lfg847c=:l9:18884}rf35?6=:r7o<<483g9>gc`=<<20q~j?3;296~;c8:052z?g41<0;o16h=;54448yvb7=3:1>v3k04847c=:kol18894}rf32?6=:r7o<;483g9>gcb=<<<0q~m6d;296~;c8>03h4529`6900252z?g42<50m16h=j572d8yvb713:1>v3k08847c=:l9k18894}rf3e?6=:r7o`5e=<52z?g4`<0;o16h=m544:8yvb683:1>v3k11847c=:l8818864}rf25?6=:r7o=<483g9>`44=<<<0q~j>2;296~;c9;052z?g56<0;o16h=o54448yvb6<3:1>v3lc481h4}rf21?6=:r7o=8483g9>`40=<<=0q~j>6;296~;c9?052z?g5=<0;o16h<754448yvb6i3:1>v3k1`847c=:l8218864}rf2g?6=:r7o=n483g9>`4c=<<20q~j>d;296~;c9m052z?g5`<0;o16h<654458yvb6n3:1>v3k1g847c=:l8<18884}rab7?6=:r7o>=47d89>=dg=<<>0q~m6f;296~;c:803h4529e790027>52z?g64<50m16h?9572d8yvb5;3:1>v3k22847c=:l;>18894}rf10?6=:r7o>9483g9>`70=<52z?g6=<0;o16h?8544:8yvb5i3:1>v3k2`847c=:l;i18864}rf1f?6=:r7o>o483g9>`7e=<<<0q~j=c;296~;c:j052z?g6a<0;o16h?:54448yvef83:1>v3k2d8;`<=:1m2188:4}rf1b?6=:r7o>h4=8e9>`62=?:l0q~j<0;296~;c;9052z?g74<0;o16h>=54478yvb4:3:1>v3k32847c=:l:>18884}rf01?6=:r7o?8483g9>`65=<<20q~j<7;296~;c;>052z?g7=<0;o16h>754448yvb413:1>v3k38847c=:l:918894}rf0e?6=:r7o?l483g9>`67=<<<0q~mn1;296~;c;k03h4529e`900252z?g7g<50m16h9?572d8yvb4l3:1>v3k3e847c=:l:o18894}rf0a?6=:r7o?h483g9>`16=<7>52z?g07<0;o16h9>544:8yvb3<3:1>v3k45847c=:l=<18864}rf71?6=:r7o88483g9>`10=<<<0q~j;6;296~;c52z?g02<0;o16h>k54448yvef:3:1>v3k498;`<=:1mo188:4}rf7=?6=:r7o854=8e9>`1c=?:l0q~j;a;296~;c52z?g0g<0;o16h9j54478yvb3k3:1>v3k4e847c=:l=o18884}rf7b?6=:r7o8k483g9>`1b=<<20q~j:1;296~;c=80>7>52z?g17<0;o16h8=54448yvb2;3:1>v3k52847c=:l=n18894}rf60?6=:r7o99483g9>`1d=<<<0q~j:5;296~;c:9094i52d4c936`:7>52z?g13<0;o16h8954458yvb2?3:1>v3k56847c=:l<3188;4}rf6`0g=<<<0q~j:b;296~;c=k0h7>52z?g1a<0;o16h8h544:8yvb2m3:1>v3k5d847c=:l`0?=<<=0q~j90;296~;c>9052z?g24v3k638;`<=:1l;188:4}rf57?6=:r7o:?4=8e9>`3>=?:l0q~j94;296~;c>=052z?g20<0;o16h;954478yvb1>3:1>v3k66847c=:l?218884}rf5=?6=:r7o:4483g9>`31=<<20q~j9b;296~;c>k052z?g2f<0;o16h;j54448yvb1l3:1>v3k6e847c=:l?=18894}rf5a?6=:r7o:h483g9>`33=<<<0q~mn5;296~;c>o03h4529d6900252z?g2c<50m16h:;572d8yvb093:1>v3k70847c=:l>818894}rf46?6=:r7o;?483g9>`22=<52z?g33<0;o16h::544:8yvb003:1>v3k79847c=:l>k18864}rf4=?6=:r7o;4483g9>`2g=<<<0q~j8a;296~;c?h052z?g3g<0;o16h:<54448yvef>3:1>v3k7b8;`<=:1o2188:4}rf4`?6=:r7o;n4=8e9>`=4=?:l0q~j8e;296~;c?l052z?g3c<0;o16h5?54478yvb?83:1>v3k80847c=:l1818884}rf;7?6=:r7o4>483g9>`=7=<<20q~j75;296~;c0<052z?g<3<0;o16h5954448yvb??3:1>v3k86847c=:l1;18894}rf;`2`=<<<0q~mn7;296~;c0003h452a1f900252z?g<<<50m16h5h572d8yvb?j3:1>v3k8c847c=:l1i18894}rf;g?6=:r7o4n483g9>`=c=<52z?g=5<0;o16h5k544:8yvb>:3:1>v3k93847c=:l0>18864}rf:7?6=:r7o5>483g9>`<2=<<<0q~j64;296~;c1=052z?g=0<0;o16h5m54448yvb>>3:1>v3k6081h4}rf:3?6=:r7o5:483g9>`<>=<<=0q~j68;296~;c11052z?g=d<0;o16h4l54448yvb>k3:1>v3k9b847c=:l0k18864}rf:a?6=:r7o5h483g9>`d6=<<20q~j6f;296~;c1o052z?ge5<0;o16h4o54458yvbf93:1>v3ka0847c=:l0218884}raa6?6=:r7om?47d89>=g6=<<>0q~mn9;296~;ci:03h452a02900252z?ge6<50m16hl7572d8yvbf=3:1>v3ka4847c=:lh<18894}rfb2?6=:r7om;483g9>`d>=<52z?ged<0;o16hl6544:8yvbfk3:1>v3kab847c=:lho18864}rfb`?6=:r7omi483g9>`dc=<<<0q~jne;296~;cil052z?gec<0;o16hl854448yvefi3:1>v3kb18;`<=:i89188:4}rfa5?6=:r7on=4=8e9>`g0=?:l0q~jm2;296~;cj;052z?gf6<0;o16ho;54478yvbe<3:1>v3kb4847c=:lk<18884}rfa3?6=:r7on:483g9>`g3=<<20q~jm9;296~;cj0052z?gfd<0;o16hol54448yvbej3:1>v3kbc847c=:lk?18894}rfag?6=:r7onn483g9>`g5=<<<0q~mnb;296~;cjm03h4529g`900252z?gfa<50m16hn=572d8yvben3:1>v3kbg847c=:lj:18894}rf`4?6=:r7oo=483g9>`f4=<52z?gg1<0;o16hn<544:8yvbd>3:1>v3kc7847c=:lj218864}rf`3?6=:r7oo:483g9>`f>=<<<0q~jl8;296~;ck1052z?gg<<0;o16hn>54448yvefk3:1>v3kc`8;`<=:1oo188:4}rf`f?6=:r7ool4=8e9>`a6=?:l0q~jlc;296~;ckj052z?gga<0;o16hnh54478yvbdm3:1>v3kcg847c=:lm:18884}rfg5?6=:r7oh<483g9>`f`=<<20q~jk3;296~;cl:052z?g`1<0;o16hi;54448yvbc=3:1>v3kd4847c=:ljl18894}rfg2?6=:r7oh;483g9>`fb=<<<0q~mnd;296~;cl>03h452a13900252z?g`2<50m16hij572d8yvbc13:1>v3kd8847c=:lmk18894}rfge?6=:r7ohl483g9>`ae=<52z?g``<0;o16him544:8yvbb83:1>v3ke1847c=:ll818864}rff5?6=:r7oi<483g9>``4=<<<0q~jj2;296~;cm;052z?ga6<0;o16hio54448yvefm3:1>v3ke58;`<=:i9>188:4}rff1?6=:r7oi94=8e9>``g=?:l0q~jj6;296~;cm?052z?ga2<0;o16hh754478yvbb03:1>v3ke8847c=:llk18884}rfff?6=:r7oio483g9>``?=<<20q~jjd;296~;cmm052z?ga`<0;o16hhh54448yvbbn3:1>v3keg847c=:ll318894}rfe4?6=:r7oj=483g9>``1=<<<0q~mnf;296~;cn803h452a1590027>52z?gb4<50m16hk9572d8yvba;3:1>v3kf2847c=:lo>18894}rfe0?6=:r7oj9483g9>`c0=<52z?gb=<0;o16hk8544:8yvbai3:1>v3kf`847c=:loi18864}rfef?6=:r7ojo483g9>`ce=<<<0q~jic;296~;cnj052z?gba<0;o16hk:54448yvee83:1>v3kfd8;`<=:i9k188:4}rfeb?6=:r7ojh4=8e9>a52=?:l0q~k?0;296~;b89052z?f44<0;o16i==54478yvc7:3:1>v3j02847c=:m9>18884}rg31?6=:r7n<8483g9>a55=<<20q~k?7;296~;b8>052z?f4=<0;o16i=754448yvc713:1>v3j08847c=:m9918894}rg3e?6=:r7na57=<<<0q~mm1;297~;69?k188:4=b;7>13234o;n76k9:pa5e=838p1io=:3:g?8c693=8j6s|e1f94?4|5l:o6:=i;9m70k>0;661>{tm9l1<725a34o:=7::6:pa44=838p1h?=:61e?8c683>>46s|e0694?4|5l;?6:=i;9m70k>6;662>{tm8<1<725a34o:<7::7:pa41=838p1h?8:61e?8c7m3>>:6s|cc194?4|5l;365j6;<;a7?22<2wxi<750;0x9`7?2;2o70k>e;50b>{tm8k1<725a34o:n7::7:pa4d=838p1h?m:61e?8c6l3>>96s|e0a94?4|5l;o6:=i;2wxi9m70k>d;66<>{tm;;1<725a34o9?7::8:pa74=838p1h<=:61e?8c5;3>>:6s|e3194?4|5l886:=i;9m70k>b;662>{tkk>1<7=b>343i:7::4:pa70=838p1h<::3:g?8c5j3=8j6s|e3594?4|5l8<6:=i;9m70k=a;661>{tm;31<725a34o9n7::6:pa7e=838p1h>46s|e3g94?4|5l8n6:=i;9m70k<0;662>{tm::1<725a34o9m7::7:pa67=838p1h=>:61e?8c503>>:6s|cc794?4|5l9965j6;<;a=?22<2wxi>=50;0x9`552;2o70k<8;50b>{tm:>1<725a34o897::7:pa63=838p1h=::61e?8c4?3>>96s|e2494?4|5l9<6:=i;2wxi>750;0x9`5>2>9m70k<7;66<>{tm:h1<725a34o8h7::8:pa6e=838p1h=l:61e?8c4l3>>:6s|e2f94?4|5l9o6:=i;k50;0x9`5b2>9m70k<5;662>{tkk<1<7=b>343io7::4:pa16=838p1h=i:3:g?8c3=3=8j6s|e5394?4|5l>:6:=i;9m70k;4;661>{tm=91<725a34o?97::6:pa10=838p1h:9:61e?8c3<3>>46s|e5:94?4|5l>36:=i;2>9m70k;a;662>{tm=k1<725a34o?87::7:pa1d=838p1h:m:61e?8c3:3>>:6s|cc594?4|5l>h65j6;<;ab?22<2wxi9j50;0x9`2d2;2o70k:2;50b>{tm=o1<725a34o?j7::7:pa1`=838p1h:i:61e?8c293>>96s|e4294?4|5l?:6:=i;2wxi8=50;0x9`342>9m70k:1;66<>{tm25a34o>;7::8:pa00=838p1h;9:61e?8c2?3>>:6s|e4594?4|5l?<6:=i;9m70k;f;662>{tm<31<7=b>34iii7::5:pa2d=83;;b?h099k52e87960`<5l2=6?;i;a<`=:j63ja2811c=:m181>8h4=d:3>73a34o3=7<:f:?f<6<5=o16i5:524d89`>22;?m70k7d;06b>;b0j099k52e9:960`<5l2<6?;i;a2e=?:l0q~k8e;291~;698:18864=02a7?22?27nh:4;569>a2e=<<=01h9k:61e?xub>90;6?u2e6d958e;89fed2=?>7p}j5c83>7}:m1;14i74=bf:>1323tyn9n4?:3y>a=4=0m301nk9:576?xub=m0;6?u2e9197p}j5g83>7}:m1?14i74=e2g>1323tyn:84?:3y>a=0=0m301i;n:576?xub>80;6?u2e9597p}j6283>7}:m1314i74=e62>1323tyn:94?:3y>a=g=0m301i:j:576?xub>h0;6?u2e9`97p}j6683>7}:m1n14i74=e56>1323tyn:54?:3y>a=c=0m301i6=:576?xub0o0;6nu2e9g960`<5l3?6?;i;a<>=:138>j63j9e811c=:m0h1>8h4=d;`>73a34o2i7<:f:?f=5<0;o1vh7<:186876;<0?955211ga>13034om?7::7:?f=5<3=>16i4?572d8yvc113:1>v3j958;`<=:l1l188;4}rg40?6=:r7n5847d89>a47=<52z?f=2v3j998;`<=:lj9188;4}rg5a?6=:r7n5447d89>`a6=<52z?f=gv3j9b8;`<=:lo=188;4}rg46?6=:r7n5i47d89>a52=<52z?f=c3:1>v3ja18;`<=:m;h188;4}rg43?6=:r7nm<47d89>a6>=<52z?fe6<0?3tynh;4?:33x9`c32;?m70kkf;06b>;bm<099k52ed2960`<5lo=6?;i;a`>=:j63jd6847c=:mlk1>8h4=dga>73a34ono7<:f:?faa<5=o16ihh524d89``72;?m70kke;06b>;blm099k52eea960`<5lni6?;i;b5?=:7:37e?8`7?38>j63i07811c=:n9?1>8h4=dda>73a34oni7<:f:?fa6<5=o16ii6524d89`b22;?m7p}jag83>6}:k<:18894=df;>=b>343j;7798:pad3=839p1n;8:574?8cc132o5636948:2==z{lk=6=4<{=<>=1?20q~kn7;297~;d?m0?9:52ee`9{tmh31<7=t=b60>13034ooh76k9:?:e4<>>11vhon:1808e3=3>>;63jdd8;`<=:1h>15;64}rgbf?6=:r7nhk47d89>g11=<<=0q~knc;296~;bm903h452c5;900152z?fa4v3je38;`<=:k=o18894}rgae?6=;r7h:54;569>a`5=0m3014on:84;?xubj90;6?u2ed697}:ml<14i74=b75>1303tynn>4?:3y>a`1=0m301n;6:574?xubj=0;6?u2ed:96}:k=b>343o97798:pag1=839p1n8>:574?8cbj32o5636d98:2==z{lh36=4<{=ad=1?20q~km9;297~;d>?0?9:52edf9{tmkh1<7=t=b4b>13034onj76k9:?:a4<>>11vhll:1808e1k3>>;63jf18;`<=:1l>15;64}rga`?6=;r7h8<4;549>ac7=0m3014h7:84;?xubn;0;6<73a34om:7<:f:?fb=<5=o16ik9524d89``>2;?m70kia;06b>;a8=099k52eg1936`<5lln6?;i;b56=:j63i03811c=:n991>8h4=dd`>73a34omh7<:f:pagc=839p1n;?:576?8ca<32o563n0e8:2==z{lhm6=4={c127h:54;549~w`e72909w0ki6;:g=>;d>o0?985rsda2>5<5s4om;76k9:?`34<3=<1vhm=:1818ca032o563l728710=z{li86=4={c127h;84;549~w`e32909w0kia;:g=>;d?>0?985rsdae>5<4s4i<=7::7:?fbg597:8yvcd>3:1?v3l7`8710=:moi14i74=`33><0?3tyno:4?:2y>g2e=<c1272jo46699~w`e>2908w0m9d;661>;bno03h4529gg9=3>53z?`3a<3=<16j=>58e;89d6620<37p}jcc83>6}:k>l188;4=g22>=b>34k;87798:pafe=839p1n:<:576?8`7:32o563n068:2==z{lio6=4<{47d89>e5g=1?20q~kle;296~;a8=03h452c55900353z?`36<3=>16j=;58e;896}:k>?18894=g25>=b>343i:7798:paa4=839p1n98:574?8`7?32o5636b88:2==z{ln86=4<{=ge=1?20q~kk4;297~;d?j0?9:52f1;9;dk90?985rsg2a>5<2s4l;n76k9:?`g4<3=?16on<544489fdf2=?>70ml0;662>{tn9i1<78t=g2`>=b>34ih=7::7:?`g7<3=<16on:544789fdf2=?<70ml0;663>{tn9n1<7:t=g2g>=b>34ih=7::5:?`g1<3=>16on>544:8yv`7m3:1?v3i0d8;`<=:kj9188;4=b`e>1313tymb5`=0m301nli:576?8eel3>>:6s|f0294?1|5o:j6?;i;b5b=:j:37e?8`7n38>j63i10847c=z{o;j6=4<{<336c<3=?16j<8572d89c732=?<7p}i1c83><}:99:h69;7;b11=<<201k=8:57;?8`5<3>>463i10871==:n881;>h4=g30>1333tym=n4?:4y>bd6=<<=01kga7=<<=01nm6:576?8edm3>>96s|f0g94?3|5o;n65j6;27hh=4;579>gf>=<gf>=<<=01nmj:574?xua:90;69u2f329;dl80?9852cbf90007>53z?e672}:n8n1>8h4=g3f>73a34l:j7<:f:?e65<5=o16j??524d89c452;?m70h=4;50b>{tn;?1<7:t=g06>=b>34ioi7::7:?``3<3=<16oil54478yv`5>3:19v3i278;`<=:kmi18884=bfg>13134io97::5:?``g<3=?1vk<8:1858`5?32o563ldb8712=:kmn188;4=bfe>13234io97::7:?``g<3=>1vk<7:1878`5032o563ldb8710=:kml18894=bfa>13?3tym>44?:2y>b7?=0m301njj:576?8eci3>>:6s|f3c94?5|5o8j65j6;;a:?099k52f35960`<5o836?;i;l4=5g9>b7e=?:l0q~h=f;29=~;688>18864=0230?22027mn94;599>b0`=<<201k:i:57;?8`4n3>>463i2b871==:n;n1;>h4=g0f>1333tym?=4?:5y>b66=0m301nkm:574?8eb;3>>963le98710=z{o9:6=4:{c127hi44;579>g`g=<<<01nk=:576?8eb03>>:6s|f2094?0|5o9965j6;g`e=<>;6s|f2194?2|5o9865j6;g`>=<<20q~h<4;297~;a;=03h452cd`9003<5jo<69;9;|qe70<72:q6j>;58e;89fc02=?>70mj5;662>{tn:<1<79t=g13>73a34l8=7<:f:?e77<5=o16j>=524d89c532;?m70h<5;06b>;a;>05<3s4l8476k9:?`b=<3=>16ok>544789f`22=?>7p}i3883>0}:n:314i74=bd5>13134im;7::6:?`ac<3=<16ok;54448yv`4i3:1:v3i3`8;`<=:ko<18894=bd4>13234im57::5:?`ac<3=>16ok;54458yv`4j3:18v3i3c8;`<=:ko<188;4=bd:>13034im97::8:pb6e=839p1k=l:9f:?8ea03>>963lf58713=z{o9o6=4<{c127hj94;549>gc4=<<<0q~hb6b=:69;8;w0h;1;:g=>;c8:0?9;52d169000<5jlh69;:;2wxj9<50;4x9c2521n270j?3;663>;c8=0?9852d149003<5jlh69;8;;c8?0?9:52d10900>53z?e016}:n=?14i74=e22>13234imj7::6:pb10=83=p1k:?:37e?8`3938>j63i43811c=:n=91>8h4=g67>73a34l?97<:f:?e02<0;o1vk:7:1878`3032o563k138712=:l9k188;4=e2e>1323tym844?:4y>b1?=0m301i??:575?8b693>>:63k088710=:l9l18884}rd7e?6=>r7m8l47d89>`46=<<=01i?>:576?8b6;3>>963k088712=:l9l18894}rd7f?6=`46=<>46s|f5a94?5|5o>h65j6;;c8l0?9852d1a900057z?e0=<5=o16j97524d89c2f2;?m70h;b;06b>;am6:=i;|qe14<72;q6j8?572d89c352=?>7p}i5283>7}:n<818894=g70>25a3tym994?:5y>b06=?:l01k6i:57;?8`0?3>>963i66871==z{o?>6=4<{b04=?:l0q~h:7;290~;a=?0<5o=m69;:;e;663>;c9?0?9852d0`900357>55z?e1<5;661>;c9k0?9;5rsg7b>5<1s4l>m76k9:?g5f<3=>16h70j>5;663>;c9k0?9:5rsg7a>5<3s4l>n76k9:?g5f<3=<16h6}:n13234n:m7::6:pb0b=839p1k;k:9f:?8b6i3>>963k198713=z{o?n6=48{b0g=:j63i5e811c=:nh4}rd54?6=`7e=<<=01i<;:576?8b513>>96s|f7394?3|5o<:65j6;27o>o4;579>`75=<;0;6;u2f709i4;549>`75=<<=01i<6:574?xua>:0;69u2f71944;599~wc032908w0h94;:g=>;c:j0?9852d3:900053z?e202}:n?:1>8h4=g42>73a34l=>7<:f:?e26<5=o16j;:524d89c022;?m70h97;50b>{tn?21<7:t=g4;>=b>34n857::7:?g74<3=<16h>854478yv`113:19v3i688;`<=:l:=18884=e1;>13134n8<7::5:?g73<3=?1vk8n:1858`1i32o563k368712=:l:2188;4=e1b>13234n8<7::7:?g73<3=>1vk8m:1878`1j32o563k368710=:l:k18894=e15>13?3tym:n4?:2y>b3e=0m301i=6:576?8b4=3>>:6s|f7f94?5|5o4;579~wc0b290;a>0099k52f7c960`<5ob3`=?:l0q~h80;290~;a?903h452d549001<5m9n69;:;;c<<0?9;52d2f9003<5m>869;9;|qe37<72?q6j:<58e;89a232=?<70j;5;661>;c<>0?9852d2f9001<5m>869;8;|qe36<72=q6j:=58e;89a232=?>70j;7;663>;c<:0?955rsg57>5<4s4l<876k9:?g03<3=<16h9<54448yv`0=3:1?v3i748;`<=:l=8188;4=e63>1313tym;;4?:6y>b26=::37e?8`0:38>j63i72811c=:n>>1>8h4=g56>73a34l<;79=83>p1k97:9f:?8b2;3>>;63k4c8710=:l<:188;4}rd4=?6==r7m;447d89>`07=<<<01i;=:575?8b3i3>>963k518713=z{o=j6=49{c127o9<4;569>`04=<>;63k518712=z{o=i6=4;{c127o9<4;549>`02=<<=01i;?:57;?xua?j0;6>u2f6a92wxj:j50;1x9c1c21n270j;f;661>;c5<0s4l<47<:f:?e3<<5=o16j:o524d89c1e2;?m70h8c;06b>;a?m099k52f6d936`54z?e<570j:c;661>{tn1;1<7;t=g:2>=b>34n>h7::6:?g1`<3=?16h88544789a3d2=?=7p}i8383>3}:n1814i74=e7g>13034n>i7::5:?g25<3=<16h88544589a3d2=?<7p}i8283>1}:n1914i74=e7g>13234n=<7::7:?g1f<3=11vk6;:1808`?<32o563k5g8710=:l`0d=<4=5g9>b=2=:`3g=<f290=w0h7a;:g=>;c>k0?9:52d7a9003<5me290?w0h7b;:g=>;c>k0?9852d7g9001<5m70j99;662>{tn1n1<7=t=g:g>=b>34n=57::5:?g22<3=?1vk6j:1848`?038>j63i88811c=:n1k1>8h4=g:a>73a34l3o7<:f:?e83:18v3i918;`<=:l>k18894=e51>13234n<;7::5:pb<7=83?p1k7>:9f:?8b003>>:63k788713=:l>;188;4=e54>1313tym5?4?:7y>b<4=0m301i97:574?8b013>>963k7c8710=:l>;18894=e54>1303tym5>4?:5y>b<5=0m301i97:576?8b0j3>>;63k76871==z{o3?6=4<{c127o;l4;549>`20=<<<0q~h65;297~;a1<03h452d649003<5m=?69;9;|qe=3<72>q6j4>524d89c?62;?m70h62;06b>;a1:099k52f86960`<5o3>6?;i;;c?o0?9852d96900355z?e=<12=?=70j8e;661>;c0=0?9;5rsg;b>5<1s4l2m76k9:?g<0<3=>16h58544789a>?2=?>70j8e;663>;c0=0?9:5rsg;a>5<3s4l2n76k9:?g<0<3=<16h56544589a>32=?37p}i9b83>6}:n0i14i74=e:4>13234n3?7::6:pb>963k808713=z{o3n6=48{bk38>j63i9e811c=:n0l1;>h4}rdb6?6=>r7mhn4;599>bfe=<<201kll:57;?8`>n3>>463ia1847c=:nh;188:4}rdb7?6=47d89>`<2=<<=01i6l:576?8b>93>>96s|f`694?3|5ok?65j6;27o5>4;579>`=d=<:575?xuai<0;6;u2f`79`=d=<<=01i7>:574?xuai?0;69u2f`49;c1=0?9852d82900053z?ee=544789a>b2=?=7p}ia883>2}:nh91>8h4=gc7>73a34lj97<:f:?ee3<5=o16jl9524d89cg?2;?m70hna;50b>{tnhi1<78t=gca>25a34lm87::8:?ea1<3=116ji:544:89ce32=?370hna;66<>{tnhn1<7:t=gcg>=b>34nj<7::7:?g==<3=<16h4j54478yv`fm3:19v3iad8;`<=:l0o18884=e;e>13134n2;7::5:?g=a<3=?1vkoi:1858`fn32o563k9d8712=:l0l188;4=ec2>13234n2;7::7:?g=a<3=>1vkl?:1878`e832o563k9d8710=:lh;18894=e;g>13?3tymn<4?:2y>bg7=0m301io?:576?8b>k3>>:6s|fc094?5|5oh965j6;;ail099k52f`d960`<5oh;6?;i;bg2=?:l0q~hm5;290~;aj<03h452d`g9001<5mk=69;:;;cim0?9;52d`79003<5mki69;9;|qef2<72?q6jo958e;89agd2=?<70jnd;661>;cio0?9852d`79001<5mki69;8;|qef=<72=q6jo658e;89agd2=?>70jnf;663>;cik0?955rsg`:>5<4s4li576k9:?ge`<3=<16hlo54448yv`ei3:1?v3ib`8;`<=:lhk188;4=ec;>1313tymno4?:6y>bg3=:j63ib9811c=:nk31>8h4=g`b>73a34lio79p1klk:9f:?8bej3>>;63kb28710=:lk2188;4}rdaa?6==r7mnh47d89>`g?=<<<01iln:575?8be:3>>963kb98713=z{ohm6=49{c127on44;569>`gg=<>;63kb98712=z{oi;6=4;{c127on44;549>`ge=<<=01il7:57;?xuak80;6>u2fb392wxjn<50;1x9ce521n270jm7;661>;cj<0?9;5rsga0>5<0s4lih7<:f:?ef`<5=o16joh524d89ce72;?m70hl1;06b>;ak;099k52fb6936`54z?eg070jl5;661>{tnj<1<7;t=ga5>=b>34nh:7::6:?gg2<3=?16hoh544789ae22=?=7p}ic683>3}:nj=14i74=ea5>13034nh;7::5:?gg<<3=<16hoh544589ae22=?<7p}ic983>1}:nj214i74=ea5>13234nh57::7:?gg0<3=11vkm6:1808`d132o563kc98710=:lj>18884}rd`e?6=;r7mol47d89>`f2=<bf?=:`a4=<;cl:0?9:52de69003<5mn=69;:;;cl:0?9852de49001<5mn969;7;|qe`4<72:q6ji?58e;89ab22=?>70jk1;662>{tnm81<7=t=gf1>=b>34no=7::5:?ggc<3=?1vkj<:1848`dl38>j63icd811c=:njl1>8h4=gf3>73a34lo=7<:f:?e`7<5=o16ji:572d8yv`c=3:18v3id48;`<=:ll818894=efb>13234noj7::5:pba0=83?p1kj9:9f:?8bb83>>:63ke08713=:lm3188;4=efe>1313tymh:4?:7y>ba1=0m301ik?:574?8bb93>>963ke28710=:lm318894=efe>1303tymh54?:5y>ba>=0m301ik?:576?8bb;3>>;63kdg871==z{on26=4<{c127oi?4;549>`ac=<<<0q~hka;297~;alh03h452deg9003<5mnh69;9;|qe`g<72>q6ji;524d89cb12;?m70hk7;06b>;al1099k52fe;960`<5onj6?;i;;cm>0?9852dda900355z?e``;cmj0?9;5rsgfe>5<1s4loj76k9:?gaa<3=>16hhk544789a`72=?>70jj6;663>;cmj0?9:5rsgg3>5<3s4ln<76k9:?gaa<3=<16hk>544589acd2=?37p}ie083>6}:nl;14i74=ege>13234nnn7::6:pb`4=839p1kk=:9f:?8bbj3>>963ke88713=z{oo86=48{ba`=:j63ie3811c=:nl>1;>h4}rdf1?6=`ce=<<=01ih;:576?8ba13>>96s|fd494?3|5oo=65j6;27ojo4;579>`c5=<0;6;u2fd59`c5=<<=01ih6:574?xuam10;69u2fd:92908w0hj9;:g=>;cnj0?9852dg:900053z?ead2}:nl?1>8h4=gg5>73a34ln;7<:f:?ea=<5=o16jh7524d89ccf2;?m70hjc;50b>{tnln1<7:t=ggg>=b>34o;57::7:?f44<3=<16i=854478yv`bm3:19v3ied8;`<=:m9=18884=d2;>13134o;<7::5:?f43<3=?1vkki:1858`bn32o563j068712=:m92188;4=d2b>13234o;<7::7:?f43<3=>1vkh?:1878`a832o563j068710=:m9k18894=d25>13?3tymj<4?:2y>bc7=0m301h>6:576?8c7=3>>:6s|fg094?5|5ol965j6;4;579~wc`4290;aml099k52fdd960`<5ol;6?;i;bc2=?:l0q~hi5;290~;an<03h452e049001<5l:n69;:;4;662>;b9<0?9;52e1f9003<5l;869;9;|qeb2<72?q6jk958e;89`732=?<70k>5;661>;b9>0?9852e1f9001<5l;869;8;|qeb=<72=q6jk658e;89`732=?>70k>7;663>;b9:0?955rsgd:>5<4s4lm576k9:?f53<3=<16i<<54448yv`ai3:1?v3if`8;`<=:m88188;4=d33>1313tymjo4?:6y>bc3=:j63if9811c=:no31>8h4=gdb>73a34lmo79p1khk:9f:?8c5;3>>;63j1c8710=:m;:188;4}rdea?6==r7mjh47d89>a77=<<<01h<=:575?8c6i3>>963j218713=z{olm6=49{c127n><4;569>a74=<>;63j218712=z{8:;<7>54z?2456=0m301h<>:576?8c5<3>>;63j21871==z{8:;=7>53z?2457=0m301h<<:576?8c6n3>>:6s|1121>5<4s4;;a4`=<73a34lmi7<:f:?ebc<5=o16==>?:37e?87788099k521121>73a34;;<9483g9~w467=3:18v3>0179i4;549~w467>3:19v3>014927n>:4;549>a7b=<<<0q~??0683>3}:99:<65j6;k4;549>a67=<>;6s|112;>5<3s4;;<547d89>a7c=<:574?8c5l3>>46s|112:>5<4s4;;<447d89>a66=<c127n>n4;549>a7g=<<<0q~??0c83>2}:99:>6?;i;<3343<5=o16==>8:37e?87781099k52112:>73a34;;556d2>9m7p}>01f94?2|58:;h76k9:?f7a<3=>16i>;544789`5f2=?>7p}>01g94?3|58:;i76k9:?f7g<3=?16i>m544489`532=?>70k{t99:m6=49{<334cl544589`5d2=?>70k;b;=0?9:52e2c9001557721n270k;b;l0?9:52e2c900>557621n270k;b;00?9;5rs0226?6=;r7:<<<58e;89`5>2=?>70k<7;662>{t99;86=48{<334a<5=o16==>j:37e?8778o099k521133>73a34;;=<4=5g9>55752;?m70??15847c=z{8::97>54z?2443=0m301h:n:574?8c3:3>>963j468710=z{8:::7>55z?2440=0m301h:7:575?8c313>>:63j408710=:m==18884}r3352<72?q6==?8:9f:?8c303>>;63j488710=:m=h188;4=d62>13034o?;7::7:p557?290?w0??198;`<=:m=2188;4=d6a>13034o?;7::8:p557>2908w0??188;`<=:m=k188;4=d65>1313ty:<18884}r335g<72>q6==?::37e?8779?099k521134>73a34;;=54=5g9>557>2;?m70??1`811c=:99;h6:=i;|q244b=83>p1<>>d;:g=>;b=>0?9:52e5d9003<5l??69;:;|q244c=83?p1<>>e;:g=>;b=<0?9;52e449000<5l>n69;:;2wx==?i:1858779o03h452e479001<5l?=69;:;a02=<<=0q~??2183>1}:998;65j6;a02=<<20q~??2083>6}:998:65j6;4;579~w465:3:1?v3>03092wx==<<:1848779m099k52113f>73a34;;=k4=5g9>55472;?m70??20811c=:99896?;i;<3361<0;o1v<>=5;29<~;68;?14i74=030e?22=27:=9<54458947283>>963>1409000<58;?;77=6:?2501=<<<01{t998=6=4m{<336313034;:9<4;579>542?2=?>70?>488710=:98?<69;8;<321=<3=<16=<:k:576?87613234;:?44;549>545e2=?<70?>418710=:98>:69;8;<320d<3=?16=<:m:576?876=;0?95521074>13234;:954;569>545c2=?>70?>3d8710=:98>?69;:;<3200<3=<16=<:i:805?xu68;21<7=t=021c127:=8:544789472=3>>:6s|110:>5<5s4;;>447d89>5434208=7p}>03c94??|58:9m76k9:?256?=<<<01;69=:18884=0375?22=27:=8?544789472=3>>963>12d9=70<58;?:77=6:p554e2908w0??2c8;`<=:98??69;8;<3210<3=11v<>=c;29<~;68;?1>8h4=0212?42n27:j63>03;960`<58:9m7<:f:?247d=:=f;50b>{t998o6=4={<336a<0;o16==u211`2>=b>34i?<7::6:?`f`<>:?1v<>m2;2964}:99h:6?;i;<33f1<5=o16==k9:37e?877lk099k5211d0>73a34;;jh4=5g9>546>2;?m70?>17811c=:988:6?;i;<326f<5=o16==l::37e?877l=099k5211a3>73a34;;oo4=5g9>55b12;?m70??d4811c=:99nh6?;i;<33`a<5=o16==j8:37e?877lo099k5211ff>73a34;;h54=5g9>55bf2;?m70??d8811c=:99o;6?;i;<33a=<5=o16==k>:37e?877m>099k5211g1>73a34;;i>4=5g9>55c22;?m70??e5811c=:99h86:=i;|q240>=839p1<>m4;:g=>;d55d221n270m;c;662>;dkj02>;5rs0206?6=:r7:02194?4|58:i;76k9:?aa`<3=>1v<><4;296~;68k214i74=cge>1303ty:<>;50;0x946e132o563mf18712=z{8:8:7>52z?24gg=0m301oh>:574?xu68:=1<7c127ij>4;569~w46403:1>v3>0ca9md;:g=>;elj0?9:5rs020e?6=:r7:02`94?4|58:ij76k9:?ab7<3=>1v<>;7;297~;68j:14i74=b41>13134io577=6:p555c2909w0??c08;`<=:jo>18894}r337`<72;q6==m=:9f:?8da=3>>;6s|111e>5<5s4;;o>47d89>fc0=<<=0q~??4183>7}:99i?65j6;<`e3?22?2wx==:>:181877k<03h452be0900155e121n270lk4;663>{t99>86=4={<33g2=b>34ho57::7:p55222909w0??c88;`<=:jmh18894}r3303<72;q6==mn:9f:?8dcm3>>;6s|1173>5<4s4;;oo47d89>g2>=<<<01nk9:805?xu68=21<7c127ii=4;569~w46313:1>v3>0bf9le;:g=>;em=0?9:5rs027f?6=:r7:05a94?4|58:o<76k9:?aa3<3=>1v<>;d;296~;68m;14i74=cg;>1303ty:<9k50;0x946c:32o563me`8712=z{8:?j7>52z?24a5=0m301okm:574?xu68<;1<7=t=02g0?>c127h;h4;579>gc5=1;<0q~??5383>6}:99n>65j6;27o<=46279~w462;3:1?v3>0e49?69;9;2wx==;;:181877l>03h452c54900055b?21n270m;8;662>{t99?=6=4={<33`<0;6?u211fb>=b>34i?h7::6:p55042908w0??dc8;`<=:k?=18884=e7b><413ty:<8750;0x946ck32o563l508713=z{8:>m7>52z?24ab=0m301n;<:575?xu68c127h984;579~w462k3:1>v3>0ed9j0;:g=>;d=h0?9;5rs026a?6=:r7:04d94?5|58:n>76k9:?`1`<3=?16h?959348yv77>90;6>u211g0>=b>34i=<7::6:?g71<>:?1v<>91;297~;68l>14i74=b40>13134n?=77=6:p55052908w0??e48;`<=:k??18884=e6f><413ty:<;k50;1x946b>32o563l6d8713=:l0h15?84}r3321<72:q6==k8:9f:?8e113>>:63k698:63=z{8:=97>53z?24`>=0m301n8m:575?8b0=339:6s|1145>5<4s4;;i447d89>g16=<<=01i6=:805?xu68lk1<7<>{<33a<<5=o16==kl:37e?877ml099k5211gg>73a34;;j94=5g9>55ca2;?m70??f4811c=:99l;6?;i;<33b3<5=o16==h>:37e?877n>099k5211d1>73a34;;j54=5g9>55`>2;?m70??fg811c=:99lj6?;i;<3245<5=o16==hm:37e?87688099k5211d`>73a34;:55`c2;?m70?>02811c=:98:?6?;i;<324d<5=o16=<>m:37e?8768<099k521024>73a34;:<;4=5g9>546d2;?m70?>0e811c=:98:36?;i;<33ag<0;o1v<>97;297~;68li14i74=b6e>13034n3j77=6:p550?2909w0??ee8;`<=:k?=18894}r332<<72;q6==kj:9f:?8e1m3>>;6s|114b>5<5s4;;ik47d89>g26=<<=0q~??6c83>7}:99l;65j6;55`521n270m86;663>{t99=26=4<{<33b6544489`76208=7p}>07d94?5|58:m876k9:?`3<<3=>16hl759348yv77?90;6>u211d6>=b>34i:?1v<>81;297~;68o<14i74=b6`>13034nh?77=6:p55152908w0??f68;`<=:k?818894=ef3><413ty:<:=50;1x946a032o563l798712=:lmn15?84}r3331<72:q6==h6:9f:?8e0m3>>;63ke`8:63=z{8:<97>53z?24cg=0m301n:=:574?8ba?339:6s|1155>5<4s4;;jo47d89>g12=<<=01h>;:805?xu68>=1<7c127h8;4;569~w46003:1>v3>0gf9369;8;|q24=2=839p1<>ie;:g=>;d?;0?9;52e0g9=7055`a21n270m;a;663>{t99=i6=4={<3245=b>34i>=7::7:p551c2909w0?>038;`<=:k<918894}r333`<72;q6=<><:9f:?8e2=3>>;6s|115e>5<5s4;:<947d89>g0>=<<=0q~??8183>7}:98:>65j6;:1818768?03h452c4a9001546021n270m:e;663>{t99286=4={<324=54458yv770o0;6>u2102:>=b>34i<87::6:?f6g<>:?1v<>75;296~;699k14i74=b40>1303ty:<5850;0x9477j32o563l648712=z{8:3;7>52z?255e=0m301n86:574?xu68121<7c127h:o4;569~w46?13:1>v3>11g90d811c=:98;96?;i;<3254<5=o16=73a34;:=>4=5g9>54722;?m70?>15811c=:98;j6?;i;<3267<5=o16=73a34;:=i4=5g9>54442;?m70?>24811c=:988?6?;i;<325c<5=o16=<<8:37e?876:9099k521005>73a34;:>h4=5g9>544c2;?m70?>29811c=:988j6?;i;<326<<5=o16=<73a34;:>o4=5g9>54552;?m70?>11847c=z{8:3m7>52z?2547=0m301oj9:575?xu681h1<7c127ii44;579~w46?k3:1>v3>10194;:g=>;emm0?9;5rs02;a?6=:r7:=<;58e;89gcb2=?=7p}>08c94?5|58;::76k9:?`33<3=?16i>659348yv77190;6?u21034>=b>34hnj7::6:p55?62909w0?>198;`<=:jo:18884}r33=7<72;q6=>:6s|11;0>5<5s4;:=l47d89>fc5=<<<0q~??9583>7}:98;i65j6;<`g5?22>2wx==7::1818769j03h452bea9000547c21n270lj7;662>{t993<6=4={<325`=b>34hm87::6:p55?>2909w0?>218;`<=:jo?18884}r33e0<72:q6=<<>:9f:?8e013>>:63j448:63=z{8:2n7>52z?2574=0m301oh9:575?xu680i1<7c127ij:4;579~w46>l3:1>v3>1369;el=0?9;5rs02:b?6=:r7:=?858e;89gb02=?=7p}>0`294?4|58;9;76k9:?a`<<3=?1v<>n1;296~;69;214i74=cfa>1313ty:52z?257g=0m301ok?:575?xu68h>1<7c127ii?4;579~w46e83:1?v3>13a92wx==o9:181876:m03h452bd69000544b21n270lj5;662>{t99k36=4={<326c=b>34hn47::6:p55gf2909w0?>308;`<=:jlk18884}r33eg<72;q6=<==:9f:?8dbj3>>:6s|11c`>5<5s4;:?>47d89>fa6=<<=0q~?>3583>77|58;8;7<:f:?2565=:;69:21>8h4=02a3?42n27:j63>0c:960`<58:i57<:f:?24ge=:mb;06b>;68j;1>8h4=02`7?42n27:j63>0b6960`<58:ii7<:f:?24f3=:mf;06b>;68ji1>8h4=02`2?42n27:j63>0bg960`<58:h47<:f:?24f?=:lf;06b>;68m:1>8h4=02`e?42n27:j63>0e1960`<58;8979378;`<=:jm<18894}r33e`<72;q6=<=8:9f:?8db13>>;6s|11ce>5<5s4;:?547d89>f`e=<<=0q~?>3883>7}:98926:=i;<320<<3=?1vh4=036e?22=2wx=<=m:181876;k013?3ty:=>m50;0x947313>>;63>12d936`545c2>9m70?>3g8713=z{8;8i7>52z?256c=?:l01{t98>;6=4={<3205<0;o16=<:7:575?xu69=;1<725a34;:9l4;569~w473;3:1>v3>15:9001<58;?:7945847c=:98>=69;9;|q2513=838p1;69=<188;4}r3202<72;q6=<:8:61e?876==0?955rs037e?6=:r7:=9o572d8947283>>:6s|106a>5<5s4;:8o483g9>54372=?37p}>15a94?4|58;><7::7:?251`=?:l0q~?>4e83>7}:98>o6:=i;<320c<3=?1vh4=037b?22=2wx=<;?:181876=9013?3ty:=8?50;0x947293=8j63>1469000543f2=?370?>58847c=z{8;>;7>52z?2501=?:l01{t98?36=4={<321=<0;o16=<;6:576?xu69n79;69?81>5j4}r3227<72;2p1<8n8;661>;6>131>;=4=04`>963>6b39003<587::5:?22f5=<;6>j?188;4=04`2?22=27::n954478940f13>>963>6`c9003<58;6>ho188;4=04bb?22=27::o>54478940e93>>963>6c09003<58;6>k=188;4=04a>963>6c`9003<58;6>j:188;4=3a2`?22=279ni<54458941513>>:63=c3:9000<58=8j7::4:?2534=0m30q~?:ae83>7}:913134;>n=47d89~w43e:3:1>v3>5c09;69=h18884=07a1?>c12wx=8l8:181872j>03h45214`b>7>c3ty:9oo50;1x9f>22=?>70?>4d8712=:9;6=kl1>5j4}r36fc<72:q6o56544789473i3>>;63>5cd9o<4?:3y>50e621n270?:c58153z?`<<<3=<16=<:k:575?872k=03h45rs07`2?6=:r7:9n858e;8943d1383h6s|14a:>5<4s4i3m7::5:?251d=<<201<;l9;:g=>{t913234;:8i4;569>50eb21n27p}>5e294?4|58?o<76k9:?21a5=:1n0q~?:d283>6}:k1n188;4=037e?22027:9i=58e;8yv72l<0;6?u214f6>=b>34;>h54=8e9~w43c03:1?v3l8d8712=:98>>69;9;<36`=>;63>12g9000<58?oh76k9:p50ba2909w0?:dg8;`<=:9:574?876<80?9;5214g1>=b>3ty:9h:50;0x943b<32o563>5d596=bi:4?:2y>g=e=<<=01;6=l=14i74}r36a<<72;q6=8k6:9f:?872mj094i5rs07fg?6=;r7h5=4;569>54222=?<70?:eb8;`<=z{8?ni7>52z?21`c=0m301<;i1;0;`>{t9k54458943a932o56s|14d0>5<5s4;>j>47d89>50`12;2o7p}>5g494?5|5j3969;8;<3205<3=>16=8h9:9f:?xu6=o21<7c127:9kl529f8yv72nk0;6>u2c819001<58;857::7:?21cd=0m30q~?90e83>7}:9?:o65j6;<3555<50m1v<8>0;297~;d1?0?9:521061>13134;===47d89~w406:3:1>v3>6009;69:k18894=0421?>c12wx=;?8:1818719>03h452173b>7>c3ty::42=?<70?>56871==:98?369;9;<355dc;296~;6>8i14i74=042b?4?l2wx=;?i:1808e?<3>>;63>14;9=70<58<:j76k9:p53462909w0?9208;`<=:9?8?6?6k;|q2272=839p1n69:574?876<;0?95521707>=b>3ty::?850;0x9405>32o563>63;96=b44?:2y>g=1=<<=01;6>;314i74}r356g<72;q6=;54352=?<70?92d8;`<=z{8<8<7>52z?2266=0m301<8<3;0;`>{t9?986=4<{5<5s4;=8<47d89>53232;2o7p}>65694?5|5j2n69;:;<3201<3=?16=;:;:9f:?xu6>=<1<7c127::97529f8yv71<00;6>u2c9d9003<58;8h7::6:?221?=0m30q~?94c83>7}:9?>i65j6;<350`<50m1v<8;e;297~;d080?98521062>13?34;=8h47d89~w40283:1>v3>6429?7<7d:p53342908w0m7c;661>;69:h18864=0467?>c12wx=;;::181871=<03h452177;>7>c3ty::8650;1x9f?72=?>70?>458712=:9??365j6;|q220g=838p1<8:a;:g=>;6>5j4}r351a<72:q6o4?544789474l3>>;63>64f9533a21n270?963817>53z?`=7<3=<16=<:?:57;?871>;03h45rs0450?6=:r7::;:58e;89401?383h6s|1744>5<4s4i2?7::5:?256?=<<201<897;:g=>{t9?<26=4={<35g=<0;o16=:>7:3:g?xu6>?k1<7k0;6?u217a:>25a34;<v3>6bc936`<58=;n7<7d:p530c2909w0?9cc847c=:9>:h6?6k;|q223c=838p1<8lc;50b>;6?9n1>5j4}r352c<72;q6=;mk:61e?8708l094i5rs0444?6=:r7::nk572d89417n383h6s|1752>5<5s4;=ok483g9>52772;2o7p}>66094?4|587}:9?n:6:=i;<3457<50m1v<884;296~;6>m81;>h4=0527?4?l2wx=;9::181871ll07>c3ty:::850;0x940c<3=8j63>70796=b53b22>9m70?8178152z?22a0=?:l01<9>7;0;`>{t9?=26=4={<35`2<0;o16=:?7:3:g?xu6>>k1<725a34;<=l4=8e9~w400k3:1>v3>6ec936`<58=:n7<7d:p531c2909w0?9dc847c=:9>;h6?6k;|q222c=838p1<8kc;50b>;6?8n1>5j4}r353c<72;q6=;jk:61e?8709l094i5rs04;4?6=:r7::h?572d89416n383h6s|17:2>5<5s4;=hk483g9>52472;2o7p}>69094?4|587}:9?o96:=i;<3467<50m1v<874;296~;6>l91;>h4=0517?4?l2wx=;6::181871m=07>c3ty::5850;0x940b=3=8j63>73796=b53c12>9m70?8278152z?22`1=?:l01<9=7;0;`>{t9?226=4<{<35<<<0;o16=;7;:340?871l:09:>5rs04;e?6=;r7::5o572d8940?j38=?63>6bc963553>e2>9m70?98b8126=:9?ii6?8<;|q22=e=839p1<87c;50b>;6>1n1>;=4=04`g?41;2wx=;6k:1808710m070434;=oi4=629~w40?m3:1?v3>69g936`<58<3j7<93:?22fc=:?90q~?98g83>6}:9?2m6:=i;<35=5<5>:16=;mi:340?xu6>0:1<7=t=04:4?14n27::4?52718940c838=?6s|17;2>5<4s4;=5<483g9>53?52;<870?9d08126=z{8<2>7>53z?22<4=?:l01<863;057>;6>m81>;=4}r35=6<72:q6=;7<:61e?8711<09:>5217f7>7043ty::4:50;1x940><3=8j63>68d9635<58:1v<866;297~;6>0<1;>h4=04:3?41;27::i852718yv711>0;6>u217;4>25a34;=554=629>53b02;<87p}>68:94?5|58<2479{t9?326=4<{<35=<<0;o16=;7n:340?871l009:>5rs04:e?6=;r7::4o572d8940>j38=?63>6ec963553?e2>9m70?99b8126=:9?ni6?8<;|q22;6>0n1>;=4=04gg?41;2wx=;7k:1808711m070434;=hi4=629~w40>m3:1?v3>68g936`<586}:9?3m6:=i;<35e4<5>:16=;k>:340?xu6>h:1<752718yv71i80;6>u217c2>25a34;=m?4=629>53c52;<87p}>6`094?5|5879{t9?k86=4<{<35e6<0;o16=;o;:340?871m=09:>5rs04b0?6=;r7::l:572d8940f=38=?63>6d7963553g22>9m70?9a78126=:9?o=6?8<;|q22d0=839p1<8n6;50b>;6>h=1>;=4=04f3?41;2wx=;o8:180871i>070434;=o44=629~w40f03:1?v3>6`:936`<58<3577=6:?22f>=6}:9?k26:=i;<35:?16=;m6:5`4?xu6>hk1<7=t=04be?14n27::5l59348940di3>i;6s|17ca>5<4s4;=mo483g9>53>d208=70?9cc87f2=z{853z?22de=?:l01<87d;;12>;6>ji18o94}r35ea<72:q6=;ok:61e?8710l02>;5217ag>1d03ty::lk50;1x940fm3=8j63>69d9=70<581v<8m0;297~;6>k:1;>h4=04:5??5>27::i>54c58yv71j80;6>u217`2>25a34;=5?46279>53b62=h<7p}>6c094?5|5879{t9?h86=4<{<35f6<0;o16=;7;:805?871l:0?n:5rs04a0?6=;r7::o:572d8940>=339:63>6e690g153d22>9m70?9978:63=:9?n>69l8;|q22g0=839p1<8m6;50b>;6>0=15?84=04g2?2e?2wx=;l8:180871j>0<4134;=h:4;b69~w40e03:1?v3>6c:936`<58<2577=6:?22a>=6}:9?h26:=i;<35=d<>:?16=;j6:5`4?xu6>kk1<7=t=04ae?14n27::4l59348940ci3>i;6s|17`a>5<4s4;=no483g9>53?d208=70?9dc87f2=z{853z?22ge=?:l01<86d;;12>;6>mi18o94}r35fa<72:q6=;lk:61e?8711l02>;5217fg>1d03ty::ok50;1x940em3=8j63>68d9=70<581v<8l0;296~;6>j:1;>h4=04f4?2e?2wx=;m>:180871k80<4134;=i<4;b69~w40d:3:1?v3>6b0936`<5877=6:?22`4=6}:9?i86:=i;<35e6<>:?16=;k<:5`4?xu6>j>1<7=t=04`0?14n27::l:59348940b<3>i;6s|17a6>5<4s4;=o8483g9>53g2208=70?9e487f2=z{853z?22f0=?:l01<8n6;;12>;6>l<18o94}r35g2<72:q6=;m8:61e?871i>02>;5217g4>1d03ty::h650;1x940f03>>:63>71:9:265j6;<347d<3=>1v<8j9;297~;6>h318884=053e?>c127:;>:544:8yv71mh0;6>u217cb>13134;<52522=?>7p}>6d`94?5|58{t9?oh6=4<{<35ef<3=?16=:>k:9f:?870;<0?955rs04f`?6=;r7::lj544489417m32o563>724900353gb2=?=70?80g8;`<=:9>9=69;9;|q22``=839p1<8nf;662>;6?8:14i74=0502?2202wx=;h?:180871j90?9;521632>=b>34;6c39000<58=:>76k9:?2361=<<<0q~?9f383>6}:9?h969;9;<3456oo1<7=t=04aa?22>27:;<:58e;8941413>>;6s|17d7>5<4s4;=n94;579>527221n270?8398710=z{853z?22g3=<<<01<9>6;:g=>;6?:218884}r35b3<72:q6=;l9:575?8709>03h452161;>13?3ty::k950;1x940e?3>>:63>70:9;265j6;<347<<3=?1v<8i9;297~;6>k318884=052e?>c127:;>7544:8yv71nh0;6>u217`b>13134;<=o47d89>525f2=?>7p}>6g`94?5|58{t9?lh6=4<{<35ff<3=?16=:?k:9f:?870;h0?955rs04e`?6=;r7::oj544489416m32o563>72`900353e62=?=70?81g8;`<=:9>9369;8;|q22c`=839p1<8mf;662>;6?;:14i74=050f?22>2wx=:>?:180871k90?9;521602>=b>34;6b09000<58=9>76k9:?2361=<<=0q~?80283>6}:9?i869;9;<34661<7=t=04`0?22>27:;?:58e;89414=3>>;6s|1626>5<4s4;=o84;579>524221n270?8358712=z{8=;:7>53z?22f0=<<<01<9=6;:g=>;6?:>188;4}r3442<72:q6=;m8:575?870:>03h4521617>1313ty:;?650;0287081099k52162:>73a34;<=94=5g9>52442;?m70?81g811c=:9>896?;i;<3461<5=o16=:<::37e?870:?099k521604>73a34;<526e2;?m70?80b811c=:9>:o6?;i;<344`<5=o16=:>i:37e?87099099k521632>73a34;<=84=5g9>52752;?m70?812811c=:9>;=6?;i;<3452<5=o16=:?7:37e?87090099k52163b>73a34;<=o4=5g9>527d2;?m70?81e811c=:9>;n6?;i;<3465<5=o16=:<>:37e?870:0013?349i=n4;599>760>2=?>70==94871==:n<9188;4=3::1?22?278o?k5444896b0?3>>:63=c0d9001<5;ko;7::6:?0`3g=<<=01>mk6;662>;5lk918894=2ag7?22>27i884;569>7f562=?<70<7a18713=:;k9869;9;<10f0<3=?16>l:j:575?87ejk0?95521`3;>13?348o9o4;599>6f`c2=?3705=<:574?87?=90?9:523cg0>13034;i>i4;569>5<>f2=?<70?mc08713=:9kho69;7;<3b5`<3=?16=l?n:57;?87?n;0?9;521`;7>131349>4>4;569>7g7f2=?37016=n<<:574?87f090?9:522e;e>13?348o5i4;599>6a?e2=?370il>:57;?84cio0?95522ecg>13?348omo4;599>6ag>2=?370l<5:h<>7::8:?0f3b=<<20q~?82c83>6}:9>8j6?6k;<3476<0;o16=:<6:576?xu6?;i1<725a34;<>h4=629~w415m3:1>v3>73g936`<58=9j7<93:p524a2909w0?82g847c=:9>9;6?8<;|q2366=838p1<9<0;50b>;6?:;1>;=4}r3474<72;q6=:=>:61e?870;;09:>5rs0506?6=:r7:;><572d89414;38=?6s|1617>5<5s4;524d208=7p}>72794?4|58=89797}:9>9=6:=i;<346`<>:?1v<9<7;296~;6?:=1;>h4=051b??5>2wx=:=7:181870;10<413ty:;>750;0x941413=8j63>7239=70525f2>9m70?8338:63=z{8=8n7>52z?236d=?:l01<9<3;;12>{t9>9o6=4>58y>525c2>9m70=k808;`g=:::k:65j?;<00=<03ho523152>=be349;;:47dc9>751521ni70=?798;`g=:;9=265jm;<133d=be349;;h47dc9>751421ni70=?748;`g=:;9=?65jm;<1333=be349;8i47dc9>753421ni70=?558;`g=:;9?>65jm;<1312=be349;9l47dc9>753721ni70=?4g8;`g=:;9?:65jm;<1317:6:9fa?854;52326b><4134988n46279>762c208=70=<4d8:63=:;:?;64<9;<100c<>:?16?>:7:805?856no03ho5233;3>=be34885h47d19>750321ni70=?628;`g=:;9<265jm;<132dm03ho52314`>=be349;:h47dc9>750a21ni70=?718;`g=:;9<=65jm;<1320103ho521`;e><4134;3jh46279>5d?021ni70?7f48;`g=:;92<65jm;<116a<>:?16??<8:9fa?855:h03ho523307>=be3499><47dc9>772d21ni70==478;`g=:;;>265jm;<1106=be3499?l47dc9>545021ni70?>328;`g=:989=65jm;<33f2=be34;;nl47dc9>55d>21ni70??bc8;`g=:99hh65jm;<33g4=be34;;ni47dc9>55db21ni70??c48;`g=:99hm65jm;<33g3=be34;;oh47dc9>55e>21ni70??c98;`g=:99im65jm;<33`5=be34;;h>47dc9>55d621ni70??b58;`g=:99ni65jm;<33a3=be34ooj77=6:?fa1<>:?16=cj27ni<46279>a`1=1;<01;bm102>;52ed;9=70<5lo964<9;27nj;46279>ac>=1;<01hh6:805?8ca?339:63jf`8:63=:99h>65jm;27:58e`8946c<32on63>0b`9kd;:gf>;68mi14il4=02g3?>cj27:0ec9j0;:gf>;68l214il4=02f3?>cj27:0d09j5;:gf>;68li14il4=02fa?>cj27:0g69i0;:gf>;68o;14il4=02e6?>cj27:0g;9ia;:gf>;68oh14il4=0335?>cj27:1109;699?14il4=033f?>cj27:==958e`89477>32on63>11a9;698814il4=0325?>cj27:=<758e`89476?32on63>10:9a;:gf>;698>14il4=0316?>cj27:=10f9;69;?14il4=032b?>cj27:=?>58e`89475?32on63>1349;69;214il4=031=?>cj27:=?h58e`89474932on63>13`9m:805?87?:;03ho52191g>=be34;3=i47dc9>5=5021ni70?73`8;`g=:91>865jm;<34a4=be34;5=2>21ni70?8e68;`g=:9>l;64<9;<3;0f=be34;3>>47dc9>52`?21ni70?8f48;`g=:918h65jm;<3;63=be34;5=55208=70?72g8;`g=:91:?65jm;<3;4d8:9fa?87?=>03ho521977>=be34;39l47dc9>5=3e21ni70?76d8;`g=:91=j65jm;<3;2c=be34;3;k47dc9>5=1d21ni70?77e8;`g=:91=n65jm;<3;35=be34;3;>47dc9>5=1221ni70?7938;`g=:91==65jm;<3;=6;bmk02>;52d2`9=70<5loh64<9;27nii46279>`34=1;<01hki:805?8b1n339:63jf18:63=:lkn15?84=ddf><4134nhm77=6:?fbc<>:?16h:m593489``6208=70jk7;;12>;a8902>;52d9;9=70<5ll?64<9;27m<<46279>`c7=1;<01k>=:805?8bam339:63i028:63=:lh915?84=dd`><4134ni<77=6:?fba<>:?16h=9593489`bb208=70mia;;12>;blm02>;52cdf9=70<5lnh64<9;27nho46279>ga5=1;<01hjn:805?8ed>339:63jd88:63=:n9315?84=d6`><4134l;477=6:?f7c<>:?16j=9593489`55208=70h?6;;12>;b:<02>;52f179=70<5l;364<9;27om?46279>a`c=1;<01i8>:805?8cb;339:63k218:63=:mm215?84=ba6><4134oo977=6:?`f=<>:?1v<98h4=050b?14n2wx=::>:180870<8070434;<:n4=629~w413:3:1?v3>750936`<58=??7<93:?2333=:?90q~?84283>6}:9>>86:=i;<3401<5>:16=:89:340?xu6?=>1<7=t=0570?14n27:;9;527189411?38=?6s|1666>5<4s4;<88483g9>52212;<870?8698126=z{8=?:7>53z?2310=?:l01<9;7;057>;6??31>;=4}r3402<72:q6=::8:61e?870<109:>52164b>7043ty:;9650;0x941303=8j63>77`9635522>2>9m70?84`8126=:9>;6?=h1>;=4=055a?41;2wx=::m:18087070434;<:k4=629~w413k3:1?v3>75a936`<58=?h7<93:?2326=:?90q~?84e83>6}:9>>o6:=i;<340`<5>:16=:9>:340?xu6?=o1<7=t=057a?14n27:;9h527189410:38=?6s|166e>5<4s4;<8k483g9>52372;<870?8728126=z{8=><7>53z?2306=?:l01<9:1;057>;6?>>1>;=4}r3414<72:q6=:;>:61e?870<;09:>521647>7043ty:;8<50;1x9412:3=8j63>7539=70<58==?7:m7:p52342908w0?852847c=:9>>964<9;<3421<3j>1v<9:4;297~;6?<>1;>h4=0577??5>27:;;;54c58yv70=<0;6>u21676>25a34;<8946279>52012=h<7p}>74494?5|58=>:79{t9>?<6=4<{<3412<0;o16=::9:805?870>10?n:5rs05677;90g1523>2>9m70?8498:63=:9>;6?=315?84=055g?2e?2wx=:;m:180870=k0<4134;<:i4;b69~w412k3:1?v3>74a936`<58=?n77=6:?233c=6}:9>?o6:=i;<340f<>:?16=:8i:5`4?xu6?i;6s|167e>5<4s4;<9k483g9>522b208=70?87087f2=z{8==<7>53z?2336=?:l01<9;f;;12>;6?>818o94}r3424<72:q6=:8>:61e?870=902>;521650>1d03ty:;;<50;1x9411:3=8j63>7439=70<58=<87:m7:p52122908w0?874847c=:9>=o6?8<;<34e5<5>:1v<986;297~;6?><1;>h4=0543?41;27:;4752718yv70?>0;6>u21654>25a34;<;54=629>52?f2;<87p}>76:94?5|58=<479{t9>=26=4<{<343<<0;o16=:9n:340?8701j09:>5rs054e?6=;r7:;:o572d89410j38=?63>78f9635521e2>9m70?87b8126=:9>3n6?8<;|q232e=838p1<98c;50b>;6?0l1>;=4}r343a<72:q6=:9k:61e?870?l09:>5216c2>7043ty:;:k50;1x9410m3=8j63>76d9635<58=j>7<93:p521a2908w0?87g847c=:9>2;6?8<;<34e6<5>:1v<970;297~;6?1:1;>h4=05;5?41;27:;l:52718yv70080;6>u216:2>25a34;<4?4=629>52g22;<87p}>79094?5|58=3>79{t9>286=4<{<34<6<0;o16=:6;:340?870i>09:>5rs05;0?6=;r7:;5:572d8941?=38=?63>7`:963552>22>9m70?8778126=:9>336?8<;|q23=0=839p1<976;50b>;6?>?15?84=05:3?2e?2wx=:68:1808700>0<4134;<554;b69~w41?03:1?v3>79:936`<58=<;77=6:?236}:9>226:=i;<343=<>:?16=:7n:5`4?xu6?1k1<7=t=05;e?14n27:;:759348941>j3>i;6s|16:a>5<4s4;<4o483g9>521f208=70?89b87f2=z{8=3o7>53z?23=e=?:l01<98b;;12>;6?0n18o94}r34;5216;f>1d03ty:;5k50;1x941?m3=8j63>76f9=70<58=j<7:m7:p52>a2908w0?88g847c=:9>=n64<9;<34e4<3j>1v<960;297~;6?0:1;>h4=054b??5>27:;l<54c58yv70180;6>u216;2>25a34;<4=46279>52g42=h<7p}>78094?5|58=2>79{t9>386=4<{<34=6<0;o16=:6=:805?870i<0?n:5rs05:0?6=;r7:;4:572d8941?;339:63>7`490g152?22>9m70?8858:63=:9>k<69l8;|q23<0=839p1<966;50b>;6?1?15?84=05bl094i521640>25a3ty:;lo50;0x94>>:383h63>785936`5=172;2o70?865847c=z{8=jo7>52z?2<<2=:1n01<968;50b>{t9>ko6=4={<3;34<50m16=:8::61e?xu6?ho1<77>c34;<:;483g9~w41e83:1>v3>88496=b<58=2m79<<6:=i;|q23g4=838p1<667;0;`>;6?0h1;>h4}r34f6<72;q6=59;:3:g?870>10k3=8j6s|16`6>5<5s4;3;84=8e9>520>2>9m7p}>7c494?4|582257<7d:?237}:91==6?6k;<342d<0;o1v<9m8;296~;600k1>5j4=05:a?14n2wx=:l6:18187??>094i52164a>25a3ty:;oo50;0x94>>j383h63>78d936`5=0a2;2o70?86b847c=z{8=io7>52z?2<<5=:1n01<9n0;50b>{t9>ho6=4={<3;3=<50m16=:8k:61e?xu6?ko1<77>c34;<:h483g9~w41d83:1>v3>88f96=b<58=j>79;6?h91;>h4}r34g6<72;q6=59m:3:g?870?905<5s4;3;n4=8e9>52162>9m7p}>7b494?4|582j<7<7d:?23d3=?:l0q~?8c683>7}:91=o6?6k;<3437<0;o1v<9l8;296~;60h;1>5j4=05b2?14n2wx=:m6:18187??l094i521650>25a3ty:;no50;0x94>f:383h63>7`5936`5=1a2;2o70?875847c=z{8=ho7>52z?2{t9>io6=4={<34`a=b>34;3n84=8e9~w41dm3:1>v3>7d39o86:=i;|q23f`=838p1<9j4;:g=>;60k=1>5j4}r34a0<72;q6=:k;:3:g?870m?0e0383h6s|16g;>5<5s4;52c>2>9m7p}>7e394?4|58=nm76k9:?27}:9>oj6?6k;<34af<0;o1v<9k2;296~;6?ln14i74=0:ae?4?l2wx=:kj:181870mm094i5216ge>25a3ty:;i=50;0x941a832o563>8c`96=b52`72;2o70?8f3847c=z{8=m?7>52z?23`6=:1n01<9i4;50b>{t9>n>6=4={<34b0=b>34;3ni4=8e9~w41a13:1>v3>7g:96=b<58=mm79;6?on1;>h4}r34`=<72;q6=:hj:9f:?87?jo094i5rs05eb?6=:r7:;kk529f894>783=8j6s|16f:>5<5s4;3<<47d89>5=e72;2o7p}>81094?4|582;=7<7d:?2<55=?:l0q~?8d`83>7}:91:?65j6;<3;g4<50m1v<6?5;296~;609>1>5j4=0:32?14n2wx=:jm:18187?8>03h45219a1>7>c3ty:4=650;0x94>7?383h63>81;936`5=6f21n270?7c28152z?2<5g=:1n01<6?c;50b>{t91:o6=4={<3;5a4l38>j63>825960`<5828m7<:f:?2<15=:;6?l;1>8h4=05f0?42n27:498524d8941bi38>j63>7d5960`<582?57<:f:?23c6=:;6?ln1>8h4=05g`?42n27:;h>524d894>5;38>j63>7g:960`<58=m97<:f:?2<70=:;60;31>8h4=05ef?42n27:4=?524d8941am38>j63>83d960`<5828>7<:f:?2<52=:;609=1>8h4=0:15?14n2wx=5?;:18187?:;03h45219f6>7>c3ty:4=k50;0x94>5;32o563>8e496=b94?:3y>5=442;2o70?724847c=z{82;j7>52z?2<70=0m301<6k7;0;`>{t918<6=4={<3;63<50m16=5<7:61e?xu608:1<7c127:4i6529f8yv7?:h0;6?u2190:>7>c34;3>o483g9~w4>693:1>v3>83a9;60mk1>5j4}r3;75<72;q6=5<58e;894>cj383h6s|1910>5<5s4;3??4=8e9>5=532>9m7p}>82794?4|5829>7<7d:?2<60=?:l0q~?71483>7}:919<65j6;<3;`f<50m1v<6<8;296~;60:=1>5j4=0:0=?14n2wx=5?9:18187?;h03h45219fg>7>c3ty:4>l50;0x94>4i383h63>82a936`5=5c21n270?7dd8152z?2<6b=:1n01<6{t91;36=4={<3;05=b>34;3i=4=8e9~w4>3<3:1>v3>85196=b<582?979;60=21;>h4}r3;5g<72;q6=5:6:9f:?87?m;094i5rs0:7e?6=:r7:497529f894>3j3=8j6s|193`>5<5s4;38n47d89>5=c42;2o7p}>85f94?4|582?o7<7d:?2<1c=?:l0q~?74g83>77|582?j76k9:?2<1c=<;60=2188;4=0:71?22=27:49<5447894>4n3>>963>82a9003<582857::5:?2<60=<;60:;188;4=0:1a?22=27:4?l5447894>503>>963>8379003<582:j7::5:?2<5e=<;609<188;4=0:37?22=27:4=>54478941al3>>963>7gc9003<58=m;7::5:?23c2=<;6?ll188;4=05fg?22=27:;h754478941b>3>>963>7d19003<58=oj7::5:p5=372909w0?74g811c=:91?;6:=i;|q2<04=83;?w0?7558;`<=:91?j6?;i;<3;2`<5=o16=58i:37e?87??h099k52195;>73a34;3;44=5g9>5=1e2;?m70?77b811c=:91=m6?;i;<3;3a<5=o16=59j:37e?87??9099k521952>73a34;3;94=5g9>5=152;?m70?772811c=:91=>6?;i;<3;33<5=o16=598:37e?xu602>3=8j6s|1970>5<62j38>j63>880960`<5822?7<:f:?2<;600n1>8h4=0::a?42n27:4l>524d894>f938>j63>886960`<582j>7<:f:?2;600<1>8h4=0::=?42n27:449524d894>>038>j63>88c960`<5822n7<:f:p5=3?2909=v3>84c9a7e=<<201h?i:57;?8c6:3>>463j04871==:lo218864=ega>13?34noi7::8:?g`4<3=116hn:544:89ad02=?370jna;66<>;c1j0?9552d82900><5m2869;7;`0d=<<201i:i:57;?8b3:3>>463k34871==:l;218864=e3b>13?34n;i7::8:?g44<3=116ok:544:89fc02=?370mka;66<>;dkm0?9552ccd900>2j32o563j598713=:m=h18884=d1f>13134o8=7::6:?f61<3=?16i<9544489`6f2=?=70jid;662>;cn90?9;52dd19000<5mn=69;9;27onn4;579>`d`=<<<01io>:575?8b>=3>>:63k898713=:l>h18884=e4f>13134n=<7::6:?g11<3=?16h99544489a5f2=?=70j=d;662>;c9o0?9;52d019000<5m:=69;9;27hin4;579>ga`=<<<01nj=:575?8ed<3>>:6s|197`>5<4s4;3:h47d89>52352=?>70?84081=g=z{82=97>53z?2<3`=0m301<9:a;661>;6?=31>4l4}r3;1a<72:q6=59?:9f:?870=:0?98521661>7?e3ty:48k50;1x94>0932o563>7469003<58=??7<6b:p5=3a2908w0?7738;`<=:9>?>69;:;<3401<51k1v<690;297~;60>914i74=0562?22=27:;9;528`8yv7?>80;6>u21957>=b>34;<9:4;549>52212;3i7p}>87094?5|582<976k9:?230>=<{t91<86=4<{<3;330?32o563>74;90005=1?21n270?85c8710=:9>>j6?7m;|q2<31=839p1<689;:g=>;6?j2wx=587:18087??h03h452167g>13234;<8n4=9c9~w4>113:1?v3>86`9i7::5:?231b=:0h0q~?76`83>6}:91=h65j6;<341c<3=<16=::j:3;a?xu60?h1<7=t=0:4`?>c127:;;>544789413n382n6s|194`>5<4s4;3;h47d89>52062=?>70?85181=g=z{82=h7>53z?2<2`=0m301<992;661>;6?<;1>4l4}r3;<5<72:q6=57=:9f:?8700?0?98521656>7?e3ty:45750;1x94>>;32o563>79g9003<58=62908w0?7958;`<=:9>2<69;:;<3433<51k1v<672;297~;600?14i74=05;u219;5>=b>34;<444;549>521?2;3i7p}>89694?5|5822;76k9:?23=g=<{t912>6=4<{<3;==>963>76`965=?f21n270?88e8710=:9>=h6?7m;|q2<=>=839p1<6:b;0;`>;600h14i74=05;`?22>2wx=56n:18087?1j03h45216:e>13234;<;h4=9c9~w4>?j3:1?v3>88f96}:913n65j6;<34=4<3=<16=:6?:3;a?xu601n1<7=t=0::b?>c127:;4<54478941?9382n6s|19:f>5<4s4;3m=47d89>52?42=?>70?88381=g=z{823j7>53z?2;6?191>4l4}r3;=5<72:q6=5o=:9f:?8701<0?985216:7>7?e3ty:44?50;1x94>f;32o563>7849003<58=397<6b:p5=g32909w0?7b58;`<=:9>?969;9;|q2;6?>:6s|19c4>5<5s4;3n547d89>52322=?=7p}>8`:94?4|582i576k9:?2300=<<<0q~?7a883>7}:91hj65j6;<3412<3=?1v<6na;296~;60kh14i74=0562wx=5ol:18187?jj03h452167a>1313ty:4lj50;0x94>el32o563>74a90005=db21n270?85e8713=z{82jj7>52z?2{t91h;6=4={<3;g5c127:;;>54448yv7?j;0;6?u219a1>=b>34;<:<4;579~w4>e;3:1>v3>8b197::6:p5=e32909w0?7d58;`<=:9>2=69;9;|q2;6?1o18884}r3;g0<72;q6=5j9:9f:?8700>0?9;5rs0:`2?6=:r7:4i958e;8941?03>>:6s|19a4>5<5s4;3h547d89>52>>2=?=7p}>8b:94?4|582o576k9:?23=g=<<<0q~?7c883>7}:91nj65j6;<342wx=5ml:18187?lj03h45216:e>1313ty:4nj50;0x94>cl32o563>78290005=bb21n270?8908713=z{82hj7>52z?2{t91n;6=4={<3;a5c127:;4:54448yv7?l;0;6?u219g1>=b>34;<584;579~w4>c;3:1>v3>8d198h4=0:e2?42n27:4hm524d894>bl38>j63>8dg960`<582n;7913134;j4=4;579>5<4b2>9m7p}>8d;94?5|582no76k9:?2e20=:1n01<7?8;662>{t91oj6=4<{<3;aa800?9;5rs0:ff?6=;r7:4hk58e;894g00383h63>91c90005=ca21n270?6448713=:909n69;9;<3:=4<3=?1v<6i0;296~;60ll1>5j4=0:e5?14n2wx=5h=:18187?mo03ho5219d1>25a3ty:4k;50;0x94>a=32o563>91f90005=`121n270?6428710=:90n>69;9;<3:03<3=<16=4>l:575?xu60o=1<79t=0:e=?>c127:5?95447894?503>>963=5449000<583:97::6:?2=4d=<{t91l36=4:{<3;bd13234;2=o4;579~w4>aj3:1?v3>8g;960`<582mm7<:f:?20}:91ln65j6;<3;b4<3=?16=4>::574?87?nm0?9;52182f>1313ty:4kh50;1x94>am38>j63>8g7960`<583;<79cj27:5=858e`894?7;3=8j6s|1827>5<4s4;2<<46279>5<61208=70?604847c=z{83;:7>52z?2=50=0m301>m{t90;;6=4={<3:70<50m16=4?j:61e?xu618;1<79;0;6?u21814>7>c34;2>=483g9~w4?6;3:1>v3>92:96=b<58399795;50b>;618318884}r3:52<72;q6=4?8:61e?87>900?985rs0;2r7:5<8572d894?6?3>>:63>93a9001<5838h7::7:?2=<6=<<<01<7>c;661>{t90;j6=4={<3:7d<50m16=4?k:61e?xu618h1<79j0;6?u2183`>25a34;2=i4;549~w4?593:1>v3>9379000<5839?7969;:;|q2=71=838p1<7=7;50b>;61;<18884}r3:6=<72;q6=4<7:61e?87>:?0?985rs0;1=?6=:8q6=4j<:575?87f9;0?9;521`33>13134;j5d6d2=?=70?n0`8713=:9h:369;9;<3b43<3=?16=l>;:575?87f8;0?9;521`23>13134;2jh4;579>5<`d2=?=70?6f`8713=:90l369;9;<3:b3<3=?16=4h;:575?87>n;0?9;5218d3>13134;2ih4;579>5m;0?9;5218g3>13134;2hh4;579>513?34;j5d6?2=?370?n07871==:9h:?69;7;<3b47<3=116=l>?:57;?87>nl0?955218d`>13?34;2jl4;599>5<`?2=?370?6f7871==:90l?69;7;<3:b7<3=116=4h?:57;?87>ml0?955218g`>13?34;2il4;599>5ll0?955218f`>13?34;2hl4;599>5>69;7;<3:03<0;o16=46n:576?xu61;h1<7:j0;6?u2180f>13234;2>i483g9~w4?5n3:1:v3>9279;618<188;4=0;10?2202wx=4=?:18687>;?03h452183e>13034;2>=4;599>5<712=?=70?6258712=z{838=7>54z?2=61=0m301<7=0;662>;618<18894=0;10?22>2wx=4==:18087>;103h4521835>13?34;2>94;549~w4?4;3:1:v3>92;9;610:18864=0;2g?22?2wx=4=;:18687>;h03h452180`>13?34;2?i4;599>570?61b8713=z{838n7>57z?2=63=:;61:=1>8h4=0;07524d894?4i38>j63>92g936`5<5c2>9m70?63d8710=z{838j7>52z?2=11=:{t90>:6=4={<3:04<=0;6?j63>9cg960`<583h57<:f:?2=fe=:;61jo1>8h4=0;`b?42n27:5i>524d894?c938>j63>9c6960`<583i97<:f:?2=g0=:;61k21>8h4=0;a=?42n27:5oo524d894?ej38>j63>9ca960`<583ih7<:f:?2=g`=:;61j;1>8h4=0;`6?42n27:5n=524d894?d<38>j63>9b7960`<583h:7<:f:?2=f1=:;61jk1>8h4=0;`f?42n27:59;572d8yv7><>0;6?u2104`>13334;28:47d89~w4?303:1>v3>95596=b<5839:792908w0?6688;`<=:901v<7:4;297~;61?k14i74=0;41?4?l27:5=654458yv7>u2184a>=b>34;2:n4=8e9>60272=?>7p}>95`94?5|583=o76k9:?2=3b=:1n01?;;1;661>{t90>h6=4<{<3:2a5<0a21n270?67181;61>;1>5j4=376e?22=2wx=4;?:18087>?803h4521851>7>c348>9o4;549~w4?293:1?v3>96096}:90=865j6;<3:31<50m16>8;k:576?xu61<91<7=t=0;40?>c127:5:8529f89732m3>>96s|187e>5<4s4;2;847d89>5<>72;2o70?6088712=z{83>97>53z?2=20=0m301<787;0;`>;5==9188;4}r3:13<72:q6=498:9f:?87>?1094i522467>1323ty:58950;1x94?0032o563>96;96=b<5;??97::5:p5<3?2908w0?6788;`<=:90=j6?6k;<0603<3=<1v<7:9;297~;61>k14i74=0;4f?4?l27999954478yv7>=h0;6>u2185a>=b>34;2;n4=8e9>602?2=?>7p}>94`94?5|583{t90?h6=4<{<3:3a5<1a21n270?68081o69;:;|q2=34=839p1<770;:g=>;61191>5j4=0;3e?22?2wx=48?:18087>0803h45218:1>7>c348>8k4;549~w4?193:1>v3>9909<7::5:p5<042908w0?6828;`<=:902?6?6k;<3:4g<3=>1v<794;297~;611>14i74=0;;1?4?l27:5=m54458yv7>><0;6>u218:6>=b>34;24;4=8e9>5<6c2=?<7p}>97494?5|5833:76k9:?2==1=:1n01<7?e;663>{t90<<6=4<{<3:<2j63>97c960`<583<97<:f:?2==6=:;611>1>8h4=0;;1?42n27:558524d894???38>j63>99:960`<583=n7<:f:?2=3e=:;61?o1>8h4=0;5b?42n27:5:>524d894?0938>j63>960960`<583;61>=1>8h4=0;4j63>96`960`<583;61>l1>8h4=0;;5?42n27:55<524d894??i3=8j6s|18:a>5<6>r7:55l58e;894?6m3>>963>9519001<582m=7::7:?2=4`=<;61=:18884=0;31?22=27:5i;544:894?3=3>>963>9549001<582mh7::7:?2=51=<<<01;611n188:4=0;2=??5>27:5?;5934894?5k3>>:63>92g9=70<5832=77=6:?2=4b=1;<01<7=d;660>{t902h6=4={<3:0o0;6?u218;3>25a34;25<4;549~w4?>:3:1>v3>9c09;61m218894}r3:=1<72;q6=4l::9f:?87>lh0?9:5rs0;:1?6=:r7:5o858e;894?ck3>>;6s|18;5>5<5s4;2n:47d89>598594?4|583i476k9:?2=`6=<<=0q~?69983>6}:90h265j6;<3:6=<3=>16=4k=:574?xu61031<7c127:5h:54458yv7>1h0;6?u218`a>=b>34;2i;4;569~w4?>j3:1>v3>9ca9=838p1<7me;:g=>;6i9<18894}r3:=`<72;q6=4li:9f:?87>ml0?9:5rs0;:b?6=:r7:5n>58e;894?a83>>;6s|18c3>5<5s4;2o<47d89>5<`52=?<7p}>9`394?5|583h>76k9:?2=71=<<<01<7i4;663>{t90k96=4={<3:g6c127:5k654458yv7>i=0;6?u218a6>=b>34;2jl4;569~w4?f=3:1>v3>9b49;6i9818894}r3:eg<72;q6=4m6:9f:?87f810?9:5rs0;b=?6=:r7:5no58e;894g7<3>>;6s|18cb>5<5s4;2oo47d89>5<402=?<7p}>9`a94?4|583ho76k9:?2e5g=<<=0q~?6ae83>7}:90io65j6;<3b4f<3=>1v<7ne;296~;61jo14i74=0c3a?22?2wx=4oi:18087>ko03h452180;>13134;j==4;569~w4?e83:1>v3>9e297::7:p5;61m91;>h4}r3:`1<72;q6=4l;:3:g?87>l?05<5s4;2n;4=8e9>59m7p}>9e`94?4|583i;7<7d:?2=ae=?:l0q~?6de83>7}:90h36?6k;<3:``<0;o1v<7kf;296~;61k31>5j4=0;f4?14n2wx=4k>:18187>jh094i5218g1>25a3ty:5h=50;0x94?ej383h63>9d6936`552z?2=gb=:1n01<7j8;50b>{t90o26=4={<3:f6<50m16=4kn:61e?xu61lh1<7mm0;6?u218a3>7>c34;2ih483g9~w4?bn3:1>v3>9b396=b<583m<79;61o>1;>h4}r3:b0<72;q6=4m;:3:g?87>n?05<5s4;2o;4=8e9>5<`f2>9m7p}>9g`94?4|583h;7<7d:?2=ce=?:l0q~?6fe83>7}:90i36?6k;<3:b`<0;o1v<7if;296~;61ko1>5j4=0c34?14n2wx=l>>:18187>kh094i521`21>25a3ty:m==50;0x94?dj383h63>a16936`52;2o70?n07847c=z{8k;;7>52z?2=fe=:1n01{t9h:26=4={<3:ga<50m16=l>n:61e?xu6i9h1<77>c34;jv3>9e296=b<58k:<79p14;:g=>;6i;:188;4=0c2g?22=27:m<854468yv7f9<0;6?u21`37>7>c34;j=;483g9~w4g6?3:1>v3>a069290>w0?n188;`<=:90n>69;8;<3:03<3=?16=l6<:574?87f0<0?985rs0c2e?6=:r7:m<758e`894g6i3=8j6s|1`3g>5<>s4;j=i47d89>5<242=?=70?6418710=:90n>69;:;<3:03<3=116=l6::574?87>:l02>;52181g>13234;25=4;569~w4g6m3:1>v3>a0f9:1vh4=0c11?41;27:m>952718yv7f:=0;6>u21`07>25a34;j>>46279>5d512=h<7p}>a3794?5|58k9979{t9h8=6=4<{<3b63<0;o16=l<::805?87f;>0?n:5rs0c13?6=;r7:m?9572d894g5138=?63>a2;963554?:2y>5d4?2>9m70?n268:63=:9h9369l8;|q2e7?=839p1;6i;h1>;=4=0c0e?41;2wx=l<4134;j?44;b69~w4g5j3:1?v3>a3`936`<58k9h7<93:?2e6d=:?90q~?n2b83>6}:9h8h6:=i;<3b6g<>:?16=l=n:5`4?xu6i;n1<7=t=0c1`?14n27:m?h5271894g4k38=?6s|1`0f>5<4s4;j>h483g9>5d4c208=70?n3c87f2=z{8k9j7>53z?2e7`=?:l01;6i:n1>;=4}r3b75<72:q6=l=?:61e?87f:o02>;521`1`>1d03ty:m>?50;0x94g493=8j63>a2g96355d552>9m70?n308:63=:9h9o69l8;|q2e65=839p1;6i:?18o94=0c00?14n2wx=l=i:18187f;o01d03ty:m9?50;0x94g393=8j63>a5290005d262=?=70?n43847c=z{8k??7>52z?2e15=?:l01{t9h>?6=4={<3b04<3=<16=l:;:61e?xu6i=?1<727:m9;572d8yv7f13234;j8;483g9~w4g3?3:1>v3>a7096=b<58k8979;6i:=1;>h4}r3b0d<72;q6=l8::3:g?87f;105<5s4;j::4=8e9>5d5f2>9m7p}>a5f94?4|58k=47<7d:?2e6d=?:l0q~?n4d83>7}:9h<26?6k;<3b7f<0;o1v5j4=0c0`?14n2wx=l;?:18187f>k094i521`1f>25a3ty:m8?50;0x94g2932o563>a7f90005d3521n270?n458712=z{8k>?7>52z?2e05=0m301{t9h??6=4={<3b11c127:m9;54458yv7f=?0;6?u21`75>=b>34;j:k4;579~w4g2?3:1>v3>a459>963>a5790005d302;2o70?n658;`<=:9h=:69;:;<3b63<3=<1v5j4=0c51?>c127:m?65447894g3<3>>:6s|1`7`>5<5s4;j:;47d89>5d4f2=?>7p}>a4f94?2|58k>:7<7d:?2e31=0m301;6i;i188;4}r3b1`<72;q6=l87:9f:?87f:l0?985rs0c6b?6=a229003<58k?>7::6:p5d072909w0?n6`8;`<=:9h9969;:;|q2e37=83>p1;6i?h14i74=0c5`?22=27:m>h54478yv7f>j0;6?u21`4g>25a34;j8?4;599~w4g1m3:1>v3>a7d936`<58k?87::8:p5d172909w0?n70847c=:9h>>69;7;|q2e24=83>p14;06b>;6i=:1;>h4=0c14?22>27:m=b>34;j8;4;549>5d222=?>70?n458710=:9h>969;:;|q2e22=839p1;6i=;15?84=0c77??5>2wx=l9::18187f?103h4521`63><413ty:m:750;6x94g0>38>j63>a65960`<58k<47<:f:?2e2g=?:l0q~?n7c83>44|58k;6i<=14il4=0c66?>cj27:m8:58e`894g2=32on63>a41976kb:?2e35=0mh01;6i??14il4=0c52?>cj27:m;958e`894g1032on63>a7;97}:9h=i6?6k;<3b3a<0;o1vo14i74=0c4e?22>27:m<65444894g583>>;63>a0a9001<58k;6i1;14i74}r3b<7<72;q6=l6>:3:g?87f0:05<4s4;j4:47d89>5dgd2=?=70?l278710=z{8k347>56z?2e=1=:;6i1o1>8h4=0c;b?42n27:m4>524d894g?13=8j6s|1`:b>55f7c2=?>70?l278713=:9khi69;8;<3ag4<3=116=olk:575?87d::0?9;521`f2>25a3ty:m5l50;1x94g?m32o563>c0;96=b<58kj57::6:p5d>d2908w0?n8g8;`<=:9j;j6?6k;<3bed<3=?1v=b>34;ji54;579>5dc62=?=70?m358713=z{8k2>7>52z?2e<7=:1n01{t9h3?6=4={<3b=4c127:mlk54448yv7f110;68u21`;;>=b>34;ji;4;549>5g1?2=?=70?ne88710=:9hko69;9;|q2e;6ijk188;4=0c`f?22=27:mo>5444894ge03>>:63>acg9003<58kh:7::4:p5d?f290>w0?n9b8;`<=:9hi264<9;<3bf4<3=?16=ll7:576?87fjl0?9;5rs0c:`?6=;r7:m4l524d894g>k38>j63>a8f936`5d?a21n270?n928713=:9hk=69;8;<3b=`<3=?16=loi:575?xu6ih:1<7=t=0c:b?42n27:m49524d894gf93=8j6s|1`c1>5<3s4;jm?47d89>5d?b2=?>70?na58710=:9h3?69;8;|q2ed5=839p1;6ih=14il4=0cb0?14n2wx=lo::18087fi;02>;521`c4><4134;jm;483g9~w4gf?3:1>v3>a`59;6ij81;>h4}r3bf0<72;q6=ljn:3:g?87fk:05<5s4;jhn4=8e9>5ddd2>9m7p}>ac:94?4|58ki4797}:9hhj6:=i;<3bff<3=<1vh4=0cae?22>27:mnh5445894gb83>>;63>b219000<58kij7::5:p5ddc2909w0?nde81;6ij:18884}r3bfc<72;q6=lli:61e?87fk90?985rs0c`0?6=:r7:mn65444894gd>3=8j6s|1`a6>5<5s4;jo:483g9>5de?2=?>7p}>abc94?4|58khm797}:9hii6:=i;<3bg<<3=<1v13134;imi4;579>5gge2=?=70?ma88713=:9kk<69;9;<3ae0<3=?16=oo<:575?87ei80?9;521c;e>13134;i5i4;579>5g?e2=?=70?m988713=:9k3<69;9;<3a=0<3=?16=o7<:575?87e180?9;521c:e>13134;i4i4;579>5g>e2=?=70?m888713=:9k2<69;9;<3a<0<3=?16=o6<:575?87e080?9;521c5e>13134;i;i4;579>5g1e2=?=70?m788713=:9k=36:=i;|q2efb=8389w0?mb4871==:9kh869;7;<3af4<3=116=ooi:57;?87eim0?95521cca>13?34;im44;599>5gg02=?370?ma4871==:9kk869;7;<3ae4<3=116=o7i:57;?87e1m0?95521c;a>13?34;i544;599>5g?02=?370?m94871==:9k3869;7;<3a=4<3=116=o6i:57;?87e0m0?95521c:a>13?34;i444;599>5g>02=?370?m84871==:9k2869;7;<3a<4<3=116=o9i:57;?87e?m0?95521c5a>13?34;i;44;599>5dc?2=?370?ne8847c=:9k8o69;:;|q2efc=838p1;6im;18884}r3bgc<72;q6=lj>:576?87fl90r7:mi658e;894gd93>>:63>ab09000<58kh?7::7:?2eg?=<{t9hn86=4:{<3b`<13134;jo:4;569~w4gc<3:18v3>aec9{t9hn>6=4<{<3b`g0?985rs0cg2?6=>r7:mim58e;894gei3>>963>abd9003<58kn<7::6:?2f65=<<201{t9hn<6=4:{<3b`a13234;jnk4;579~w4gcm3:1;v3>ae:960`<58ko57<:f:?2eag=:;6imi1>8h4=0cg`?42n27:mh?572d8yv7flo0;6?u21`g3>25a34;ji<4;549~w4gb:3:1>v3>adc960`<58kn?79;6il<1;>h4}r3ba2<72;8p1;6j8h4=0`62?42n27:n;?524d894d1k38>j63>b7d960`<58h<<7<:f:?2f27=:;6j>91>8h4=0`40?42n27:n89524d894d2038>j63>b4;960`<58h>m7<:f:?2f0d=:;6j8h4=0`6a?42n27:n8h524d894d1838>j63>b70960`<58h=?7<:f:?2f32=:;6j?<1>8h4=0`53?42n27:n;6524d894d1138>j63>b7c960`<58h=n7<:f:?2f3b=:;6il21;>h4}r3bad<72;;p1;b27n=l4;579>a5b=<<<01h>?:575?8ba;3>>:63ke78713=:lm318884=ea`>13134nij7::6:?gf7<3=?16hl;544489a?02=?=70j7b;662>;c?l0?9;52d639000<5m27o8l4;579>`6b=<<<01i=?:575?8b5;3>>:63k148713=:l9318884=bd`>13134inj7::6:?`a7<3=?16oi;544489fe?2=?=70mma;662>{t9hoi6=4={<3bad<50m16=lm6:61e?xu6ili1<7=t=0`3g?>c127:n=j529f894gf03>>;6s|1`d4>5<4s4;i5g7?2;2o70?na88712=z{8knh7>53z?2f5c=0m301;5i:9f:?87e99094i5225a5>13?3ty:mhh50;1x94d6832o563>b0396=b<5;>o=7::8:p5d`72908w0?m108;`<=:9k;96?6k;<07`f<3=11vu21c30>=b>34;i=94=8e9>61ba2=?37p}>ag194?5|58h:876k9:?2f43=:1n01?:j0;661>{t9hl?6=4<{<3a505g7021n270?m18818;:g=>;6j;91>5j4=0cbe?22?2wx=lh7:18087e9003h4521c3b>7>c348?o54;549~w4ga13:1?v3>b0c96}:9k;i65j6;<3a5f<50m16>9mn:576?xu6ioh1<7=t=0`2g?>c127:n>96s|1`d`>5<4s4;i=i47d89>5g7b2;2o70<;cb8710=z{8kmh7>53z?2f4c=0m301f;0;`>;51323ty:mkh50;1x94d5832o563>b3396=b<5;>hj7::5:p5g672908w0?m208;`<=:9k896?6k;<07`5<3=<1vu21c00>=b>34;i>;4=8e9>5dge2=?<7p}>b1194?5|58h9876k9:?2f73=:1n01?:k4;661>{t9k:?6=4={<3a609j::576?xu6j9<1<7=t=0`12?>c127:n?9529f894gfk3>>;6s|1c24>5<4s4;i>:47d89>5g4?2;2o70?nae8712=z{8h;47>53z?2f7>=0m301;6iho18894}r3a4<<72:q6=o<6:9f:?87e:h094i521`ce>1303ty:n=o50;1x94d5i32o563>b3`96=b<58ki<7::7:p5g6e2908w0?m2c8;`<=:9k:n6?6k;<3bf4<3=>1v73a34;i>:4=5g9>5g4?2;?m70?m28811c=:9k8j6?;i;<3a6g<5=o16=o>j:37e?87e8o099k521c33>73a34;i=<4=5g9>5g752;?m70?m12811c=:9k;?6?;i;<3a50<5=o16=o?9:37e?87e9>099k521c3:>73a34;i=l4=5g9>5g7e2;?m70?m1b811c=:9k;o6?;i;<3a5`<5=o16=o?i:37e?87e:9099k521c02>73a34;i>?4=5g9>5g432;?m70?m24811c=:9k8o6:=i;|q2f7c=83;=w0?m2d8;`<=:9hi:69;:;<3ba3<3=>16=l7<:574?87fk;0?98521`a0>13234;ji>4;579>5dg12=?>70?m79871==:9ho369;:;<3ba<<3=>16=l7j:574?87fi10?9;521b0;>13?34;i?=4;559>5ddd208=70?nc98:63=:9him69;9;<3ba4<>:?16=o=;:805?87fk902>;521`f3>1333ty:n?h50;0x94d5m383h63>b22936`5g4b2;?m70?m35847c=z{8h8>7>52z?2f65=?:l01{t9k9>6=4={<3a10c127:nl=54458yv7e;?0;6?u21c74>=b>34;i;o4;569~w4d4?3:1>v3>b4:9;6j1;18894}r3a7d<72;q6=o;m:9f:?87e0:0?9:5rs0`0f?6=;r7:n8m58e;894gdj3>>;63>b9790015g3c21n270?m868712=z{8h8h7>52z?2f0c=0m301{t9k9n6=4={<3a1cc127:n5h54458yv7e=b>34;im44;569~w4d393:1>v3>b709;6j0?18894}r3a01<72:q6=o8::9f:?87fkh0?9;521c;4>1303ty:n9;50;0x94d1>32o563>b8;90015g0021n270?m9c8712=z{8h?;7>52z?2f3>=0m301{t9k>36=4={<3a2<c127:nl?54458yv7e=b>34;im84;569~w4d3m3:1>v3>b7a9;6ijk18894}r3a0c<72;q6=o8i:9f:?87eim0?9:5rs0`64?6=:r7:n:>58e;894dfn3>>;6s|1c72>5<5s4;i;<47d89>5gd62=?<7p}>b4094?5|58h<>76k9:?2efd=<<<01{t9k?86=4={<3a361<7c127:n:754458yv7e?<0;6?u21c76>7>c34;i;;483g9~w4d0?3:1>v3>b4596=b<58h<579;6j>n1;>h4}r3a3`<72;q6=o;n:3:g?87e?o05<5s4;i9n4=8e9>5g>42>9m7p}>b9694?4|58h>h7<7d:?2f=3=?:l0q~?m8783>7}:9k?n6?6k;<3a<2<0;o1v5j4=0`;=?14n2wx=o6n:18187e>9094i521c:a>25a3ty:n5m50;0x94d2>383h63>b9f936`5g052;2o70?m8g847c=z{8h2<7>52z?2f35=:1n01{t9k396=4={<3a21<50m16=o7<:61e?xu6j0>1<77>c34;i5:483g9~w4d>03:1>v3>b7596=b<58h2579;6j0n1;>h4}r3a=`<72;q6=o8n:3:g?87e1o05<5s4;i:<4=8e9>5gg42>9m7p}>b`694?4|58h=h7<7d:?2fd3=?:l0q~?ma783>7}:9k5j4=0`b=?14n2wx=oon:18187e>o094i521cca>25a3ty:nlm50;0x94d08383h63>b`f936`5g162;2o70?mag847c=z{8hi<7>52z?2f24=:1n01{t9kh96=4={<3a36<50m16=ol<:61e?xu6jk>1<70;69u21c`4>=b>34;io>4;549>5gda2=?>70?mb88711=z{8hi47>52z?2fg1=:1n01{t9khj6=4={<3af2c127:n:65445894gb13>>:63>c349001<58i947::5:p5gdc2909w0?mbb8;`g=:9kho6:=i;|q2ff6=833p1;6il<18884=0cf7?22=27:n:65447894gb13>>463>c3:9001<58ko=77=6:?2e`6=<{t9ki:6=4={<3ag5:61e?xu6jj?1<7=t=0``1?14n27:nn85271894dc138=?6s|1ca5>5<4s4;io;483g9>5ge?2;<870?md`8126=z{8hh;7>53z?2ff1=?:l01;6jm318o94}r3ag=<72:q6=om7:61e?87ekh09:>521cfa>7043ty:nn750;1x94dd13=8j63>bb:9=70<58hom7:m7:p5gef2908w0?mc`847c=:9kih6?8<;<3a`f<5>:1vh4=0``e??5>27:nil54c58yv7ekj0;6>u21ca`>25a34;ioh4=629>5gbc2;<87p}>bbf94?5|58hhh79{t9kin6=4<{<3ag`<0;o16=oj?:340?87ell09:>5rs0``b?6=;r7:nnh572d894ddm339:63>bef90g15gb72>9m70?md38126=:9knm6?8<;|q2fa7=839p1;6jm:15?84=0`ga?2e?2wx=oj=:18087el;070434;ii=4=629~w4dc;3:1?v3>be1936`<58ho>77=6:?2fa`=7}:9kn?6:=i;<3aa4<5>:1vh4=0`g0??5>27:nh>54c58yv7el?0;6>u21ca6><4134;ih54;b69>5gb02>9m7p}>bd094?4|58hn>797}:9ko?6:=i;<3aa6<3=?1v18884=0`f1?14n2wx=ok9:18187em?01323ty:nh950;0x94db<3>>963>bd5936`5gc12=?=70?me9847c=z{8hn57>52z?2f`0=<{t9koj6=4={<3`40<50m16=oj7:61e?xu6jlh1<77>c34;ihl483g9~w4dbl3:1>v3>c1:96=b<58hon79;6jmn1;>h4}r3ab5<72;q6=n>m:3:g?87ell05<5s4;h5gc72>9m7p}>bg194?4|58i;i7<7d:?2f`7=?:l0q~?mf583>7}:9kl?65j6;<3`55<3=?1v1303ty:nk950;0x94da?32o563>bd;90015g`?21n270?me98712=z{8hm57>52z?2fc?=0m3012;662>{t9klj6=4={<3abd>:63>be590025g`?2;2o70?l078;`<=:9ki<69;:;<3aa=<3=?1v5j4=0a33?>c127:o<:5447894dd13>>96s|1cdf>5<3s4;ij84=8e9>5f6?21n270?mcc8710=:9ko<69;9;|q2fc`=838p1;6jjn188;4}r3`45<72=q6=oh6:3:g?87d8h03h4521b31>13234;iok4;549~w4e793:1>v3>c1`9c127:ni;54478yv7d8=0;69u21cd7>7>c34;h5f772=?>70?me38710=z{8i;j7>52z?2g46=?:l01{t9j;:6=4={<3`57<0;o16=ok8:57;?xu6k891<773a34;ii>483g9>5ge42=?=70?mbg8713=z{8i::7>55z?2g4?=0m301;6jl2188;4=0`f3?22=27:nh;54478yv7d9>0;6>u21b3b>=b>34;ii946279>5gc1208=7p}>c0:94?4|58i:n76k9:?2f`5=1;<0q~?l1b83>1}:9j;26?;i;<3`5d<5=o16=n?m:37e?87d9m0=be34;ijl47dc9>5g`221ni70?mf68;`g=:9kl365jm;<3ab3::9fa?87d8?03ho521b24>=be34;h<547dc9>5f6>21ni70?l0`8;`g=:9j:i65jm;<3`4fk:9fa?87d8l03ho5rs0a2b?6=:r7:o5<1s4;h><47d89>5f7c2=?=70?mbc8713=:9ki869;8;<3afc<3=>16=ncj27:o?=572d8yv7d:=0;6??t=0a10?>c127n9;4;599>a1?=<<201h=l:57;?8c5n3>>463j23871==:m8?18864=d2;>13?34nmn7::8:?ga`<3=116hh?544:89ab32=?370jl7;66<>;cjh0?9552d`f900><5m3m69;7;`2?=<<201i8l:57;?8b2m3>>463k53871==:l=?18864=e1;>13?34n9n7::8:?g5a<3=116h;dmh0?9552cef900><5jn;69;7;25a3ty:o?950;0x94e5<38>j63>c3:936`o4?:3y>5f4d2>9m70?l2`8746=z{8i9i7>52z?2g66=0mh01{t9j9;6=4={<3`75=5271894e3=38=?6s|1b10>5<4s4;h?>483g9>5f532;<870?l478126=z{8i887>53z?2g62=?:l01;6k==1>;=4}r3`70<72:q6=n=::61e?87d;?09:>521b6;>7043ty:o>850;1x94e4>3=8j63>c259635<58i?57<93:p5f502908w0?l36847c=:9j936?8<;<3`0d<5>:1vh4=0a0=?41;27:o9l52718yv7d;00;6>u21b1:>25a34;h?l4=629>5f2d2;<87p}>c2c94?4|58i8m796}:9j9i6:=i;<3`7d<>:?16=n:l:5`4?xu6k:i1<7=t=0a0g?14n27:o><5934894e3<3>i;6s|1b1g>5<4s4;h?i483g9>5f54208=70?l4487f2=z{8i8i7>53z?2g6c=?:l01;6k=<18o94}r3`7c<72:q6=n=i:61e?87d;<02>;521b64>1d03ty:o9>50;1x94e383=8j63>c249=70<58i?47:m7:p5f262908w0?l40847c=:9j9<64<9;<3`0<<3j>1vh4=0a027:o9o54c58yv7d<:0;6>u21b60>25a34;h?446279>5f2e2=h<7p}>c5g94?4|58i?i797}:9j?;6:=i;<3`12<5>:1vh4=0a66?41;2wx=n;=:18187d=;07043ty:o8=50;0x94e2;3=8j63>c4696355f332>9m70?l548126=z{8i>97>52z?2g03=?:l01{t9j?<6=4={<3`12<0;o16=n;7:340?xu6k<21<725a34;h9l4=629~w4e2i3:1>v3>c4c936`<58i>n7<93:p5f3e2909w0?l5c847c=:9j?h6?8<;|q2g0e=838p1;6k;=4}r3`1a<72;q6=n;k:61e?87d=l09:>5rs0a6a?6=:r7:o8k572d894e2n38=?6s|1b7e>5<5s4;h9k483g9>5f362;<87p}>c7294?4|58i><77=6:?2g36=?:l0q~?l6083>7}:9j?:64<9;<3`24<0;o1v;521b40>25a3ty:o;:50;0x94e2<339:63>c76936`5f32208=70?l64847c=z{8i=:7>52z?2g00=1;<01{t9j<<6=4={<3`12<>:?16=n88:61e?xu6k?21<727:o;6572d8yv7d>00;6?u21b7:><4134;h:4483g9~w4e1i3:1>v3>c4c9=70<58i=m79;6k?i1;>h4}r3`2a<72;q6=n;k:805?87d>m05<5s4;h9k46279>5f0a2>9m7p}>c6294?4|58i<<797}:9j=:6:=i;<3`37<5>:1v81;>h4=33g7?22>2wx=n9<:18187d?:07043ty:o::50;0x94e0<3=8j63>c6796355f122>9m70?l778126=z{8i<:7>52z?2g20=?:l01{t9j=<6=4={<3`32<0;o16=n97:340?xu6k>21<725a34;h;l4=629~w4e0i3:1>v3>c6c936`<58i;6k>i1;>h4}r3`3a<72;q6=n9>:805?87d?m05<5s4;h;>46279>5f1a2>9m7p}>c9294?4|58i<877=6:?2g=6=?:l0q~?l8083>7}:9j=>64<9;<3`<4<0;o1v<15?84=0a;6?14n2wx=n6<:18187d?>02>;521b:0>25a3ty:o5:50;0x94e00339:63>c96936`5f1>208=70?l84847c=z{8i3:7>52z?2g2g=1;<01{t9j2<6=4={<3`3g<>:?16=n68:61e?xu6k121<725a34;h4l4=629~w4e?i3:1>v3>c9c936`<5;;n=7::6:p5f>e2909w0?l8c847c=:9j2h6?8<;|q2g=e=838p1;6k1n1>;=4}r3`5rs0a;a?6=:r7:o5k572d894e?n38=?6s|1b:e>5<5s4;h4k483g9>5f?72;<87p}>c8294?4|58i2<797}:9j3:6:=i;<3`=7<5>:1vh4=0a:7?41;2wx=n7<:18187d1:07043ty:o4:50;0x94e?0339:63>c86936`5f>>208=70?l94847c=z{8i2:7>52z?2g=g=1;<01{t9j3<6=4={<3`:?16=n78:61e?xu6k021<727:o46572d8yv7d100;6?u21b:g><4134;h54483g9~w4e>i3:1>v3>c9g9=70<58i2m79;6k0i1;>h4}r3`=a<72;q6=n7>:805?87d1m0m3=8j6s|1b;e>5<5s4;h5>46279>5f?a2>9m7p}>c`294?4|58ij<797}:9jk:6:=i;<3`e7<5>:1vh4=33fb?22>2wx=no<:18187di:07043ty:ol:50;0x94ef<3=8j63>c`796355fg22>9m70?la78126=z{8ij:7>52z?2gd0=?:l01{t9jk<6=4={<3`e2<0;o16=no7:340?xu6kh21<725a34;hml4=629~w4efi3:1>v3>c`c936`<58ijn7<93:p5fge2909w0?lac847c=:9jk:6?8<;|q2gde=838p1;6khi1;>h4}r3`ea<72;q6=no>:805?87dim05<5s4;hm>46279>5fga2>9m7p}>cc294?4|58ij877=6:?2gg6=?:l0q~?lb083>7}:9jk>64<9;<3`f4<0;o1v02>;521b`0>25a3ty:oo:50;0x94ef0339:63>cc6936`5fg>208=70?lb4847c=z{8ii:7>52z?2gdg=1;<01{t9jh<6=4={<3`eg<>:?16=nl8:61e?xu6kk21<77>c34;hnn483g9~w4eei3:1>v3=03f96=b<58iih79:1vh4=0a`5?41;27:oih52718yv7dk90;6>u21ba3>25a34;hnk46279>5fbb2=h<7p}>cb394?5|58ih=79{t9ji96=4<{<3`g7<0;o16=nm>:805?87dlo0?n:5rs0a`7?6=;r7:on=572d894ed=38=?63>cd396355fe32>9m70?lc28:63=:9jo;69l8;|q2gf3=839p1;6kj=1>;=4=0af6?41;2wx=nm9:18087dk?0<4134;hi<4;b69~w4ed?3:1>v3>cb5936`<58in?7<93:p5fe?2908w0?lc9847c=:9ji<64<9;<3`a7<3j>1vh4=0a`f?41;27:oh852718yv7dkh0;6>u21bab>25a34;ho446279>5fc22=h<7p}>cb`94?5|58ihn79{t9jih6=4<{<3`gf<0;o16=nmm:805?87dm?0?n:5rs0a``?6=;r7:onj572d894edn38=?63>cd:96355feb2>9m70?lce8:63=:9jo<69l8;|q2gf`=839p1;6km;1>;=4=0af=?41;2wx=nj?:18087dl90<4134;hi54;b69~w4ec93:1?v3>ce3936`<58io?7<93:?2g`g=:?90q~?ld383>6}:9jn96:=i;<3``4<>:?16=nk6:5`4?xu6km91<7=t=0ag7?14n27:oi;5271894ebj38=?6s|1bf7>5<4s4;hh9483g9>5fb4208=70?le`87f2=z{8io97>53z?2ga3=?:l01;6kli1>;=4}r3``3<72:q6=nj9:61e?87dl<02>;521bga>1d03ty:oi950;1x94ec?3=8j63>ce;9635<58inh7<93:p5fb?2908w0?ld9847c=:9jn<64<9;<3`af<3j>1vh4=0aab?41;27:oik52718yv7dlh0;6>u21bfb>25a34;hh446279>5fcc2=h<7p}>ce`94?5|58iii77=6:?2gab={t9jo?6=4={<3`a1<0;o16=nk<:5`4?xu6ko>1<7=t=0ae0?14n27:h=>5271894b>l38=?6s|1bd6>5<4s4;hj8483g9>5f`3208=70?k9387f2=z{8im:7>53z?2gc0=?:l01;6l0>1>;=4}r3`b2<72:q6=nh8:61e?87dn109:>521e;6>7043ty:ok650;1x94ea03=8j63>cg;9635<58n2:7<93:p5f`>2908w0?lf8847c=:9jlj6?8<;<3g=2<5>:1vh4=0aef?41;27:h4652718yv7dnk0;6>u21bda>25a34;hjn4=629>5a?>2;<87p}>cga94?5|58imo79{t9jlo6=4<{<3`ba<0;o16=nhj:340?87c1k09:>5rs0aea?6=;r7:okk572d894ean38=?63>d8a96355f`a2>9m70?k008126=:9m3n6?8<;|q2`56=839p1;6l9h1>;=4=0fb>:18087c88070434;o5k4=629~w4b7:3:1?v3>d10936`<58n;?7<93:?2`d6=:?90q~?k0283>6}:9m:86:=i;<3g41<5>:16=io>:340?xu6l9>1<7=t=0f30?14n27:h=;5271894bf:38=?6s|1e26>5<4s4;o<8483g9>5a612;<870?ka28126=z{8n;:7>53z?2`50=?:l01;6lh>1>;=4}r3g42<72:q6=i>8:61e?87c8109:>521ec6>7043ty:h=650;1x94b703=8j63>d1;9635<58nj:7<93:p5a6>2908w0?k08847c=:9m:j6?8<;<3ge2<5>:1vh4=0f3g?41;27:hl752718yv7c8k0;6>u21e2a>25a34;o5age2;<87p}>d1a94?4|58n;o796}:9m:o6:=i;<3g4`<5>:16=iol:340?xu6l9o1<7=t=0f3a?14n27:h=h5271894bfl38=?6s|1e2e>5<4s4;o5a772;<870?kad8126=z{8n:<7>53z?2`46=?:l011;057>;6lhl1>;=4}r3g54<72:q6=i?>:61e?87c9;09:>521e`3>7043ty:h<<50;1x94b6:3=8j63>d019635<58ni=7<93:p5a742908w0?k12847c=:9jl=6?8<;<3g=6<5>:1v4;296~;59;;1>5j4=0f21?14n2wx=i?9:181846:;094i521e3b>25a3ty:h<950;0x9775;383h63>d0:936`64432;2o70?k1c847c=z{8n:o7>52z?1573=:1n01d;50b>{t9m;n6=4={<0263<50m16=i?i:61e?xu6l;:1<77>c34;o>>483g9~w4b5<3:1>v3=13;96=b<58n99792`81=838p1??=b;0;`>;6l;31;>h4}r3g6d<72;q6><5<5s48:>h4=8e9>5a4b2>9m7p}>d3d94?4|5;;9j7<7d:?2`67=?:l0q~?k3383>7}::89;6?6k;<3g76<0;o1v5j4=0f01?14n2wx=i=9:181846;;094i521e14>25a3ty:h>650;0x9774;383h63>d2;936`64532;2o70?k3c847c=z{8n8o7>52z?1563=:1n01{t9m9n6=4={<0273<50m16=i=i:61e?xu6l=:1<77>c34;o8:483g9~w4b3;3:1>v3=12;96=b<58n?8793`81=6:=i;|q2`1>=838p1??;6l=31;>h4}r3g0d<72;q6><=l:3:g?87cj529f894b3l3=8j6s|1e6f>5<5s48:?h4=8e9>5a2a2>9m7p}>d4294?4|5;;8j7<7d:?2`07=?:l0q~?k5383>7}::8>;6?6k;<3g16<0;o1vu21bd4><4134;o594;b69>5a302>9m7p}>d4:94?5|58im477=6:?2`<3={t9m?j6=4<{<3`b<<>:?16=i79:5`4?87c=k0?3>i;63>d4f936`5f`e208=70?k9987f2=:9m?m6:=i;|q2`36=839p1;6l0318o94=0f55?14n2wx=i8=:18087dnm02>;521e;b>1d034;o:>483g9~w4b1<3:1?v3>cgg9=70<58n2n7:m7:?2`33=?:l0q~?k6783>6}:9jlm64<9;<3g=f<3j>16=i88:61e?xu6l?21<7=t=0f34??5>27:h4j54c5894b113=8j6s|1e4b>5<4s4;o<<46279>5a?b2=h<70?k6c847c=z{8n=o7>53z?2`54=1;<01;6l?n1;>h4}r3g2`<72:q6=i><:805?87ci90?n:521e4e>25a3ty:h:>50;1x94b7<339:63>d`390g1<58n<=79u21e24><4134;om94;b69>5a102>9m7p}>d6:94?5|58n;477=6:?2`d3={t9m=j6=4<{<3g4<<>:?16=io9:5`4?87c?k0i;63>d6f936`5a6e208=70?ka987f2=:9m=m6:=i;|q2`=6=839p1;6lh318o94=0f;5?14n2wx=i6=:18187cih0?n:521e:0>25a3ty:h5:50;1x94b7l339:63>d``90g1<58n397912908w0?k0d8:63=:9mkh69l8;<3g<2<0;o1vu21e33><4134;omh4;b69>5a>e2>9m7p}>d9a94?5|58n:=77=6:?2`d`={t9m2n6=4<{<3g57<>:?16=il?:5`4?87c0o0i;63>d83936`5ad52>9m70?kb48126=:9mio6?8<;|q2`g5=838p1;6ljh1>;=4}r3gf1<72:q6=il;:61e?87cj:02>;521eab>1d03ty:ho;50;1x94be=3=8j63>dc59635<58nhi7<93:p5ad12908w0?kb7847c=:9mh>64<9;<3gga<3j>1vh4=0fa=?41;27:hnh52718yv7cj10;6>u21e`;>25a34;on:46279>5aeb2=h<7p}>dc;94?5|58ni579{t9mhj6=4<{<3gfd<0;o16=il6:805?87cko0?n:5rs0faf?6=;r7:hol572d894bel38=?63>de396355add2>9m70?kbc8:63=:9mn;69l8;|q2`gb=839p1;6lkl1>;=4=0fg6?41;2wx=ilj:18087cjl0<4134;oh<4;b69~w4ben3:1?v3>dcd936`<58nh=7<93:?2`a5=:?90q~?kc183>6}:9mi;6:=i;<3gfc<>:?16=ij=:5`4?xu6lj;1<7=t=0f`5?14n27:hn=5271894bc<38=?6s|1ea1>5<4s4;oo?483g9>5ae6208=70?kd287f2=z{8nh?7>53z?2`f5=?:l01;6lm?1>;=4}r3gg1<72:q6=im;:61e?87ck:02>;521ef7>1d03ty:hn;50;1x94bd=3=8j63>dc19635<58nhm7<93:p5ae12908w0?kc7847c=:9mi>64<9;<3g`0<3j>1v25a34;ooo4;b69~w4bc>3:1?v3>de4936`<58no57<93:?2`c7=:?90q~?kd683>7}:9mn<6:=i;<3gac<5>:1vh4=0fg3??5>27:hhk54c58yv7cl00;6>u21ef:>25a34;oho4=629>5a`52;<87p}>dec94?5|58nom79{t9mni6=4<{<3g`g<0;o16=ijk:340?87cn:09:>5rs0fgg?6=;r7:him572d894bcj339:63>dg090g15abc2>9m70?kdg8126=:9ml?6?8<;|q2`ac=839p1;6lmn15?84=0fe7?2e?2wx=iji:18087clo070434;oj84=629~w4bb83:1?v3>dd2936`<58noj77=6:?2`c2=6}:9mo:6:=i;<3ga6<5>:16=ih9:340?xu6ll81<7=t=0ff6?14n27:hh?5934894ba=3>i;6s|1eg0>5<4s4;oi>483g9>5ac22;<870?kf68126=z{8nn87>53z?2``2=?:l01;6lo<18o94}r3ga0<72:q6=ik::61e?87cm>09:>521ed;>7043ty:hh850;1x94bb>3=8j63>dd79=70<58nm;7:m7:p5ac02908w0?ke6847c=:9mo26?8<;<3gb<<5>:1vh4=0ff3??5>27:hk654c58yv7cm00;6>u21eg:>25a34;oh:4=629>5acb2;<87p}>ddc94?5|58nnm79{t9moi6=4<{<3g`3<>:?16=ikk:5`4?87cmj0572d894bbn3>i;6s|1edb>5<4s4;ojl483g9>5a`c2;<870?j148126=z{8nmn7>52z?2`cd=?:l013;057>{t9mlh6=4<{<3gbf<0;o16=ihm:805?87b9;0?n:5rs0fe`?6=;r7:hkj572d894ban38=?63>e0496355a`b2>9m70?kfe8:63=:9l;>69l8;|q2`c`=839p1;6m9;1>;=4=0g23?41;2wx=h>?:18087b890<4134;n=;4;b69~w4c793:1?v3>e13936`<58o;?7<93:?2a4>=:?90q~?j0383>6}:9l:96:=i;<3f44<>:?16=h?8:5`4?xu6m991<7=t=0g37?14n27:i=;5271894c6138=?6s|1d27>5<4s4;n<9483g9>5`64208=70?j1987f2=z{8o;97>53z?2a53=?:l01;6m8k1>;=4}r3f43<72:q6=h>9:61e?87b8<02>;521d3:>1d03ty:i=950;1x94c7?3=8j63>e1;9635<58o:n7<93:p5`6?2908w0?j09847c=:9l:<64<9;<3f5d<3j>1vh4=0g3f?41;27:iu21d2b>25a34;n<446279>5`7e2=h<7p}>e1`94?5|58o;n79d;057>{t9l:h6=4<{<3f4f<0;o16=h>m:805?87b9j0?n:5rs0g3`?6=;r7:i=j572d894baj38=?63>e0096355`6b2>9m70?j0e8:63=:9l;o69l8;|q2a5`=839p1;6m8;18o94=0g24?14n2wx=h?;:18187b9=01d03ty:ie3590005`7a2>9m70?j268710=z{8o9<7>52z?2a76=?:l01>oja;662>{t9l8:6=4={<3f64<0;o16=h25a34;n>=4;549~w4c5<3:1>v3>e36936`<58o9=7::5:p5`422909w0?j24847c=:9l8869;9;|q2a70=838p1;6m;9188;4}r3f62<72;q6=h<8:61e?845;10?985rs0g1>:6s|1d0:>5<5s4;n>4483g9>5`562=?>7p}>e3c94?4|58o9m797}:9l8i6:=i;<3f6d<3=?1vh4=0g1f?22>2wx=h1323ty:i?k50;0x94c5m3=8j63>e3`9003k4?:3y>5`4a2>9m70?j2e8713=z{8o8<7>52z?2a66=?:l01{t9l9:6=4={<3f74<0;o16>?=8:576?xu6m:81<7l54448yv7b;:0;6?u21d10>25a34;n?o4;549~w4c4<3:1>v3>e26936`<5;>9?7::6:p5`522909w0?j34847c=:9l9?69;9;|q2a60=838p1;6m:?18884}r3f72<72;q6=h=8:61e?87b;=0?985rs0g06572d894c4=3>>96s|1d1:>5<5s4;n?4483g9>5`502=?=7p}>e2c94?4|58o8m797}:9l9i6:=i;<017d<3=<1vh4=0g71?22>2wx=h=k:18187b;m01323ty:i>k50;0x94c4m3=8j63=43590005`5a2>9m70?j3d8713=z{8o?<7>52z?2a16=?:l01{t9l>:6=4={<3f04<0;o16=h=j:576?xu6m=81<7h54478yv7b<:0;6?u21d60>25a34;n8<4;579~w4c3<3:1>v3>e56936`<58o?=7::5:p5`222909w0?j44847c=::;9h69;:;|q2a10=838p1?><6;0;`>;6m==1;>h4}r3f02<72;q6>==8:3:g?87b<106529f894c3m3=8j6s|1d72>5<5s48;?44=8e9>5`362>9m7p}>e4694?4|5;:8m7<7d:?2a02=?:l0q~?j5683>7}::99i6?6k;<3f1<<0;o1vh4=0g6=?22>2wx=h;n:181847;j094i521d7`>25a3ty:i8l50;0x94c2j3=8j63>e4a9000655c2;2o70?j5g847c=z{8o>i7>52z?2a0c=?:l01{t9l<;6=4<{<3f25<0;o16=h88:340?87b1<09:>5rs0g55?6=;r7:i;?572d894c18339:63>e9g90g15`052>9m70?j628126=:9l3;6?8<;|q2a35=839p1;6m?>1>;=4=0g:5?41;2wx=h8;:18087b>=070434;n5?4=629~w4c1=3:1?v3>e77936`<58o=:7<93:?2a<5=:?90q~?j6783>7}:9l<=6:=i;<3f=1<5>:1vh4=0g510;6>u21d4;>25a34;n:44=629>5`?02;<87p}>e7;94?5|58o=579{t9l5rs0g5f?6=;r7:i;l572d894c1k38=?63>e8c96355`0d2>9m70?j6e8126=:9l3i6?8<;|q2a3b=839p1;6m?o1>;=4=0g:g?41;2wx=h8j:18087b>l070434;n5i4=629~w4c1n3:1?v3>e7d936`<58o=>7<93:?2a=`=:?90q~?j7183>6}:9l<964<9;<3f16=h9>:61e?xu6m>81<7=t=0g57??5>27:i4>54c5894c0;3=8j6s|1d57>5<4s4;n:946279>5`?62=h<70?j74847c=z{8o<:7>53z?2a33=1;<01;6m>=1;>h4}r3f3=<72:q6=h89:805?87b1:0?n:521d5:>25a3ty:i:o50;0x94c><3>i;63>e6`936`5`00208=70?j9487f2=:9l=o6:=i;|q2a2c=839p1;6m0<18o94=0g4b?14n2wx=h6?:18087b>002>;521d;4>1d034;n4<483g9~w4c?:3:1?v3>e7c9=70<58o247:m7:?2a=5=?:l0q~?j8583>6}:9l16=h6::61e?xu6m1<1<7=t=0g5g??5>27:i4o54c5894c??3=8j6s|1d:;>5<4s4;n:i46279>5`?e2=h<70?j88847c=z{8o3m7>53z?2a3c=1;<01;6m1h1;>h4}r3f25a3ty:i4k50;1x94c>m3=8j63>e`39635<58oh?7<93:p5`?a2908w0?j9g847c=:9l3n64<9;<3fg5<3j>1vh4=0g`6?41;2wx=ho>:18087bi8070434;no94=629~w4cf:3:1?v3>e`0936`<58oj?7<93:?2af3=:?90q~?ja283>6}:9lk86:=i;<3fe1<5>:16=hm9:340?xu6mh>1<7=t=0gb0?14n27:il;5271894cd?38=?6s|1dc6>5<4s4;nm8483g9>5`g12;<870?jc98126=z{8oj:7>53z?2ad0=?:l01;6mj31>;=4}r3fe2<72:q6=ho8:61e?87bi109:>521dab>7043ty:il650;1x94cf03=8j63>e`;9635<58ohn7<93:p5`g>2908w0?ja8847c=:9lk;6?8<;<3fg4<5>:1v1d034;nmi483g9~w4cfm3:1?v3>e`39=70<58oh?7:m7:?2ad`=?:l0q~?jb183>6}:9lk964<9;<3fg1<3j>16=hl>:61e?xu6mk81<7=t=0gb7??5>27:in;54c5894ce;3=8j6s|1d`7>5<4s4;nm946279>5`e12=h<70?jb4847c=z{8oi:7>53z?2ad3=1;<01;6mk=1;>h4}r3ff=<72:q6=ho9:805?87bk10?n:521d`:>25a3ty:ioo50;1x94cf?339:63>eb;90g1<58oin79u21da`>25a34;nok4=629>5``62;<87p}>ebf94?5|58ohh79{t9lin6=4={<3fg`<0;o16=hh?:340?xu6mjl1<7=t=0g`b?14n27:ii>5271894ca:38=?6s|1df3>5<4s4;nh=483g9>5`b62;<870?jf28126=z{8oo=7>53z?2aa7=?:l01;6mo>1>;=4}r3f`7<72:q6=hj=:61e?87bl:09:>521dd6>7043ty:ii=50;1x94cc;3=8j63>ee69635<58om:7<93:p5`b32908w0?jd5847c=:9ln>6?8<;<3fb2<5>:1vh4=0gg2?41;27:ik652718yv7bl?0;6>u21df5>25a34;nh:4=629>5``>2;<87p}>ee594?5|58oo;79{t9ln36=4<{<3fg`<>:?16=hki:5`4?87bl0054c5894ccj3=8j6s|1df`>5<4s4;nok46279>5``62=h<70?jde847c=z{8ooi7>53z?2aa6=1;<01;6mml1;>h4}r3fa5<72:q6=hj>:805?87bn:0?n:521dg2>25a3ty:ih<50;1x94cc:339:63>eg690g1<58on?7969l8;<3fa0<0;o1v15?84=0ge2?2e?27:ih9572d8yv7bm10;6>u21df6><4134;nj:4;b69>5`c>2>9m7p}>edc94?5|58oo:77=6:?2ac>={t9loh6=4<{<3f`2<>:?16=hh6:5`4?87bmm0f0d96355``e2>9m70?jf`8:63=:9o;h69l8;|q2ace=838p1;6n8o1>;=4}r3fba<72:q6=hhk:61e?87bnl09:>521g03>7043ty:ikk50;1x94cam3=8j63>egd9635<58l9=7<93:p5``a2908w0?jfg847c=:9o:;6?8<;<3e67<5>:1vh4=0d35?41;27:j?=52718yv7a880;6>u21g22>25a34;m5c432;<87p}>f1094?5|58l;>79{t9o:86=4<{<3e46<0;o16=k>;:340?87a:?09:>5rs0d30?6=;r7:j=:572d894`7=38=?63>f3596355c622>9m70?jfb8126=:9o;o6?8<;|q2b50=839p1;6n8n18o94=0d33?14n2wx=k>7:18187a9l0?n:521g2:>25a3ty:j=o50;1x94cal339:63>f0d90g1<58l;n79u21g23><4134;m>?4;b69>5c762>9m7p}>f0094?5|58l;=77=6:?2b75=3;50b>{t9o;?6=4<{<3e47<>:?16=k<;:5`4?87a9<0i;63>f05936`5c63208=70?i2787f2=:9o;26:=i;|q2b4g=839p1;6n;=18o94=0d2f?14n2wx=k<6:18187a:001323ty:j?o50;0x94`5i3=8j63>f3f9000o4?:3y>5c4e2>9m70?i2e8712=z{8l9o7>52z?2b7e=?:l01{t9o8o6=4={<3e6a<0;o16=k<7:574?xu6n;o1<78t=3231?22>279>;63=00a9001<5;::87::7:?2bcc=?:l0q~?i2g83>0}::8k;69;8;<023=<3=>16><6::574?846=j01333ty:j>>50;6x976f;3=8j63=0`59001<5;:297::5:?14<0=<d}::8k;69;:;<023=<3=<16>?;;:576?8451303489?l4;569>67502=?<70<=398712=::;>j6:=i;<010g<3==1vh4=323=?22<279<=j544789766l3>>963=00790034?:2y>65g12>9m70;590<18894=33;0?22=279=5;572d8977?>3>>86s|1g16>5<2s48:nh4;569>64?72=?<70<>648712=::8?n6:=i;<021g<3=<1v279<<6544489767j3=8j63=01a90025f`72>9m70?lf28713=::9n>69;7;|q2b6>=83>p1?>68;50b>;58h=18884=32b279<4m59348yv7a;00;6>u221a`>25a348;h84;569>65bc2=?=7p}>f2c94?0|5;88n7::5:?166?=<;59>o18864=300`?14n279>>k54468yv7a;k0;6?u21g60>=b>348oil4=8e9~w4`4k3:1>v3>f569;5lln1>5j4}r3e7c<72;q6=k:8:9f:?84cml094i5rs0d74?6=:r7:j9658e;897bbn383h6s|1g62>5<5s4;m8447d89>6a`72;2o7p}>f5094?4|58l?m76k9:?1`c7=:1n0q~?i4c83>7}:9o>86?6k;<3e0f<0;o1v1>5j4=0d7a?14n2wx=k:i:18187a<<094i521g73>25a3ty:j8?50;0x94`3>383h63>f40936`4?:3y>5c202;2o70?i55847c=z{8l>97>52z?2b1>=:1n01{t9o?<6=4={<3e0<<50m16=k;7:61e?xu6n<31<77>c34;h8k483g9~w4`2k3:1>v3>d80936`<58n:97::5:p5c3c2909w0;6lj31;>h4}r3e1c<72;q6>5<5s48:i?4=8e9>5`762>9m7p}>f7094?4|58ln:7<7d:?2g12=?:l0q~?i6283>7}:9m386:=i;<3g5=<3=<1v5j4=0f`e?14n2wx=k8::181846l?094i521egf>25a3ty:j;850;0x9762l383h63>ceg936`64c32;2o70?j13847c=z{8l=47>52z?2`<2=?:l01b;661>{t9o<26=4={<02g<<50m16=imm:61e?xu6n?k1<7k0;6?u2217f>7>c34;hhk483g9~w4`1k3:1>v3=1d796=b<58o:?79:f;0;`>;6kl:1;>h4}r3e2c<72;q6=i79:61e?87c9o0?985rs0d44?6=:r79<;>529f894eb93=8j6s|1g52>5<5s4;o5:483g9>5a462=?>7p}>f6094?4|5;:==7<7d:?2g`4=?:l0q~?i7283>7}:9m336:=i;<3g66<3=<1v5j4=0af7?14n2wx=k9::18187c1001323ty:j:850;0x94b>i3=8j63>d3590035a?e2>9m70?k288710=z{8l<47>52z?2`{t9o=26=4={<03f6<50m16=k9n:61e?xu6n>k1<77>c34;hik483g9~w4`0k3:1>v3=1b596=b<58nhh79d481:c;0;`>;6kl?1;>h4}r3e3c<72;q6>5<5s4;o5h483g9>5a4b2=?>7p}>f9094?4|58n2j797}:9mk;6:=i;<3g76<3=<1vh4=0f01?22=2wx=k6::18187ci;01323ty:j5850;0x94bf;3=8j63>d2;90035ag32>9m70?k3c8710=z{8l347>52z?2`d3=?:l01{t9o226=4={<3ge3<0;o16=i=i:576?xu6n1k1<77>c34;m4n483g9~w4`?k3:1>v3>d`:936`<58n8<7::5:p5c>c2909w0;6ljo1;>h4}r3e3=8j6s|1g;2>5<5s48:i;4=8e9>5`712>9m7p}>f8094?4|58ln47<7d:?2g10=?:l0q~?i9283>7}:9mk26:=i;<3g01<3=<1vh4=0f72?22=2wx=k7::181847j<094i521g;e>25a3ty:j4850;0x94bfj3=8j63>d55900365e?2;2o70?lf3847c=z{8l247>52z?15fd=:1n01{t9o326=4={<02`<<50m16=ih<:61e?xu6n0k1<77>c34;n=:483g9~w4`>k3:1>v3>fd;96=b<58i?;79;6n0l188;4}r3ee5<72;q6>=l9:3:g?87ai90>96s|1gc1>5<5s48;o44=8e9>5f`42>9m7p}>f`194?4|5;;ho7<7d:?2`a6=?:l0q~?ia583>7}::8nj6?6k;<3gb1<0;o1v5j4=0af25a3ty:jl950;0x94`bi383h63>c5:936`5agc2>9m70?k4c8710=z{8ljn7>52z?15fb=:1n01{t9okh6=4={<02`g<50m16=ih::61e?xu6nhn1<77>c34;n=4483g9~w4`fn3:1>v3>fd`96=b<58i?579o69;:;|q2bg7=838p1??le;0;`>;6lm81;>h4}r3ef7<72;q6>5<5s48:il4=8e9>5`7f2>9m7p}>fc794?4|58lno7<7d:?2g1g=?:l0q~?ib783>7}:9mkm6:=i;<3g0c<3=<1v5j4=0fg7?14n2wx=kl7:181846lm094i521ed4>25a3ty:jo750;0x97610383h63>cd`936`64ce2;2o70?j1c847c=z{8lin7>52z?2b`b=:1n01{t9ohh6=4={<3gf5<0;o16=i;>:576?xu6nkn1<77>c34;oj5483g9~w4`en3:1>v3=07;96=b<58ino79eb81;6k=i1;>h4}r3eg7<72;q6=il>:61e?87c=:0?985rs0d`7?6=:r79=i?529f894bc=3=8j6s|1ga7>5<5s48:hk4=8e9>5a`>2>9m7p}>fb794?4|5;:=m7<7d:?2g`b=?:l0q~?ic783>7}::8oo6?6k;<3f5a<0;o1v5j4=0a7`?14n2wx=km7:18187al803h4521g6`>1323ty:jn750;0x94`c:32o563>f5g90035cb421n270?i518710=z{8lhn7>52z?2ba2=0m301{t9oih6=4={<3e`0c127:j8854478yv7akl0;6?u21gf4>=b>34;m954;549~w4`dn3:1>v3>fe:9m7::5:p5cb72902w0?id88;`<=:9o?j69;9;<3e1=<3=?16=k;9:575?87a==0?9;521g71>13134;m9=4;579>5c2b2=?=70?i4b8713=z{8lom7>515y>5cb62;?m70?id3811c=:9on86?;i;<3e`1<5=o16=kj::37e?87al?099k521gf4>73a34;mh54=5g9>5cb>2;?m70?ie78;`g=:9oo<65jm;<3ea==be34;min47dc9>5ccc21ni70?ied8;`g=:9oom65jm;<3e`g<0;o1v<528`8yv7alm0;69u21gf2>7>c34;mi:47d89>5f5c2=?>70?l3281=g=z{8loi7>54z?2ba4=:1n01;6k:o188;4=0a00?4>j2wx=kji:18787al:094i521gg:>=b>34;h?k4;549>5f522;3i7p}>fd294?2|58lo87<7d:?2b`g=0m301;6k:<1>4l4}r3ea4<72=q6=kj::3:g?87amk03h4521b62>13234;h?:4=9c9~w4`b:3:18v3>fe496=b<58lno76k9:?2g14=<{t9oo86=4;{<3e`2<50m16=kkk:9f:?87d<:0?98521b1:>7?e3ty:jh:50;1x94`c0383h63>fdg9>963=0069003<58lm879=<<<01?>?b;661>{t9ol:6=4={<3eb4<0;o16=kh9:575?xu6no91<725a34;n9?4;569>5`342=?370?j4c871==:9l>h69;7;<3f10<3=?16=h;9:57;?87b<00?95521d6b>13?34;n8k4;599>5`372=?<70?j5d8712=:9l?369;9;<3f1g<3=11vh4=0dea?22>2wx=khm:18187anj01323ty:jkj50;0x94`ai3>>:63>fgf936`5c`a2>9m7052z?1456=?:l01{t:9:96=4={<3ebd<3=>16>=>=:61e?xu589?1<725a34;n9?4;549>5`342=?>70?j468:63=:9l?>69;8;<3f13<3=?16=h:6:574?87b13034;n9=4;599>5`3b2=?=70?j598712=:9l?i69;8;|q1451=838p1?>?7;50b>;589k18864}r034d<72;q6>=>n:61e?847990?985rs323`?6=:r79<<>544:89767m3=8j6s|2131>55`36208=70?j4c8713=:9l>h69;8;<3f10<3=116=h;9:576?87b<00?98521d6b>13134;n8h46279>5`3b2=?370?j59871==:9l?i69;9;|q1445=838p1?>>3;50b>;588>18864}r0351<72;q6>=?;:61e?847910?985rs3221?6=:r79<<6544:89766>3=8j6s|213b>5=483g9>5`352=?=70?j528713=:9l>i69;8;<3f0f<3=<16=h;;:805?87b<00?9;521d6b>13234;n8k4;579>5`372=?=70?j5d8710=:9l?369;:;<3f1g<3=<1v?>>b;296~;588h1;>h4=322g?2202wx>=?l:1818479j01323ty9<>463=00g936`?4?:ey>65412>9m70?j53871==:9l?869;8;<3f0g<3=<16=h:l:575?87b=<0?98521d75>13034;n8546279>5`2a2=?>70?j518710=:9l?m64<9;<3f1<<>:?16=h;l:805?xu58;91<725a348;>;4;549~w765=3:1>v3=037936`<5;:9:7::8:p654?290:9v3=03`9md;662>;6nmh18884=3273?22>279>:63=00`9000<5;::?7::6:?2bcb=<<<01?>?3;662>;589>18894=0de1?22=27:jk8544:894eej3>>863=0569000<58lmn7::6:?2bce=<<=01?>?8;661>;589h18894}r036<<7288p1?>=c;:g=>;6kki18884=0aa`?22>279>963=0559001<5;:9?7::5:?1451=<>b;661>;5889188;4=0de`?220279<=<5934894`a<339:63=0569001<58lmn7::5:?2bce=<?8;663>;589h18864}r036d<72=130348;8:4;549>65232=?>7p}=03g94?4|5;:8:76k9:?2g6e=<<<0q~7}::99<65j6;<3`7a<3=?1v?><0;296~;58:214i74=0a0a?22>2wx>==>:181847;003h4521b1e>1313ty9<><50;0x9764i32o563>c5290004?:3y>655e21n270?l408713=z{;:887>52z?146e=0m301{t:99>6=4={<037a==9:37e?847;>099k52211;>73a348;?44=5g9>655f2;?m7073a34;m8;4=5g9>5c202;?m70?i49811c=:9o>26?;i;<3e0d<5=o16>=73a34;mi;4=5g9>5cc02;?m70?ie9811c=:9oo26?;i;<3ead<5=o16=kkm:37e?87amj099k521ggg>73a34;mih4=5g9>5cca2;?m70=:;:57;?xu58:l1<7cj279<9>572d8yv47<80;6>ot=3275?>c1279=n8524d8977d?38>j63=1bc960`<5;;hn7<:f:?15fe=:;59jo1>8h4=33`b?42n279=i>524d8977c938>j63=1b:960`<5;;h57<:f:?15a2=:;59m21>8h4=33g=?42n279=io524d8977cj38>j63=1ea960`<5;;oh7<:f:?15ac=:;59m<1>8h4=33g3?42n279<8l524d89762k38>j63=071960`<5;:=87<:f:?1433=:96;06b>;58?=1>8h4=325j63=04f960`<5;:>i7<:f:?140`=:90;06b>;58?;1>8h4=3256?42n279=h<524d8977b;38>j63=1d4960`<5;;n;7<:f:?15`>=:;59lk1>8h4=33ff?42n279=hm524d8977bl38>j63=1d6960`<5;;n97<:f:?143e=<<<01?>;a;661>;59ll188;4=33f5?22=279=i=54478yv47<;0;6:u22161>=b>348;o84=5g9>65e12;?m70=j=:576?xu58=91<7cj279<9;572d8yv47<=0;6?u22167>25a348;884;579~w763>3:1>v3=0539=o7:576?8471k0?985221;`>1313ty9<9750;0x9763032on63=05c936`653e21n270?l5181=g=:9j<;69;:;<3``f<3==1v?>:2;290~;58j27:ono5447894e1?3>>96s|216`>5<3s48;9i47d89>5f362;3i70?lc18710=:9j<:69;:;|q141b=83>p1?>:e;:g=>;6k<81>4l4=0a`6?22=27:o;<54478yv47=b>34;h9>4=9c9>5fe32=?>70?l628710=z{;:?j7>54z?1436=0m301;6kj<188;4=0a50?22=2wx>=;?:187847>803h4521b76>7?e34;ho54;549>5f022=?>7p}=04394?2|5;:=>76k9:?2g00=:0h01;6k?<188;4}r0316<72=q6>=8<:9f:?87d=1095o521ba`>13234;h:54;549~w762<3:18v3=076957<6b:?2gfc=<{t:9?>6=4;{<03201323ty9<8850;6x9761>32o563>c4`967::5:?2g3d=<1}::9<<65j6;<3`1f<51k16=nj;:576?87d>j0?985rs326ce49003<58i=h7::5:p653>290?w0c127:o8h528`894eci3>>963>c7d900395;:gf>;58?<14il4=3253?>cj279<;658e`89761132on63=07c9h76kb:?140c=0mh01?>:f;:gf>;58?:14il4=3255?>cj279<;<58e`89761k3=8j6s|214g>5<4s4;h9;483g9>650d2=?>7052z?2a=c=?:l01{t:9:575?xu58>:1<725a34;h:>4;579~w760:3:1>v3>e80936`<58i=87::6:p65142909w0?j92847c=:9j<>69;9;|q1422=838p1;6k?<18884}r0330<72;q6=h7::61e?87d>>0?9;5rs3242?6=:r7:i48572d894e103>>:6s|2154>5<5s4;n5:483g9>5f0>2=?=7p}=06:94?4|58o24797}:9l326:=i;<3`2g<3=?1v?>8a;296~;6m0k1;>h4=0a5g?22>2wx>=9m:18187b1k01313ty9<:m50;0x94c>k3=8j63>c7g90005`?c2>9m70?l6g8713=z{;:510y>65>22>9m70?l618712=:9j<=69;8;<3`20<3=>16=n8;:574?87d>:0?9:521b41>13034;h:<4;569>5f0a2=?<70?l6d8712=:9j16=n8m:574?87d>h0?9:521b4:>13034;h:54;569>5f002=?<7p}=06d94?4|5;:397::5:?14=6=?:l0q~7}::9296:=i;<03<0<3=?1v?>73;296~;58191;>h4=32;1?22?2wx>=6;:1818470=013?3ty9<5850;1x976?>32o563=0cc9000<5;:357::5:p65>02909w0=838p1?>78;50b>;581n18884}r03<<<72;q6>=66:61e?8470h0?9;5rs32;f?6=:r79<5l572d8976?n3>>;6s|21:`>5<5s48;4n483g9>65>a2=?=7p}=09f94?4|5;:3m7::5:?14=b=?:l0q~7}::93:6:=i;<03<<<3=11v?>62;296~;58081;>h4=32;=?22?2wx>=7<:1818470?099k5221;`>25a3ty9<4:50;0x976>?3=8j63=08`900>65?22>9m7052z?14<0=?:l01?>67;661>{t:9326=4={<03=<<0;o16>=7m:574?xu580k1<7=b>34;m;l4;569>65?a2=?=70?i8b8710=::92369;7;<03=66:575?87ai10?95521gc:>13?34;m5;4;599>5c?02=?3706d;0;`>;580l1;>h4}r03e5<72;q6>=7k:37e?847i00>:6s|21c7>5<5s48;m8483g9>65g12=?>7p}=0`594?4|5;:j;797}::9k36:=i;<03e<<3=<1v?>na;29f~;58hk14i74=32;2?>cj2796f;661>;58k218884=32b3?220279=be348;mo483g9~w76fl3:1jv3=0c097b;661>;5803188;4=0db>963=09f9003<58i?j7::4:?2b<0=<<=01;58h315?84=32:1?22>279<4854448yv47il0;6hu221`0>=b>34;m;l4;549>5c>d2=?370=7=:575?87ai10?9;521gc:>131348;5c?12=?=70?i968713=::9k>69;8;<03e7<3=>1v?>nf;29`~;58k>14i74=0d;g?22?279<5654448976?k3>>:63=0839003<5;:2>7::5:?2bd>=<;588>18884=0d:2?22=27:j4954478976f=3>>:63=0`0900065d221n270=7=:57;?87ai90?9852213`>13134;m5k46279>65g22=?>7059z?14g0=0m301?>7b;662>;580;18894=32:6?22?27:jl>544489765<3>>:63=09f9001<5;:j:77=6:?14d5=1;<0q~3}::9h965jm;<03f6=l;:9fa?847j<03ho5221`5>=be348;n5483g9~w76e13:1:v3=0c0960`<5;:i?7<:f:?14g2=:m5;06b>;58k<1>8h4=32ae?14n2wx>=lm:180847jk03h45221`e>133348;8846279~w76ek3:1>v3=0c`960`<5;:ih79l5;:g=>;6kll18884=0ae4?22=27:ok?5447894ea:3>>;63=0ef9003<58ini7::4:?14a?=<2}::9i=65j6;<3`ac<3=<16=nh?:575?847km0?9:521bd2>13034;hj?4;599>65be208=7p}=0b094?0|5;:h;76k9:?14a7=<<<01;6ko;18884=32g1?22>27:ok<54448yv47k:0;6;u221a;>=b>348;h:4;579>5f`42=?3701v?>l4;291~;58j314i74=32g7?22=279>963=0e69000j63=0g2960`<5;:m47<:f:?14c?=:ia;06b>;58oh1>8h4=32eg?42n279j63=0gd960`<5;:m=7<:f:?14c4=:i3;06b>;58o>1>8h4=32e1?42n279j63=0b79l8;:gf>;58j314il4=32g6?14n2wx>=mm:180847l>0132348;hi4;569~w76dk3:1?v3=0ea900><5;:o5790q~7}::9io6:=i;<03g`<3=<1v?>le;296~;58m;18864=32`b?14n2wx>=j>:181847l8013?3ty965b52=?<7052z?14a7=<k8;50b>{t:9ni6=4={<03`4<3=>16>=jm:61e?xu58mo1<7;t=32fb?>c127:jk?5447894`a;3>>;63>f3:9000<58lm>7::8:p65c12908w01v?>kf;297~;58ol1>5j4=32e5?>c127:j?m54448yv47m90;6>u221d2>7>c348;j?47d89>5c4d2=?>7p}=0d394?5|5;:m>7<7d:?14c5=0m301{t:9o96=4<{<03b6<50m16>=h;:9f:?87a:k0?9:5rs32f7?6=;r79f3`900065`22;2o70i6;0;`>;58o=14i74=0d1e?2202wx>=k8:180847n9094i5221d;>=b>34;m>54;549~w76b03:1?v3=0g:96=b<5;:m576k9:?2b7g=<<<0q~6}::9l26?6k;<03bd>46s|21ga>5<4s48;jo4=8e9>65`d21n270?i288712=z{;:no7>53z?14ce=:1n01?>id;:g=>;6n;318884}r03aa<72:q6>=hk:3:g?847nl03h4521g0:>1323ty9;59<:18894=0ae1?22=2wx><>l:180846:;03h452206e>13034;o:44;559~w777:3:1?v3=13190q~<>0283>6}::88?65j6;<020d<3=<16=i;8:577?xu599>1<7=t=3311?>c1279=9o5444894b213>>86s|2026>5<4s48:>;47d89>642f2=?370?k5c8711=z{;;;:7>53z?1571=0m301??;b;661>;6l<<7:9f:?8461333ty9==650;1x9775132o563=15`900><58n==7::4:p646>2908w0<>2`8;`<=::8>h69;:;<3g26<3==1v???a;297~;59;h14i74=337g?22>27:h;;54468yv468k0;6>u2200`>=b>348:8n4;599>5a002=??7p}=10594?5|5;;9h76k9:?151c=<<=01{t:8:o6=4<{<026`<:k:576?87c>k0?995rs333a?6=;r79=?h58e;89773l3>>:63>d7f9002645721n270<>4e871==:9m;59=o188;4=0f45?22<2wx>:180846;;03h452206f>13134;o;>4;559~w776:3:1?v3=12190q~<>1283>6}::89?65j6;<020c<3=<16=i98:577?xu598>1<7=t=3301?>c1279=9h5444894b013>>86s|2036>5<4s48:?;47d89>642a2=?370?k7c8711=z{;;::7>53z?1561=0m301??:0;661>;6l>n188:4}r025d<72:q6><=7:9f:?8461333ty9=<650;1x9774132o563=1429000<58n3=7::4:p647>2908w0<>3`8;`<=::8?;69;7;<3g<6<3==1v??>b;297~;59:h14i74=337g?22?27:h5954468yv469j0;6>u2201`>=b>348:8o4;569>5a>>2=??7p}=10f94?5|5;;8h76k9:?151g=<<=01{t:8;n6=4<{<027`<:6:574?87c0m0?995rs332b?6=;r79=>h58e;8977313>>963>d9d9002=4?:2y>642721n270<>488713=:9m3:69;;;|q1517=838p1??;1;50b>;59=81>;=4}r0207<72;q6><:=:61e?846<:09:>5rs3377?6=:r79=9=572d89773<38=?6s|2067>5<5s48:89483g9>64222;<87p}=15794?4|5;;?9794783>7}::8>=6:=i;<0202<5>:1v??;7;296~;59==1;>h4=337<:6:181846<00<413ty9=9o50;0x9773i3=8j63=1509=70642e2>9m70<>428:63=z{;;?o7>52z?151e=?:l01??;4;;12>{t:8>o6=4={<020a<0;o16><:::805?xu59=o1<725a348:8:46279~w77283:1>v3=142936`<5;;?477=6:p64362909>v3>d419000<58n>=7::6:?2`1`=<<<01;6l=h18884=0f7=?22>27:h995444894b483>>:63>d0:9000<58n:m7::6:?2`43=<<<01b;662>;6l8n18884=0f2b?22>27:h??5444894b5;3>>:63>d379000<58n9;7::6:?2`7?=<<<01;6l;o18884=337?5444894b4;3>>:63>d279000<58n8;7::6:?2`6?=<<<01;6l:n18884=0f0b?22>27:h9?5444894b3<3>>:63>d549000<5;:?<7::6:p6435290?w0<>538;`<=:9l8=69;9;<3f=c<3=<16>c127:i>>5444894cfn3>>863=1gf9000643321n270?j3`8713=:9lh:69;;;<02ba<3=>1v??:5;290~;5927:io=54468977al3>>46s|2075>5<3s48:9;47d89>67672=?>70<=418713=:9lh>69;;;|q1501=83>p1??:7;:g=>;5:=318884=3034?22>27:io954468yv46=10;69u2207;>=b>34899>4;579>67672=?<70?jb88711=z{;;>57>54z?150?=0m301?<:a;662>;5:9:18864=0gaf?22<2wx><;n:18:846=;099k522070>73a348:994=5g9>64322;?m70<>57811c=::8?<6?;i;<021=<5=o16><;6:37e?846=k0>:63>fgd9003<5;:;h7::6:p6407290?w0<>618;`<=:9l8369;9;<3ebc<3=?16>=?::575?xu59?;1<7:t=3355?>c127:i><5444894`an3>>;63=00f9000640521n270?j3b8713=:9olm69;7;<0360<3=?1v??93;292~;59?914i74=307>463>fga900><5;:;47::8:p6403290=w0<>5g811c=::8<;6?;i;<0224<5=o16><8=:37e?846>:099k522046>25a3ty9=;850;6x9771>32o563>e0g9001<58o==7::5:?142`=<6683>1}::8<<65j6;<3f6=<3=>16=h9k:577?847?o0?9;5rs335>;63>e6d9002<5;:290?w0<>688;`<=:9l9h69;8;<3f<4<3==16>=9i:57;?xu59?k1<7:t=335e?>c1279<5<544789743=3>>;63>e919002640e21n27069;;;<0115<3=?1v??9c;290~;59?i14i74=32;6?22?27:i59544689742?3>>:6s|204g>5<3s48::i47d89>65>52=?370?j888711=::;?m69;9;|q153c=833p1??96;06b>;59?=1>8h4=335j63=17`960`<5;;=o7<:f:?153b=:{t:8=6<:576?845;10?95521d:a>1333ty9=:>50;6x9770832o563=0919000<5;88;7::8:?2a=b=<<>0q~<>7083>1}::8=:65j6;<03<6<3=>16>?=n:57;?87b?80?995rs3346?6=>463=22a900><58o728;`<=::92?69;:;<0104<3=116=h9::577?xu59>>1<7:t=3340?>c1279>9k54458976?<3>>:63>e659002641221n270<=548712=::92?69;8;<3f3<<3==1v??86;290~;59><14i74=306`?22?279<5:544:894c0j3>>86s|2054>5<>s48::k4=5g9>64172;?m70<>70811c=::8=96?;i;<0236<5=o16><9;:37e?846?<099k522055>73a348:;5483g9~w77013:1:v3=16;9;581l18864=32:1?2202wx><9n:186846?h03h45221;;>132348;544;569>67512=?=7054z?152d=0m301?>6f;663>;58k2188;4=300=?22>2wx><9l:185846?j03h45221;;>130348;544;579>675e2=?=70;59>k1>8h4=334f?42n279=:m524d89770m3=8j6s|205e>5<3s48:;k47d89>5`412=?<70<=008710=:9lho69;;;|q15=6=83>p1??70;:g=>;6m::18894=3035?22>27:ioh54468yv46080;69u220:2>=b>34;n?l4;569>67662=?<70?jac8711=z{;;3>7>54z?15=4=0m301;5:9;18864=0gb`?22<2wx><6<:186846?o099k5220:3>73a348:4<4=5g9>64>52;?m70<>85847c=z{;;3;7>54z?15=1=0m301;6mjn188;4=3024?22=2wx><67:1878460103h4521d0e>13134;nhi4;559>67772=?=7p}=19;94?2|5;;3576k9:?2a6?=<<<01;5:8:18894}r02<6n:9f:?87b<:0?9;521dg2>1333489==4;599~w77?j3:18v3=19`9{t:82h6=4;{<02?:6:574?8459:0?9;521dg6>1333ty9=5j50;6x977?l32o563=2419001<5;8:?7::7:?2a`1=<<>0q~<>8d83>1}::82n65j6;<011d<3=>16>??<:57;?87bm00?995rs33;b?6=1r79=59524d8977?038>j63=19;960`<5;;3m7<:f:?15=d=:;591n1>8h4=33;a?42n279=4>572d8yv46180;69u220;2>=b>34;n>84;569>67732=?>70?jec8711=z{;;2>7>54z?15<4=0m301;5:8>18884=0gf`?22<2wx><7<:1878461:03h4521d1:>1303489=94;569>5`b>2=??7p}=18694?2|5;;2876k9:?2a15=<<=01?<>4;66<>;6mmh188:4}r02=0<72<7>:37e?8461;099k5220;0>73a348:594=5g9>64?12>9m7p}=18594?2|5;;2;76k9:?2a72=<<<01;5:;9188;4}r02==<72=q6><77:9f:?87b:l0?9;521g2a>1333489>>4;579~w77>13:18v3=18;901?<=3;663>{t:83j6=4;{<02=d13?3ty9=4l50;6x977>j32o563=2349003<5;8?=7::6:?2b47=<<>0q~<>9b83>1}::83h65j6;<010`<3=?16>?<9:575?87a9:0?995rs33:`?6=>:63=2349001<58l:97::4:p64?b290?w0<>9d8;`<=::;?o69;9;<0163<3=116=k?8:577?xu590l1<77t=33:3?42n279=46524d8977>138>j63=18c960`<5;;2n7<:f:?15;590o1>8h4=33b4?14n2wx>:187846i803h4521d07>1303489>:4;549>5c7>2=??7p}=1`094?2|5;;j>76k9:?2a7c=<<=01?<=7;662>;6n8h188:4}r02e6<72=q6>13034;m<:4;559~w77f<3:18v3=1`697::7:?1671=<<201{t:8k>6=4:{<02e4<5=o16>73a348:m;483g9~w77f?3:18v3=1`597::6:?14fe=<<=01?>k9;662>{t:8k36=4:{<02e=132348;hn4;579~w77f13:19v3=1`;9ld;662>;58mi18894}r02ed<72132348;h94;569>65eb2=?=7p}=1``94?3|5;;jn76k9:?14a5=<<<01?>k8;661>;58m?188;4=3073?2202wx>099k5220c;>73a348:m44=5g9>64gf2;?m70<>ac811c=::8kh6:=i;|q15dc=839p1??ne;:g=>;6m;818894=0de6?22>2wx>13034;mj>4;599~w77e83:1?v3=1c29b083>6}::8h:65j6;<3f05<3=>16=kh=:574?xu59k81<7=t=33a6?>c127:jk=544789743=3>>46s|20`0>5<4s48:n>47d89>5c`62=?=70<=508712=z{;;i87>52z?15g2=0m301?<:8;663>{t:8h>6=4={<02f0?8?:574?xu59k<1<77t=33ba?42n279=lh524d8977e838>j63=1c3960`<5;;i>7<:f:?15g5=:;59k?1>8h4=33a3?14n2wx>131348;==4;569>65642=?37p}=1c;94?2|5;;i576k9:?2a7?=<<<01?>>8;663>;589;188;4}r02fd<72=q6>130348;<<4;579~w77ej3:18v3=1c`9?1;663>{t:8hh6=49{<02ff?:?:57;?8478:0?98521gda>13034;mjn4;579>656e2=?=7p}=1cf94?0|5;;i47<:f:?15g?=:;59kh1>8h4=33ag?42n279=ok572d8yv46jo0;69u220`e>=b>34;n=k4;569>65672=?>7054z?15f6=0m301;589:18884=3227?22?2wx>:187846k803h4521d10>130348;<=4;569>657e2=?<7p}=1b094?2|5;;h>76k9:?2a6b=<<=01?>?0;66<>;58;918894}r02g6<721q6>13?348;=o4;599>65742=?370?ife8710=::;>?69;8;<3eb`<>:?1v??l4;292~;59kl1>8h4=33`4?42n279=n?524d8977d:38>j63=1b1960`<5;;h879c78;`<=:9j=;6?7m;<3`3f<3=<16=im7:577?xu59j=1<7:t=33`3?>c127:o:=528`894be>3>>963>c6d900364e?21n270?l7081=g=:9mh?69;:;<3`3a<3=<1v??l9;290~;59j314i74=0a46?4>j27:hnm5447894e0m3>>96s|20ab>5<3s48:ol47d89>5f132;3i70?kb98710=:9j2;69;:;|q15fd=83>p1??lb;:g=>;6k>?1>4l4=0fae?22=27:o5?54478yv46kj0;69u220a`>=b>34;h;;4=9c9>5add2=?>70?l838710=z{;;hh7>54z?15fb=0m301;6lko188;4=0a;7?22=2wx>7?e34;oo=4;549>5f>32=?>7p}=1bd94?2|5;;hj76k9:?2g2?=:0h01;6k1?188;4}r02`5<72=q6>13234;h4;4;549~w77c93:18v3=1e39{t:8n96=4j{<016f<5=o16>03ho5220ab>=be348:oo47dc9>64ed21ni70<>ce8;`g=::8in65jm;<02gc=be348:o447dc9>64b42>9m7p}=1e694?2|5;;o876k9:?2g=>=:0h01;6lli188:4}r02`0<72=q6>13234;h5:4;549~w77c>3:18v3=1e49=<{t:8n<6=4;{<02`21323ty9=i650;6x977c032o563>c9a96=<d883>1}::8n265j6;<3`dd29003<58i2m7::5:p64be290?w0<>dc8;`<=:9j2m6?7m;<3ga7<3=<16=n7m:576?xu59mi1<7:t=33gg?>c127:o4>528`894bb<3>>963>c8a900364bc21n270?l9081=g=:9mo=69;:;<3`=a<3=<1v??ke;290~;59mo14i74=0a:6?4>j27:hh65447894e>m3>>96s|20fe>5<3s48:hk47d89>5f?42;3i70?ke`8710=:9j3m69;:;|q15`6=83op1?<=f;06b>;59m>14il4=33g1?>cj279=i658e`8977c132on63=1ec9;59mo14il4=33gb?>cj279=i858e`8977c?32on63=1d3936`64c521n270?la181=g=:9jkh69;:;<3f55<3==1v??j3;290~;59l914i74=0ab7?4>j27:hkk5447894efn3>>96s|20g7>5<3s48:i947d89>5fg62;3i70?kfb8710=:9jko69;:;|q15`3=83>p1??j5;:g=>;6kh81>4l4=0g20?22=27:olk54478yv46m?0;69u220g5>=b>34;hm94=9c9>5`672=?>70?lb18710=z{;;n;7>54z?15`1=0m301;6m98188;4=0aa5?22=2wx>7?e34;n<94;549>5fd52=?>7p}=1d;94?2|5;;n576k9:?2gd1=:0h01;6kk9188;4}r02ad<72=q6>13234;hn94;549~w77bj3:18v3=1d`9{t:8oh6=4;{<02af1323ty9=hj50;6x977bl32o563>c``96ed83>`}::;996?;i;<02a7=be348:i547dc9>64c>21ni70<>e`8;`g=::8oi65jm;<02af=be348:ik483g9~w77a83:1>v3>eb2936`<58i;6k>o18884}r02b6<72;q6=hm<:61e?87d?o0?9;5rs33e0?6=:r7:in:572d894e?83>>:6s|20d6>5<5s4;no8483g9>5f>62=?=7p}=1g494?4|58oh:79f683>7}:9li<6:=i;<3`<6<3=?1v??i8;296~;6mj21;>h4=0a;0?22>2wx>1313ty9=ko50;0x94cdi3=8j63>c9490005`ee2>9m70?l868713=z{;;mo7>5dz?1654=?:l01;6k>o18894=0a4`?22?27:o595445894e?>3>>;63>c979001<58i387::7:?2g=5=<<=01;6k1;18894=0a;4?22?27:o:h54458yv46nm0;6?u22321>132348:jh483g9~w74783:1>v3=212936`<5;8;>7::6:p67662909w0<=00847c=::;:969;8;|q1655=838p1;6k0>18884}r0141<72;q6=hki:61e?87d1<0?9;5rs3031?6=:r7:ik>572d894e>>3>>:6s|2325>5<5s4;nj<483g9>5f?02=?=7p}=21594?4|58om>79=<<<0q~<=0983>7}:9ll86:=i;<3`=<<3=?1v?1;>h4=0a:e?22>2wx>?>n:18187bn<01313ty9>=l50;0x94ca>3=8j63>c8a90005``02>9m70?l9e8713=z{;8;h7>52z?2ac>=?:l01{t:;:n6=4={<3fb<<0;o16=n7i:575?xu5:9l1<7jt=3021?14n27:o4:5445894e>>3>>;63>c879001<58i2j7::7:?2g;6k0i18894=0a:f?22?27:o4o5445894e>13>>;63>c8:9001<58i2;7::7:p67772909w0<=148710=::;;:6:=i;|q1645=838p1?<>3;50b>;5:8?18884}r0151<72;q6>??;:61e?8459<0?9:5rs3022?6=:r7:j>:6s|2334>5<5s4;m=i483g9>5fgc2=?=7p}=20:94?4|58l:i797}:9o;m6:=i;<3`ec<3=?1v?<>a;296~;6n;:1;>h4=0aa4?22>2wx>??m:18187a:801313ty9>cc090005c442>9m70?lb28713=z{;8:i7>52z?2b72=?:l01{t:;;m6=4={<3e60<0;o16=nl::575?xu5:;:1<725a34;hn:4;579~w745:3:1hv3=23:936`<58ijo7::7:?2gdc=<<=01;6kk=18894=0aa2?22?27:oo;5445894ee<3>>;63>cc19001<58ii>7::7:?2gg7=<<=01;6khl18894}r0166<72;q6>?<7:576?845:=0?8572d8974503>>:6s|2304>5<5s489>:483g9>674?2=?<7p}=23;94?5|5;89o76k9:?2bc4=<{t:;8o6=4={<016f<50m16>?c127:jk=54448974493>>86s|2313>5<5s489>k4=8e9>67562>9m7p}=23`94?5|5;88>76k9:?2bc0=<<=01?<<4;660>{t:;986=4={<0177<50m16>?=;:61e?xu5::?1<7=t=3001?14n278mh7544:896gbi3>>;6s|2315>5<4s489?;483g9>614>2=?370<;2`8712=z{;88;7>52z?1661=?:l01?:=9;662>{t:;936=4={<017=<0;o16?lk6:575?xu5::31<7=t=300=?14n2798?<544:89725;3>>;6s|231b>5<5s489?l483g9>61452=?=7p}=22`94?5|5;88n79{t:;9h6=4={<017f<0;o16>9<9:575?xu5::l1<7>h572d8yv45<:0;6?u22361>13?34898>483g9~w743>3:1>v3=2509000<5;8?:792909w0<=48847c=::;>o69;8;|q161e=83>p1?<;d;66<>;5:h4=306g?220279>8:544:8yv4525a349hmh4;549~w743m3:1>v3=25g936`<5;8?h7::6:p672a2909w0=lad8712=::;?96:=i;|q1606=838p1?<:0;50b>;5:<818884}r0114<72;q6>?;>:61e?845=;0?985rs3067?6=:r79>8=572d89742<3>>;6s|2377>5<5s48999483g9>7fd02=?>7p}=24794?4|5;8>9797}:;jh<69;8;<011<<0;o1v?<:7;296~;5:<=1;>h4=306=?22>2wx>?;7:181845=101323ty9>8o50;0x9742i3=8j63=24a9001673d2>9m70=lc18710=z{;8>h7>52z?160b=?:l01?<:c;662>{t:;?n6=4={<1`g5<3=>16>?8>:61e?xu5:;?54448yv45>90;6?u22343>25a3489:<4;549~w741;3:1?v3=271936`<5;8=97<93:?162>=:?90q~<=6583>6}::;:?16>?98:5`4?xu5:??1<7=t=3051?14n279>;9527189740138=?6s|2345>5<4s489:;483g9>6702208=70<=7987f2=z{;8=;7>53z?1631=?:l01?<99;057>;5:>k1>;=4}r012=<72:q6>?87:61e?845>>02>;52235:>1d03ty9>;750;0x974113=8j63=26`9635670f2>9m70<=688:63=::;=j69l8;|q163d=839p1?<9b;50b>;5:?i1>;=4=304a?41;2wx>?8l:180845>j07043489;k4=629~w741l3:1?v3=27f936`<5;8=o77=6:?162c=6}::;:16>?6?:340?xu5:?l1<7=t=305b?14n279>:?52718974?938=?6s|2353>5<4s489;=483g9>670a208=70<=8187f2=z{;8<=7>53z?1627=?:l01?<93;057>;5:>=1>;=4}r0137<72:q6>?9=:61e?845?802>;5223:2>1d03ty9>:=50;1x9741j339:63=26f90g1<5;8<879i1;>h4=304f?2e?2wx>?6=:1808450;07043489m84=629~w74?;3:1?v3=291936`<5;83>77=6:?166}::;2?6:=i;<01<0<5>:16>?o?:340?xu5:1?1<7=t=30;1?14n279>5852718974f938=?6s|23:5>5<4s4894;483g9>67>?2;<870<=a38126=z{;83;7>53z?16=1=?:l01?<76;;12>;5:h;18o94}r01<=<72;q6>?67:61e?845i:09:>5rs30;=?6=;r79>57572d8974?0339:63=2`090g167>f2>9m70<=8b8126=::;k=6?8<;|q16=d=839p1?<7b;50b>;5:1k15?84=30b1?2e?2wx>?6l:1808450j07043489m:4=629~w74?l3:1?v3=29f936`<5;83o77=6:?16d0=6}::;2n6:=i;<01=5<5>:16>?o7:340?xu5:1l1<7=t=30;b?14n279>5k59348974f?3>i;6s|23;3>5<4s4895=483g9>67?52;<870<=a88126=z{;82=7>53z?16<7=?:l01?<60;;12>;5:h218o94}r01=7<72:q6>?7=:61e?8451:09:>5223cb>7043ty9>4=50;1x974>;3=8j63=2869635<5;8jn7<93:p67?32908w0<=95847c=::;3>6?8<;<01ef<5>:1v?<65;297~;5:0?1;>h4=30:2?41;279>lj52718yv451?0;6>u223;5>25a3489494=629>67?a2;<87p}=28594?5|5;82;79{t:;336=4<{<01==<0;o16>?6::805?845i90?n:5rs30:=?6=;r79>47572d8974>:339:63=2`;90g167?f2>9m70<=928:63=::;kj69l8;|q16;5:0>15?84=30bf?2e?2wx>?7l:1808451j0<413489mn4;b69~w74>l3:1?v3=28f936`<5;82:77=6:?16db=7}::;k?6:=i;<01e6<3j>1v?h4=30bb?41;279>n652718yv45io0;6>u223ce>25a3489n<4=629>67e>2;<87p}=2c294?5|5;8i<79{t:;h:6=4<{<01f4<0;o16>?l<:340?845kh09:>5rs30a6?6=;r79>o<572d8974e9339:63=2b;90g14?:2y>67d42>9m70<=b48126=::;ii6?8<;|q16g2=839p1?;5:k915?84=30`e?2e?2wx>?l::180845j<07043489on4=629~w74e>3:1?v3=2c4936`<5;8i977=6:?16fd=6}::;h<6:=i;<01f<<5>:16>?mk:340?xu5:k21<7=t=30ao959348974dk3>i;6s|23`:>5<4s489n4483g9>67de2;<870<=cd8126=z{;8im7>53z?16gg=?:l01?;5:jn18o94}r01fg<72:q6>?lm:61e?845jm09:>5223ae>7043ty9>om50;1x974ek3=8j63=2c`9=70<5;8hi7:m7:p67dc2908w0<=be847c=::;hm6?8<;<01`5<5>:1v?h4=30a`??5>279>nh54c58yv45jo0;6?u223`e>25a3489o;4=629~w74d83:1?v3=2b2936`<5;8ij77=6:?16a6=6}::;kn64<9;<01g0<3j>16>?m=:61e?xu5:j91<7:t=3104?>cj279??m58e`89754:32on63=2b6936`67e02>9m70<=c787f2=z{;8o=7>53z?16a7=?:l01?;5:li1>;=4}r01`7<72;q6>?j=:61e?845mh09:>5rs30g7?6=;r79>i=572d8974c:339:63=2d;90g167b32>9m70<=d78126=::;oo6?8<;|q16a3=839p1?;5:m>15?84=30fg?2e?2wx>?j9:180845l?07043489ih4=629~w74c?3:1?v3=2e5936`<5;8o:77=6:?16`b=6}::;n36:=i;<01`d<5>:16>?ki:340?xu5:m31<7=t=30g=?14n279>i659348974bm3>i;6s|23fb>5<4s489hl483g9>67bd2;<870<=f18126=z{;8on7>53z?16ad=?:l01?;5:ll18o94}r01`f<72:q6>?jl:61e?845ll09:>5223d2>7043ty9>ij50;1x974cl3=8j63=2ea9=70<5;8m<7:m7:p67bb2908w0<=dd847c=::;o;6?8<;<01b7<5>:1v?h4=30ga??5>279>k?54c58yv45m90;6>u223g3>25a3489i?4=629>67`42;<87p}=2d394?5|5;8n=79{t:;o96=4<{<01a7<0;o16>?k;:340?845n=09:>5rs30f7?6=;r79>h=572d8974b:339:63=2g190g167c32>9m70<=d38126=::;o26?8<;|q16`3=839p1?;5:l>15?84=30e0?2e?2wx>?k9:180845l802>;5223g;>1d03489i:483g9~w74bj3:1>v3=2d`936`<5;8nm7:m7:p67`?2908w0<=f9847c=::;l26?8<;<004`<5>:1v?h4=30ef?41;279?=h52718yv45nh0;6>u223db>25a3489j446279>666b2=h<7p}=2g`94?5|5;8mn790;057>{t:;lh6=4<{<01bf<0;o16>?hm:805?8448o0?n:5rs30e`?6=;r79>kj572d8974an38=?63=303963567`b2>9m70<=fe8:63=:::;;69l8;|q16c`=839p1?;5;9;1>;=4=3126?41;2wx>>>?:180844890<413488=<4;b69~w75793:1?v3=313936`<5;9;?7<93:?1745=:?90q~<<0383>6}::::96:=i;<0044<>:?16>>?=:5`4?xu5;991<7=t=3137?14n279?=;527189756<38=?6s|2227>5<4s488<9483g9>6664208=70<<1287f2=z{;9;97>53z?1753=?:l01?=?7;057>;5;8?1>;=4}r0043<72:q6>>>9:61e?8448<02>;522237>1d03ty9?=950;0x9757?3=8j63=3049635666?2>9m70<<068:63=:::;>69l8;|q175?=839p1?;5;9n18o94=313e?14n2wx>>>m:18e844j=03ho5222``>=be3488no47dc9>66d?21ni70<9>?:9fa?8438803ho5222d;>=be3488j447dc9>66`f21ni70<7;296~;5;8=1;>h4=3122?2e?2wx>>?m:1824~;5;8h14i74=3625?42n2798<<524d89726;38>j63=3c6960`<5;9i97<:f:?17g0=:;5;k=1>8h4=31aj63=3ca960`<5;9ih7<:f:?1051=<<<01?:>4;662>{t::;h6=4<{<005g<50m16>>ml:57;?844ko0>963=3409003<5;8h>7::4:p6646290?w0<<2c8;`<=:::;n69;8;<0017<3=>16>?l?:576?xu5;;:1<7;t=311g?>c1279?>;63=3`;900><5;8h;7::5:p6645290?w0<<2e8;`<=:::;n69;9;<0017<3=?16>?l=:576?xu5;;91<7;t=311a?>c1279?i>54458975283>>463=2c69003<5;9hi7::8:p6643290>w0<<2g8;`<=:::n;69;9;<0015<3=?16>?l9:576?844kl0?9:5rs3111?6==r79?>>58e;8975c83>>463=3439001<5;8i47::5:?17fc=<1}:::9:65j6;<005a<3=?16>>;>:576?845jh0?985rs3113?6==r79?><58e;8975c83>>963=3439000<5;8io7::5:?17fc=<<<0q~<<2983>0}:::9865j6;<005a<3=>16>>;?:576?844i00?9:5223`f>1323ty9??750;7x9754<32o563=30g900><5;9>>7::8:?17d?=<<<01?{t::9>6=4;{<0004?7l:576?8451<095o5223g0>1323ty9?>850;6x9753:32o563=28f9003<5;82:7<6b:?16`3=<1}:::>865j6;<01=2<3=<16>?6;:3;a?845l:0?985rs31003>>963=297962908w0<<448;`<=::;2869;:;<01a2<3==1v?=i;54478yv44;k0;6>u22264>=b>34894i4;549>67b02=?>7p}=32a94?5|5;9?476k9:?16=`=<{t::9o6=4<{<000<?7>:576?845lk0?985rs310a?6=13>>963=28096?ji:576?xu5;=:1<7:t=317g?>c1279>4l54478974><382n63=2d39003;5;:;14il4=3107?>cj279?>:58e`89753=32on63=3549=0mh01?=;9;:gf>;5;=k14il4=317f?>cj279?9m58e`89753932on63=3509{t::>m6=4={<001f<3=<16>>;<:61e?xu5;<:1<7:t=3164?14n279?8=544489753m3>>:63=2b6900066352>9m70<<528712=:::>n69;8;<01g1<3=>1v?=:4;29`~;5;=?1>8h4=3172?42n279?99524d89753038>j63=35;960`<5;9?m7<:f:?171d=:;5;=;1>8h4=3176?42n279?9=524d89753<38>j63=347936`663>21n270<=f78713=::;l<69;:;<0010<3=?16>>;k:575?845n<0?995rs3163?6==r79?8o58e;8974a>3>>963=2g59001<5;9>97::8:?170e=<<=0q~<<5983>1}:::?i65j6;<01b2<3=?16>>;::576?844=m0?985rs316g?6=o799?n:576?85fm>0?9852253e>132348?=h4;549>617c2=?>70=la48710=z{;9=<7>52z?0e`1=<<<01?=81;146>{t::<:6=4={<075d<3=?16>>9=:251?xu5;?81<7279?:=53608yv44>:0;6?u2253`>1313488;94<739~w751<3:1>v3=3679724<5:ij97::6:p66022909w0<;1e8713=:::==6>9=;|q1730=838p1?:>e;662>;5;>=1?:<4}r0022<72;q6>9?i:575?844?108;?5rs3156s|224:>5<5s48?=l4;569>661f2:=o7p}=37c94?4|5;>:n7::7:?172g=;120q~<<6c83>7}::=;h69;8;<003d<40m1v?=9c;296~;5;>h1?:<4=2ab1?22?2wx>>8k:1818439m0?9:52225a>61c3ty9?;k50;0x9726m3>>;63=36`97=>617a2=?<70<<7c8059z?172e=0m301?=74;661>;5;19188;4=31;6?22=279?5?54478975?83>>963=36d9003<5;97}:::=o69;9;<0034<4j?1v?=76;296~;5;>o18884=3146?5e>2wx>>68:181844?o0?9;522250>6d13ty9?5650;0x975?83>>:63=36697g066>62=?=70<<7480f3=z{;93m7>52z?17=4=<<<01?=86;1a2>{t::2i6=4={<00<6<3=?16>>98:2`5?xu5;1i1<7279?:653c48yv440m0;64u222:f>25a3488;<4;049>66152=:>70<<728740=:::=?69>:;<0030<38<16>>99:526?844?>0?<852225;>1623ty9?5h50;0x9750l3>>;63=36c97g0661b2=?<70<<7`80g4=z{;92=7>52z?172`=<<=01?=8a;1`g>{t::396=4={<00<5<3=>16>>9n:2f2?xu5;091<71303488;o4=3:1>v3=3919001<5;9j>;|q17<1=83hp1?=68;50b>;5;>;15:h4=3146??0n279?:=596d89750<33l01?=88;;4b>;5;>k15:h4=314f??0n2wx>>76:1818441003h4523b63>1313ty9?4o50;0x975>1383h63=38f936`66?d2>9m70<<9e8710=z{;92i7>518y>664f208=70<<2c8:63=:::8o64<9;<006`<>:?16>>;522210><413488?946279>66?b21n270<:?16>>l9:805?844j002>;5222`4><413488nl46279>66dc208=70<<548712=::=;?69;:;<00fc<3=?16>>>l:576?844=j0?9;52226f>13?3489o94;599>66g72=??7p}=38d94?3|5;9:n7<:f:?170?=:;5;

    8h4=31b4?14n2wx>>o>:181844i803h45222;f>7>c3ty9?l<50;0x975f:32o563=3`690024?:8y>66b321ni70<>m<:9fa?844k>03ho5222a;>=be3488o447dc9>66g32>9m7p}=3`794?4|5;9j>76kb:?17d>=?:l0q~<7}:::k=6:=i;<00e2<3=11v?=n7;296~;5;h218884=31b3?14n2wx>>o6:181844i001323ty9?lo50;6x975e<32o563=41;9000<5;>;87::8:?175g=<<>0q~<1}:::h>65j6;<074a<3=116>9>;:574?845nh0?985rs31bg?6=>963=4169003<5;8mo7::5:p66gc290>w0<>o9:575?845nl0?985rs31ba?6==r79?o658e;89727l3>>;63=4119001<5;9j:7::7:?1756=<0}:::h265j6;<074g<3=>16>9><:57;?844i?0?95522221>1323ty9?o>50;7x975ei32o563=41`9000<5;>;?7::5:?17d0=<{t::h:6=4:{<00fg9>m:57;?8438:0?9;522225>1323488m:4;579~w75e:3:19v3=3ca9;n7::5:?175>=<;5<9?18864}r00f6<72>lk:9f:?843800?9:522234>1323488m:4;549>61622=?=7p}=3cg94?1|5;9i976kb:?17g0=0mh01?=m9;:gf>;5;k=14il4=31ae?>cj279?oj58e`8975en3=8j6s|22a3>5<5s488o?47d89>53662=??7p}=3b394?4|5;9h?76k9:?2255=<<>0q~<7}:::i<65j6;<3542<3==1v?=l5;296~;5;j214i74=043=?22<2wx>>m9:181844k003h452172a>1333ty9?no50;1x975di32o563>6259002<5;9ho7::5:p66ee2908w0<:?1v?=lc;296~;5;jk1>5j4=31`g?14n2wx>>mk:181844kl01313ty9?i?50;0x975c<32o563>62`900266b221n270?93e8711=z{;9o?7>52z?17a0=0m301<8{t::n<6=4m{<01=`<0;o16>>9>:0`1?844?;0:n?522250>4d53488;94>b39>661228h970<<7782f7=:::=<6>9n:0`1?844?k0:n?5rs31g4h572d8975093;i?63=36095g5<5;9;5;><1=o=4=3143?7e;279?:651c189750i3;i?63=36`95g567g72>9m70<<7082f0=:::=96>9;:0`6?844?<0:n8522255>4d23488;:4>b49>661?28h>70<<7`82f0=:::=i6;5;>;1=o84=3146?7e>279?:=51c489750<3;i:63=36795g0<5;9<:7?m6:?1721=9k<01?=88;3a2>{t::ni6=46{<01e7<0;o16>>9>:0`;?844?;0:n5522250>4d?3488;94>b99>661228h370<<7782f==:::=<6i1>5j4=30b7?14n279?5k544689750i3>;963=36`905367g22>9m70<<7082f<=:::=96>9;:0`:?844?<0:n4522255>4d>3488;:4>b89>661?28h270<<7`82f<=:::=i6;5;>;1=oo4=3146?7ei279?:=51cc89750<3;im63=36795gg<5;9<:7?ma:?1721=9kk01?=88;3ae>;5;>k1=oo4=314f?7ei2wx>>ji:18a845i>04de3488;?4>bc9>661428hi70<<7582fg=:::=>6>98:0`a?844?10:no52225b>4de3488;o4>bc9~w75b83:1nv3=2`:936`<5;9<=7?mc:?1724=9ki01?=83;3ag>;5;>>1=om4=3141?7ek279?:851ca89750?3;io63=36:95ge<5;9g}::;k26:=i;<0034<6jm16>>9=:0`g?844?:0:ni522257>4dc3488;84>be9>661128ho70<<7682fa=:::=36>9m:0`g?xu5;l81<7lt=30be?14n279?:?51cg89750:3;ii63=36195gc<5;9<87?me:?1723=9ko01?=86;3aa>;5;>=1=ok4=3145661628hm70<<7382fc=:::=86>9::0`e?844??0:nk522254>4da3488;54>bg9>661f28hm70<<7c82fc=z{;9n87>5bz?16de=?:l01?=81;3`5>;5;>81=n?4=3147?7d9279?::51b389750=3;h=63=36495f7<5;9<;7?l1:?172>=9j;01?=8a;3`5>;5;>h1=n?4}r00a0<72kq6>?ok:61e?844?80:o?522251>4e53488;>4>c39>661328i970<<7482g7=:::==6>97:0a1?844?h0:o?52225a>4e53ty9?h850;0x975d:383h63=36f936`66e42;2o70<<7d847c=z{;9n47>52z?17f1=:1n01?=8f;50b>{t::o26=4={<00g=<50m16>>6?:61e?xu5;lk1<77>c34884?483g9~w75bk3:1>v3=3e796=b<5;93?79;5:0=18884=3050?22=2wx>>ki:180844n003h45223;;>1313489:;4;549~w75a83:1?v3=3gc9=<6}:::li65j6;<01<<<3=<16>?8n:576?xu5;o81<7=t=31eg?>c1279>l:544789740k3>>96s|22d0>5<4s488ji47d89>67?>2=?=70<=758711=z{;9m87>53z?17cc=0m301?<6a;662>;5:?n188;4}r00b0<72:q6>>hi:9f:?8451k0?9;522355>1333ty9?k850;1x9727832o563=28a9000<5;8<<7::5:p66`02908w0<;008;`<=::;3o69;9;<0137<3=<1v?:?2;290~;5<8>18864=31ab?22=279?=m544489727=3=8j6s|2520>5<5s48?<>483g9>61622=?<7p}=41694?4|5;>;879g}:::lo6?;i;<00b`<5=o16>>hi:37e?84389099k522522>73a3488j54=5g9>66`>2;?m70<9>8:61e?xu5<921<7:t=363c127::>;544689727l3>>963=41a9000616d2=?>70<;08847c=z{;>;o7>52z?105>=:1n01?:?c;50b>{t:=:n6=49{<0754>?6:575?8449h0?98522524>132348?=84;569>667?2=??7p}=41d94?3|5;>:>76k9:?174?=<a;663>;5<9=18864=3621?22>2wx>9??:1878439:03h452223b>131348?<:4;569>61722=?>7p}=40694?2|5;>:=76kb:?1044=0mh01?:>3;:gf>;5<8>1;>h4}r0753<72?q6>o?<:576?85d;k0?95522e2e>130348hi44;599>6g4d2>9m70:;7>53z?bef<3=>16n;9572d89g0?2=??7p}=40:94??|5;>:57901?=82;630>;5;>918=:4=3140?27<279?:;541689750>3>;863=3659052<5;9<47:?4:p617f2909w0<;1`847c=:;j=<69;8;|q104d=838p1>m61;663>;5<8h1;>h4}r075f<72;q6?n7j:574?8439j05<5s49hnl4;569>617b2>9m7p}=40d94?4|5:ih?7::7:?104`=?:l0q~<;2183>7}:;j=<69;:;<076g<0;o1v?:=1;296~;4k0;188;4=3610?14n2wx>9<=:181843:;01313ty98?=50;0x9725;3=8j63=436900384?:3y>7f?b2=?>70<;29847c=z{;>9:7>52z?1070=?:l01?:=8;662>{t:=8<6=4={<0762<0;o16>9<7:576?xu5<;31<725a348?>o4;549~w725k3:1>v3=43a936`<5:i?j7::6:p614c2909w0<;4c847c=:;j?869;9;|q107c=838p1?:98;50b>;4k><188;4}r076c<72;q6>9:k:61e?85d?h0?9;5rs3604?6=:r7989k572d896e0k3>>:6s|2512>5<5s48?8k483g9>7f1b2=?=7p}=42094?4|5;>><797}::=?:6:=i;<1`<7<3=?1v?:<4;296~;5<<81;>h4=2a;0?22>2wx>9=::181843=:01313ty98>850;0x9722<3=8j6361322>9m70=l8`8713=z{;>847>52z?101e=?:l01>m:7;662>{t:=926=4={<0713<0;o16?n6l:575?xu5<:k1<725a349h5:4;579~w724k3:1>v3=44c936`<5:i257::6:p615c2909w0<;5c847c=:;jk;69;:;|q106c=838p1?::c;50b>;4khk188;4}r077c<72;q6>9;k:61e?85dj:0?985rs3674?6=:r7988k572d896eek3>>96s|2562>5<5s48?9k483g9>7fe22=?>7p}=45094?4|5;>=<797}::=?<6:=i;<1`1g<3=?1v?:;4;296~;5h4=2a``?22>2wx>9:::181843>;01313ty989850;0x9721;3=8j6361032>9m70=l698713=z{;>?47>52z?1033=?:l01>m9d;662>{t:=>26=4={<0723<0;o16?n9?:575?xu5<=k1<700;6?u2254:>25a348?>n4;579~w721i3:1>v3=49:936`<5;>?n7::6:p610e2909w0<;c3847c=::=<369;9;|q103e=838p1?:7b;50b>;5<=n18884}r072a<72;q6>96k:61e?843>:6s|254e>5<5s48?5<483g9>61372=?=7p}=46294?4|5;>2?797}::=3>6:=i;<0717<3=?1v?:82;296~;5<0=1;>h4=3667?22>2wx>99<:1818431001313ty98::50;0x972>j3=8j63=447900061>f2>9m70<;4b8713=z{;><:7>52z?10{t:==<6=4={<07e4<0;o16>9;7:575?xu5<>21<725a348?9l4;579~w720i3:1>v3=4`5936`<5;>>n7::6:p611e2909w0<;a8847c=::=?h69;9;|q102e=838p1?:nb;50b>;5<9ok:61e?843=l0?9;5rs364a?6=:r798lh572d89722n3>>:6s|255e>5<5s48?n<483g9>61072=?=7p}=49294?4|5;>j<797}::=h86:=i;<0724<3=?1v?:72;296~;5h4=3656?22>2wx>96<:181843j101313ty985:50;0x972ei3=8j63=476900061dd2>9m70<;648713=z{;>3:7>52z?10gc=?:l01?:96;662>{t:=2<6=4={<07g5<0;o16>988:575?xu5<131<725a348?4o4;549~w72?m3:1>v3=49g936`<5;>3h7::5:p61?72909w0<;91847c=::=2m69;:;|q10<4=838p1?:62;50b>;5<0;188;4}r07=1<72;q6>97;:61e?8431:0?985rs36:2?6=:r79848572d8972>=3>>96s|25;;>5<5s48?55483g9>61?02=?>7p}=48c94?4|5;>2m797}::=3h6:=i;<07=g<3=<1v?:6e;296~;5<0o1;>h4=36:`?22=2wx>97i:1818431o01323ty98l<50;0x972f:3=8j63=4`3900361g32>9m70<;a28710=z{;>j:7>52z?10d0=?:l01?:n5;661>{t:=k36=4={<07e=<0;o16>9o8:576?xu525a348?mo4;549~w72fm3:1>v3=4`g936`<5;>jh7::5:p61d72909w0<;b1847c=::=km69;:;|q10g4=838p1?:m2;50b>;59l;:61e?843j:0?985rs36a1?6=:r798o;572d8972f83>>96s|25`4>5<5s48?n:483g9>61d12=?>7p}=4c;94?4|5;>i579=<7}::=hi6:=i;<07fd<3=<1v?:md;296~;5h4=36ag?22=2wx>9li:181843jo01323ty98n?50;0x972d93=8j63=4b290034?:3y>61e42>9m70<;c38710=z{;>h87>52z?10f2=?:l01?:99;661>{t:=i>6=4={<072<<3=?16>9m::61e?xu52798n8572d8yv43k>0;6?u225a4>25a348?o?4;579~w72d03:1>v3=49`9000<5;>h4792909w0<;8e8713=::=i26:=i;|q10fg=838p1?:7f;662>;5h4}r07gg<72;q6>97>:575?843kk05<5s48?584;579>61ec2>9m7p}=4bg94?4|5;>2;7::6:?10fc=?:l0q~<;cg83>7}::=3269;9;<07gc<0;o1v?:k0;296~;5<0h18884=36g4?14n2wx>9j>:1818430h0?9;5225f2>25a3ty98i<50;0x972>l3>>:63=4e0936`4?:3y>61b42>9m70<;a08713=z{;>o87>52z?10d5=<<<01?:k4;50b>{t:=n>6=4={<07e0<3=?16>9j::61e?xu50;6?u225f4>25a348?m44;579~w72c03:1>v3=4e:936`<5;>jn7::6:p61b>2909w0<;d8847c=::=ko69;9;|q10ag=838p1?:ka;50b>;59jm:61e?843j80?9;5rs36gg?6=:r798l>54448972ck3=8j6s|25fg>5<5s48?hi483g9>61d42=?=7p}=4eg94?4|5;>i:7::6:?10ac=?:l0q~<;dg83>7}::=h369;9;<07`c<0;o1v?:j0;296~;59k>:181843jj0?9;5225g2>25a3ty98h<50;0x972em3>>:63=4d0936`4?:3y>61e72=?=70<;e2847c=z{;>n87>52z?10`2=?:l01?:=c;661>{t:=o>6=4={<0646<0;o16>9:m:576?xu50;6?u22426>25a348?8i4;549~w72b03:1>v3=514936`<5;>?i7::5:p61c>2909w0<:06847c=::=>m69;:;|q10`g=838p1?;?8;50b>;5<<:188;4}r07ag<72;q6>8>6:61e?843=80?985rs36fg?6=:r799=o572d89722:3>>96s|25gg>5<5s48>61342=?>7p}=4dg94?4|5;?;o797}::<:o6:=i;<0710<3=<1v?:i0;296~;5=9>1;>h4=367g?22=2wx>9h>:1818428l01323ty98k<50;0x973683=8j63=44:90034?:3y>60762>9m70<;588710=z{;>m87>52z?1144=?:l01?::a;661>{t:=l>6=4={<0656<0;o16>9;m:576?xu50;6?u22436>25a348?9i4;549~w72a03:1>v3=504936`<5;>>i7::5:p61`>2909w0<:16847c=::=?m69;:;|q10cg=838p1?;>8;50b>;58>i:61e?843=>0?985rs36eg?6=:r799<7572d8972193>>96s|25dg>5<5s48>=l483g9>61052=?>7p}=4gg94?4|5;?:n797}::<;h6:=i;<0721<3=<1v?;?0;296~;5=8n1;>h4=3651?22=2wx>8>>:1818429l01323ty99=<50;0x9736n3=8j63=4759003><4?:3y>60462>9m70<;e58713=z{;?9>7>52z?1174=?:l01?;>b;662>{t:<886=4={<0666<0;o16>8?l:575?xu5=;>1<725a348>=h4;579~w735>3:1>v3=534936`<5;?:j7::6:p60402909w0<:26847c=::<8;69;9;|q117>=838p1?;=8;50b>;5=9?18884}r066<<72;q6>8<6:61e?8428?0?9;5rs371e?6=:r799?o572d89737?3>>:6s|240a>5<5s48>>o483g9>606?2=?=7p}=53a94?4|5;?9o797}::<8o6:=i;<064<<3=?1v?;=e;296~;5=;o1;>h4=373e?22>2wx>81313ty99>>50;0x973483=8j63=51a9000?<4?:3y>60562>9m70<:0e8713=z{;?8>7>52z?1164=?:l01?;?e;662>{t:<986=4={<0676<0;o16>8??:575?xu5=:>1<725a348>=?4;579~w734>3:1>v3=524936`<5;?:?7::6:p60502909w0<:36847c=::<:?69;9;|q116>=838p1?;<8;50b>;5=8>18884}r067<<72;q6>8=6:61e?8429<0?9;5rs370e?6=:r799>o572d89736>3>>:6s|241a>5<5s48>?o483g9>60702=?=7p}=52a94?4|5;?8o79=<<<0q~<:3e83>7}::<9o6:=i;<065<<3=?1v?;h4=373b?22>2wx>8=i:181842;o01313ty999>50;0x972b<3>>963=552936`8<4?:3y>60642=?>70<:40847c=z{;??>7>52z?1114=?:l01?;=0;661>{t:<>86=4={<0640<3=<16>8:<:61e?xu5==>1<7132348>88483g9~w733>3:1>v3=51:9003<5;??:79<6:=i;|q111>=838p1?;?a;661>;5==21;>h4}r060<<72;q6>8>m:576?842<005<5s48>602e2>9m7p}=55a94?4|5;?;87::5:?111e=?:l0q~<:4e83>7}::<:n69;:;<060a<0;o1v?;;e;296~;5==o1;>h4=3724?22=2wx>8:i:181842980?9852246e>25a3ty998>50;0x9736:3>>963=542936`9<4?:3y>60742=?>70<:50847c=z{;?>>7>52z?1142=<{t:8;<:61e?xu5=<>1<7132348>98483g9~w732>3:1>v3=50:9003<5;?>:79=838p1?;>9;661>;5=<21;>h4}r061<<72;q6>8?n:576?842=005<5s48>=n4;549>603e2>9m7p}=54a94?4|5;?:h7::5:?110e=?:l0q~<:5e83>7}::<;n69;:;<061a<0;o1v?;:e;296~;5=8l188;4=376a?14n2wx>8;i:18587?m>0?9;5219da>13134;2<>4;569>7fb02>9m70?6018710=:91l?69;:;|q1136=832p1?>nb;661>;59>o18884=33`0?22?279=lm544489771m3>>963=14a9000<5:ioo790q~<:6083>3}:m0;188;4=d5g>13134l>>7::6:?e53<3=<16?n=m:575?85dm903>>46s|2440>5<2s4;39;4;549>5=462=?370=le5847c=:;j9o69;:;<3;15<3=<1v?;94;292~;6i0n18884=0c;=?22>278oh;572d894gf<3>>;63>a`39003<58k2:7::5:p6002290=w0l>f;662>;e;80?98523bg5>25a34h=:7::6:?a2`<3=<16n;954458yv42>?0;6?u23`d1>13134;2<:483g9~w731?3:1>v3=5509000<583;479;5=<;18884}r062d<72;q6=4>m:61e?842=;0?9;5rs375f?6=:r7:5=m572d89732;3>>:6s|244`>5<5s4;260332=?=7p}=57f94?4|583;i796}:9j8j6>:8;<1ba<<3=>16?lkn:57;?xu5=?l1<7=t=0a1e?5302798?7544589725i3>>46s|2453>5<4s4;h>l4<489>61452=?<70<;22871==z{;?<=7>53z?2g7g=;=k01?:=6;663>;5<;=18864}r0637<72;q6?no8:574?87d:h088o5rs3747?6=:r7:o?o535a896efn3>>:6s|2457>5<5s4;h>l4<4e9>7fd?2=?=7p}=56794?4|58i9m7=;f:?0gf7=<<<0q~<:7783>7}::;>96:=i;<1`e2<3=11v?;87;296~;4io818894=3fa0?14n2wx>897:181842<;0?9:522e`6>25a3ty99:750;0x9733m3>>;63=dc4936`;l4?:3y>7d`62=?=70l81;50b>{t:<=i6=4={<0664<3=?16n:<572d8yv42?j0;6?u2240`>13134ho1;>h4}r063`<72;q6>8=j:575?8d?13=8j6s|245e>5<5s48>?k4;579>f=d=?:l0q~<:8183>7}::<8969;9;<`;g?14n2wx>86>:181842::0?9;52b9f936`4?4?:3y>60432=?=70l7e;50b>{t:<286=4={<0660<3=?16n5h572d8yv420=0;6?u22405>13134h2<7922909w0<:268713=:j>>1;>h4}r06<3<72;q6>8<7:575?8d0=3=8j6s|24:4>5<5s48>>44;579>f20=?:l0q~<:8983>7}::<8j69;9;<`43?14n2wx>866:181842:k0?9;52b6:936`4l4?:3y>604c2=?=70l89;50b>{t:<2i6=4={<066`<3=?16n:o572d8yv420j0;6?u2240e>13134hc2909w0<:318713=:j>i1;>h4}r06<`<72;q6>8=>:575?8d0l3=8j6s|24:e>5<5s48>??4;579>f2`=?:l0q~<:9183>7}::<9869;9;<`;4?14n2wx>87>:181842;=0?9;52b93936`5?4?:3y>60522=?=70l72;50b>{t:<386=4={<0673<3=?16n5=572d8yv421=0;6?u2241;>13134h3879h4}r06=3<72;q6>8=n:575?8d?>3=8j6s|24;4>5<5s48>?o4;579>f=1=?:l0q~<:9983>7}::<9h69;9;<`;876:181842;m0?9;52b9c936`5l4?:3y>7d`62=?<7052z?1177=<<=01?o:a;50b>{t:<3h6=4={<066f<3=>16>l;l:61e?xu5=0n1<7572d8yv421l0;6?u2241f>130348j:9483g9~w73>n3:1>v3=52d9001<5;k=479;5i?l1;>h4}r06e7<72;q6>8<;:574?84f?;05<5s48>>;4;569>6d1?2>9m7p}=5`794?4|5;?9;7::7:?1e2`=?:l0q~<:a783>7}::<8369;8;<0b<5<0;o1v?;n7;296~;5=;318894=3c;7?14n2wx>8o7:181842:h0?9:522`:5>25a3ty99l750;0x9735j3>>;63=a9;936`ml4?:3y>604c2=?<7052z?117c=<<=01?o7d;50b>{t:16>l6j:61e?xu5=hn1<7130348j5=483g9~w73fn3:1>v3=5209001<5;k2=79;5i0=1;>h4}r06f7<72;q6>8=::574?84f11085445897g>l3=8j6s|24`7>5<5s48>?54;569>6dg72>9m7p}=5c794?4|5;?857::7:?1ed5=?:l0q~<:b783>7}::<9j69;8;<0be3<0;o1v?;m7;296~;5=:h18894=3cb=?14n2wx>8l7:181842;j0?9:522`ca>25a3ty99o750;0x9734l3>>;63=ac2936`nl4?:3y>7dca2=?=70?na9847c=z{;?in7>52z?10f1=<<<01{t:27:mll572d8yv42jl0;6?u225f4>13134;jmn483g9~w73en3:1>v3=4e:9000<58kjh79;6ihl1;>h4}r06g7<72;q6>9jm:575?87fj905<5s49jik4;569>e1c=?:l0q~<:c483>7}:i<;1;>h4=36`1?22>2wx>8m9:1818g2<3=8j63=4b49000o:4?:3y>e01=?:l01?:k1;662>{t:oi7::6:p60ef2909w0o90;50b>;59m8:574?8g1;3=8j6s|24a`>5<5s48?h>4;569>e30=?:l0q~<:ce83>7}::=n=69;8;8mj:181843l>0?9:52a7a936`ok4?:3y>61b?2=?<70o9f;50b>{t:16m:<572d8yv42l80;6?u225fb>13034k<97921;>h4}r06`6<72;q6>9jk:574?8g0j3=8j6s|24f6>5q6=n=?:371?85c?<099?523e2;>735349o<44=539>7a732;?970=k1g8117=:;m896?;=;<1g66<5=;16?i<;:371?85c:<099?523e05>735349o>:4=539>7a6f2;?970=k0c8117=:;m:h6?;=;<1g4a<5=;16?i>j:371?85c8o099?523e33>735349o=<4=539>7a752;?970=k128117=:;m;>6?;=;<1g53<5=;16?i?8:371?85c91099?523e3:>735349o=l4=539>7a7e2;?970=k1b8117=:;m;o6?;=;<1g5`<5=;16?i735349hok4=539>765b2;?970=<298117=:;m2:6?;=;<00=<<5=;16?nk8:371?857;1099?523160>735349;854=539>752>2;?970=?4`8117=:;9>i6?;=;<130f<5=;16?==6:371?857;h099?52311a>735349;?n4=539>755c2;?970=?3d8117=:;99m6?;=;<1305<5=;16?=:>:371?857<;099?523167>735349;884=539>75212;?970=?468117=:;99<6?;=;<1115<5=;16??;>:371?855=j099?523344>7353499:l4=539>770e2;?970==6b8117=:;;7353499994=539>77322;?970==578117=:;;?<6?;=;<111=<5=;16??;6:371?855=h099?52337a>73534999i4=539>773b2;?970==5g8117=:;;<;6?;=;<1124<5=;16??8=:371?855>:099?523347>7353499:84=539>77012;?970==698117=:;;<26?;=;<1334<5=;16?=9=:371?857?>099?52315;>735349;;44=539>751f2;?970=?7c8117=:;9=h6?;=;<133a<5=;16?=9j:371?857?:099?523157>735349;;84=539>75112;?970=?9b8117=:;9?i6?;=;<11=4<5=;16?=:k:371?857735349;994=539>75322;?970=?578117=:;9?<6?;=;<131=<5=;16?=;6:371?857=h099?52316e>735349;9=4=539>75362;?970=?538117=:;hh=6?;=;<131f<5=;16?=;k:371?857=l099?52317e>735349;:=4=539>75062;?970=?638117=:;9=m6?;=;<13<5<5=;16?=6>:371?8570;099?5231:0>7353499<=4=539>77662;?970==0b8117=:;;;<6?;=;<115d<5=;16???m:371?8559j099?52333g>7353499=h4=539>777a2;?970==038117=:;;:86?;=;<1141<5=;16??>::371?8558?099?523324>7353499<54=539>776>2;?970==0`8117=:;;:i6?;=;<114a<5=;16??>j:371?8558o099?523333>7353499=<4=539>77752;?970==128117=:;;;?6?;=;<1150<5=;16???9:371?85591099?52333:>7353498844=539>762f2;?970=<4c8117=:;:>h6?;=;<100a<5=;16?>:j:371?8547353498854=539>75>f2;?970=?8c8117=:;93?6?;=;<13=0<5=;16?=79:371?8571>099?5231;;>735349;544=539>75?f2;?970=?9c8117=:;92h6?;=;<13735349;5<4=539>75?52;?970=?928117=:;92?6?;=;<13<0<5=;16?=69:371?85519099?5230de>735349?hn4=539>71b>2;?970=<418117=:;:>:6?;=;<1007<5=;16?>:<:371?854<=099?523266>73534988;4=539>76202;?970=<3g8117=:;9<86?;=;<1321<5=;16?=86:371?857>h099?52314a>735349;:n4=539>750c2;?970=?6d8117=:;9?099?523144>735349;:54=539>76362;?970=<538117=:;:?i6?;=;<101f<5=;16?>;k:371?854=l099?52327e>7353498:=4=539>76062;?970=<638117=:;:?86?;=;<1011<5=;16?>;::371?854=?099?523274>7353498954=539>763>2;?970=<5`8117=:;:826?;=;<106d<5=;16?>7353498>h4=539>764a2;?970=<048117=:;;=;6?;=;<1134<5=;16??9l:371?8550>099?5233:b>73534994o4=539>77>d2;?970==8e8117=:;;2n6?;=;<117353499;84=539>77112;?970==768117=:;;=36?;=;<113<<5=;16??9n:371?855?k099?52335g>7353499;h4=539>771a2;?970==818117=:;;2:6?;=;<11<7<5=;16??6<:371?8550=099?5233:6>73534994;4=539>77>?2;?970==888117=:;:<86?;=;<105d<5=;16?>?m:371?854:9099?523202>7353498>?4=539>76442;?970=<258117=:;:8>6?;=;<1063<5=;16?><8:371?8549j099?52323g>7353498=h4=539>767a2;?970=<078117=:;:;;6?;=;<1050<5=;16?>?9:371?8549>099?52323;>7353498=44=539>76602;?970=<098117=:;::26?;=;<104d<5=;16?>>m:371?8548j099?52322g>7353498766a2;?970=<108117=:;:;96?;=;<1056<5=;16?>?;:371?853lo099?523213>7353498?<4=539>76512;?970=<368117=:;:936?;=;<107<<5=;16?>=n:371?854;k099?52321`>7353498?i4=539>76552;?970=<328117=:;:9?6?;=;<1070<5=;16?i:6:371?85c735349o:=4=539>7a042;?970=k658117=:;m<>6?;=;<1g23<5=;16?i88:371?85c>1099?523e6a>735349o8n4=539>7a2c2;?970=k4d8117=:;m>m6?;=;<1g15<5=;16?i;>:371?85c=;099?523e70>735349o994=539>7a312;?970=k568117=:;m?36?;=;<1g1<<5=;16?i;n:371?85c=k099?523e7`>735349o9i4=539>7a3b2;?970=k5g8117=:;m<:6?;=;<1g27<5=;16?o>k:371?85e9;099?523c30>735349i=94=539>7g722;?970=m178117=:;k;<6?;=;<1a5=<5=;16?o>9:371?85e8>099?523c2;>735349i<44=539>7g6f2;?970=m0c8117=:;k:h6?;=;<1a4`<5=;16?o>i:371?85e99099?523c32>735349h>k4=539>7fb32;?970<<5g8117=:;=n36?;=;<346d<5=;16=l68:371?87fi;099?521`;a>73534;j5n4=539>5d?62;?970?na68117=:9h3m6?;=;<3b=2<5=;16=l77:371?87f0l099?521`:e>73534;j5=4=539>5=c22;?970?6008117=:91l26?;=;<3;bd<5=;16=5ki:371?87>8?099?5219df>73534;3j84=539>5=`12;?970?7eb8117=:91oo6?;=;<3;a`<5=;16?9>6:346?853<<09:85235;5>70234;<<54=539>526>2;?970?8158117=:9>886?;=;<345c<5=;16=:<=:371?870:=099?521606>73534;<>;4=539>52402;?970?80`8117=:9>:i6?;=;<344f<5=;16=:>k:371?8708l099?52162e>73534;<==4=539>52762;?970?8148117=:9>;96?;=;<3456<5=;16=:?9:371?8709>099?52163;>73534;<=44=539>527f2;?970?81c8117=:9>;h6?;=;<345a<5=;16=:?j:371?870:9099?521602>735349?:>4=539>71052;?970=;608117=:;=<;6?;=;<171c<5=;16?9;j:371?853=m099?52357`>735349?9o4=539>713f2;?970=;588117=:;=?36?;=;<1712<5=;16?9;9:371?853=<099?5235c6>735349?m94=539>71g42;?970=;a38117=:;=k:6?;=;<17e5<5=;16?97i:371?8531l099?5235;g>735349?5n4=539>71?e2;?970=;9`8117=:;=326?;=;<17==<5=;16?978:371?8570>099?52330g>7353499>l4=539>77402;?970==258117=:;;8:6?;=;<110f<5=;16??:6:371?85573534998=4=539>775c2;?970==3`8117=:;;8;6?;=;<3272<5=;16=<=<:371?876;?099?52e6d9604<58;847<:2:?f3d<5=;16i4;524089`>12;?970k7b;066>;bi8099?52e8d9604<5lk;6?;=;4=539>a=4=:<801h6?:371?8c?938>>63j828117=:m1>1>8<4=d:6>73534o3h7<:2:?f02;?970k7e;066>;b00099?52e869604<5l2j6?;=;a<>=:<801h7n:371?8c>138>>63j9e8117=:m0h1>8<4=d;`>73534;;n:4=539>am6;066>;68kk1>8<4=02a>63>0c`9604<58:h=7<:2:?24f5=:<801<>l2;066>;68kn1>8<4=02`0?42:27:>63>0cd9604<58:ho7<:2:?24f0=:<801<>ld;066>;68j=1>8<4=02`a?42:27:>63>0bd9604<58:o<7<:2:?24fg=:<801<>k1;066>;68m81>8<4=02g7?42:27:>63>0d49604<58:on7<:2:?24c5=:<801<>ie;066>;69931>8<4=dg7>73534ooj7<:2:?2540=:<801hk::371?8cb838>>63>1339604<5lo=6?;=;;bm;099?52ed;9604<5ll>6?;=;ac1=:<801hh6:371?8cai38>>63>0c79604<5o:?6?;=;<33`1<5=;16==m?:371?877kk099?5211f5>73534;;h84=539>55bd2;?970??de8117=:99n<6?;=;<33`c<5=;16==jj:371?877l1099?5211fb>73534;;h44=539>55c72;?970??e98117=:99o:6?;=;<33a2<5=;16==k6:371?877m;099?5211g0>73534;;i84=539>55c32;?970??eb8117=:99on6?;=;<33aa<5=;16==h;:371?877mo099?5211d6>73534;;j=4=539>55`12;?970??f08117=:99l<6?;=;<33b7<5=;16==h7:371?877n0099?5211de>73534;;jl4=539>54672;?970??fc8117=:98::6?;=;<33bf<5=;16=<>=:371?877nm099?521020>73534;:<94=539>546f2;?970?>0c8117=:98:>6?;=;<3242<5=;16=<>9:371?8768j099?52102f>73534;:546?2;?970?>138117=:98;:6?;=;<3252<5=;16=73534;:=84=539>54732;?970?>1`8117=:98896?;=;<325g<5=;16=73534;:>>4=539>54422;?970?>258117=:98;m6?;=;<3262<5=;16=<73534;:>i4=539>544?2;?970?>2`8117=:98826?;=;<326c<5=;16=<=>:371?876;9099?52100a>73534l>47<:2:?e1<<5=;16j8o524089c3e2;?970h:c;066>;a=m099?521011>73534l;m7<:2:?e4g<5=;16j=m524089c6c2;?970h?e;066>;a8o099?52fg79604<5ol=6?;=;bc?=:<801khn:371?8`?838>>63i808117=:n181>8<4=g:0>73534l387<:2:?e<0<5=;16jlj524089cgb2;?970hnf;066>;aj9099?52fc39604<5oh96?;=;bc`=:<801<>?0;066>;689;1>8<4=0236?42:27:<=;524089467>38>>63>0159604<58:;47<:2:?245?=:<801<>?a;066>;688n1>8<4=022a?42:27:<>63>0339604<58:9>7<:2:?245b=:<801<>?e;066>;689l1>8<4=0224?42:27:<>63>0079604<58:::7<:2:?2441=:<801<>>8;066>;68831>8<4=022e?42:27m?=4=539>b67=:<801k==:371?8`4;38>>63i358117=:n:?1>8<4=g3g>73534l:i7<:2:?e5c<5=;16j?>524089c462;?970h=2;066>;a:<099?52f349604<5o8<6?;=;44=539>b7g=:<801k:7:371?8`3138>>63i4`8117=:n=h1>8<4=g6`>73534l?h7<:2:?e7=<5=;16j>7524089c5f2;?970h;a;j099?52f2f9604<5o>;6?;=;b15=:<801k:;:371?8`3=38>>63i698117=:n?31>8<4=g4b>73534l=n7<:2:?e2f<5=;16j;j524089c072;?970h91;066>;a>;099?52f719604<5ob=?=:<801k6n:371?8`?j38>>63i8b8117=:n1n1>8<4=g53>73534l<=7<:2:?e37<5=;16j:=524089c132;?970h85;066>;a?1099?52f6;9604<5o=j6?;=;b2b=:<801ko<:371?8`f<38>>63ia48117=:nh<1>8<4=gc4>73534lj47<:2:?e=5<5=;16j4?524089c?52;?970h63;066>;a1=099?52f879604<5o336?;=;bl38>>63ic48117=:nj<1>8<4=ga4>73534lh47<:2:?eg<<5=;16jno524089cd22;?970hm6;066>;aj>099?52fc:9604<5oh26?;=;bgc=:<801kli:371?8`d838>>63ic08117=:nj81>8<4=gfg>73534loi7<:2:?e`c<5=;16jh>524089cc62;?970hj2;066>;akm099?52fbg9604<5oim6?;=;ba4=:<801kj::371?8`c>38>>63id68117=:nm21>8<4=gf:>73534lom7<:2:?2473=:<801<>=6;066>;68;=1>8<4=021>63>03`9604<5oo>6?;=;b`>=:<801kk6:371?8`bi38>>63iee8117=:nlo1>8<4=gge>73534lm<7<:2:?eb4<5=;16jk<524089`6e2;?970?7238117=:91>m6?;=;<3;5a<5=;16=5=k:371?87?;>099?52191b>73534;38>4=539>5=272;?970?8e08117=:9>o?6?;=;<3;03<5=;16=:kn:371?870m>099?52196:>73534;5=2d2;?970?8ee8117=:9>no6?;=;<34a5<5=;16=5<<:371?870n1099?5216d6>73534;3>;4=539>5=4d2;?970?7288117=:9>li6?;=;<3;44<5=;16=:hj:371?87?:o099?521911>73534;3<94=539>5=6f2;?970?7068117=:91??6?;=;<3;12<5=;16=5l;:371?87?j<099?5219``>73534;3ni4=539>5=db2;?970?7bg8117=:91i;6?;=;<3;g4<5=;16=5m=:371?87?k:099?5219`5>73534;3n:4=539>5=d?2;?970?7b88117=:91hj6?;=;<3;fg<5=;16=5j;:371?87?l<099?5219f`>73534;3hi4=539>5=bb2;?970?7dg8117=:91o;6?;=;<3;a4<5=;16=5k=:371?87?m:099?5219f5>73534;3h:4=539>5=b?2;?970?7d88117=:91nj6?;=;<3;`g<5=;16=5;n:371?87?=k099?52194f>73534;3:k4=539>5=1f2;?970?7798117=:91=26?;=;<3;3g<5=;16=59l:371?87??o099?52195g>73534;3;h4=539>5=172;?970?7708117=:91=?6?;=;<3;37<5=;16=59<:371?87??<099?521955>73534;35?4=539>5=?42;?970?79b8117=:913m6?;=;<3;=a<5=;16=57j:371?87?i9099?5219c2>73534;3594=539>5=g52;?970?7a28117=:913>6?;=;<3;=3<5=;16=576:371?87?1>099?5219;;>73534;35l4=539>5=102;?970?79c8117=:imn1>8<4=`ff>73534kn97<:2:?ba3<5=;16mh9524089dc?2;?970oj9;066>;fmh099?52ad`9604<5hoh6?;=;e`7=:<801lk=:371?8gb;38>>63ne58117=:j;31>8<4=c0b>73534h9n7<:2:?a6f<5=;16n?j524089g4b2;?970l=f;066>;e;9099?52b7;9604<5h296?;=;f00=:<801o;8:371?8d2m38>>63m5g8117=:j?:1>8<4=c42>73534h=>7<:2:?a26<5=;16n;:524089g022;?970l:8;066>;e=0099?52b4c9604<5k?i6?;=;<`6g?42:27i9i4=539>e>63na58117=:ih?1>8<4=`c5>73534kj;7<:2:?be=<5=;16ml7524089dgf2;?970o6d;066>;f1l099?52a8d9604<5hk;6?;=;egb=:<801llj:371?8gd=38>>63nc78117=:ij=1>8<4=`a;>73534kh57<:2:?bgd<5=;16mnl524089ded2;?970omf;066>;fk9099?52ab39604<5hi96?;=;641a2;?970<>818117=::82:6?;=;<02<7<5=;16><8i:371?846?9099?522052>735348:;?4=539>64142;?970<>758117=::8=>6?;=;<0233<5=;16><68:371?84601099?5220::>735348:4l4=539>64>e2;?970<>8b8117=::82o6?;=;<02<`<5=;16><96:371?846?h099?52205a>735348:;n4=539>64?62;?970<>938117=::8386?;=;<02=1<5=;16><78:371?84611099?5220;:>735348:5l4=539>64?e2;?970<>9b8117=::83o6?;=;<02=`<5=;16>:371?846i;099?5220c0>735348:m94=539>64g02;?970<>a98117=::8k26?;=;<02ed<5=;16>735348:mk4=539>64d72;?970<>b08117=::8h96?;=;<02f6<5=;16>735348:n44=539>64df2;?970<>bc8117=::8hh6?;=;<02fc<5=;16>735348:o>4=539>65?c2;?970?735348;8?4=539>643a2;?970<>618117=::8<:6?;=;<0227<5=;16><8<:371?846=;099?522070>735348:994=539>64322;?970<>578117=::8?<6?;=;<021=<5=;16><;6:371?846>?099?522044>735348::54=539>640>2;?970<>6`8117=::8<8k:371?847<1099?5221ge>735348;j=4=539>65`?2;?970=hl:371?847nm099?5221df>735348;jk4=539>65`62;?970=h::371?847n?099?5221d4>735348;?;4=539>65502;?970==m:371?847;j099?52211g>73534;m8>4=539>5c232;?970?i448117=:9o>=6?;=;<3e02<5=;16=k:7:371?87a<0099?521g6b>735348;4;4=539>5cb62;?970?id38117=:9on86?;=;<3e`1<5=;16=kj::371?87al?099?521gf4>73534;mh54=539>5cb>2;?970=l::371?847j?099?522002>735348:?54=539>64452;?970<>2e8117=::89i6?;=;<027f<5=;16><=k:371?846;l099?52201e>735348:8=4=539>64422;?970<>228117=::88?6?;=;<0263<5=;16><<8:371?846:1099?52200:>735348:>l4=539>644e2;?970<>2g8117=::88h6?;=;<026`<5=;16><=?:371?846;8099?522011>735348:?>4=539>64532;?970<>348117=::8926?;=;<0273<5=;16><=8:371?846;h099?52210a>735348;>n4=539>654c2;?970?ie78117=:9oo<6?;=;<3ea=<5=;16=kk6:371?87amh099?521gga>73534;min4=539>5ccc2;?970?ied8117=:9oom6?;=;<03g0<5=;16>=m9:371?847k>099?5221a;>735348;o44=539>64e12;?970<>c68117=::8ij6?;=;<02gg<5=;16>735348:ok4=539>64b72;?970<>d08117=::8i36?;=;<02g<<5=;16>735348:h44=539>64bf2;?970<>dc8117=::8nh6?;=;<02`a<5=;16>735348:h:4=539>653e2;?970=8::371?847>?099?522144>735348;:54=539>650>2;?970=;i:371?847>9099?522142>735348;:?4=539>64c52;?970<>e28117=::8o=6?;=;<02a2<5=;16>735348:io4=539>64cd2;?970<>ee8117=::8o?6?;=;<02a0<5=;16>ikn:371?84cmm099?522ega>735348oin4=539>6a`72;?970ih=:371?84cnm099?522d23>735348ojh4=539>6a`a2;?970h>;:371?84b8<099?522d25>735349i9h4=539>7g042;?970=m8`8117=:;k3;6?;=;<1a`5<5=;16?oj>:371?85elh099?523cfa>735349ihn4=539>7gbc2;?970=mdd8117=:;knm6?;=;<1aa5<5=;16?ok>:371?85el;099?523cf0>735349ih94=539>7gb22;?970=md78117=:;kn<6?;=;<1a`=<5=;16?oj6:371?85e<0099?523c65>735349ijo4=539>7g`d2;?970=l048117=:;j:=6?;=;<1`42<5=;16?n>7:371?85d80099?523b2b>735349h7f6d2;?970=mfe8117=:;kln6?;=;<1abc<5=;16?n>?:371?85d88099?523b21>735349h<>4=539>7f632;?970=m878117=:;k2<6?;=;<1a2=<5=;16?o8m:371?85e?9099?523c5;>735349i;84=539>7gc32;?970=m7d8117=:;j8i6?;=;<1a3g<5=;16?o=>:371?85e08099?523c71>735349i8l4=539>7g2c2;?970=m5c8117=:;k?>6?;=;<1a1=<5=;16=4:>:371?87>0k099?5218`1>73534;2n>4=539>5ko099?5218f3>73534;2h<4=539>5j0099?5218`b>73534;2no4=539>5:371?87>k;099?5218a0>73534;2o94=539>5kk099?52184:>73534;2:l4=539>5<122;?970?6818117=:90286?;=;<3:<1<5=;16=46::371?87>0?099?5218:4>73534;2454=539>5<0e2;?970?66b8117=:90?9099?521852>73534;2;?4=539>5<142;?970?6758117=:90==6?;=;<3:32<5=;16=497:371?87>?0099?52185b>73534;2;o4=539>5<1d2;?970?67e8117=:90=n6?;=;<3:3c<5=;16=46>:371?87>0;099?521864>73534;2?84=539>5<512;?970?6368117=:90936?;=;<3:7<<5=;16=4=n:371?87fm=099?521c0f>73534;i984=539>5g312;?970?m608117=:9k73534;i;>4=539>5g132;?970?m568117=:9k?36?;=;<3a1<<5=;16=o;n:371?87e=k099?521c7`>73534;i9i4=539>5g3b2;?970?m5g8117=:9k<;6?;=;<3a27<5=;16=o8<:371?87e>=099?521c46>73534;i:;4=539>5g002;?970?m698117=:9k<26?;=;<3a2d<5=;16=o8m:371?87e>m099?521c4f>73534;i5g6c2;?970?m198117=:9k886?;=;<3a63<5=;16=o<8:371?87e:1099?521c0:>73534;i>l4=539>5g4e2;?970?m0d8117=:9k:m6?;=;<3a55<5=;16=o?>:371?87e9;099?521c30>73534;i=94=539>5g722;?970?m178117=:9k;<6?;=;<3a5<<5=;16=o?n:371?87e9k099?521c3`>73534;i=i4=539>5g7b2;?970?m1g8117=:9k8;6?;=;<3a64<5=;16=o<=:371?87e:=099?521c06>73534;jil4=539>5db?2;?970?nd88117=:9hnj6?;=;<3b`g<5=;16=ljl:371?87flm099?52a5;9604<5h>36?;=;>63>a6:9604<58k:h7<:2:?2e4?=:<801;6i>o1>8<4=0c;5?42:27:m<:5240894g2>38>>63>a439604<58k>;7<:2:?2e04=:<801;6i8<4=0c67?42:27:m;<5240894g1;38>>63>a769604<58k=97<:2:?2e30=:<801;6i?21>8<4=0c5=?42:27:m;o5240894g1j38>>63>c0;9604<58i:m7<:2:?2g4d=:<801;6jki1>8<4=0a2a?42:27:o??5240894e5<38>>63>bc59604<58hm57<:2:?2fc2=:<801;6jo?1>8<4=0`e3?42:27:nk65240894da>38>>63>c179604<58i;:7<:2:?2g51=:<801;6k931>8<4=0a3e?42:27:o=l5240894e7k38>>63>c1f9604<58i;i7<:2:?1ea3=:<801?ol3;066>;5ij>1>8<4=3c`f?42:279mnm5240897gdl38>>63=abg9604<5;khj7<:2:?1ea6=:<801?ok1;066>;5im81>8<4=3c`1?42:279mn85240897gd?38>>63=ab:9604<5;kh57<:2:?1efg=:<801?om2;066>;5l9<1>8<4=3f33?42:279h=65240897b7138>>63=d1c9604<5;n;n7<:2:?1`5e=:<801?j?d;066>;5km21>8<4=3f24?42:279oh>5240897edk38>>63=cbf9604<5;ihi7<:2:?1gf`=:<801?mkd;066>;5ko>1>8<4=3ae1?42:279ok85240897ea?38>>63=cg:9604<5;im57<:2:?1gcg=:<801?mib;066>;5l<31>8<4=3f5g?42:279h;j5240897b0<38>>63=d679604<5;n<:7<:2:?1`21=:<801?j88;066>;5l>31>8<4=3f4e?42:279h:l5240897b1m38>>63=d7d9604<5;n<<7<:2:?1`27=:<801?j82;066>;5l>91>8<4=3a5g?42:279o:h5240897e?838>>63=c959604<5;i347<:2:?1g=?=:<801?m7a;066>;5k1h1>8<4=3a;g?42:279o5j5240897e?m38>>63=c939604<5;i3>7<:2:?1g=5=:<801?m74;066>;5k1?1>8<4=3a;2?42:279mkh5240897d6k38>>63=b0f9604<5;h:i7<:2:?1f4`=:<801?l=0;066>;5j;;1>8<4=3`16?42:279n?=5240897gb933n01?oj5;;4`>;5il215:j4=3cf2??0l279mh9596f897d5038>>63=b3;9604<5;h9m7<:2:?1f7d=:<801?l?e;066>;5j9l1>8<4=3`24?42:279n38>>63=be59604<5;ho47<:2:?1fa6=:<801?li9;066>;5k9o1>8<4=3a3`?42:279o=m5240897e7j38>>63=c1c9604<5;i;57<:2:?1g5>=:<801?m?5;066>;5jlo1>8<4=3`fb?42:279nk>5240897da938>>63=bg09604<5;hm?7<:2:?1fc2=:<801?li5;066>;5k;:1>8<4=3a2>63=c0`9604<5;i9:7<:2:?1g75=:<801?l85;066>;5j121>8<4=3`;=?42:279n4>5240897d>938>>63=b809604<5;h2?7<:2:?1f<2=:<801?l65;066>;5j0<1>8<4=3`:3?42:279n5o5240897d?j38>>63=b9a9604<5;h3h7<:2:?1f=c=:<801?l7f;066>;51kl1>8<4=3;e6?42:2795k=5240897?ej38>>63=9g39604<5;k9;7<:2:?1=c6=:<801?7i7;066>;51o21>8<4=3c37?42:279m=65240897g7138>>63=a1c9604<5;k;n7<:2:?1e5e=:<801?o?d;066>;5i9o1>8<4=3;e=?42:2795ko5240897?aj38>>63=9ga9604<5;3mh7<:2:?1=cc=:<801?7if;066>;5i9:1>8<4=3c35?42:279m=<5240897g7<38>>63=a179604<5;k;:7<:2:?1e51=:<801?7l0;066>;51j;1>8<4=3;`g?42:2795i?5240897?c:38>>63=9e19604<5;3o87<:2:?1=a3=:<801?7k6;066>;51m=1>8<4=3;`6?42:2795n=5240897?d<38>>63=9b79604<5;3h:7<:2:?1=f1=:<801?7l8;066>;51j31>8<4=3;`e?42:2795nl5240897?dl38>>63=9bg9604<5;3hj7<:2:?1=a6=:<801?7i4;066>;51o?1>8<4=3;e2?42:279m?65240897g5138>>63=a1d9604<5;k:<7<:2:?1e4d=:<801?o=0;066>;5i;;1>8<4=3c16?42:279m?=5240897g5<38>>63=a379604<5;k9:7<:2:?1e47=:<801?o>2;066>;5i891>8<4=3c20?42:279m<;5240897g6>38>>63=a059604<5;k:47<:2:?1e4?=:<801?o>a;066>;5i8i1>8<4=3c2`?42:279m>63=9e:9604<5;3o57<:2:?1=`2=:<801?7j9;066>;51lk1>8<4=3;ff?42:2795hm5240897?bl38>>63=9dg9604<5;3nj7<:2:?1=ag=:<801?7kb;066>;51mi1>8<4=3;g`?42:2795ik5240897?cn38>>63=9d29604<5;3n=7<:2:?1=`4=:<801?7j3;066>;51l?1>8<4=3;f2?42:2795h95240897?b038>>63=7d;9604<5;=nm7<:2:?13f0=:<801?6?f;066>;5?l21>8<4=3:24?42:27946038>>63=80;9604<5;2:m7<:2:?1<4d=:<801?6>c;066>;508n1>8<4=3:2a?42:27946:38>>63=8019604<5;2:87<:2:?1<43=:<801?6>6;066>;508=1>8<4=35fa?42:279;n?52408971bn38>>63=7g29604<5;=m;7<:2:?13c>=:<801?9i9;066>;5?ok1>8<4=35ef?42:279;km52408971al38>>63=7gg9604<5;=m=7<:2:?13c4=:<801?9i3;066>;5?o>1>8<4=35e1?42:279;k852408971d?38>>63=7b:9604<5;=hj7<:2:?13a6=:<801?9k1;066>;5?m81>8<4=35g7?42:279;i:52408971c=38>>63=7e49604<5;=h57<:2:?13fg=:<801?9lb;066>;5?ji1>8<4=35``?42:279;nk52408971b?38>>63=8239604<5;28>7<:2:?13`d=:<801?9jc;066>;5?ln1>8<4=3:14?42:2794??5240897>5038>>63=83;9604<5;29m7<:2:?1<7d=:<801?6=c;066>;50;n1>8<4=3:1a?42:2794?h5240897>5:38>>63=8319604<5;2987<:2:?1<73=:<801?6=6;066>;50;=1>8<4=35eb?42:2794=>5240897>7?38>>63=81:9604<5;2;57<:2:?1<5g=:<801?6?b;066>;509i1>8<4=3:3`?42:2794=k5240897>7938>>63=8109604<5;2;?7<:2:?1<52=:<801?6?5;066>;509<1>8<4=3:04?42:279;i952408971c038>>63=7ed9604<5;=n<7<:2:?13`7=:<801?9j2;066>;5?l91>8<4=35f0?42:279;h;52408971b>38>>63=7e;9604<5;=om7<:2:?13ad=:<801?9kc;066>;5?mn1>8<4=35ga?42:279;9h524089712838>>63=74`9604<5;==:7<:2:?133?=:<801?99a;066>;5??h1>8<4=355g?42:279;;j524089711m38>>63=7439604<5;=>>7<:2:?1305=:<801?9:4;066>;5?8<4=3562?42:279;89524089712038>>63=74;9604<5;=>m7<:2:?130e=:<801?9:d;066>;5?8<4=356b?42:279;;>524089711938>>63=7709604<5;==?7<:2:?1332=:<801?995;066>;5??=1>8<4=355n38>>63=7`79604<5;=j<7<:2:?13d4=:<801?9n3;066>;5?h;1>8<4=35a4?42:279;o?52408971e:38>>63=7c19604<5;=i87<:2:?13g3=:<801?9m6;066>;5?k=1>8<4=35a;c:l099?52ed`9604<5m9i6?;=;a`b=:<801i8=:371?8cbn38>>63k6g8117=:mo:1>8<4=e`g>73534omi7<:2:?ggd<5=;16ikh524089a1d2;?970ki1;066>;cl>099?52f129604<5m226?;=;b57=:<801ih>:371?8`7:38>>63kfd8117=:n991>8<4=ec0>73534omo7<:2:?gf5<5=;16ikj524089a602;?970kke;066>;dnh099?52eef9604<5joo6?;=;aad=:<801nj<:371?8cci38>>63lc78117=:mm31>8<4=g2:>73534o?o7<:2:?e4=<5=;16i>h524089c602;?970k<2;066>;a8?099?52e379604<5o:>6?;=;`d4=:<801hkj:371?8b1938>>63je28117=:l;:1>8<4=df;>73534ih97<:2:?f`0<5=;16oo65240894e5i38=963j8d;05`>;4l>l1>;m4=2f4b?41l278h5>527a896b?838=h63j8c;05`>;4l>31>;m4=2f4=?41l278h:o527a896b0i38=h63=363963e<5;9<>7<9c:?1725=:?i01?=84;05g>;5;>?1>;m4=3142?41k279?:9527a89750038=o63=36c963e<5;9jl6;05`>;4;;4=275a?42:2788o;527789631:38>>63<4c49633<5:?=?7<:2:?00fe=:??01>;89;066>;4;;4=274g?42:2788i>527789630l38>>63<4e39633<5:?;8f;066>;4;;4=27;4?42:2788i:52778963?938>>63<4c59633<5:?=87<:2:?00g>=:??01>;95;066>;4;;4=2752?42:2788oo527789631?38>>63<4c`9633<5:?=47<:2:?00ge=:??01>;99;066>;4;;4=275e?42:2788ok527789631j38>>63<4cd9633<5:?=o7<:2:?00f6=:??01>;9d;066>;4;;4=275b?42:2788n=527789630838>>63<4b69633<5:?<=7<:2:?00f3=:??01>;82;066>;4;;4=2747?42:2788n9527789630<38>>63<4b:9633<5:?<97<:2:?00f?=:??01>;86;066>;4;;4=2743?42:2788nl527789630038>>63<4bf9633<5:?;8b;066>;6>?>1>;;4=0453?42:27::8h527789401:38>>63>64c9633<58<>h7<:2:?2203=:??01<8:8;066>;6><:1>;;4=0467?42:27::9l527789403m38>>63>6549633<58;6>::1>;;4=0407?42:27::?l527789405m38>>63>6349633<58<957<:2:?2277=:??01<8=4;066>;6>8i1>;;4=042b?42:27::<9527789406i38>>63>6009633<58<:97<:2:?225b=:??01<8>0;066>;6=o21>;;4=07ef?42:27:9k=52778943a>38>>63>5dg9633<58?m=7<:2:?21`?=:??01<;jc;066>;6=l>1>;;4=07f3?42:27:9ih52778943b:38>>63>5ec9633<58?oh7<:2:?21a3=:??01<;k8;066>;6=m:1>;;4=07g7?42:27:9nl52778943dm38>>63>5b49633<58?h57<:2:?21f7=:??01<;l4;066>;6=ki1>;;4=07ab?42:27:9o952778943ei38>>63>5c09633<58?i97<:2:?21db=:??01<;m0;066>;69;;4=0356?42:2wx>8j9:1821<}:913h65jm;<3;=c=be34;3m<47dc9>5=?321ni70?7a38;`g=:91k865jm;<3;=0=be34;35547dc9>5=?f21ni70?7768:63=:913i64<9;27jhh46279>e`3=1;<01lk9:805?8gb?339:63ne98:63=:il315?84=`gb><4134knn77=6:?baf<>:?16mih593489dc7208=70oj1;;12>;fm;02>;52ad19=70<5ho?64<9;<`5=??5>27i9;46279>f01=0mh01o;j:9fa?8d2n32on63m618;`g=:j?;14il4=c41>=be34h=?76kb:?a21;e=h03ho52b4`9=70<5k?h65jm;<`6`??5>279=:h58e`8977?832on63=193976kb:?153`=0mh01??80;:gf>;59>;14il4=3346?>cj279=:=58e`89770<32on63=1679;591314il4=33;e?>cj279=5l58e`8977?k32on63=19f9;59>h14il4=334g?>cj279=4?58e`8977>:32on63=1819;590314il4=33:e?>cj279=4l58e`8977>k32on63=18f9;59h914il4=33b0?>cj279=l958e`8977f032on63=1`;9;59hl14il4=33a4?>cj279=o?58e`8977e:32on63=1c19;59k314il4=33ae?>cj279=ol58e`8977ek32on63=1cd9;59j914il4=336b?>cj279=;>58e`89771932on63=1709;59<>14il4=3361?>cj279=8858e`89772?32on63=14:9576kb:?1530=0mh01??97;:gf>;59?214il4=335=?>cj279=;o58e`89771j32on63=17a9;6n=?14il4=0d72?>cj27:j9958e`894`3032on63>f5;9;59;814il4=331`??5>279=>l58e`89774k339:63=12f9;59;?14il4=3317?>cj279=?:58e`89775>32on63=1359;59;h14il4=331b?>cj279=?m58e`89775m32on63=1229;59:>14il4=3301?>cj279=>758e`89774>32on63=1259;5m9:14il4=3fea?>cj279hkh58e`897c7;32on63=e13976kb:?1a52=0mh01?k?5;:gf>;5m9<15?84=2`;e?>cj278n4>58e`896daj32on63m?7;:gf>;4k9214il4=2a3=?>cj278o=o58e`896e7j32on63lif;:gf>;4k9:14il4=2a35?>cj278o=<58e`896e7;32on63;61:?14il4=0;02?>cj27:5>958e`894?4032on63>92;9;6im314il4=0cge?>cj27:mil58e`894gck32on63>aef9;6i>h14il4=0a2=?>cj27:oc0g976kb:?1ga>=1;<01?j>0;;12>;5kl:14il4=3a`g?>cj279onj58e`897edm32on63=cbd9=0mh01?l=9;:gf>;5j;k14il4=3`1f?>cj279n=k58e`897d7n32on63=b029;5k;914il4=3;ab?>cj2795k<58e`897?a932on63=9g29;5i;215?84=3c1=?>cj279;h758e`8971d>32on63=7d:9;5?lh14il4=35fg?>cj279;hj58e`89713n32on63=7429n76kb:?1330=0mh01?999;:gf>;5??k14il4=355f?>cj279;;m58e`89711l32on63=77g9=76kb:?1304=0mh01?9:3;:gf>;5?<>14il4=3561?>cj279;8858e`89712?32on63=74:9576kb:?130g=0mh01?9:c;:gf>;5?cj279;8h58e`89711832on63=773976kb:?1335=0mh01?994;:gf>;5???14il4=3553?>cj279;;658e`894gb03>>;63>9579001<5;ho>7::6:?2<`1=<<201;60oh188;4=0;37?220279mi:544:894g?13>>463>a`6900><5;2287::8:?1e1g=<<<01lol:57;?84f:k0?985229;g>13134;7a132=?>70i>i:57;?85ci=0?95521b05>13?34;j4>4;599>6fg12=?<7016>nl7:574?84djh0?9:522b``>130348hnh4;569>6fe72=?<7016>nl?:574?84dil0?9:522bc`>130348hml4;569>6fg?2=?<7073a349;844=5g9>752f2;?m70=?4c811c=:;9>h6?;i;<137<<5=o16?==n:37e?857;k099k52311`>73a349;?i4=5g9>755b2;?m70=?3g811c=:;9>;6?;i;<1304<5=o16?=:=:37e?857<=099k523166>73a349;8;4=5g9>75202;?m70=?85811c=:;92>6?;i;<13<3<5=o16?=6n:37e?8570k099k5231;7>73a349;584=5g9>75?12;?m70=?96811c=:;9336?;i;<13=<<5=o16?=7n:37e?8571k099k5231:`>73a349;4i4=5g9>75>b2;?m70=?8g811c=:;93;6?;i;<13=4<5=o16?=7=:37e?8571:099k523323>73a3499<<4=5g9>776d2;?m70==16811c=:;;;j6?;i;<115g<5=o16???l:37e?8559m099k52333f>73a3499=k4=5g9>77652;?m70==02811c=:;;:?6?;i;<1140<5=o16??>9:37e?8558>099k52332;>73a3499<44=5g9>776f2;?m70==0c811c=:;;:o6?;i;<114`<5=o16??>i:37e?85599099k523332>73a3499=?4=5g9>77742;?m70==15811c=:;;;>6?;i;<1153<5=o16???7:37e?85590099k52315e>73a349;4=4=5g9>75>62;?m70=?83811c=:;9286?;i;<131f<5=o16?=;k:37e?857=l099k52317e>73a349;:=4=5g9>75062;?m70=?63811c=:;hh=6?;i;<11=4<5=o16?9j7:37e?853l0099k5235f`>73a34999=4=5g9>77362;?m70==5b811c=:;;<<6?;i;<112d<5=o16??8m:37e?855>j099k52334g>73a3499:h4=5g9>770a2;?m70==53811c=:;;?86?;i;<1111<5=o16??;::37e?855=?099k523374>73a3499954=5g9>773>2;?m70==5`811c=:;;?i6?;i;<111a<5=o16??;j:37e?855=o099k523343>73a3499:<4=5g9>77052;?m70==62811c=:;;1099k52334:>73a349?<44=619>71222;<;70=;978125=::kn=6?;i;<0a`2<5=o16>oj7:37e?85e0?099k523c:4>73a3487f4b2>9m7p}=5e;94?4|5;?om797}:::1v?;ke;296~;5=mo1;>h4=37gb?41;2wx>8ji:181842lo07043ty99h>50;0x973b83=8j63=5d39635i<4?:3y>60c62>9m70<:e38126=z{;?n>7>52z?11`4=?:l01?;j3;057>{t:8k;:340?xu5=l>1<7;t=37f0?14n27944=5445897>>:3>>:63=8839003<5;2?j7::7:p60c22909w0<:e4847c=::;5=mo15?84}r06a2<72;q6>8k8:61e?842lo02>;5rs37f5<5s48>i4483g9>60c6208=7p}=5dc94?4|5;?nm797}:::?1v?;jc;296~;5=li1;>h4=37f0??5>2wx>8kk:181842mm07043ty99hk50;0x973bm3=8j63=5dd9635ik4?:3y>60ca2>9m70<:f18126=z{;?m<7>52z?11c6=?:l01?;i1;057>{t:8h=:340?xu5=o81<725a348>j84=629~w73a=3:1>v3=5g7936`<5;?m:7<93:p60`12909w0<:f7847c=::;5=o21>;=4}r06b=<72;q6>8h7:61e?842n009:>5rs37e=?6=:r799k7572d8973ai38=?6s|24db>5<5s48>jl483g9>60`e2;<87p}=5g`94?4|5;?mn797}:::1v?;id;296~;5=on1;>h4=37f`??5>2wx>8hj:181842nl0<413ty99kh50;0x973an3=8j63=5dd9=7063672>9m70<:f18:63=z{;<;=7>52z?1257=?:l01?;i1;;12>{t:?:96=4={<0547<0;o16>8h=:805?xu5>991<725a348>j946279~w707=3:1>v3=617936`<5;?m977=6:p63612909w0<907847c=::;5=o=15?84}r054=<72;q6>;>7:61e?842n102>;5rs343=?6=:r79:=7572d8973a1339:6s|272b>5<5s48=60`f208=7p}=61`94?4|5;<;n797}::?:h6:=i;<06bf<>:?1v?8?d;296~;5>9n1;>h4=3420?41;2wx>;>j:1818418l07043ty9:=h50;0x9707n3=8j63=602963563772>9m70<9108126=z{;<:=7>52z?1247=?:l01?8>2;057>{t:?;96=4={<0557<0;o16>;?<:340?xu5>891<725a348==84=629~w706=3:1>v3=607936`<5;<::7<93:p63712909w0<917847c=::?;<6?8<;|q1241=838p1?8>7;50b>;5>821>;=4}r055=<72;q6>;?7:61e?8419009:>5rs342=?6=:r79:<7572d89706i38=?6s|273b>5<5s48==l483g9>637e2;<87p}=60`94?4|5;<:n797}::?;h6:=i;<054`<5>:1v?8>d;296~;5>8n1;>h4=343`??5>2wx>;?j:1818419l0<413ty9:=4?:3y>63472>9m70<9118:63=z{;<9=7>52z?1277=?:l01?8>1;;12>{t:?896=4={<0567<0;o16>;?=:805?xu5>;91<725a348==946279~w705=3:1>v3=637936`<5;<:977=6:p63412909w0<927847c=::?;=64<9;|q1271=838p1?8=7;50b>;5>8=15?84}r056=<72;q6>;<7:61e?8419102>;5rs341=?6=:r79:?7572d897061339:6s|270b>5<5s48=>l483g9>637f208=7p}=63`94?4|5;<9n797}::?8h6:=i;<055f<>:?1v?8=d;297~;5>;n1;>h4=3476?41;279::952718yv41:l0;6>u2270f>25a348=?=4=629>630b2;<87p}=63d94?5|5;<9j79{t:?9;6=4<{<0575<0;o16>;==:340?841>o09:>5rs3405?6=;r79:>?572d897048339:63=67g90g163552>9m70<9358126=::?=;6?8<;|q1265=839p1?8<3;50b>;5>:815?84=345b?2e?2wx>;=;:180841;=0704348=;<4=629~w704=3:1?v3=627936`<5;<8877=6:?1226=6}::?9=6:=i;<057=<5>:16>;9=:340?xu5>:=1<7=t=3403?14n279:>859348970093>i;6s|271;>5<4s48=?5483g9>635f2;<870<9728126=z{;<857>53z?126?=?:l01?8<8;;12>;5>>818o94}r057d<72:q6>;=n:61e?841;j09:>522757>7043ty9:>l50;1x9704j3=8j63=62c9=70<5;<:1v?8:n1;>h4=340g??5>279:::54c58yv41;l0;6>u2271f>25a348=8=4=629>63112;<87p}=62d94?5|5;<8j79{t:?>;6=4<{<0505<0;o16>;:;:340?841?109:>5rs3475?6=;r79:9?572d897038339:63=66490g163252>9m70<9598126=::?296?8<;|q1215=839p1?8;3;50b>;5>=815?84=3443?2e?2wx>;:;:180841<=0704348=;44=629~w703=3:1?v3=657936`<5;=6}::?>=6:=i;<050=<5>:16>;9n:340?xu5>==1<7=t=3473?14n279:9859348970013>i;6s|276;>5<4s48=85483g9>632f2;<870<97c8126=z{;53z?121?=?:l01?8;8;;12>;5>>k18o94}r050d<72:q6>;:n:61e?84152275`>7043ty9:9l50;1x9703j3=8j63=65c9=70<5;<n6?8<;<053a<5>:1v?8;d;297~;5>=n1;>h4=347g??5>279::m54c58yv41u2276f>25a348=9=4=629>631b2;<87p}=65d94?5|5;{t:??;6=4<{<0515<0;o16>;;=:340?841?o09:>5rs3465?6=;r79:8?572d897028339:63=66g90g163352>9m70<9558126=::?2;6?8<;|q1205=839p1?8:3;50b>;5><815?84=344b?2e?2wx>;;;:180841==0704348=4<4=629~w702=3:1?v3=647936`<5;<>877=6:?12=6=6}::??=6:=i;<051d<5>:16>;6<:340?xu5><=1<7=t=3463?14n279:8859348970?93>i;6s|277;>5<4s48=95483g9>633d2;<870<9878126=z{;<>57>53z?120?=?:l01?8:8;;12>;5>1818o94}r051d<72;q6>;;n:61e?8410=09:>5rs346f?6=;r79:8l572d89702i339:63=69190g1633d2>9m70<95d8126=::?2<6?8<;|q120b=839p1?8:d;50b>;5>;;j:180841=l0704348=454=629~w702n3:1?v3=64d936`<5;<>i77=6:?12=1=6}::?<;6:=i;<0527<5>:16>;66:340?xu5>?;1<7=t=3455?14n279:;>59348970?03>i;6s|2741>5<4s48=:?483g9>63032;<870<98`8126=z{;<=?7>53z?1235=?:l01?892;;12>;5>1318o94}r0521<72:q6>;8;:61e?841>?09:>5227:a>7043ty9:;;50;1x9701=3=8j63=6769=70<5;<3m7:m7:p63012908w0<967847c=::?<36?8<;<05:1v?897;297~;5>?=1;>h4=3452??5>279:5l54c58yv41>10;6>u2274;>25a348=>h4=629>630c2;<87p}=67;94?5|5;<=579=1;<01?87c;6a3>{t:?:?16>;8l:5`4?841>k0i;6s|27:g>5<4s48=4i483g9>63?42;<870<9d38126=z{;<3i7>53z?12=c=?:l01?87f;057>;5>jn1>;=4}r05;6i:61e?8411909:>5227af>7043ty9:4>50;1x970>83=8j63=6839635<5;:1v?862;296~;5>081;>h4=34g5?41;2wx>;7<:1808411:0704348=h>4=629~w70><3:1?v3=686936`<5;<297<93:?12a2=:?90q~<99483>6}::?3>6:=i;<05=3<5>:16>;j::340?xu5>0<1<7=t=34:2?14n279:4952718970c>38=?6s|27;4>5<4s48=5:483g9>63??2;<870<9d68126=z{;<247>53z?12<>=?:l01?869;057>;5>m21>;=4}r05=<<72:q6>;76:61e?8411h09:>5227f:>7043ty9:4o50;1x970>i3=8j63=68`9635<5;:1v?86c;296~;5?m=1>5j4=34:`?14n2wx>;7j:181840l1094i5227c2>25a3ty9:4h50;0x971c1383h63=6`2936`62bf2;2o70<9a3847c=z{;52z?13ad=:1n01?8n4;50b>{t:?k>6=4={<04`f<50m16>;o9:61e?xu5>h=1<77>c348=ml483g9~w70fj3:1>v3=7ed96=b<5;;5>k:1;>h4}r05f4<72;q6>:k=:3:g?841j;05<5s4863d12>9m7p}=6c594?4|5;=n97<7d:?12g>=?:l0q~<9b883>7}::>o=6?6k;<05fd<0;o1v?8mb;297~;5>1n15?84=34`f?2e?279:ol572d8yv41jj0;6>u227:f><41348=on4;b69>63dd2>9m7p}=6cf94?5|5;<3j77=6:?12fb={t:?hn6=4<{<05=5<>:?16>;mj:5`4?841jl0i;63=6cd936`63?5208=70<9d187f2=::?i;6:=i;|q12f7=838p1?8k1;6a3>;5>j;1;>h4}r05g7<72:q6>;7<:805?841l;0?n:5227a1>25a3ty9:n=50;1x970><339:63=6e190g1<5;0<15?84=34g1?2e?279:n;572d8yv41k?0;6>u227;4><41348=h;4;b69>63e12>9m7p}=6b594?5|5;<2477=6:?12a1={t:?i36=4<{<05=<<>:?16>;j7:5`4?841k10i;63=6b;936`63?e208=70<9d`87f2=::?ij6:=i;|q12ae=838p1?8kc;50b>;5>mh18884}r05`a<72;q6>;jk:61e?841lk0?985rs34gb?6=:r79:ih572d8970cm3>>:6s|27g3>5<5s48=i=483g9>63bb2=?>7p}=6d094?4|5;797}::?o86:=i;<05a4<3=<1v?8j5;296~;5>l?1;>h4=34f0?22>2wx>;k9:181841m?01323ty9:h650;0x970b03=8j63=6d5900063c>2>9m70<9e68710=z{;52z?12`d=?:l01?8ja;662>{t:?oh6=4={<05af<0;o16>;kn:576?xu5>lo1<725a348=ii4;549~w70a93:1>v3=6g3936`<5;;5>o918884}r05b0<72;q6>;h::61e?841n:0?985rs34e3?6=:r79:k9572d8970a>3>>:6s|27d;>5<5s48=j5483g9>63`12=?>7p}=6g;94?46s4835?483g9>6=?72=?>70<78d8710=::12h69;:;<0;567:576?84?0?0?985229:7>13234834?4;549>6=>72=?>70<77d8710=::1=h69;:;<0;3d<3=<16>597:576?84???0?98522957>1323483;?4;549>6=172=?>70<76d8710=::1587:576?84?>?0?98522947>1323483:?4;549>6=072=?>70<75d8710=::1?h69;:;<0;1d<3=<16>5;7:576?84?=?0?98522977>13234839?4;549~w70ai3:1?v3=85d936`<5;2><7::5:?1<6>=<<20q~<9fc83>2}::1>>69;8;<0;76<3=<16>577:576?84?13?348384483g9>6=2f2=??7p}=6ga94?46s4835>483g9>6=?72=?370<78d871==::12h69;7;<0;567:57;?84?0?0?955229:7>13?34834?4;599>6=>72=?370<77d871==::1=h69;7;<0;3d<3=116>597:57;?84???0?95522957>13?3483;?4;599>6=172=?370<76d871==::1587:57;?84?>?0?95522947>13?3483:?4;599>6=072=?370<75d871==::1?h69;7;<0;1d<3=116>5;7:57;?84?=?0?95522977>13?34839?4;599~w70al3:1:v3=8579000<5;28:7::6:?1<1b=<<<01?6<4;50b>;50:?188:4=3:0e?22?2wx>;hj:18684?1m0?985229;`>13234835l4;569>6=g72=?<70<798847c=z{;52z?12fd=?:l01?86d;662>{t:>:;6=4={<040c<50m16>;8l:61e?xu5?9;1<754448yv408;0;6?u22672>7>c348=:i483g9~w717;3:1>v3=6bf936`<5;7::6:p62632909w0<85381;5>h>18884}r0443<72;q6>:;<:3:g?841>o03>>:6s|262;>5<5s48<994=8e9>63172>9m7p}=71;94?4|5;=<<<0q~<80`83>7}::>?>6?6k;<0534<0;o1v?9?b;296~;5>m;1;>h4=34be?22>2wx>:>l:181840=?094i522751>25a3ty9;=j50;0x9712?383h63=661936`623?2;2o70<975847c=z{;=;j7>52z?130?=:1n01?885;50b>{t:>;;6=4={<041d<50m16>;99:61e?xu5?8;1<77>c348=;:483g9~w716;3:1>v3=74a96=b<5;<<479;5>>k1;>h4}r0453<72;q6>:;i:3:g?841?k0529f89700k3=8j6s|263;>5<5s48<:<4=8e9>631c2>9m7p}=70;94?4|5;==>7<7d:?122c=?:l0q~<81`83>7}::><86?6k;<053c<0;o1v?9>b;296~;5??>1>5j4=34;4?14n2wx>:?l:181840><094i5227:2>25a3ty9;623e2;2o70<983847c=z{;=:j7>52z?1331=:1n01?873;50b>{t:>8;6=4={<042=<50m16>;6;:61e?xu5?;;1<77>c348=4;483g9~w715;3:1>v3=6e7936`<5;;5>k818884}r0463<72;q6>:8n:3:g?841010>:6s|260;>5<5s48<:o4=8e9>63>>2>9m7p}=73;94?4|5;7}::>m31;>h4=34a2wx>:m094i5227:a>25a3ty9;?j50;0x970ci3=8j63=6cc9000h4?:3y>620b2;2o70<98b847c=z{;=9j7>53z?131`=0m301?8kc;663>;5>?h188:4}r047d<72:q6>:;?:9f:?841m;0?9:522760>1323ty9;>>50;1x9712932o563=6ed9001<5;<9j7::5:p62562908w0<8538;`<=::h?i69;8;<0574<3=<1v?9<2;297~;5?<914i74=3c6b?22?279:>=54478yv40;:0;6>u22677>=b>348j:>4;569>63522=?>7p}=72694?5|5;=>976k9:?1e31=<<=01?8<7;661>{t:>9>6=4<{<0413l8m:574?841;00?985rs3502?6=;r79;8958e;89704j3>>963=a629003623?21n270<93e8710=::h=869;:;|q136>=839p1?9:9;:g=>;5>:l188;4=3c42?22=2wx>:=6:180840=h03h4522762>132348j;44;549~w713=3:1?v3=74`96}::>?h65j6;<0500<3=<16>l6>:576?xu5?:i1<7=t=356`?>c1279:995447897g?<3>>96s|261g>5<4s48<9h47d89>632>2=?>7053z?130`=0m301?8;b;661>;5i1k188;4}r047c<72:q6>:8?:9f:?8411313ty9;9>50;1x9711932o563=65d9003<5;k3h7::6:p62262908w0<8638;`<=::??:69;:;<0b<`<3=?1v?9;2;297~;5??914i74=3467?22=279m5h54448yv40<:0;6>u22647>=b>348=984;549>6d?72=?=7p}=75694?5|5;==976k9:?1201=<{t:>>36=4<{<0423;k7:574?841=m0?985rs3572?6=;r79;;958e;89702j3>>963=a859000620?21n270<9848710=::h3369;9;|q131?=839p1?999;:g=>;5>lh18894=346b?22=2wx>::n:180840>h03h45227gf>130348=:<4;549~w713j3:1?v3=77`96}::>16>;8::576?xu5?=n1<7=t=355`?>c1279mlo544589701?3>>96s|266f>5<4s48<:h47d89>63`02=?<70<9688710=z{;=<<7>53z?1326=?:l01?981;057>;5?1>1>;=4}r0434<72:q6>:9>:61e?840?:09:>5226:6>7043ty9;:<50;1x9710:3=8j63=7639=70<5;=387:m7:p62142908w0<872847c=::>=>6?8<;<04<3<5>:1v?984;297~;5?>>1;>h4=3547??5>279;5;54c58yv40?<0;6>u22656>25a348<;:4=629>62>02;<87p}=76494?5|5;=<:79{t:>=<6=4<{<0432<0;o16>:96:340?8400109:>5rs354621>2>9m70<87c8126=::>226?8<;|q132g=839p1?98a;50b>;5?>315?84=35;:9m:180840?k0704348<4l4=629~w710k3:1?v3=76a936`<5;=6}::>=o6:=i;<043c<5>:16>:6m:340?xu5?>o1<7=t=354a?14n279;:j59348971?i3>i;6s|265e>5<5s48<;k483g9>62>d2;<87p}=79294?5|5;=3<79{t:>2:6=4<{<0435<>:?16>:6<:5`4?8400;0i;6s|26:e>5<5s48<4k483g9>62>b2=?=7p}=78294?4|5;=3j7::6:?13<6=?:l0q~<89083>7}::>3:6:=i;<04<`<3=<1v?962;296~;5?1l188;4=35:6?14n2wx>:7<:181840180?9;5226;0>25a3ty9;4:50;0x971>93>>963=786936`62d72;2o70<882847c=z{;=2:7>52z?13g7=:1n01?974;50b>{t:>3<6=4={<04f7<50m16>:6::61e?xu5?021<77>c348<4:483g9~w71>i3:1>v3=7c796=b<5;=3479226:=i;|q13;5?1k1;>h4}r04=a<72;q6>:l7:3:g?8400k05<5s48<5k47d89>62de2=?=7p}=7`294?4|5;=j<76k9:?13<4=<<=0q~<8a083>7}::>k:65j6;<04=5<3=>1v?9n2;296~;5?h814i74=35:0?22?2wx>:o<:181840i:03h45226;0>1303ty9;l:50;0x971f<32o563=7cf900062g221n270<8bg8713=z{;=j:7>54z?13d4=:1n01?9m0;:g=>;5?0>18884=35;6?22<2wx>:o8:187840i:094i5226`2>=b>348<;?4;549>62?42=?=7p}=7`:94?2|5;=j97<7d:?13g4=0m301?9mf;661>;5?>>188;4}r04e<<72=q6>:o?:3:g?840j:03h4522655>132348<5?4;579~w71fi3:1>v3=7c69h>65j6;<04fa<3=<16>:9n:576?xu5?hi1<7c1279;:m54478yv40im0;69u226c2>7>c348621b2=?>70<8918713=z{;=ji7>52z?13g>=0m301?970;661>{t:>km6=4;{<04=c<50m16>:l6:9f:?840jk0?985226:g>1323ty9;oo50;0x971ej3=8j63=782900>62dc2>9m70<893871==z{;=ii7>52z?13g`=?:l01?963;66<>{t:>i;6=4=1z?13f7=0m301h:j:57;?8c393>>463j35871==:m;=18864=d3b>13?34o;h7::8:?f45<3=116hk=544:89ac12=?370jk9;66<>;ckj0?9552dcd900><5mh969;7;`=d=<<201i9j:57;?8b093>>463k65871==:l<<18864=e6b>13?34n8h7::8:?g75<3=116h?=544:89a722=?370j?9;66<>;dnj0?9552cdd900><5jo969;7;ggg=<<20q~<8c383>7}:::m::1808400l01323483?k4;579~w71d>3:1?v3=7b49=<6}::>i<65j6;<05`f<3=?16>8k::575?xu5?j21<7=t=35`c1279:h<54448973b=3>>46s|26a:>5<4s4863ba2=?=70<:e`8713=z{;=hm7>53z?13fg=0m301?;ja;66<>;5i:mm:9f:?842mk0?9;522`42>13?3ty9;nm50;1x971dk32o563=5d`900><5;k=97::8:p62ec2908w0<8ce8;`<=::u226ae>=b>348=i84;579>60c12=?=7p}=7e294?5|5;=o<76k9:?12`>=<<<01?;j6;66<>{t:>n:6=4<{<04`4;km:575?842m>0?9;5rs35g6?6=;r79;i<58e;8970bm3>>:63=5d5900>4?:2y>62b421n270<9f08713=::;5>o>18884=37f:j::180840l<03h45224g:>131348jmn4;599~w71c>3:1?v3=7e49<}::>n<65j6;<05`a<3=>16>8k::576?8419m0?9852272g>7?e348>ji4;549>60cc2;3i70<98e81=g=::?hi69;:;|q13a>=833p1?9k8;:g=>;5>l918894=37f1?22?279:?:544789706<382n63=6169003<5;?m87<6b:?12<5=:0h01?8l2;661>{t:>n26=46{<04`<;k?:574?842mh0?9852273f>132348=60`b2=?>70<:ed81=g=::?2n6?7m;<05ff<3=<1v?9ka;29=~;5?mk14i74=37fe?22?279:;5>kn188;4}r04`g<720q6>:jm:9f:?842mk0?98522703>132348===4=9c9>63672=?>70<:f181=g=::?3;6?7m;<0b1c<3=?16>;lj:576?xu5?mi1<77t=35gg?>c12799hl54458970593>>963=60396;5i?918884=34ab?22=2wx>:jk:18:840lm03h45224g`>132348=>?4;549>63752;3i70<9038710=::l88:575?841k90?985rs35ga?6=0r79;ik58e;8973bk3>>;63=6319003<5;<:?7<6b:?1255=<;5i?h18884=34`5?22=2wx>:ji:18:840lo03h45227g5>130348>i;4;549>63422=?>70<91481=g=::?:>69;:;<06b0<51k16>;7;:3;a?841k:0?985rs35f4?6=1r79;h>58e;8970b13>>;63=5d49001<5;<9:7::5:?1240=:0h01?8?6;661>;5=o<1>4l4=34:1?4>j279:n:54478yv40m80;64u226g2>=b>348=in4;569>60c02=?>70<9268710=::?;<6?7m;<0542<3=<16>8h8:3;a?8411?095o5227a6>1323ty9;h<50;;x971b:32o563=6dd9001<5;?n;7::7:?127>=<8;0:f>;5>92188;4=37ej279:49528`8970d>3>>96s|26g0>5<>s4847d89>63`52=?<70<:e98710=::?8269;:;<055<<51k16>;>6:576?842n0095o5227;;>7?e348=o:4;549~w71b<3:15v3=7d69=<<=01?8=a;661>;5>8k1>4l4=343e?22=2799ko528`8970>1382n63=6b:900362c221n270<:e88710=::?8i69;:;<055g<51k16>;>m:576?842nk095o5227;b>7?e348jml4;579>63e>2=?>7p}=7d494??|5;=n:76k9:?12c>=<<=01?;j9;663>;5>;i188;4=342g?4>j279:=m54478973ak382n63=68`96;5>j918884=34`0?22>279:n;54448970d>3>>:63=6b59000<5;;5>ki18884=34a`?22>279:ok54448970en3>>:63=6b29000<5;0q~<8e983>1}::>o365j6;<05`a<3=?16>5:i:575?84?;o0?955rs35f=?6=;r79;h758e;8970b13>>:63=84290007g>12;2o70<8e`8;`<=::?l>69;9;<1a2f<3=11v?9jb;292~;5?lh14i74=34fg?22>279;4:54478971>83>>963=7809003<5;=2?7::5:p62cd290?w0<8eb8;`<=::?om69;9;<04:?16>:7>:805?xu5?ln1<7=t=35f`?>c1279:k<54448971?m339:6s|26gf>5<6:r79;hk58e;8971f<32on63=78d9;5?h914il4=35b5?>cj279;o>58e`8971e932on63=7c09;5?k<14il4=35a3?>cj279;o658e`8971e132on6s|26ge>5<4s4860`c2=?=70<7538713=z{;=m<7>53z?13c6=0m301?8?4;662>;50?:18884}r04b4<72:q6>:h>:9f:?842nl0?9;522977>1313ty9;k<50;1x971a:32o563=5gd9000<5;2>:7::6:p62`42908w0<8f28;`<=::?:;69;9;<0;1=<3=?1v?9i4;297~;5?o>14i74=3435?22>27948o54448yv40n<0;6>u226d6>=b>348=6=3d2=?=7p}=7g494?5|5;=m:76k9:?1255=<<<01?6:e;662>{t:>l<6=4<{<04b2;>::575?84?>;0?9;5rs35e3>>:63=876900062`>21n270<9068713=::1<=69;9;|q13cg=839p1?9ia;:g=>;5>9218884=3:52wx>:hm:180840nk03h452272:>1313483:l4;579~w71ak3:1?v3=7ga96}::>lo65j6;<054g<3=?16>58j:575?xu5?oo1<7=t=35ea?>c1279:=m5444897>083>>:6s|26de>5<4s48637c2=?=70<7738713=z{;2;<7>53z?1<56=0m301?8=4;662>;501:18884}r0;44<72:q6>5>>:9f:?8419l0?9;522957>1313ty94=<50;1x97>7:32o563=60d9000<5;2<:7::6:p6=642908w0<7028;`<=::?8;69;9;<0;3=<3=?1v?6?4;297~;509>14i74=3415?22>2794:o54448yv4?8<0;6>u22926>=b>348=>?4;579>6=1d2=?=7p}=81494?5|5;2;:76k9:?1275=<<<01?68e;662>{t:1:<6=4<{<0;42;<::575?84?0;0?9;5rs3:33>>:63=89690006=6>21n270<9268713=::12=69;9;|q1<5g=839p1?6?a;:g=>;5>;218884=3:;2wx>5>m:18084?8k03h452270:>13134834l4;579~w7>7k3:1?v3=81a96}::1:o65j6;<056g<3=?16>56j:575?xu509o1<7=t=3:3a?>c1279:?m5444897>>83>>:6s|292e>5<3s4836=?32=?=70<8c58713=::13>69;9;|q1<46=838p1?6>0;:g=>;50<818894}r0;54<72;q6>5?>:9f:?84?>90?9:5rs3:26?6=:r794<<58e;897>2<3>>;6s|2930>5<5s483=>47d89>6=312=?<7p}=80694?4|5;2:876k9:?1<0>=<<=0q~<71483>7}::1;>65j6;<0;1d<3=>1v?6>6;296~;508<14i74=3:6g?22?2wx>5?8:18184?9>03h452297f>1303ty94<650;0x97>6032o563=87090016=7>21n270<7658712=z{;2:m7>52z?1<4g=0m301?696;663>{t:1;i6=4={<0;5g587:574?xu508i1<7c12794;o54458yv4?9m0;6?u2293g>=b>3483:n4;569~w7>6m3:1>v3=80g9;50>818894}r0;64<72;q6>5<>:9f:?84?090?9:5rs3:16?6=:r794?<58e;897>0<3>>;6s|2900>5<5s483>>47d89>6=112=?<7p}=83694?4|5;29876k9:?1<2>=<<=0q~<72483>7}::18>65j6;<0;3d<3=>1v?6=6;296~;50;<14i74=3:4g?22?2wx>5<8:18184?:>03h452295f>1303ty94?650;0x97>5032o563=890900144?:3y>6=4>21n270<7858712=z{;29m7>52z?1<7g=0m301?676;663>{t:18i6=4={<0;6g567:574?xu50;i1<7c127945o54458yv4?:m0;6?u2290g>=b>34834n4;569~w7>5m3:1>v3=83g9;500>18894=3::a?22>2wx>5=>:18684?;803h45227d;>13134835>4;579>6=?52=?>70<7908712=z{;28>7>55z?1<64=0m301?8j0;662>;5009188;4=3::6?22?27944:54478yv4?;:0;6j63=7e2960`<5;=o=7<:f:?13a4=:;5?m>1>8h4=35g1?42n279;i8524d8971d138>j63=7bc960`<5;=hn7<:f:?13fe=:;5?jo1>8h4=3:07?14n2wx>5=8:1825~;5?m=14il4=35gcj279;ih58e`8971b832on63=7d3976kb:?13`5=0mh01?9j4;:gf>;5?l?14il4=35f2?>cj279;i758e`8971ci32on63=7e`9;50:21;>h4}r0;7<<7288p1?8ma;661>;5>k2188;4=34a2?22=279:o:54478970e:3>>963=6c29003<5;;5>h2188;4=34b2?22=279:l:54478970f:3>>963=6`29003<5;;50:h188:4}r0;7f<728;p1?9k7;06b>;5?m21>8h4=35gb?42n279;h>524d8971b938>j63=7d0960`<5;=n?7<:f:?13`2=:;5?l<1>8h4=35g=?42n279;io524d8971cj38>j63=7ea960`<5;=oh7<:f:?13ac=:{t:1>:6=4={<0;04<0;o16>5=i:574?xu50=81<7=t=34;`?41;279:nl5271897>3;3=8j6s|2967>562e12;?m70<8e9811c=::>o<6?;i;<0;74<5=o16>5==:37e?840mk099k5226g`>73a3486=202=?>70<744847c=z{;2?:7>52z?13`g=:1n01?6;7;50b>{t:1>36=4={<04ad<5=o16>5;?:61e?xu50=i1<725a34838h4;549~w7>293:1>v3=7dd96=b<5;2>>79;50<<1;>h4}r0;12<72;q6>:h<:3:g?84?=102i3=8j6s|297a>5<5s486=3d2>9m7p}=84f94?4|5;=m:7<7d:?1<0c=?:l0q~<75g83>7}::>l;6?6k;<0;25<0;o1v?691;296~;5?o=1>5j4=3:56?14n2wx>58<:181840n1094i522947>25a3ty94;;50;0x971a1383h63=874936`62`f2;2o70<769847c=z{;2=57>52z?13cd=:1n01?69a;50b>{t:158l:61e?xu50?n1<7o0;6?u226df>7>c3483;=483g9~w7>093:1>v3=7gd96=b<5;2<>79;50><1;>h4}r0;32<72;q6>5><:3:g?84??100i3=8j6s|295a>5<5s483<84=8e9>6=1d2>9m7p}=86f94?4|5;2;:7<7d:?1<2c=?:l0q~<77g83>7}::1:;6?6k;<0;<5<0;o1v?671;296~;509=1>5j4=3:;6?14n2wx>56<:18184?81094i5229:7>25a3ty945;50;0x97>71383h63=894936`6=6f2;2o70<789847c=z{;2357>52z?1<5d=:1n01?67a;50b>{t:12i6=4={<0;4f<50m16>56l:61e?xu501n1<77>c34835=483g9~w7>>93:1>v3=81d96=b<5;22=79=:9;06b>;508k1>8h4=3:2f?42n27946l38>j63=80g960`<5;2:j7<:f:?1<44=:3;06b>;508>1>8h4=3:21?42n2794<8524d897>6?38>j63=88c936`6=??2>9m70<7988713=z{;22n7>510y>6=472;?m70<720811c=::1836?;i;<0;6<<5=o16>573a3483>i4=5g9>6=4b2;?m70<72g811c=::1896?;i;<0;66<5=o16>5<;:37e?84?:<099k522905>73a3483>:4=5g9>6=?d2>9m7p}=88f94?4|5;28<7<7d:?1<7}::19;6?;i;<0;e5<0;o1v?6n1;296~;5>mh1;>h4=3c63?22?2wx>5o=:181841ll01303ty94l=50;0x970b93=8j63=a6d900163c32>9m7052z?12`1=?:l01?o6d;663>{t:1k=6=4={<05ad<0;o16>lo?:574?xu50h=1<725a348jm;4;569~w7>f13:1>v3=6g1936`<5;kj57::7:p6=gf2909w0<9f7847c=::hh;69;8;|q1;50hi1;>h4=3:b`?22<2wx>5oi:18184?io07043ty94o>50;0x97>e83=8j63=8c396356=d62>9m707>52z?1{t:1h86=4={<0;f6<0;o16>5l;:340?xu50k>1<725a3483n;4=629~w7>e>3:1>v3=8c4936`<5;2i;7<93:p6=d02909w0<7b6847c=::1h36?8<;|q1=838p1?6m8;50b>;50k31>;=4}r0;f<<72;q6>5l6:61e?84?jh09:>5rs3:ae?6=:r794oo572d897>e838=?6s|29`a>5<5s483no483g9>6=ga208=7p}=8ca94?4|5;2io797}::1ho6:=i;<0;f4<>:?1v?6me;296~;50ko1;>h4=3:a6??5>2wx>5li:18184?jo0<413ty94n>50;0x97>d83=8j63=8c69=706=e62>9m70<7b48:63=z{;2h>7>52z?1{t:1i86=4={<0;g6<0;o16>5l8:805?xu50j>1<725a3483n446279~w7>d>3:1>v3=8b4936`<5;2im77=6:p6=e02909w0<7c6847c=::1n96?8<;|q1=838p1?6l8;50b>;50j31>;=4}r0;g<<72;q6>5m6:61e?84?kh09:>5rs3:`e?6=:r794no572d897>dj38=?6s|29aa>5<5s483oo483g9>6=ed2;<87p}=8ba94?4|5;2ho797}::1io6:=i;<0;g`<5>:1v?6le;296~;50jo1;>h4=3:`b?41;2wx>5mi:18184?ko07043ty94i>50;0x97>c83=8j63=8e396356=b62>9m70<7d28126=z{;2o>7>52z?1{t:1n86=4={<0;`6<0;o16>5j;:340?xu50m>1<725a3483h;4=629~w7>c?3:1>v3=8e5936`<5;2o47<93:p6=b?2909w0<7d9847c=::1n26?8<;|q1;50mk1>;=4}r0;`d<72;q6>5jn:61e?84?lk09:>5rs3:gf?6=:r794il572d897>ck38=?6s|29f`>5<5s483hn483g9>6=bc2;<87p}=8ef94?4|5;2oh797}::1nn6:=i;<0;g=<5>:1v?6kf;296~;50ml1;>h4=3:`3??5>2wx>5k?:18184?m90<413ty94h?50;0x97>b93=8j63=8b;9=706=c52>9m70<7c`8:63=z{;2n?7>52z?1<`5=?:l01?6lb;;12>{t:1o?6=4={<0;a1<0;o16>5ml:805?xu50l?1<725a3483oh46279~w7>b?3:1>v3=8d5936`<5;2hj77=6:p6=c?2909w0<7e9847c=::1n;64<9;|q1<`?=838p1?6j9;50b>;50m;15?84}r0;ad<72;q6>5kn:61e?84?l;02>;5rs3:ff?6=:r794hl572d897>c;339:6s|29g`>5<5s483in483g9>6=b3208=7p}=8df94?4|5;2nh797}::1on6:=i;<0;`3<>:?1v?6jf;296~;50ll1;>h4=3:g3??5>2wx>5h?:18184?n90<413ty94k?50;0x97>a93=8j63=8e;9=706=`52>9m70<7d`8:63=z{;2m?7>52z?1{t:1l?6=4={<0;b1<0;o16>5jl:805?xu50o?1<725a3483hh46279~w7>a?3:1>v3=8g5936`<5;3;>7<93:p6=`?2909w0<7f9847c=::1l26?8<;|q1;50ok1>;=4}r0;bd<72;q6>5hn:61e?84?nk09:>5rs3:ef?6=:r794kl572d897>ak38=?6s|29d`>5<5s483jn483g9>6=`c2;<87p}=8gf94?4|5;2mh797}::1ln6:=i;<0;bc<5>:1v?6if;296~;50ol1;>h4=3;34?41;2wx>4>?:18184>8907043ty95=?50;0x97?793=8j63=91196356<652>9m70<6068126=z{;3;?7>52z?1=55=?:l01?7?4;057>{t:0:?6=4={<0:41<0;o16>4>::340?xu519?1<78?0;6?u22825>25a3482nh4;569~w7?7?3:1>v3=915936`<5;3;47<93:p6<6?2909w0<609847c=::0:26?8<;|q1=5?=838p1?7?9;50b>;519k1>;=4}r0:4d<72;q6>4>n:61e?84>8k09:>5rs3;3f?6=:r795=l572d897?7k38=?6s|282`>5<5s4826<6c2;<87p}=91f94?4|5;3;h797}::0:n6:=i;<0;b=<5>:1v?7?f;296~;519l1;>h4=3:e3??5>2wx>4??:18184>990<413ty956<752>9m70<7f`8:63=z{;3:?7>52z?1=45=?:l01?6ib;;12>{t:0;?6=4={<0:51<0;o16>5hl:805?xu518?1<79?0;6?u22835>25a3483jh46279~w7?6?3:1>v3=905936`<5;2mj77=6:p6<7?2909w0<619847c=::0:;64<9;|q1=4?=838p1?7>9;50b>;519;15?84}r0:5d<72;q6>4?n:61e?84>8;02>;5rs3;2f?6=:r7955<5s482=n483g9>6<63208=7p}=90f94?4|5;3:h797}::0;n6:=i;<0:43<>:?1v?7>f;296~;518l1;>h4=3;33??5>2wx>4:90<413ty95??50;0x97?593=8j63=91;9=70?4?:3y>6<452>9m70<60`8:63=z{;39?7>52z?1=75=?:l01?7?b;;12>{t:08?6=4={<0:61<0;o16>4>l:805?xu51;?1<7:?0;6?u22805>25a34827<93:?1=<6=:?90q~<62983>6}::0836:=i;<0:6<<5>:16>468:340?xu51;31<7=t=3;1=?14n2795?o5271897??038=?6s|280b>5<4s482>l483g9>6<4e2;<870<6888126=z{;39n7>53z?1=7d=?:l01?7=c;057>;511k1>;=4}r0:6f<72:q6>4:m09:>5228:a>7043ty95?j50;1x97?5l3=8j63=93g9635<5;33o7<93:p6<4b2908w0<62d847c=::08m6?8<;<0::1v?7=f;297~;51;l1;>h4=3;04?41;27955k52718yv4>;90;6>u22813>25a3482?<4=629>6<>a2;<87p}=92394?5|5;38=79{t:0996=4<{<0:77<0;o16>4=9:340?84>1<09:>5rs3;07?6=;r795>=572d897?4<38=?63=98096356<532>9m70<6348126=::0386?8<;|q1=63=838p1?7<5;50b>;510>1>;=4}r0:73<72:q6>4=9:61e?84>;>09:>5228;5>7043ty95>950;1x97?4?3=8j63=92:9635<5;32;7<93:p6<5?2908w0<639847c=::0926?8<;<0:==<5>:1v?7<9;297~;51:31;>h4=3;0e?41;27954752718yv4>;h0;6>u2281b>25a3482?o4=629>6{t:09h6=4<{<0:7f<0;o16>4=k:340?84>1j09:>5rs3;0`?6=;r795>j572d897?5038=?63=9949635652z?1=a?=:1n01?7;3;50b>{t:0>:6=4={<0:`d<50m16>4:=:61e?xu51=91<7<<0;6?u228f`>7>c34828;483g9~w7?3?3:1>v3=9ef96=b<5;3?4792909w0<6dd81j6:=i;|q1=1d=838p1?7kf;0;`>;51=i1;>h4}r0:0a<72;q6>4k?:3:g?84>5<5s482i?4=8e9>6<352>9m7p}=94194?4|5;3n?7<7d:?1=02=?:l0q~<65483>7}::0o?6?6k;<0:1`<0;o1v?7:6;296~;51l?1>5j4=3;63?14n2wx>4;7:18184>m?094i52287:>25a3ty958o50;0x97?b?383h63=94`936`6j7>52z?1=`?=:1n01?790;50b>{t:0<:6=4={<0:ad<50m16>48=:61e?xu51?91<7><0;6?u228g`>7>c3482:;483g9~w7?1?3:1>v3=9df96=b<5;3=4792909w0<6ed81;51?i1;>h4}r0:2a<72:q6>4<8:805?84>0<0?n:52284g>25a3ty95;k50;1x97?50339:63=99490g1<5;3=i79572d8yv4>?80;6>u2280a><413482444;b69>6<162>9m7p}=96094?5|5;39o77=6:?1==g={t:0=86=4<{<0:6a<>:?16>46m:5`4?84>?:0i;63=966936`6<4a208=70<68e87f2=::0=>6:=i;|q1=20=839p1?7<0;;12>;511o18o94=3;42?14n2wx>498:18084>;802>;5228:e>1d03482;:483g9~w7?003:1?v3=9209=70<5;32<7:m7:?1=2>=?:l0q~<67883>6}::09864<9;<0:=4<3j>16>496:61e?xu51>k1<7=t=3;00??5>27954<54c5897?0i3=8j6s|285a>5<4s482?846279>652z?1=<2={t:0=o6=4<{<0:73<>:?16>47::5`4?84>?m095934897?>>3>i;63=96g936`6<5?208=70<69687f2=::0=m6:=i;|q1==6=839p1?7<9;;12>;510218o94=3;;4?14n2wx>46>:18084>;h02>;5228;:>1d034824<483g9~w7??:3:1?v3=92`9=70<5;32m7:m7:?1==4=?:l0q~<68283>6}::09h64<9;<0:=g<3j>16>46<:61e?xu511>1<7=t=3;0`??5>27954m54c5897??<3=8j6s|28;f>5<6mr79m>=5447897g5j3>>:63=97a9001<5;3=m7::7:?1=3>=<<=01?796;663>;51?>18894=3;56?22?2795;>5445897?2m3>>;63=9409001<5;3><7::7:?1=1c=<<=01?7;c;663>;51=k18894=3;7>;63=9509001<5;3??7::7:?1=6`=<<=01?7:4;663>;51<=18894=3;6=?22?27958l5445897?2l3>>;63=a3d9003<5;k8o7901?o;1;66<>{t:03m6=4>bz?1=;51?i18884=3;5e?22>2795;65444897?1>3>>:63=9769000<5;3=>7::6:?1=36=<<<01?7:e;662>;51<818884=3;64?22>27959k5444897?3k3>>:63=95c9000<5;3?47::6:?1=10=<<<01?7;4;662>;51=818884=3;77?22>2795>h5444897?2<3>>:63=9459000<5;3>57::6:?1=0d=<<<01?7:d;662>;5i;l18884}r0:e5<72:q6>l=n:575?84f;k013?3ty95l?50;7x97g3i3>>963=a5;9003<5;k?979{t:0k96=4={<0:<0<0;o16>4=i:576?xu51h91<7i=0;6?u228:4>25a3482894;549~w7?f=3:1>v3=99:936`<5;3?:7::5:p6369;:;|q1=d1=838p1?77a;50b>;51=k188;4}r0:e=<72;q6>46m:61e?84>>96s|28cb>5<5s4824i483g9>6<372=?>7p}=9``94?4|5;33i797}::02m6:=i;<0:11<3=<1v?7nd;296~;510:1;>h4=3;77?22=2wx>4oj:18184>1801323ty95lh50;0x97?>:3=8j63=94;900369m70<65c8710=z{;3i=7>52z?1=<2=?:l01?7:d;661>{t:0h96=4={<0:=0<0;o16>4;j:576?xu51k91<754478yv4>j=0;6?u228;4>25a3482:?4;549~w7?e=3:1>v3=98:936`<5;3=87::5:p6;51?2188;4}r0:f=<72;q6>47m:61e?84>>h0?985rs3;a=?6=:r7954m572d897?1k3>>96s|28`b>5<59r795ol58e;89`312=?<70k;9;663>;b;j0?9:52e3d9001<5l8969;8;`cd=<<=01ikj:574?8bb93>>;63kd58712=:lj=18894=e`b>13034njh7::7:?g=c<3=>16h4=544589a>12=?<70j89;663>;c>j0?9:52d4g9001<5m?969;8;`7d=<<=01i?k:574?8b693>>;63k058712=:ko=18894=bgb>13034ioh7::7:?``5<3=>16on<54458yv4>jj0;6?u229f5>25a3482nh4;549~w7?el3:1>v3=9c`9279m8=54478yv4>k80;6>u228a2>=b>3483no4;599>6d1e2=?>7p}=9b094?5|5;3h>76k9:?1{t:0i86=4<{<0:g65m=:57;?84f=l0?9;5rs3;`0?6=;r795n:58e;897>d;3>>:63=a7090006;50j>18884=3c5e?22>2wx>4m8:18084>k>03h45229a7>13?348j:h4;579~w7?d03:1?v3=9b:96}::0i265j6;<0;g0<3=116>l9;:575?xu51jk1<7=t=3;`e?>c12794n85444897g0?3>>:6s|28aa>5<4s482oo47d89>6=e12=?37053z?1=fe=0m301?6me;662>;5i08188;4}r0:ga<72:q6>4mk:9f:?84?jj0?9;522`:1>1313ty95nk50;1x97?dm32o563=8ca900><5;k397::6:p6l80;6>u228f2>=b>3483nh4;599>6d?>2=?>7p}=9e094?5|5;3o>76k9:?1{t:0n86=4<{<0:`65li:57;?84fi80?985rs3;g0?6=;r795i:58e;897>d83>>:63=a`690036;50j;18884=3cb`?22>2wx>4j8:18084>l>03h45229a2>13?348jmh4;549~w7?c03:15v3=9e:9;50ml188;4=3:`3?4>j2795?9528`897?1l3>>963=a46900>621n270<7bc8712=::0;j69;:;<0:47<51k16>5kn:576?84?l;095o522811>7?e3482;54;549>6d1d2=?37p}=9ec94??|5;3om76k9:?10;661>;50o21>4l4=3:f4?22=2794n6528`897?50382n63=a4;900><5;3=i7::5:p65h6:3;a?84?m80?985229a:>7?e3482>44=9c9>6<0a2=?>7059z?1=ae=0m301?6l3;661>;5188188;4=3:ee?4>j2794h<5447897>di382n63=93c96<}::0no65j6;<0;g6<3=>16>4?<:576?84?nk095o5229g0>1323483oo4=9c9>6<4e2;3i70<6708710=::h<=69;8;|q1=ac=833p1?7ke;:g=>;50j>188;4=3;20?22=2794km528`897>b<3>>963=8ba96{t:0nm6=46{<0:`c5m;:574?84>9<0?985229dg>7?e3483i84;549>6=ec2;3i70<62e81=g=::0=869;:;<0b2`<3=>1v?7j0;29=~;51l:14i74=3:`1?22=2795<85447897>am382n63=8d49003<5;2hi7<6b:?1=7c=:0h01?784;661>;5i>;18894}r0:a4<720q6>4k>:9f:?84?k<0?9:522834>1323483jk4=9c9>6=c02=?>70<7cg81=g=::08m6?7m;<0:30<3=<16>l9;:574?xu51l81<77t=3;f6?>c12794n85447897?603>>963=91296;51><188;4=3c43?22?2wx>4k<:18:84>m:03h45229a5>1303482=44;549>6<662;3i70<7e88710=::1n:6?7m;<0:74<51k16>498:576?84f?h0?9:5rs3;f0?6=1r795h:58e;897>em3>>963=90d9003<5;3;;7<6b:?1<``=<;51:<1>4l4=3;4`?22=279m4=544:8yv4>m<0;64u228g6>=b>3483nn4;549>6<7e2=?>70<60281=g=::1oi69;:;<0;`6<51k16>4=<:3;a?84>?00?98522`:1>1303ty95h850;;x97?b>32o563=8ca9001<5;3:o7::5:?1=52=:0h01?6jc;661>;50m>1>4l4=3;00?4>j2795:o5447897g?=3>>;6s|28g4>5<>s482i:47d89>6=dc2=?>70<61e8710=::0:>6?7m;<0;aa<3=<16>5j::3;a?84>;<095o52285a>132348j454;569~w7?b03:14v3=9d:9;50lo188;4=3:g2?4>j2795:m5447897g?j3>>;6s|28g:>5<>s482i447d89>6=db2=?<70<6218710=::0:36?7m;<0;b5<3=<16>5j7:3;a?84>;>095o52285f>132348j5l4;599~w7?bi3:15v3=9dc9;50o;188;4=3:g=?4>j2795>6528`897g>n3>>463=96d900365h=:576?84?lh095o52281:>7?e348jm?4;599>6<>72=?>7p}=9da94??|5;3no76k9:?1;519h1>4l4=3:e7?22=2794il528`897?4i382n63=a`7900><5;33=7::5:p64>l:3;a?84?n=0?985229f`>7?e3482?o4=9c9>6dg?2=?370<6838710=z{;3ni7>59z?1=`c=0m301?6l1;661>;51;?188;4=3;3`?4>j2794k;5447897>cl382n63=92a96<}::0om65j6;<0;g4<3=>16>4<9:576?84>8l095o5229d5>1323483hh4=9c9>6<5c2;3i7049k:575?84>?l0?9;52285e>13134824=4;579>6<>62=?=70<6838713=::02869;9;<0:<1<3=?16>48j:575?84>>o0?9;522853>1313482;<4;579>6<152=?=70<6728713=::0=?69;9;<0:30<3=?16>499:575?84>?>0?9;52285:>1313482;l4;579>6<1e2=?=70<67b8713=::h9:69;;;<0b=6<3=?1v?7i1;290~;51o;14i74=3c0f?22?279m?h544:897g2<3>>:6s|28d1>5<4s482j?47d89>6d5f2=?>7054z?0f=1=:1n01?7i3;:g=>;4j>;18864=3cb2wx>4h;:18184>n=03h4522`;e>1313ty95k;50;0x97?a=32o563=a`090006<`121n27052z?1=c1=0m301?6kf;662>{t:0l36=4={<0:b=5kn:575?xu51o31<7c12794h>54448yv4>nh0;6?u228db>=b>3483i<4;579~w7?aj3:1>v3=9g`97::6:p6<`d2909w0<6fb8;`<=::1o869;9;|q1=cb=838p1?7id;:g=>;50l>18884}r0:b`<72;q6>4hj:9f:?84?m<0?9;5rs3;eb?6=:r795kh58e;897>b>3>>:6s|2`23>5<5s48j<=47d89>6=c02=?=7p}=a1394?4|5;k;=76k9:?1<`>=<<<0q~7}::h:965j6;<0;a<<3=?1v?o?3;296~;5i9914i74=3:fb?22>2wx>l>;:18184f8=03h45229ga>1313ty9m=;50;0x97g7=32o563=8da90006d6121n270<7ee8713=z{;k;;7>52z?1e51=0m301?6je;662>{t:h:36=4={<0b4=5h?:575?xu5i931<7c12794k?54448yv4f8h0;6?u22`2b>=b>3483j?4;579~w7g7j3:1>v3=a1`9;50o?18884}r0b4`<72;q6>l>j:9f:?84?n?0?9;5rs3c3b?6=:r79m=h58e;897?7n3>>:6s|2`33>5<5s48j==47d89>6<7f2=?=7p}=a0394?4|5;k:=76k9:?1=46=<<<0q~7}::h;965j6;<0:54<3=?1v?o>3;296~;5i8914i74=3;26?22>2wx>l?;:18184f9=03h4522830>1313ty9m<;50;0x97g6=32o563=90690006d7121n270<6148713=z{;k:;7>52z?1e41=0m301?7>6;662>{t:h;36=4={<0b5=4?8:575?xu5i831<7c12795<654448yv4f9h0;6?u22`3b>=b>3482=44;579~w7g6j3:1>v3=a0`9d;:g=>;518i18884}r0b5`<72;q6>l?j:9f:?84>9m0?9;5rs3c2b?6=:r79m>:6s|2`03>5<5s48j>=47d89>6<472=?=7p}=a3394?4|5;k9=76k9:?1=77=<<<0q~7}::h8965j6;<0:67<3=?1v?o=3;296~;5i;914i74=3;17?22>2wx>l<;:18184f:=03h4522807>1313ty9m?;50;0x97g5=32o563=9379000;4?:3y>6d4121n270<6278713=z{;k9;7>53z?1e71=0m301?o;b;662>;51ko18884}r0b6=<72;q6>l<7:9f:?84fio0?9;5rs3c1=?6=:r79m?758e;897g213>>:6s|2`0b>5<61r795n>524d897?d938>j63=9ba960`<5;3o=7<:f:?1=a4=:;51m>1>8h4=3;g1?42n2795i8524d897?c?38>j63=9b0960`<5;3h?7<:f:?1=f2=:;51j<1>8h4=3;`3?42n2795n6524d897?d138>j63=9bc960`<5;3hn7<:f:?1=fb=:;51jl1>8h4=3;g4?42n279m?l572d8yv4f:j0;6<7t=3;gcj2795i758e`897?b<32on63=9d;9;51ln14il4=3;fa?>cj2795hh58e`897?ci32on63=9e`9;51ml14il4=3;f4?>cj2795h?58e`897?b:32on63=9d19;51l214il4=3c1`?14n2wx>l8h4=3;g=?42n2795h:524d897?b138>j63=9dc960`<5;3nn7<:f:?1=`e=:;51lo1>8h4=3;fb?42n2795io524d897?cj38>j63=9ea960`<5;3oh7<:f:?1=ac=:;51l:1>8h4=3;f5?42n2795h<524d897?b;38>j63=9d7960`<5;3n:7<:f:?1=`1=:;5i;l1;>h4}r0b75<72:q6>4<8:340?84>0<09:>522`12>25a3ty9m><50;cx97?en38>j63=9g0960`<5;3m=7<:f:?1=c6=:;51o?1>8h4=3;e2?42n279m?6524d897g5138>j63=a21936`6<`42;2o7052z?1e63=?:l01?o<6;662>{t:h926=4={<0b7<<0;o16>l=9:574?xu5i:k1<7o572d8yv4f<=0;6<7t=3;e3?42n2795k6524d897g7;38>j63=a1:960`<5;k;57<:f:?1e5g=:;5i9i1>8h4=3c3`?42n279m=k524d897?a138>j63=9gc960`<5;3mn7<:f:?1=ce=:;51oo1>8h4=3;eb?42n279m=>524d897g7938>j63=a10960`<5;k;87<:f:?1e53=:;5i9=1>8h4=3c73?14n2wx>l:7:182=~;5i9l1>8h4=3c24?42n279mj63=a33960`<5;k9>7<:f:?1e75=:;5i;?1>8h4=3c12?42n279mj63=a01960`<5;k:87<:f:?1e43=:6;06b>;5i8=1>8h4=3c2j63=a0a960`<5;k:h7<:f:?1e4c=:f;06b>;5i=31;>h4}r0b0d<72;q6>l<8:3:g?84f5<4s48j884;569>6d372>9m70=7>53z?1e13=<<<01?o:2;50b>;5i:l18894}r0b16<72;q6>l;<:61e?84f=>0?9;5rs3c60?6=:r79m89544:897g2=3=8j6s|2`7;>5<5s48j95483g9>6d3f2=?=7p}=a4;94?4|5;k>5797}::h?i6:=i;<0b1a<3=?1v?o:f;296~;5ih4=3c55?22>2wx>l8<:18184f>:01313ty9m;950;0x97g1?3=8j63=a7;90006d0e2>9m7052z?1e2d=?:l01?o8f;662>{t:h=h6=4={<0b3c<3=116>l9k:61e?xu5i081<713?348j59483g9~w7g>13:1>v3=a8;936`<5;k2h7::6:p6d?f2909w0;5ih:18884}r0b=c<72;q6>l7i:61e?84fi90?955rs3cb5?6=:r79ml?572d897gf;3>>:6s|2`c1>5<5s48jm?483g9>6dg42=?37p}=a`694?4|5;kj8797}::hk>6:=i;<0be3<3=11v?on7;296~;5ih=1;>h4=3cb=?22>2wx>lo7:18184fi1013?3ty9mlo50;0x97gfi3=8j63=a`a90006dgb2>9m7052z?1ed`=?:l01?om0;66<>{t:hh96=4={<0bf7lj8:576?xu5ik91<7c1279ol854478yv4fjh0;6?u22`a7>=b>348hn94;549~w7ge<3:1>v3=ab79;5khi188;4}r0bf2<72;q6>lm7:9f:?84dil0?985rs3ca>96s|2``:>5<5s48jol47d89>6fd52=?>7p}=ac`94?4|5;khn76k9:?1gg0=<7}::hih65j6;<0`f=<3=<1v?omd;296~;5ijn14i74=3aae?22=2wx>llj:18184fkl03h4522b``>1323ty9moh50;0x97gdn32o563=ccg90036db721n27052z?1ea7=0m301?ml2;661>{t:hi96=4={<0b`7nm;:576?xu5im91<7?>{<0bg6<5=o16>lm;:37e?84fkk099k522`a`>73a348joi4=5g9>6deb2;?m70lj=:37e?84fk<099k522`a5>73a348jo:4=5g9>6de?2;?m70>46s|2`f5>5<5s48jh847dc9>6db02>9m7p}=ad;94?2|5;h;>7::8:?1f57=?:l01?l?9;661>;5j9?188;4}r0bad<72:q6>o>8:61e?84e8;0?98522c2:>1313ty9mhl50;0x97d50383h63=aef936`6g6b2;2o7052z?1f7?=:1n01?oke;50b>{t:hon6=4={<0a4c<50m16>ljn:61e?xu5ill1<77>c348jho483g9~w7ga93:1>v3=b3`96=b<5;kn<79;5j;31>8h4=3`1e?42n279n?l524d897ga<3=8j6s|2`d5>5<>s48ji<467d9>6dc520=n70?l16>lk7:85f?84fm?02;h522`g4><1b348jj8483g9~w7ga?3:1>v3=b0a96=b<5;kn=7=i0:p6d`?2909w0h?;|q1ec?=838p1?l>e;0;`>;5il91?k>4}r0bbd<72;q6>o?i:3:g?84fm=08j=5rs3cef?6=:r79n?>529f897gb=39m<6s|2`d`>5<5s48i><4=8e9>6dc12:l;7p}=agf94?4|5;h9>7<7d:?1e`1=;o:0q~7}::k886?6k;<0ba=<4n91v?oif;296~;5iol14i74=3fa0?22>2wx>o>?:18084fno094i522c30>130348i<5;km87::7:?1f50=?:l0q~7}::k:?6:=i;<0a43<3=?1v?l?5;296~;5j9?1;>h4=3`32?22=2wx>o>7:18184e8101303ty9n=750;1x97d713=8j63=ag79001<5;km87::6:p6g6f290:=v3=ad397c7<5;kn>7=i1:?1e`5=;o;01?oj4;1e5>;5il?1?k?4=3cf;5j9818884=3`3=?22?279mi75446897d7<3>>963=b17900>6dc62:l970h=;<0ba1<4n;16>lk::2d1?84fm108j?522`g5>6`5348ji:46g6a21n270o>8:576?84e8=0?95522c26>1303ty9n=m50;fx97gb939m?63=ad097c5<5;kn?7=i3:?1e`2=;o901?oj5;1e7>;5il21?k=4=3cf2?5a;279mh953g1897d6832o563=ae`9000<5;koo7::8:?1f57=<<=01?l?8;663>{t:k:o6=4l{<0ba4<4n=16>lk=:2d7?84fm:08j9522`g7>6`3348ji846dc?2:l?70h;;<0a54ljl:576?84e880?98522c2;>1323ty9n<<50;7x97d7m38>j63=b1d960`<5;h:<7<:f:?1f47=:3;50b>{t:k;?6=4={<0a5fil;:574?xu5j8?1<7c1279ho;54448yv4e9?0;6?u22c3f>=b>348on;4;579~w7d6?3:1>v3=b0d9=7::7:p6g7?2909w0;5=<918894}r0a5d<72;q6>o<=:9f:?842==0?9:5rs3`2f?6=:r79n?=58e;89732=3>>;6s|2c07>5<69r79mh?512d897gb:3;8j63=ad1956`<5;kn87?;5il<1=>h4=3cf3?74n279n?658e;897gcm3>>:63=aed9001<5;kn<7::7:?1f54=<<=01?l?9;66<>;5imn188:4=3`30?22>279n=;54448yv4e:<0;6hu22`g2>426348ji?4>409>6dc428>:706<:>;<0ba=<6<816>lk9:062?84fm>0:8<522c0:>=b>348jhh4;549>6dba2=?>70:?1v?l=6;29`~;5il;1=9o4=3cf6?73i279mh=515c897gb<3;?m63=ad7951g<5;kn47?;a:?1e`0=9=k01?oj7;37e>;5j;k14i74=3cgb?22>279mh>544:897d793>>463=b1:900>:4?:by>6dc628>i70lk::06a?84fm10:8o522`g5>42e348ji:4>4c9>6g4e21n27013?348ihi483g9>6gbf2=?37053z?1f`3=<<<01?lj1;50b>;5jmk18894}r0a77<72;q6>n?7:3:g?84d9905<5s48h=l4=8e9>6f752>9m7p}=b2794?4|5;i:n7<7d:?1g45=?:l0q~6}::k9<6:=i;<0a7`<5>:16>o8l:340?xu5j:21<7=t=3`095934897d1=3>i;6s|2c1:>5<4s48i?4483g9>6g5f2;<87053z?1f6g=?:l01?l;5j?21>;=4}r0a7g<72:q6>o=m:61e?84e;j09:>522c4:>7043ty9n>m50;1x97d4k3=8j63=b2f9635<5;h=m7<93:p6g5c2909w0;5j:l1>;=4=3`5`?41;2wx>o=i:18084e;o0704348i:h4=629~w7d383:1?v3=b52936`<5;h?=7<93:?1f3`=:?90q~6}::k>:6:=i;<0a07<5>:16>o9?:340?xu5j=81<7=t=3`76?14n279n9=5271897d0938=?6s|2c60>5<4s48i8>483g9>6g232;<87053z?1f12=?:l01?l;5;057>;5j>91>;=4}r0a00<72:q6>o:::61e?84e522c57>7043ty9n9850;1x97d3>3=8j63=b2;9635<5;h=:7<93:p6g202908w0u22c1a><41348i:54;b69>6g2d2>9m7p}=b5f94?5|5;h8o77=6:?1f3?={t:k>m6=4<{<0a7a<>:?16>o8n:5`4?84e=905<4s48i?h46279>6g0d2=h<7097>53z?1f6`=1;<01?l9d;6a3>;5j<<1;>h4}r0a12<72:q6>o:?:805?84e>l0?n:522c7;>25a3ty9n8750;1x97d39339:63=b7d90g1<5;h>m79u22c67><41348i;?4;b69>6g072>9m7p}=b7394?5|5;h?977=6:?1f25={t:k<86=4<{<0a03<>:?16>o9;:5`4?84e>=0>;63=bef9000<5;hn=7::7:?1fad=<f;66<>;5k9=188;4=3a1e?220279o?l5444897db83>>463=bd090016g1221ni7053z?1f=>=0m301?lna;66<>;5j:2188;4}r0a3c<72:q6>o66:9f:?84eih0?9:522c77>1333ty9n:750;1x97d?i32o563=b`a9000<5;h?47::4:p6g1f2908w0u22c:g>=b>348imo4;569>6g2b2=??7p}=b6f94?5|5;h3i76k9:?1fdd=<<<01?l:0;660>{t:k=n6=4<{<0aoom:576?84e=;0?995rs3`;4?6=;r79n4>58e;897dfi3>>:63=b4490026g?621n270;5jh318864=3`6e?22<2wx>o6<:18084e1:03h4522cc:>130348i9n4;559~w7d?<3:1?v3=b8690q~6}::k3>65j6;<0ae<<3=<16>o8?:577?xu5j1<1<7=t=3`:2?>c1279nlm544:897d1:3>>86s|2c:4>5<4s48i5:47d89>6ggd2=?<7052z?1f33=?:l01?lnf;663>{t:k326=4={<0a23<0;o16>ol>:576?xu5j0k1<725a348in84;549~w7d>k3:1>v3=b7;936`<5;hi;7::5:p6g?c2909w0;5jkh188;4}r0a=c<72;q6>o8l:61e?84ejm0?9:5rs3`b4?6=:r79n;j572d897den3>>;6s|2cc2>5<5s48i:h483g9>6ge62=?<7p}=b`094?4|5;h=j797}::k=;6:=i;<0ag0<3=<1v?ln4;296~;5j>;1;>h4=3``3?22=2wx>oo::18184e?;01323ty9nl850;0x97d0;3=8j63=bb`90036g132>9m70513y>6g102=?<70oli:576?84ek80?98522ca0>131348io84;579>6ge02=?=70olm:575?84ej00?9;522c`4>131348in84;579>6gd42=?=7052z?1fd?=?:l01?lnd;661>{t:kkj6=4={<0aed<0;o16>ook:575?xu5jhh1<725a348imi4;599~w7dfm3:1>v3=b9:96=b<5;hjj79;5jk91;>h4}r0af1<72;q6>o6l:3:g?84ej<05<5s48i4h4=8e9>6gd>2>9m7p}=bcc94?4|5;h3j7<7d:?1fgd=?:l0q~7}::k226?6k;<0afa<0;o1v?lme;296~;5j0:1>5j4=3`ab?14n2wx>om?:18184e18094i522ca2>25a3ty9nn<50;0x97d>:383h63=bb1936`6g?42;2o7052z?1f<2=:1n01?ll7;50b>{t:ki36=4={<0a=0<50m16>om6:61e?xu5jjk1<77>c348ioi483g9~w7ddm3:1=73a348i444=5g9>6g?72;?m70o7;:37e?84e1<099k522c;5>73a348i5:4=5g9>6g>f2;?m70o6j:37e?84e0o099k522cae>25a3ty9ni>50;3784el903h4522cae>131348i;:4;549>6gga2=?=70om>:575?84ek:0?9:522ca6>130348io:4;569>6ge>2=?<7016>ol6:574?84ej>0?9:522c`6>130348in>4;569>6gd62=?<7p}=be394?4|5;ho<76kb:?1fa4=?:l0q~3}::kn=65j6;<0aa0<3=>16>ojm:57;?84elh0?9;522b0b>132348ii=4;579~w7dc<3:1:v3=be59;5jl:188;4=3`f6?2202wx>oj::18084el103h4522cff><41348h>l4;579~w7dc13:1>v3=be:96=b<5;hoi79;5jmo188;4}r0a`c<72;q6>oj8:3:g?84em:0572d897db;3>>:6s|2cg1>5<5s48ii?483g9>6gc42=?>7p}=bd694?4|5;ho:7<7d:?1f`3=?:l0q~7}::ho:6?6k;<0aa`5j4=3`fb?>c12wx>ok7:18184fm:094i522cd3>=b>3ty9nh750;0x97gb<383h63=bg396dc22;2o7052z?1e`0=:1n01?li3;:g=>{t:koh6=4={<0ba2<50m16>oh;:9f:?xu5jln1<773a348iik4=5g9>6g`72;?m70oh;:37e?84en<099k522cd;>25a3ty9nk750;1x97ge:383h63=bg;9;5joi1;>h4}r0aba<72;q6>n>::9f:?84eml094i5rs3a32?6=1r79o=k524d897e7l38>j63=c1a960`<5;i;n7<:f:?1g5g=:;5k921>8h4=3a31?42n279o=9572d8yv4enl0;6>u22b2;>=b>348h<84=8e9>6gca2;2o7p}=bgd94?5|5;i;576k9:?1g5>=:1n01?li0;0;`>{t:j:;6=4<{<0`4dn>6:3:g?84en8094i5rs3a35?6=;r79o=l58e;897e7i383h63=bg096=b6f6d21n270;5k9i1>5j4=3`e0?4?l2wx>n>;:18084d8l03h4522b2g>7>c348ij84=8e9~w7e6<3:19v3=c0:93;663>;5k8:188:4}r0`50<72n?6:9f:?84d980?98522b31>132348h=>4;579>6f452=?=7p}=c0494?2|5;i:m76k9:?1g44=<<<01?m>3;66<>;5k;818894}r0`52<72:q6>n?m:9f:?84d9:0?98522b01>1323ty9oj63=c0;960`<5;i:m7<:f:?1g4d=:d;50b>{t:j;n6=49{<0`65n?7:9fa?84d9003ho522b3b>=be348h=o47dc9>6f7a2>9m7p}=c3294??|5;i;i7<7d:?1g76=0m301?m=5;662>;5jmn18864=3`e3?22=279nkm5444897da03>>:63=c3`9003<5;hn>7::5:p6f462909w0;5k;?18864=3`g`?22=279nk95445897db93>>:63=be`9001<5;ho>7::8:?1g7>=<<=01?lic;663>;5k9=18894=3`e5<5s48h>>4=8e9>6f422>9m7p}=c3494?b|5;i9:76k9:?1g73=<;5jo=18884=3`f5?22=279nil5444897dc:3>>963=c3:9003<5;hmo7::5:?1g51=<<<01?li8;661>;5jl:18894=3`f6?22>2wx>n<8:18184d:?094i522b0;>25a3ty9o?750;0x97e5i3=8j63=c3a9000l4?:3y>6f4e2>9m7053z?1g7c=?:l01?m<5;057>;5k?91>;=4}r0`6c<72:q6>n;522b7`>1d03ty9o>>50;1x97e483=8j63=c239635<5;i>i7<93:p6f562908w0:1v?m<2;297~;5k:81;>h4=3a07?41;279o;>52718yv4d;:0;6>u22b10>25a348h?94=629>6f062;<87p}=c2694?4|5;i88796}::j9>6:=i;<0`73<5>:16>n8;:340?xu5k:<1<7=t=3a02?14n279o>95271897e1=38=?6s|2b14>5<4s48h?:483g9>6f5?2;<87053z?1g6>=?:l01?m<9;057>;5k?=1>;=4}r0`7<<72:q6>n=6:61e?84d;h09:>522b4;>7043ty9o>o50;1x97e4i3=8j63=c2`9635<5;i=57<93:p6f5e2908w0:1v?mh4=3a0`?41;279o;l52718yv4d;m0;6>u22b1g>25a348h?=4=629>6f3c2;<87p}=c2g94?5|5;i8<77=6:?1g0b={t:j>;6=4<{<0`74<>:?16>n;j:5`4?84d<80<5934897e2n3>i;63=c51936`6f54208=70>6:=i;|q1g10=839p1?m<4;;12>;5k?;18o94=3a73?14n2wx>n:7:18184d>;0?n:522b6:>25a3ty9o9o50;1x97e4=339:63=c7190g1<5;i?n79u22b1;><41348h:;4;b69>6f362>9m7p}=c4094?5|5;i8577=6:?1g31={t:j??6=4<{<0`7d<>:?16>n87:5`4?84d=<0l5934897e113>i;63=c45936`6f5d208=70;5k?h18o94=3a6f?14n2wx>n8l:18584d>j03h4522b3g>131348iok4;549>6g102=?=70;5k?o1;>h4}r0`2c<72:q6>n9i:9f:?84di80?95522b0e>1323ty9o:850;1x97e?832o563=c`39001<5;i?n7::4:p6f172908w0u22b:0>=b>348hm?4;599>6f242=??7p}=c6194?5|5;i3876k9:?1gd4=<<=01?m;5;660>{t:j=?6=4<{<0`<0no=:575?84d<>0?995rs3a41?6=;r79o5858e;897ef:3>>963=c5;90026f>021n270o69;;;|q1g2>=839p1?m78;:g=>;5kh;188;4=3a7b?22<2wx>n96:18084d0003h4522bc3>13?348h9<4;559~w7e0i3:1?v3=c9c90q~6}::j2i65j6;<0`e5<3=?16>n;::577?xu5k>i1<7=t=3a;g?>c1279ol>5447897e2?3>>86s|2b5g>5<4s48h4i47d89>6fg42=?37053z?1g=c=0m301?mn3;663>;5kn;l:61e?84di?0?955rs3a:4?6=:r79o8j572d897ef03>>46s|2b;2>5<5s48h9h483g9>6fgf2=?37p}=c8094?4|5;i>j797}::j<;6:=i;<0`e`<3=11v?m64;296~;5k?;1;>h4=3aa4?2202wx>n7::18184d>;013?3ty9o4850;0x97e1;3=8j63=cc6900>6f032>9m7052z?1g33=?:l01?mm8;66<>{t:j326=4={<0`23<0;o16>nln:57;?xu5k0k1<725a348hnh4;599~w7e>k3:1>v3=c7;936`<5;ih<7::8:p6f?c2909w0;5kj>18864}r0`=c<7288p1?mn4;50b>;5kh<18884=3aa0?22>279oo85444897ee03>>:63=ccc9000<5;iio7::6:?1ggc=<<<01?ml0;662>;5kj818884=3a`0?22>279oo<5444897ee83>>:63=c`g9000<5;ijo7::6:?1gdg=<<<01?mn8;662>;5k?o18884}r0`e5<72;q6>no?:61e?84di=0?985rs3ab5?6=:r79ol?572d897ef<3>>:6s|2bc1>5<5s48hm?483g9>6fg32=?<7p}=c`194?4|5;ij?797}::j=m6?6k;<0`e3<0;o1v?mn7;296~;5k1;1>5j4=3abno6:18184d0;094i522bcb>25a3ty9oll50;0x97e?;383h63=c`a936`6f>32;2o7052z?1g=3=:1n01?mm0;50b>{t:jh:6=4={<0`<3<50m16>nl=:61e?xu5kk91<77>c348hn;483g9~w7ee?3:1>v3=c9:96=b<5;ii4792909w0;5kki1;>h4}r0`fa<72;q6>n6m:3:g?84djl05<5s48h4i4=8e9>6fe52>9m7p}=cb194?4|5;i3i7<7d:?1gf2=?:l0q~6}::jo86:=i;<0``2<3=>16>nk=:576?xu5kj=1<78t=3agb?22=279oim5447897eal3>>;63=cd5936`<5;in57::6:?1g`g=<<<0q~<}::jih65j6;<0``6<3=>16>nj::576?84dl80?95522bf4>131348hi?4;599>6a752=?>70;5km9188;4=3ag1?22>279oi?5445897eb;3>>;63=d019003<5;n::7::7:?1`42=<<<01?j>8;662>;5l83188;4=3af2?22>2wx>nmn:18a84dkl03h4522bf0>131348hh84;569>6fb62=?>70i?;:576?84c910?95522e3:>131348hi;4;549~w7edj3:1;v3=cbd9;5l8818884=3f21?22?279oh854458yv4dl90;6?u22bae>7>c348hh<483g9~w7ec:3:1>v3=cbg96=b<5;io?796:=i;|q1ga0=838p1?mlc;0;`>;5km=1;>h4}r0``=<72:q6>nj7:9f:?84dlo0?9;522bf`>1313ty9oi750;0x97ec0383h63=cec936`6fb?2;?m7052z?1gab=0m301?jm6;663>{t:jnn6=4={<0``a<5=o16>nji:61e?xu5kl:1<7lt=3af4?>c1279oij529f897ec?3>>963=cd09000<5;ioj7::7:?1gae=<<=01?jm5;663>;5kon18884=3age?22<279oh75447897ebi3>>96s|2bg2>5<5s48hi=4=8e9>6fc52>9m7p}=cd694?4|5;in<7<:f:?1g`d=?:l0q~7}::jo=6:=i;<0`a2<3=?1v?mj8;296~;5kl31;>h4=3aff?22>2wx>nk6:18184dmh01323ty9ohm50;0x97ea<32o563=d04900>6f`221n27052z?1gc0=0m301?j>8;661>{t:jom6=4={<0`b2i?6:574?xu5ko:1<7c1279h<854448yv4dn80;6?u22bd:>=b>348o=>4;599~w7ea:3:1>v3=cgc97::8:p6f`42909w0;5ko?1>8h4=3ae2?42n279ok9524d897ea038>j63=cg;960`<5;imm7<:f:?1gcd=:{t:jln6=4={<0g43nh;:3:g?xu5kol1<7c1279ok;529f8yv4c890;6?u22e2;>=b>348hj;4=8e9~w7b793:1>v3=d1;9;5ko31>5j4}r0g41<72;q6>i>l:9f:?84dnh094i5rs3f31?6=:r79h=j58e;897eaj383h6s|2e2f>5<>s48o<;4=5g9>6a602;?m70i>m:37e?84c8j099k522e2g>73a348ov3>1749002<5;n:<76k9:p6a762909w06:=i;|q1`44=838p1?j>2;50b>;5l8?188;4}r0g56<72;q6>i?<:61e?84c900?955rs3f20?6=:r79h<:572d897b6=3>>:6s|2e35>5<5s48o=;483g9>6a7?2=?<7p}=d0594?4|5;n:97::8:?1`41=?:l0q~6}::m;i6:=i;<0g67<5>:16>i;?:340?xu5l8i1<7=t=3f2g?14n279hi;6s|2e3g>5<4s48o=i483g9>6a7b2;<87053z?1`4c=?:l01?j>f;057>;5l=i1>;=4}r0g5c<72:q6>i?i:61e?84c:909:>522e6g>7043ty9h?>50;1x97b583=8j63=d339635<5;n?i7<93:p6a462909w0m6?8<;|q1`74=839p1?j=2;50b>;5l;91>;=4=3f65?41;2wx>i<<:18084c::0704348o9?4=629~w7b5<3:1?v3=d36936`<5;n997<93:?1`05=:?90q~6}::m8>6:=i;<0g63<5>:16>i;;:340?xu5l;<1<7=t=3f12?14n279h?95271897b2=38=?6s|2e04>5<4s48o>:483g9>6a4?2;<87053z?1`7>=?:l01?j=9;057>;5l<=1>;=4}r0g6<<72:q6>i<6:61e?84c:h09:>522e7;>7043ty9h?o50;1x97b5i3=8j63=d0f9635<5;n?m7<93:p6a4e2908w0j69l8;<0g6f<0;o1v?j=d;297~;5l8o15?84=3f7f?2e?279h?k572d8yv4c:o0;6>u22e3e><41348o8n4;b69>6a572>9m7p}=d2394?5|5;n9<77=6:?1`1b={t:m986=4<{<0g64<>:?16>i:j:5`4?84c;=03=8j6s|2e14>5<4s48o>?46279>6a372=h<7053z?1`75=1;<01?j:1;6a3>;5l:k1;>h4}r0g7g<72:q6>i<;:805?84c=;0?n:522e1`>25a3ty9h>j50;1x97b5=339:63=d4190g1<5;n8i79u22e0;><41348o9;4;b69>6a232>9m7p}=d5794?5|5;n9577=6:?1`01={t:m><6=4<{<0g6d<>:?16>i;7:5`4?84c<10524d897edk38>j63=cbf960`<5;ihi7<:f:?1gf`=:;5kl818894=3af3??5>2wx>i;n:18184c=003ho522e7a>25a3ty9h8m50;1x97b1k32o563=d9g900><5;n:o7::5:p6a042908w0279h?m54468yv4c=l0;6>u22e4e>=b>348o5=4;549>6a4b2=??7p}=d4d94?5|5;n<<76k9:?1`=`=<<201?j<0;660>{t:m<;6=4<{<0g34i6i:574?84c;;0?995rs3f55?6=;r79h:<58e;897b?n3>>:63=d2690026a1421n270;5l1o18884=3f0e?22<2wx>i8::18084c?<03h4522e:f>132348o?n4;559~w7b1>3:1?v3=d6490q~6}::m=<65j6;<0g16>i:?:577?xu5l?21<7=t=3f4c1279h5j5444897b3:3>>86s|2e4:>5<4s48o;447d89>6a>c2=?>7053z?1`2g=0m301?j60;66<>;5l=<188:4}r0g2g<72:q6>i9m:9f:?84c190?9:522e6;>1333ty9h:m50;0x97b313=8j63=d81900>6a2f2>9m7052z?1`1d=?:l01?j67;661>{t:m=m6=4={<0g0f<0;o16>i76:576?xu5l1:1<725a348o5i4;549~w7b?:3:1>v3=d5d936`<5;n2j7::5:p6a>42909w0;5lh918864}r0g<0<72;q6>i;=:61e?84ci<0?955rs3f;2?6=:r79h8=572d897bf?3>>96s|2e:4>5<5s48o99483g9>6ag>2=?>7p}=d9:94?4|5;n>9797}::m?=6:=i;<0gea<3=<1v?j7a;296~;5l<=1;>h4=3fbb?22=2wx>i6m:18184c=101323ty9h5m50;3184c180130348om84;549>6ag42=?>70i7m:575?84c100?9;522e;4>131348o584;579>6ad62=?=70io6:575?84ci>0?9;522e;0>1323ty9h5j50;0x97b?l3=8j63=d8390036a>b2>9m7052z?1`=`=?:l01?j61;663>{t:m3;6=4={<0g=5<0;o16>i7>:57;?xu5l081<77>c348o58483g9~w7b>>3:1>v3=d7d96=b<5;n2;79;5l0h1;>h4}r0g=f<72;q6>i9=:3:g?84c1m0n3=8j6s|2ec3>5<5s48o:i4=8e9>6ag62>9m7p}=d`094?4|5;n<87<7d:?1`d5=?:l0q~7}::m=>6?6k;<0ge0<0;o1v?jn6;296~;5l><1>5j4=3fb3?14n2wx>io7:18184c?>094i522ec:>25a3ty9hlo50;0x97b00383h63=d``936`6a1>2;2o7052z?1`2g=:1n01?jnf;50b>{t:mh;6=4={<0g3g<50m16>il>:61e?xu5lk81<7?>{<0g2f<5=o16>i8k:37e?84c?=099k522e56>73a348o;;4=5g9>6a102;?m70i9m:37e?84c>l099k522e4e>73a348o;=4=5g9>6a162;?m70>j::371?844l?099?5222a4>7353488o54=539>66e>2;?970<>o>:371?8441l099?5222ab>7353488=o4=539>616?2;?970<;108117=::=;96?;=;<0756<5=;16>>l;:371?844j<099?5222`5>7353488n44=539>66d02;?970<>ll:371?844=0099?52227b>73534889o4=539>664f2;?970<<2c8117=:::8o6?;=;<006`<5=;16>>7353488?<4=539>66542;?970<<358117=:::>>6?;=;<0003<5=;16>>:8:371?844<1099?52226:>73534888l4=539>662e2;?970<<4b8117=:::>:6?;=;<0007<5=;16>>:<:371?844<=099?5222dg>7353488jh4=539>66`a2;?970<;018117=::=::6?;=;<00b=<5=;16>>h6:371?844nh099?5222da>7353488jn4=539>66dc2;?970<<2b8117=:i=h1;>h4=3145?41l279?:<527f89750;38=h63=366963b<5;9<97<9d:?1720=:?n01?=87;05`>;5;>21>;j4=314e?41l279?:l527f8yv4cjj0;6>u22e``>25a348oni4=629>6aea2;<87p}=dcf94?5|5;nih79{t:mhn6=4<{<0gf`<0;o16>ili:340?84cl809:>5rs3fab?6=;r79hoh572d897bd838=?63=de096356ae72>9m70;5lj81>;=4=3fg0?41;2wx>im=:18084ck;0704348oh84=629~w7bd;3:1?v3=db1936`<5;nh87<93:?1`a0=:?90q~7}::mi?6:=i;<0g`2<5>:1v?jl5;297~;5lj?1;>h4=3fag??5>279hnk54c58yv4ck?0;6>u22ea5>25a348oni46279>6aea2=h<7p}=db594?5|5;nh;79{t:mi36=4<{<0gg=<0;o16>ili:805?84cl80?n:5rs3f`=?6=;r79hn7572d897bd8339:63=de090g16aef2>9m70;5lj815?84=3fg0?2e?2wx>iml:18084ckj0<41348oh84;b69~w7bdl3:1?v3=dbf936`<5;nh877=6:?1`a0=7}::mlo6?6k;<0gg`<0;o1v?jk9;296~;5loo1>5j4=3f`b?14n2wx>ijn:18184cno094i522ef3>25a3ty9hil50;0x97c78383h63=de3936`6`662;2o7052z?1a54=:1n01?jk3;50b>{t:mnn6=4={<0f46<50m16>ij;:61e?xu5lml1<77>c348oh;483g9~w7bb93:1>v3=e1496=b<5;no;7969;9;|q1``5=838p1?jjb;:g=>;5lj<18884}r0ga1<72;q6>ikl:9f:?84ck>0?9;5rs3ff1?6=:r79hhj58e;897bd03>>:6s|2eg5>5<5s48oih47d89>6ae>2=?=7p}=dd594?4|5;nnj76k9:?1`fg=<<<0q~7}::ml;65j6;<0ggg<3=?1v?jj9;296~;5lo;14i74=3f`g?22>2wx>ih=:1815~;5lo814i74=d76>13?34o?47::8:?f7g<3=116i?k544:89`462=?370k>4;66<>;b8>0?9552dgc900><5moo69;7;4;599>`f0=<<201il6:57;?8bfk3>>463k9d871==:l0818864=e:6>13?34n<47::8:?g2g<3=116h8j544:89a362=?370j;4;66<>;c;>0?9552d3c900><5m;h69;7;4;599>gc0=<<201nk6:57;?8eck3>>463lcg871==:kj;18864}r0gb6<72:q6>ihk:9f:?84ck<0?98522e``>7?e3ty9hk:50;1x97bam32o563=db49003<5;nih7<6b:p6a`22908w00;6>u22d22>=b>348oo44;549>6ae72;3i7p}=dg:94?5|5;o;>76k9:?1`fg=<{t:ml26=4<{<0f46imm:576?84ck;095o5rs3fee?6=;r79i=:58e;897bdk3>>963=db1966`6221n270;5m9<14i74=3f``?22>2wx>h>6:18084b800704348n>44=629~w7c7i3:1?v3=e1c936`<5;o;n7<93:?1a70=:?90q~6}::l:i6:=i;<0f4f<5>:16>h<8:340?xu5m9i1<7u22d2g>25a348n6`4f2;<87p}=e1g94?5|5;o;i79{t:l:m6=4<{<0f4c<0;o16>h??:340?84b:j09:>5rs3g24?6=;r79i<>572d897c6938=?63=e3f96356`762>9m702;50b>;5m891>;=4=3g1b?41;2wx>h?<:18084b9:0704348n?=4=629~w7c6<3:1?v3=e06936`<5;o:97<93:?1a67=:?90q~6}::l;>6:=i;<0f4d<5>:16>h<::340?xu5m8<1<7=t=3g22?14n279i=75934897c5<3>i;6s|2d34>5<4s48n=:483g9>6`6f208=7053z?1a4>=?:l01?k?b;;12>;5m;<18o94}r0f5<<72:q6>h?6:61e?84b8j02>;522d04>1d03ty9i6`7e2>9m70c;50b>;5m9o15?84=3g1e?2e?2wx>h?k:18084b9m0<41348n>o4;b69~w7c6m3:1?v3=e0g936`<5;o:<77=6:?1a7e=6}::l;m6:=i;<0f54<>:?16>hi;6s|2d02>5<4s48n><483g9>6`74208=707>53z?1a74=?:l01?k>4;;12>;5m::18o94}r0f66<72:q6>h<<:61e?84b9<02>;522d12>1d03ty9i><50;1x97c4:3=8j63=e2;9635<5;o>?7<93:p6`542908w06?8<;<0f0c<5>:1v?k<4;297~;5m:>1;>h4=3g07??5>279i9k54c58yv4b;<0;6>u22d16>25a348n?:4=629>6`372;<87p}=e2494?5|5;o8:79{t:l9<6=4={<0f72<0;o16>h;>:340?xu5m:21<7=t=3g095934897c283>i;6s|2d1:>5<4s48n?4483g9>6`5e2;<87053z?1a6g=?:l01?k<9;;12>;5m<918o94}r0f7g<72:q6>h=m:61e?84b;m09:>522d76>7043ty9i>m50;1x97c4k3=8j63=e2`9=70<5;o>87:m7:p6`5c2908w0:1v?kh4=3g0`??5>279i8;54c58yv4b;o0;6>u22d1e>25a348n8<4=629>6`302;<87p}=e5294?5|5;o?<79{t:l>:6=4<{<0f04<0;o16>h:<:340?84b=109:>5rs3g76?6=;r79i9<572d897c39339:63=e4590g14?:2y>6`242>9m70;5m=915?84=3g6h:::18084b<<0704348n9l4=629~w7c3>3:1?v3=e54936`<5;o?977=6:?1a0?=6}::l><6:=i;<0f0<<5>:16>h;m:340?xu5m=21<7=t=3g7i;6s|2d6:>5<4s48n84483g9>6`542;<87053z?1a1g=?:l01?k;9;;12>;5mh==:805?84b25a3ty9i8<50;0x97c2:3=8j63=e4390g176?c2=?=70o::576?xu5m90;6>u22d43>25a348n:<4=629>6`162;<87p}=e7394?5|5;o==79{t:l<96=4<{<0f27<0;o16>h8<:340?84b?:09:>5rs3g57?6=;r79i;=572d897c1<38=?63=e6696356`032>9m706?8<;|q1a33=839p1?k95;50b>;5m?=1>;=4=3g42?41;2wx>h89:18084b>?0<41348n;84;b69~w7c1?3:1?v3=e75936`<5;o=57<93:?1a21=:?90q~6}::l<36:=i;<0f22<>:?16>h99:5`4?xu5m?31<7h0;6>u22d4b>25a348n:446279>6`102=h<7p}=e7`94?5|5;o=n79{t:lh8>:805?84b?80?n:5rs3g5`?6=;r79i;j572d897c1:339:63=e6090g16`0b2>9m70;5m>>18o94=3g5b?14n2wx>h96:18184b?001d03ty9i:o50;1x97c0i3=8j63=e6`9635<5;o3o7<93:p6`1e2908w0:1v?k8c;297~;5m>i1;>h4=3g4f??5>279i5m54c58yv4b?m0;6>u22d5g>25a348n;k4=629>6`>b2;<87p}=e6g94?5|5;o{t:l=m6=4<{<0f3c<0;o16>h6>:340?84b0o09:>5rs3g;4?6=;r79i5>572d897c0n339:63=e9g90g16`>62>9m70;5m1;15?84=3g;b?2e?2wx>h6<:18084b0:0704348n5<4=629~w7c?<3:1?v3=e96936`<5;o3?77=6:?1a<6=6}::l2>6:=i;<0f<2<5>:16>h7=:340?xu5m1<1<7=t=3g;2?14n279i5;5934897c>93>i;6s|2d:4>5<5s48n4:483g9>6`?42;<87p}=e9:94?5|5;o3479{t:l226=4<{<0f3d<>:?16>h6m:5`4?84b0h0;3>i;6s|2d;6>5<4s48n58483g9>6`?12;<87053z?1a<0=?:l01?k67;057>;5mh=1>;=4}r0f=2<72:q6>h78:61e?84b1109:>522dc;>7043ty9i4650;1x97c>03=8j63=e8;9635<5;oj57<93:p6`?>2908w0:1v?k6a;297~;5m0k1;>h4=3g:g?41;279ill52718yv4b1k0;6>u22d;a>25a348n5l46279>6`gf2=h<7p}=e8a94?5|5;o2o79{t:l3o6=4<{<0f=a<0;o16>h7l:805?84bik0?n:5rs3g:a?6=:r79i4k572d897cfl38=?6s|2d;e>5<4s48n5k483g9>6`?b208=7053z?1ad6=?:l01?k65;;12>;5mh?18o94}r0fe4<72:q6>ho>:61e?84b1?02>;522dc5>1d03ty9il<50;1x97cf:3=8j63=e859=70<5;oj;7:m7:p6`g42908w01v?kn4;297~;5mh>1;>h4=3g:=??5>279il754c58yv4bil0;6?u22dcf>25a348nmi4;b69~w7cfn3:1?v3=e`d936`<5;oim7<93:?1ac?=:?90q~6}::lh;6:=i;<0ff4<5>:16>hh?:340?xu5mk;1<7=t=3ga5?14n279io<5271897ca938=?6s|2d`1>5<4s48nn?483g9>6`d42;<87053z?1ag5=?:l01?km4;057>;5mo91>;=4}r0ff1<72:q6>hl;:61e?84bj<09:>522dd7>7043ty9io;50;1x97ce=3=8j63=ec49635<5;om97<93:p6`d12908w0:1v?km7;297~;5mk=1;>h4=3gau22d`;>25a348nn44=629>6``?2;<87p}=ec;94?5|5;oi579{t:lhj6=4<{<0ffd<0;o16>hm::340?84a8=09:>5rs3gaf?6=;r79iol572d897cek38=?63=eg`96356`dd2>9m70;5mko1>;=4=3ge`?41;2wx>hlj:18084bjl0704348njh4=629~w7cen3:1?v3=ecd936`<5;oh<7<93:?1ac`=:?90q~6}::li;6:=i;<0fg4<5>:16>k>?:340?xu5mj;1<7=t=3g`5?14n279in<5271897`7938=?6s|2da1>5<4s48no?483g9>6`e42;<87053z?1af5=?:l01?kl4;057>;5n991>;=4}r0fg1<72:q6>hm;:61e?84bk?09:>522g26>7043ty9in;50;1x97cd=3=8j63=eb59635<5;l;;7<93:p6`e12909w0;5mj21>;=4=3d3hm7:18084bk10704348m<44=629~w7cd13:1?v3=eb;936`<5;ohm7<93:?1b5g=:?90q~6}::lij6:=i;<0fgg<5>:16>k>m:340?xu5mjh1<7=t=3g`f?14n279inm5271897`7k38=?6s|2da`>5<4s48non483g9>6`ec2;<87053z?1afb=?:l01?km0;057>;5mll1>;=4}r0fg`<72:q6>hoi:805?84bml0?n:522daf>25a3ty9inh50;1x97ce8339:63=edd90g1<5;ohj79u22d`0><41348nj?4;b69>6`b52>9m7p}=ee194?5|5;oi877=6:?1ac5={t:ln?6=4<{<0ff0<>:?16>hh;:5`4?84bl=0i;63=ee7936`6`d0208=70;5mo=18o94=3gg3?14n2wx>hj7:18084bj002>;522dd;>1d0348nh5483g9~w7cc13:1?v3=ecc9=70<5;om57:m7:?1aa?=?:l0q~6}::lhi64<9;<0fbd<3j>16>hjn:61e?xu5mmh1<7=t=3gag??5>279ikl54c5897ccj3=8j6s|2df`>5<4s48nni46279>6``d2=h<7053z?1agc=1;<01?kid;6a3>;5mmn1;>h4}r0f``<72:q6>hli:805?84bnl0?n:522dff>25a3ty9iih50;1x97cd8339:63=egd90g1<5;ooj79u22da0><41348m6`c52>9m7p}=ed194?5|5;oh877=6:?1b55={t:lo?6=4<{<0fg0<>:?16>k>;:5`4?84bm=0i;63=ed7936`6c612=h<7053z?1af1=1;<01?h?7;6a3>;5ml=1;>h4}r0fa=<72:q6>hm7:805?84a810?n:522dg;>25a3ty9ih750;1x97cd1339:63=f1;90g1<5;on579u22da`><41348m6`cd2>9m7p}=edf94?5|5;ohh77=6:?1b5b={t:o:n6=4<{<0e4`<0;o16>k?6:340?84a=109:>5rs3d3b?6=;r79j=h572d897`6838=?63=f5d96356c772>9m701;50b>;5n881>;=4=3d65?41;2wx>k?=:18084a9;0704348m9?4=629~w7`6;3:1?v3=f01936`<5;l:87<93:?1b05=:?90q~6}::o;?6:=i;<0e50<5>:16>k;;:340?xu5n8?1<7=t=3d21?14n279j<85271897`2=38=?6s|2g35>5<4s48m=;483g9>6c702;<87053z?1b41=?:l01?h>8;057>;5n<=1>;=4}r0e5=<72:q6>k?7:61e?84a9h09:>522g7:>7043ty9j<750;1x97`613=8j63=f369635<5;l=?7<93:p6c7f2908w0:1v?h>b;297~;5n8h1;>h4=3d2g?41;279j8l52718yv4a9j0;6>u22g3`>25a348m=i4=629>6c3d2;<87p}=f0f94?5|5;l:h79{t:o;n6=4<{<0e5`<0;o16>k?i:340?84a=l09:>5rs3d2b?6=;r79j=4?:2y>6c472>9m70;5n;81>;=4=3d55?41;2wx>k<=:18084a:;0704348m:?4=629~w7`5;3:1?v3=f31936`<5;l997<93:?1b32=:?90q~6}::o8?6:=i;<0e63<5>:16>k89:340?xu5n;?1<7u22g05>25a348m>:4=629>6c002;<87p}=f3594?5|5;l9;79=:?901?h98;057>{t:o836=4<{<0e6=<0;o16>k<6:340?84a>009:>5rs3d1=?6=;r79j?7572d897`5i38=?63=f7c9635l4?:2y>6c4f2>9m70;5n;i1>;=4=3d5g?41;2wx>k704348m8h4=629~w7`5l3:1?v3=f3f936`<5;l;i77=6:?1b1b=6}::o8n6:=i;<0e4c<>:?16>k:j:5`4?xu5n;l1<7=t=3d1b?14n279j<>5934897`3n3>i;6s|2g13>5<4s48m?=483g9>6c76208=7053z?1b67=?:l01?h>2;;12>;5n<;18o94}r0e77<72:q6>k==:61e?84a9:02>;522g71>1d03ty9j>=50;1x97`4;3=8j63=f069=70<5;l>?7:m7:p6c532908w064<9;<0e11<3j>1v?h<5;297~;5n:?1;>h4=3d22??5>279j8;54c58yv4a;?0;6>u22g15>25a348m=:46279>6c312=h<7p}=f2594?5|5;l8;79=1;<01?h:7;6a3>{t:o936=4<{<0e7=<0;o16>k?6:805?84a=10?n:5rs3d0=?6=;r79j>7572d897`6i339:63=f4;90g16c5f2>9m70;5n8i15?84=3d6f?2e?2wx>k=l:18084a;j0<41348m9n4;b69~w7`4l3:1?v3=f2f936`<5;l:i77=6:?1b0b=6}::o9n6:=i;<0e5c<>:?16>k;j:5`4?xu5n:l1<7=t=3d0b?14n279j?>5934897`2n3>i;6s|2g63>5<4s48m8=483g9>6c46208=7053z?1b17=?:l01?h=2;;12>;5n?;18o94}r0e07<72:q6>k:=:61e?84a::02>;522g41>1d03ty9j9=50;1x97`3;3=8j63=f369=70<5;l=?7:m7:p6c232908w064<9;<0e21<3j>1v?h;5;296~;5n=?1;>h4=3d51?2e?2wx>k:9:18084a<41348m:;4;b69~w7`3?3:1?v3=f55936`<5;l9;77=6:?1b31=6}::o>36:=i;<0e6=<>:?16>k87:5`4?xu5n=31<7=t=3d7=?14n279j?75934897`113>i;6s|2g6b>5<4s48m8l483g9>6c4f208=7053z?1b1d=?:l01?h=b;;12>;5n?h18o94}r0e0f<72:q6>k:l:61e?84a:j02>;522g4`>1d03ty9j;j50;0x97`1l3=8j63=f6696356c0b2>9m7052z?1b3`=?:l01?h80;057>{t:o=;6=4={<0e35<0;o16>k9>:340?xu5n>;1<725a348m;>4=629~w7`0;3:1>v3=f61936`<5:=8<7::5:p6c132909w06?8<;|q1b23=838p1?h85;50b>;5n><1>;=4}r0e33<72;q6>k99:61e?84a?>09:>5rs3d43?6=:r79j:9572d897`0038=?6s|2g5;>5<5s48m;5483g9>6c1>2;<87p}=f6;94?4|5;l<5797}::o=j6:=i;<0e3g<5>:1v?h8b;296~;5n>h1;>h4=3d4g?41;2wx>k9l:18184a?j07043ty9j:j50;0x97`0l3=8j63=f7f9=706c1b2>9m7052z?1b2`=?:l01?h9f;;12>{t:o2;6=4={<0e<5<0;o16>k9?:805?xu5n1;1<725a348m;?46279~w7`?;3:1>v3=f91936`<5;l32909w0;5n>?15?84}r0e<3<72;q6>k69:61e?84a??02>;5rs3d;3?6=:r79j59572d897`0?339:6s|2g:;>5<5s48m45483g9>6c1?208=7p}=f9;94?4|5;l35797}::o2j6:=i;<0e3d<>:?1v?h7b;296~;5n1h1;>h4=3d4f??5>2wx>k6l:18184a0j0<413ty9j5j50;0x97`?l3=8j63=f8:96356c>b2>9m7052z?1b=`=?:l01?h60;057>{t:o3;6=4={<0e=5<0;o16>k7>:340?xu5n0;1<725a348m5>4=629~w7`>;3:1>v3=f81936`<5;l287<93:p6c?32909w06?8<;|q1b<3=838p1?h65;50b>;5n0<1>;=4}r0e=3<72;q6>k79:61e?84a1>09:>5rs3d:3?6=:r79j49572d897`>138=?6s|2g;;>5<5s48m55483g9>6cg42;<87p}=f8;94?4|5;l25797}::o3j6:=i;<0e=g<5>:1v?h6b;296~;5n0h1;>h4=3d:g?41;2wx>k7l:18184a1j07043ty9j4j50;0x97`>l3=8j63=f8g96356c?b2>9m7052z?1b<`=?:l01?hn0;057>{t:ok;6=4={<0ee5<0;o16>ko>:340?xu5nh;1<725a348mm94=629~w7`f;3:1>v3=f`1936`<5;lj:7<93:p6cg32909w06?8<;|q1bd3=838p1?hn5;50b>;4?:>18884}r0ee3<72;q6>ko9:61e?84ai>09:>5rs3db3?6=:r79jl9572d897`f038=?6s|2gc;>5<5s48mm5483g9>6cg>2;<87p}=f`;94?4|5;lj5797}::okj6:=i;<0eeg<5>:1v?hnb;296~;5nhh1;>h4=3dbg?41;2wx>kol:18184aij07043ty9jlj50;0x97`?l339:63=f`f936`6c>b208=7052z?1b=`=1;<01?hnf;50b>{t:oh;6=4={<0e=5<>:?16>kl?:61e?xu5nk;1<7279jo?572d8yv4aj;0;6?u22g;1><41348mn?483g9~w7`e;3:1>v3=f819=70<5;li?79;5nk?1;>h4}r0ef3<72;q6>k79:805?84aj?05<5s48m5546279>6cd?2>9m7p}=fc;94?4|5;l2577=6:?1bg?=?:l0q~7}::o3j64<9;<0efd<0;o1v?hmb;296~;5n0h15?84=3daf?14n2wx>kll:18184a1j02>;522g``>25a3ty9joj50;0x97`>l339:63=fcf936`6c?b208=7052z?1b<`=1;<01?hmf;50b>{t:oi;6=4={<0ee5<>:?16>km?:61e?xu5nj;1<7279jn?572d8yv4ak;0;6?u22gc1><41348mo?483g9~w7`d;3:1>v3=f`19=70<5;lh?79;5nj?1;>h4}r0eg3<72;q6>ko9:805?84ak?05<5s48mm546279>6ce?2>9m7p}=fb;94?4|5;lj577=6:?1bf?=?:l0q~7}::okj64<9;<0egd<0;o1v?hlb;296~;5nhh15?84=3d`f?14n2wx>kml:18184aij02>;522ga`>25a3ty9jnj50;0x97`dl3=8j63=fe:96356ceb2>9m7052z?1bf`=?:l01?hk0;057>{t:on;6=4={<0e`5<0;o16>kj>:340?xu5nm;1<725a348mh>4=629~w7`c;3:1>v3=fe1936`<5;lo87<93:p6cb32909w06?8<;|q1ba3=838p1?hk5;50b>;5nm<1>;=4}r0e`3<72;q6>kj9:61e?84al>09:>5rs3dg3?6=:r79ji9572d897`c138=?6s|2gf;>5<5s48mh5483g9>6cc42;<87p}=fe;94?4|5;lo5797}::onj6:=i;<0e`g<5>:1v?hkb;296~;5nmh1;>h4=3dgg?41;2wx>kjl:18184alj07043ty9jij50;0x97`cl3=8j63=feg96356cbb2>9m7052z?1ba`=?:l01?hj0;057>{t:oo;6=4={<0ea5<0;o16>kk>:340?xu5nl;1<725a348mi94=629~w7`b;3:1>v3=fd1936`<5;ln:7<93:p6cc32909w06?8<;|q1b`3=838p1?hj5;50b>;4?:;18894}r0ea3<72;q6>kk9:61e?84am>09:>5rs3df3?6=:r79jh9572d897`b038=?6s|2gg;>5<5s48mi5483g9>6cc>2;<87p}=fd;94?4|5;ln5797}::ooj6:=i;<0eag<5>:1v?hjb;296~;5nlh1;>h4=3dfg?41;2wx>kkl:18184amj07043ty9jhj50;0x97`dl339:63=fdf936`6ceb208=7052z?1bf`=1;<01?hjf;50b>{t:ol;6=4={<0e`5<>:?16>kh?:61e?xu5no;1<7279jk?572d8yv4an;0;6?u22gf1><41348mj?483g9~w7`a;3:1>v3=fe19=70<5;lm?79;5no?1;>h4}r0eb3<72;q6>kj9:805?84an?05<5s48mh546279>6c`?2>9m7p}=fg;94?4|5;lo577=6:?1bc?=?:l0q~7}::onj64<9;<0ebd<0;o1v?hib;296~;5nmh15?84=3def?14n2wx>khl:18184alj02>;522gd`>25a3ty9jkj50;0x97`cl339:63=fgf936`6cbb208=7052z?1ba`=1;<01?hif;50b>{t;9:;6=4={<0ea5<>:?16?=>?:61e?xu489;1<7278<=?572d8yv578;0;6?u22gg1><41349;v3=fd19=70<5::;?79;489?1;>h4}r1343<72;q6>kk9:805?8578?05<5s48mi546279>756?2>9m7p}<01;94?4|5;ln577=6:?045?=?:l0q~=?0`83>7}::ooj64<9;<134d<0;o1v>>?b;296~;5nlh15?84=223f?14n2wx?=>l:18184amj02>;52312`>25a3ty8<=j50;6x9667m3=8j63<1dd9001<5:;j=7::6:?04d3=<3}:;8n?69;7;<13fc<3=116?>ml:61e?856j:0?9;5230g`>132349;m44;549~w66683:1?v3<002936`<5:::>7<93:?047g=:?90q~=?1083>6}:;9;:6:=i;<1355<>:?16?=<6:5`4?xu48881<7=t=2226?14n278<<=527189665j38=?6s|3130>5<4s49;=>483g9>75732;<870=?2b8126=z{:::87>53z?0442=?:l01>>>5;057>;48;n1>;=4}r1350<72:q6?=?::61e?8579?09:>52310f>7043ty8<<850;1x9666>3=8j63<0059635<5::9j7<93:p75702908w0=?16847c=:;9;36?8<;<1375<5>:1v>>>8;296~;48821;>h4=2205?41;2wx?=?6:1808579;02>;52310b>1d0349;=l483g9~w666j3:1?v3<0019=70<5::9n7:m7:?044e=?:l0q~=?1e83>6}:;9;?64<9;<136f<3j>16?=?j:61e?xu488l1<7=t=2221??5>2785<4s49;=;46279>754b2=h<70=?23847c=z{::9?7>53z?0441=1;<01>>=f;6a3>;48;>1;>h4}r1360<72:q6?=?7:805?857;90?n:523105>25a3ty8i;63<03:936`>463<020936`<5:n<87::7:?04=?=<?9f;663>;49?n18894=235f?22?278=;7544589671?3>>;63<1779001<5:;=?7::7:?0`d2=<<=01>?=8;661>;49<=188;4=237f?22=278=>h544789674;3>>963<3c79001<5:;==7::7:?0527=<<201>>6e;662>;480l18884=21a6?22=278=kj544489670>3>>;63<1669003<5:;9:7::6:?0572=<<=0q~=?3783>`}:;<3969;8;<103d<3=<16?>oi:576?854i>0?985232;7>13234985?4;549>70?12=?370=:92871==:;<3;6:=i;<10<1<3=<16?>o>:805?8540j02>;5232`2>1313498n?4;599~w664?3:1;v3<0259>6f;661>;49on18894=2312?22=278=?:54478yv57;10;6>u2311;>=b>3498<;4=8e9>75d32=?=7p}<02;94?5|5::8576k9:?0751=:1n01>>n2;662>{t;99j6=4<{<137d8::575?857i=0?985rs220f?6=;r78<>l58e;896570383h63<0`49003755d21n270=<0881p1>>;4;9k1>5j4=2151?22=278=b>349876002=?370=?b48710=z{::8j7>54z?046`=0m301>=?c;0;`>;4;?=18894=22a=?22=2wx?=:?:180857<903h452322g>7>c349;nn4;549~w66393:18v3<0539=96;663>{t;9>96=4;{<1307>i:3:g?857jo0?98523245>1313ty8<9=50;ax9663;32o563<30296=b<5:o:97::6:?0a41=<<<01>k>9;662>;4m8h18884=2g2`?22>278i>:631}:;9>?65j6;<1054<50m16?>8::574?857k:0?985rs2271?6=>;7;290~;48==14i74=2120?4?l278?;;544:8966c;3>>96s|316;>5<4s49;8547d89>76722;2o70=?d68710=z{::?57>53z?041?=0m301>=>6;0;`>;48mo188;4}r130d<72=q6?=:n:9f:?8549>094i523244>131349;i=4;579~w663j3:1?v3<05`9f}:;9>h65j6;<105<<50m16?h?::574?85b9>0?9:523d3:>130349n=o4;569>7`7c2=?<70=j1g8712=:;l8:69;8;<1f66<3=>16?h?<:574?857m?0?985rs227`?6=>:63=e5a9002<5::ni7::5:p752b290?w0=?4d8;`<=:;82:69;9;<0f7d<3=<16?<>l:576?xu48=l1<7mt=227b?>c1278=:75444897c4<3>>963j70;3`5>;4l>h1=n?4=2f4g?7d9278h:751b3896b0i3;h=63<0g69003753721n270=>7c8713=::l9=69;:;<1g3`<6k;16?i9k:0a1?85c?o0:o?523e:3>4e5349o;o4>c39>7a1d28i970=k7882g7=:;m=j6>:1;29g~;48<;14i74=234`?22>279i>65447896b0m3;i?63j8b;3a7>;4l>i1=o=4=2f4=?7e;278h:o51c18967783>>96s|3171>5741a2=?=704d2349o;n4>b49>7a1>28h>70=k7`82f0=:;8:=69;:;|q0405=83ip1>>:3;:g=>;491918884=3g0g?22=278h:k51c0896b0l3;i>63j8c;3a6>;4l>31=o<4=2f4e?7e:278=<<54478yv57==0;6nu23177>=b>349:484;579>6`5b2=?>70=k7d82f<=:;m=o64d>349o;44>b89>7a1f28h270=>198710=z{::>97>5cz?0403=0m301>?77;662>;5m=:188;4=2f4a?7ei278h:j51cc896b0n3;im63j89;3ae>;4l>k1=oo4=232a?22=2wx?=;9:18`857=?03h45230::>131348n8?4;549>7a1b28hi70=k7e82fg=:;m=m64de349o;l4>bc9>744e2=?=7p}<04594?e|5::>;76k9:?05=d=<<<01?k;4;661>;4l>o1=om4=2f4`?7ek278h:h51ca896b?83;io63j8a;3ag>;49:?18884}r131=<72jq6?=;7:9f:?8560m0?9;522d65>132349o;h4>be9>7a1c28ho70=k7g82fa=:;m2;64dc349:8<4;579~w66213:1ov3<04;9=<j8e;3aa>;4l>n1=ok4=2f4b?7em278h5>51cg896b0j3;ii63?;d;662>{t;9?j6=4l{<131d:575?856=00?9;522d6b>132349o;h4>bg9>7a1c28hm70=k7g82fc=:;m2;64da3ty8<8l50;5x9662j32o563<3b59000<5:;n:7::7:?0537=<<<01>?90;661>;48k818884=23:`?2202wx?=;l:180857=j03h452320:>7>c349::>4;549~w662l3:1?v3<04f96}:;9?n65j6;<106g<50m16?<88:576?xu48c1278??m529f8967113>>96s|3143>5<4s49;:=47d89>764c2;2o70=>6c8710=z{::==7>53z?0437=0m301>==e;0;`>;49?n188;4}r1327<72:q6?=8=:9f:?854:o094i52304e>1323ty8<;=50;0x9661;32o563<32296=b750321n270=<308152z?0433=0m301>=<2;0;`>{t;9<=6=4={<1323=<:3:g?xu48?=1<7c1278?>:529f8yv57>10;6?u2314;>=b>3498?84=8e9~w66113:1>v3<07;9>9b;:g=>;4;:21>5j4}r132f<72;q6?=8l:9f:?854;0094i5rs225`?6=:r78<;j58e;89654i383h6s|314f>5<5s49;:h47d89>765e2;2o7p}<07d94?4|5::=j76k9:?076e=:1n0q~=?7183>7}:;9=;65j6;<107a<50m1v>>81;29g~;48>;14i74=2257?4?l278?>;63<67`9=70<5:<<877=6:?0227=1;<01>89e;;12>;4>1:15?84=244`??5>278::o593489600?339:6s|3151>5<689d;661>;4>>?188;4=2442?22=278::<544789600;3>>963<67d9003<5:<<<7::5:?02=7=<872;661>;4>>o188;4=244b?22=278::l544789600k3>>963<66:9003<5:<<57::5:p7514290?w0=?728;`<=:;9<>6?6k;<105f<50m16?<96:574?xu48>>1<7:t=2240?>c1278<;8529f89656l383h63<16`9001751221n270=?66811v>>86;290~;48><14i74=225>;6s|3154>5<3s49;;:47d89>750>2;2o70=<2181=83>p1>>88;:g=>;48?k1>5j4=2115?4?l278=5;54458yv57?00;69u2315:>=b>349;:o4=8e9>76452;2o70=>868712=z{::54z?042g=0m301>>9c;0;`>;4;;91>5j4=23;=?22?2wx?=9m:187857?k03h452314g>7>c3498>94=8e9>74>e2=?<7p}<06a94?2|5::==5;0;`>;491n18894}r133a<72=q6?=9k:9f:?857>o094i523205>7>c349:4k4;569~w660m3:18v3<06g9?61;663>{t;9=m6=4<{<133cho?:575?8561:0?985rs22;4?6=;r78<5>58e;897cf93>>:63<187900375>621n270>72;:g=>;5mh918884=23:=?22=2wx?=6<:1808570:03h4522dc7>131349:5o4;579~w66?<3:1=>u231:7>=b>34984>4;599>76>02=?>70=<888710=:;:2m69;8;<10=5<3=?16?>7j:576?854i?0?9:5230c0>1323498484;549>7611208=70=<978713=:;:k?69;9;<103a<3=116?>9j:575?854100?9;5232;b>1323498mn4;599>76gc2=?<7p}<09794?72s49;4847d89>76>42=?<70=<868713=:;:2m69;7;<10=4<3=<16?>o9:576?854ih0?985230c6>13234984<4;549>76>22=?<70=<768710=:;:=369;:;<10=3<3=>16?>o;:574?854?m0?9:52325f>1303498544;599>76gd2=?=70=>76;2955}:;92=65j6;<10<6<3=<16?>67:576?8540l0?985232:e>13134985i4;549>76gf2=?<70=<788710=:;8h;69;:;<1032<3=>16?>7::805?854i:02>;52325g>1313498;h4;599>76gd2=?>70=52dy>75>021n270=?cc8712=:;9oo69;9;<13<<<3=?16?131349:5:4;579>74?22=?=70=>928713=:;9hh69;9;<1ge1<3=<16?<<7:575?856=>0?9;52306a>131349:?k4;579>74542=?=70=>a28713=:;8o?69;:;<13f1<3=<16?=o;:575?856>90?9;52303`>132349:=;4;549>74772=?>70=>0`8710=:;8:?69;:;<13b`<3=<16?=h7:576?857n;0?985231ga>132349:;<4;579>74eb2=?>70=>fb8713=:;8lo69;7;<12`a<3=<16?<99:575?856?=0?95523005>130349:>94;579>74dc2=?<70=>c48713=:;9o=69;9;<13ed<3=?16?=o9:575?856l00?9;5rs22;5<4s49;4l47d89>76362;2o70=>b48710=z{::3n7>53z?04=d=0m301>=:2;0;`>;49m3188;4}r131323ty8<5j50;1x966?l32o563<34696=b<5:;h<7::5:p75>b2908w0=?8d8;`<=:;:?>6?6k;<12g6<3=?1v>>7f;297~;481l14i74=2162?4?l278=n;54478yv57190;6>u231;3>=b>34989:4=8e9>74e>2=?=7p}<08394?5|5::2=76k9:?070>=:1n01>?lc;662>{t;9396=4<{<13=7;6:3:g?856kl0?9;5rs22:7?6=;r78<4=58e;89652i383h63<1e6900375?321n270=<5c81>65;:g=>;4;5j4=23f2?22>2wx?=79:1808571?03h452327g>7>c349:il4;549~w66>?3:1?v3<0859i7<7d:?05``=<<<0q~=?9983>6}:;93365j6;<101c<50m16?c1278?;>529f8967a:3>>96s|31;b>5<4s49;5l47d89>76062;2o70=>f58710=z{::2n7>53z?04=92;0;`>;49ok188;4}r13=f<72?q6?=7l:9f:?854>:094i52324a>1323498n<4;549>76d52=?<70=>fb8710=z{::2h7>52z?0461=:1n01>>n0;50b>{t;93n6=4={<13=`<0;o16?=o?:575?xu480l1<754478yv57i80;6?u2311:>7>c349;m?483g9~w66f;3:1>v3<02c96=b<5::j8796:=i;|q04d?=838p1>>;48h31;>h4}r13ea<72;q6?==k:3:g?857im06529f8966e<3=8j6s|31`6>5<5s49;?h4=8e9>75d22>9m7p}<0c;94?4|5::8j7<7d:?04g?=?:l0q~=?bc83>7}:;9>;6?6k;<13ff<0;o1v>>md;296~;48=;1>5j4=22aa?14n2wx?=li:181857<;094i5231`e>25a3ty875222;2o70=?cd847c=z{::h47>52z?04fc=<<<01>>la;50b>{t;9i26=4={<13g<<0;o16?=mn:575?xu48jl1<77>c349;h>483g9~w66c=3:1>v3<05196=b<5::o:79>;9;0;`>;48mo1;>h4}r13`c<72;q6?=:n:3:g?857m905<5s49;8n4=8e9>75c22>9m7p}<0d;94?4|5::?h7<7d:?04`e=?:l0q~=?e`83>7}:;9oi6:=i;<13af<3=?1v>>je;296~;48li18864=22fb?14n2wx?=h>:18185725a3ty875`42=?370=?f4847c=z{::m;7>52z?0406=:1n01>>i9;50b>{t;9l36=4={<13b=<0;o16?=h6:575?xu48ok1<77>c349;jk483g9~w66am3:1>v3<0gg936`<5::mj7::6:p74672909w0=?fg871==:;8::6:=i;|q0555=838p1>>:2;0;`>;499?1;>h4}r1241<72;q6?<>;:61e?8568<0?9;5rs2332?6=:r78==;544:89677?3=8j6s|302:>5<5s49;8h4=8e9>746e2>9m7p}<11c94?4|5:;;m790b83>7}:;8:i69;7;<124a<0;o1v>??f;296~;48<91>5j4=2325?14n2wx?1313ty8=<<50;0x967693>>463<101936`75332;2o70=>16847c=z{:;::7>52z?0540=?:l01>?>7;662>{t;8;36=4={<1252<3=116?25a349:=i4;579~w676m3:1>v3<10f900><5:;:j79?=9;661>;49;81;>h4}r126=<72;q6?<>96s|300a>5<5s49:>h4;599>744d2>9m7p}<12294?4|5::>;7<7d:?056>=?:l0q~=>3283>7}:;89369;:;<1276<0;o1v>?<5;296~;49:218864=2302?14n2wx?<=n:181856;=0?9852301a>25a3ty8=>k50;0x96620383h63<156936`74232=?>70=>3g847c=z{:;?=7>52z?0512=<<201>?;2;50b>{t;8>=6=4={<1205<3=<16?<:8:61e?xu49=k1<7572d8yv56132349:8o483g9~w673l3:1>v3<142900><5:;?i794b8710=:;8?86:=i;|q0500=838p1>>:a;0;`>;49h4}r1212<72;q6?<;n:576?856=>0>46s|307`>5<5s49:954;549>743c2>9m7p}<17294?4|5::>n7<7d:?0536=?:l0q~=>6383>7}:;9?h6?6k;<1226<0;o1v>?94;296~;485j4=2351?14n2wx?<89:181857=l094i523044>25a3ty8=;650;0x9662n383h63<17;936`75072;2o70=>6c847c=z{:;=o7>52z?0437=:1n01>?9d;50b>{t;8:1<7ht=2257?42n278<;:524d89661138>j63<07c960`<5::=n7<:f:?043e=:>9d;06b>;48?o1>8h4=225b?42n278<:>524d89661=38>j63<074960`<5::=;7<:f:?043>=:?81;50b>{t;8=96=4={<1334<50m16?<9<:61e?xu49>21<77>c349:;o483g9~w670k3:1>v3<06796=b<5:;>82;0;`>;491;1;>h4}r12<7<72;q6?=98:3:g?8560:05<5s49;;44=8e9>74>02>9m7p}<19:94?4|5::8`83>7}:;9=i6?6k;<12?7c;296~;48>i1>5j4=23;`?14n2wx?<6j:181857?m094i5230:e>25a3ty8=4>50;0x9660m383h63<183936`751a2;2o70=>92847c=z{:;287>52z?04=6=:1n01>?65;50b>{t;83=6=4={<13<4<50m16?<78:61e?xu49021<77>c349:5o483g9~w67>k3:1>v3<09696=b<5:;j?79a2871==:;83n6:=i;|q05d6=838p1>?n1;50b>;49h8188;4}r12e1<72;q6?=6::3:g?856i<0>:6s|30c;>5<5s49:m5483g9>74gf2=?=7p}<1`;94?4|5:;j579ac83>7}:;8ki6:=i;<12ef<3=11v>?nc;296~;49h<18894=23b`?14n2wx?25a3ty8=o:50;0x966?i383h63<1c7936`75>d2;2o70=>b7847c=z{:;h<7>52z?04=b=:1n01>?l0;50b>{t;8i96=4={<13<`<50m16?1<77>c349:o4483g9~w67dj3:1>v3<08396=b<5:;ho79?k0;66<>;49m81;>h4}r12`1<72;q6?=7<:3:g?856l=05<5s49:i?4;569>74bf2>9m7p}<1ea94?4|5:;n>7::5:?05ae=?:l0q~=>dg83>7}:;8nm6:=i;<12a7<3=?1v>?j3;296~;480>1>5j4=23f0?14n2wx?25a3ty8=h950;0x966>>383h63<1dc936`75?02;2o70=>eg847c=z{:;m<7>52z?04<>=:1n01>?i0;50b>{t;8l96=4={<13=<<50m16?1<77>c349:jl483g9~w67a?3:1>v3<1gc9000<5:;m579f9847c=:;8l269;:;|q05cd=838p1>>6c;0;`>;49oo1;>h4}r12bf<72;q6?>96s|30de>5<4s49:jk47d89>77?42=?=70=5bz?0`1?=:1n01>;4>1>18864=252e?220278:i:544:8960f?3>>463<6949003<5:<3;7::7:?0`2?=:j=01>;4=jn188;4}r1144<72kq6?i:n:3:g?8558803h45237`a>13?349<=k4;599>73b>2=?370=9ab871==:;?ho69;:;<15f`<3=>16?i96:3f1?855jj0?955234d:>1323ty8>=<50;5x96b3j383h63<2109j8b;0g`>;4:k<188;4=27g5?22=2wx??><:18485c=b>349=5=4;549>73?62=?<70=k7c81a7=:;;h<69;8;<16`0<3=<1v>5j4=2030?>c1278>o954448960>=3>>963<6849001<5:n2}:;m>n6?6k;<11407b53499n:4;549>70bc2=?>7p}<21494?1|5:n?j7<7d:?0650=0m301>86f;661>;4>h:18894=2f4g?4cl278>o654458963b93>>96s|3324>5<0s49o9=4=8e9>776021n270==b98713=:;?k?69;:;<15e0<3=>16?i9l:3g1?852m<0?985rs203;4=l3188;4}r114<<72>q6?i;=:3:g?8558003h45237cf>132349=mk4;569>7a1c2;n970==b88712=:;j:3;0;`>;4:9k14i74=20a=?22>278:o=54478960e<3>>;63132349>j84;549~w647k3:1nv38ke;66<>;4>k;18864=24;=?220278:k:54478960a=3>>;632}:;m?=6?6k;<114a7e03499nl4;569>70`c2=?>7p}<21g94?1|5:n>;7<7d:?065c=0m301>;4>j=188;4=24`>96s|332e>5<0s49o954=8e9>776a21n270=9cb8710=:;?io69;8;<1g3`<5lm16??ln:576?8518<0?985rs2024?6=?r78h87529f89646832o563<6e39003<5:7::7:?0`2c=:l801>;4>93188;4}r1154<72>q6?i;n:3:g?8559803h45233`a>131349=h;4;549>73b02=?<70=k7g81g2=:;?:o69;:;|q0644=83=p1>j:b;0;`>;4:8814i74=24gf?22=278:im5445896b0n38o>63<2c`9003<5:<:=7::5:p7774290:574?85c?o09hi5233``>130349==84;549~w646<3:1;v38j5;661>;4>l<18894=2f4b?4b:278:<754478yv559<0;6:u23e7f>7>c3499=847d89>73cf2=?>70=9ec8712=:;m2;6?m8;<11ff<3=<16?;?k:576?xu4:8<1<79t=2f6b?4?l278><858e;8960bn3>>963<6g29001<5:n3<78=1;661>{t;;;<6=4m{<1g25<50m16???8:9f:?850880?955237g0>13?349=n;4;599>73>b2=?370=8028710=:;>:?69;8;<1g3<<5m;16??ln:57;?851;90?985rs202j70;0g`>;4>;3188;4}r115<<72>q6?i8=:3:g?8559003h452370g>131349=jh4;549>73`a2=?<70=k8181a7=:;;ho69;:;|q064g=83hp1>j93;0;`>;4:8k14i74=2532?220278:h6544:8960d83>>463<681900><5:=;47::5:?035?=<<=01>j8a;0`3>;4:k318864=2400?22=2wx???m:18a85c>=094i52333a>=b>349<73cc2=?370=9c4871==:;?3369;7;<144a<3=<16?:>j:574?85c?h09h?5233`;>13?349=?54;549~w646k3:1nv38i7;66<>;4>jk18864=24:`?220278;<<544789616;3>>;63g}:;m<=6?6k;<115a13?349=m?4;599>72702=?>70=8198712=:;m=j6?k=;<11f3<3=116?;:?:576?xu4:8o1<79t=2f53?4?l278>>963<70f9001<5:n8;4;663>{t;;;m6=48{<1g2=<50m16???i:9f:?855j?0?9;523602>132349<>?4;569>7a1e2;n970=9498712=z{:89<7>5cz?0676=0m301><=9;66<>;4:0o18864=27`g?22>278>4l54478963?13>>:63<63f9003<5:<==7::6:?061d=<<<01>;4=j918884=27;0?2202wx??<>:1815~;4:;;14i74=2017?220278;9m544589643n3>>963<4d39000<5:3:h7::6:?00ac=<<<01>:kb;662>;4::;188;4=27;7?220278m9>5447896g2l3>>963<74`9003<5:=<:7::5:?03<4=<9ne;661>;4?jk188;4=25f5?22=278;k65447896>7n3>>963<8349003<5:28h7::5:?0<20=<67d;661>;40h>188;4=2:af?22=2784i<5447896>b13>>963<9129003<5:39m7::5:?0=17=<784;661>;411h188;4}r1167<72;q6??<>:3:g?855::0?:58e;896gen3>>963<2219003<5:8887::5:p77422909w0==25816:=i;|q0671=83>p1><=7;:g=>;4ij:18894=27;f?220278>l;54448yv55:10;6?u23304>7>c3499>4483g9~w645i3:1>8u2330b>=b>349jnk4;579>722d2=?>70=n4d8713=:;h13134972ce2=?=70=7038713=:;1;269;9;<1;75<3=?16?5:8:575?85?090?9;5239;4>1313493mh4;579>7=e22=?=70=7db8713=:;1l869;9;<1:4d<3=?16?4?l:575?85>;=0?9;52386a>1313492;h4;579>7m>0?9;52361a>131349j?94;549>77542=?=70==358713=:;h:m69;:;|q067d=838p1><=a;0;`>;4:;i1;>h4}r116a<72>:p1><=d;:g=>;4:0n188;4=20:a?22?278>4l544:89644>3>>963<5b09000<5:<=<7::5:?0ef7=<ol0;662>;4=1k188;4=241a?22>278;9m5444896>1>3>>963;61;661>;4ik218884=207f?22=2789nl544589643:3>>:63<23a9003<5:==;7::5:?0e3?=<o;c;661>;4i;i188;4=2c3=?22=278>96544789675:3>>;63<2379001<5:?2<7::6:?073d=<<=01>;ne;661>;4=k9188;4=27;3?22=2784n<5447896>c13>>963<8dg9003<5:3;;7::5:?0=4?=<7<1;661>;41=2188;4=2;4f?22=27854<544789616>339:63<7039=70<5:=;o77=6:?0351=1;<01>9?2;;12>;4>o915?84=24ag??5>278:5;5934896158339:63<70`9=70<5:=1;<01>8je;;12>;4>l315?84=24f0??5>278:ih59348960ci339:63<6e79=70<5:8l6;;12>;4>j;15?84=24a3??5>278:o<59348960fl339:63<6`:9=70<5:869;;12>;4>0>15?84=24;b??5>278:5o59348963d03>>963<5b;9003<5:8887::7:?0e42=<<<01>;l3;663>;41?k188;4=2;a2?22=2785i<5447896?bm3>>963974;661>;4?h:188;4=25ag?22=278;i;54478961bk3>>963<8119003<5:2:m7::5:?0<67=<6;8;661>;401;188;4=2::d>3>>963<8ef9003<5:2m87::5:?0=5d=<7>e;661>;41:?188;4=2;7g?22=2785:h5447896?>>3>>963<7439003<5:3m57::7:?0567=<<=0q~==2d83>7}:;;8o6?6k;<116c<0;o1v><<1;296~;4:;l18864=2005?14n2wx??==:181855;:01313ty8>>=50;0x9644<3=8j63<227900377512>9m70==388710=z{:88;7>52z?0661=?:l01><<9;662>{t;;936=4={<117=<0;o16??=6:574?xu4::31<7>>544:8yv55;h0;6;:t=200e?>c1278>?>529f89616=3>>963<7029003<5:=;n7::5:?0350=<9?1;661>;4>o8188;4=24af?22=278:5:544789616n3>>963<70c9003<5:8jd;661>;4>l2188;4=24f7?22=278:ik54478960c13>>963<6e69003<5:8l5;661>;4>j:188;4=24a2?22=278:o?54478960fk3>>963<6`59003<5:7::5:?02868;661>;4>09188;4=24;a?22=278:575447896>1:3>>;63<90:900><5:k??7::6:?0e36=<<<01>9:e;662>;4?>h18884=25:3?22>278;o=54448961c83>>:63<7d59000<5:=mi7::6:?0<43=<<<01>6=c;662>;40=918884=2:4e?22>27844=5444896>fi3>>:63<8b39000<5:2o47::6:?0<`b=<<<01>7?6;662>;41::18884=2;73?22>2785:o5444896??m3>>:63<75g900><5:=:;7::6:?0344=<<<01>9?d;662>;4?9218884=2537?22>278:k:54448960el3>>:63<6949000<5:=9=7::6:?034e=<<<01>8ie;662>;4>o318884=24fb?22>278:ho54448960b=3>>:63<6d29000<5:8k1;662>;4>ji18884=24`3?22>278:n<54448960e03>>:63<6c19000<5:8n4;662>;4>0l18884=24:e?22>278:4;54448960>83>>:63<69`9000<5:8j97::8:?0=32=<<=01>7m0;663>;41ji18894=2;f3>>;63<72a9001775f2;2o70==3b847c=z{:88h7>59z?066b=0m301>ome;663>;41o<18894=2564?22?278;9k544489644;3>>;63<226900><5:3mj7::5:?0=cd=<<=0q~==3d83>7}:;;9o6?6k;<117c<0;o1v><;0;29g~;4:=:14i74=2c`4?22027895l544789664:3>>463<0df9003<5:;957::6:?050>=<<<01>?;c;662>;49=:18884=2300?22>278>l=544789657;3>>96s|3362>5<5s4998=4=8e9>77252>9m7p}<25194?56s4998>47d89>775a2=?=70==3b8713=:;;3i69;8;<1b5<<3=>16?llj:575?85f9;0?9:523`a7>1323499?=4;579>7=022=?<70=6688712=:;0h>69;8;<1:`4<3=>16?4kk:574?850?<0?9:52366a>1303493:=4;569>7<052=?<70=6ad8712=:;0ij69;8;<1:a3<3=>16?:=n:574?85>910?98523`3a>132349<8i46279>77g>2=?=70=nc3871==:;h9o69;8;<1b1d<3=>16?:;7:574?8500o0?9:5236ca>13034972bb2=?<70=8f48712=:;1:h69;8;<1;66<3=>16?5=n:574?85??:0?9:5239:b>1303493m<4;569>7=d?2=?<70=7cg8712=:;1o=69;8;<1;ba<3=>16?4<8:574?85>;l0?9:523852>1303492454;569~w643<3:1>v3<25196=b<5:8?979omf;663>;4:::188;4=2c7a?22?278m;l54458961113>>;63<7919001<5:=2j7::7:?03gd=<<=01>9k4;663>;4?lh18894=2:36?22?2784<75445896>483>>;63<8559001<5:23<7::7:?0<<1=<<=01>6ne;663>;40j?18894=2:gg?22?2784k=5445896?7i3>>;63<90a9001<5:3887::7:?0=1d=<<=01>78e;663>;410?18894=2:55?22?2785;=5445896?fn3>>;63<9b`9001<5:3n;7::7:?036d=<<=01>o<4;663>;4?=o18894=2c`6?22?278m=h54458yv55<>0;6?u23365>7>c349985483g9~w64313:14v3<25;9ol0;661>;4=1h18884=2c`0?22?278>l;54458963d;3>>96s|336b>5<5s499844=8e9>772f2>9m7p}<25a94?22s4998n47d89>772b2=?370=84b871==:;<;=69;9;<1646<3=>16?8=>:576?852:o0?9852340:>132349>>:4;549>70422=?>70=:228710=:;<8:69;:;<165c<3=<16?8?k:576?8529k0?9852343:>132349>=?4;549>706b2=?>70=:0`8710=:;=o869;8;<1604<3=<16?8=i:576?852;m0?9852341a>132349>?44;549>70732=?>70=:008710=:;=lm69;:;<17bd<3=<16?9h;:576?853ml0?985235g:>13234998k4;579>70542=?=70=;e08712=:;0;o69;8;<17``<3=>16?9jm:574?852;=0?9852340b>1323499?<4;569>70>42=?>70=:038710=:;<;>69;:;<17b0<3=?16?9k;:575?85f<90?9:523`7g>130349<9o4;569>72112=?<70=8938712=:;>kn69;8;<14gd<3=>16?:k>:574?850n10?9:52392e>1303493>;4;569>7=5c2=?<70=7778712=:;12o69;8;<1;e1<3=>16?5lm:574?85?l;0?9:5239g:>1303492<=4;569>7<4f2=?<70=6408712=:;0=?69;8;<1:1v><;d;296~;4:=i1>5j4=207a?14n2wx??;?:1821~;4:<:14i74=2044?4?l279ilh528`897c6>3>>963=e1;96;5n;n188;4=3d3a?4>j278:5:5445896g583>>463<7469000<5:=>:7::7:?1bdb=<;5mjo188;4=22ff?22>27854l544:8963dl3>>;63<87g900>;5n>n18864=3d:j279ji6528`897`403>>963=f0;96o:5;66<>;411>188;4=3da>963<8639000<5:;;m7::6:?01c?=<<=01>697;662>{t;;?96=4>8z?0604=0m301><82;0;`>;5mk:1>4l4=3g23?22=279i=o528`897`?03>>:63=f9g96;4>1318894=2;6f?22?278;;j544489611n3>>;63<79f9000<5:2:i7::8:?0<=8a;66<>;5mjl188;4=3dba?22=279jhk54478966a:3>>:63<5e39001<5:?3h7::5:p7734290::v3<24198;661>;5m9h1>4l4=3d;7n7;663>;4?1=18884=25b=?22>2784>;544:896>?>3>>963=ee29003<5;ljj7::5:?1b``=<>i8;662>;4=m?18894=25;=?22?2wx??;;:1821~;4:<>14i74=2040?4?l279io<528`897c613>>963=e1a96;5n::188;4=3d25?4>j278:4=5445896?d;3>>;63<7`19000<5:=j97::7:?03f3=<<<01>6;d;661>;5mm;188;4=3da4?22=279jk>54478966am3>>:63<5e;9001;5n0;1>4l4=3dg5?4>j279j>?5447897`6:382n63<68:9001<5:3oj7::7:?03g`=<<<01>9k9;662>;40:<188;4=2:;1?220279ii<5447897`e93>>963=fg39003<5:;;87::6:?01ab=<<=01>9l1;663>{t;;?=6=4>3z?0600=0m301><86;0;`>;5mk>1>4l4=3d;e?22>279j4<528`897`c:382n63=f209003<5;l:?7<6b:?027i5;662>;4?m218884=25ge?22?278;k>5444896>6n3>>963<88a900><5;oo?7::5:?1bg4=<;4=l;18894}r1112<7288p1><:7;:g=>;4:>=1>5j4=3ga1?4>j279j5o544:897`>;382n63=fe1968n2;663>;4i8l18884=25fb?22>278;k?5445896>703>>963<8c1900><5;oo87::5:?1bg5=<;4=l?18894}r111=<7289p1><:8;:g=>;4:>21>5j4=3ga2?4>j279j5l5444897`><382n63=fe6968n7;663>;4?o;188;4=2:32?22>2784=95445896>6m3>>:63<8bc900><5:=8;7::6:?1aa3=<;5no>188;4=27f=?22?2wx??;6:1827~;4:<314i74=204=?4?l279io9528`897`?j3>>463=f87966;0:f>;4>hi18894=2:6b?22>278;io5447896>6l3>>:63<80d9001<5:2897::6:?0<`7=<<201?kk6;661>;5nk?188;4=3de1?22=2789hj54458yv55=h0;6<=t=206e?>c1278>:o529f897ce0382n63=f9a9000<5;l2:7<6b:?1ba0=:0h01?h<6;661>;5n8=1>4l4=24a5?22?2785;?5444896>4<3>>:63<8249001<5:2?o7::5:?0=<<201?kk7;661>;5nk<188;4=3de2?22=2789k?54458961d93>>96s|337a>5<6;r78>8l58e;89640j383h63=ec;96;5n:=188;4=3d2j278:o85445896?fl3>>:63<7`79003<5:2?n7::6:?0<1e=<<=01>675;662>;419l18864=3gg>963<5g79001;5n1>18884=3db7?4>j279jh=528`897`3;3>>963=f36967::7:?0=3b=<<<01>79f;663>;41h;18884=2c46?22027858?5447897cb<3>>963=fb19003<5::;?7::5:?01<5=<=70;66<>;498:18884=2411?22?2wx??;k:1827~;4:>:63=f8;96a;0:f>;4>j:18894=2;`=?22>27845:5444896>?>3>>;63<88a9000<5:39>7::8:?1aag=<;5no3188;4=27e`?22?278;5754478yv55=l0;6<=t=206a?>c1278>:k529f897cek382n63=f6g900><5;l2m7<6b:?1bag=:0h01?h;5n8h1>4l4=24`1?22?2785h;544489611n3>>963<88`9000<5:22h7::7:?07<9;66<>;5mmh188;4=3dae?22=279jko54478960793>>;6s|337e>5<6;r78>8h58e;89640n383h63=ecf96;5n:h188;4=3d2g?4>j278:no5445896g7i3>>:637::6:?06la;662>;41<:18864=3ggg?22=279jol5447897`aj3>>963<6179001;5nmi1>4l4=3d0g?22=279j>;636j1;662>;411918864=3gg`?22=279jom5447897`ak3>>963<61;9001<5:k9h7::6:p7706290:?v3<2739;5n0n1>4l4=3dg`?4>j279j>j5447897`6m382n63<6e69001<5:k8n7::5:?0<`6=<<<01>6j2;663>;40o218884=2503?220279iik5447897`el3>>963=fgf9003<5:32n7::6:?025b=<<=0q~==6383>44|5:8=>76k9:?06=4=:1n01?kl0;0:f>;5n1:18864=3d:a?4>j279jik528`897`4m3>>963=f0d96o>d;661>;40o=18884=2:e=?22?2785=h5444897ccn3>>963=fcg9003<5;lmi7::5:?0247=<<=0q~==6283>44|5:8=?76k9:?06=5=:1n01?kl1;0:f>;5n1;18884=3d:b?4>j279jih528`897`4n3>>963=f32967i3;661>;419o18884=2;24?22?2785?<5444897cb83>>963=fcd9003<5;lmj7::5:?0243=<<=0q~==6583>44|5:8=876k9:?06=2=:1n01?kl2;0:f>;5n1;18864=3db4?4>j279jh>528`897`383>>963=f33967k7;661>;41;;18884=2;17?22?2785>75444897cb93>>963=fb29003<5::;<7::5:?024?=<<=0q~==6483>44|5:8=976k9:?06=3=:1n01?kl3;0:f>;5n1818884=3db5?4>j279jh?528`897`393>>963=f30967l9;66<>;41:218884=2;0e?22?27858>5444897cb:3>>963=fb39003<5::;=7::5:?024b=<<=0q~==6783>44|5:8=:76k9:?06=0=:1n01?kl4;0:f>;5n1818864=3db6?4>j279jh<528`897`3:3>>963=f31967j5;66<>;41=l18884=2;65?22?27855=5444897cb;3>>963=fb09003<5::;>7::5:?0277=<<=0q~==6683>41|5:8=;76k9:?06=1=:1n01?kl7;0:f>;5m8n188;4=3g3b?4>j279j5:544:897`f>382n63=fd4969?1;663>;41k318884=2;af?22?2785oj54448961083>>463<92c9003<5;on;7::5:?1bf0=<>?6;661>;4=0<188;4=2322?22>278?5:544:8960483>>;6s|334;>5<6:r78>;658e;8964?0383h63=eb496;5n=>188;4=3d11?4>j278:k95445896>1i3>>:63<5:33>7::6:?0==2=<<=01>76d;661>;5ml?188;4=3d`0?22=278<=:54478960513>>;6s|334:>5<59r78>;758e;8964?1383h63=f91900><5;lj97<6b:?1b13=<<=9;661>;4:0n18864=20:b?22?2789nm544789643=3>>963<25c9001<5:?h97::5:?0234=<<<01>omb;663>;4>=i18884=24eg?22?27894<544489605m3>>963<98;9000<5:32h7::7:?0300=<891;663>;5nj?188;4=2231?22=279ih854478963>>3>>;63<5819001<5:?hn7::6:?061>=<<201><68;663>;4:0318884=27`278m?j544:8yv55>h0;6<9t=205e?>c1278>5o529f897cd0382n63=e0g9003<5;o:<7<6b:?1b=3=<<<01?hn7;0:f>;5nl=1>4l4=3d73?22=279j?9528`89617>3>>;63<9e79000<5:3o;7::7:?0=a?=<<<01>97d;66<>;41;9188;4=3gf>963<10a9000<5:93o7::6:?018<4;663>{t;;7z?063d=0m301><7b;0;`>;5mj31>4l4=3g2b?22=279i>463=f`:96=<;4?9h18894=231e?22=2785k?5444896?a;3>>;63<9g59000<5:=j57::8:?0=46=<;5nj2188;4=223>963<62:90012;0:f>;5n1<18884=3db=?4>j279jh7528`897`313>>963=f3;96o>d;663>;4i;:18884=25`1?2202784k75447897cbi3>>963<3869001<5;lh57::5:?045?=<?;4=h?188;4=240g?22?2wx??8k:1823~;4:?n14i74=20;`?4?l279inl528`897c593>>963=e0196;5n=k188;4=3d1e?4>j278;<;5445896g413>>:6397::6:?03a?=<<201>6j2;661>;5mlh188;4=3d`e?22=278<=o54478967313>>963<3`39000<5:4>|5:8=i76k9:?06=c=:1n01?klc;0:f>;5m;8188;4=3g20?4>j279j595444897`fj382n63=fd`969>a;663>;4i<818884=2c60?22?278m:<54448961a83>>463<8b`9003<5:=9o7::7:?1a`e=<=n7;66<>;5njh188;4=223f?22=278=8;544789603<3>>:63<5`d90035;0:f>;5n1=18864=3dbg?4>j279jhm528`897`3k3>>963=f3a96o81;663>;4?>:18884=2:33?22=2784o:54478965fn3>>463=edf9003<5;lho7::5:?045e=<?:f;661>;4>=218884=27a0?22=27848954458yv55?90;6<9t=2044?>c12788kl54478962bi3>>:63<4d49000<5:>n?7::5:?0142=<<=01>7i6;662>;4?<:18884=2700?22?2789?o544589637:3>>:63<5079000<5:>nj7::6:?036e=<<201>;?c;662>;4=92188;4=26eg?22=2788k954458962a93>>;63<4d`9000<5:3mj7::7:?0=cd=<;>0;661>{t;;=:6=4>7z?0627=0m301>:ib;662>;4>:63<870900><5:3m:7::5:?0306=<;>7;66<>;4>:63<4g59000<5:>m=7::6:?00`d=<7if;662>;41oh18884=2701?22=2789?l54448963683>>;63<51690037g612;2o70==738;`<=:;=o269;9;<141`<3=>16?i9j:0c2?85c?m0:m<523e5e>4g6349o4=4>a09>7a1e28k:70=k7b82e4=:;m=26;<1g3d<6i81v><83;29g~;4j9=1>5j4=2047?>c12788hk544489610j3>>;63j70;3b6>;4l>h1=l<4=2f4g?7f:278h:751`0896b0i3;j>6s|3357>5771321n270=;f58713=:;>3<69;8;<1g3`<61:16?i9k:0;0?85c?o0:5>523e:3>4?4349o;o4>929>7a1d283870=k7882=6=:;m=j6<7<;|q0623=83ip1>l?9;0;`>;4:>?14i74=26ee?22>278;o=5445896b0m3;2963j8b;3:1>;4l>i1=4;4=2f4=?7>=278h:o51878yv55??0;69u23c2b>7>c3499;;47d89>71`a2=?=70=8d18712=z{:8<;7>54z?0f5d=:1n01><87;:g=>;4=9;18884=25f3?22?2wx??97:18785e8j094i52335;>=b>34970652=?37p}<26;94?5|5:8<576k9:?015g=<<<01>6>5;663>{t;;=j6=4<{<113dj:575?85?:j0?9:5rs204f?6=;r78>:l58e;89636:3>>:63<8519001;=a;662>;4=8=18884=2f4a?7>:278h:j5180896b0n3;2>63j89;3:6>;4l>k1=4<4=26e1?22=2788h:5447896?1<3>>463<5279000<5:?;87::6:p771c2908w0==7e8;`<=:;1=j69;8;<1650<3=11v><8e;297~;4:>o14i74=272=?22>27844=54458yv55?o0;6>u2335e>=b>349>=o4;579>7=gf2=?<7p}<29294?2|5:h;i7<7d:?06=6=0m301>;>d;662>;40j;18894}r11<4<72=q6?o>i:3:g?8550803h452343e>1313493h54;569~w64?:3:18v376k9:?0177=<<<01>6jd;663>{t;;286=4;{<1a54<50m16??6<:9f:?852::0?9;523825>1303ty8>5:50;0e8550=03h4523e4f>131349o:k4;579>7d7>2=?=70=n138710=:;<8>69;9;<1a5f<3=?16?58::576?85>>00?985238`6>1323492h<4;549>770=8748710=:;>>i69;:;<1;25<3=116?48=:57;?85>il0?955238ab>13?3492i;4;599>725f2=?370=6158713=:;h;i69;9;<1a5d<3=?16?::i:576?85c>m0?9:523`1g>132349j9l4;549>723?2=?>70=88g8710=:;>ki69;:;<14g2<3=<16?:jj:576?850n<0?9852392`>1323493>>4;549>7=5f2=?>70=7728710=:;12j69;:;<1;e4<3=<16?5l7:576?85?ko0?985239g5>1323493ji4;549>7<402=?>70=63d8710=:;0=:69;:;<1:<=<3=<1v><75;297~;4:1?14i74=2713?22>2785>>54458yv550?0;6>u233:5>=b>349>>44;579>7<202=?<7p}<29594?7?s49i=?4=8e9>77>021n270=;e68713=:;<9869;:;<1652<3=<16?i9j:0;:?85c?m0:54523e5e>4?>349o4=4>989>7a1e283270=k7b82=<=:;m=26<76;<1g3d<61016?4l?:57;?8528j0?9:52342;>130349?jn4;569>71`02=?>70=;f08710=:;=oi69;8;<1670<3=>16?81303ty8>5650;1x964?032o563<53d9000<5:3>2908w0==888;`<=:;<9:69;9;<1:<`<3=>1v><7a;2956}:;k;86?6k;<11131349>=:4;569>7a1b283j70=k7e82=d=:;m=m6<7n;<1g<5<61h16?i9m:0;b?85c?j0:5l523e5:>4?f349o;l4>9`9>71`22=?<70=;e58712=:;0ih69;7;<166g<3=>16?8>;:57;?xu4:1h1<7mt=2`20?4?l278>5l58e;8963413>>:63j70;3:f>;4l>h1=4l4=2f4g?7>j278h:7518`896b0i3;2n63<9d:900>7g722;2o70==8b8;`<=:;<9i69;9;<1g3`<61j16?i9k:0;`?85c?o0:5n523e:3>4?d349o;o4>9b9>7a1d283h70=k7882=f=:;m=j6<7l;<1b53<3=11v><7d;29g~;4j8<1>5j4=20;`?>c12789>j5444896b0m3;2h63j8b;3:`>;4l>i1=4j4=2f4=?7>l278h:o518f896g4>3>>46s|33:f>577>b21n270=:3g8713=:;h>869;8;<1g3`<61l16?i9k:0;f?85c?o0:5h523e:3>4?b349o;o4>9d9>7a1d283n70=k7882=`=:;m=j6<7j;|q06=`=83ip1>l>8;0;`>;4:1l14i74=2775?22>278m;>5445896b0m3;2j63j8b;3:b>;4l>i1=4h4=2f4=?7>n278h:o518d8yv55190;6:u233;3>=b>349o:h4;549>7a0a2=?>70=m1b8712=:;k;j69;8;<11==<3=<16??76:574?xu4:0;1<7;t=20:5?>c1278oi:529f896b0:3>>:63<28d9000<5:n=m7::5:p77?52909w0=>fg8173a34994n4=5g9>77>c2;?m70==8d811c=:;;2m6?;i;<1137<5=o16??9<:37e?855?=099k523356>73a3499;;4=5g9>77102;?m70==79811c=:;;=26?;i;<113d<5=o16??9m:37e?855?m099k52335f>73a3499;k4=5g9>77>72;?m70==80811c=:;;296?;i;<11<6<5=o16??6;:37e?8550<099k5233:5>73a3499454=5g9>77>>2;?m70==94847c=z{:82:7>52z?06<6=:1n01><6a;50b>{t;;3<6=4={<11==<0;o16??7n:575?xu4:021<74o54478yv551k0;6?u233;a>25a3499554;579~w64>k3:1>v3<28396=b<5:82j79<6e;50b>;4:0l18864}r11e5<72=q6?>8n:574?85c?=0?9;5233c;>25a3498n?4;579~w64f93:18v3<2`3936`<5:8j47::6:?06de=<<<01>=?2;661>{t;;k96=4;{<11e7<0;o16??o7:574?855ij0?9:523221>13?3ty8>l=50;6x964fk3>>463<3109001<5:8j?790q~==a483>7}:;;k:69;:;<11e3<0;o1v>>524d89654938>j63<324960`<5:98;7<:f:?076>=:=<9;06b>;4;:k1>8h4=210f?42n278?>m524d89654l38>j63<320960`<5:98?7<:f:?0762=:=<5;06b>;4;9<1>8h4=2124?42n278?<;524d89656>38>j63<305960`<5:9:47<:f:?074?=:=?7;06b>;4;921>8h4=213=?42n278?=o524d89657j38>j63<31a960`<5:9;h7<:f:?075c=:=?f;06b>;4;8;1>8h4=2126?42n278?<=524d89656<38>j63<30c960`<5:9:n7<:f:?0776=:==1;06b>;4;;81>8h4=2117?42n278??:524d89655=38>j63<334960`<5:99;7<:f:?074e=:=>d;06b>;4;8o1>8h4=212b?42n278?=;524d89655138>j63<33c960`<5:99n7<:f:?077e=:==d;06b>;4;;o1>8h4=211b?42n278?8?524d89652:38>j63<34`960`<5:9>o7<:f:?070b=:=:e;06b>;4;8h4=2154?42n278?;?524d89651:38>j63<341960`<5:9>87<:f:?0703=:=:6;06b>;4;<=1>8h4=216j63<2`a936`77d22>9m70=?338712=:;9oo69;7;<126<<3=116?<;7:57;?85613?349:?94;599>77g42=?=70=<028713=z{:8ji7>52z?06dc=?:l01>{t;;km6=4={<11ec<0;o16??l?:340?xu4:k:1<7o?52718yv55j80;6?u233`2>25a3499n?4=629~w64e:3:1>v3<2c0936`<5:8i?7<93:p77d42909w0==b2847c=:;;h?6?8<;|q06g2=838p1>;4:k?1>;=4}r11f3<72;q6??l9:61e?855il02>;5rs20a3?6=:r78>o9572d8964fn339:6s|33`;>5<5s499n5483g9>77d7208=7p}<2c;94?4|5:8i5797}:;;hj6:=i;<11f7<>:?1v>h4=20a7??5>2wx??ll:181855jj0<413ty8>oj50;0x964el3=8j63<2c79=706`432>9m70=?eb8710=z{:8ij7>52z?1a73=?:l01>>i3;661>{t;;i;6=4={<0f63<0;o16?=h6:576?xu4:j;1<725a349:<84;549~w64d;3:1>v3=e3;936`<5:;;n7::5:p77e32909w0;498=188;4}r11g3<72;q6>h>;6s|33a;>5<5s48n>h483g9>74532=?<7p}<2b;94?4|5;o9j797}::l9;6:=i;<120f<3=>1v>h4=23613234998k483g9>77g52=?<70=6658710=:;0h;69;:;<1:gf<3=<16?4k7:576?85f9?0?98523`15>132349v3=e5f936`<5::ni7::6:p77`32909w0;48ok18884}r11b3<72;q6>h;?:61e?856890?9;5rs20e3?6=:r79i8?572d89677>3>>:6s|33d;>5<5s48n9>483g9>746d2=?=7p}<2g;94?4|5;o>8797}::l?>6:=i;<125=<3=?1v>h4=232a?22>2wx??hl:18184b=>01303ty8>kj50;0x97c203=8j63<12:90016`3>2>9m70=>458712=z{:8mj7>52z?1a0g=?:l01>?:0;663>{t;::;6=4={<0f1g<0;o16?<;n:574?xu4;9;1<7>=:61e?857mm0?9:5231::>130349:n84;569>74?e2=?<70=>988712=:;83<69;8;<12=0<3=>16?<7<:574?857jj0?9:523ec7>131349:>54;569>74302=?<70=>4c8712=:;89m69;8;<1276<3=>16?130349;m94;569>74072=?<70=>1b871==:;8;=69;7;<1255<3=116?<>n:57;?8568=0?955231df>13?349;j54;599>75`52=?370=?ec871==:;8=:69;8;<12g`<3=>16?132349:;94;569>74412=?370=>25871==:;8ho69;9;<12g0<3=>16?=k9:574?857ih0?9:5231c5>130349:h44;569~w657=3:18v3<3179?84;662>{t;::=6=4={<1043c1278moj544489675;3>>963<021900><5:;>o7::6:?0504=<<<01>?;6;662>;49:k18884}r104=<72;q6?>>7:9f:?85fjm0?985rs213=?6=jr78?=758e;89644>3>>:63<64d9000<5:;9m7::6:?050`=<<<01>?:5;662>;49=318884=230`?22>278>?;544789664;3>>;63<1239003766f21n270==36871==:;=?b;:g=>;4:;318894=20:a?22>278>>954458963d=3>>:63<6709001<5:<>j7::5:?0egd=<<<01>8;c;663>;4=12188;4=241g?22>278>9l544:8963dj3>>963<5b;9000<5:?387::5:p766d2902w0=<0b8;`<=:;;9<69;9;<16<=<3=?16??o6:576?854>00?9:52330`>13034984=4;569>70?72=?>70=<6c8713=z{:9;h7>58z?075b=0m301><63;663>;4::=188;4=246b?220278mom544589675;3>>;63<2349003<5::8?7::6:p766b2908w0=<0d8;`<=:;;9369;7;<1107<3=<1v>=?f;291~;4;9l14i74=200g?22=2789n?544489645n3>>963767721n270=nbb8713=z{:9:=7>53z?0747=0m301><=3;661>;4::218894}r1057<72?=:9f:?855;o0?985234a2>1323499>k4;579>7de52=?=7p}<30194?d|5:9:?76k9:?066>=<<<01>8:f;663>;49;k18894=236b?22?278=8;54458967313>>;63<12f9001<5:89:7::6:?0465=<?<1;66<>{t;:;?6=4<{<1051>96s|3235>5<5s498=;47d89>7ddc2=?37p}<30594??|5:9:;76k9:?0660=<<=01>omd;663>;4=1218894=27:5?22?278>?854458963fm3>>:63<5c19000<5:?3;7::6:p767?2909w0=<198;`<=:;?>h69;7;|q074?=838p1>=>9;:g=>;4>=i188;4}r105d<72;q6?>?n:9f:?850=<0?985rs212f?6=:r78?1i3>>;6s|323`>5<5s498=n47d89>72162=?>7p}<30f94?4|5:9:h76k9:?03=>=<7}:;:;n65j6;<14e1<3=<1v>=>f;296~;4;8l14i74=25`4?22=2wx?>1323ty8???50;0x9655932o563<9cc9003?4?:3y>764521n270=6d78710=z{:99?7>52z?0775=0m301>7i2;661>{t;:8?6=4={<1061c1278m??54478yv54:?0;6?u23205>=b>349j9;4;549~w655?3:1>v3<3359p1>==9;:g=>;5m?h18884=2510?22>278=?=54448yv54:h0;69u2320b>=b>348n:n4;579>745f2=?<70=76c8713=z{:99n7>54z?077d=0m301?k9d;662>;41<<18894=2372?22?2wx?>1313492m?4;569>74352=?<7p}<33f94?2|5:99h76k9:?1a3`=<<<01>7me;663>;49lh0?9:5rs211b?6=;r78??h58e;896?a?3>>463<9g;9000765721n270?>69;7;|q0767=839p1>=<1;:g=>;5m8h18884=2:5a?22>2wx?>==:180854;;03h4522d34>131349<:h4;579~w654;3:1?v3<3219=<<20q~=<3583>6}:;:9?65j6;<0f5<<3=?16?:o;:57;?xu4;:?1<7=t=2101?>c1279i>46s|3215>5<4s498?;47d89>6`7d2=?=70=66d871==z{:98;7>53z?0761=0m301?k>d;662>;41kk18864}r107=<72:q6?>=7:9f:?84b9l0?9;5238f5>13?3ty8?>750;1x9654132o563=e0d9000<5:3m>7::8:p765f2908w0=<3`8;`<=::l8;69;9;<1b5f<3=?1v>=278m>o54448yv54;j0;6>u2321`>=b>348n>?4;579>7d342=?=7p}<32f94?5|5:98h76k9:?1a75=<<<01>o80;662>{t;:9n6=4<{<107`8n:576?854j:02>;5rs210b?6=h58e;89611m3>>463=e6;9003<5:?3:7::7:p7627290>w0=<418;`<=::l=<0?955rs2175?6==r78?9?58e;897c1k3>>963=e73960}:;:>965j6;<0f2a<3=<16>h8=:3;a?85>jm0?955234;a>13?3ty8?9=50;7x9653;32o563=e7g9003<5;o=?7<6b:?0=a?=<<201>;n0;66<>{t;:>?6=4:{<1001h8;:3;a?85f9j0?95522d4e>132349>m84;599~w653=3:18v3<3579{t;:>=6=4;{<100310?985234cg>1303ty8?9950;6x9653?32o563<5;o=m7::5:?01g4=<<=0q~=<4983>=}:;:>365j6;<107c<50m16?>96:575?84b1=0?98522dcf>1323498;:4;579>754?2=??70=:8e871==z{:9?57>59z?071?=0m301>=;0;0;`>;5mh:188;4=3g:1?4>j27894;54448966693>>963=e9c9002<5:9f}:;:>j65j6;<1004<50m16>ho>:576?84b1?095o5232:0>131349>554;599>76>?2=?=70=<8d8712=::l?o69;7;<0f3f<3=<16?>78:57;?8579h0?995rs217f?6=jr78?9l58e;89653:383h63=e`09003<5;o2;7<6b:?01=78;663>;4;1o18864=3g6`?22?279i:k54478965>?3>>:63<00a9002762d21n270=<4281132348n9i4;579>6`>72=?>70=<968712=:;9;n69;;;|q071b=83kp1>=;d;:g=>;4;=>1>5j4=3gb0?22=279i47528`8963f<3>>463=e4f9003<5;o3>7::5:?07<0=<=67;661>;48;:188:4}r100`<72hq6?>:j:9f:?854<<094i5232;g>130348n9k4;579>6`>32=?>7016?=<=:577?852i00?955rs217b?6=ir78?9h58e;89653>383h63=e4d9003<5;o3:7::5:?1a=n9;662>;4;h>188;4=21b1?22>278>46s|3273>576202;2o70=<78871==::l2369;:;<0f=c<3=<16?>o6:576?857:?0?995232c`>1303498mi4;549>70d32=?37p}<34394?77s4989<47d89>722?2=?>70=66d8712=:;0hj69;8;<1:`3<3=>16?4h=:574?85f9j0?98523`1b>132349j9>4;549>7d172=?>70=86d8710=:;>2369;8;<14e1<3=>16?:m?:574?850=<0?9:52394f>1323ty8?8<50;33854=;03h452366;>1313493:l4;599>7<0b2=?=70=6b`8713=:;0n=69;9;<1:b7<3=?16?4h7:575?85f:80?9;523`75>131349j;>4;579>72162=?=70=8898713=:;>k?69;9;<14g5<3=?16?:;::575?xu4;<91<7<={<1016>o0?955238`a>13?3492h:4;599>7<`42=?370=n1e871==:;h9i69;7;<1b11<3=116?l9>:57;?850>o0?955236c6>13?34972`62=?370=706871==:;1;m69;7;<1;73<3=116?5:l:57;?85?0?0?955239;g>13?3493n94;599>7=ee2=?370=7e3871==:;1l269;7;<1:55<3=116?4<<:57;?85>;h0?95523872>13?3492494;599>7:57;?xu4;<>1<7<={<1011h0?9852384e>1313492no4;579>713134972bf2=?=70=8f08713=:;1:369;9;<1;5c<3=?16?5=9:575?85?13134935i4;579>7=d32=?=70=7cc8713=:;1o969;9;<1;b<<3=?16?4??:575?85>::0?9;52381b>13134929<4;579>7<>32=?=70=8578713=:;03i69;8;<14<<<3=?16?:m>:575?xu4;131349<584;579>72d62=?=70=8dc8713=:;>l969;9;<1;4<<3=?16?50?9;52396f>13134934:4;579>7=?b2=?=70=7b48713=:;1ih69;9;<1;a6<3=?16?5hn:575?85>980?9;523807>1313492?o4;579>7<352=?=70=6848713=:;03n69;9;<1b44<3=?16?l<;:575?85f<=0?9;523`42>131349<9k4;579>7<302=?=70=6a28713=:;0hm69;9;<1:`g<3=?16?:<9:575?xu4;<<1<7<={<1013131349<>i4;579>7=3f2=?=70=65b8713=:;0k369;9;<1:g1<3=?16?4k?:575?850?m0?9;5236;:>13134972ec2=?=70=8e58713=:;>li69;9;<1;57<3=?16?5<6:575?85?<90?9;5239;3>1313493m:4;579>7=db2=?=70=7d48713=:;0:869;9;<1:50<3=?16?4<=0?9;523854>13134924k4;579>7d022=?=70=n498713=:;h8369;9;<1b40<3=?16?:8<:575?xu4;<=1<7?={<10120?9:52360e>131349j:54;579>7d2e2=?=70=n2c8713=:;h:369;9;<1423<3=?16?5m<:576?85?lh0?9852382;>1323492=l4;549>7<552=?>70=6488710=:;0=h69;:;<1:=6<3=<16?5ki:576?xu4;<21<7<>{<101==<0?985238c2>1323492ni4;549>72=?>70=6f68710=:;h8;69;:;<1b10<3=<16?l9=:576?850?90?985236:g>13234972e22=?>70=8d88710=:;>l;69;:;<1;4=<3=>16?5?j:576?85?;<0?9852396`>1313493484;549>7=?d2=?>70=7b28710=:;1ij69;:;<1;a4<3=<16?5h7:576?85>8o0?98523801>1323492?44;549>7<372=?>70=6828710=:;03o69;9;<1;2`<3=>1v>=:9;297~;4;<314i74=2572?22=278;>:54478yv54=h0;6>u2327b>=b>349<8;4;579>72562=?=7p}<34`94?3|5:9>n76k9:?031>=<<=01>9<8;661>;41o3188;4=2:5f?22=2wx?>;l:182a~;4;2785k95445896g583>>;637::7:?0326=<<=01>97d;663>;4?h318894=25`1?22?278;i754458961a83>>;63<8159000<5:2:i7::7:?0<63=<<=01>6;d;663>;401?18894=2::g?22?2784o=5445896>di3>>;63<8d39001<5:2m47::7:?0=5`=<<=01>7=2;663>;41:318894=2;64?22?27855=5445896?>j3>>963<72:9000<5:2=n7::7:p763c2902w0=<5e8;`<=:;>9=69;:;<140=<3=116?:131349j8:4;579>7d032=?=70=8638713=z{:9>i7>5cz?070c=0m301>9;2;661>;41>;63o80;663>;4??o18894=2;:g??5>2wx?>;i:1826~;4;278;9<544589615n3>>;63o?8;663>;4??<18894=2:`7?22?2784io5445896?703>>;63<90c9001<5:38>7::7:?0=1?=<<=01>78c;663>;410918894=2:fb?22?2wx?>8?:1816~;4;?:14i74=2:52?22>278m<=5444896g4=3>>:63<7519003<5:3=m7::6:?0=g0=<<<01>7k2;662>;41lo18884=2c7b?22>278m;m544489611i3>>:63<7969000<5:=j<7::6:?03ge=<<<01>9k5;662>;4?li18884=2:37?22>2784493>>:63<85:9000<5:23=7::6:?0<<>=<<<01>6nf;662>;40j<18884=2:g`?22>2784k:5444896?7j3>>:63<90g9000<5:3897::6:?0=1e=<<<01>78f;662>;410<18884=2565?22>2wx?>8>:18:854>803h4523615>131349<>o4;569>7d632=?<70=n268712=:;h><69;8;<1b21<3=>16?:8=:574?850;o0?955rs2156?6=;r78?;<58e;8961483>>:63<75190004?:5ax9651;32o563<28f9000<5:82i7::5:?01f3=<<201>892;661>;4ikh18864=27;e?22?278:?m54458964>=3>>:63<5839000<5:ki47::7:?061d=<<=01>;lb;66<>;4:=818894=201g?22>278;;95444896g113>>:63<;8;662>;49;818884=2011?22>27894>544589651j3>>463<5`g9001<5:?i?7::7:?01=1=<<=01>6l2;662>;40m318884=2:fa?22>2785=95444896?613>>:63<9239000<5:3?47::6:?0=2d=<<<01>762;662>;4?8218884=2527?22>278;=k54448961713>>:63<7169000<5:877;662>;4?;818884=252`?22>278:kh54448960ai3>>:63<6g29000<5:8j1;662>;4>mi18884=24g3?22>278:i<54448960dl3>>:63<6b:9000<5:8m4;662>;4>hl18884=24be?22>278:l;54448960f83>>:63<68`9000<5:<2:7::6:?02<7=<<<01>87c;662>;4=j218894=27`=?220278>>;5934896g6<3>>963<72d9003<5:3m57::8:?0567=<<<0q~=<6583>7}:;:836?6k;<1023<0;o1v>=95;296~;4;??1;>h4=2152?2202wx?>88:181854>>01323ty8?;650;0x9654m32on63<37;936`76272;?m70=<40811c=:;:>96?;i;<1006<5=o16?>:;:37e?854<<099k523265>73a34988:4=5g9>765a2;?m70=<6`847c=z{:9=o7>52z?047?=?:l01>=8f;;12>{t;:6::575?xu4;?o1<7o0;6?u2310`>25a34985=4;569~w65083:1?v3<03f936`<5:9257::7:?077}:;98n6:=i;<10=`<3=?1v>=82;296~;48;l1;>h4=21b2?2202wx?>9<:181857;90<413ty8?::50;0x966493=8j63<36:9001762?2;2o70=<7`847c=z{:9<:7>52z?072g=<<=01>=86;50b>{t;:=26=4={<103<<0;o16?>9n:575?xu4;>h1<7572d8yv54?j0;6?u232:2>1303498;k483g9~w650l3:1>v3<36f936`<5:9=;a;0;`>;4;1>1;>h4}r10<6<72;q6?>6<:61e?8540=0?9;5rs21;2?6=:r78?9l529f8965?k3=8j6s|32:4>5<4s4984:483g9>76>?2=?370=<9e871==z{:9347>52z?07=>=?:l01>=7b;661>{t;:226=4={<10<<<0;o16?>6m:57;?xu4;1k1<77>c34985?483g9~w65?m3:1>v3<39g936`<5:92<7::5:p76>a2909w0=<8g847c=:;:3;69;7;|q07<6=838p1>=60;50b>;4;0818894}r10=4<72;q6?>7>:61e?8541;0?9;5rs21:7?6=:r78?9j529f8965><3=8j6s|32;6>5<4s49858483g9>76?>2=?>70=<9`8712=z{:9247>52z?07<2=<<<01>=6b;50b>{t;:326=4={<10=<<0;o16?>7m:575?xu4;0k1<77>c3498m<483g9~w65>l3:1>v3<38f936`<5:9j<7::5:p76?b2909w0=<9d847c=:;:k;69;7;|q07<`=838p1>=n0;50b>;4;h;188;4}r10e7<72;q6?>:i:3:g?854i>05<5s498m;483g9>76g02=?<7p}<3`:94?4|5:9><7<7d:?07d`=?:l0q~=7}:;:kj69;7;<10e<<0;o1v>=na;296~;4;hk1;>h4=21bb?22?2wx?>om:181854io0?9;5232cf>25a3ty8?lm50;0x965fk3=8j63<3`g900076gc2>9m70=5az?071?=:=;a;06b>;4;=h1>8h4=217g?42n278?9j524d89653m38>j63<35d960`<5:9><7<:f:?071>=:=m3;50b>{t;:h:6=4={<10f4<0;o16?>l<:575?xu4;k81<7=be3498n8483g9~w65e>3:1?v3<0`6900><5:9i:79d}:;8i869;8;<13`3<3=>16?13?349:ii4;569>74ef2=?>70=>e98710=:;:ho6:=i;<10f`<3==1v>=mf;29f~;49li18894=23`0?22>278>:63<0`79000<5:;hm7::6:?04g0=<<<01>>ne;662>;4;j:1;>h4=21`5?22<278=ol54458yv54k;0;6>u231c1>1303498o?483g9>74g62=?37p}<3b494?>|5:9h;79?m5;66<>;48ki18864=23g4?22?278l3>>963<3c:900075db2=?<70==ia;50b>;45j4}r10gc<72;q6?>hm:61e?853jo094i5rs21g4?6=:r78?km572d8962d8383h6s|32f2>5<5s498ji483g9>71e52;2o7p}<3e094?4|5:9mi797}:;:lm6:=i;<17g1<50m1v>=k4;296~;4<9:1;>h4=26`1?4?l2wx?>j::1818538807>c3ty8?i850;0x9627:3=8j63<4b596=b71642>9m70=;c98152z?0052=?:l01>:l9;0;`>{t;:n26=4={<1740<0;o16?9mn:3:g?xu4;mk1<725a349?oi4=8e9~w65ck3:1>v3<41:936`<5:>hi7<7d:p76bc2908w0=:1v>=ke;297~;4;mo1;>h4=21gb?41;278?km52718yv54lo0;6>u232fe>25a3498i=4=629>76`c2;<87p}<3d294?5|5:9n<79=ie;057>{t;:o:6=4<{<10a4<0;o16?>k=:340?854no09:>5rs21f6?6=;r78?h<572d8965b;38=?63<41296354?:2y>76c42>9m70==j4;50b>;4;l?1>;=4=2636?41;2wx?>k::180854m<0704349?<>4=629~w65b>3:1?v3<3d4936`<5:9n;7<93:?0052=:?90q~=6}:;:o<6:=i;<10a=<5>:16?9>::340?xu4;l21<7=t=21f38=?6s|32g:>5<4s498i4483g9>76cf2;<870=;068126=z{:9nm7>52z?07`g=?:l01>:?8;057>{t;:oi6=4<{<10ag<0;o16?>jk:805?854nh0?n:5rs21fg?6=;r78?hm572d8965cm339:63<3g`90g176cc2>9m70==je;50b>;4;l:15?84=21e`?2e?2wx?>ki:180854mo0<413498jh4;b69~w65a83:1?v3<3g2936`<5:9n>77=6:?07c`=6}:;:l:6:=i;<10a6<>:?16?9>?:5`4?xu4;o81<7=t=21e6?14n278?h:59348962793>i;6s|32d0>5<4s498j>483g9>76c2208=70=;0387f2=z{:9m87>53z?07c2=?:l01>=j6;;12>;4<9918o94}r10b0<72:q6?>h::61e?854m>02>;523527>1d03ty8?k850;1x965a>3=8j63<3d:9=70<5:>;97:m7:p76`02908w0=1v>=i8;297~;4;o21;>h4=21fe??5>2788=954c58yv54n00;6?u232d:>25a349?<54;b69~w627i3:1>v3<47196=b<5:>8:79:91;0;`>;4<:21;>h4}r174a<72;q6?98?:3:g?853;005<5s49?9h4=8e9>715e2>9m7p}<40294?4|5:>>h7<7d:?006e=?:l0q~=;1083>7}:;=?h6?6k;<177a<0;o1v>:>2;296~;4<

    5j4=260a?14n2wx?9?<:181853=h094i52351e>25a3ty88<:50;0x96221383h63<452936`713?2;2o70=;40847c=z{:>::7>52z?0001=:1n01>:;2;50b>{t;=;<6=4={<1713<50m16?9:<:61e?xu4<821<7u2353:>25a349?=l4=629>71502;<87p}<40c94?5|5:>:m79:<8;057>{t;=;i6=4<{<175g<0;o16?9?l:340?853;009:>5rs262g?6=;r788717c2>9m70=;1d8126=:;=9i6?8<;|q004c=839p1>:>e;50b>;4<8l1>;=4=260g?41;2wx?9?i:1808539o0704349??i4=629~w62583:1?v3<432936`<5:>9=7<93:?006c=:?90q~=;2083>6}:;=8:6:=i;<1767<5>:16?9=i:340?xu4<;81<7=t=2616?14n2788?=527189623838=?6s|3500>5<4s49?>>483g9>71432;<870=;408126=z{:>987>53z?0072=?:l01>:=5;057>;4<=81>;=4}r1760<72:q6?9<::61e?853:?09:>523560>7043ty88?850;0x9625>3=8j63<4569635:4?:2y>71402>9m70=;188:63=:;=9=69l8;|q007>=839p1>:=8;50b>;4<8k15?84=2603?2e?2wx?9<6:180853:00<41349??54;b69~w625i3:1?v3<43c936`<5:>:o77=6:?006?=6}:;=8i6:=i;<175a<>:?16?9=n:5`4?xu4<;i1<7=t=261g?14n2788i;6s|350g>5<4s49?>i483g9>717a208=70=;3b87f2=z{:>9i7>53z?007c=?:l01>:=0;;12>;4<:n18o94}r176c<72:q6?9;52351f>1d03ty88>>50;1x962483=8j63<4309=70<5:>8j7:m7:p71562908w0=;30847c=:;=8864<9;<1705<3j>1v>:<2;297~;4<:81;>h4=2610??5>27889?54c58yv53;:0;6>u23510>25a349?>846279>71252=h<7p}<42694?5|5:>8879:;3;6a3>{t;=9>6=4={<1770<0;o16?9:;:5`4?xu4<=<1<7=t=2671?>f:2788?95447896261382n6s|3564>5<4s49?8847ae9>714?2=?>70=;1`81=g=z{:>?47>53z?0013=0h901>:<1;661>;4<;91>4l4}r170<<72:q6?9:::9c7?853;;0?98523507>7?e3ty889o50;1x9623=32j963<4219003<5:>997<6b:p712e2908w0=;448;e3=:;=9?69;:;<1763<51k1v>:;c;296~;4<=?14l94=2601?22=2wx?9:k:180853<<03n552350:>132349?=o4=9c9~w623m3:1?v3<45799m7::5:?004e=:0h0q~=;4g83>6}:;=>>65m>;<176g<3=<16?9?k:3;a?xu4<<:1<7=t=2671?>d:2788?m544789626m382n6s|3572>5<4s49?8847c29>714c2=?>70=;1g81=g=z{:>>>7>53z?0013=0j>01>:=e;661>;4<;:1>4l4}r1716<72:q6?9:::9a6?853:o0?98523502>7?e3ty888:50;1x9623=32h:63<4229003<5:>9>7<6b:p71322909w0=;548;`<=:;:l269;9;|q0000=838p1>::6;:g=>;4;o218884}r1712<72;q6?9;8:9f:?854n>0?9;5rs2663>>:6s|357:>5<5s49?9447d89>76`22=?=7p}<44c94?4|5:>>m76k9:?07c2=<<<0q~=;5c83>7}:;=?i65j6;<10b6<3=?1v>::c;296~;4<2wx?9;k:181853=m03h45232d2>1313ty888k50;0x9622m32o563<3g29000713a21n270==<7>52z?0036=0m301>=je;662>{t;=<:6=4={<1724kk:575?xu4c1278?hm54448yv53>:0;6?u23540>=b>3498io4;579~w621<3:1>v3<41;9663<5:>?97>6??6;|q0030=838p1>:?9;002>;4<=?1><>4}r1722<72;q6?9>6:314?853<<09=<5rs2656s|354:>5<5s49?<44=389>71222;;87p}<47c94?4|5:>;57<0q~=;6c83>7}:;=:26?=m;<1700<59<1v>:9c;296~;4<931>>m4=2671?46>2wx?98k:1818538009?h523566>77?3ty88;k50;0x9627138?<63<457964g716>2;>:70=;44815g=z{:><<7>52z?005?=:=801>:;5;02g>{t;==:6=4={<174<<5<:16?9:::33g?xu4<>81<7722349?884=1g9~w620<3:1>v3<41;9610<5:>?97<=0:p71122909w0=;088102=:;=>>6?<>;|q0020=838p1>:?9;:b6>;45j4}r1732<72;q6?9>6:9cg?853j?094i5rs2645<5s49?<447a59>71d?2;2o7p}<46c94?4|5:>;576n5:?00g?=:1n0q~=;7c83>7}:;=:265o9;<17fd<50m1v>:8c;296~;4<9314l94=26af?4?l2wx?99k:1818538003m55235``>7>c3ty88:k50;0x9627132j563<4cf96=b716>21kj70=;268713=z{:>3<7>52z?005?=0hh01>:=8;662>{t;=2:6=4={<174<e02788n?529f8yv530:0;6?u2352:>=gb349?>l4;579~w62?<3:1>v3<41;99n7::6:p71>22909w0=;088;f5=:;=8h69;9;|q00=0=838p1>:?9;:a5>;4<;n18884}r17<2<72;q6?9>6:9`1?853:l0?9;5rs26;>:6s|35::>5<5s49?<447b59>71572=?=7p}<49c94?4|5:>;576m5:?0067=<<<0q~=;8c83>7}:;=:265l9;<1777<3=?1v>:7c;296~;4<9314o94=2607?22>2wx?96k:1818538003o=5235a`>7>c3ty885k50;0x9627132i563<4269000716>21hj70=;348713=z{:>2<7>52z?005?=0j;01>:lf;0;`>{t;=3:6=4={<174<d;2788i?529f8yv531:0;6?u2352:>=e3349?h?4=8e9~w62><3:1>v3<41;9o?7<7d:p71?22909w0=;088;g3=:;=n?6?6k;|q00<1=838p1>:67;:g=>;4;o3188;4}r17==<72:q6?977:9f:?854n10?985232gb>7?e3ty884750;1x962>132o563<3g59003<5:9n57<6b:p71?f2908w0=;9`8;`<=:;:l=69;:;<10a=<51k1v>:6b;297~;4<0h14i74=21e1?22=278?h9528`8yv531j0;6>u235;`>=b>3498j94;549>76c12;3i7p}<48f94?5|5:>2h76k9:?07c5=<=j5;0:f>{t;=3n6=4<{<17=`h=:576?854m=095o5rs26:b?6=;r7884h58e;8965a93>>963<3d19671g721n270=:n1;:g=>;4;ll188;4=21f5?4>j2wx?9o=:180853i;03h45232gf>1323498i=4=9c9~w62f;3:1?v3<4`196}:;=k?65j6;<10af<3=<16?>jj:3;a?xu4c1278?hl54478965cl382n6s|35c5>5<5s49?5;47a39>71g22;2o7p}<4`594?4|5:>2:76nd:?00d2=:1n0q~=;a983>7}:;=3=65o<;<17=g<50m1v>:n9;296~;4<0<14l:4=26:e?4?l2wx?9on:1818531?03m85235;:>7>c3ty88ll50;0x962>>32j:63<48:96=b71?121k<70=;9681jh7>52z?00<0=0k201>:n3;0;`>{t;=kn6=4={<17=3d92788l?529f8yv53j90;6?u235;5>=e5349?m=4=8e9~w62e93:1>v3<48492j7<7d:p71d52909w0=;978;g1=:;=3n6?6k;|q00g5=838p1>:66;:`1>;4<0n1>5j4}r17f1<72;q6?979:9a5?8531j094i5rs26a1?6=:r788o;58e;89631:383h6s|35`5>5<5s49?n;47d89>70042;2o7p}<4c594?4|5:>i;76k9:?0132=:1n0q~=;b983>7}:;=h365j6;<1620<50m1v>:m9;296~;47>c3ty88ol50;0x962ej32o563<57:96=b71dd21n270=:6881ih7>52z?00gb=0m301>;9a;0;`>{t;=hn6=4={<17f`c12789;m529f8yv53k90;6?u235a3>=b>349>:i4=8e9~w62d93:1>v3<4b39:l3;:g=>;4=>:1>5j4}r17g1<72;q6?9m;:9f:?852?8094i5rs26`1?6=:r788n;58e;89630:383h6s|35a5>5<5s49?o;47d89>70142;2o7p}<4b594?4|5:>h;76k9:?0122=:1n0q~=;c983>7}:;=i365j6;<1630<50m1v>:l9;296~;47>c3ty88nl50;0x962dj32o563<56:96=b71ed21n270=:7881hh7>52z?00fb=0m301>;8a;0;`>{t;=in6=4={<17g`c12789:m529f8yv53l90;6?u235f3>=b>349>;i4=8e9~w62c93:1>v3<4e39:k3;:g=>;4=1:1>5j4}r17`1<72;q6?9j;:9f:?85208094i5rs26g1?6=:r788i658e;8962cj3>>96s|35f5>5<5s49?h447d89>71bb2=?>7p}<4ec94?4|5:>o57<7d:?00ad=?:l0q~=;d683>7}:;=nh65j6;<17`c<50m1v>:kd;296~;45j4=26ga?14n2wx?9ji:186853lo03h452336f>1303499>>4;569>77562=?=70==a38713=z{:>n<7>52z?00a`=0mh01>:j1;50b>{t;=o96=4={<174<<6m>16?9k<:61e?xu44c>349?ih483g9~w62a;3:1>v3<41;95`g<5:>m8792909w0=;0882ag=:;=lj6:=i;|q00cc=838p1>:?9;3fg>;4h4}r1645<72;q6?9>6:0gg?8528805<5s49?5;4>e69>706f2>9m7p}<51`94?4|5:>2:7?i1:?015c=?:l0q~=:0g83>7}:;=3=6;>3;296~;4<931=k?4=2720?14n2wx?8?::1818531?0:j>523436>25a3ty89<650;0x962>>3;m863<50;936`=l4?:3y>71?128l>70=:1c847c=z{:?:o7>52z?00<0=9o<01>;>d;50b>{t;<;n6=4={<17=3<6n>16?8?i:61e?xu4=;:1<74`>349>>>483g9~w635<3:1>v3<48495`><5:?9979=838p1>:66;3fe>;4=;31;>h4}r166d<72;q6?9>6:0d1?852:h05<2s49?5;4>eb9>71?128oo70=;9782a`=:;=3=6;<2;296~;4<931=k=4=2707?14n2wx?8=;:181853800:j9523417>25a3ty89>650;0x962713;m963<52;936`?l4?:3y>716>28l=70=:3c847c=z{:?8o7>52z?005?=9o=01>;{t;<9n6=4={<174<<6n116?8=i:61e?xu4==:1<7=b>349v3<8709000<5:?=?76k9:p70242909w0=:658;`<=:;>?i69;9;|q0112=838p1>;95;:g=>;4?><18884}r1600<72;q6?889:9f:?8501;0?9;5rs2772?6=:r789;958e;8961fm3>>:6s|3464>5<5s49>:547d89>72ef2=?=7p}<55:94?4|5:?=576k9:?03`7=<<<0q~=:4883>7}:;<;;a;296~;4=?h14i74=2:3b?22>2wx?8:m:181852>j03h4523905>1313ty899m50;0x9631l32o563<82f9000954?:3y>700b21n270=6658713=z{:??i7>52z?013`=0m301>686;662>{t;<>m6=4={<1635c12784l:54448yv52=80;6?u23451>=b>3493no4;579~w632:3:1>v3<56197::6:p70342909w0=:758;`<=:;1o269;9;|q0102=838p1>;85;:g=>;419:18884}r1610<72;q6?4?k:576?852??03h45rs2762?6=:r789:958e;896?5i3>>:6s|3474>5<5s49>;547d89>7<262=?=7p}<54`94?4|5:?<576k9:?0=g6=<<<0q~=:5883>7}:;<=j65j6;<1:31<3=?1v>;:a;296~;4=>h14i74=2;;f?22>2wx?8;l:181852?j03h45238a`>1313ty898j50;0x9630l32o563<9d:90009h4?:3y>701b21n270=n178713=z{:?>j7>52z?012`=0m301>o<6;662>{t;<<;6=4={<16<5c1278m8j54448yv520;0;6;>38=>63<471960`<5:>=>7<:f:?0037=::90;06b>;4<8h4=266a?42n27888j524d89622k38>j63<44`960`<5:>>m7<:f:?000?=:::8;06b>;4<<=1>8h4=2662?42n27888;524d8962f=38>j63<4`6960`<5:>j?7<:f:?00d4=::n1;06b>;48h4=26:b?42n27884k524d8962>l38>j63<48a960`<5:>2n7<:f:?00:69;06b>;4<021>8h4=26:3?42n27895=572d8962d938>j63<57g960`<5:>i97<:f:?0134=::m6;06b>;4=?91>8h4=26`g?42n2789:7524d8962dn38>j63<56a960`<5:>o<7<:f:?012b=::k1;06b>;4=>o1>8h4=26g6?42n2789:h524d8962c;38>j63<592960`<5:>o87<:f:?01=7=::m7;06b>;4=?>1>8h4=26aj63<574960`<5:>im7<:f:?0131=::mb;06b>;4=?21>8h4=26ag?42n2789;7524d8962el38>j63<57c960`<5:>ii7<:f:?013d=::mf;06b>;4=?i1>8h4=26`4?42n2789;j524d8962d:38>j63<57d960`<5:>h?7<:f:?0126=::l4;06b>;4=>;1>8h4=26`1?42n2789:<524d8962d>38>j63<561960`<5:>h;7<:f:?0122=::l8;06b>;4=>?1>8h4=26`=?42n2789:8524d8962di38>j63<565960`<5:>hn7<:f:?012>=::ld;06b>;4=>k1>8h4=26`a?42n2789:l524d8yv52010;6?u234:;>25a349>4l4;579~w63?13:1>v3<59;936`<5:?3m7::8:p70>d2909w0=:878710=:;<2n6:=i;|q01<5=838p1>;64;66<>;4=091;>h4}r16=0<72;q6?87::61e?8521=0?985rs27:2?6=:r78949544:8963>>3=8j6s|34;;>5<5s49>55483g9>70?02=?>7p}<58c94?4|5:?2m797}:;<3269;9;<16=f<0;o1v>;6f;296~;4=0l1;>h4=27:a?22=2wx?8o?:1818521l0?9;5234c2>25a3ty89l:50;0x963f<3=8j63<5`19003m84?:3y>70g42=?=70=:a7847c=z{:?j57>52z?01d>=<;na;50b>{t;572d8yv52j=0;6?u234`1>132349>n8483g9~w63e?3:1>v3=e62936`<5:?297::8:p70d?2909w0;4=0k18884}r16fd<72;q6>h9<:61e?8521o0?9;5rs27af?6=:r79i::572d8963f<3>>:6s|34``>5<5s48n;8483g9>70gd2=?=7p}<5cf94?4|5;o<:797}::l=<6:=i;<16f6<3=11v>;mf;296~;5m>21;>h4=27;3?2202wx?8m?:18b8551m0?9:523366>131349>o84;569>7dde2=?>70=:89871==:;;5234:7>1303ty89n950;0x963d03=8j63<5bc9000o54?:3y>70e>2>9m70=:c`8710=z{:<9o7>52z?027e=?:l01>8=e;663>{t;?8o6=4={<156a<0;o16?;=n1<7=t=3g;f?14n278i<;544:8965?93>>:6s|376f>5<4s48n4n483g9>7`702=?370=<84871==z{:53z?1a=b=?:l01>k>9;66<>;4;1h18894}r1515<72:q6>h6j:61e?85b9k0?955232;2>1313ty8:8?50;1x97c?n3=8j63<5:92n77=6:p73352908w01v>8:3;297~;5m0;1;>h4=2g15?220278?l854448yv51==0;6>u22d;1>25a349n>>4;599>76gf2=?=7p}<64794?2|5;o2?79=87;66<>;4;>218884}r1513<72;q6>ho::61e?85b9<0?985rs2463?6=:r79il8572d896c6?3>>96s|377;>5<5s48nm:483g9>7`7>2=?>7p}<64;94?4|5;oj4797}::lk26:=i;<1f5a<3=<1v>8:b;296~;5mhk1;>h4=2g2b?22=2wx?;;l:18184bik01323ty8:8j50;0x97cfk3=8j636`gc2>9m70=j128710=z{:<>j7>52z?020`=?:l01>890;663>{t;?<;6=4={<1525<0;o16?;8>:57;?xu4>?81<754448yv51>:0;6>:63<1ba9001<5:;h57::7:?05c3=<?i3;66<>;49o;18884=23`5?220278:;l572d8967>;3>>463<171900><5:;n<7::5:?05`7=<=l4;661>;4;j?18884=23a6?22>278=hj54478967a03>>463<0b;9000<5:;j57::7:?04a6=<>m6;663>;49m;18884=23a=?22?278?no54448967b03>>:63<0ec900>>:63<3ba9000<5::;i7::5:?05g0=<?i5;663>;49o918894=23e5?220278=n?544589601m3=8j63<1ea900><5:;297::8:?0533=<<201>?j1;663>;4;j815?84=23e>963<0e69003<5::h87::5:?05a7=<<=01>=la;661>;4;kn18884}r1520<728=l3;661>;4;ji188;4=223a?22?278=k;54448967a;3>>:63<1g39001<5:;h=7::5:?0227=?:l01>?kc;662>;490=18864=2353?220278=h>54448967b93>>:63<3b6900><5:9h97::5:?05c>=<<<01>>l9;661>;49m;188;4=23a=?22>278?no54458965el3>>463<1`a9003>;63<3ba900><5::;i7::8:?07f?=<?i5;66<>;49o9188;4=23e5?22=278=n?544489600<3=8j63<1ea9003<5:;257::8:?053?=<<201>?lf;663>;49l;18864=21`0?22>278?n;544:8967a03>>;63<0b;900><5:;j47::6:?05d?=<<201>>ne;663>;49k3188;4=21a`?22?278?o654458yv51>>0;6<9t=22g0?22>278?n754448967ck3>>;63<18`900><5:;=n7::8:?05f`=<<<01>?kf;;12>;4>>=1;>h4=21`0?22?278?n;54458967e:3>>;63<1c19003<5:;m577=6:?04fg=1;<01>?n1;663>;48jl18884=22`0?22>278?n>54478965el3>>963<1`a9001<5:;o97::8:?04f6=<<=01>=m8;661>{t;?<36=4>6z?05dd=<?n6;662>;49?n18864=23`b?220278?o8544789600i3=8j63<1c39=70<5:;no7::6:?05`b=<<<01>?n8;661>;49h318884=23b5?22=278=l<54448966dk3>>963<1c59003<5::n?7::6:?04a>=<<<01>=l0;66<>;49kh188;4=22ge?22>278=i;54458966d83>>96s|374:>5<63>>963<17d900><5:;hj7::5:?07g0=<<<01>88d;50b>;49k818864=23a7?22?278=hl59348967fi339:63<1`09=70<5::ho7::6:?05g1=<<<01>>j3;661>;48m2188;4=21`4?22?278=ol54448966ci3>>963<1e79003<5::h<7::8:p730f2902w0=>e7871==:;9ii69;9;<1224<3=116?>l9:574?856l90?985237:3>25a349;n?4;549>76e72=?=70=>9e8713=z{:<3?7>54z?0656=:1n01>:?9;363>;4<0<1=894=24;1?14n2wx?;6;:1808510=0130349=4:4;599~w60?03:18v3<21096=b<5:>;57?:8:?00<0=9<201>87a;50b>{t;?226=4<{<15<<<0;o16?;6m:574?8510j0?955rs24;`?6===529f8962713;>563<484950?<5:<3j79b2908w0=98d847c=:;?3;69;8;<15=4<3=11v>862;290~;4:9>1>5j4=263=?72i278848514c8960><3=8j6s|37;0>5<4s49=5>483g9>73?22=?<70=997871==z{:<2;7>54z?0653=:1n01>:?9;36f>;4<0<1=8l4=24:=?14n2wx?;77:180851110130349=5o4;599~w60>k3:18v3<21496=b<5:>;57?:c:?00<0=986e;50b>{t;?3o6=4<{<15=a<0;o16?;7i:574?851i90?955rs24b5?6==9529f8962713;>h63<484950b<5:8n6;290~;4:921>5j4=263=?72m278848514g8960f03=8j6s|37c4>5<4s49=m:483g9>73g>2=?<70=9a`871==z{:53z?065?=:1n01>:;5;363>;4>hn1;>h4}r15ef<72:q6?;ol:61e?851il0?9:5237ce>13?3ty8:o>50;1x9647i383h63<4579537<5:798m5;297~;4:9h1>5j4=2671?71:278:o9572d8yv51j?0;6>u237`5>25a349=n54;569>73d>2=?37p}<6cc94?2|5:8;=7<7d:?005?=9?;01>:66;355>;4>ki1;>h4}r15fg<72:q6?;lm:61e?851jm0?9:5237`f>13?3ty8:oh50;1x9647l383h63<4579535<5:8l4;297~;4:9o1>5j4=2671?71<278:n8572d8yv51k<0;6>u237a6>25a349=o:4;569>73e?2=?37p}<6b;94?5|5:8;j7<7d:?0013=9??01>8lb;50b>{t;?ij6=4<{<15gd<0;o16?;ml:574?851km0?955rs24`a?6=;r78><>529f89623=3;=:63<6e2936`73ea2>9m70=9d08712=:;?n969;7;|q02a5=839p1><>1;0;`>;4<=?1=;94=24g1?14n2wx?;j;:180851l=0130349=h:4;599~w60c03:1?v3<20096=b<5:>?97?98:?02ag=?:l0q~=9d883>6}:;?n26:=i;<15`g<3=>16?;jl:57;?xu4>mn1<7=t=2027?4?l27889;517;8960cn3=8j6s|37ff>5<4s49=hh483g9>73c72=?<70=9e0871==z{:7>53z?0642=:1n01>:;5;36<>;4>l>1;>h4}r15a6<72:q6?;k<:61e?851m<0?9:5237g5>13?3ty8:h950;1x9646=383h63<457950?<5:8jc;297~;4:8<1>5j4=2671?72i278:hk572d8yv51mm0;6>u237gg>25a349=ik4;569>73`72=?37p}<6g394?2|5:8;o7<7d:?005?=9?801>:66;356>;4>o91;>h4}r15b7<72:q6?;h=:61e?851n=0?9:5237d6>13?3ty8:k850;1x96460383h63<457950d<5:8ib;292~;4:831>5j4=2671?72k27889;514f89623=3;>i63<4579536<5:9?0;290~;4:8=1>5j4=263=?71;278848517189617:3=8j6s|3622>5<4s49<<<483g9>72642=?<70=805871==z{:=;97>54z?064g=:1n01>:?9;350>;4<0<1=;:4=2533?14n2wx?:>9:1808508?0130349<<44;599~w617i3:18v3<20`96=b<5:>;57?95:?00<0=9??01>9?c;50b>{t;>:i6=4<{<144g<0;o16?:>k:574?8508l0?955rs253b?6=;969;8;<1456<3=11v>9>4;290~;4:8n1>5j4=263=?71?278848517589616>3=8j6s|3636>5<4s49<=8483g9>72702=?<70=819871==z{:=:57>54z?064c=:1n01>:?9;35<>;4<0<1=;64=252f?14n2wx?:?n:1808509h0130349<=i4;599~w616m3:18v3<20d96=b<5:>;57?99:?00<0=9?301>9=0;50b>{t;>;m6=4<{<145c<0;o16?:<>:574?850:;0?955rs2517?6=:r78>8>529f89614=3=8j6s|3607>5<5s49<>9483g9>72412=?>7p}<73494?4|5:=9h7::5:?0371=?:l0q~=82b83>7}:;>8h6:=i;<140<<3=<1v>9=d;296~;4?;n1;>h4=2505?22=2wx?:=?:181850;9013?3ty8;>?50;0x9614=3>>963<720936`72522=?<70=835847c=z{:=8;7>52z?0361=?:l01>9;9;662>{t;>9j6=4={<140<<3=>16?:=n:61e?xu4?:h1<7l572d8yv50;j0;6?u2360`>132349v3<750936`<5:=8j7::6:p72242909w0=8408710=:;>>?6:=i;|q0310=838p1>9;6;50b>;4?=;18884}r1402<72;q6?::8:61e?850<80?9:5rs257>46s|366:>5<5s49<84483g9>72332=?37p}<75`94?4|5:=9o7::8:?031d=?:l0q~=84b83>7}:;>>h6:=i;<140`<3=<1v>9:1;296~;4?<>18894=2566?14n2wx?:;;:181850==013?3ty8;8;50;0x9612=3=8j63<736900372312>9m70=825871==z{:=>;7>52z?0604=:1n01>997;50b>{t;>?36=4={<141`<3=116?:;6:61e?xu4?25a349<:>4;549~w611;3:1>v3<7759001<5:==879<36:=i;|q033g=838p1>99d;663>;4??h1;>h4}r142a<72;q6?:8k:61e?850>>0?955rs255a?6=:r78;;k572d89610:3>>96s|364e>5<5s49<:k483g9>72152=?=7p}<76294?4|5:=<<797}:;>=:6:=i;<1437<3=11v>982;296~;4?>81;>h4=256b?22=2wx?:9;:181855=:094i5236:2>25a3ty8;:;50;0x9610=3=8j63<76`900>72>52=?>70=876847c=z{:=<57>52z?032?=?:l01>98d;661>{t;>=h6=4={<143f<0;o16?:9k:57;?xu4?>n1<725a349<4<4;579~w61?:3:1>v3<795900><5:=3>7932909w0=8868712=:;>2>6:=i;|q03=1=838p1>977;50b>;4?1;188;4}r14<=<72;q6?:67:61e?8500j0?985rs25;=?6=:r78;5m54448961?i3=8j6s|36:`>5<5s49<4n483g9>721>2=?>7p}<79f94?4|5:=3h797}:;;??6?6k;<14=a<0;o1v>97f;296~;4?0=18864=25:4?14n2wx?:7=:1818501l0?985236;0>25a3ty8;4;50;0x961>=3=8j63<78;900372??2>9m70=898871==z{:=257>52z?0396a;50b>{t;>3h6=4={<14=f<0;o16?:7k:575?xu4?0o1<7130349v3<7`1936`<5:=2h7::5:p72g32909w0=8a5847c=:;>k=69;:;|q03d3=838p1>9n5;50b>;4?h<18884}r14e3<72;q6?:7::576?850i>0=3>>46s|36cb>5<5s499984=8e9>72d>2>9m7p}<7``94?4|5:=i?7::8:?03de=?:l0q~=8ad83>7}:;>hj69;:;<14ec<0;o1v>9m1;296~;4?k;1;>h4=25a1?22=2wx?:l;:181850j=013?3ty8;o;50;0x961e03>>463<7c4936`72d?2>9m70=8b88713=z{:=im7>52z?03g`=<<201>9ma;50b>{t;>hh6=4={<14fc<3=>16?:lk:61e?xu4?kl1<725a349v3<7b69000<5:=h>79h:69;:;|q03f3=838p1>9l5;50b>;4?k;18864}r14g3<72;q6??;9:3:g?850l;0544:8961d03=8j6s|36ab>5<5s494;549>72ee2>9m7p}<7bf94?4|5:=o=7::8:?03fc=?:l0q~=8d083>7}:;>n:6:=i;<14`7<3=?1v>9k3;296~;4?m218864=25g7?14n2wx?:j::181850l10?9:5236f5>25a3ty8;i650;0x961c03=8j63<7e0900372b>2>9m70=8dc8710=z{:=om7>52z?03ag=?:l01>9kb;66<>{t;>ni6=4={<14`g<0;o16?:mk:576?xu4?mi1<77>c349v3<7d5900><5:=oj79o96:=i;|q03`2=838p1>9j8;66<>;4?l?1;>h4}r14a=<72;q6?:k7:61e?850m00?9;5rs25fe?6=:r78;hh544:8961bi3=8j6s|36g`>5<5s4972cc2>9m7p}<7dd94?4|5:=nj797}:;>l;6:=i;<14b7<3=<1v>9i1;296~;4?o;1;>h4=25e6?2202wx?:h=:181850n;01323ty8;k=50;0x961a;3=8j63<7d6900>773?2;2o70=701847c=z{:=m97>52z?03cc=<<201>9i6;50b>{t;>l36=4={<1;44<3=<16?:h6:61e?xu4?oh1<725a3493<=4;579~w6>793:1>v3<814900><5:2;=796?6;50b>;409:188;4}r1;42<72;q6?5>8:61e?85?800?985rs2:3713>>46s|392:>5<5s493<4483g9>72`e2=?>7p}<81c94?4|5:2;m797}:;;?26?6k;<1;52<0;o1v>6?c;296~;408?18864=2:3`?14n2wx?5>i:18185?910?98523933>25a3ty84<<50;0x96>6>3>>463<801936`7=712>9m70=7168713=z{:2:47>52z?0<4b=<<201>6>8;50b>{t;1;j6=4={<1;5a<3=>16?5?m:61e?xu408n1<725a3493>=4;549~w6>6n3:1>v3<80d936`<5:29<7::8:p7=472909w0=721847c=:;1;969;:;|q0<77=838p1>6=1;50b>;408818864}r1;67<72;q6??;n:3:g?85?:l05<3=8j6s|3905>5<5s493>k4;549>7=402>9m7p}<83;94?4|5:29h7::8:?0<7g=?:l0q~=72e83>7}:;18o6:=i;<1;6`<3=?1v>6=f;296~;40:>18864=2:1b?14n2wx?5=>:18185?;=0?9:523911>25a3ty84>:50;0x96>4<3=8j63<83g90037=522>9m70=7368710=z{:28:7>52z?0<60=?:l01>6<7;66<>{t;19<6=4={<1;72<0;o16?5<6:576?xu40:21<77>c349388483g9~w6>4i3:1>v3<851900><5:28n796;4;66<>;40=;1;>h4}r1;01<72;q6?5:;:61e?85?<<0?9;5rs2:72?6=:r7849l544:896>3>3=8j6s|396;>5<5s4938o4;569>7=2>2>9m7p}<85`94?4|5:2?n797}:;1>h6:=i;<1;0`<3=<1v>6;d;296~;40=n1;>h4=2:7a?2202wx?5:j:18185?1323ty849h50;0x96>3n3=8j63<852900>72722=?=70=8118713=:;>:i69;9;<1443<3=?16?:>>:575?851n;0?9;5237`a>131349=494;579>71ca2>9m70=;f18711=z{:2>=7>59z?034`=<<<01>9>a;662>;4>h818884=24:`?22>278:4654448960>;3>>:63<69g9000<5:<357::6:?00`g=?:l0q~=75383><}:;?im69;9;<15gd<3=?16?;m::575?851k90?9;5237`5>131349=n<4;579>73gd2=?=70=9a68713=:;=li6:=i;|q0<05=833p1>8ic;662>;4>o=18884=24f`?22>278:h654448960b;3>>:63<6eg9000<5::j6;50b>{t;1??6=4={<1114<50m16?5;j:61e?xu4025a34939l4;599~w6>2?3:1>v3<8719003<5:2>4796:d;50b>;40:0?9;5rs2:54?6=:r784;=5445896>183=8j6s|3942>5<5s493:>4;599>7=062>9m7p}<87094?4|5:2=>797}:;1<86:=i;<1;22<3=11v>695;296~;40??1;>h4=2:63?2202wx?589:18185?>?01303ty84;950;0x96>2m3>>963<87:936`7=0f2>9m70=76c871==z{:2=n7>52z?0<03=<69c;50b>{t;116?58i:61e?xu40>;1<77>c3493;h483g9~w6>0;3:1>v3<86c900><5:2<879689;50b>;40>h18864}r1;3g<72;q6?59j:575?85??j00n3=8j6s|39:2>5<5s493494;569>7=>52>9m7p}<89694?4|5:238797}:;12>6:=i;<1;<2<3=<1v>676;296~;401<1;>h4=2:;3?2202wx?568:18185?0>01323ty845650;0x96>013>>463<89:936`773b2;2o70=794847c=z{:23m7>52z?0<<5=<<201>67b;50b>{t;12o6=4={<1;=3<3=<16?56j:61e?xu400:1<725a3493584;579~w6>>>3:1>v3<88`900><5:22:7966b;50b>;400?188;4}r1;=f<72;q6?57l:61e?85?1l0?985rs2::`?6=:r7844j572d896>>m3>>46s|39;f>5<5s4935h483g9>7=?72=?>7p}<88d94?4|5:22j797}:;;?m6?6k;<1;ef<0;o1v>6n1;296~;40hk18864=2:b6?14n2wx?5o;:18185?im0?985239c6>25a3ty84l950;0x96>fj3>>463<8`:936`7=ge2>9m70=7ab8713=z{:2jh7>52z?06nd;50b>{t;1km6=4={<1;f7<3=>16?5l?:61e?xu40k81<725a3493n84;549~w6>e<3:1>v3<8c6936`<5:2i97::8:p7=d22909w0=7b4847c=:;1k<69;:;|q06m6;50b>;40h=18864}r1;f2<72;q6??8?:3:g?85?k;0e13=8j6s|39`a>5<5s493o94;549>7=dd2>9m7p}<8cg94?4|5:2h?7::8:?07}:;1i269;7;<1;g1<0;o1v>6l6;296~;40j318894=2:`3?14n2wx?5m6:18185?k0013?3ty84no50;0x96>di3=8j63<8ba90037=ee2>9m70=7cb871==z{:2ho7>52z?06me;661>{t;1io6=4={<1;ga<0;o16?5lj:57;?xu40jo1<713?3493h=483g9~w6>c:3:1>v3<8e`9003<5:2o?796j0;66<>;40mh1;>h4}r1;`a<72;q6?5k?:574?85?ll0572d896>c13>>46s|39g2>5<5s493i<483g9>7=c42=?>7p}<8d094?4|5:2n>797}:;1o86:=i;<1;`0<3=<1v>6j4;296~;40l>1;>h4=2:g1?2202wx?5k::181855>;094i5239gf>25a3ty84h850;0x96>bl3>>463<8d5936`7=`52=?>70=7e`847c=z{:2no7>52z?0<`e=?:l01>6jf;66<>{t;1l96=4={<1;b2<3=116?5h=:61e?xu40o>1<70;6?u239d4>25a3493ih4;599~w6>a03:1>v3<8g:936`<5:2mm7::5:p7=`>2909w0=7f8847c=:;1lj69;7;|q06ia;50b>;40li188;4}r1;bg<72;q6?5kl:57;?85?nk0;=529f896?7?3=8j6s|39dg>5<5s492<;4;599>7=`b2>9m7p}<91294?4|5:3;57::5:?0=57=?:l0q~=60283>7}:;0:369;7;<1:41<0;o1v>7?9;296~;419o18864=2;3=?14n2wx?4>m:18185>8l0?9:52382`>25a3ty85=k50;0x96?7m3=8j63<915900>7<6a2>9m70=6108710=z{:3:<7>52z?0=46=?:l01>7>1;66<>{t;0;:6=4={<1:54<0;o16?4><:576?xu41881<79:0;6?u23347>7>c3492=4483g9~w6?6<3:1>v3<90`9003<5:3:8797=1;66<>;418h1;>h4}r1:5a<72;q6?4?k:61e?85>9=0?985rs2;2a?6=:r785??5445896?6n3=8j6s|3802>5<5s492><483g9>7<7>2=?37p}<93094?4|5:39>797}:;0886:=i;<1:61<3=11v>7=4;296~;41;>1;>h4=2;21?22=2wx?4<::18185>:<013?3ty85?850;0x9641=383h63<923936`:4?:3y>7<572=?370=629847c=z{:39m7>52z?0=65=<7=b;50b>{t;08o6=4={<1:77<3=116?4=572d8yv5>;<0;6?u2381;>1303492?;483g9~w6?403:1>v3<92:936`<5:38=7::8:p7<5>2909w0=638847c=:;09i69;:;|q0=6g=838p1>7;41:h18864}r1:7g<72;q6?4=m:61e?85>:m0?985rs2;0g?6=:r785>m572d896?5l3>>46s|381g>5<5s499:;4=8e9>7<2?2>9m7p}<92g94?4|5:3?;7::8:?0=6`=?:l0q~=64083>7}:;0>j69;:;<1:07<0;o1v>7;4;296~;41=318864=2;71?14n2wx?4:n:18185>25a3ty859m50;0x96?3n3>>;63<95f936`7<2a2>9m70=649871==z{:3><7>52z?0=06=?:l01>7:2;661>{t;0?:6=4={<1:14<0;o16?4;=:57;?xu41<81<7=:0;6?u23870>25a3492894;599~w6?2<3:1>v3<24a96=b<5:3=<797:6;50b>;41=j0?955rs2;6f?6=:r7858l572d896?1?3>>96s|387`>5<5s4929k4;599>7<3c2>9m7p}<94d94?4|5:3>j797}:;0<:6:=i;<1:22<3=?1v>792;296~;41?=18894=2;56?14n2wx?48<:18185>>>0?95523840>25a3ty85;:50;0x96?2j3>>963<977936`7<002>9m70=66e871==z{:3=57>52z?0=0d=<<201>799;50b>{t;016?48m:61e?xu41?n1<754478yv5>>l0;6?u2384f>25a34929:4;599~w6?1n3:1>v3<97d936`<5:3>;7::5:p7<172909w0==698178a;66<>;41>81;>h4}r1:31<72;q6?49k:576?85>?<05<5s4924?4;599>7<1c2>9m7p}<96d94?4|5:33>7::7:?0==6=?:l0q~=68383>7}:;0296:=i;<1:3g<3=11v>773;296~;41191;>h4=2;;1?22=2wx?46;:18185>0=013?3ty855;50;0x96??=3=8j63<96590037<>12>9m70=676871==z{:33;7>52z?063?=:1n01>762;50b>{t;0236=4={<1:<`<3=116?466:61e?xu411h1<70o0;6?u238;0>13?34925=483g9~w6?><3:1>v3<98;900><5:32879769;50b>;410818864}r1:=d<72;q6?47j:576?85>1j0k3>>96s|38;g>5<5s4925i483g9>77}:;03m6:=i;<1:7n0;296~;4:?=1>5j4=2;bg?14n2wx?4o>:18185>i801323ty85l<50;0x96?f:3=8j63<9`:900379m70=6a9871==z{:3j;7>52z?0=d1=?:l01>7m3;661>{t;0k36=4={<1:eg<3=116?4o6:61e?xu41hh1<7im0;6?u238cg>25a3492n>4;579~w6?fm3:1>v3<9c19001<5:3ji797n7;661>;41k;1;>h4}r1:f6<72;q6?4l<:61e?85>j00?955rs2;a1?6=:r785l9544:896?e=3=8j6s|38`5>5<5s492n44;569>79m7p}<9c;94?4|5:3i5797}:;0hj6:=i;<1:e6<3=11v>7mb;296~;41kh1;>h4=2;b7?22=2wx?4ll:181855>h094i5238a;>25a3ty85oj50;0x96?el3=8j63<9cg900379m70=6c58710=z{:3h>7>52z?0=f4=?:l01>7l4;66<>{t;0i86=4={<1:g6<0;o16?4mi:576?xu41j>1<7k>0;6?u238a4>25a3492o54;579~w6?d13:1>v3<9b;936`<5:3hj7::6:p77lf;66<>;41jh1;>h4}r1:gf<72;q6?4m<:576?85>km0>46s|38f2>5<5s492o>4;599>79m7p}<9e094?4|5:3o97::7:?0=a5=?:l0q~=6d483>7}:;0n>6:=i;<1:g=<3=<1v>7k6;296~;41m<1;>h4=2;ab?2202wx?4j8:18185>l>01323ty85i650;0x9641j383h63<9d6936`72>9m70=6d`8710=z{:3om7>52z?0=ag=?:l01>7j0;661>{t;0nn6=4={<1:``<0;o16?4k?:57;?xu41ml1<7m90;6?u238g0>13?3492i<483g9~w6?b;3:1>v3<9d1936`<5:3n87::6:p77jb;663>;41l<1;>h4}r1:a2<72;q6?4km:57;?85>m>05<5s492io483g9>7<`62=?37p}<9df94?4|5:3oj7::8:?0=`b=?:l0q~=6ed83>7}:;0l:69;8;<1:ac<0;o1v>7i1;296~;41o;1;>h4=2;f0?22=2wx?4h=:18185>n;013?3ty85k=50;0x96?a;3=8j63<9e`9003770d2;2o70=n08847c=z{:3m97>52z?0=c3=?:l01>o?b;661>{t;0l<6=4={<1:b2<0;o16?4hk:574?xu41o21<7nm0;6?u238dg>25a349j<<4;549~w6g793:1>v3o?a;50b>;4i9l18864}r1b4g<72;q6?l?n:57;?85f8j0>;6s|3`35>5<5s492j84;569>7d702>9m7p}7}:;h;h6:=i;<1:ba<3=<1v>o>d;296~;4i8n1;>h4=2;e`?22>2wx?l?j:181855>m094i523`0`>25a3ty8m=4?:3y>7d472>9m70=n238712=z{:k9=7>52z?0e77=?:l01>o=2;66<>{t;h896=4={<1b67<0;o16?l<;:576?xu4i;>1<7130349j>4483g9~w6g5l3:1>v3<5:k9i79o<5;50b>;4i:318894}r1b73<72;q6?l?i:574?85f;>07572d896g5k3>>46s|3`1b>5<5s49j?l483g9>7d452=?>7p}7}:;;o25a3ty8m9:50;0x96g3<3=8j637d2d2=?<70=n48847c=z{:k?h7>52z?0e04=<<201>o;d;50b>{t;h>m6=4={<1b17<3=>16?l;?:61e?xu4i<81<725a349j9:4;549~w6g2<3:1>v3;7::6:p7d322909w0=n54847c=:;h?<69;8;|q0e00=838p1>o:6;50b>;4i<=18864}r1b12<72;q6?l;8:61e?85f<=0?985rs2c6=?6=:r78>;h529f896g113=8j6s|3`7b>5<5s49j:=4;599>7d3e2>9m7p}7}:;h<:6:=i;<1b20<3=<1v>o95;296~;4i?318894=2c52?14n2wx?l8n:18185f>o0?95523`4b>25a3ty8m;m50;0x96g1n3>>;637d0a2>9m70=n68871==z{:k<<7>52z?0e26=?:l01>o84;661>{t;h=:6=4={<1b34<0;o16?l9;:575?xu4i>81<725a349j;94;599~w6g0<3:1>v38m69;:;|q0e21=838p1?kjf;50b>;4??<188;4}r1b3=<72;q6>hh?:61e?850090?9:5rs2c4=?6=:r79ik?572d8961>k3>>;6s|3`5b>5<5s48nj?483g9>72d?2=?<7p}7}::ll?6:=i;<14a=<3=>1v>o8d;296~;5mo?1;>h4=25eb?22?2wx?l9j:18184bn?01303ty8m:h50;0x97ca?3=8j63<83f90016``?2>9m70=7458712=z{:k3=7>52z?1ac?=?:l01>6:d;663>{t;h296=4={<0fbd<0;o16?59m:574?xu4i191<725a3493mo4;569~w6g?=3:1>v3=egf936`<5:2h?7::6:p7d>12909w0;40ll18884}r1b<=<72;q6>k>?:61e?85>810?9;5rs2c;=?6=:r79j=?572d896?6i3>>:6s|3`:b>5<5s48m7<552=?=7p}7}::o:?6:=i;<1:1c<3=>1v>o7d;296~;5n9?1;>h4=2;4g?22>2wx?l6j:18184a8?01313ty8m5h50;0x97`7?3=8j63<9``90016c6?2>9m70=6c68712=z{:k2=7>52z?1b5?=?:l01>7j3;663>{t;h396=4={<0e4d<0;o16?l>7:576?xu4i091<725a349j8o4;549~w6g>=3:1>v3=f1f936`<5:k=47::5:p7d?12909w08o69;8;|q0e<1=838p1?h;e;50b>;4??918894}r1b==<72;q6>k:i:61e?850?m0?9:5rs2c:=?6=:r79j8>572d8961>13>>;6s|3`;b>5<5s48m9<483g9>72d22=?<7p}>797}::o?86:=i;<14a1<3=>1v>o6d;296~;5n<>1;>h4=25ef?22?2wx?l7j:18184a=<01303ty8m4h50;0x97`2>3=8j63<83;90016c302>9m70=7418712=z{:kj=7>52z?1b0>=?:l01>6:a;663>{t;hk96=4={<0e1<<0;o16?596:574?xu4ih91<754458yv5fi=0;6?u22g7a>25a3493m:4;569~w6gf=3:1>v3=f4a936`<5:2ii7::7:p7dg12909w069;8;|q0ed1=838p1?h:e;50b>;40li18894}r1be=<72;q6>k;i:61e?85>8:0?9:5rs2cb=?6=:r79j;>572d896?6=3>>;6s|3`cb>5<5s48m:<483g9>7<4c2=?<7p}797}::o<86:=i;<1:1f<3=>1v>ond;296~;5n?>1;>h4=2;43?22?2wx?loj:18184a><01303ty8mlh50;0x97`1>3=8j63<9`:90016c002>9m70=6c58712=z{:ki=7>52z?1b3>=?:l01>7j0;663>{t;hh96=4={<0e2<<0;o16?l>::574?xu4ik91<725a349j854;569~w6ge=3:1>v3=f7a936`<5:k=97::7:p7dd12902ov3;4:l>188;4=20f7?22=278>h<54478964b93>>963<2d29003<5:8oj7::5:?06ac=<;4:mi188;4=20gf?22=278>i754478964c03>>963<2e59003<5:8o:7::5:?06a3=<;4:m9188;4=20g6?22=278>i?54478964bi3>>963<2d;9003<5:8n47::5:?06`3=<;5nhn18884=3df`?22>279jo65444897`a03>>:63=ebg9000<5;oo57::6:?1a`2=<<<01?kj7;662>;5ml218884=3d`7?22>278<==5444897cb13>>:63=fb49000<5::;:7::6:?1a`g=<<<01?hl7;662>;489=18884=3gff?22>279jn654448966703>>:63=eda9000<5;lh57::6:?045?=<<<01?kjd;662>;5njk18884=223e?22>279inh5444897`dj3>>:63<01`9000<5;oo<7::6:?1bfe=<<<01>>?c;662>;5mm;18884=3dba?22>279jhk5444897cc:3>>:63=f`d9000<5;lnj7::6:?1aa5=<<<01?hm0;662>;5no:18884=3gg0?22>279jo?5444897`a93>>:63=ee79000<5;li>7::6:?1bc4=<<<01?kk6;662>;5nk918884=3de7?22>279ii95444897`e<3>>:63=fg69000<5;oo47::6:?1bg3=<<<01?hi5;662>;5mmk18884=3da2?22>279jk85444897ccj3>>:63=fc59000<5;lm;7::6:?1aae=<<<01?hm9;662>;5no318884=3gg`?22>279joo5444897`ai3>>:63=eeg9000<5;lin7::6:?1bcd=<<<01?kkf;662>;5nki18884=3deg?22>279ih>5444897`el3>>:63=fgf9000<5;on=7::6:?1bgc=<<<01?hie;662>;5ml818884=3dab?22>279jkh5444897cb;3>>:63=fb29000<5::;<7::6:?1a`3=<<<01?hl1;662>;489;18884=3d`6?22>278<=<5444897`d<3>>:63<0169000<5;lh97::6:?0453=<<<01>6:6;662>;412785n<5444896?cm3>>:63<76a9000<5:=247::6:?03g2=<<<01>9kc;662>;4?o918884=2:3e?22>2784??5444896>403>>:63<85d9000<5:2347::6:?0<<`=<<<01>6m6;662>;5ml<18884=2:``?22>2784h:5444896>aj3>>:63<9009000<5:3997::6:?0=6e=<<<01>7:3;662>;411<18884=2;:b?22>278>nh54478964a93>>963<2dd9003<5:8nh7::5:?06`d=<{t;hh<6=4={<1bf3<50m16?ll6:61e?xu4ik21<725a349jo<4;579~w6gek3:1?v3=<6}:;hho6:=i;<1bfd<3=?16?ll7:57;?xu4iko1<725a349jo<4;599~w6gd93:1>v3j85;06b>;4l?o1;>h4=2f4a?27<278h:j5416896b0n3>;86301>j89;630>;4l>k18=:4}r1bg3<72?q6>k9k:576?852k?0?985237:4>1323499oi483g9>77eb2=??70=8508712=z{:kh;7>56z?1b=>=<;k0;661>;4>1i188;4=255e?22?278>nh572d8964c83>>86s|3`a;>5<2s48m454;569>70b32=?>70==d0847c=:;?3:69;:;<14<1<3=>1v>ol9;291~;5n13188;4=27gi<572d8960>>3>>963<7`290016c>>2=?<70=:db8710=:;;n86:=i;<15=g<3=<16?:ll:574?xu4ijh1<7;t=3d;e?22=2789h>54478964c<3=8j63<6`29003<5:=o97::7:p7ded290>w0>963<2e4936`<5:0}::o2i69;8;<16af<3=<16??j8:61e?851io0?9852393b>1303ty8mnh50;7x97`?k3>>963<5g29003<5:8o4796<1;663>{t;hn;6=4:{<0e16?8h;:576?855l001323493854;569~w6gc93:19v3=f6f9001<5:?m47::5:?0<30=<<=01>;4>ko188;4}r1b`7<72k9j:576?852nj0?985233fa>25a349=o>4;549>7=>62=?<7p};4>j2188;4=2::1323499hi483g9>73ec2=?>70=7ag8712=z{:ko97>55z?1b2`=<<=01>8?8;661>;4:mo1;>h4=24g6?22=2784n854458yv5fl?0;68u22g:3>132349=77ba2>9m70=9d68710=:;1no69;8;|q0ea1=83?p1?h70;663>;4>8:188;4=20f4?14n278:im5447896>a<3>>;6s|3`f;>5<2s48m4<4;549>73732=?>70==e0847c=:;?o:69;:;<1:4g<3=>1v>ok9;291~;5n1;18894=242h<572d8960b>3>>963<90g90016c>52=?>70=91b8710=:;;o86:=i;<15ag<3=<16?4=::574?xu4imh1<7;t=3d;6?22?278:?>54478964b<3=8j63<6g29003<5:3?o7::7:p7dbd290>w0>h0?9:5rs2cg`?6==r79j5=54478960503>>963<2d4936`<5:3}::o2869;8;<0ea0<51k16?;013234925;4;569~w6gcn3:19v3=f969001<5:<9j7::5:?06`>=?:l01>9?4;661>;41k<18894}r1ba5<72k6::576?851;:0?985233g:>25a349<<44;549>7;4?9o188;4=2;fa?22?2wx?lk=:18584a0?0?9852371a>132349j=>4;569>72742=?>70==ec847c=:;;oh69;;;|q0e`5=83;4>:l188;4=2c01?22?278;<654478964bl3=8j63<2dg90026c>02=?>70=9428710=:;>;o69;:;<1b0c<3=>16??ki:61e?855n90?995rs2cf1?6=>r79j59544589603?3>>963<7309003<5:k=o7::7:?06c7=?:l01>{t;ho<6=4={<1`0=<3=>16?lk8:61e?xu4il21<725a349jio4;579~w6gbi3:1>v3j69;:;|q0e`b=838p1>ojd;50b>;4ili18884}r1ba`<72;q6?lkj:61e?85fmm0?985rs2cfb?6=:r78mhh572d896gbl3>>:6s|3`d3>5<5s49jj=483g9>7dcd2=?>7p}7}:;hl96:=i;<1bb5<3=<1v>oi3;2955}:;k:=65j6;<336a<3=<16i4<544589c362=?370?l2`82<4=:::=:6;<0037<6i816>>9<:0c2?844?=0:m<522256>4g63488;;4>a09>661028k:70<<7982e4=:::=j6;<003g<6i816j<:54478yv5fn=0;6nu23c24>=b>34;h>l4>839>661628k970<<7382e7=:::=86>9::0c1?844??0:m?522254>4g53488;54>a39>661f28k970<<7c82e7=z{:km97>5cz?0f5>=0m301;5;>;1=4=4=3146?7>;279?:=518189750<3;2?63=36795<5<5;9<:7?63:?1721=90901?=88;3:7>;5;>k1=4=4=314f?7>;2wx?lh9:18a85e8003h4522252>4?23488;?4>949>6614283>70<<7582=0=:::=>6<7:;<0033<61<16>>98:0;6?844?10:5852225b>4?23488;o4>949~w6ga?3:15v3;5;>>1=484=3141?7>>279?:8518489750?3;2:63=36:95<07g6e21n270<<7082===:::=96<77;<0036<61116>>9;:0;;?844?<0:55522255>4??3488;:4>999>661?28337p}9;660>;5;>k18=:4=314f?27<2wx?lhj:182e1}:;k:o65j6;<`g4?22=27h8=4;549>g17=<<<01n6?:575?8dc93>>963l4b8710=:k<=18884=b:2>13134hoo7::5:?`27<3=<16o;j544489f>d2=?=70lj7;661>;d?10?9852c6f9000<5j3;69;9;<`e6?22=27h;h4;549>g2`=<<<01n7>:575?8da<3>>963l438710=:k=918884=b;1>13134hm97::5:?`01<3=<16o9;544489f?42=?=70li6;661>;dg1?=<<<01oj=:576?8e3i3>>963l4c8713=:jm>188;4=b6g>13234i?i7::6:?a`3<3=<16o9h544789f372=?=70m65;662>;el>0?9852c439003<5j?969;9;<`g=?22=27h9>4;549>g02=<<<01ojm:576?8e2=3>>963l578713=:jmo188;4=b7;>13234i>57::6:?aa5<3=<16o8o544789f3e2=?=70lj2;661>;d=j0?9852c4f9000<5ko?69;:;g<0=<<<01ok::576?8e183>>963l608713=:k1818884=cg5>13234i=?7::5:?`21<3=?16o5=544489gc?2=?>70m95;661>;d>?0?9;52c969000<5ko269;:;g=3=<<<01okn:576?8e113>>963l6`8713=:k1<18884=cga>13234i=n7::5:?`2f<3=?16o59544489gcd2=?>70m9e;661>;d>o0?9;52c9:9000<5koo69;:;g=?=<<<01okj:576?8e0:3>>963l728713=:k1k18884=cge>13234i<87::5:?`30<3=?16o5l544489g`72=?>70m86;661>;d?>0?9;52c9f9000<5kl:69;:;g=c=<<<01oh<:576?8e0j3>>963l7b8713=:k1l18884=`47>13234k=97::5:?b0c<3=<16m8>544789d002=?>70o98;661>;f>h0?9852a7`9003<5he27=<>963n778710=:i>=188;4=`5:>13234k70o:3;661>;f=<0?9852a449003<5h?369;:;e0e=<>963n608710=:i?8188;4=0g16?22=27:i?:5447894c5=3>>963>e349003<58o:i7::5:?2a4`=<;6m;o188;4=0g1b?22=27:i>>5447894c503>>963>e3;9003<58o8:7::5:?2a6>=<;6m:k188;4=0g06?22=27:i>=5447894c383>>963>e509003<58o??7::5:?2a12=<;6m:n188;4=34gg?22=279:ij54478970b:3>>963=6d19003<5;;5>l<188;4=34f>963=6dd9003<5;;5>o?188;4=34e3?22=279:k654478970cn3>>963=6d29003<58kj:7::6:?2=53=<<<01<6j7;661>;60<<18864=0:15?22>27:m4k544:894>al3>>463>03f9000<5;:jn7::7:?a76<3=>16>o?<:575?84fl=0?9;521`::>13234o2=7::6:?f3a<3=<16n>;63=a5`9003<5;k?o7::5:?0g7b=<<<01>m?e;661>;4j1i188;4=3c7=?22>279m>=544489c312=?=70h:0;662>;5i;h18864=3fa2?22=279ho:5447894ge93>>963>ac29003<58kjj7::5:?2edc=<;6ihi188;4=0cbf?22=27:mlo5447894gf13>>963>a`:9003<583;i7::5:?2=5b=<;619h188;4=0;3e?22=27:5=75447894?703>>963>9159003<5;22i7::5:?150c=<<<01kom:574?84?1j0?9;5229;b>13234838<4;549>543e2=?=70<7448710=::03o69;:;<0bec<3=<16>lo7:576?84fi<0?98522`c1>132348j5k4;549>6d3>2=?>70=l6c8710=:;j<=69;:;<011g<3=>16?n9;:575?845<;0?9852c2`9000<5j9o69;9;27h=i4;579>g4`=<<<01n<>:575?8e5;3>>:63l248713=::8;l:574?843m80?9:52247a>130348?i=4;569>603f2=?<70<:588712=::16>8:>:574?842<90?9:522473>130348?h84;569>602a2=?<70<;d58712=::<>o69;8;<07`7<3=>16>8:m:574?843l90?9:52246b>130348?ok4;569>602>2=?<70<;cd8712=::<>369;8;<07ga<3=>16>8:8:574?843kj0?9:522465>130348?oo4;569>60222=?<70<;c`8712=::<>?69;8;<07g<<3=>16>8:<:574?843k10?9:52247f>130348?i>4;569>603c2=?<70<;e38712=::=nm69;8;<07``<3=>16>9jl:574?843l80?9:5225a5>130348?o84;569>60322=?370<:55871==::8;>:57;?84cj<0?98522e2e>132348:o94;579>64gd2=?>70<>6d8713=:j?<18894=3c:93>>;63=a829001<5;k3j7::7:?1e=c=<<=01?o7d;663>;5i1i18894=3c73?22=2794>85447894>293>>963>912900><58kj=7::8:?2;4k=<18884=2a73?22>278onk5444896e283>>:63m:c;662>;4k<218884=2a60?22>278o;k5447896e113>>963=a`a9003<5;kjh7::8:?1e3b=<;5i?3188;4=3c5e?220279m;;5447897g1>3>>463=a739003<5;k=>7::8:?1e0b=<;4khh18884=2a`2?22>278ooj5444896ee<3>>:63=a619000<5;k<87::8:?1e26=<<<01?o81;66<>;5i1k18884=3c;f?220279m595444897g?03>>463=a969000<5;k397::8:?1e=7=<<<01?o72;66<>;5i>318884=3c4e?220279m:85444897g0?3>>463>c3c9521<5;9<=7?62:?1724=90801?=83;3:6>;5;>>1=4<4=3141?7>:279?:8518089750?3;2>63=36:95<4<5;9;50=n18864=2a:g?22>278o5h544489742n3>>963=272900><5;8>;7::5:?160>=<<201?<:0;661>;5:<;18864=33;1?22=27mm=4;549>b7b=<<<01k?=:575?84f1h0?98522`;0>132348j;n4;549>6d332=?>70<=3e8713=::h>:69;:;<010d<3=?16>5=n:575?xu4iok1<7o<{<1a4`132348>><4;549>604d2=?>70<:368710=::<9n69;:;<067c<3=<16>8<=:576?842::0?98522407>132348>>84;549>60412=?>70<;c68710=::<8<69;:;<0607<3=<16>8<7:576?842:00?9852240b>132348>>o4;549>604c2=?>70<:2d8710=::<8m69;:;<0675<3=<16>8=>:576?842;;0?985225f0>132348>?>4;549>602b2=?>70<:358710=::<9>69;:;<07`3<3=<16>8=9:576?843l>0?9852241;>132348?h54;549>605>2=?>70<;d88710=::<9j69;:;<07`d<3=<16>8=m:576?843lk0?9852241`>132348?hi4;549>605c2=?>70=ld68712=:9j8h69;8;<1`a0<3=>16?nk<:57;?85dm?0?98523bg7>130348?n94;549>61d52=?>70<;b18710=::=kn69;:;<07ef<3=<16>9on:576?843i10?985225c5>132348?m94;549>61g52=?>70<;9d8710=::=3h69;:;<07=d<3=<16>977:576?8431?0?985225;7>132348?5?4;549>61?72=?>70<;8d8710=::=2h69;:;<07g6<3=<16>9m>:576?843jo0?985225`g>132348?no4;549>61d>2=?>70<;b68710=::=h>69;:;<07=c<3=<16>966:576?843k=0?98523`gf>132349hm:4;579>617d2=?370<;1c871==::=;j69;7;<1ba2<3=116>9?i:57;?8439l0?9552253g>13?348>954;569>60312=?<70<:5b8713=::=o:69;9;<061g<3=?16>9k?:575?842=h0?9;52247:>131348>9:4;579>602d2=?=70<:408713=::<>;69;9;<0615<3=?16>9j::575?842131348>8i4;579>61b52=?=70<:4c8713=::=n;69;9;<060d<3=?16>9mi:575?842<00?9;5225af>131348>854;579>61ec2=?=70<:468713=::=ih69;9;<0603<3=?16>9mm:575?842<<0?9;5225ab>131348>894;579>61e>2=?=70<:428713=::=i369;9;<061`<3=?16>9k<:575?842=m0?9;5225g1>131348?hk4;549>61bb2=?>70<;db8710=::=n:69;:;<07g3<3=<16>9m::576?842=<0?98522477>132348>9>4;549>60352=?>70<:508710=:9j8m69;8;<1`33<3=116>9<9:576?843:>0?98522501>132348?>>4;549>614>2=?>70<;2`8710=:;ho269;:;<1bad<3=<16?nji:574?85dk;02>;523b`:><41349hn=46279>7fg22=?370=ld`8712=:;j9?69;:;<1`e5<3=116?n7<:57;?85d:q6?o>i:9f:?85fmm02>;523`d3><41348?:446279>61c3208=70<;898:63=::<:864<9;<07:?16>8>;:805?843i902>;52242e><41348?n;46279>607f208=70<;b98:63=::<;i64<9;<07fd<>:?16>8?l:805?843jj02>;52243g><41348?nh46279>607b208=70<;c18:63=::<;m64<9;<07g7<>:?16>8;522426><41348?4i46279>6061208=70<;8g8:63=::<:<64<9;<07=4<>:?16>8>7:805?8431:02>;52242:><41348?5846279>606f208=70<;968:63=::<:i64<9;<07=<<>:?16>8>l:805?8431k02>;52242g><41348?5i46279>606b208=70<;a08:63=::<;;64<9;<07e6<>:?16>8?>:805?843i<02>;522431><41348?m:46279>6074208=70<;a88:63=::<;?64<9;<07eg<>:?16>8?::805?843im02>;522435><41348?mk46279>6070208=70<;b08:63=::<;364<9;<07f6<>:?16>8?6:805?87d:m0?9:523bg0>130349ho>4;599>7fdf2=?370=lb0871==:;jo:69;:;<1`=`<3=?16?n7>:575?85d<10?9;523bc4>1323488554;599>7f272=?<70=l328712=:;j==69;9;<1`32<3=?16>>7l:57;?85dlo0?98523ba3>131349ho<4;549>7fd02=?=70=lb98710=:;jkn69;9;<1`ec<3=<16?no9:805?842lh0?9:5229c`>130349hh54;579>7f532=?=70=ldb8710=:;j9269;:;<0a6f<3=<16?no?:575?85d1:0?9;523b6b>1313ty8mkm50;1:85e9903h4523`g`><41348?>n46279>612e208=70<;4b8:63=::=?<64<9;<0727<>:?16>98<:805?843>=02>;522546><41348?:;46279>6100208=70<;698:63=::=>o64<9;<070`<>:?16>9:i:805?843=902>;522572><41348?9?46279>6134208=70<;558:63=::=?>64<9;<0713<>:?16>9;7:805?843=002>;52257b><41348?9o46279>613d208=70<;5e8:63=::=?n64<9;<071c<>:?16>98?:805?843>802>;523bf4>13134;h>n4;579>7fc42=?>70=le58713=:;j3n69;7;<1`=4<3=116?n:7:57;?85di10?9;521b0e>131349h;;4;569>7f102=?370=ldg8713=:;ji;69;7;<1`g4<3=>16?nl8:57;?85dj10?9:523bcf>13?349hmk4;569>7fbf2=?=70=l358712=:;j9269;9;<1`e5<3=>16?n7<:574?85d:9f:?87d:m0?9;523bg6>131349hi>4;579>7f?>2=?>70=l968710=:;j2h69;:;<1`132349h4?4;549>7f>72=?>70=l7d8710=:;j=h69;:;<1`3d<3=<16?n9=:576?85d?90?98523bg5>131349h?h4;579>7fe42=?>70=lb`8710=:;jh:69;:;<1`a4<3=?16?no7:576?85d1o0?9;523b;1>131349h844;579>66??2=?=70=l418710=:;j9369;9;<1`76<3=116?n9::805?85dkm0?98523b6e>132349ho44;549>7f042=?>70=l5g8710=:;j?i69;:;<1`12<3=<16?n;<:576?85d>m0?98523b4;>132349hml4;579>7fe22=?=70=lbb8713=:;jh869;9;<00=f<3=?16?nji:57;?842lh0?9;5229c`>131349hh54;549>7fbd2=?=705123x96d6:32o563>a8`96=b<582m57<7d:?a=4<>:?16o9?544:89f>72=?370lm1;;12>;d=>0?9552c93900><5kh964<9;fgb=1;<01n9k:57;?8e>83>>463mc98:63=:k>l18864=b;2>13?34hhm77=6:?`06<3=116o4<544:89gee208=70m;5;66<>;d1:0?9552bba9=70<5j><69;7;g1?=<>963mcg8:63=:k=o188;4=c`0><4134i><7::8:?`=0<3=116no:593489f352=?>70lm5;;12>;d==0?9852bc49=70<5j?=69;:;<`a3??5>27h944;549>fg>=1;<01n;m:576?8de1339:63l5e8710=:jkk15?84=b7e>13234i2:7::5:?afg<>:?16o;?544789f>52=?>70lmc;;12>;d>=0?9852c919003<5khn64<9;fg`=1;<01n87:57;?8e?=3>>;63mc18:63=:k?k188;4=b:5>13234hh=77=6:?`2f<3=<16o59544789ge5208=70m9f;66<>;d010?9:52bb19=70<5j=:69;7;g25=<<201n6n:574?8dd=339:63l74871==:k1h18894=ca5><4134i<;7::8:?`16nn9593489f1f2=?370m7e;66<>;ek002>;52c6a900><5j2m69;7;<3f64<>:?16=h<<:805?87b:>02>;521d0a><4134;n>i46279>5`56208=70?j348:63=:9l9<64<9;<3f7g<>:?16=h=i:805?87b<802>;521d66><41348=ho46279>63c6208=70<9e`8:63=::?o?64<9;<05a2<>:?16>;kk:805?841n902>;5227d0><41348=j;46279>63bb208=70??2e871==::9kh69;7;<`07?22=27:=>;54448947683>>:63>0d`900><58:i?7::8:?fb6<3=116ii9544:89`?72=?370k8c;66<>;e:90?95522`16>131348j8o4;569>6d2d2=?=70=l2e8712=:;j:n69;9;<1al:6:574?84f;:0?9:522`0a>13034l2;7::5:?e;a>>0?9;5229;f>130348:nh4;579>64d02=?>70<>a78713=::83=69;:;<02=5<3=<16><6;:575?846><0?9852207a>13134;;>94;569>557d2=?<70??158712=:99:h69;8;<3341<3=>16jkm544589c`32=?<70hjc;663>;am=0?9852fea9003<5on?69;8;bge=<<=01kl;:576?8`fi3>>;63i9g8712=:n1=188;4=g7e>13034l?j7::7:?e02<3=>16j>h544789c502=?>70h=c;663>;a:=0?9:52f039001<5;22o7::7:?1<;69>:63=a`d9001<5;kj;7::6:?1ed>=<<=01?on4;662>;5ih?18894=3cb5?22>279ml<5445897g>m3>>:63=a8d9001<5;k257::6:?1e<4=<<<01?o8b;662>;5i<218884=3c6=?22?279m8=5444896e1j3>>:63;5i?9188;4=3c6b?22=279m8l544789742i3>>963=2419003<5;8?57::5:?0g22=<>963l3g8710=:k8n188;4=b3e>13234i9=7::5:?`66<3=<16o?;54478977d<3>>963=1`a9001<5;;=i7::7:?1e<>=<;5i0;188;4=3c:4?22=279m5h5447897g?m3>>963=a9f9003<5;k3o7::5:?1e11=<<<01?6<6;663>;5:==188;4=3079>54458974393>>;63mla;661>;4k?>188;4=2a54?22=278o8m5447896e203>>963;5ihi18894=3cb`?22=279m;j5445897g1m3>>963=a7;9001<5;k=m7::5:?1e33=<<=01?o96;661>;5i?;18894=3c56?22=279m8j5445897g2m3>>963mm4;661>;5i>918894=3c40?22=279m:>5445897g093>>963=a9c9001<5;k3n7::5:?1e=1=<<=01?o78;661>;5i1>18894=3c;1?22=279m5?5445897g?:3>>963=a6;9001<5;k;6k;k1=:o4=3145?7>1279?:<518;89750;3;2563=36695;5;>21=474=314e?7>1279?:l518;897>3l3>>963;5:<=18894=3068>54458974293>>963=a8c9001<5;k2?7::7:?1e2e=<<=01?o:4;663>;5::n18864=3c75?22>279>9o5445897>4i3>>96s|3c23>5k383h63>8gc96=b<5kl264<9;27h=l46279>g7g=1;<01n=::805?8e4?339:63l398:63=:k:315?84=b1b><4134i8o77=6:?`7`<>:?16oe;;12>;d:902>;52c309=70<5j8?64<9;27h>:46279>g7>=1;<01n<6:805?8e5j339:63l2b8:63=:k;n15?84=b0f><4134i9j77=6:?`75<>:?16o>?593489f55208=70m<3;;12>;d;=02>;52c249=70<5h<864<9;27j:;46279>e3?=1;<01l8l:805?8g1n339:63n738:63=:i>?15?84=`5;><4134k:?16m8:593489d30208=70o:a;;12>;f=m02>;52a729=70<58o9<77=6:?2a7g=1;<01;6m:o15?84=021a?22>279348710=:98;;69;:;<33ag<3=?16==l<:575?8ca;3>>963jd68710=:m0:18884=d5`>13134h9<7::5:?1e6?=<<201k78:575?8`?n3>>:63i7g8713=:n>=18884=g4e>13234l=;7::5:?1e10=<<<01??m7;662>;59h<188;4=33:2?22>279=5:544589772m3>>;63>0369000<58::o7::6:?2442=<<<01<>?c;662>;689>188;4=gd`>13234lm87::5:?eaf<3=<16jh:544589cbd2=?<70hk4;661>;akj0?9852fb69000<5ohh69;9;27mml4;549>b<`=<>963i4g8710=:n==188;4=g1e>13034l8;7::7:?e6f<3=<16j?:544789c762=?>70<=5c8710=::;9h69;9;<017d<3=?16>?=8:575?845;10?9;5220a6>13?348:mi4;549>67202=?=70<=498713=::;9m64<9;<0101<3=?16>?:::576?87d:h0:;o522252>4?f3488;?4>9`9>6614283j70<<7582=d=:::=>6<7n;<0033<61h16>>98:0;b?844?10:5l52225b>4?f3488;o4>9`9>7g>b2=?370<7998712=::;?m69;7;<0125<3=?16>?;8:57;?845=10?9;522373>13?34899<4;579>6d5d2=?=70<7358712=::;9o69;8;<010d<3=<1v>l?1;2930}:;k;?65j6;<`45??5>27i;?46279>f25=1;<01o9j:805?8d?1339:63m8c8:63=:j1i15?84=c:g><4134h3i77=6:?a:?16n4>593489g13208=70l85;;12>;e??02>;52b659=70<5k=364<9;<`4=??5>27i;l46279>f2d=1;<01o9l:805?8d0l339:63m7g8:63=:j1:15?84=c:2><4134h3>77=6:?a<6<>:?16n5:593489g>2208=70l76;;12>;e0>02>;52b9:9=70<5k2j64<9;<336`<3=<16>=om:575?876;<0?9:521033>13034;;io4;549>55d42=?>70ki3;662>;bl>0?9;52e829003<5l=h69;:;<0b7<<3=>16>b3`=<<=01k88:574?84f132348:5=4;579>64022=?=70<>5c8712=:998?69;:;<335f<3=<16==?;:576?8778j0?98521127>13134lmo7::6:?eb1<3=?16jhm544489cc32=?=70hkc;662>;al=0?9;52fba9000<5oi?69;:;bdg=<<<01k7i:575?8`??3>>;63i5g8713=:n=l18884=g64>13134l8j7::6:?e72<3=?16j?m544489c432=?=70h>1;662>;5:279>8;544789743m3>>963=1b79003<5;;jh7::8:?1610=1;<01?<;0;661>;5:=;188;4=3070?22=279>9;5444894e5i3;7?6b:?1725=90h01?=84;3:f>;5;>?1=4l4=3142?7>j279?:9518`8975003;2n63=36c95=1;<01?:=4;;12>;5<;h15?84=2cff??5>2794465444897419339:63=24;9=70<5;8>>77=6:?1e6e=<;591?18884=300`?22=2wx?o>=:1827~;4j8?14i74=3c0=?22>279m98544789c342=?370?l2`823a=:::=:6<7l;<0037<61j16>>9<:0;`?844?=0:5n522256>4?d3488;;4>9b9>6610283h70<<7982=f=:::=j6<7l;<003g<61j16>577:57;?84f;o0?98522917>13234l:;7::6:p7g64290:4v3>;63=a54900><5oki69;9;<3`6d<6?l16>>9>:0;g?844?;0:5i522250>4?c3488;94>9e9>6612283o70<<7782=a=:::=<6<7k;<003=<61m16>>9n:0;g?844?k0:5i5229;:><41348j?n4;569>6=532=?=70hn0;662>;a:m0?9852f009003<7::5:?1eg6=<;5ih<188;4=3cb7?22=279ml>5447897g>l3>>963=a849003<5;k;a=:0?9;522`;;>13?348j5:4;599>6d?62=?370l6k:57;?84f0j0?95522`1;>132348jmo46279>6d0d208=70:?16>l;l:805?84f?;02>;522`4e><41348j4446279>6d>1208=70:?16>l9::805?87d:h0:;k522252>4?b3488;?4>9d9>6614283n70<<7582=`=:::=>6<7j;<0033<61l16>>98:0;f?844?10:5h52225b>4?b3488;o4>9d9>60bf2=?>70<7ab8710=:n8=188;4=3:7=?22?2wx?o>::1824~;4j8214i74=021a?22?27n5?4;549>b07=<;5;>;1=4h4=3146?7>n279?:=518d89750<3;2j63=36795<`<5;9<:7?6f:?1721=90l01?=88;3:b>;5;>k1=4h4=314f?7>n27m=94;579~w6d613:1=9u23c2g>73a349i=?4=5g9>7g742;?m70=m15811c=:;k;>6?;i;<1a53<5=o16?o?8:37e?85e91099k523c25>73a349i<:4=5g9>7g6?2;?m70=m08811c=:;k:j6?;i;<1a4g<5=o16?o>l:37e?85e8l099k523c2e>73a349i==4=5g9>7g762;?m70=m1`847c=z{:h:n7>52z?0`7>=0mh01>l>c;50b>{t;k;n6=4;{<1a=6<3=?16?olk:574?85ei;01333ty8n03>>:63=<<<0q~=m2183>6}:;khi69;:;<1ae3<0;o16?oo=:574?xu4j;;1<78t=2`af?22>278nl9572d896de;3>>;631}:;j8o69;:;<1`4`<3=>16?o6l:574?85e0o0131349i;:4;579>7g0f2=?=70=m668713=:;k<>69;9;<1a27<3=?16?o;k:575?85e=h0?9;523c74>131349i994;579>7g362=?=70=m4b8713=:;k>369;9;<1a34<3=<16?o8l:576?85e1333ty8n?:50;4x96d>n3>>;63ln8;50b>;4jh3188:4}r1a60<72=q6?ol>:575?85eio0?9:523cc7>25a349im84;559~w6d5>3:19v3l<3;663>;4jl9188;4}r1a62<72;q6?o<8:61e?85e:00?9:5rs2`1>46s|3c0:>5<4s49i>4483g9>7g4b2=?>70=me78712=z{:h9m7>53z?0f7g=?:l01>ln1;66<>;4j;l188;4}r1a6g<72;q6?o>;6s|3c12>5<0s49i?<47d89>7gc?2=?>70=m618713=:;k8n69;9;<1aa3<3=<16?n:9:574?85e?58e`896d4;3=8j6s|3c17>5<4s49i8;47d89>7f7>2=?>70=mac8710=z{:h?;7>52z?0f10=:l;8;50b>{t;k9o6=4<{<1a0<;3>>;63h6:=i;|q0f60=839p1>l;d;:g=>;4k8k188;4=2`:1?22=2wx?o:j:18185e25a3ty8n>950;6x96d2:32o563=<<=0q~=m5283>7}:;k?96?;i;<1a11<0;o1v>l<8;291~;4jk3>>9637g322;?m70=m56847c=z{:h857>53z?0f0>=0m301>ln0;663>;4k8n188;4}r1a1<<72;q6?o;7:37e?85e=h0>96s|3c7`>5<5s49i9o4=5g9>7g3c2>9m7p}i76k9:?0g77=<43|5:h>i7<7d:?0f35=:1n01>l;9;0;`>;4j=<1>5j4=2`5l8b;0;`>;4j1;1>5j4=2`66?4?l278n9o529f896d3l383h6397<7d:?0f0>=:1n01>l90;50b>;4j>8188;4=2`5`?22=2wx?o8>:18185e=l099k523c41>25a3ty8n>m50;1x96d1;32o5636:=i;|q0f30=838p1>l;9;06b>;4j?=1;>h4}r1a7`<72=q6?o87:9f:?85eim0?9;523c0;>130349im94;549~w6d113:1>v3l9c;296~;4j?h1>8h4=2`5g?14n2wx?o:?:18785e?903h4523c`2>132349im:4;569>7g4d2=?=7p}3}:;k=>65j6;<1af6<3=<16?oo9:576?85ej<0?98523c0g>132349in54;569~w6d0>3:1>v3l88;06b>;4j>k1;>h4}r1a06<72;q6?o9m:9f:?85d:10?985rs2`4g?6=:r78n:l524d896d0l3=8j6s|3c67>5<3s49i;h47d89>7gde2=?370=m2e8712=:;kk969;:;|q0f2`=838p1>l8e;06b>;4j1:1;>h4}r1a00<72:q6?o6>:9f:?85d:h0?98523c`g>1323ty8n5<50;0x96d?938>j637g>121n270=m6e8713=z{:h397>52z?0f=1=0m301>l82;662>{t;k236=4={<1a524d896d?k3=8j6s|3c:g>5<5s49i4h483g9>7g>a2=?=7p}0}:;kn;6?6k;<1aea<3=116?o<6:576?85d9001313ty8n4<50;0x96dc:383h637gb42;2o70=m94847c=z{:h2:7>52z?0fa2=:1n01>l68;50b>{t;k326=4={<1a`0<50m16?o7n:61e?xu4j0h1<77>c349i5h483g9~w6d>n3:1>v3lk1;0;`>;4jhh1;>h4}r1aef<72;q6?ojn:3:g?85eim05<5s49ihn4=8e9>7gd62>9m7p}7}:;knn6?6k;<1af0<0;o1v>lm6;296~;4jml1>5j4=2`a=?14n2wx?ol8:18185ej101313ty8noo50;0x96db8383h637gc62;2o70=mbe847c=z{:hii7>53z?0fa6=0m301>l;8;661>;4k==188;4}r1ag2<72:q6?oj>:9f:?85e>>0?98523b57>13?3ty8noh50;1x96dc:32o563<7::8:p7ge72908w0=md28;`<=:;k?:69;:;<1`11<3=11v>ll1;297~;4jm>14i74=2`60?22=278o86544:8yv5ek;0;6>u23cf6>=b>349i9:4;549>7f3d2=?37p}m90;66<>{t;ki?6=4<{<1a`2=0?955rs2``1?6=;r78ni658e;896d1:3>>9637gb>21n270=m648710=:;j=839p1>lka;:g=>;4j?k188;4=2a;b?2202wx?om6:18085elk03h4523c4`>131349h5n4;599~w6ddi3:1?v36}:;kno65j6;<1a32<3=<16?nl;:57;?xu4jji1<7=t=2`ga?>c1278n:o5447896eel3>>46s|3cag>5<4s49ihk47d89>7g1c2=?>70=lc7871==z{:hhi7>53z?0f`6=0m301>l70;661>;4kjk18864}r1agc<72:q6?ok>:9f:?85e0:0?98523baf>13?3ty8nh<50;3085el9099k523cf2>73a349ihl4=5g9>7gbe2;?m70=mdb811c=:;kno6?;i;<1a``<5=o16?oji:37e?85em9099k523cg2>73a349ih?4=5g9>7gb42;?m70=md5811c=:;kn>6?;i;<1a`3<5=o16?oj8:37e?85el1099k523cf:>73a349ii>483g9~w6db<3:19v3lj6;66<>;4j=l18864}r1aa0<72;q6?ok;:3:g?85em?05<3s49ijo47d89>7f7>2=?=70=mac871==:;j>=69;7;|q0fc4=83>p1>lic;:g=>;4k>>18894=2`bf?22>278o?<54448yv5emh0;68u23cdg>=b>349i5>4;549>7g402=?=70=m96871==:;j?;69;8;|q0f`d=83>p1>lie;:g=>;4k8k18884=2`:1?22>278o8:54458yv5emj0;68u23cde>=b>349i>:4;599>7g??2=?>70=l598712=:;kk369;7;|q0f`b=83m?0;:g=>;4jh:18884=2`:e?22>278n4m544:896e2k3>>;637f6621n270=ma1871==:;j<;69;8;<1`5a<3=?1v>ljf;297~;4k9814i74=2a50?22?278ou23b20>=b>349h><4;579>7f012=?<7p}=<<<01>ln1;662>;4k?h18894}r1ab6<72::9f:?85eim0?9:523c0;>13?349h4k4;569>7gg32=?37p}m6c;663>;4k;>18884}r1ab0<728:9f:?85ej80?9:523cc4>13?349i>n4;569>7fge2=?<7p}ln6;66<>;4jk?18864=2`1`?22>278oo:5445896de03>>46s|3cd4>5<4s49h<447d89>7fdc2=?<70=l278713=z{:hm47>53z?0g5g=0m301>ml6;663>;4k;218884}r1ab<<72m:9f:?85ejk0?9:523c0g>13?349hol4;569>7gg52=?37p}lmd;662>;4kjo18894}r1`4a<7289p1>lib;06b>;4joi1>8h4=2a31?42n278o=8524d896e7?38>j63m?b;06b>;4k9i1>8h4=2`e`?42n278nkk524d896dan38>j63m?3;06b>;4k9>1>8h4=2a3a?14n2wx?n>i:18785d9h0131349i554;599>7gg?2=?>7p}m>c;660>{t;j;:6=4;{<1a6d<3=?16?o7j:57;?85d9m01333ty8o<<50;6x96d5i3>>;630q~=l1283>6}:;j8:6:=i;<1a6d<3=116?o7i:575?xu4k8>1<7:t=2`1f?22?278o?<572d896e5;3>>8637g4e2=?370=ma68710=:;j8?6:=i;<1`60<3==1v>m>6;291~;4jk?18894=2`1g?22=278no65447896e5>3=8j637gg12=?<70=mb98713=:;j836:=i;<1`6<<3==1v>m>8;290~;4j0918864=2a1e?14n278n?l5444896d>?3>>96s|3b0a>5<4s49h>o47d89>77?42=?>70=53z?0g7d=:l<1;06b>;4k;n1;>h4}r1`6c<72kq6?n0?98521b0`>132349hi94;549>7f5b2=?<70?l2g871==:;j9369;7;<1a<`<3=<16?nk?:805?85dlh0?98523b1:>1303ty8o>>50;0x96e5n38>j637fc02;2o70=l37847c=:;jn869;7;|q0g65=838p1>m<6;66<>;4k:91;>h4}r1`7g<72;q6?n=m:61e?85d<80?985rs2a0g?6=:r78o>m572d896e4l3>>46s|3b1g>5<5s49h?i483g9>7f262=?=7p}7}:;j9m6:=i;<1`04<3=>1v>m;1;296~;4k:<188;4=2a76?14n2wx?n:;:18185c81094i523b6:>25a3ty8o9;50;0x96e313>>4637f2?2>9m70=l488710=z{:i?h7>52z?0`5g=:1n01>m;e;50b>{t;j?:6=4={<1g4g<50m16?n;=:61e?xu4k7>c349h9l483g9~w6e2l3:1>v3i79j>0;0;`>;4k?=1;>h4}r1`23<72;q6?n89:61e?85d>00?955rs2a5e?6=:r78h5<5s49h:o483g9>7f0b2=?37p}7<7d:?0g26=?:l0q~=l7083>7}:;m;86?6k;<1`37<0;o1v>m83;296~;4l931>5j4=2a41313ty8o:;50;0x96e003>>;637a722;2o70=l7`847c=z{:i52z?0`40=:1n01>m8c;50b>{t;j=o6=4={<1g52<50m16?n9j:61e?xu4k>l1<7572d8yv5d080;6?u23e3:>7>c349h4?483g9~w6e?;3:1>v322909w0=k1c81j>c;0;`>;4k121;>h4}r1`<<<72;q6?i?k:3:g?85d0h05<5s49o=94=8e9>7f?52>9m7p}7::8:?0g<6=?:l0q~=l8g83>7}:;j2m6:=i;<1`=5<3=?1v>m61;296~;4k0;1;>h4=2a:6?22=2wx?n79:18185c:9094i523b;4>25a3ty8o4650;0x96b59383h637a7a2;2o70=l9g847c=z{:i2n7>52z?0g<`=<<201>m6d;50b>{t;j3h6=4={<1`=f<0;o16?n7k:575?xu4k0o1<77>c349hm4483g9~w6ef<3:1>v3mn8;66<>;4kh=1;>h4}r1`e=<72;q6?no7:61e?85dih0?9:5rs2abg?6=:r78h?=529f896ee:3=8j6s|3bcg>5<5s49hn<4;579>7fd72>9m7p}7}:;jkm6:=i;<1`f5<3=<1v>mm1;296~;4kk;1;>h4=2aa7?22?2wx?nl::18185c:=094i523b`a>25a3ty8oo850;0x96eei3>>:637fd02>9m70=lb88713=z{:ii47>52z?0gg>=?:l01>mm9;661>{t;jhj6=4={<1`fd<0;o16?nll:574?xu4kko1<7131349ho?483g9~w6ed83:1>v37::6:p7fe62909w0=lc0847c=:;ji969;:;|q0gf5=838p1>ml3;50b>;4kj?18894}r1`g2<72;q6?i<9:3:g?85dk105<52>3>>:63>8339003<582mo7::5:?2=55=<<<01;58hi18884=d;2>13034o>463i508712=:n8<18884=3c64?22>278o>l5445896e4l3>>:63;4k:l188;4=0:65?22>27:5=>5445894gf93>>;63>8g69001<58k2:7::7:?1e6>=<<<01>m<3;662>;e>l0?955222;`>132349i4h4;579>643d2=?>70l97;662>;5j;i18894=3:7=?22>2wx?nj?:18185dko094i523bf2>25a3ty8oi<50;0x96edn38>j63a819003<582m=7::5:?2<00=<<=01<6=1;663>;60oi18884=32bg?22?279n<=544:897gc<3>>963j938713=:j;:18894=c12>13034kjo7::5:?e14<3=?16j<85445897g2:3>>:63=d1d9000<5;;;4k=:18864=0:65?22?27:5=>5444894gf93>>:63=a2:9001<5k?l16>>7k:805?84dmk02>;523c:f>130348:9n4;569>6=2>2=?>7p}7}:;jnm6:=i;<1`a5<3=?1v>mj2;2961}:;jo86:=i;<1`=<<3=>16?n78:574?85d0j0?9:523b:b>130349h454;569>7f>12=?<70=l858712=:;j2969;8;<1`<5<3=>16?n9j:574?85d?j0?9:523b5b>130349h;?4;569>7f172=?<70=l798710=:;j9369;8;<1`03<3=<16?n:8:574?85dkj02>;523b6f><41349ho546279>7f05208=70=l5d8:63=:;j?j64<9;<1`13<>:?16?n;=:805?85d>j02>;523b44><41349hm446279>7fe3208=70=lbc8:63=:;jh964<9;<1a:?16?n7k:805?85d1902>;5rs2af3?6=::q6?nk8:9f:?85f9;0?9;523946>1313492:44;579>7910?9;523`3a>130349<8k4;569>7a0c2=?=70=n3e8713=:;h?j69;9;<141=<3=?16?:6i:575?850ik0?9;5236a4>13134972`22=?=70=70b8713=:;18869;9;<1;7d<3=?16?59<:575?85?0h0?9;5239c2>1313493n54;579>7=ea2=?=70=7e78713=:;1lo69;9;<1:62<3=?16?4=j:575?85>?80?9;5238:;>1313ty8oh650;0x96b7032o563<75`900>4?:3y>7a6>21n270=764871==z{:in57>52z?0`5g=0m301>9:8;66<>{t;joj6=4={<1g4gc1278;5h544:8yv5dmj0;6?u23e2g>=b>349v3nn69;7;|q0g``=838p1>j>0;:g=>;4?o?18864}r1`b5<72;q6?i?>:9f:?85?8j0?955rs2ae5?6=:r78h<<58e;896>5;3>>46s|3bd1>5<5s49o=>47d89>7=5f2=?37p}7}:;m;>65j6;<1;36<3=11v>mi5;296~;4l8<14i74=2:;e?2202wx?nh9:18185c9>03h45239c2>13?3ty8ok950;0x96b6032o563<8c:900>7a7>21n270=7cg871==z{:im57>52z?0`4g=0m301>6j6;66<>{t;jlj6=4={<1g5gc12785<654458yv5dnj0;6?u23e3g>=b>3492>:4;599~w6eal3:1>v369;7;|q0gc`=838p1>j=0;:g=>;41>;18864}r1g45<72;q6?i<>:9f:?85>010?955rs2f36?6=:r78h?<58e;896?c93>>46s|3e20>5<5s49o>>47d89>77}:;m8>65j6;<1b76<3=>1v>j?6;296~;4l;<14i74=2c0`?2202wx?i>8:18185c:>03h4523`7b>13?3ty8h?650;7x96b5032o563mk1;660>{t;m826=4:4z?0`1?=0m301;b?h094i52e9g96=b<58:i=7<7d:?24`?=:1n01;a=1094i52f1c96=b<5ol>6?6k;bcb=:1n01<>?5;0;`>;688n1>5j4=023`?4?l27:<<;529f89c572;2o70h>d;0;`>;a:<094i52f5:96=b<5o936?6k;b36=:1n01k67:3:g?8`08383h63i79815j4=g;3>7>c34l247<7d:?eg0<50m16jo;529f89cdc2;2o70hkd;0;`>;akm094i52fe796=b<58:997<7d:?ea0<50m16jhj529f894>2<383h63m5781<68:3:g?846?0094i5220;2>7>c348:5:4=8e9>64g62;2o70<>a6817>c348::;4=8e9>7g`e2;2o70=m30814h>:3:g?84>n>094i5228a3>7>c348j62c?2;2o70<71181i<6?6k;<0;65<50m16=5>6:574?870lo0?9;52b279001<58h<:7::5:?2=a5=<;5i=i18894=3::a?220279:4j5445897?4n3>>463jf0815j4=0a1e?4>k279?:?52b589750i38h;63neg8713=z{:n887>553y>7a2f21n270?n8d81;a08094i52f`g96=b<5oln6?6k;<3343<50m16==?j:3:g?8778l094i521135>7>c34l8=7<7d:?e5`<50m16j?8529f89c2>2;2o70h<9;0;`>;a<8094i52f7;96=b<5o<:6?6k;b2?=:1n01ko;:3:g?8`>9383h63i98815j4=g`5>7>c34lii7<7d:?e``<50m16jnk529f89cb12;2o70??27815j4=ggf>7>c34;39:4=8e9>f01=:1n01??70;0;`>;59>:1>5j4=33;:383h63=18:96=b<5;;j>7<7d:?15d>=:1n01??nf;0;`>;59k31>5j4=33`4?4?l279=;>529f89772;383h63=17596=b<5:hmo7<7d:?1ef2=:1n01?j?7;0;`>;51kl1>5j4=3;e=:1n01?6=1;0;`>;609i18894=05e0?22>27i?:4;569>5g>c2=?>70?6e`8710=::?k:69;8;<0:06<3=116ik:529f89`b?2;2o70?l2`81=a=:::=96?m8;<003d<5l;16n=854448yv5c:h0;6c127n4=4=8e9>a<>=:1n01<>mc;0;`>;68k?1>5j4=02e2?4?l27:=6?6k;<0:b<<50m16>4m=:3:g?84f:0094i522`32>7>c3483=?4=8e9>62e>2;2o70<7338116=:k<:575?87e?00?985218f5>132348=m=4;569>6<252=?370kie;0;`>;bl0094i52ag09000o4?:0;x96b3k32o563j80815j4=02a`?4?l27:529f8946a?383h63>10a96=b<5k?26?6k;<1ab`<50m16>lm9:3:g?84>nh094i5228a0>7>c348j=?4=8e9>6=742;2o70<8c`8116=:k9:575?87e?k0?985218f;>132348=m?4;569>6<232=?370kif;0;`>;blh094i52ag79000n4?:0;x96b3l32o563j83815j4=02aa?4?l27:10f96=b<5k?j6?6k;<1abc<50m16>lm8:3:g?84>nk094i5228a7>7>c348j=>4=8e9>6=732;2o70<8cc8116=:k6:575?87e?m0?985218fb>132348=m94;569>6<212=?370h?0;0;`>;blk094i52ag:9000i4?:0;x96b3m32o563j82815j4=02ab?4?l27:10g96=b<5k?i6?6k;<1`45<50m16>lm7:3:g?84>nj094i5228a6>7>c348j=94=8e9>6=722;2o70<8cb816?6k;<3;45<3=>16=:kl:575?87e?o0?985218f`>132348=m;4;569>6<2?2=?370h?1;0;`>;blj094i52ag`9000h4?:0;x96b3n32o563j85815j4=02`5?4?l27:10d96=b<5k?h6?6k;<1`44<50m16>lm6:3:g?84>nm094i5228a5>7>c348j=84=8e9>6=712;2o70<8ce8116=:ki:575?87e080?985218ff>132348=m54;569>6<2f2=?370h?2;0;`>;blm094i52agg9000k4?:0;x96b2832o563j84815j4=02`6?4?l27:13296=b<5k?o6?6k;<1`47<50m16>lmn:3:g?84>nl094i5228a4>7>c348j=;4=8e9>6=702;2o70<8cd8116=:h=:575?87e0:0?985218g3>132348=ml4;569>6<2d2=?370h?3;0;`>;bll094i52b119=7055b02;2o70??fb81<:3:g?84>no094i5228a;>7>c348j=:4=8e9>5=2e2=?<70?71g8713=:9k2>69;:;<3:a7<3=<16>4:j:57;?xu4l:;1<7??{<1g170e:96=b<58:mh7<7d:?2575=:1n01>l60;0;`>;4k9>1>5j4=3c34?4?l2795n7529f897g60383h63>85g9001<5828:7::6:?2f=1=<;51<:18864}r1g77<72lq6?i;<:9f:?877k<094i52ed396=b<58:o57<7d:?24c`=:1n01;5i9;1>5j4=3;`e?4?l279m<7529f894>413>>:63>8379001<58h357::5:?2=`0=<{t;m986=4j{<1g110ec96=b<58;;<7<7d:?2573=:1n01?o?2;0;`>;51jh1>5j4=3c2e?4?l27:4>m5444894>503>>;63>b9`9003<583n47::5:?1=02=<<20q~=k3g83>07|5:n>976k9:?2e=`=:1n01<6jd;0;`>;69:=1>5j4=d:5>7>c34;;ho4=8e9>ac3=:1n01<>jd;0;`>;69881>5j4=g7b>7>c34l;o7<7d:?eb2<50m16j5<529f89cga2;2o70hif;0;`>;689=1>5j4=022b?4?l27:<=h529f89466?383h63i33815j4=g04>7>c34l?m7<7d:?e7d<50m16j9<529f89c0f2;2o70h92;0;`>;a0h094i52f6096=b<5o=j6?6k;b5j4=gae>7>c34lo;7<7d:?2471=:1n01kk8:3:g?8`bn383h63>85d96=b<5k?n6?6k;<02<4<50m16><9>:3:g?84600094i52205a>7>c348:5>4=8e9>64?>2;2o70<>a2817>c348:994=8e9>640?2;2o70=l04814h?:3:g?84f8:094i5228a`>7>c348j=o4=8e9>6=7?2;2o70<8cg81o<6?6k;<0;6=<50m16=:h8:575?870m:0?9:52b2;9001<58hj?7::5:?2e56=<;517>c34;h>l4=9d9>66142;i<70<<7`81`a=:j9318884}r1g70<72lq6?i;9:9f:?877k>094i52ed696=b<58:oo7<7d:?2557=:1n01;5i9>1>5j4=3;``?4?l279m4n3>>:63>83`9001<58h3j7::5:?2=`e=<{t;m9=6=4j{<1g120ef96=b<58;;>7<7d:?2571=:1n01?o?5;0;`>;51jo1>5j4=3c2`?4?l27:49<5444894>5m3>>;63>b839003<583ni7::5:?1=0?=<<20q~=k3683>`}:;m?365j6;<33g<<50m16ih8529f8946cm383h63>11196=b<58;947<7d:?1e50=:1n01?7lf;0;`>;5i8o1>5j4=0:71?22>27:4>?5445894d>;3>>963>9g29003<5;3>n7::8:p7a5?290nw0=k588;`<=:99ij6?6k;13;96=b<5;k;;7<7d:?1=a6=:1n01?o>f;0;`>;60=218884=0:00?22?27:n4;5447894?a:3>>963=94f900>7a3f21n270??cb815j4=02f4?4?l27:==;529f89475i383h63>85`9000<582:j7::7:?2f<1=<{t;m9j6=4n{<1g1g0d396=b<58;;:7<7d:?257d=:1n01<6;e;662>;60:<18894=0`:=?22=27:5k854478yv5c;k0;6ou23e7`>=b>34o3;7<7d:?24fc=:1n01<>j2;0;`>;699=1>5j4=031`?4?l27:4>75445894>5=3>>:63>b8`9003<583m47::5:?fad<50m1v>j7>c34;;ok4=8e9>55c42;2o70?>098116=5<7:575?87e1m0?985218db>13234onn7<7d:p7a5c290iw0=k5d8;`<=:m131>5j4=02g4?4?l27:13d96=b<5828j7::7:?2<7d=<<<01;61oi188;4=dg`>7>c3ty8h>k50;`x96b2n32o563j8`81m:3:g?876;9094i521961>13034;3>h4;579>5gg62=?>70?6fd8710=:mln1>5j4}r1g07<72<:p1>j90;:g=>;6i0:1>5j4=0:fa?4?l27:=>6529f89`>e2;2o70??e7815j4=02fa?4?l27:=<=529f89c3e2;2o70h?d;0;`>;an1094i52f9196=b<5oh;6?6k;<3345<50m16==>7:3:g?877:9094i521133>7>c34;;=54=8e9>b65=:1n01k5j4=g60>7>c34l=n7<7d:?e26<50m16j5l529f89c142;2o70h8b;0;`>;ai?094i52f8196=b<5o3i6?6k;bf6=:1n01kk?:3:g?8`c8383h63id981f0`=:1n01??72;0;`>;59>81>5j4=33;e?4?l279=:m529f8977><383h63=18c96=b<5;;j87<7d:?15dg=:1n01??m1;0;`>;59kh1>5j4=33`6?4?l279=;<529f89772=383h63=17;96=b<5:i;:7<7d:?1efe=:1n01?j?9;0;`>;51o81>5j4=3c3;6?ok18884=05f2?22?27i?o4;569>5gg>2=?>70?n078710=::?kn69;8;<0:25<3=116ihk529f894e5i382j63=36696f1<5;9j;0;29f~;4l?;14i74=d:`>7>c34;;h?4=8e9>55c02;2o70?>0b8116=5=>:575?87ei<0?98521`21>13234onj7<7d:p7a26290iw0=k638;`<=:m1n1>5j4=02g7?4?l27:12096=b<582?47::7:?2<62=<<<01;6i9>188;4=dd3>7>c3ty8h9=50;6`85c>:03h4521`:4>7>c34;3i84=8e9>a<3=:1n01<>m6;0;`>;68o91>5j4=dd4>7>c34;;ik4=8e9>54732;2o70h:c;0;`>;a8l094i52fg;96=b<5o2?6?6k;03396=b<58::=7<7d:?244?=:1n01k=;:3:g?8`59383h63i28815j4=g1`>7>c34l?87<7d:?e2f<50m16j;:529f89c>d2;2o70h84;0;`>;a?j094i52f`596=b<5o3?6?6k;bg?=:1n01km>:3:g?8`b9383h63id0815j4=021=?4?l27mi44=8e9>bc7=:1n01o8?:3:g?846?:094i5220:a>7>c348:5o4=8e9>64ge2;2o70<>b381<8<:3:g?846=?094i52204b>7>c349h<:4=8e9>6dec2;2o704h;:3:g?84f:8094i52293b>7>c34862ce2;2o70<72`81lo69;9;<34a<<3=>16n>j5445894dfj3>>963>a1:9003<5;7e03488;o4=c69>f5`=<<<0q~=k4583>1>|5:n=876k9:?2e<>=:1n01<6i6;0;`>;b1o094i5211`4>7>c34;;jh4=8e9>ac>=:1n01<>i0;0;`>;698?1>5j4=g7g>7>c34l;j7<7d:?ebd<50m16j5;529f89cd52;2o70??0381b6b=:1n01k:::3:g?8`1l383h63i64815j4=g56>7>c34l;ajh094i52fb096=b<5oo96?6k;554f2;2o70hja;0;`>;an;094i52b7396=b<5;;<87<7d:?15=e=:1n01??6c;0;`>;59k91>5j4=3363?4?l279=;l529f896e70383h63=abg96=b<5;n;n7<7d:?1e5g=:1n01?7k3;0;`>;51o?1>5j4=3c16?4?l2794;e;o0?9:521ccg>13234;j63d52=?<70<665871==:n9?1>5j4=0a1e?4f9279?:852b589750j38o>63m138713=z{:n?97>529y>7a0221n270?n96816?6k;;59<21>5j4=335g?4?l278o=7529f897gdn383h63=d1a96=b<5;k;n7<7d:?1=a2=:1n01?7i6;0;`>;5i;91>5j4=3:2g?4?l279;i=529f8971bl383h63=83a96=b<582;?7::6:?23``=<<=01o:>:574?87eio0?98521`2`>132348=n94;569>6<012=?370h?6;0;`>;6k;k1>l<4=3143?4d?279?:l52ef89g722=?=7p}s49o:;47d89>5d?a2;2o70?7fd815j4=02a=?4?l27:=<8529f89``f2;2o70??f381;4k9k1>5j4=3cg4?4?l279h=j529f897g7k383h63=9e796=b<5;k987<7d:?1<4b=:1n01?9k4;0;`>;50;n1>5j4=c60>13134;3<;4;579>52`52=?<70?mb08710=:9h:n69;:;<0b70<3=<16>5:8:575?841j?0?9:52284;>13?34l;;7<7d:?2g7g=:h>01?=88;0`3>;5;>h1>h<4=c3;>13134838h46279>6d262=?<7p}s49o::47d89>ad4=:1n01h79:3:g?877jh094i521002>7>c34;;j94=8e9>547>2;2o70l94;0;`>;4k9h1>5j4=3cg5?4?l279m=j529f897?c>383h63=a3796=b<5;2:i7<7d:?13a3=:1n01?6=e;0;`>;609318884=05gb?22?27:no=5447894g683>>963=6c:9001<5;3=m7::8:?fbf<50m16j=6529f89g7e2=?=7p}ad5=:1n01h78:3:g?877jk094i52100`>7>c34;;j84=8e9>547f2;2o70l95;0;`>;4k9i1>5j4=3cg6?4?l279m=k529f897?c?383h63=a3:96=b<5;k9:7<7d:?1<4`=:1n01?9k6;0;`>;50:;1>5j4=3:1b?4?l27:4=m54448941a<3>>;63>bc79003<58k:>7::5:?12gg=<<=01?79c;66<>;bnm094i52f1;96=b<5k;n69;9;|q0`3?=838:w0=k48811c=:;m>j6?;i;<1g10<5=o16?i8?:37e?85c>:099k523e47>73a349o:84=5g9>7a012;?m70=k66811c=:;m<36?;i;<1g0g<5=o16?i:l:37e?85c73a349o8k4=5g9>7a372;?m70=k50811c=:;m?96?;i;<1g16<5=o16?i;;:37e?85c=?099k523e74>73a349o954=5g9>7a3>2;?m70=k5`811c=:;m?i6?;i;<1g1f<5=o16?i;k:37e?85c=l099k523e7e>73a349o:<4=5g9>7a052;?m70=k6`847c=z{:n=n7>53z?0g7`=:1n01>j9f;50b>;4k:;18864}r1g34<720q6?i9=:61e?85c?l02;k523e5g><1a349o;k467g9>7a>720=m70=k7c8:3c=:;m=h649i;<1g3<<>?o16?i9n:85e?xu4l>91<7ot=2f;5??5>278h::572d896b0m3>;963j8b;631>;4l>i18=;4=2f4=?27=278h:o54178yv5c?<0;6iu23e56>=b>349o;?4;549>7d7>2=?>70=k768712=:;1<;69;9;<1:27<3=?16?4oj:575?85>kh0?9;5238g5>1313497d7e2=?370=84g8713=:;mj85;:gf>;4l>=1;>h4}r1g<4<72=q6?i6>:9f:?856>80?985231c3><41349:ji4;549~w6b?:3:18v301>l;f;663>{t;m286=4={<147d<3=<16?i96:251?xu4l1>1<7130349o;o4<8e9~w6b?>3:1>v3<78g9001<5:n02909w0=8b`8712=:;m=h6>9k;|q0`=>=838p1>9k3;663>;4l>i1?564}r1g<<<72;q6?:kn:574?85c?j084i5rs2f;e?6=:r784=?5445896b0l39<>6s|3e:a>5<5s493=54;569>7a1c2:=o7p}7}:;1>=69;8;<1g3a<40m1v>j7e;296~;40?:188;4=2f4=?50l2wx?i6i:18185??o0?9:523e5f>6153ty8h4>50;0x96>>>3>>;637=gc2=?<70=k7d80<==z{:n2>7>52z?0j8e;1;`>{t;m386=4={<1;`g<3=>16?i9i:251?xu4l0>1<7130349o;k4<899~w6b>>3:1>v3<90`9001<5:n9=;|q0`<>=838p1>7;a;663>;4l1:1?:j4}r1g=<<72;q6?48=:576?85c?008455rs2f:e?6=:r785:j5445896b?839346s|3e;a>5<5s492594;569>7a>72:2o7p}7}:;0ij69;:;<1g3d<4?;1v>j6e;296~;41l<188;4=2f4e?50l2wx?i7i:18185c?h0845523`2a>1303ty8hl>50;0x96b0i393h637d2c2=?<70=k7c8037=z{:nj>7>52z?0e3g=<<=01>j8b;14`>{t;mk86=4={<1g<4<5=o16?io;:61e?xu4lh?1<7130349o;o4v3<6679001<5:nl9;|q0`d?=838p1>88b;663>;4l>i1?n?4}r1ged<72;q6?;9j:574?85c?j08on5rs2fbf?6=:r78:5?5445896b0k39o=6s|3ec`>5<5s49=:i4;579>7a1c2:h=7p}7}:;?=869;9;<1g3a<4kj1v>jnf;296~;4>><18884=2f4`?5c92wx?il?:181851?90?9:523e5:>6e63ty8ho?50;0x960013>>:63731d2=?=70=k7d80g4=z{:ni?7>52z?022`=<<<01>j8e;1`g>{t;mh?6=4={<15<7<3=?16?i9j:2f2?xu4lk?1<7278h:h53c48yv5cj?0;6?u2374e>131349o;k4v3<6609000<5:nj>;|q0`g?=838p1>888;662>;4l1:1?o84}r1gfd<72;q6?;9m:575?85c0908o<5rs2faf?6=:r78::=5445896b0139ho6s|3e``>5<5s49=;h4;579>7a>72:ih7p}7}:;?==69;8;<1g3<<4l81v>jmf;296~;4>>318894=2f4e?5e>2wx?im?:181851?j0?9:523e5b>6e63ty8hn?50;0x9600n3>>;6373>52=?<70=k7`80`4=z{:nh?7>52z?023e=<<=01>j8b;1a2>{t;mi?6=4={<152c<3=>16?i9m:2a2?xu4lj=1<725a349oo;4>939~w6bd13:1>v3<585936`<5:nh:7?69:p7aef2909w0=:98847c=:;mi=6<7n;|q0`fd=838p1>;6e;50b>;4lj<1=4l4}r1ggf<72;q6?8o<:61e?85ck?0:5n5rs2f``?6=:r789l6572d896bd>3;2h6s|3eaf>5<5s49>mi483g9>7ae1283n7p}797}:;jk1;292~;5nhn18894=3df`?22?279ink544:89614j3>>96316?:86:576?85ck?08;>5233ae>1303ty8hi=50;5x964c93>>;63=ee2900><5;ljj7::7:?1b``=<<=01>98c;66<>;4?19188;4=2f`2?50<2wx?ij;:184855l;0?9:522df2>13?348mn=4;569>6c`72=?<70=899871==:;>3m69;:;<1gg3<4?<1v>jk5;293~;4:m918894=3gg6?220279jo?5445897`a93>>;63<7c6900><5:=in7::5:?0`f0=;><0q~=kd783>2}:;;n?69;8;<0f`6<3=116>kl=:574?84an;0?9:5236f`>13?3497ae12:=<7p};5no918894=25e7?220278;hl5447896bd>39<46s|3ef;>5<0s499h;4;569>6`b22=?370=:576?85ck?08;45rs2fg=?6=?r78>i95445897cc>3>>463=fc79001<5;lm97::7:?0<77=<<201>6>9;661>;4lj<1?:o4}r1g`d<72>q6??j7:574?84bl>0?95522g`5>130348mj;4;569>7=5?2=?370=7318710=:;mi=6>9m;|q0`ad=83=p1>;5mm218864=3da3?22?279jk95445896>3n3>>463<8559003<5:nh:7=8c:p7abd29016>hj6:57;?85?=?0?95523942>132349oo;4<7e9~w6bcl3:1;v3<2e`9001<5;oom7::8:?1bg?=<<=01?hi9;663>;401218864=2:;4?22=278hn8536g8yv5cll0;6:u233f`>130348nho4;599>6cdf2=?<70>;63=fg`9001<5:2i:7::8:?0jl6;1;4>{t;mo;6=48{<11``<3=>16>hjk:57;?84ajj0?9:522gd`>1303493oi4;599>7=e22=?>70=kc780<4=z{:nn=7>57z?06a`=<<=01?kke;66<>;5nkn18894=3de`?22?2784h:544:896>ck3>>96377c72=?<7016?5hm:57;?85?n:0?98523ea5>6>43ty8hh=50;5x964b93>>;63=ed2900><5;lij7::7:?1bc`=<<=01>7>2;66<>;419k188;4=2f`2?5?<2wx?ik;:184855m;0?9:522dg2>13?348mo=4;569>75672=?<70=624871==:;0;h69;:;<1gg3<40<1v>jj5;293~;4:l918894=3gf6?220279jn?54458966793>>;63<92a900><5:3887::5:?0`f0=;1<0q~=ke783>2}:;;o?69;8;<0fa6<3=116>km=:574?8578;0?9:523870>13?34928o4;549>7ae12:2<7p};489918894=2;6e?2202785;=5447896bd>39346s|3eg;>5<0s499i;4;569>6`c22=?370h95445897`d=3>>;63<0179001<5;on:7::8:?0=<`=<<201>765;661>;4lj<1?5l4}r1gad<72>q6??k7:574?84bm>0?95522ga5>130349;<;4;569>76k;|q0``d=83=p1>;5ml218864=3d`3?22?278<=95445896?d:3>>463<9b`9003<5:nh:7=7e:p7acd29016?=>7:574?85>ll0?955238g4>132349oo;4<8g9~w6bbl3:1:v3=edc900><5;lh57::7:?045?=<<=01>jl6;1:4>;4:lh18894=2c3b?22>2wx?ikj:18584bmk0?95522gab>130349;7d532=?=70=kc780=4=:;;oo69;8;|q0```=83;5njh18894=223f?22?278m9k5447896bd>392>63<2dd90016`cc2=?37038h;6s|3ed1>5<5s49>h=483g9>7ae12;i37p}7}:;ji5;296~;4=mi1;>h4=2f`2?4dj2wx?ih9:181852m907ed3ty8hk950;0x963b<3=8j6370c?2>9m70=kc781g`=z{:nm57>52z?01`e=?:l01>jl6;0`b>{t;mlj6=4={<16b5<0;o16?im9:3f3?xu4loh1<725a349oo;4=d39~w6bal3:1>v3<5ga936`<5:nh:78?4;50b>;4lj<1>i;4}r1f45<72;q6?;>7:61e?85ck?09h;5rs2g35?6=:r78:=m572d896bd>38o;6s|3d21>5<5s49===483g9>7ae12;n37p}7}:;?;36:=i;<1gg3<5lh1v>k?5;296~;4>8i1;>h4=2f`2?4cj2wx?h>9:181851:907bd3ty8i=950;0x9605<3=8j63734?2>9m70=kc781``=z{:o;57>52z?027c=?:l01>jl6;0f4>{t;l:j6=4={<156c<0;o16?im9:3g1?xu4m9h1<725a349oo;4=e59~w6c7l3:1>v3<62`936`<5:nh:78;3;50b>;4lj<1>h94}r1f55<72;q6?;:8:61e?85ck?09i55rs2g25?6=:r78:9l572d896bd>335<5s49n=>483g9>7ae128i:7p}7}:;l;<6:=i;<1gg3<6j01v>k>8;296~;4m831;>h4=2f`2?7ei2wx?h?n:18185b9k04de3ty8i7`7a2>9m70=kc782fa=z{:o9<7>52z?0a77=?:l01>jl6;3aa>{t;l896=4={<1f66<0;o16?im9:0`e?xu4m;>1<7131348mmi4;599>6ccc2=?370l9;<11ga<3=?16?:<9:574?xu4m;<1<79t=3d1a?22>279inh5445897`fm3>>463=fdg900><5:=>j7::7:?0`f0=;k=01>{t;l8<6=47{<0e6c<3=?16?:96:574?855l80?9;522df3>130348mmk4;599>6cca2=?370=87b8712=:;mi=6>l7;|q0a7>=832p1?h<0;662>;4?0?18894=20g6?22>279ii?5445897`e83>>463=fg2900><5:=247::7:?0`f0=;k30q~=j2883>=}::o9:69;9;<14f4<3=>16??j<:575?84bl;0?9:522g`2>13?348mj<4;599>72d32=?<70=kc780fd=z{:o9m7>58z?1b64=<<<01>9kb;663>;4:m>18884=3gg7?22?279jo<544:897`a:3>>463<7ea9001<5:nh:7=mb:p7`4e2903w0l969;8;<11`0<3=?16>hj;:574?84aj:0?95522gd0>13?3494;569>7ae12:hh7p}|5;l887::6:?0<5?=<<=01>;5mm?18894=3da0?220279jk:544:896>7i3>>;63i4?:9y>6c522=?=70=7218712=:;;n<69;9;<0f`3<3=>16>kl::57;?84an<0?95523902>130349oo;4=<<<01?kk7;663>;5nk<18864=3de2?2202784>65445896bd>39ij6s|3d0e>57=2b2=?<70==d88713=::ln369;8;<0ef2<3=116>kh8:57;?85?6e73ty8i>>50;:x97`403>>:63<8479001<5:8om7::6:?1bg>=<<201?hi8;66<>;5mm318894=2:62?22?278hn853b38yv5b;80;65u22g1:>13134934:4;569>77be2=?=70o5444896>>m3>>;63<2ea9000<5;oon7::7:?1bgg=<<201?hia;66<>;400l18894=2f`2?5d;2wx?h=<:18;84a;k0?9;5239`6>1303499hi4;579>6`bd2=?<7016?im9:2a7?xu4m:>1<76t=3d0g?22>2784nm54458964cm3>>:63=eef9001<5;lio7::8:?1bce=<<201>6ld;663>;4lj<1?n;4}r1f70<721q6>k=k:575?85?m:0?9:5233fe>131348nhh4;569>6cdc2=?370k<6;29<~;5n:o18884=2:ee?22?278>h>5444897ccn3>>;63=fcg900><5;lmi7::8:?0jl6;1`3>{t;l9<6=47{<0e7c<3=?16??k>:575?85>980?9:522dg3>130348mnk4;599>6c`a2=?370=6138712=:;mi=6>m7;|q0a6>=832p1?h;0;662>;4:l818884=2;10?22?279ih?5445897`d83>>463<012900><5:3997::7:?0`f0=;j30q~=j3883>=}::o>:69;9;<11a6<3=?16?4=m:574?84bm;0?9:522ga2>13?349;<<4;599>7<5d2=?<70=kc780gd=z{:o8m7>58z?1b14=<<<01>;41<818894=3gf7?22?279jn<544:89667:3>>463<9419001<5:nh:7=lb:p7`5e2903w069;9;<0fa1<3=>16>km<:57;?8578:0?9552387b>130349oo;47<302=?<7p}|5;l?87::6:?06`0=<<<01>775;663>;5ml?18894=3d`0?220278<=:544:896??>3>>;636c222=?=70==e68713=:;03n69;8;<0eg0<3=116?=>::57;?84bm?0?9:5238;e>130349oo;4;489<18864=2;b2?22?278hn853e3896?f;3>>;6s|3d1e>577c>2=?=701303ty8i9>50;:x97`303>>:63<2dc9000<5;on57::7:?1bf>=<<201>>?8;66<>;41mo18894=2f`2?5c;2785il54458yv5b<80;6:u22g6:>131348nil4;569>6ce>2=?370=?08871==:;h::69;8;<1gg3<4l=16??km:575?xu4m=81<79t=3d7e?22>279ihl5445897`di3>>463<01c900><5:k987::7:?0`f0=;m?01>{t;l>86=48{<0e0g<3=?16>hkl:574?84akk0?9552312a>13?349j894;569>7ae12:n=70==eg8713=z{:o?87>57z?1b1e=<<<01?kjd;663>;5nji18864=223g?220278m;?5445896bd>39o;63<2g39000775a2=?<70==3b8712=:;;>j69;:;<1616?i8k:61e?85fk=0?9;523313>1303499m44;569>77?f208=70=:c2871==z{::o>7>52z?04a6=?:l01>>k1;660>{t;9i=6=4={<13g1<0;o16?=m::577?xu4:h=1<7l854468yv52i>0;6?u234c6>25a349>m;4;559~w63f:3:1>v3<5`2936`<5:?j=7::4:p70?c2909w0=:9c847c=:;<3h69;;;|q021g=838p1>8;8;50b>;4>=3188:4}r1503<72;q6?;:;:61e?851<<0?995rs2476?6=:r78:9>572d8960393>>86s|371f>5<5s49=?n483g9>735c2=??7p}<62c94?4|5:<84790q~=93783>7}:;?9?6:=i;<1570<3==1v>8<2;296~;4>::1;>h4=2405?22<2wx?;1333ty8:?950;0x9605=3=8j63<6349002>4?:3y>73462>9m70=9238711=z{:<:j7>52z?024b=?:l01>8>e;660>{t;?;i6=4={<155<<0;o16?;?n:577?xu4>8=1<725a349==?4;559~w607n3:1>v3<61f936`<5:<;i7::4:p736e2909w0=908847c=:;?:j69;;;|q0251=838p1>8?5;50b>;4>9<188:4}r1546<72;q6?;>>:61e?8518;0?995rs27eb?6=:r789kj572d8963am3>>86s|34da>5<5s49>j4483g9>70`f2=??7p}<5g594?4|5:?m9790q~=:f283>7}:;;jf;296~;4=ln1;>h4=27fa?22<2wx?8km:181852m001333ty89h950;0x963b=3=8j63<5d49002i>4?:3y>70c62>9m70=:e38711=z{:?oj7>52z?01ab=?:l01>;ke;660>{t;25a349>h?4;559~w63dn3:1>v3<5bf936`<5:?hi7::4:p70ge2909w0=:a8847c=:;;m4;50b>;4=k?188:4}r16f4<72;q6?8oi:61e?852j90?995rs27;b?6=:r7895j572d8963?m3>>86s|3116>5<5s49;?>483g9>75532=??7p}<75794?4|5:=??790q~7}::h3j6:=i;<0b=g<3==1v?o65;296~;5i091;>h4=3c:0?22<2wx>l9j:18184f?j01333ty9m8850;0x97g2<3=8j63=a479002742c2>9m70=>4d8711=z{:;??7>52z?0517=?:l01>?;2;660>{t;89<6=4={<1270<0;o16?<=9:577?xu49;n1<725a348;4=4;559~w7g3;3:1>v3=a53936`<5;k?>7::4:p7f242909w0=l40847c=:;j>969;;;|q0<0?=838p1>6:7;50b>;40<2188:4}r1;2<<72;q6?588:61e?85?>10?995rs2:44?6=:r784;k572d896>1n3>>86s|397`>5<5s4939l483g9>7=3e2=??7p}<97494?4|5:3=8790q~=66b83>7}:;07:e;296~;41h4=2;6`?22<2wx?4l=:18185>j901333ty85o650;0x96?e>3=8j63<9c5900279m70=6a88711=z{:;9<7>52z?054c=?:l01>?>f;660>{t;8;j6=4={<125=<0;o16?1<725a349:v3<114936`<5:;;;7::4:p74652909w0=>01847c=:;8::69;;;|q04ce=838p1>>ia;50b>;48oh188:4}r13b3<72;q6?=h;:61e?857n<0?995rs22e4?6=:r78>86s|212e>5<5s48;656b2=??7p}<9bg94?4|5:3ho790q~=6d583>7}:;0n96:=i;<1:`6<3==1v>7l6;296~;41j>1;>h4=2;`1?22<2wx?4kn:18185>m101333ty85k>50;0x96?bm3=8j63<9dd900279m70=6e08711=z{:k:47>52z?0e40=?:l01>o>7;660>{t;h936=4={<1b73<0;o16?l=8:577?xu4i;l1<725a349j9=4;559~w6g1m3:1>v3=838p1>>m6;50b>;48k=188:4}r13f5<72;q6?=oj:61e?857io0?995rs23g7?6=:r78=i?572d8967c:3>>86s|213e>5<5s48;=i483g9>657b2=??7p}=00594?4|5;::9790q~<=2483>7}::;886:=i;<0161<3==1v?<>2;296~;5:8:1;>h4=3025?22<2wx>1333ty8;5850;0x961?<3=8j63<797900272>>2>9m70=88`8711=z{:=52z?032b=?:l01>98e;660>{t;>k96=4={<14e5<0;o16?:o>:577?xu4?h21<725a349<5l4;559~w61em3:1>v3<7ca936`<5:=ih7::4:p72e42909w0=8c0847c=:;>i969;;;|q03g1=838p1>9m5;50b>;4?k<188:4}r14`2<72;q6?:j::61e?850l?0?995rs25`b?6=:r78;nj572d8961dm3>>86s|36gf>5<5s4972cc2=??7p}<7d494?4|5:=n8790q~=70483>7}:;1:86:=i;<1;41<3==1v>9id;296~;4?oh1;>h4=25eg?22<2wx?5?l:18185?9h01333ty84<:50;0x96>6:3=8j63<80190024?:3y>7=562>9m70=7338711=z{:29n7>52z?0<7?=?:l01>6=a;660>{t;1>j6=4={<1;0=<0;o16?5:6:577?xu40=81<725a34934?4;559~w6>0l3:1>v3<86`936`<5:2660;50b>;400;188:4}r1;f4<72;q6?5oi:61e?85?j90?995rs2:b=?6=:r784l9572d896>f03>>86s|39a;>5<5s493o;483g9>7=e02=??7p}<8b294?4|5:2ii790q~=7dg83>7}:;1no6:=i;<1;``<3==1v>6k7;296~;40m?1;>h4=2:g2?22<2wx?5h9:18185?n=01333ty8=lk50;0x967fk3=8j63<1`f90027<6e2>9m70=60b8711=z{:3;97>52z?0=55=?:l01>7?4;660>{t;08;6=4={<1:5`<0;o16?4?i:577?xu418=1<7;>0;6?u23816>25a3492?;4;559~w6?5n3:1>v3<93f936`<5:39i7::4:p7<2b2909w0=64b847c=:;0>o69;;;|q0=10=838p1>7;4;50b>;41=?188:4}r1:<4<72;q6?49i:61e?85>090?995rs2;4=?6=:r785:9572d896?003>>86s|38;;>5<5s4925;483g9>70q~=83d83>7}:;>9h6:=i;<147a<3==1v>9:3;296~;4?<;1;>h4=2566?22<2wx?:=<:181850;801333ty8=oh50;0x967el3=8j63<1cg90027fg72>9m70=la08711=z{:i297>52z?0g<5=?:l01>m64;660>{t;j>h6=4={<1`0d<0;o16?n:m:577?xu4i9n1<725a349j?<4;559~w67>n3:1>v3<18f936`<5:;2i7::4:p7<3>2909w0=656847c=:;0?369;;;|q0=d3=838p1>7n3;50b>;41h>188:4}r1:g4<72;q6?4li:61e?85>k90?995rs2;g`?6=:r785il572d896?ck3>>86s|31f`>5<5s49;hl483g9>75be2=??7p}<1b594?4|5:;h9790q~=?e983>7}:;9o=6:=i;<13a2<3==1v>>nc;296~;48hk1;>h4=22bf?22<2wx?=o7:181857i?01333ty8=il50;0x967c13=8j63<1ec900274b22>9m70=>d78711=z{::h>7>52z?04f6=?:l01>>l1;660>{t;8?n6=4={<121f<0;o16?<;k:577?xu49<>1<725a349:8:4;559~w674k3:1>v3<12c936`<5:;8n7::4:pb4?=838p1k?8:61e?8`603>>86s|3`1e>5<5s49j?i483g9>7d5b2=??7p}m790q~=85`83>7}:;>?36:=i;<141<<3==1v>961;296~;4?1l1;>h4=25:4?22<2wx?:ok:181850ik01333ty8;n750;0x961d?3=8j63<7b:900272bb2>9m70=8dg8711=z{:=m;7>52z?03c3=?:l01>9i6;660>{t;1:n6=4={<1;4f<0;o16?5>k:577?xu40;?1<725a3493?o4;559~w6>0=3:1>v3<861936`<5:2<87::4:p7=>d2909w0=78`847c=:;12i69;;;|q06n1;50b>;40h8188:4}r1;fd<72;q6?5l7:61e?85?j00?995rs2:g5?6=:r784nh572d896>c83>>86s|39g;>5<5s493i;483g9>7=c02=??7p}<8gd94?4|5:2mh790q~=62883>7}:;08<6:=i;<1:6=<3==1v>7;0;296~;41:o1;>h4=2;0b?22<2wx?49<:18185>?801333ty855o50;0x96??03=8j63<99;90027=0e2>9m70=76b8711=z{:?8;7>52z?0163=?:l01>;<6;660>{t;<8o6=4={<166g<0;o16?825a349j844;559~w6g5i3:1>v3l82;50b>;4j>9188:4}r1a2c<72;q6?o8k:61e?85e>l0?995rs32g4?6=:r79>86s|32`b>5<5s498n5483g9>76d>2=??7p}<77794?4|5:==?790q~=82983>7}:;>8=6:=i;<1462<3==1v>o;2;296~;4i=:1;>h4=2c75?22<2wx?l;i:18185f=m01333ty8;8j50;0x9612j3=8j63<74a900272112>9m70=8768711=z{:=287>52z?03<4=?:l01>963;660>{t;>h;6=4={<14e`<0;o16?:oi:577?xu4?ji1<725a349v3<7g:936`<5:=m57::4:p7=762909w0=70g847c=:;1;;69;;;|q0<7>=838p1>6=6;50b>;40;=188:4}r1;7c<72;q6?5=k:61e?85?;l0?995rs2:40?3>>86s|39:e>5<5s4934i483g9>7=>b2=??7p}<8`494?4|5:2j8790q~=7be83>7}:;1hi6:=i;<1;ff<3==1v>6k4;296~;40m81;>h4=2:g7?22<2wx?5km:18185?m001333ty85=<50;0x96?783=8j63<9139002n4?:3y>7<4f2>9m70=62c8711=z{:3??7>52z?0=17=?:l01>7;2;660>{t;0==6=4={<1:31<0;o16?49::577?xu411n1<725a349><84;559~w6g693:1>v3=e0343o>7::4:p=a5=839pR4j<;<;g7?>d?272h94;559~w{t1m>1<7?t=8f7>25a3tweo996:182M?0<2C3o:4>{08~^12c2:q?nl4;b28;g<4}em3wem>:50:mg11f29039vF6759me62=92d2:94?;|l`02d=83;pD49;;oc00?45rnb64`?6=9rB2;95aa2690>h>>=0:7p`l46g94?7|@0=?7co<4;78yke3?o0:61/m?k55dg8yke3080:6{ik=286<4>{I;40>hf;=0j7)o=e;1`54=zfj>387?51zJ:31=ii:>1n6*n2d80g46:0yK=2253tdh85851;3xL<133gk887j4$`0f>4`4<2weo968:082M?0<2dj?94j;%c1a?7a:o1vbn:78;395~N>?=1em>:5f:&b6`?=1em>:5119'e7c=n;l0qcm;8`82>4}O1>>0bl=;:038 d4b2o;h7p`l49`95?7|@0=?7co<4;31?!g5m3l:n6sac5:`>4<6sA3<86`n35827>"f:l08n?=4}oa742<,h8n6?>lc:mg1>b280:wE784:lb71<6=2.j>h4{ik=3;6<4>{I;40>hf;=0:;6*n2d80g43:0yK=2202e3tdh84<51;3xL<133gk887?6;%c1a?35i2weo97<:082M?0<2dj?94>a:&b6`<2<91vbn:64;395~N>?=1em>:51c9'e7c==?o0qcm;9482>4}O1>>0bl=;:0a8 d4b2:=5rnb6:3?7=9rB2;95aa2695`=#i;o19>>4}oa7==<628qC5::4n`17>4`<,h8n6?:>7:mg1?>280:wE784:lb71<582.j>h4>f2c8yke31h0:6{ik=3i6<4>{I;40>hf;=09>6*n2d80f72:0yK=226d5=2weo97k:182M?0<2dj?94=4:mg1?b280:wE784:lb71<5=2.j>h4{ik=k;6<4>{I;40>hf;=09;6*n2d80<06:0yK=2204c3tdh8l<51;3xL<133gk887<6;%c1a?33n2weo9o<:082M?0<2dj?94=a:&b6`<2>11vbn:n4;395~N>?=1em>:52c9'e7c==:=0qcm;a482>4}O1>>0bl=;:3a8 d4b2;2856sac5c5>4<6sA3<86`n3581`>"f:l098<84}oa7e2<628qC5::4n`17>7c<,h8n6?=1em>:5309'e7c==;o0qcm;ac82>4}O1>>0bl=;:208 d4b28l8:6sac5c`>4<6sA3<86`n35807>"f:l0>?<5rnb6b`?7=9rB2;95aa26971=#i;o19?h4}oa7e`<628qC5::4n`17>63<,h8n6>=mf:mg1ga280:wE784:lb71<4>2.j>h49149~jf2e83;1=vF6759me62=;>1/m?k532``?xhdi>7?51zJ:31=ii:>1?45+a3g97dc23tdh8o=50;3xL<133gk887=n;|l`0g2=93;pD49;;oc00?5e3-k9i7=ne59~jf2e=3:1=vF6759me62=;j1vbn:m6;395~N>?=1em>:53e9'e7c=;ho87p`l4c595?7|@0=?7co<4;1f?!g5m39ji?5rnb6ah49639~jf2ej3;1=vF6759me62=<;1/m?k55g58yke3jj0:6?7)o=e;767>{ik=hn6<4>{I;40>hf;=0?96*n2d860d=zfj>ij7?51zJ:31=ii:>18;5+a3g911e:0yK=2202?3tdh8n?51;3xL<133gk887:7;%c1a?3312weo9m=:082M?0<2dj?94;9:&b6`<2>91vbn:l3;395~N>?=1em>:54`9'e7c==4}O1>>0bl=;:5`8 d4b2<2n7p`l4b795?7|@0=?7co<4;6`?!g5m38=jo5rnb6`2?7=9rB2;95aa2690a=#i;o199k4}oa7g2<628qC5::4n`17>1c<,h8n68;9;|l`0f>=83;pD49;;oc00?2a3tdh8n750;3xL<133gk887;?;|l`0fg=93;pD49;;oc00?363tdh8nl50;3xL<133gk887;=;|l`0fe=83;pD49;;oc00?343tdh8nj51;3xL<133gk887;;;|l`0fc=93;pD49;;oc00?323tdh8nh51;3xL<133gk887;9;|l`0a6=93;pD49;;oc00?303tdh8i?51;3xL<133gk887;7;|l`0a4=83;pD49;;oc00?3>3tdh8i=50;3xL<133gk887;n;|l`0a2=83;pD49;;oc00?3e3tdh8i;51;3xL<133gk887;l;|l`0a0=83;pD49;;oc00?3c3tdh8i951;3xL<133gk887;j;|l`0a>=83;pD49;;oc00?3a3tdh8i750;3xL<133gk8878?;|l`0ag=93;pD49;;oc00?063tdh8il50;3xL<133gk8878=;|l`0ae=83;pD49;;oc00?043tdh8ij51;3xL<133gk8878;;|l`0ac=83;pD49;;oc00?023tdh8ih51;3xL<133gk88789;|l`0`6=93;pD49;;oc00?003tdh8h?51;3xL<133gk88787;|l`0`4=83;pD49;;oc00?0>3tdh8h=50;3xL<133gk8878n;|l`0`2=83;pD49;;oc00?0e3tdh8h;50;3xL<133gk8878l;|l`0`0=93;pD49;;oc00?0c3tdh8h950;3xL<133gk8878j;|l`0`>=93;pD49;;oc00?0a3tdh8h751;3xL<133gk8879?;|l`0`g=93;pD49;;oc00?163tdh8hl51;3xL<133gk8879=;|l`0`e=93;pD49;;oc00?143tdh8hj50;3xL<133gk8879;;|l`0`c=93;pD49;;oc00?123tdh8hh51;3xL<133gk88799;|l`0c6=83;pD49;;oc00?103tdh8k?50;3xL<133gk88797;|l`0c4=93;pD49;;oc00?1>3tdh8k=51;3xL<133gk8879n;|l`0c2=83;pD49;;oc00?1e3tdh8k;50;3xL<133gk8879l;|l`0c0=93;pD49;;oc00?1c3tdh8k951;3xL<133gk8879j;%c1a?51?=1em>:57g9~jf2a13;1=vF6759me62=091/m?k53765?xhdmn7?51zJ:31=ii:>14?5+a3g9735b3tdh8km51;3xL<133gk8876<;%c1a?51;h1vbn:id;295~N>?=1em>:5859~jf2am3;1=vF6759me62=0<1/m?k53715?xhd7>51zJ:31=ii:>1445rnb737?7=9rB2;95aa269e3-k9i7=9269~jf37=3;1=vF6759me62=0j1/m?k53700?xhd=9<1=7?tH857?kg4<32o7)o=e;155c=zfj?;;7?51zJ:31=ii:>14h5+a3g9737e3tdh9=651;3xL<133gk8876i;|l`15?=93;pD49;;oc00??73-k9i7=9169~jf37i3;1=vF6759me62=181/m?k53730?xhd=9h1=7?tH857?kg4<3397)o=e;154c=zfj?;o7?51zJ:31=ii:>15>5+a3g9736e3tdh9=j51;3xL<133gk8877;;%c1a?518>1vbn;?e;395~N>?=1em>:5949~jf37n3;1=vF6759me62=1?1/m?k53720?xhd=8:1=7?tH857?kg4<33<7)o=e;16bc=zfj?:=7?51zJ:31=ii:>1555+a3g970`e3tdh9<<51;3xL<133gk88776;%c1a?52n>1vbn;>3;395~N>?=1em>:59`9'e7c=;15i5+a3g970ce3tdh9<951;3xL<133gk8877j;%c1a?52m>1vbn;>8;395~N>?=1em>:59g9'e7c=;hk5rnb72e?7=9rB2;95aa269e4=zfj?:n7?51zJ:31=ii:>1m?5+a3g970be3tdh91vbn;>d;395~N>?=1em>:5a59'e7c=;ok5rnb72b?6=9rB2;95aa269e3=zfj?9<7>51zJ:31=ii:>1m:5rnb715?6=9rB2;95aa269e==zfj?9>7?51zJ:31=ii:>1m45+a3g97g133tdh9?=51;3xL<133gk887on;%c1a?5e>o1vbn;=4;395~N>?=1em>:5ac9'e7c=n830qcm:2482>4}O1>>0bl=;:`a8 d4b2::896sac405>5<6sA3<86`n358b`>{ik<8<6=4>{I;40>hf;=0ji6sac40;>4<6sA3<86`n358bb>"f:l09m9=4}oa66<<628qC5::4n`17>g6<,h8n6>?k7:mg04f280:wE784:lb71h4<1b58yke2:k0:6{ik<8h6<4>{I;40>hf;=0i?6*n2d804ae>i4>:0yK=2266d:2weo82.j>h4<0`a8yke2;90:6{ik<9:6=4>{I;40>hf;=0i46sac411>4<6sA3<86`n358a=>"f:l08gg<,h8n6>>l6:mg053290:wE784:lb71h4<0c:8yke2;>0:6{ik<936=4<{I;40>hf;=0ij6sac41:>5<4sA3<86`n358`4>{ik<9j6=4<{I;40>hf;=0h=6sac41a>5<6sA3<86`n358`6>{ik<9h6=4<{I;40>hf;=0h?6sac41g>5<4sA3<86`n358`0>{ik<9n6=4<{I;40>hf;=0h96sac41e>5<4sA3<86`n358`2>{ik<>;6=4<{I;40>hf;=0h;6sac462>5<4sA3<86`n358`<>{ik<>96=4<{I;40>hf;=0h56sac460>5<4sA3<86`n358`e>{ik<>?6=4<{I;40>hf;=0hn6sac466>5<4sA3<86`n358`g>{ik<>=6=4<{I;40>hf;=0hh6sac464>5<4sA3<86`n358`a>{ik<>36=4<{I;40>hf;=0hj6sac46:>5<4sA3<86`n358g4>{ik<>j6=4<{I;40>hf;=0o=6sac46a>5<4sA3<86`n358g6>{ik<>h6=4<{I;40>hf;=0o?6sac46g>5<4sA3<86`n358g0>{ik<>n6=4<{I;40>hf;=0o96sac46e>5<4sA3<86`n358g2>{ik{I;40>hf;=0o;6sac472>5<4sA3<86`n358g<>{ikhf;=0o56sac470>5<4sA3<86`n358ge>{ikhf;=0on6sac476>5<4sA3<86`n358gg>{ikhf;=0oh6sac474>5<4sA3<86`n358ga>{ikhf;=0oj6sac47:>5<4sA3<86`n358f4>{ik{I;40>hf;=0n=6sac47a>4<6sA3<86`n358f6>"f:l08=oh4}oa61f<728qC5::4n`17>`59i4?:0yK=22`39k4?:0yK=22`1:<4?:0yK=22`?:>4?:0yK=22`d:84?:0yK=22`b::4?:0yK=22``:44?:0yK=224}oa62d<728qC5::4n`17>c7:o4?:0yK=22c5:i4?:0yK=22c3:k4?:0yK=22c1;<4?:0yK=22c?;>4?:0yK=22cd;84?:0yK=22cb;:4?:0yK=22c`;44?:0yK=22?;|l`12g=83;pD49;;oc00?7792weo89m:182M?0<2dj?94>039~jf30k3:1=vF6759me62=9990qcm:7e83>4}O1>>0bl=;:027?xhd=>o1<7?tH857?kg4<3;;96sac45e>5<6sA3<86`n358243=zfj?3<7>51zJ:31=ii:>1==94}oa6<4<728qC5::4n`17>46?3tdh95<50;3xL<133gk887??9:mg0>4290:wE784:lb71<68h1vbn;74;295~N>?=1em>:511`8yke20<0;6{ik<2<6=4>{I;40>hf;=0:444?:0yK=22139~jf3?k3:1=vF6759me62=9890qcm:8e83>4}O1>>0bl=;:037?xhd=1o1=7?tH857?kg4<3;:96sac4:e>5<6sA3<86`n358253=zfj?2<7>51zJ:31=ii:>1=<94}oa6=4<628qC5::4n`17>47?3-k9i7=la39~jf3>:3;1=vF6759me62=9830(l{ik<386<4>{I;40>hf;=0:=l5+a3g97f2d3tdh94:50;3xL<133gk887?>b:mg0?2290:wE784:lb71<69j1vbn;66;295~N>?=1em>:510f8yke21>0:6{ik<326=4>{I;40>hf;=0:>=5rnb7:e?6=9rB2;95aa2695775o4>:0yK=22=01vbn;6c;395~N>?=1em>:51318 d4b2:3j96sac4;g>4<6sA3<86`n358261=#i;o1?4m>;|l`1h4<9ef8yke21o0;6{ik{I;40>hf;=0:>55rnb7b6?6=9rB2;95aa26957?m>4?:0yK=222b9~jf3f>3:1=vF6759me62=9;n0qcm:a683>4}O1>>0bl=;:00f?xhd=h21<7?tH857?kg4<3;9j6sac4c:>5<6sA3<86`n358275=zfj?jm7>51zJ:31=ii:>1=>?4}oa6eg<728qC5::4n`17>4553tdh9lm50;3xL<133gk887?<3:mg0gc290:wE784:lb71<6;=1vbn;ne;295~N>?=1em>:51278yke2io0;6{ik{I;40>hf;=0:?55rnb7a6?6=9rB2;95aa26956?n>4?:0yK=223b9~jf3e>3:1=vF6759me62=9:n0qcm:b683>4}O1>>0bl=;:01f?xhd=k21<7?tH857?kg4<3;8j6sac4`:>4<6sA3<86`n358205=#i;o1?8=8;|l`1gg=93;pD49;;oc00?7392.j>h4<53f8yke2jk0;697p`l5ca94?7|@0=?7co<4;377>{ik{I;40>hf;=0:895rnb7aa?6=9rB2;95aa269513nk4?:0yK=22:182M?0<2dj?94>499~jf3d:3:1=vF6759me62=9=30qcm:c283>4}O1>>0bl=;:06b?xhd=j>1<7?tH857?kg4<3;?n6sac4a6>5<6sA3<86`n35820f=zfj?h:7>51zJ:31=ii:>1=9j4}oa6g2<728qC5::4n`17>42b3tdh9n650;3xL<133gk887?;f:mg0e>290:wE784:lb71<6=91vbn;la;295~N>?=1em>:51438yke2kk0;6{ik{I;40>hf;=0:995rnb7`a?6=9rB2;95aa269503ok4?:0yK=22:182M?0<2dj?94>599~jf3c:3:1=vF6759me62=9<30qcm:d283>4}O1>>0bl=;:07b?xhd=m>1<7?tH857?kg4<3;>n6sac4f6>5<6sA3<86`n35821f=zfj?o:7>51zJ:31=ii:>1=8j4}oa6`2<728qC5::4n`17>43b3tdh9i650;3xL<133gk887?:f:mg0b>290:wE784:lb71<6>91vbn;ka;295~N>?=1em>:51738yke2lk0;6{ik{I;40>hf;=0::95+a3g976df3tdh9ik50;3xL<133gk887?95:mg0ba290:wE784:lb71<6>?1vbn;j0;295~N>?=1em>:51758yke2m80;6{ik{I;40>hf;=0::l5rnb7f0?6=9rB2;95aa26953di84?:0yK=226d9~jf3b03:1=vF6759me62=9?l0qcm:e883>4}O1>>0bl=;:053?xhd=lk1<7?tH857?kg4<3;<=6sac4ga>5<6sA3<86`n358237=zfj?no7>51zJ:31=ii:>1=:=4}oa6aa<728qC5::4n`17>4133tdh9hk50;3xL<133gk887?85:mg0ca290:wE784:lb71<6??1vbn;i0;295~N>?=1em>:51658yke2n80;6{ik{I;40>hf;=0:;l5rnb7e0?6=9rB2;95aa26952dj84?:0yK=227d9~jf3a03:1=vF6759me62=9>l0qcm:f883>4}O1>>0bl=;:0:3?xhd=ok1<7?tH857?kg4<3;3=6sac4da>5<6sA3<86`n3582<7=zfj?mo7>51zJ:31=ii:>1=5=4}oa6ba<728qC5::4n`17>4>33tdh9kk50;3xL<133gk887?75:mg0`a290:wE784:lb71<60?1vbn8?0;295~N>?=1em>:51958yke1880;6{ik?:86=4>{I;40>hf;=0:4l5rnb430?6=9rB2;95aa2695=d8:082M?0<2dj?94>8d9'e7c=;<:=7p`l61:94?7|@0=?7co<4;3;b>{ik?:26=4>{I;40>hf;=0:5=5rnb43e?6=9rB2;95aa2695<7;2weo;>k:082M?0<2dj?94>959'e7c=;h;:7p`l61g94?7|@0=?7co<4;3:1>{ik?:m6=4>{I;40>hf;=0:5;5rnb424?6=9rB2;95aa2695<1:0yK=222;295~N>?=1em>:518;8yke19:0;6{ik?;>6=4>{I;40>hf;=0:5n5rnb422?6=9rB2;95aa2695=83;pD49;;oc00?7>n2weo;?6:182M?0<2dj?94>a19~jf06i3:1=vF6759me62=9h;0qcm91c83>4}O1>>0bl=;:0c1?xhd>8i1<7?tH857?kg4<3;j?6sac73g>5<6sA3<86`n3582e1=zfj<:i7>51zJ:31=ii:>1=l;4}oa55c<728qC5::4n`17>4g13tdh:?>50;3xL<133gk887?n7:mg346290:wE784:lb71<6i11vbn8=2;295~N>?=1em>:51`;8yke1::0;6{ik?8>6=4>{I;40>hf;=0:mn5rnb412?6=9rB2;95aa2695db:4?:0yK=22=83;pD49;;oc00?7fn2weo;<6:182M?0<2dj?94>b19~jf05i3:1=vF6759me62=9k;0qcm92c83>4}O1>>0bl=;:0`1?xhd>;i1<7?tH857?kg4<3;i?6sac70g>5<6sA3<86`n3582f1=zfj<9i7>51zJ:31=ii:>1=o;4}oa56c<728qC5::4n`17>4d13tdh:>>50;3xL<133gk887?m7:mg356290:wE784:lb71<6j11vbn8<2;295~N>?=1em>:51c;8yke1;:0;6{ik?9>6=4>{I;40>hf;=0:nn5rnb402?6=9rB2;95aa2695gb=83;pD49;;oc00?7en2weo;=6:182M?0<2dj?94>c19~jf04i3:1=vF6759me62=9j;0qcm93c83>4}O1>>0bl=;:0a1?xhd>:i1<7?tH857?kg4<3;h?6sac71g>5<6sA3<86`n3582g1=zfj<8i7>51zJ:31=ii:>1=n;4}oa57c<728qC5::4n`17>4e13tdh:9>50;3xL<133gk887?l7:mg326290:wE784:lb71<6k11vbn8;2;295~N>?=1em>:51b;8yke1<:0;6{ik?>>6=4>{I;40>hf;=0:on5rnb472?6=9rB2;95aa2695fb=83;pD49;;oc00?7dn2weo;:6:182M?0<2dj?94>d19~jf03i3:1=vF6759me62=9m;0qcm94c83>4}O1>>0bl=;:0f1?xhd>=i1=7?tH857?kg4<3;o?6sac76g>5<6sA3<86`n3582`1=zfj51zJ:31=ii:>1=i;4}oa50c<728qC5::4n`17>4b13tdh:8>50;3xL<133gk887?k7:mg336290:wE784:lb71<6l11vbn8:2;295~N>?=1em>:51e;8yke1=:0;6{ik??>6=4>{I;40>hf;=0:hn5rnb462?6=9rB2;95aa2695ab=83;pD49;;oc00?7cn2weo;;6:182M?0<2dj?94>e19~jf02i3:1=vF6759me62=9l;0qcm95c83>4}O1>>0bl=;:0g1?xhd>5<6sA3<86`n3582a1=zfj<>i7>51zJ:31=ii:>1=h;4}oa51c<728qC5::4n`17>4c13tdh:;>50;3xL<133gk887?j7:mg306290:wE784:lb71<6m11vbn892;295~N>?=1em>:51d;8yke1>:0;6{ik?<>6=4>{I;40>hf;=0:in5rnb452?6=9rB2;95aa2695`b=83;pD49;;oc00?7bn2weo;86:182M?0<2dj?94>f19~jf01i3:1=vF6759me62=9o;0qcm96c83>4}O1>>0bl=;:0d1?xhd>?i1<7?tH857?kg4<3;m?6sac74g>5<6sA3<86`n3582b1=zfj<=i7>51zJ:31=ii:>1=k;4}oa52c<728qC5::4n`17>4`13tdh::>50;3xL<133gk887?i7:mg316290:wE784:lb71<6n11vbn882;295~N>?=1em>:51g;8yke1?:0;6{ik?=>6=4>{I;40>hf;=0:jn5rnb442?6=9rB2;95aa2695cb=83;pD49;;oc00?7an2weo;96:182M?0<2dj?94=019~jf00i3:1=vF6759me62=:9;0qcm97c83>4}O1>>0bl=;:321?xhd>>i1<7?tH857?kg4<38;?6sac75g>5<6sA3<86`n358141=zfj<51zJ:31=ii:>1>=;4}oa53c<728qC5::4n`17>7613tdh:5>50;3xL<133gk8876290:wE784:lb71<5811vbn872;295~N>?=1em>:521;8yke10:0;6{ik?2>6=4>{I;40>hf;=09j;|l`2=>=83;pD49;;oc00?47n2weo;66:182M?0<2dj?94=119~jf0?i3:1=vF6759me62=:8;0qcm98c83>4}O1>>0bl=;:331?xhd>1i1<7?tH857?kg4<38:?6sac7:g>5<6sA3<86`n358151=zfj<3i7>51zJ:31=ii:>1><;4}oa57713tdh:4>50;3xL<133gk887<>7:mg3?6290:wE784:lb71<5911vbn862;295~N>?=1em>:520;8yke11:0;6{ik?3>6=4>{I;40>hf;=09=n5rnb4:2?6=9rB2;95aa26964b=83;pD49;;oc00?46n2weo;76:182M?0<2dj?94=219~jf0>i3:1=vF6759me62=:;;0qcm99c83>4}O1>>0bl=;:301?xhd>0i1<7?tH857?kg4<389?6sac7;g>5<6sA3<86`n358161=zfj<2i7>51zJ:31=ii:>1>?;4}oa5=c<728qC5::4n`17>7413tdh:l>50;3xL<133gk887<=7:mg3g6290:wE784:lb71<5:11vbn8n2;295~N>?=1em>:523;8yke1i:0;6{ik?k>6=4>{I;40>hf;=09>n5rnb4b2?6=9rB2;95aa26967b=83;pD49;;oc00?45n2weo;o6:182M?0<2dj?94=319~jf0fi3:1=vF6759me62=::;0qcm9ac83>4}O1>>0bl=;:311?xhd>hi1<7?tH857?kg4<388?6sac7cg>5<6sA3<86`n358171=zfj51zJ:31=ii:>1>>;4}oa5ec<728qC5::4n`17>7513tdh:o>50;3xL<133gk887<<7:mg3d6290:wE784:lb71<5;11vbn8m2;295~N>?=1em>:522;8yke1j:0;6{ik?h>6=4>{I;40>hf;=09?n5rnb4a2?6=9rB2;95aa26966b=83;pD49;;oc00?44n2weo;l6:182M?0<2dj?94=419~jf0ei3:1=vF6759me62=:=;0qcm9bc83>4}O1>>0bl=;:361?xhd>ki1<7?tH857?kg4<38??6sac7`g>5<6sA3<86`n358101=zfj51zJ:31=ii:>1>9;4}oa5fc<728qC5::4n`17>7213tdh:n>50;3xL<133gk887<;7:mg3e6290:wE784:lb71<5<11vbn8l2;295~N>?=1em>:525;8yke1k:0;6j7p`l6b694?7|@0=?7co<4;07f>{ik?i>6=4>{I;40>hf;=098n5rnb4`2?6=9rB2;95aa26961b=83;pD49;;oc00?43n2weo;m6:182M?0<2dj?94=519~jf0di3:1=vF6759me62=:<;0qcm9cc83>4}O1>>0bl=;:371?xhd>ji1<7?tH857?kg4<38>?6sac7ag>5<6sA3<86`n358111=zfj51zJ:31=ii:>1>8;4}oa5gc<628qC5::4n`17>7313-k9i7==a`9~jf0c83;1=vF6759me62=:<=0(l{ik?n:6<4>{I;40>hf;=09955+a3g9163:0yK=224}O1>>0bl=;:37a?!g5m3?4<6sA3<86`n35811f=#i;o19>h4}oa5`3<628qC5::4n`17>73c3-k9i7==a29~jf0c?3;1=vF6759me62=:{ik?n36<4>{I;40>hf;=099k5+a3g91fc:|X70a<5s=hj69l<:|lb71<5>91vbn8ka;296~N>?=1em>:52738yke1lk0:651zJ:31=ii:>1>;=4}oa5`a<628qC5::4n`17>7033-k9i7<<579~jf0cm3:1=vF6759me62=:??0qcm9dg83>4}O1>>0bl=;:345?xhd>l:1<7?tH857?kg4<38=;6sac7g2>5<6sA3<86`n35812==zfj7>51zJ:31=ii:>1>;74}oa5a6<728qC5::4n`17>70f3tdh:h:50;3xL<133gk887<9b:mg3c2290:wE784:lb71<5>j1vbn8j6;295~N>?=1em>:527f8yke1m>0;6{ik?o26=4>{I;40>hf;=09;=5rnb4fe?6=9rB2;95aa269627?0qcm9eg83>4}O1>>0bl=;:355?xhd>o:1<7?tH857?kg4<38<;6sac7d2>5<6sA3<86`n35813==zfj7>51zJ:31=ii:>1>:74}oa5b6<728qC5::4n`17>71f3tdh:k:50;3xL<133gk887<8b:mg3`2290:wE784:lb71<5?j1vbn8i6;295~N>?=1em>:526f8yke1n>0;6{ik?l26=4>{I;40>hf;=094=5rnb4ee?6=9rB2;95aa2696=74}O1>>0bl=;:3:5?xhd?9:1<7?tH857?kg4<383;6sac622>5<6sA3<86`n3581<==zfj=;>7>51zJ:31=ii:>1>574}oa446<728qC5::4n`17>7>f3tdh;=:50;3xL<133gk887<7b:mg262290:wE784:lb71<50j1vbn9?6;295~N>?=1em>:529f8yke08>0;6{ik>:26=4>{I;40>hf;=095=5rnb53e?6=9rB2;95aa2696<74}O1>>0E5m8:0y2>x\3<572:l<6p`n3581=1=zfj=;i7>51zJ:31=ii:>1>4;4}oa44c<728qC5::4I9a4>4}52tP?8i4={5`b>1d42tdj?94=979~jf1683:1=vF6759J>53g59ykg4<382;6sac632>5<6sA3<86G7c6827o69u;b`87f6<4n>02?=4rn`17>7??3tdh;<<50;0xL<133@2h;7=t4;397?{]<=n18v:ma;6a7??4839m;7saa26964?:3yK=22u;:080>x\3<572:l<6p`n3581=d=zfj=:87>52zJ:31=N0j=1?v:51;19y_23l3>p8oo54c19=66=;o=1qco<4;0:f>{ik>;>6=4={I;40>O?k>08w94>:28~^12c2=q?nl4;b28:75<4n>0vbl=;:3;`?xhd?8<1<7d?39p87?53;Y01b=im7:m3;;04?5a?3wem>:528f8yke09>0;6?uG9668M=e02:q?6<4<:|X70a<3s=hj69l<:813>6`02tdj?94=9d9~jf1603:1>vF6759J1=7=5}[67`?2|2909wE784:K;g2<4s=0:6>4rZ56g>1}3jh0?n>463180b2i?77<0;1e3?{ii:>1>l?4}oa45g<72;qC5::4I9a4>6}328086pT;4e871df2=h864=?:2d4>xhf;=09m?5rnb52g?6=:rB2;95F8b597~2=9391qW:;d;6x0gg=>53g59ykg4<38j?6sac63g>5<5sA3<86G7c6801<62:0vV9:k:5y7fd<3j:02?=47}O1>>0E5m8:2y7>4<42tP?8i4;{5`b>1d4209;6>h8:|lb71<5i?1vbn9=0;296~N>?=1B4n953z695?5=uS>?h7:t4cc90g5=1::1?k95}oc00?4f?2weo:<>:181M?0<2C3o:4<{582>6o69u;b`87f6<>;908j:4rn`17>7g?3tdh;?<50;3xL<133@2h;7?t2;Y01b=im7:m3;;04?5a?3wem>:52`;8j<03291vbn9=3;296~N>?=1B4n953z695?5=uS>?h7:t4cc90g5=1::1?k95}oc00?4fi2weo:<;:181M?0<2C3o:4<{582>6o69u;b`87f6<>;908j:4rn`17>7ge3tdh;?;50;0xL<133@2h;7=t4;397?{]<=n18v:ma;6a7??4839m;7saa2696de;4?:3yK=22u;:080>x\3<572:l<6p`n3581ea=zfj=9;7>52zJ:31=N0j=1?v:51;19y_23l3>p8oo54c19=66=;o=1qco<4;0ba>{ik>836=4={I;40>O?k>08w94>:28~^12c2=q?nl4;b28:75<4n>0vbl=;:3ce?xhd?;31<7?tH857?L>d?3;p>7sU45f90~2ei3>i?77<0;1e3?{ii:>1>o>4n847>5=zfj=9m7>51zJ:31=N0j=1=v<5}[67`?2|?h7:t4cc90g5=1::1?k95}oc00?4e<2weo:{38~^12c2=q?nl4;b28:75<4n>0vbl=;:3`6?xhd?;l1<7?tH857?L>d?3;p>7sU45f90~2ei3>i?77<0;1e3?{ii:>1>o84}oa475<72;qC5::4I9a4>6}328086pT;4e871df2=h864=?:2d4>xhf;=09n:5rnb505?6=:rB2;95F8b597~2=9391qW:;d;6x0gg=>53g59ykg4<38i46sac611>5<5sA3<86G7c6801<62:0vV9:k:5y7fd<3j:02?=47}O1>>0E5m8:2y7>4<42tP?8i4;{5`b>1d4209;6>h8:|lb71<5jk1vbn9<5;296~N>?=1B4n953z695?5=uS>?h7:t4cc90g5=1::1?k95}oc00?4ek2weo:=9:181M?0<2C3o:4<{582>6o69u;b`87f6<>;908j:4rn`17>7dc3tdh;>950;0xL<133@2h;7=t4;397?{]<=n18v:ma;6a7??4839m;7saa2696gc6`02tdj?94=bg9~jf1413:1=vF6759J>53g59ykg4<38h<6sac61b>5<6sA3<86G7c6827o69u;b`87f6<>;908j:4rn`17>7e63g3=87>4}oa47g<728qC5::4I9a4>4}52tP?8i4;{5`b>1d4209;6>h8:|lb71<5k;1vbn9?=1B4n951z09y_23l3>p8oo54c19=66=;o=1qco<4;0`7>{ik>9o6=4>{I;40>O?k>0:w?4rZ56g>1}3jh0?n>463180b2i?77<0;1e3?{ii:>1>n;4}oa47c<72;qC5::4I9a4>6}328086pT;4e871df2=h864=?:2d4>xhf;=09o;5rnb574?6=9rB2;95aa2696f15<6sA3<86`n3581ga=zfj=?;7>51zJ:31=ii:>1>nk4}oa40=<728qC5::4n`17>7ea3tdh;9750;3xL<133gk887?=1em>:52e08yke0{ik>>n6=4>{I;40>hf;=09h85rnb57b?6=9rB2;95aa2696a04}O1>>0bl=;:3fa?xhd?5<6sA3<86`n3581`a=zfj=>;7>51zJ:31=ii:>1>ik4}oa41=<728qC5::4n`17>7ba3tdh;8750;3xL<133gk887?=1em>:52d08yke0=j0;6{ik>?n6=4>{I;40>hf;=09i85rnb56b?6=9rB2;95aa2696`04}O1>>0bl=;:3ga?xhd???1<7?tH857?kg4<38no6sac645>5<6sA3<86`n3581aa=zfj==;7>51zJ:31=ii:>1>hk4}oa42=<728qC5::4n`17>7ca3tdh;;750;3xL<133gk887?=1em>:52g08yke0>j0;6{ik>{I;40>hf;=09j85rnb55b?6=9rB2;95aa2696c04}O1>>0bl=;:3da?xhd?>?1<7?tH857?kg4<38mo6sac655>5<6sA3<86`n3581ba=zfj=<;7>51zJ:31=ii:>1>kk4}oa43=<728qC5::4n`17>7`a3tdh;:750;3xL<133gk887=?0:mg21f290:wE784:lb71<4881vbn98b;295~N>?=1em>:53108yke0?j0;6{ik>=n6=4>{I;40>hf;=08<85rnb54b?6=9rB2;95aa269750>8;|l`3=7=83;pD49;;oc00?5702weo:6=:182M?0<2dj?94<089~jf1?;3:1=vF6759me62=;9k0qcm88583>4}O1>>0bl=;:22a?xhd?1?1<7?tH857?kg4<39;o6sac6:5>5<6sA3<86`n35804a=zfj=3;7>51zJ:31=ii:>1?=k4}oa4<=<728qC5::4n`17>66a3tdh;5750;3xL<133gk887=>0:mg2>f290:wE784:lb71<4981vbn97b;295~N>?=1em>:53008yke00j0;6{ik>2n6=4>{I;40>hf;=08=85rnb5;b?6=9rB2;95aa269740?8;|l`3<7=83;pD49;;oc00?5602weo:7=:182M?0<2dj?94<189~jf1>;3:1=vF6759me62=;8k0qcm89583>4}O1>>0bl=;:23a?xhd?0?1<7?tH857?kg4<39:o6sac6;5>5<6sA3<86`n35805a=zfj=2;7>51zJ:31=ii:>1?67a3tdh;4750;3xL<133gk887==0:mg2?f290:wE784:lb71<4:81vbn96b;295~N>?=1em>:53308yke01j0;6{ik>3n6=4>{I;40>hf;=08>85rnb5:b?6=9rB2;95aa269770<8;|l`3d7=83;pD49;;oc00?5502weo:o=:182M?0<2dj?94<289~jf1f;3:1=vF6759me62=;;k0qcm8a583>4}O1>>0bl=;:20a?xhd?h?1<7?tH857?kg4<399o6sac6c5>5<6sA3<86`n35806a=zfj=j;7>51zJ:31=ii:>1??k4}oa4e=<728qC5::4n`17>64a3tdh;l750;3xL<133gk887=<0:mg2gf290:wE784:lb71<4;81vbn9nb;295~N>?=1em>:53208yke0ij0;6{ik>kn6=4>{I;40>hf;=08?85rnb5bb?6=9rB2;95aa269760=8;|l`3g7=83;pD49;;oc00?5402weo:l=:182M?0<2dj?94<389~jf1e;3:1=vF6759me62=;:k0qcm8b583>4}O1>>0bl=;:21a?xhd?k?1<7?tH857?kg4<398o6sac6`5>5<6sA3<86`n35807a=zfj=i;7>51zJ:31=ii:>1?>k4}oa4f=<728qC5::4n`17>65a3tdh;o750;3xL<133gk887=;0:mg2df290:wE784:lb71<4<81vbn9mb;295~N>?=1em>:53508yke0jj0;687p`l7cf94?7|@0=?7co<4;170>{ik>hn6=4>{I;40>hf;=08885rnb5ab?6=9rB2;95aa269710:8;|l`3f7=83;pD49;;oc00?5302weo:m=:182M?0<2dj?94<489~jf1d;3:1=vF6759me62=;=k0qcm8c583>4}O1>>0bl=;:26a?xhd?j?1<7?tH857?kg4<39?o6sac6a5>5<6sA3<86`n35800a=zfj=h;7>51zJ:31=ii:>1?9k4}oa4g=<728qC5::4n`17>62a3tdh;n750;3xL<133gk887=:0:mg2ef290:wE784:lb71<4=81vbn9lb;295~N>?=1em>:53408yke0kj0;6{ik>in6=4>{I;40>hf;=08985rnb5`b?6=9rB2;95aa269700;8;|l`3a7=83;pD49;;oc00?5202weo:j=:182M?0<2dj?94<589~jf1c;3:1=vF6759me62=;4}O1>>0bl=;:27a?xhd?m?1<7?tH857?kg4<39>o6sac6f5>5<6sA3<86`n35801a=zfj=o;7>51zJ:31=ii:>1?8k4}oa4`=<728qC5::4n`17>63a3tdh;i750;3xL<133gk887=90:mg2bf290:wE784:lb71<4>81vbn9kb;295~N>?=1em>:53708yke0lj0;6{ik>nn6=4>{I;40>hf;=08:85rnb5gb?6=9rB2;95aa26973088;|l`3`7=83;pD49;;oc00?5102weo:k=:182M?0<2dj?94<689~jf1b;3:1=vF6759me62=;?k0qcm8e583>4}O1>>0bl=;:24a?xhd?l?1=7?tH857?kg4<39=o6sac6g5>4<6sA3<86`n35802a=#i;o1n;74}oa4a2<728qC5::4n`17>60b3tdh;h650;3xL<133gk887=9f:mg2c>290:wE784:lb71<4?91vbn9ja;295~N>?=1em>:53638yke0mk0;6{ik>oo6=4>{I;40>hf;=08;95rnb5fa?6=9rB2;95aa26972399;|l`3c6=83;pD49;;oc00?50?2weo:h>:182M?0<2dj?94<799~jf1a:3:1=vF6759me62=;>30qcm8f283>4}O1>>0bl=;:25b?xhd?o>1<7?tH857?kg4<395<6sA3<86`n35803f=zfj=m:7>51zJ:31=ii:>1?:j4}oa4b2<728qC5::4n`17>61b3tdh;k650;3xL<133gk887=8f:mg2`>290:wE784:lb71<4091vbn9ia;295~N>?=1em>:53938yke0nk0;6{ik>lo6=4>{I;40>hf;=08495rnb5ea?6=9rB2;95aa2697=369;|l`<56=83;pD49;;oc00?5??2weo5>>:182M?0<2dj?94<899~jf>7:3:1=vF6759me62=;130qcm70283>4}O1>>0bl=;:2:b?xhd09>1<7?tH857?kg4<393n6sac926>5<6sA3<86`n358051zJ:31=ii:>1?5j4}oa;42<728qC5::4n`17>6>b3tdh4=650;3xL<133gk887=7f:mg=6>290:wE784:lb71<4191vbn6?a;295~N>?=1em>:53838yke?8k0;6{ik1:o6=4>{I;40>hf;=08595rnb:3a?6=9rB2;95aa2697<379;|l`<46=83;pD49;;oc00?5>?2weo5?>:182M?0<2dj?94<999~jf>6:3:1=vF6759me62=;030qcm71283>4}O1>>0bl=;:2;b?xhd08>1<7?tH857?kg4<392n6sac936>5<6sA3<86`n3580=f=zfj2::7>51zJ:31=ii:>1?4j4}oa;52<728qC5::4n`17>6?b3tdh4<650;3xL<133gk887=6f:mg=7>290:wE784:lb71<4i91vbn6>a;295~N>?=1em>:53`38yke?9k0;6{ik1;o6=4>{I;40>hf;=08m95rnb:2a?6=9rB2;95aa2697d3o9;|l`<76=83;pD49;;oc00?5f?2weo5<>:182M?0<2dj?945:3:1=vF6759me62=;h30qcm72283>4}O1>>0bl=;:2cb?xhd0;>1<7?tH857?kg4<39jn6sac906>5<6sA3<86`n3580ef=zfj29:7>51zJ:31=ii:>1?lj4}oa;62<728qC5::4n`17>6gb3tdh4?650;3xL<133gk887=nf:mg=4>290:wE784:lb71<4j91vbn6=a;295~N>?=1em>:53c38yke?:k0;6{ik18o6=4>{I;40>hf;=08n95rnb:1a?6=9rB2;95aa2697g3k4?:0yK=22l9;|l`<66=83;pD49;;oc00?5e?2weo5=>:182M?0<2dj?944:3:1=vF6759me62=;k30qcm73283>4}O1>>0bl=;:2`b?xhd0:>1<7?tH857?kg4<39in6sac916>5<6sA3<86`n3580ff=zfj28:7>51zJ:31=ii:>1?oj4}oa;72<728qC5::4n`17>6db3tdh4>650;3xL<133gk887=mf:mg=5>290:wE784:lb71<4k91vbn6?=1em>:53b38yke?;k0;6{ik19o6=4>{I;40>hf;=08o95rnb:0a?6=9rB2;95aa2697f3m9;|l`<16=83;pD49;;oc00?5d?2weo5:>:182M?0<2dj?943:3:1=vF6759me62=;j30qcm74283>4}O1>>0bl=;:2ab?xhd0=>1<7?tH857?kg4<39hn6sac966>5<6sA3<86`n3580gf=zfj2?:7>51zJ:31=ii:>1?nj4}oa;02<728qC5::4n`17>6eb3tdh49650;3xL<133gk887=lf:mg=2>290:wE784:lb71<4l91vbn6;a;295~N>?=1em>:53e38yke?{ik1>o6=4>{I;40>hf;=08h95rnb:7a?6=9rB2;95aa2697a3j9;|l`<06=83;pD49;;oc00?5c?2weo5;>:182M?0<2dj?942:3:1=vF6759me62=;m30qcm75283>4}O1>>0bl=;:2fb?xhd0<>1<7?tH857?kg4<39on6sac976>5<6sA3<86`n3580`f=zfj2>:7>51zJ:31=ii:>1?ij4}oa;12<728qC5::4n`17>6bb3tdh48650;3xL<133gk887=kf:mg=3>290:wE784:lb71<4m91vbn6:a;295~N>?=1em>:53d38yke?=k0;6{ik1?o6=4>{I;40>hf;=08i95rnb:6a?6=9rB2;95aa2697`3k9;|l`<36=83;pD49;;oc00?5b?2weo58>:182M?0<2dj?941:3:1=vF6759me62=;l30qcm76283>4}O1>>0bl=;:2gb?xhd0?>1<7?tH857?kg4<39nn6sac946>5<6sA3<86`n3580af=zfj2=:7>51zJ:31=ii:>1?hj4}oa;22<728qC5::4n`17>6cb3tdh4;650;3xL<133gk887=jf:mg=0>290:wE784:lb71<4n91vbn69a;295~N>?=1em>:53g38yke?>k0;6{ik1{I;40>hf;=08j95rnb:5a?6=9rB2;95aa2697c3h9;|l`<26=83;pD49;;oc00?5a?2weo59>:182M?0<2dj?940:3:1=vF6759me62=;o30qcm77283>4}O1>>0bl=;:2db?xhd0>>1<7?tH857?kg4<39mn6sac956>5<6sA3<86`n3580bf=zfj2<:7>51zJ:31=ii:>1?kj4}oa;32<728qC5::4n`17>6`b3tdh4:650;3xL<133gk887=if:mg=1>290:wE784:lb71<3891vbn68a;295~N>?=1em>:54138yke??k0;6{ik1=o6=4>{I;40>hf;=0?<95rnb:4a?6=9rB2;95aa2690539;|l`<=6=83;pD49;;oc00?27?2weo56>:182M?0<2dj?94;099~jf>?:3:1=vF6759me62=<930qcm78283>4}O1>>0bl=;:52b?xhd01>1<7?tH857?kg4<3>;n6sac9:6>5<6sA3<86`n35874f=zfj23:7>51zJ:31=ii:>18=j4}oa;<2<728qC5::4n`17>16b3tdh45650;3xL<133gk887:?f:mg=>>290:wE784:lb71<3991vbn67a;295~N>?=1em>:54038yke?0k0;6{ik12o6=4>{I;40>hf;=0?=95rnb:;a?6=9rB2;95aa269043:182M?0<2dj?94;199~jf>>:3:1=vF6759me62=<830qcm79283>4}O1>>0bl=;:53b?xhd00>1<7?tH857?kg4<3>:n6sac9;6>5<6sA3<86`n35875f=zfj22:7>51zJ:31=ii:>1817b3tdh44650;3xL<133gk887:>f:mg=?>290:wE784:lb71<3:91vbn66a;295~N>?=1em>:54338yke?1k0;6{ik13o6=4>{I;40>hf;=0?>95rnb::a?6=9rB2;95aa269073:182M?0<2dj?94;299~jf>f:3:1=vF6759me62=<;30qcm7a283>4}O1>>0bl=;:50b?xhd0h>1<7?tH857?kg4<3>9n6sac9c6>5<6sA3<86`n35876f=zfj2j:7>51zJ:31=ii:>18?j4}oa;e2<72;qC5::4n`17>14b3tdh4l650;3xL<133gk887:=f:mg=g>290:wE784:lb71<3;91vbn6na;295~N>?=1em>:54238yke?ik0;6{ik1ko6=4>{I;40>hf;=0??95rnb:ba?6=9rB2;95aa269063:181M?0<2dj?94;399~jf>e:3:1>vF6759me62=<:30qcm7b283>4}O1>>0bl=;:51b?xhd0k>1<7?tH857?kg4<3>8n6sac9`6>5<6sA3<86`n35877f=zfj2i:7>51zJ:31=ii:>18>j4}oa;f2<728qC5::4n`17>15b3tdh4o650;3xL<133gk887:290:wE784:lb71<3<91vbn6ma;295~N>?=1em>:54538yke?jk0;697p`l8ca94?4|@0=?7co<4;677>{ik1ho6=4>{I;40>hf;=0?895rnb:aa?6=9rB2;95aa269013:182M?0<2dj?94;499~jf>d:3:1=vF6759me62=<=30qcm7c283>4}O1>>0bl=;:56b?xhd0j>1<7?tH857?kg4<3>?n6sac9a6>5<6sA3<86`n35870f=zfj2h:7>52zJ:31=ii:>189j4}oa;g2<728qC5::4n`17>12b3tdh4n650;3xL<133gk887:;f:mg=e>290:wE784:lb71<3=91vbn6la;295~N>?=1em>:54438yke?kk0;6{ik1io6=4>{I;40>hf;=0?995rnb:`a?6=9rB2;95aa269003:182M?0<2dj?94;599~jf>c:3:1=vF6759me62=<<30qcm7d283>4}O1>>0bl=;:57b?xhd0m>1<7?tH857?kg4<3>>n6sac9f6>5<6sA3<86`n35871f=zfj2o:7>51zJ:31=ii:>188j4}oa;`2<728qC5::4n`17>13b3tdh4i650;3xL<133gk887::f:mg=b>290:wE784:lb71<3>91vbn6ka;296~N>?=1em>:54738yke?lk0;6{ik1no6=4>{I;40>hf;=0?:95rnb:ga?6=9rB2;95aa269033:182M?0<2dj?94;699~jf>b:3:1=vF6759me62=4}O1>>0bl=;:54b?xhd0l>1<7=n6sac9g6>5<6sA3<86`n35872f=zfj2n:7>51zJ:31=ii:>18;j4}oa;a2<728qC5::4n`17>10b3tdh4h650;3xL<133gk887:9f:mg=c>290:wE784:lb71<3?91vbn6ja;295~N>?=1em>:54638yke?mk0;6{ik1oo6=4>{I;40>hf;=0?;95rnb:fa?6=9rB2;95aa269023:182M?0<2dj?94;799~jf>a:3:1=vF6759me62=<>30qcm7f283>4}O1>>0bl=;:55b?xhd0o>1<7?tH857?kg4<3>5<6sA3<86`n35873f=zfj2m:7>51zJ:31=ii:>18:j4}oa;b2<72;qC5::4n`17>11b3tdh4k650;0xL<133gk887:8f:mg=`>290:wE784:lb71<3091vbn6ia;295~N>?=1em>:54938yke?nk0;6{ik1lo6=4>{I;40>hf;=0?495rnb:ea?6=9rB2;95aa2690=3>:182M?0<2dj?94;899~jf?7:3:1>vF6759me62=<130qcm60283>4}O1>>0bl=;:5:b?xhd19>1<7?tH857?kg4<3>3n6sac826>5<6sA3<86`n358751zJ:31=ii:>185j4}oa:42<728qC5::4n`17>1>b3tdh5=650;3xL<133gk887:7f:mg<6>290:wE784:lb71<3191vbn7?a;295~N>?=1em>:54838yke>8k0;6{ik0:o6=4>{I;40>hf;=0?595rnb;3a?6=9rB2;95aa2690<3?2weo4?>:182M?0<2dj?94;999~jf?6:3:1=vF6759me62=<030qcm61283>4}O1>>0bl=;:5;b?xhd18>1<7?tH857?kg4<3>2n6sac836>5<6sA3<86`n3587=f=zfj3::7>52zJ:31=ii:>184j4}oa:52<728qC5::4n`17>1?b3tdh5<650;3xL<133gk887:6f:mg<7>290:wE784:lb71<3i91vbn7>a;295~N>?=1em>:54`38yke>9k0;6{ik0;o6=4>{I;40>hf;=0?m95rnb;2a?6=9rB2;95aa2690d3:182M?0<2dj?94;a99~jf?5:3:1=vF6759me62=4}O1>>0bl=;:5cb?xhd1;>1<7?tH857?kg4<3>jn6sac806>5<6sA3<86`n3587ef=zfj39:7>51zJ:31=ii:>18lj4}oa:62<728qC5::4n`17>1gb3tdh5?650;3xL<133gk887:nf:mg<4>2909wE784:lb71<3j91vbn7=a;296~N>?=1em>:54c38yke>:k0;6{ik08o6=4>{I;40>hf;=0?n95rnb;1a?6=9rB2;95aa2690g3k4?:0yK=22:182M?0<2dj?94;b99~jf?4:3:1=vF6759me62=4}O1>>0bl=;:5`b?xhd1:>1<7in6sac816>5<6sA3<86`n3587ff=zfj38:7>51zJ:31=ii:>18oj4}oa:72<728qC5::4n`17>1db3tdh5>650;3xL<133gk887:mf:mg<5>290:wE784:lb71<3k91vbn7?=1em>:54b38yke>;k0;6{ik09o6=4>{I;40>hf;=0?o95rnb;0a?6=:rB2;95aa2690f3:182M?0<2dj?94;c99~jf?3:3:1=vF6759me62=4}O1>>0bl=;:5ab?xhd1=>1<7?tH857?kg4<3>hn6sac866>5<6sA3<86`n3587gf=zfj3?:7>51zJ:31=ii:>18nj4}oa:02<728qC5::4n`17>1eb3tdh59650;0xL<133gk887:lf:mg<2>290:wE784:lb71<3l91vbn7;a;295~N>?=1em>:54e38yke>{ik0>o6=4>{I;40>hf;=0?h95rnb;7a?6=9rB2;95aa2690a3:182M?0<2dj?94;d99~jf?2:3:1=vF6759me62=4}O1>>0bl=;:5fb?xhd1<>1<7?tH857?kg4<3>on6sac876>5<6sA3<86`n3587`f=zfj3>:7>51zJ:31=ii:>18ij4}oa:12<728qC5::4n`17>1bb3tdh58650;3xL<133gk887:kf:mg<3>290:wE784:lb71<3m91vbn7:a;295~N>?=1em>:54d38yke>=k0;6?uG9668jd532=o97p`l94a94?4|@0=?7co<4;6f7>{ik0?o6=4>{I;40>hf;=0?i95rnb;6a?6=9rB2;95aa2690`3:182M?0<2dj?94;e99~jf?1:3:1=vF6759me62=4}O1>>0bl=;:5gb?xhd1?>1<7?tH857?kg4<3>nn6sac846>5<6sA3<86`n3587af=zfj3=:7>52zJ:31=ii:>18hj4}oa:22<728qC5::4n`17>1cb3tdh5;650;3xL<133gk887:jf:mg<0>290:wE784:lb71<3n91vbn79a;295~N>?=1em>:54g38yke>>k0;6{ik0{I;40>hf;=0?j95rnb;5a?6=9rB2;95aa2690c3:182M?0<2dj?94;f99~jf?0:3:1=vF6759me62=4}O1>>0bl=;:5db?xhd1>>1<7?tH857?kg4<3>mn6sac856>5<6sA3<86`n3587bf=zfj3<:7>51zJ:31=ii:>18kj4}oa:32<728qC5::4n`17>1`b3tdh5:650;3xL<133gk887:if:mg<1>290:wE784:lb71<2891vbn78a;296~N>?=1em>:55138yke>?k0;6{ik0=o6=4>{I;40>hf;=0><95rnb;4a?6=9rB2;95aa2691539;|l`==6=83;pD49;;oc00?37?2weo46>:182M?0<2dj?94:099~jf??:3:1=vF6759me62==930qcm68283>4}O1>>0bl=;:42b?xhd11>1<75<6sA3<86`n35864f=zfj33:7>51zJ:31=ii:>19=j4}oa:<2<728qC5::4n`17>06b3tdh55650;3xL<133gk887;?f:mg<>>290:wE784:lb71<2991vbn77a;295~N>?=1em>:55038yke>0k0;6{ik02o6=4>{I;40>hf;=0>=95rnb;;a?6=:rB2;95aa269143:182M?0<2dj?94:199~jf?>:3:1=vF6759me62==830qcm69283>4}O1>>0bl=;:43b?xhd10>1<7?tH857?kg4<3?:n6sac8;6>5<6sA3<86`n35865f=zfj32:7>51zJ:31=ii:>1907b3tdh54650;0xL<133gk887;>f:mg290:wE784:lb71<2:91vbn76a;295~N>?=1em>:55338yke>1k0;6{ik03o6=4>{I;40>hf;=0>>95rnb;:a?6=9rB2;95aa269173:182M?0<2dj?94:299~jf?f:3:1>vF6759me62==;30qcm6a283>4}O1>>0bl=;:40b?xhd1h>1<7?tH857?kg4<3?9n6sac8c6>5<6sA3<86`n35866f=zfj3j:7>51zJ:31=ii:>19?j4}oa:e2<728qC5::4n`17>04b3tdh5l650;3xL<133gk887;=f:mg290:wE784:lb71<2;91vbn7na;295~N>?=1em>:55238yke>ik0;6{ik0ko6=4>{I;40>hf;=0>?95rnb;ba?6=9rB2;95aa269163:182M?0<2dj?94:399~jf?e:3:1=vF6759me62==:30qcm6b283>4}O1>>0bl=;:41b?xhd1k>1<7?tH857?kg4<3?8n6sac8`6>5<6sA3<86`n35867f=zfj3i:7>52zJ:31=ii:>19>j4}oa:f2<728qC5::4n`17>05b3tdh5o650;3xL<133gk887;290:wE784:lb71<2<91vbn7ma;295~N>?=1em>:55538yke>jk0;697p`l9ca94?7|@0=?7co<4;777>{ik0ho6=4>{I;40>hf;=0>895rnb;aa?6=9rB2;95aa269113:182M?0<2dj?94:499~jf?d:3:1=vF6759me62===30qcm6c283>4}O1>>0bl=;:46b?xhd1j>1<7?tH857?kg4<3??n6sac8a6>5<6sA3<86`n35860f=zfj3h:7>51zJ:31=ii:>199j4}oa:g2<728qC5::4n`17>02b3tdh5n650;3xL<133gk887;;f:mg290:wE784:lb71<2=91vbn7la;296~N>?=1em>:55438yke>kk0;6{ik0io6=4>{I;40>hf;=0>995rnb;`a?6=9rB2;95aa269103:182M?0<2dj?94:599~jf?c:3:1=vF6759me62==<30qcm6d283>4}O1>>0bl=;:47b?xhd1m>1<7n6sac8f6>5<6sA3<86`n35861f=zfj3o:7>51zJ:31=ii:>198j4}oa:`2<728qC5::4n`17>03b3tdh5i650;3xL<133gk887;:f:mg290:wE784:lb71<2>91vbn7ka;295~N>?=1em>:55738yke>lk0;6{ik0no6=4>{I;40>hf;=0>:95rnb;ga?6=:rB2;95aa269133:182M?0<2dj?94:699~jf?b:3:1=vF6759me62==?30qcm6e283>4}O1>>0bl=;:44b?xhd1l>1<7?tH857?kg4<3?=n6sac8g6>5<6sA3<86`n35862f=zfj3n:7>51zJ:31=ii:>19;j4}oa:a2<728qC5::4n`17>00b3tdh5h650;3xL<133gk887;9f:mg290:wE784:lb71<2?91vbn7ja;295~N>?=1em>:55638yke>mk0;6{ik0oo6=4>{I;40>hf;=0>;95rnb;fa?6=9rB2;95aa269123:182M?0<2dj?94:799~jf?a:3:1=vF6759me62==>30qcm6f283>4}O1>>0bl=;:45b?xhd1o>1<7?tH857?kg4<3?5<6sA3<86`n35863f=zfj3m:7>51zJ:31=ii:>19:j4}oa:b2<728qC5::4n`17>01b3tdh5k650;3xL<133gk887;8f:mg<`>290:wE784:lb71<2091vbn7ia;295~N>?=1em>:55938yke>nk0;6{ik0lo6=4>{I;40>hf;=0>495rnb;ea?6=9rB2;95aa2691=3>:182M?0<2dj?94:899~jfg7:3:1=vF6759me62==130qcmn0283>4}O1>>0bl=;:4:b?xhdi9>1<7?tH857?kg4<3?3n6sac`26>5<6sA3<86`n358651zJ:31=ii:>195j4}oab42<728qC5::4n`17>0>b3tdhm=650;3xL<133gk887;7f:mgd6>290:wE784:lb71<2191vbno?a;295~N>?=1em>:55838ykef8k0;6{ikh:o6=4={I;40>hf;=0>595rnbc3a?6=9rB2;95aa2691<3?2weol?>:181M?0<2dj?94:999~jfg6:3:1>vF6759me62==030qcmn1283>7}O1>>0bl=;:4;b?xhdi8>1<75<5sA3<86`n3586=f=zfjk::7>51zJ:31=ii:>194j4}oab52<728qC5::4n`17>0?b3tdhm<650;3xL<133gk887;6f:mgd7>290:wE784:lb71<2i91vbno>a;296~N>?=1em>:55`38ykef9k0;6{ikh;o6=4>{I;40>hf;=0>m95rnbc2a?6=9rB2;95aa2691d3:181M?0<2dj?94:a99~jfg5:3:1>vF6759me62==h30qcmn2283>7}O1>>0bl=;:4cb?xhdi;>1<75<5sA3<86`n3586ef=zfjk9:7>52zJ:31=ii:>19lj4}oab62<72;qC5::4n`17>0gb3tdhm?650;0xL<133gk887;nf:mgd4>290:wE784:lb71<2j91vbno=a;296~N>?=1em>:55c38ykef:k0;6{ikh8o6=4>{I;40>hf;=0>n95rnbc1a?6=9rB2;95aa2691g3k4?:0yK=22:181M?0<2dj?94:b99~jfg4:3:1>vF6759me62==k30qcmn3283>7}O1>>0bl=;:4`b?xhdi:>1<75<5sA3<86`n3586ff=zfjk8:7>52zJ:31=ii:>19oj4}oab72<72;qC5::4n`17>0db3tdhm>650;0xL<133gk887;mf:mgd5>2909wE784:lb71<2k91vbno?=1em>:55b38ykef;k0;6?uG9668jd532{ikh9o6=4={I;40>hf;=0>o95rnbc0a?6=:rB2;95aa2691f3:182M?0<2dj?94:c99~jfg3:3:1=vF6759me62==j30qcmn4283>4}O1>>0bl=;:4ab?xhdi=>1<7?tH857?kg4<3?hn6sac`66>5<6sA3<86`n3586gf=zfjk?:7>51zJ:31=ii:>19nj4}oab02<728qC5::4n`17>0eb3tdhm9651;3xL<133gk887;lf:mgd2>280:wE784:lb71<2l91vbno;a;295~N>?=1em>:55e38ykef{ikh>o6=4>{I;40>hf;=0>h95rnbc7a?6=9rB2;95aa2691a3:182M?0<2dj?94:d99~jfg2:3:1=vF6759me62==m30qcmn5283>4}O1>>0bl=;:4fb?xhdi<>1<7?tH857?kg4<3?on6sac`76>5<6sA3<86`n3586`f=zfjk>:7>51zJ:31=ii:>19ij4}oab12<728qC5::4n`17>0bb3tdhm8651;3xL<133gk887;kf:mgd3>290:wE784:lb71<2m91vbno:a;295~N>?=1em>:55d38ykef=k0;6{ikh?o6=4>{I;40>hf;=0>i95rnbc6a?6=9rB2;95aa2691`3:182M?0<2dj?94:e99~jfg1:3:1=vF6759me62==l30qcmn6283>4}O1>>0bl=;:4gb?xhdi?>1<7?tH857?kg4<3?nn6sac`46>5<6sA3<86`n3586af=zfjk=:7>51zJ:31=ii:>19hj4}oab22<728qC5::4n`17>0cb3tdhm;650;3xL<133gk887;jf:mgd0>290:wE784:lb71<2n91vbno9a;295~N>?=1em>:55g38ykef>k0;6{ikh{I;40>hf;=0>j95rnbc5a?6=9rB2;95aa2691c3:182M?0<2dj?94:f99~jfg0:3:1=vF6759me62==o30qcmn7283>4}O1>>0bl=;:4db?xhdi>>1<7?tH857?kg4<3?mn6sac`56>5<6sA3<86`n3586bf=zfjk<:7>51zJ:31=ii:>19kj4}oab32<728qC5::4n`17>0`b3tdhm:650;3xL<133gk887;if:mgd1>290:wE784:lb71<1891vbno8a;295~N>?=1em>:56138ykef?k0;6{ikh=o6=4>{I;40>hf;=0=<95rnbc4a?6=9rB2;95aa2692539;|l`e=6=83;pD49;;oc00?07?2weol6>:182M?0<2dj?949099~jfg?:3:1=vF6759me62=>930qcmn8283>4}O1>>0bl=;:72b?xhdi1>1<7?tH857?kg4<3<;n6sac`:6>5<6sA3<86`n35854f=zfjk3:7>51zJ:31=ii:>1:=j4}oab<2<728qC5::4n`17>36b3tdhm5650;3xL<133gk8878?f:mgd>>290:wE784:lb71<1991vbno7a;295~N>?=1em>:56038ykef0k0;6{ikh2o6=4>{I;40>hf;=0==95rnbc;a?6=9rB2;95aa269243:182M?0<2dj?949199~jfg>:3:1=vF6759me62=>830qcmn9283>4}O1>>0bl=;:73b?xhdi0>1<7?tH857?kg4<3<:n6sac`;6>5<6sA3<86`n35855f=zfjk2:7>51zJ:31=ii:>1:37b3tdhm4650;3xL<133gk8878>f:mgd?>290:wE784:lb71<1:91vbno6a;295~N>?=1em>:56338ykef1k0;6{ikh3o6=4>{I;40>hf;=0=>95rnbc:a?6=9rB2;95aa269273:182M?0<2dj?949299~jfgf:3:1=vF6759me62=>;30qcmna283>4}O1>>0bl=;:70b?xhdih>1<7?tH857?kg4<3<9n6sac`c6>5<6sA3<86`n35856f=zfjkj:7>51zJ:31=ii:>1:?j4}oabe2<728qC5::4n`17>34b3tdhml650;3xL<133gk8878=f:mgdg>290:wE784:lb71<1;91vbnona;295~N>?=1em>:56238ykefik0;6{ikhko6=4>{I;40>hf;=0=?95rnbcba?6=9rB2;95aa269263:182M?0<2dj?949399~jfge:3:1=vF6759me62=>:30qcmnb283>4}O1>>0bl=;:71b?xhdik>1<7?tH857?kg4<3<8n6sac``6>5<6sA3<86`n35857f=zfjki:7>51zJ:31=ii:>1:>j4}oabf2<728qC5::4n`17>35b3tdhmo650;3xL<133gk8878280:wE784:lb71<1<91vbnoma;295~N>?=1em>:56538ykefjk0;697p`laca94?7|@0=?7co<4;477>{ikhho6=4>{I;40>hf;=0=895rnbcaa?6=9rB2;95aa269213:182M?0<2dj?949499~jfgd:3:1=vF6759me62=>=30qcmnc283>4}O1>>0bl=;:76b?xhdij>1<7?tH857?kg4<35<6sA3<86`n35850f=zfjkh:7>51zJ:31=ii:>1:9j4}oabg2<728qC5::4n`17>32b3tdhmn650;3xL<133gk8878;f:mgde>290:wE784:lb71<1=91vbnola;295~N>?=1em>:56438ykefkk0;6{ikhio6=4>{I;40>hf;=0=995rnbc`a?6=9rB2;95aa269203:182M?0<2dj?949599~jfgc:3:1=vF6759me62=><30qcmnd283>4}O1>>0bl=;:77b?xhdim>1<7?tH857?kg4<3<>n6sac`f6>5<6sA3<86`n35851f=zfjko:7>51zJ:31=ii:>1:8j4}oab`2<728qC5::4n`17>33b3tdhmi650;3xL<133gk8878:f:mgdb>290:wE784:lb71<1>91vbnoka;295~N>?=1em>:56738ykeflk0;6{ikhno6=4>{I;40>hf;=0=:95rnbcga?6=9rB2;95aa269233:182M?0<2dj?949699~jfgb:3:1=vF6759me62=>?30qcmne283>4}O1>>0bl=;:74b?xhdil>1<7?tH857?kg4<3<=n6sac`g6>5<6sA3<86`n35852f=zfjkn:7>51zJ:31=ii:>1:;j4}oaba2<728qC5::4n`17>30b3tdhmh650;3xL<133gk88789f:mgdc>290:wE784:lb71<1?91vbnoja;295~N>?=1em>:56638ykefmk0;6{ikhoo6=4>{I;40>hf;=0=;95rnbcfa?6=9rB2;95aa269223:182M?0<2dj?949799~jfga:3:1=vF6759me62=>>30qcmnf283>4}O1>>0bl=;:75b?xhdio>1<7?tH857?kg4<3<5<6sA3<86`n35853f=zfjkm:7>51zJ:31=ii:>1::j4}oabb2<728qC5::4n`17>31b3tdhmk650;3xL<133gk88788f:mgd`>290:wE784:lb71<1091vbnoia;295~N>?=1em>:56938ykefnk0;6{ikhlo6=4>{I;40>hf;=0=495rnbcea?6=9rB2;95aa2692=3>:182M?0<2dj?949899~jfd7:3:1=vF6759me62=>130qcmm0283>4}O1>>0bl=;:7:b?xhdj9>1<7?tH857?kg4<3<3n6sacc26>5<6sA3<86`n358551zJ:31=ii:>1:5j4}oaa42<728qC5::4n`17>3>b3tdhn=650;3xL<133gk88787f:mgg6>290:wE784:lb71<1191vbnl?a;295~N>?=1em>:56838ykee8k0;6{ikk:o6=4>{I;40>hf;=0=595rnb`3a?6=9rB2;95aa2692<3?2weoo?>:182M?0<2dj?949999~jfd6:3:1=vF6759me62=>030qcmm1283>4}O1>>0bl=;:7;b?xhdj8>1<7?tH857?kg4<3<2n6sacc36>5<6sA3<86`n3585=f=zfjh::7>51zJ:31=ii:>1:4j4}oaa52<728qC5::4n`17>3?b3tdhn<650;3xL<133gk88786f:mgg7>290:wE784:lb71<1i91vbnl>a;295~N>?=1em>:56`38ykee9k0;6{ikk;o6=4>{I;40>hf;=0=m95rnb`2a?6=9rB2;95aa2692d3:182M?0<2dj?949a99~jfd5:3:1=vF6759me62=>h30qcmm2283>4}O1>>0bl=;:7cb?xhdj;>1<7?tH857?kg4<35<6sA3<86`n3585ef=zfjh9:7>51zJ:31=ii:>1:lj4}oaa62<728qC5::4n`17>3gb3tdhn?650;3xL<133gk8878nf:mgg4>290:wE784:lb71<1j91vbnl=a;295~N>?=1em>:56c38ykee:k0;6{ikk8o6=4>{I;40>hf;=0=n95rnb`1a?6=9rB2;95aa2692g3k4?:0yK=22:182M?0<2dj?949b99~jfd4:3:1=vF6759me62=>k30qcmm3283>4}O1>>0bl=;:7`b?xhdj:>1<7?tH857?kg4<35<6sA3<86`n3585ff=zfjh8:7>51zJ:31=ii:>1:oj4}oaa72<728qC5::4n`17>3db3tdhn>650;3xL<133gk8878mf:mgg5>290:wE784:lb71<1k91vbnl?=1em>:56b38ykee;k0;6{ikk9o6=4>{I;40>hf;=0=o95rnb`0a?6=9rB2;95aa2692f3:182M?0<2dj?949c99~jfd3:3:1=vF6759me62=>j30qcmm4283>4}O1>>0bl=;:7ab?xhdj=>1<7?tH857?kg4<35<6sA3<86`n3585gf=zfjh?:7>51zJ:31=ii:>1:nj4}oaa02<728qC5::4n`17>3eb3tdhn9650;3xL<133gk8878lf:mgg2>290:wE784:lb71<1l91vbnl;a;295~N>?=1em>:56e38ykee{ikk>o6=4>{I;40>hf;=0=h95rnb`7a?6=9rB2;95aa2692a3:182M?0<2dj?949d99~jfd2:3:1=vF6759me62=>m30qcmm5283>4}O1>>0bl=;:7fb?xhdj<>1<7?tH857?kg4<35<6sA3<86`n3585`f=zfjh>:7>51zJ:31=ii:>1:ij4}oaa12<728qC5::4n`17>3bb3tdhn8650;3xL<133gk8878kf:mgg3>290:wE784:lb71<1m91vbnl:a;295~N>?=1em>:56d38ykee=k0;6{ikk?o6=4>{I;40>hf;=0=i95rnb`6a?6=9rB2;95aa2692`3:182M?0<2dj?949e99~jfd1:3:1=vF6759me62=>l30qcmm6283>4}O1>>0bl=;:7gb?xhdj?>1<7?tH857?kg4<35<6sA3<86`n3585af=zfjh=:7>51zJ:31=ii:>1:hj4}oaa22<728qC5::4n`17>3cb3tdhn;650;3xL<133gk8878jf:mgg0>290:wE784:lb71<1n91vbnl9a;295~N>?=1em>:56g38ykee>k0;6{ikk{I;40>hf;=0=j95rnb`5a?6=9rB2;95aa2692c3:182M?0<2dj?949f99~jfd0:3:1=vF6759me62=>o30qcmm7283>4}O1>>0bl=;:7db?xhdj>>1<7?tH857?kg4<35<6sA3<86`n3585bf=zfjh<:7>51zJ:31=ii:>1:kj4}oaa32<728qC5::4n`17>3`b3tdhn:650;3xL<133gk8878if:mgg1>290:wE784:lb71<0891vbnl8a;295~N>?=1em>:57138ykee?k0;6:97p`lb6a94?7|@0=?7co<4;537>{ikk=o6=4>{I;40>hf;=0<<95rnb`4a?6=9rB2;95aa2693539;|l`f=6=83;pD49;;oc00?17?2weoo6>:182M?0<2dj?948099~jfd?:3:1=vF6759me62=?930qcmm8283>4}O1>>0bl=;:62b?xhdj1>1<7?tH857?kg4<3=;n6sacc:6>5<6sA3<86`n35844f=zfjh3:7>51zJ:31=ii:>1;=j4}oaa<2<728qC5::4n`17>26b3tdhn5650;3xL<133gk8879?f:mgg>>290:wE784:lb71<0991vbnl7a;295~N>?=1em>:57038ykee0k0;6;97p`lb9a94?7|@0=?7co<4;527>{ikk2o6=4>{I;40>hf;=0<=95rnb`;a?6=9rB2;95aa269343:182M?0<2dj?948199~jfd>:3:1=vF6759me62=?830qcmm9283>4}O1>>0bl=;:63b?xhdj0>1<7?tH857?kg4<3=:n6sacc;6>5<6sA3<86`n35845f=zfjh2:7>51zJ:31=ii:>1;27b3tdhn4650;3xL<133gk8879>f:mgg?>290:wE784:lb71<0:91vbnl6a;295~N>?=1em>:57338ykee1k0;6897p`lb8a94?7|@0=?7co<4;517>{ikk3o6=4>{I;40>hf;=0<>95rnb`:a?6=9rB2;95aa269373:182M?0<2dj?948299~jfdf:3:1=vF6759me62=?;30qcmma283>4}O1>>0bl=;:60b?xhdjh>1<7?tH857?kg4<3=9n6saccc6>5<6sA3<86`n35846f=zfjhj:7>51zJ:31=ii:>1;?j4}oaae2<728qC5::4n`17>24b3tdhnl650;3xL<133gk8879=f:mggg>290:wE784:lb71<0;91vbnlna;295~N>?=1em>:57238ykeeik0;6997p`lb`a94?7|@0=?7co<4;507>{ikkko6=4>{I;40>hf;=0:182M?0<2dj?948399~jfde:3:1=vF6759me62=?:30qcmmb283>4}O1>>0bl=;:61b?xhdjk>1<7?tH857?kg4<3=8n6sacc`6>5<6sA3<86`n35847f=zfjhi:7>51zJ:31=ii:>1;>j4}oaaf2<728qC5::4n`17>25b3tdhno650;3xL<133gk8879290:wE784:lb71<0<91vbnlma;295~N>?=1em>:57538ykeejk0;6>97p`lbca94?7|@0=?7co<4;577>{ikkho6=4>{I;40>hf;=0<895rnb`aa?6=9rB2;95aa269313:182M?0<2dj?948499~jfdd:3:1=vF6759me62=?=30qcmmc283>4}O1>>0bl=;:66b?xhdjj>1<7?tH857?kg4<3=?n6sacca6>5<6sA3<86`n35840f=zfjhh:7>51zJ:31=ii:>1;9j4}oaag2<728qC5::4n`17>22b3tdhnn650;3xL<133gk8879;f:mgge>290:wE784:lb71<0=91vbnlla;295~N>?=1em>:57438ykeekk0;6?97p`lbba94?7|@0=?7co<4;567>{ikkio6=4>{I;40>hf;=0<995rnb``a?6=9rB2;95aa269303:182M?0<2dj?948599~jfdc:3:1=vF6759me62=?<30qcmmd283>7}O1>>0bl=;:67b?xhdjm>1=7?tH857?kg4<3=>n6saccf6>5<5sA3<86`n35841f=zfjho:7>51zJ:31=ii:>1;8j4}oaa`2<72;qC5::4n`17>23b3tdhni650;3xL<133gk8879:f:mggb>2909wE784:lb71<0>91vbnlka;295~N>?=1em>:57738ykeelk0;6?uG9668jd532><97p`lbea94?7|@0=?7co<4;557>{ikkno6=4={I;40>hf;=0<:95rnb`ga?6=9rB2;95aa269333:181M?0<2dj?948699~jfdb:3:1=vF6759me62=??30qcmme283>7}O1>>0bl=;:64b?xhdjl>1<7?tH857?kg4<3==n6saccg6>5<5sA3<86`n35842f=zfjhn:7>51zJ:31=ii:>1;;j4}oaaa2<72;qC5::4n`17>20b3tdhnh650;3xL<133gk88799f:mggc>2909wE784:lb71<0?91vbnlja;295~N>?=1em>:57638ykeemk0;6?uG9668jd532>=97p`lbda94?7|@0=?7co<4;547>{ikkoo6=4={I;40>hf;=0<;95rnb`fa?6=9rB2;95aa269323:181M?0<2dj?948799~jfda:3:1=vF6759me62=?>30qcmmf283>7}O1>>0bl=;:65b?xhdjo>1<7?tH857?kg4<3=5<5sA3<86`n35843f=zfjhm:7>51zJ:31=ii:>1;:j4}oaab2<72;qC5::4n`17>21b3tdhnk650;3xL<133gk88798f:mgg`>2909wE784:lb71<0091vbnlia;295~N>?=1em>:57938ykeenk0;6?uG9668jd532>297p`lbga94?7|@0=?7co<4;5;7>{ikklo6=4={I;40>hf;=0<495rnb`ea?6=9rB2;95aa2693=3>:181M?0<2dj?948899~jfe7:3:1=vF6759me62=?130qcml0283>7}O1>>0bl=;:6:b?xhdk9>1<7?tH857?kg4<3=3n6sacb26>5<5sA3<86`n358451zJ:31=ii:>1;5j4}oa`42<72;qC5::4n`17>2>b3tdho=650;3xL<133gk88797f:mgf6>2909wE784:lb71<0191vbnm?a;295~N>?=1em>:57838yked8k0;6?uG9668jd532>397p`lc1a94?7|@0=?7co<4;5:7>{ikj:o6=4={I;40>hf;=0<595rnba3a?6=9rB2;95aa2693<3?2weon?>:181M?0<2dj?948999~jfe6:3:1=vF6759me62=?030qcml1283>7}O1>>0bl=;:6;b?xhdk8>1<7?tH857?kg4<3=2n6sacb36>5<6sA3<86`n3584=f=zfji::7>51zJ:31=ii:>1;4j4}oa`52<728qC5::4n`17>2?b3tdho<650;3xL<133gk88796f:mgf7>290:wE784:lb71<0i91vbnm>a;295~N>?=1em>:57`38yked9k0;6k97p`lc0a94?7|@0=?7co<4;5b7>{ikj;o6=4>{I;40>hf;=0:182M?0<2dj?948a99~jfe5:3:1=vF6759me62=?h30qcml2283>4}O1>>0bl=;:6cb?xhdk;>1<7?tH857?kg4<3=jn6sacb06>5<6sA3<86`n3584ef=zfji9:7>51zJ:31=ii:>1;lj4}oa`62<728qC5::4n`17>2gb3tdho?650;3xL<133gk8879nf:mgf4>290:wE784:lb71<0j91vbnm=a;295~N>?=1em>:57c38yked:k0;6h97p`lc3a94?7|@0=?7co<4;5a7>{ikj8o6=4>{I;40>hf;=0k4?:0yK=22:182M?0<2dj?948b99~jfe4:3:1=vF6759me62=?k30qcml3283>4}O1>>0bl=;:6`b?xhdk:>1<7?tH857?kg4<3=in6sacb16>5<6sA3<86`n3584ff=zfji8:7>51zJ:31=ii:>1;oj4}oa`72<728qC5::4n`17>2db3tdho>650;3xL<133gk8879mf:mgf5>290:wE784:lb71<0k91vbnm?=1em>:57b38yked;k0;6i97p`lc2a94?7|@0=?7co<4;5`7>{ikj9o6=4>{I;40>hf;=0:182M?0<2dj?948c99~jfe3:3:1=vF6759me62=?j30qcml4283>4}O1>>0bl=;:6ab?xhdk=>1<7?tH857?kg4<3=hn6sacb66>5<6sA3<86`n3584gf=zfji?:7>51zJ:31=ii:>1;nj4}oa`02<728qC5::4n`17>2eb3tdho9650;3xL<133gk8879lf:mgf2>290:wE784:lb71<0l91vbnm;a;295~N>?=1em>:57e38ykedn97p`lc5a94?7|@0=?7co<4;5g7>{ikj>o6=4>{I;40>hf;=0:182M?0<2dj?948d99~jfe2:3:1=vF6759me62=?m30qcml5283>4}O1>>0bl=;:6fb?xhdk<>1<7?tH857?kg4<3=on6sacb76>5<6sA3<86`n3584`f=zfji>:7>51zJ:31=ii:>1;ij4}oa`12<728qC5::4n`17>2bb3tdho8650;3xL<133gk8879kf:mgf3>290:wE784:lb71<0m91vbnm:a;295~N>?=1em>:57d38yked=k0;6o97p`lc4a94?7|@0=?7co<4;5f7>{ikj?o6=4>{I;40>hf;=0:182M?0<2dj?948e99~jfe1:3:1=vF6759me62=?l30qcml6283>4}O1>>0bl=;:6gb?xhdk?>1<7?tH857?kg4<3=nn6sacb46>5<6sA3<86`n3584af=zfji=:7>51zJ:31=ii:>1;hj4}oa`22<728qC5::4n`17>2cb3tdho;650;3xL<133gk8879jf:mgf0>290:wE784:lb71<0n91vbnm9a;295~N>?=1em>:57g38yked>k0;6l97p`lc7a94?7|@0=?7co<4;5e7>{ikj{I;40>hf;=0:182M?0<2dj?948f99~jfe0:3:1=vF6759me62=?o30qcml7283>4}O1>>0bl=;:6db?xhdk>>1<7?tH857?kg4<3=mn6sacb56>5<6sA3<86`n3584bf=zfji<:7>51zJ:31=ii:>1;kj4}oa`32<728qC5::4n`17>2`b3tdho:650;3xL<133gk8879if:mgf1>290:wE784:lb71?=1em>:58138yked?k0;6{ikj=o6=4>{I;40>hf;=03<95rnba4a?6=9rB2;95aa269<539;|l`g=6=83;pD49;;oc00?>7?2weon6>:182M?0<2dj?947099~jfe?:3:1=vF6759me62=0930qcml8283>4}O1>>0bl=;:92b?xhdk1>1<7?tH857?kg4<32;n6sacb:6>5<6sA3<86`n358;4f=zfji3:7>51zJ:31=ii:>14=j4}oa`<2<728qC5::4n`17>=6b3tdho5650;3xL<133gk8876?f:mgf>>290:wE784:lb71?=1em>:58038yked0k0;6{ikj2o6=4>{I;40>hf;=03=95rnba;a?6=9rB2;95aa269<436?2weon7>:182M?0<2dj?947199~jfe>:3:1=vF6759me62=0830qcml9283>4}O1>>0bl=;:93b?xhdk0>1<7?tH857?kg4<32:n6sacb;6>5<6sA3<86`n358;5f=zfji2:7>51zJ:31=ii:>14=7b3tdho4650;3xL<133gk8876>f:mgf?>290:wE784:lb71?=1em>:58338yked1k0;6{ikj3o6=4>{I;40>hf;=03>95rnba:a?6=9rB2;95aa269<735?2weono>:182M?0<2dj?947299~jfef:3:1=vF6759me62=0;30qcmla283>4}O1>>0bl=;:90b?xhdkh>1<7?tH857?kg4<329n6sacbc6>5<6sA3<86`n358;6f=zfjij:7>51zJ:31=ii:>14?j4}oa`e2<628qC5::4n`17>=4b3-k9i7?>639~jfef03:1=vF6759me62=0;l0qcmla883>4}O1>>0bl=;:913?xhdkhk1<7?tH857?kg4<328=6sacbca>5<6sA3<86`n358;77=zfjijo7>51zJ:31=ii:>14>=4}oa`ea<728qC5::4n`17>=533tdholk50;3xL<133gk8876<5:mgfga290:wE784:lb71?=1em>:58258ykedj80;6{ikjh86=4>{I;40>hf;=03?l5rnbaa0?6=9rB2;95aa269<6d4l2weonl8:182M?0<2dj?9473d9~jfee03:1=vF6759me62=0:l0qcmlb883>4}O1>>0bl=;:963?xhdkkk1<7?tH857?kg4<32?=6sacb`a>5<6sA3<86`n358;07=zfjiio7>51zJ:31=ii:>149=4}oa`fa<728qC5::4n`17>=233tdhook50;3xL<133gk8876;5:mgfda290:wE784:lb71?=1em>:58558ykedk80;637p`lcb094?7|@0=?7co<4;:7=>{ikji86=4>{I;40>hf;=038l5rnba`0?6=9rB2;95aa269<1d3l2weonm8:182M?0<2dj?9474d9~jfed03:1=vF6759me62=0=l0qcmlc883>4}O1>>0bl=;:973?xhdkjk1<7?tH857?kg4<32>=6sacbaa>5<6sA3<86`n358;17=zfjiho7>51zJ:31=ii:>148=4}oa`ga<728qC5::4n`17>=333tdhonk50;3xL<133gk8876:5:mgfea290:wE784:lb71?=1em>:58458ykedl80;6{ikjn86=4>{I;40>hf;=039l5rnbag0?6=9rB2;95aa269<0d2l2weonj8:182M?0<2dj?9475d9~jfec03:1=vF6759me62=04}O1>>0bl=;:943?xhdkmk1<7?tH857?kg4<32==6sacbfa>5<6sA3<86`n358;27=zfjioo7>51zJ:31=ii:>14;=4}oa``a<728qC5::4n`17>=033tdhoik50;3xL<133gk887695:mgfba290:wE784:lb71?1vbnmj0;295~N>?=1em>:58758ykedm80;6{ikjo86=4>{I;40>hf;=03:l5rnbaf0?6=9rB2;95aa269<3d1l2weonk8:182M?0<2dj?9476d9~jfeb03:1=vF6759me62=0?l0qcmle883>4}O1>>0bl=;:953?xhdklk1<7?tH857?kg4<32<=6sacbga>5<6sA3<86`n358;37=zfjino7>51zJ:31=ii:>14:=4}oa`aa<728qC5::4n`17>=133tdhohk50;3xL<133gk887685:mgfca290:wE784:lb71?=1em>:58658ykedn80;6{ikjl86=4>{I;40>hf;=03;l5rnbae0?6=9rB2;95aa269<2d0l2weonh8:182M?0<2dj?9477d9~jfea03:1=vF6759me62=0>l0qcmlf883>4}O1>>0bl=;:9:3?xhdkok1<7?tH857?kg4<323=6sacbda>5<6sA3<86`n358;<7=zfjimo7>51zJ:31=ii:>145=4}oa`ba<728qC5::4n`17>=>33tdhokk50;3xL<133gk887675:mgf`a290:wE784:lb71?=1em>:58958ykec880;6{ikm:86=4>{I;40>hf;=034l5rnbf30?6=9rB2;95aa269<=d?l2weoi>8:182M?0<2dj?9478d9~jfb703:1=vF6759me62=01l0qcmk0883>4}O1>>0bl=;:9;3?xhdl9k1<7?tH857?kg4<322=6sace2a>5<6sA3<86`n358;=7=zfjn;o7>51zJ:31=ii:>144=4}oag4a<728qC5::4n`17>=?33tdhh=k50;3xL<133gk887665:mga6a290:wE784:lb710;295~N>?=1em>:58858ykec980;6{ikm;86=4>{I;40>hf;=035l5rnbf20?6=9rB2;95aa269<>l2weoi?8:182M?0<2dj?9479d9~jfb603:1=vF6759me62=00l0qcmk1883>4}O1>>0bl=;:9c3?xhdl8k1<7?tH857?kg4<32j=6sace3a>5<6sA3<86`n358;e7=zfjn:o7>51zJ:31=ii:>14l=4}oag5a<728qC5::4n`17>=g33tdhh?=1em>:58`58ykec:80;6{ikm886=4>{I;40>hf;=03ml5rnbf10?6=9rB2;95aa26984?:0yK=22fl2weoi<8:182M?0<2dj?947ad9~jfb503:1=vF6759me62=0hl0qcmk2883>4}O1>>0bl=;:9`3?xhdl;k1<7?tH857?kg4<32i=6sace0a>5<6sA3<86`n358;f7=zfjn9o7>51zJ:31=ii:>14o=4}oag6a<728qC5::4n`17>=d33tdhh?k50;3xL<133gk8876m5:mga4a290:wE784:lb71?=1em>:58c58ykec;80;6{ikm986=4>{I;40>hf;=03nl5rnbf00?6=9rB2;95aa269el2weoi=8:182M?0<2dj?947bd9~jfb403:1=vF6759me62=0kl0qcmk3883>4}O1>>0bl=;:9a3?xhdl:k1<7?tH857?kg4<32h=6sace1a>5<6sA3<86`n358;g7=zfjn8o7>51zJ:31=ii:>14n=4}oag7a<728qC5::4n`17>=e33tdhh>k50;3xL<133gk8876l5:mga5a290:wE784:lb71?=1em>:58b58ykec<80;6{ikm>86=4>{I;40>hf;=03ol5rnbf70?6=9rB2;95aa269dl2weoi:8:182M?0<2dj?947cd9~jfb303:1=vF6759me62=0jl0qcmk4883>4}O1>>0bl=;:9f3?xhdl=k1<7?tH857?kg4<32o=6sace6a>5<6sA3<86`n358;`7=zfjn?o7>51zJ:31=ii:>14i=4}oag0a<728qC5::4n`17>=b33tdhh9k50;3xL<133gk8876k5:mga2a290:wE784:lb71?=1em>:58e58ykec=80;6{ikm?86=4>{I;40>hf;=03hl5rnbf60?6=9rB2;95aa269cl2weoi;8:182M?0<2dj?947dd9~jfb203:1=vF6759me62=0ml0qcmk5883>4}O1>>0bl=;:9g3?xhdl5<6sA3<86`n358;a7=zfjn>o7>51zJ:31=ii:>14h=4}oag1a<728qC5::4n`17>=c33tdhh8k50;3xL<133gk8876j5:mga3a290:wE784:lb71?=1em>:58d58ykec>80;6{ikm<86=4>{I;40>hf;=03il5rnbf50?6=9rB2;95aa269<`dbl2weoi88:182M?0<2dj?947ed9~jfb103:1=vF6759me62=0ll0qcmk6883>4}O1>>0bl=;:9d3?xhdl?k1<7?tH857?kg4<32m=6sace4a>5<6sA3<86`n358;b7=zfjn=o7>51zJ:31=ii:>14k=4}oag2a<728qC5::4n`17>=`33tdhh;k50;3xL<133gk8876i5:mga0a290:wE784:lb71?=1em>:58g58ykec?80;6{ikm=86=4>{I;40>hf;=03jl5rnbf40?6=9rB2;95aa269al2weoi98:182M?0<2dj?947fd9~jfb003:1=vF6759me62=0ol0qcmk7883>4}O1>>0bl=;:823?xhdl>k1<7?tH857?kg4<33;=6sace5a>5<6sA3<86`n358:47=zfjn51zJ:31=ii:>15==4}oag3a<728qC5::4n`17><633tdhh:k50;3xL<133gk8877?5:mga1a290:wE784:lb71<>8?1vbnj70;295~N>?=1em>:59158ykec080;6{ikm286=4>{I;40>hf;=02l;|l``=0=83;pD49;;oc00??7l2weoi68:182M?0<2dj?9460d9~jfb?03:1=vF6759me62=19l0qcmk8883>4}O1>>0bl=;:833?xhdl1k1<7?tH857?kg4<33:=6sace:a>5<6sA3<86`n358:57=zfjn3o7>51zJ:31=ii:>15<=4}oag<733tdhh5k50;3xL<133gk8877>5:mga>a290:wE784:lb71<>9?1vbnj60;295~N>?=1em>:59058ykec180;6{ikm386=4>{I;40>hf;=02=l5rnbf:0?6=9rB2;95aa269=4d03:1=vF6759me62=18l0qcmk9883>4}O1>>0bl=;:803?xhdl0k1<7?tH857?kg4<339=6sace;a>5<6sA3<86`n358:67=zfjn2o7>51zJ:31=ii:>15?=4}oag=a<728qC5::4n`17><433tdhh4k50;3xL<133gk8877=5:mga?a290:wE784:lb71<>:?1vbnjn0;295~N>?=1em>:59358ykeci80;6{ikmk86=4>{I;40>hf;=02>l5rnbfb0?6=9rB2;95aa269=7d4}O1>>0bl=;:813?xhdlhk1<7?tH857?kg4<338=6saceca>5<6sA3<86`n358:77=zfjnjo7>51zJ:31=ii:>15>=4}oagea<728qC5::4n`17><533tdhhlk50;3xL<133gk8877<5:mgaga290:wE784:lb71<>;?1vbnjm0;295~N>?=1em>:59258ykecj80;6{ikmh86=4>{I;40>hf;=02?l5rnbfa0?6=9rB2;95aa269=6d4}O1>>0bl=;:863?xhdlkk1<7?tH857?kg4<33?=6sace`a>5<6sA3<86`n358:07=zfjnio7>51zJ:31=ii:>159=4}oagfa<728qC5::4n`17><233tdhhok50;3xL<133gk8877;5:mgada290:wE784:lb71<>?=1em>:59558ykeck80;637p`ldb094?7|@0=?7co<4;;7=>{ikmi86=4>{I;40>hf;=028l5rnbf`0?6=9rB2;95aa269=1d4}O1>>0bl=;:873?xhdljk1<7?tH857?kg4<33>=6saceaa>5<6sA3<86`n358:17=zfjnho7>51zJ:31=ii:>158=4}oagga<728qC5::4n`17><333tdhhnk50;3xL<133gk8877:5:mgaea290:wE784:lb71<>=?1vbnjk0;295~N>?=1em>:59458ykecl80;6{ikmn86=4>{I;40>hf;=029l5rnbfg0?6=9rB2;95aa269=0d4}O1>>0bl=;:843?xhdlmk1<7?tH857?kg4<33==6sacefa>5<6sA3<86`n358:27=zfjnoo7>51zJ:31=ii:>15;=4}oag`a<728qC5::4n`17><033tdhhik50;3xL<133gk887795:mgaba290:wE784:lb71<>>?1vbnjj0;295~N>?=1em>:59758ykecm80;6{ikmo86=4>{I;40>hf;=02:l5rnbff0?6=9rB2;95aa269=3d4}O1>>0bl=;:853?xhdllk1<7?tH857?kg4<33<=6sacega>5<6sA3<86`n358:37=zfjnno7>51zJ:31=ii:>15:=4}oagaa<728qC5::4n`17><133tdhhhk50;3xL<133gk887785:mgaca290:wE784:lb71<>??1vbnji0;295~N>?=1em>:59658ykecn80;6{ikml86<4>{I;40>hf;=02;l5+a3g95<>e3tdhhk:50;3xL<133gk88778b:mga`2290:wE784:lb71<>?j1vbnji6;295~N>?=1em>:596f8ykecn>0;6{ikml26=4>{I;40>hf;=024=5rnbfee?6=9rB2;95aa269==74}O1>>0bl=;:8:5?xhdm9:1<7?tH857?kg4<333;6sacd22>5<6sA3<86`n358:<==zfjo;>7>51zJ:31=ii:>15574}oaf46<728qC5::4n`17><>f3tdhi=:50;3xL<133gk88777b:mg`62290:wE784:lb71<>0j1vbnk?6;295~N>?=1em>:599f8ykeb8>0;6{ikl:26=4>{I;40>hf;=025=5rnbg3e?6=9rB2;95aa269=<7;2weoh>k:182M?0<2dj?946959~jfc7m3:1=vF6759me62=10?0qcmj0g83>4}O1>>0bl=;:8;5?xhdm8:1<7?tH857?kg4<332;6sacd32>5<6sA3<86`n358:===zfjo:>7>51zJ:31=ii:>15474}oaf56<728qC5::4n`17>1j1vbnk>6;295~N>?=1em>:598f8ykeb9>0;6{ikl;26=4>{I;40>hf;=02m=5rnbg2e?6=9rB2;95aa269=d74}O1>>0bl=;:8c5?xhdm;:1<7?tH857?kg4<33j;6sacd02>5<6sA3<86`n358:e==zfjo9>7>51zJ:31=ii:>15l74}oaf66<728qC5::4n`17>ij1vbnk=6;295~N>?=1em>:59`f8ykeb:>0;6{ikl826=4>{I;40>hf;=02n=5rnbg1e?6=9rB2;95aa269=g7o4?:0yK=224}O1>>0bl=;:8`5?xhdm::1<7?tH857?kg4<33i;6sacd12>5<6sA3<86`n358:f==zfjo8>7>51zJ:31=ii:>15o74}oaf76<728qC5::4n`17>:50;3xL<133gk8877mb:mg`52290:wE784:lb71<>jj1vbnk<6;395~N>?=1em>:59cf8ykeb;>0:651zJ:31=ii:>15oh4}oaf7<<728qC5::4n`17>o50;3xL<133gk8877l1:mg`5e290:wE784:lb71<>k;1vbnk?=1em>:59b18ykeb;m0;6{ikl9m6<4>{I;40>hf;=02o;5+a3g95d3>3tdhi9>50;3xL<133gk8877l7:mg`26280:wE784:lb71<>k11/m?k51`7b?xhdm=81<7?tH857?kg4<33h56sacd60>4<6sA3<86`n358:gd=#i;o1=l;m;|l`a12=83;pD49;;oc00??dj2weoh:::082M?0<2dj?946cb9'e7c=9h?h7p`le5494?7|@0=?7co<4;;``>{ikl><6<4>{I;40>hf;=02oh5+a3g95d3c3tdhi9650;3xL<133gk8877lf:mg`2>280:wE784:lb71<>l91/m?k51`7f?xhdm=k1<7?tH857?kg4<33o=6sacd6a>4<6sA3<86`n358:`7=#i;o1=l;i;|l`a1e=83;pD49;;oc00??c;2weoh:k:082M?0<2dj?946d59'e7c=9h<;7p`le5g94?7|@0=?7co<4;;g1>{ikl>m6<4>{I;40>hf;=02h;5+a3g95d063tdhi8>50;3xL<133gk8877k7:mg`36290:wE784:lb71<>l11vbnk:2;295~N>?=1em>:59e;8ykeb=:0;6{ikl?>6=4>{I;40>hf;=02hn5rnbg62?6=9rB2;95aa269=ab=83;pD49;;oc00??cn2weoh;6:182M?0<2dj?946e19~jfc2i3:1=vF6759me62=1l;0qcmj5c83>4}O1>>0bl=;:8g1?xhdm5<6sA3<86`n358:a1=zfjo>i7>51zJ:31=ii:>15h;4}oaf1c<728qC5::4n`17>50;3xL<133gk8877j7:mg`06290:wE784:lb71<>m11vbnk92;295~N>?=1em>:59d;8ykeb>:0;6{ikl<>6=4>{I;40>hf;=02in5rnbg52?6=9rB2;95aa269=`b=93;pD49;;oc00??bn2weoh86:082M?0<2dj?946f19~jfc1i3;1=vF6759me62=1o;0qcmj6c82>4}O1>>0bl=;:8d1?xhdm?i1=7?tH857?kg4<33m?6sacd4g>4<6sA3<86`n358:b1=zfjo=i7?51zJ:31=ii:>15k;4}oaf2c<628qC5::4n`17><`13tdhi:>51;3xL<133gk8877i7:mg`16290:wE784:lb71<>n11vbnk82;295~N>?=1em>:59g;8ykeb?:0;6{ikl=>6=4>{I;40>hf;=02jn5rnbg42?6=9rB2;95aa269=cb=83;pD49;;oc00??an2weoh96:182M?0<2dj?94n019~jfc0i3;1=vF6759me62=i9;0(l{ikl=i6<4>{I;40>hf;=0j;;|l`a2c=83;pD49;;oc00?g7=2weoh9i:182M?0<2dj?94n079~jfc?83:1=vF6759me62=i9=0qcmj8083>4}O1>>0bl=;:`2;?xhdm181<7?tH857?kg4<3k;56sacd:0>5<6sA3<86`n358b4d=zfjo387>51zJ:31=ii:>1m=l4}oaf<0<728qC5::4n`17>d6d3tdhi5850;3xL<133gk887o?d:mg`>0290:wE784:lb71?=1em>:5a1d8ykeb000;6{ikl2i6=4>{I;40>hf;=0j=?5rnbg;g?6=9rB2;95aa269e4583:1=vF6759me62=i8=0qcmj9083>4}O1>>0bl=;:`3;?xhdm081<7?tH857?kg4<3k:56sacd;0>5<6sA3<86`n358b5d=zfjo287>51zJ:31=ii:>1md7d3tdhi4850;3xL<133gk887o>d:mg`?0290:wE784:lb71?=1em>:5a0d8ykeb100;6{ikl3i6=4>{I;40>hf;=0j>?5rnbg:g?6=9rB2;95aa269e754}O1>>0bl=;:`0;?xhdmh81<7?tH857?kg4<3k956sacdc0>5<6sA3<86`n358b6d=zfjoj87>51zJ:31=ii:>1m?l4}oafe0<728qC5::4n`17>d4d3tdhil850;3xL<133gk887o=d:mg`g0290:wE784:lb71?=1em>:5a3d8ykebi00;6{iklki6=4>{I;40>hf;=0j??5rnbgbg?6=9rB2;95aa269e654}O1>>0bl=;:`1;?xhdmk81<7?tH857?kg4<3k856sacd`0>5<6sA3<86`n358b7d=zfjoi87>51zJ:31=ii:>1m>l4}oaff0<728qC5::4n`17>d5d3tdhio850;3xL<133gk887o?=1em>:5a2d8ykebj00;6;7p`lecc94?7|@0=?7co<4;c75>{iklhi6=4>{I;40>hf;=0j8?5rnbgag?6=9rB2;95aa269e154}O1>>0bl=;:`6;?xhdmj81<7?tH857?kg4<3k?56sacda0>5<6sA3<86`n358b0d=zfjoh87>51zJ:31=ii:>1m9l4}oafg0<728qC5::4n`17>d2d3tdhin850;3xL<133gk887o;d:mg`e0290:wE784:lb71?=1em>:5a5d8ykebk00;6{iklii6=4>{I;40>hf;=0j9?5rnbg`g?6=9rB2;95aa269e054}O1>>0bl=;:`7;?xhdmm81<7?tH857?kg4<3k>56sacdf0>5<6sA3<86`n358b1d=zfjoo87>51zJ:31=ii:>1m8l4}oaf`0<728qC5::4n`17>d3d3tdhii851;3xL<133gk887o:d:mg`b0280:wE784:lb71j6sacdf:>5<6sA3<86`n358b25=zfjoom7>51zJ:31=ii:>1m;?4}oaf`g<728qC5::4n`17>d053tdhiim50;3xL<133gk887o93:mg`bc290:wE784:lb71=1vbnkke;295~N>?=1em>:5a778ykeblo0;6{iklo:6=4>{I;40>hf;=0j:55rnbgf6?6=9rB2;95aa269e3?4?:0yK=223:1=vF6759me62=i?n0qcmje683>4}O1>>0bl=;:`4f?xhdml21<7?tH857?kg4<3k=j6sacdg:>5<6sA3<86`n358b35=zfjonm7>51zJ:31=ii:>1m:?4}oafag<728qC5::4n`17>d153tdhihm50;3xL<133gk887o83:mg`cc290:wE784:lb71?=1em>:5a678ykebmo0;6{ikll:6=4>{I;40>hf;=0j;55rnbge6?6=9rB2;95aa269e2?4?:0yK=223:1=vF6759me62=i>n0qcmjf683>4}O1>>0bl=;:`5f?xhdmo21<7?tH857?kg4<3k5<6sA3<86`n358b<5=zfjomm7>51zJ:31=ii:>1m5?4}oafbg<728qC5::4n`17>d>53tdhikm50;3xL<133gk887o73:mg``c290:wE784:lb71?=1em>:5a978ykebno0;6{iko::6=4>{I;40>hf;=0j455rnbd36?6=9rB2;95aa269e=?4?:0yK=22::182M?0<2dj?94n8b9~jf`7>3:1=vF6759me62=i1n0qcmi0683>4}O1>>0bl=;:`:f?xhdn921<7?tH857?kg4<3k3j6sacg2:>5<6sA3<86`n358b=5=zfjl;m7>51zJ:31=ii:>1m4?4}oae4g<728qC5::4n`17>d?53tdhj=m50;3xL<133gk887o63:mgc6c290:wE784:lb71?=1em>:5a878ykea8o0;6{iko;:6=4>{I;40>hf;=0j555rnbd26?6=9rB2;95aa269e4?:0yK=22j2weok?::182M?0<2dj?94n9b9~jf`6>3:1=vF6759me62=i0n0qcmi1683>4}O1>>0bl=;:`;f?xhdn821<7?tH857?kg4<3k2j6sacg3:>5<6sA3<86`n358be5=zfjl:m7?51zJ:31=ii:>1ml?4}oae5g<628qC5::4n`17>dg53-k9i7?mb69~jf`6k3:1=vF6759me62=ih90qcmi1e83>4}O1>>0bl=;:`c7?xhdn8o1<7?tH857?kg4<3kj96sacg3e>5<6sA3<86`n358be3=zfjl9<7>51zJ:31=ii:>1ml94}oae64<728qC5::4n`17>dg?3tdhj?<50;3xL<133gk887on9:mgc44280:wE784:lb711<7?tH857?kg4<3kjn6sacg06>4<6sA3<86`n358bef=#i;o1=ohk;|l`b70=83;pD49;;oc00?gfl2weok<8:082M?0<2dj?94nad9'e7c=9kln7p`lf3:94?7|@0=?7co<4;cbb>{iko826<4>{I;40>hf;=0jn=5+a3g95g`a3tdhj?o50;3xL<133gk887om1:mgc4e280:wE784:lb714<6sA3<86`n358bf1=#i;o1=n>>;|l`b7c=83;pD49;;oc00?ge=2weok{iko9:6<4>{I;40>hf;=0jn55+a3g95f643tdhj><50;3xL<133gk887om9:mgc54280:wE784:lb711<7?tH857?kg4<3kin6sacg16>5<6sA3<86`n358bff=zfjl8:7>51zJ:31=ii:>1moj4}oae72<728qC5::4n`17>ddb3tdhj>650;3xL<133gk887omf:mgc5>290:wE784:lb71?=1em>:5ab38ykea;k0;6{iko9o6=4>{I;40>hf;=0jo95rnbd0a?6=9rB2;95aa269ef3:182M?0<2dj?94nc99~jf`3:3:1=vF6759me62=ij30qcmi4283>4}O1>>0bl=;:`ab?xhdn=>1<7?tH857?kg4<3khn6sacg66>5<6sA3<86`n358bgf=zfjl?:7>51zJ:31=ii:>1mnj4}oae02<728qC5::4n`17>deb3tdhj9650;3xL<133gk887olf:mgc2>290:wE784:lb71?=1em>:5ae38ykea{iko>o6<4>{I;40>hf;=0jh95rnbd7a?7=9rB2;95aa269ea3:0yK=22:082M?0<2dj?94nd99~jf`2:3;1=vF6759me62=im30qcmi5282>4}O1>>0bl=;:`fb?xhdn<>1=7?tH857?kg4<3kon6sacg76>5<6sA3<86`n358b`f=zfjl>:7>51zJ:31=ii:>1mij4}oae12<728qC5::4n`17>dbb3tdhj8650;3xL<133gk887okf:mgc3>290:wE784:lb71?=1em>:5ad38ykea=k0;6{iko?o6=4>{I;40>hf;=0ji95rnbd6a?7=9rB2;95aa269e`3<,h8n6?=1em>:5ad58ykea>80;6{iko<86=4>{I;40>hf;=0jil5rnbd50?6=9rB2;95aa269e`d4}O1>>0bl=;:`d3?xhdn?k1<7?tH857?kg4<3km=6sacg4a>5<6sA3<86`n358bb7=zfjl=o7>51zJ:31=ii:>1mk=4}oae2a<728qC5::4n`17>d`33tdhj;k50;3xL<133gk887oi5:mgc0a290:wE784:lb71?=1em>:5ag58 d4b28ln86sacg52>5<6sA3<86`n358bb==zfjl<>7>51zJ:31=ii:>1mk74}oae36<728qC5::4n`17>d`f3tdhj::50;3xL<133gk887oib:mgc12290:wE784:lb71?=1em>:5agf8ykea?>0;6{iko=26<4>{I;40>hf;=0i<=5+a3g95cc23tdhj:o50;3xL<133gk887l?1:mgc1e290:wE784:lb71?=1em>:5b118ykea?m0;6{iko=m6=4>{I;40>hf;=0i<;5rnbd;4?6=9rB2;95aa269f517;|l`b=4=83;pD49;;oc00?d712weok6<:182M?0<2dj?94m0`9~jf`?<3:1=vF6759me62=j9h0qcmi8483>4}O1>>0bl=;:c2`?xhdn1<1<7?tH857?kg4<3h;h6sacg:4>5<6sA3<86`n358a4`=zfjl347>51zJ:31=ii:>1n=h4}oae<<<728qC5::4n`17>g773tdhj5o50;3xL<133gk887l>1:mgc>e290:wE784:lb71?=1em>:5b018ykea0m0;6{iko2m6=4>{I;40>hf;=0i=;5rnbd:4?6=9rB2;95aa269f41<3:1=vF6759me62=j8h0qcmi9483>4}O1>>0bl=;:c3`?xhdn0<1<7?tH857?kg4<3h:h6sacg;4>5<6sA3<86`n358a5`=zfjl247>51zJ:31=ii:>1ng473tdhj4o50;3xL<133gk887l=1:mgc?e290:wE784:lb71?=1em>:5b318ykea1m0;6{iko3m6=4>{I;40>hf;=0i>;5rnbdb4?6=9rB2;95aa269f714}O1>>0bl=;:c0`?xhdnh<1<7?tH857?kg4<3h9h6sacgc4>5<6sA3<86`n358a6`=zfjlj47>51zJ:31=ii:>1n?h4}oaee<<728qC5::4n`17>g573tdhjlo50;3xL<133gk887l<1:mgcge290:wE784:lb71?=1em>:5b218ykeaim0;6{ikokm6=4>{I;40>hf;=0i?;5rnbda4?6=9rB2;95aa269f614}O1>>0bl=;:c1`?xhdnk<1<7?tH857?kg4<3h8h6sacg`4>5<6sA3<86`n358a7`=zfjli47>51zJ:31=ii:>1n>h4}oaef<<728qC5::4n`17>g273tdhjoo50;3xL<133gk887l;1:mgcde290:wE784:lb71?=1em>:5b518ykeajm0;6?7p`lfcg94?7|@0=?7co<4;`71>{ikohm6=4>{I;40>hf;=0i8;5rnbd`4?6=9rB2;95aa269f114}O1>>0bl=;:c6`?xhdnj<1<7?tH857?kg4<3h?h6sacga4>5<6sA3<86`n358a0`=zfjlh47>51zJ:31=ii:>1n9h4}oaeg<<728qC5::4n`17>g373tdhjno50;3xL<133gk887l:1:mgcee290:wE784:lb71?=1em>:5b418ykeakm0;6{ikoim6=4>{I;40>hf;=0i9;5rnbdg4?6=9rB2;95aa269f014}O1>>0bl=;:c7`?xhdnm<1<7?tH857?kg4<3h>h6sacgf4>5<6sA3<86`n358a1`=zfjlo47>51zJ:31=ii:>1n8h4}oae`<<728qC5::4n`17>g073tdhjio50;3xL<133gk887l91:mgcbe290:wE784:lb71;1vbnhkc;295~N>?=1em>:5b718ykealm0;6{ikonm6=4>{I;40>hf;=0i:;5rnbdf4?6=9rB2;95aa269f31:0yK=22?=1em>:5b7;8ykeam:0;6{ikoo>6=4>{I;40>hf;=0i:n5rnbdf2?7=9rB2;95aa269f3b<,h8n6?>;c:mgcc0290:wE784:lb71l1vbnhj8;395~N>?=1em>:5b7d8 d4b2;:?h6sacgg:>5<6sA3<86`n358a35=zfjlnm7?51zJ:31=ii:>1n:?4$`0f>763m2weokkm:182M?0<2dj?94m739~jf`bk3;1=vF6759me62=j>90(l{ikooo6=4>{I;40>hf;=0i;95rnbdfa?7=9rB2;95aa269f23<,h8n6?>:0:mgcca290:wE784:lb71?=1em>:5b658 d4b2;:>>6sacgd2>5<6sA3<86`n358a3==zfjlm>7?51zJ:31=ii:>1n:74$`0f>762;2weokh<:182M?0<2dj?94m7`9~jf`a<3;1=vF6759me62=j>h0(l{ikol>6=4>{I;40>hf;=0i;n5rnbde2?7=9rB2;95aa269f2b<,h8n6?>:5:mgc`0290:wE784:lb71?=1em>:5b6d8 d4b2;:>:6sacgd:>5<6sA3<86`n358a<5=zfjlmm7?51zJ:31=ii:>1n5?4$`0f>762?2weokhm:182M?0<2dj?94m839~jf`ak3;1=vF6759me62=j190(l{ikolo6=4>{I;40>hf;=0i495rnbdea?7=9rB2;95aa269f=3<,h8n6?>:9:mgc`a290:wE784:lb71?0;395~N>?=1em>:5b958 d4b2;:>m6sad122>5<6sA3<86`n358a<==zfm:;>7?51zJ:31=ii:>1n574$`0f>76292weh=><:182M?0<2dj?94m8`9~ja67<3;1=vF6759me62=j1h0(l{il9:>6=4>{I;40>hf;=0i4n5rne232?6=9rB2;95aa269f=b=83;pD49;;oc00?d?n2weh=>6:182M?0<2dj?94m919~ja67i3:1=vF6759me62=j0;0qcj?0c83>4}O1>>0bl=;:c;1?xhc89i1<7?tH857?kg4<3h2?6sad12g>5<6sA3<86`n358a=1=zfm:;i7>51zJ:31=ii:>1n4;4}of34c<728qC5::4n`17>g?13tdo<<>50;3xL<133gk887l67:m`576290:wE784:lb71>2;295~N>?=1em>:5b8;8ykb79:0;6{il9;>6=4>{I;40>hf;=0i5n5rne222?6=9rB2;95aa269f=83;pD49;;oc00?d>n2weh=?6:182M?0<2dj?94ma19~ja66i3:1=vF6759me62=jh;0qcj?1c83>4}O1>>0bl=;:cc1?xhc88i1<7?tH857?kg4<3hj?6sad13g>5<6sA3<86`n358ae1=zfm::i7>51zJ:31=ii:>1nl;4}of35c<728qC5::4n`17>gg13tdo50;3xL<133gk887ln7:m`546290:wE784:lb71=2;295~N>?=1em>:5b`;8ykb7::0;6{il98>6=4>{I;40>hf;=0imn5rne212?6=9rB2;95aa269fdb:4?:0yK=22=83;pD49;;oc00?dfn2weh=<6:182M?0<2dj?94mb19~ja65i3:1=vF6759me62=jk;0qcj?2c83>4}O1>>0bl=;:c`1?xhc8;i1<7?tH857?kg4<3hi?6sad10g>5<6sA3<86`n358af1=zfm:9i7>51zJ:31=ii:>1no;4}of36c<728qC5::4n`17>gd13tdo<>>50;3xL<133gk887lm7:m`556290:wE784:lb71<2;295~N>?=1em>:5bc;8ykb7;:0;6{il99>6=4>{I;40>hf;=0inn5rne202?6=9rB2;95aa269fgb=83;pD49;;oc00?den2weh==6:182M?0<2dj?94mc19~ja64i3:1=vF6759me62=jj;0qcj?3c83>4}O1>>0bl=;:ca1?xhc8:i1<7?tH857?kg4<3hh?6sad11g>5<6sA3<86`n358ag1=zfm:8i7>51zJ:31=ii:>1nn;4}of37c<728qC5::4n`17>ge13tdo<9>50;3xL<133gk887ll7:m`526290:wE784:lb71;2;295~N>?=1em>:5bb;8ykb7<:0;6{il9>>6=4>{I;40>hf;=0ion5rne272?6=9rB2;95aa269ffb=83;pD49;;oc00?ddn2weh=:6:182M?0<2dj?94md19~ja63i3:1=vF6759me62=jm;0qcj?4c83>4}O1>>0bl=;:cf1?xhc8=i1<7?tH857?kg4<3ho?6sad16g>5<6sA3<86`n358a`1=zfm:?i7>51zJ:31=ii:>1ni;4}of30c<728qC5::4n`17>gb13tdo<8>50;3xL<133gk887lk7:m`536290:wE784:lb71:2;295~N>?=1em>:5be;8ykb7=:0;6{il9?>6=4>{I;40>hf;=0ihn5rne262?6=9rB2;95aa269fab=83;pD49;;oc00?dcn2weh=;6:182M?0<2dj?94me19~ja62i3:1=vF6759me62=jl;0qcj?5c83>4}O1>>0bl=;:cg1?xhc85<6sA3<86`n358aa1=zfm:>i7>51zJ:31=ii:>1nh;4}of31c<728qC5::4n`17>gc13tdo<;>50;3xL<133gk887lj7:m`506290:wE784:lb7192;295~N>?=1em>:5bd;8ykb7>:0;6"f:l09=n64}of320<728qC5::4n`17>gcd3tdo<;851;3xL<133gk887ljd:&b6`<59j=0qcj?6683>4}O1>>0bl=;:cgf?xhc8?21=7?tH857?kg4<3hnj6*n2d815fgh4=1b`8ykb7>k0;6"f:l09=nm4}of32a<728qC5::4n`17>g`33tdo<;k51;3xL<133gk887li5:&b6`<59jn0qcj?6g83>4}O1>>0bl=;:cd5?xhc8>:1=7?tH857?kg4<3hm;6*n2d815fch4=1bd8ykb7?:0;6"f:l09=i>4}of330<728qC5::4n`17>g`d3tdo<:851;3xL<133gk887lid:&b6`<59m;0qcj?7683>4}O1>>0bl=;:cdf?xhc8>21=7?tH857?kg4<3hmj6*n2d815f??;|lg42g=83;pD49;;oc00?e792weh=9m:082M?0<2dj?94l039'e7c=:8n=7p`k06a94?7|@0=?7co<4;a37>{il9=o6<4>{I;40>hf;=0h<95+a3g964b23tdo<:k50;3xL<133gk887m?5:m`51a280:wE784:lb714<6sA3<86`n358`4==#i;o1>{il92>6<4>{I;40>hf;=0h0280:wE784:lb714<6sA3<86`n358`55=#i;o1>{il92o6<4>{I;40>hf;=0h=95+a3g964ba3tdo<5k50;3xL<133gk887m>5:m`5>a280:wE784:lb715<6sA3<86`n358`5==zfm:2>7?51zJ:31=ii:>1o<74$`0f>77b<2weh=7<:182M?0<2dj?94l1`9~ja6><3;1=vF6759me62=k8h0(l{il93>6=4>{I;40>hf;=0h=n5rne2:2?7=9rB2;95aa269g4b<,h8n6??j6:m`5?0290:wE784:lb7168;395~N>?=1em>:5c0d8 d4b2;;n;6sad1;:>5<6sA3<86`n358`65=zfm:2m7?51zJ:31=ii:>1o??4$`0f>77b02weh=7m:182M?0<2dj?94l239~ja6>k3;1=vF6759me62=k;90(l{il93o6=4>{I;40>hf;=0h>95rne2:a?7=9rB2;95aa269g73<,h8n6??ja:m`5?a290:wE784:lb71n0;395~N>?=1em>:5c358 d4b2;;nn6sad1c2>5<6sA3<86`n358`6==zfm:j>7?51zJ:31=ii:>1o?74$`0f>77bk2weh=o<:182M?0<2dj?94l2`9~ja6f<3;1=vF6759me62=k;h0(l{il9k>6=4>{I;40>hf;=0h>n5rne2b2?7=9rB2;95aa269g7b<,h8n6??j5:m`5g0290:wE784:lb71n8;295~N>?=1em>:5c3d8ykb7i00;6{il9ki6=4>{I;40>hf;=0h??5rne2bg?6=9rB2;95aa269g654}O1>>0bl=;:b1;?xhc8k81<7?tH857?kg4<3i856sad1`0>5<6sA3<86`n358`7d=zfm:i87>51zJ:31=ii:>1o>l4}of3f0<728qC5::4n`17>f5d3tdom8;295~N>?=1em>:5c2d8ykb7j00;6;7p`k0cc94?7|@0=?7co<4;a75>{il9hi6=4>{I;40>hf;=0h8?5rne2ag?6=9rB2;95aa269g154}O1>>0bl=;:b6;?xhc8j81<7?tH857?kg4<3i?56sad1a0>5<6sA3<86`n358`0d=zfm:h87>51zJ:31=ii:>1o9l4}of3g0<728qC5::4n`17>f2d3tdol8;295~N>?=1em>:5c5d8ykb7k00;6{il9ii6=4>{I;40>hf;=0h9?5rne2`g?6=9rB2;95aa269g054}O1>>0bl=;:b7;?xhc8m81<7?tH857?kg4<3i>56sad1f0>5<6sA3<86`n358`1d=zfm:o87>51zJ:31=ii:>1o8l4}of3`0<728qC5::4n`17>f3d3tdok8;295~N>?=1em>:5c4d8ykb7l00;6{il9ni6<4>{I;40>hf;=0h:?5+a3g964013tdo=1vbi>ke;295~N>?=1em>:5c778ykb7lo0;6{il9o:6=4>{I;40>hf;=0h:55rne2f6?6=9rB2;95aa269g3?4?:0yK=223:1=vF6759me62=k?n0qcj?e683>4}O1>>0bl=;:b4f?xhc8l21<7?tH857?kg4<3i=j6sad1g:>5<6sA3<86`n358`35=zfm:nm7>51zJ:31=ii:>1o:?4}of3ag<728qC5::4n`17>f153tdoje;295~N>?=1em>:5c678ykb7mo0;6{il9l:6=4>{I;40>hf;=0h;55rne2e6?6=9rB2;95aa269g2?4?:0yK=223:1=vF6759me62=k>n0qcj?f683>4}O1>>0bl=;:b5f?xhc8o21<7?tH857?kg4<3i5<6sA3<86`n358`<5=zfm:mm7?51zJ:31=ii:>1o5?4$`0f>772:2weh=hm:182M?0<2dj?94l839~ja6ak3:1=vF6759me62=k190qcj?fe83>4}O1>>0bl=;:b:7?xhc8oo1<7?tH857?kg4<3i396sad1de>5<6sA3<86`n358`<3=zfm;;<7>51zJ:31=ii:>1o594}of244<728qC5::4n`17>f>?3tdo==<50;3xL<133gk887m79:m`464290:wE784:lb71?=1em>:5c9`8ykb68<0;6{il8:<6=4>{I;40>hf;=0h4h5rne3392weh<>m:182M?0<2dj?94l939~ja77k3:1=vF6759me62=k090qcj>0e83>4}O1>>0bl=;:b;7?xhc99o1<7?tH857?kg4<3i296sad02e>5<6sA3<86`n358`=3=zfm;:<7>51zJ:31=ii:>1o494}of254<628qC5::4n`17>f??3-k9i7<>869~ja76:3:1=vF6759me62=k030qcj>1283>4}O1>>0bl=;:b;b?xhc98>1<7?tH857?kg4<3i2n6sad036>5<6sA3<86`n358`=f=zfm;::7>51zJ:31=ii:>1o4j4}of252<728qC5::4n`17>f?b3tdo=<650;3xL<133gk887m6f:m`47>290:wE784:lb71a;295~N>?=1em>:5c`38ykb69k0;6{il8;o6=4>{I;40>hf;=0hm95rne32a?6=9rB2;95aa269gd3:182M?0<2dj?94la99~ja75:3:1=vF6759me62=kh30qcj>2283>4}O1>>0bl=;:bcb?xhc9;>1<7?tH857?kg4<3ijn6sad006>5<6sA3<86`n358`ef=zfm;9:7>51zJ:31=ii:>1olj4}of262<728qC5::4n`17>fgb3tdo=?651;3xL<133gk887mnf:&b6`<590=0qcj>2883>4}O1>>0bl=;:b`3?xhc9;k1<7?tH857?kg4<3ii=6sad00a>5<6sA3<86`n358`f7=zfm;9o7>51zJ:31=ii:>1oo=4}of26a<728qC5::4n`17>fd33tdo=?k50;3xL<133gk887mm5:m`44a290:wE784:lb71?=1em>:5cc58ykb6;80;6{il8986=4>{I;40>hf;=0hnl5rne300?6=9rB2;95aa269ggd3883>4}O1>>0bl=;:ba3?xhc9:k1<7?tH857?kg4<3ih=6sad01a>5<6sA3<86`n358`g7=zfm;8o7>51zJ:31=ii:>1on=4}of27a<728qC5::4n`17>fe33tdo=>k50;3xL<133gk887ml5:m`45a290:wE784:lb71?=1em>:5cb58ykb6<80;6{il8>86=4>{I;40>hf;=0hol5rne370?7=9rB2;95aa269gfd4882>4}O1>>0bl=;:bf3?xhc9=k1<7?tH857?kg4<3io=6sad06a>4<6sA3<86`n358``7=zfm;?o7>51zJ:31=ii:>1oi=4}of20a<728qC5::4n`17>fb33tdo=9k50;3xL<133gk887mk5:m`42a280:wE784:lb71?=1em>:5ce58ykb6=80;6{il8?86=4>{I;40>hf;=0hhl5rne360?6=9rB2;95aa269gad5883>4}O1>>0bl=;:bg3?xhc95<6sA3<86`n358`a7=zfm;>o7>51zJ:31=ii:>1oh=4}of21a<728qC5::4n`17>fc33tdo=8k50;3xL<133gk887mj5:m`43a290:wE784:lb71?=1em>:5cd58 d4b2;:jh6sad042>5<6sA3<86`n358`a==zfm;=>7?51zJ:31=ii:>1oh74$`0f>76d82weh<8<:182M?0<2dj?94le`9~ja71<3:1=vF6759me62=klh0qcj>6483>4}O1>>0bl=;:bg`?xhc9?<1<7?tH857?kg4<3inh6sad044>5<6sA3<86`n358`a`=zfm;=47>51zJ:31=ii:>1ohh4}of22<<728qC5::4n`17>f`73tdo=;o50;3xL<133gk887mi1:m`40e290:wE784:lb71?=1em>:5cg18ykb6>m0;6{il8{I;40>hf;=0hj;5rne344?6=9rB2;95aa269gc17483>4}O1>>0bl=;:bd`?xhc9><1<7?tH857?kg4<3imh6sad054>5<6sA3<86`n358`b`=zfm;<47>51zJ:31=ii:>1okh4}of23<<728qC5::4n`17>a673tdo=:o50;3xL<133gk887j?1:m`41e290:wE784:lb71?=1em>:5d118ykb6?m0;6{il8=m6=4>{I;40>hf;=0o<;5rne3;4?6=9rB2;95aa269`517;|lg5=4=83;pD49;;oc00?b712weh<6<:182M?0<2dj?94k0`9~ja7?<3:1=vF6759me62=l9h0qcj>8483>4}O1>>0bl=;:e2`?xhc91<1<7?tH857?kg4<3n;h6sad0:4>5<6sA3<86`n358g4`=zfm;347>51zJ:31=ii:>1h=h4}of2<<<728qC5::4n`17>a773tdo=5o50;3xL<133gk887j>1:m`4>e290:wE784:lb71?=1em>:5d018ykb60m0;6{il82m6=4>{I;40>hf;=0o=;5rne3:4?6=9rB2;95aa269`41<3:1=vF6759me62=l8h0qcj>9483>4}O1>>0bl=;:e3`?xhc90<1<7?tH857?kg4<3n:h6sad0;4>5<6sA3<86`n358g5`=zfm;247>51zJ:31=ii:>1ha473tdo=4o50;3xL<133gk887j=1:m`4?e290:wE784:lb71?=1em>:5d318ykb61m0;6{il83m6=4>{I;40>hf;=0o>;5rne3b4?6=9rB2;95aa269`71a483>4}O1>>0bl=;:e0`?xhc9h<1<7?tH857?kg4<3n9h6sad0c4>5<6sA3<86`n358g6`=zfm;j47>51zJ:31=ii:>1h?h4}of2e<<728qC5::4n`17>a573tdo=lo50;3xL<133gk887j<1:m`4ge290:wE784:lb71?=1em>:5d218ykb6im0;6{il8km6=4>{I;40>hf;=0o?;5rne3a4?6=9rB2;95aa269`61b483>4}O1>>0bl=;:e1`?xhc9k<1<7?tH857?kg4<3n8h6sad0`4>5<6sA3<86`n358g7`=zfm;i47>51zJ:31=ii:>1h>h4}of2f<<728qC5::4n`17>a273tdo=oo50;3xL<133gk887j;1:m`4de290:wE784:lb71?=1em>:5d518ykb6jm0;6?7p`k1cg94?7|@0=?7co<4;f71>{il8hm6=4>{I;40>hf;=0o8;5rne3`4?6=9rB2;95aa269`11c483>4}O1>>0bl=;:e6`?xhc9j<1<7?tH857?kg4<3n?h6sad0a4>5<6sA3<86`n358g0`=zfm;h47>51zJ:31=ii:>1h9h4}of2g<<728qC5::4n`17>a373tdo=no50;3xL<133gk887j:1:m`4ee290:wE784:lb71?=1em>:5d418ykb6km0;6{il8im6=4>{I;40>hf;=0o9;5rne3g4?6=9rB2;95aa269`01d483>4}O1>>0bl=;:e7`?xhc9m<1<7?tH857?kg4<3n>h6sad0f4>5<6sA3<86`n358g1`=zfm;o47>51zJ:31=ii:>1h8h4}of2`<<728qC5::4n`17>a073tdo=io50;3xL<133gk887j91:m`4be290:wE784:lb71;1vbi?kc;395~N>?=1em>:5d718ykb6lm0:6{il8nm6=4>{I;40>hf;=0o:;5rne3f4?6=9rB2;95aa269`31e483>4}O1>>0bl=;:e4`?xhc9l<1<7?tH857?kg4<3n=h6sad0g4>5<6sA3<86`n358g2`=zfm;n47>51zJ:31=ii:>1h;h4}of2a<<728qC5::4n`17>a173tdo=ho50;3xL<133gk887j81:m`4ce290:wE784:lb71?=1em>:5d618 d4b2;:;j6sad0gg>5<6sA3<86`n358g31=zfm;ni7>51zJ:31=ii:>1h:;4}of2ac<728qC5::4n`17>a113tdo=k>51;3xL<133gk887j87:&b6`<588=0qcj>f083>4}O1>>0bl=;:e5;?xhc9o81<7?tH857?kg4<3n<56sad0d0>5<6sA3<86`n358g3d=zfm;m87?51zJ:31=ii:>1h:l4$`0f>766n2weh3:1=vF6759me62=l>n0qcj>f683>4}O1>>0bl=;:e5f?xhc9o21<7?tH857?kg4<3n4<6sA3<86`n358g<5=zfm;mm7>51zJ:31=ii:>1h5?4}of2bg<728qC5::4n`17>a>53tdo=km50;3xL<133gk887j73:m`4`c290:wE784:lb71?=1em>:5d978ykb6no0;6{il;::6=4>{I;40>hf;=0o455rne036?6=9rB2;95aa269`=?4?:0yK=22::182M?0<2dj?94k8b9~ja47>3:1=vF6759me62=l1n0qcj=0683>4}O1>>0bl=;:e:f?xhc:921<7?tH857?kg4<3n3j6sad32:>5<6sA3<86`n358g=5=zfm8;m7>51zJ:31=ii:>1h4?4}of14g<728qC5::4n`17>a?53tdo>=m50;3xL<133gk887j63:m`76c290:wE784:lb71?=1em>:5d878ykb58o0:6{il;;:6<4>{I;40>hf;=0o555rne026?7=9rB2;95aa269`4>:0yK=22j2weh??::082M?0<2dj?94k9b9~ja46>3;1=vF6759me62=l0n0qcj=1682>4}O1>>0bl=;:e;f?xhc:821=7?tH857?kg4<3n2j6sad33:>4<6sA3<86`n358ge5=zfm8:m7?51zJ:31=ii:>1hl?4}of15g<628qC5::4n`17>ag53tdo>e;295~N>?=1em>:5d`78ykb59o0;6{il;8:6=4>{I;40>hf;=0om55rne016?6=9rB2;95aa269`d?>4?:0yK=223:1=vF6759me62=lhn0qcj=2683>4}O1>>0bl=;:ecf?xhc:;21<7?tH857?kg4<3njj6sad30:>5<6sA3<86`n358gf5=zfm89m7>51zJ:31=ii:>1ho?4}of16g<728qC5::4n`17>ad53tdo>?m50;3xL<133gk887jm3:m`74c290:wE784:lb71?=1em>:5dc78ykb5:o0;6"f:l09<5?4}of174<728qC5::4n`17>ad?3tdo>><50;3xL<133gk887jm9:m`754290:wE784:lb71?=1em>:5dc`8ykb5;<0;6{il;9<6=4>{I;40>hf;=0onh5rne004}O1>>0bl=;:ea7?xhc::o1<7?tH857?kg4<3nh96sad31e>5<6sA3<86`n358gg3=zfm8?<7>51zJ:31=ii:>1hn94}of104<728qC5::4n`17>ae?3tdo>9<50;3xL<133gk887jl9:m`724290:wE784:lb71?=1em>:5db`8ykb5<<0;6{il;><6=4>{I;40>hf;=0ooh5rne074}O1>>0bl=;:ef7?xhc:=o1<7?tH857?kg4<3no96sad36e>5<6sA3<86`n358g`3=zfm8><7>51zJ:31=ii:>1hi94}of114<728qC5::4n`17>ab?3tdo>8<50;3xL<133gk887jk9:m`734280:wE784:lb71?=1em>:5de`8ykb5=<0:6:7?51zJ:31=ii:>1hij4}of112<728qC5::4n`17>abb3tdo>8650;3xL<133gk887jkf:m`73>290:wE784:lb71?=1em>:5dd38ykb5=k0;6{il;?o6<4>{I;40>hf;=0oi95rne06a?6=9rB2;95aa269``3:0yK=22?=1em>:5dd58ykb5>80;6{il;<86=4>{I;40>hf;=0oil5rne050?6=9rB2;95aa269``d4}O1>>0bl=;:ed3?xhc:?k1<7?tH857?kg4<3nm=6sad34a>5<6sA3<86`n358gb7=zfm8=o7>51zJ:31=ii:>1hk=4}of12a<728qC5::4n`17>a`33tdo>;k50;3xL<133gk887ji5:m`70a290:wE784:lb71?=1em>:5dg58ykb5?80;6{il;=86=4>{I;40>hf;=0ojl5rne040?6=9rB2;95aa269`cd4}O1>>0bl=;:d23?xhc:>k1<7?tH857?kg4<3o;=6sad35a>5<6sA3<86`n358f47=zfm851zJ:31=ii:>1i==4}of13a<728qC5::4n`17>`633tdo>:k50;3xL<133gk887k?5:m`71a290:wE784:lb71?=1em>:5e158ykb5080;6{il;286=4>{I;40>hf;=0nl;|lg6=0=83;pD49;;oc00?c7l2weh?68:182M?0<2dj?94j0d9~ja4?03:1=vF6759me62=m9l0qcj=8883>4}O1>>0bl=;:d33?xhc:1k1<7?tH857?kg4<3o:=6sad3:a>5<6sA3<86`n358f57=zfm83o7>51zJ:31=ii:>1i<=4}of1`733tdo>5k50;3xL<133gk887k>5:m`7>a290:wE784:lb71?=1em>:5e058ykb5180;6{il;386=4>{I;40>hf;=0n=l5rne0:0?6=9rB2;95aa269a4d03:1=vF6759me62=m8l0qcj=9883>4}O1>>0bl=;:d03?xhc:0k1<7?tH857?kg4<3o9=6sad3;a>5<6sA3<86`n358f67=zfm82o7>51zJ:31=ii:>1i?=4}of1=a<728qC5::4n`17>`433tdo>4k50;3xL<133gk887k=5:m`7?a290:wE784:lb71?=1em>:5e358ykb5i80;6{il;k86=4>{I;40>hf;=0n>l5rne0b0?6=9rB2;95aa269a7d4}O1>>0bl=;:d13?xhc:hk1<7?tH857?kg4<3o8=6sad3ca>5<6sA3<86`n358f77=zfm8jo7>51zJ:31=ii:>1i>=4}of1ea<728qC5::4n`17>`533tdo>lk50;3xL<133gk887k<5:m`7ga290:wE784:lb71?=1em>:5e258ykb5j80;6{il;h86=4>{I;40>hf;=0n?l5rne0a0?6=9rB2;95aa269a6d4}O1>>0bl=;:d63?xhc:kk1<7?tH857?kg4<3o?=6sad3`a>5<6sA3<86`n358f07=zfm8io7>51zJ:31=ii:>1i9=4}of1fa<728qC5::4n`17>`233tdo>ok50;3xL<133gk887k;5:m`7da290:wE784:lb71?=1em>:5e558ykb5k80;637p`k2b094?7|@0=?7co<4;g7=>{il;i86=4>{I;40>hf;=0n8l5rne0`0?6=9rB2;95aa269a1d4}O1>>0bl=;:d73?xhc:jk1<7?tH857?kg4<3o>=6sad3aa>5<6sA3<86`n358f17=zfm8ho7>51zJ:31=ii:>1i8=4}of1ga<728qC5::4n`17>`333tdo>nk50;3xL<133gk887k:5:m`7ea290:wE784:lb71?=1em>:5e458ykb5l80;6{il;n86=4>{I;40>hf;=0n9l5rne0g0?6=9rB2;95aa269a0d4}O1>>0bl=;:d43?xhc:mk1<7?tH857?kg4<3o==6sad3fa>5<6sA3<86`n358f27=zfm8oo7>51zJ:31=ii:>1i;=4}of1`a<728qC5::4n`17>`033tdo>ik50;3xL<133gk887k95:m`7ba290:wE784:lb71?1vbi?=1em>:5e758ykb5m80;6{il;o86=4>{I;40>hf;=0n:l5rne0f0?6=9rB2;95aa269a3d4}O1>>0bl=;:d53?xhc:lk1<7?tH857?kg4<3o<=6sad3ga>5<6sA3<86`n358f37=zfm8no7>51zJ:31=ii:>1i:=4}of1aa<728qC5::4n`17>`133tdo>hk50;3xL<133gk887k85:m`7ca290:wE784:lb71?=1em>:5e658ykb5n80;6{il;l86=4>{I;40>hf;=0n;l5rne0e0?6=9rB2;95aa269a2dl0qcj=f883>4}O1>>0bl=;:d:3?xhc:ok1<7?tH857?kg4<3o3=6sad3da>5<6sA3<86`n358f<7=zfm8mo7>51zJ:31=ii:>1i5=4}of1ba<728qC5::4n`17>`>33tdo>kk50;3xL<133gk887k75:m`7`a290:wE784:lb71?=1em>:5e958ykb4880;6{il::86=4>{I;40>hf;=0n4l5rne130?6=9rB2;95aa269a=d>8:182M?0<2dj?94j8d9~ja5703:1=vF6759me62=m1l0qcj<0883>4}O1>>0bl=;:d;3?xhc;9k1=7?tH857?kg4<3o2=6sad22a>4<6sA3<86`n358f=7=zfm9;o7?51zJ:31=ii:>1i4=4}of04a<628qC5::4n`17>`?33tdo?=k51;3xL<133gk887k65:m`66a280:wE784:lb710;395~N>?=1em>:5e858ykb4980:6{il:;86<4>{I;40>hf;=0n5l5rne120?7=9rB2;95aa269al2weh>?8:082M?0<2dj?94j9d9~ja5603;1=vF6759me62=m0l0qcj<1882>4}O1>>0bl=;:dc3?xhc;8k1=7?tH857?kg4<3oj=6sad23a>4<6sA3<86`n358fe7=zfm9:o7?51zJ:31=ii:>1il=4}of05a<628qC5::4n`17>`g33tdo??=1em>:5e`58ykb4:80:6{il:886=4>{I;40>hf;=0nml5rne110?7=9rB2;95aa269add84>:0yK=22<8:082M?0<2dj?94jad9~ja5503;1=vF6759me62=mhl0qcj<2882>4}O1>>0bl=;:d`3?xhc;;k1=7?tH857?kg4<3oi=6sad20a>4<6sA3<86`n358ff7=zfm99o7?51zJ:31=ii:>1io=4}of06a<628qC5::4n`17>`d33tdo??k51;3xL<133gk887km5:m`64a290:wE784:lb71?=1em>:5ec58ykb4;80;6{il:986=4>{I;40>hf;=0nnl5rne100?6=9rB2;95aa269agd=8:182M?0<2dj?94jbd9~ja5403:1=vF6759me62=mkl0qcj<3883>4}O1>>0bl=;:da3?xhc;:k1<7?tH857?kg4<3oh=6sad21a>5<6sA3<86`n358fg7=zfm98o7>51zJ:31=ii:>1in=4}of07a<628qC5::4n`17>`e33-k9i7<>fg9~ja54m3:1=vF6759me62=mj?0qcj<3g83>4}O1>>0bl=;:da5?xhc;=:1<7?tH857?kg4<3oh;6sad262>5<6sA3<86`n358fg==zfm9?>7>51zJ:31=ii:>1in74}of006<728qC5::4n`17>`ef3tdo?9:50;3xL<133gk887klb:m`622290:wE784:lb71?=1em>:5ebf8ykb4<>0;6{il:>26=4>{I;40>hf;=0nh=5rne17e?6=9rB2;95aa269aa7:k:082M?0<2dj?94jd59'e7c=:;;97p`k35g94?7|@0=?7co<4;gg1>{il:>m6=4>{I;40>hf;=0nh;5rne164?6=9rB2;95aa269aa1;<:182M?0<2dj?94jd`9~ja52<3:1=vF6759me62=mmh0qcj<5483>4}O1>>0bl=;:df`?xhc;<<1<7?tH857?kg4<3ooh6sad274>5<6sA3<86`n358f``=zfm9>47>51zJ:31=ii:>1iih4}of01<<728qC5::4n`17>`c73tdo?8o50;3xL<133gk887kj1:m`63e290:wE784:lb71?=1em>:5ed18ykb4=m0:6i7>51zJ:31=ii:>1ih;4}of01c<728qC5::4n`17>`c13tdo?;>51;3xL<133gk887kj7:m`606280:wE784:lb714<6sA3<86`n358fad=#i;o1>?8::082M?0<2dj?94jeb9'e7c=:;8i7p`k37494?7|@0=?7co<4;gf`>{il:<<6=4>{I;40>hf;=0nih5rne158m:182M?0<2dj?94jf39~ja51k3:1=vF6759me62=mo90qcj<6e83>4}O1>>0bl=;:dd7?xhc;?o1<7?tH857?kg4<3om96sad24e>5<6sA3<86`n358fb3=zfm9<<7>51zJ:31=ii:>1ik94}of034<728qC5::4n`17>``?3tdo?:<50;3xL<133gk887ki9:m`614290:wE784:lb71?=1em>:5eg`8ykb4?<0;6{il:=<6=4>{I;40>hf;=0njh5rne14?;|lg72g=83;pD49;;oc00?`792weh>9m:182M?0<2dj?94i039~ja50k3:1=vF6759me62=n990qcj<7e83>4}O1>>0bl=;:g27?xhc;>o1<7?tH857?kg4<3l;96sad25e>5<6sA3<86`n358e43=zfm93<7>51zJ:31=ii:>1j=94}of0<4<728qC5::4n`17>c6?3tdo?5<50;3xL<133gk887h?9:m`6>4290:wE784:lb71?=1em>:5f1`8ykb40<0:651zJ:31=ii:>1j=j4}of0<2<628qC5::4n`17>c6b3-k9i7<4}O1>>0bl=;:g33?!g5m388j=5rne1;e?6=9rB2;95aa269b47:0yK=22?=1em>:5f018ykb40m0;6"f:l09?k:4}of0c713tdo?4>50;3xL<133gk887h>7:m`6?6280:wE784:lb714<6sA3<86`n358e5d=#i;o1>>h8;|lg7<2=83;pD49;;oc00?`6j2weh>7::182M?0<2dj?94i1b9~ja5>>3;1=vF6759me62=n8n0(l{il:3<6=4>{I;40>hf;=0m=h5a97694>{il:336<4>{I;40>hf;=0m=k5+a3g9665>3tdo?4750;3xL<133gk887h=0:m`6?f290:wE784:lb71?=1em>:5f308ykb41j0:651zJ:31=ii:>1j?:4}of0=`<628qC5::4n`17>c423-k9i7<n3:1=vF6759me62=n;<0qcj4}O1>>0bl=;:g04?!g5m388?l5rne1b5?6=9rB2;95aa269b7>:0yK=22?=1em>:5f3c8ykb4i=0:651zJ:31=ii:>1j?m4}of0e3<628qC5::4n`17>c4c3-k9i7<<3e9~ja5f?3:1=vF6759me62=n;o0qcj4}O1>>0bl=;:g0e?xhc;h31<7?tH857?kg4<3l8<6sad2cb>5<6sA3<86`n358e74=zfm9jn7>51zJ:31=ii:>1j><4}of0ef<728qC5::4n`17>c543tdo?lj50;3xL<133gk887h<4:m`6gb290:wE784:lb71?=1em>:5f248ykb4j90;6{il:h96=4>{I;40>hf;=0m?45rne1a7?7=9rB2;95aa269b6g<,h8n6?=i2:m`6d3290:wE784:lb71?=1em>:5f2a8ykb4j?0:651zJ:31=ii:>1j>k4}of0f=<628qC5::4n`17>c5a3-k9i7<<239~ja5e13:1=vF6759me62=n=:0qcj4}O1>>0bl=;:g62?!g5m388>>5rne1af?6=9rB2;95aa269b14:0yK=22?=1em>:5f568ykb4jl0:6>7)o=e;0060=zfm9ij7>51zJ:31=ii:>1j984}of0g5<628qC5::4n`17>c203-k9i7<<279~ja5d93:1=vF6759me62=n=20qcj4}O1>>0bl=;:g6:?!g5m388>:5rne1`7?6=9rB2;95aa269b1g:0yK=22?=1em>:5f5a8ykb4k?0:6o7)o=e;006<=zfm9h;7>51zJ:31=ii:>1j9k4}of0g=<728qC5::4n`17>c2a3tdo?n751;3xL<133gk887h:0:&b6`<5;;:0qcj4}O1>>0bl=;:g72?xhc;jh1<7?tH857?kg4<3l>>6sad2a`>4<6sA3<86`n358e16=#i;o1>>=8;|lg7fb=83;pD49;;oc00?`2<2weh>mj:082M?0<2dj?94i549'e7c=::9j7p`k3bd94?7|@0=?7co<4;d62>{il:n;6<4>{I;40>hf;=0m9:5+a3g9665e3tdo?i?50;3xL<133gk887h:8:m`6b5280:wE784:lb71m6sad2f7>4<6sA3<86`n358e1g=#i;o1>>=k;|lg7a3=83;pD49;;oc00?`2k2weh>j9:082M?0<2dj?94i5e9'e7c=::9n7p`k3e594?7|@0=?7co<4;d6a>{il:n36<4>{I;40>hf;=0m9k5+a3g9665a3tdo?i750;3xL<133gk887h90:m`6bf280:wE784:lb7181/m?k52263?xhc;mh1<7?tH857?kg4<3l=>6sad2f`>4<6sA3<86`n358e26=#i;o1>>=:;|lg7ab=83;pD49;;oc00?`1<2weh>jj:082M?0<2dj?94i649'e7c=::9=7p`k3ed94?7|@0=?7co<4;d52>{il:o;6<4>{I;40>hf;=0m::5+a3g9665?3tdo?h?50;3xL<133gk887h98:m`6c5290:wE784:lb7101vbi=j3;395~N>?=1em>:5f7c8 d4b2;9jn6sad2g7>5<6sA3<86`n358e2g=zfm9n97?51zJ:31=ii:>1j;m4$`0f>75fk2weh>k9:182M?0<2dj?94i6e9~ja5b?3;1=vF6759me62=n?o0(l{il:o36=4>{I;40>hf;=0m:k5rne1f=?7=9rB2;95aa269b26<,h8n6?=ne:m`6cf290:wE784:lb71?=1em>:5f608 d4b2;9jj6sad2g`>5<6sA3<86`n358e36=zfm9nh7?51zJ:31=ii:>1j::4$`0f>75e82weh>kj:182M?0<2dj?94i749~ja5bn3;1=vF6759me62=n><0(l{il:l;6=4>{I;40>hf;=0m;:5rne1e5?7=9rB2;95aa269b2><,h8n6?=m2:m`6`5290:wE784:lb71?=1em>:5f6c8ykb4n=0:651zJ:31=ii:>1j:m4}of0b3<728qC5::4n`17>c1c3tdo?k950;3xL<133gk887h8e:m`6`?280:wE784:lb71?=1em>:5f928ykb4nh0:6{il:lh6<4>{I;40>hf;=0m4>5rne1e`?7=9rB2;95aa269b=2:0yK=222weh9>?:082M?0<2dj?94i869~ja2793;1=vF6759me62=n120qcj;0382>4}O1>>0bl=;:g::?xhc<991=7?tH857?kg4<3l3m6sad527>4<6sA3<86`n358e;97?51zJ:31=ii:>1j5m4}of743<628qC5::4n`17>c>c3tdo8=951;3xL<133gk887h7e:m`16?280:wE784:lb71?=1em>:5f828ykb38h0:6{il=:h6<4>{I;40>hf;=0m5>5rne63`?7=9rB2;95aa269b<2>2weh9??:182M?0<2dj?94i969~ja2693:1=vF6759me62=n020qcj;1383>4}O1>>0bl=;:g;:?xhc<891=7?tH857?kg4<3l2m6sad537>5<6sA3<86`n358e=g=zfm>:97>51zJ:31=ii:>1j4m4}of753<728qC5::4n`17>c?c3tdo8<950;3xL<133gk887h6e:m`17?290:wE784:lb719;295~N>?=1em>:5f`28ykb39h0;6{il=;h6=4>{I;40>hf;=0mm>5rne62`?6=9rB2;95aa269bd22weh94}O1>>0bl=;:gc:?xhc<;91<7?tH857?kg4<3ljm6sad507>5<6sA3<86`n358eeg=zfm>997>51zJ:31=ii:>1jlm4}of763<728qC5::4n`17>cgc3tdo8?950;3xL<133gk887hne:m`14?290:wE784:lb71?=1em>:5fc28ykb3:h0;6{il=8h6=4>{I;40>hf;=0mn>5rne61`?6=9rB2;95aa269bg2h4?:0yK=222weh9=?:182M?0<2dj?94ib69~ja2493;1=vF6759me62=nk20(l{il=996=4>{I;40>hf;=0mn45rne607?6=9rB2;95aa269bgg4}O1>>0bl=;:g`e?xhc<:31<7?tH857?kg4<3lh<6sad51b>5<6sA3<86`n358eg4=zfm>8n7>51zJ:31=ii:>1jn<4}of77f<728qC5::4n`17>ce43tdo8>j50;3xL<133gk887hl4:m`15b280:wE784:lb71?=1em>:5fb48 d4b2;92i6sad563>5<6sA3<86`n358eg2=zfm>?=7?51zJ:31=ii:>1jn64}of707<628qC5::4n`17>ce>3-k9i7<4}O1>>0bl=;:gaa?xhc<=?1<7?tH857?kg4<3lho6sad565>5<6sA3<86`n358ega=zfm>?;7>51zJ:31=ii:>1jnk4}of70=<628qC5::4n`17>cea3tdo89751;3xL<133gk887hk0:m`12f280:wE784:lb71?=1em>:5fe08ykb3{il=>n6<4>{I;40>hf;=0mh85rne67b?7=9rB2;95aa269ba0:0yK=224}O1>>0bl=;:gfa?xhc<5<6sA3<86`n358e`a=zfm>>;7>51zJ:31=ii:>1jik4}of71=<728qC5::4n`17>cba3tdo88750;3xL<133gk887hj0:m`13f290:wE784:lb71?=1em>:5fd08ykb3=j0;6{il=?n6<4>{I;40>hf;=0mi85+a3g9665>3tdo88h50;3xL<133gk887hj6:m`107290:wE784:lb711vbi:91;295~N>?=1em>:5fd:8ykb3>;0;6{il={I;40>hf;=0mio5rne651?6=9rB2;95aa269b`e4}O1>>0bl=;:gd2?xhc6sad54`>5<6sA3<86`n358eb6=zfm>=h7>51zJ:31=ii:>1jk:4}of72`<728qC5::4n`17>c`23tdo8;h50;3xL<133gk887hi6:m`117290:wE784:lb711vbi:81;295~N>?=1em>:5fg:8ykb3?;0;6{il==?6=4>{I;40>hf;=0mjo5rne641?7=9rB2;95aa269bce:0yK=221==>=;|lg02e=83;pD49;;oc00?778:1vbi:8d;395~N>?=1em>:51127?xhc<>o1=7?tH857?kg4<3;;<85rne64b?6=9rB2;95aa26955613tdo85>50;3xL<133gk887??069~ja2?93:1=vF6759me62=99:37p`k49094?7|@0=?7co<4;334<=zfm>3?7>51zJ:31=ii:>1==>n;|lg0=2=83;pD49;;oc00?778k1vbi:75;295~N>?=1em>:5112`?xhc<1<1=7?tH857?kg4<3;;3n7?51zJ:31=ii:>1==?=;|lg0=e=93;pD49;;oc00?779:1/m?k53`d:?xhc<1n1<7?tH857?kg4<3;;=95rne6;a?6=9rB2;95aa26955723tdo85h50;3xL<133gk887??179~ja2>83:1=vF6759me62=99;<7p`k48394?7|@0=?7co<4;335==zfm>2>7>51zJ:31=ii:>1==?6;|lg0<5=83;pD49;;oc00?779h1vbi:64;295~N>?=1em>:5113a?xhc<0?1<7?tH857?kg4<3;;=n5rne6:2?6=9rB2;95aa269557c3tdo84950;3xL<133gk887??1d9~ja2>03:1=vF6759me62=99;m7p`k48;94?7|@0=?7co<4;3365=zfm>2m7>51zJ:31=ii:>1==<>;|lg0?=1em>:51100?xhc<0n1<7?tH857?kg4<3;;>95rne6:a?6=9rB2;95aa26955423tdo84h50;3xL<133gk887??279~ja2f83:1=vF6759me62=998<7p`k4`394?7|@0=?7co<4;336==zfm>j>7>51zJ:31=ii:>1==<6;|lg0d5=83;pD49;;oc00?77:h1vbi:n4;295~N>?=1em>:5110a?xhcn5rne6b2?6=9rB2;95aa269554c3tdo8l950;3xL<133gk887??2d9~ja2f03:1=vF6759me62=998m7p`k4`;94?7|@0=?7co<4;3375=zfm>jm7>51zJ:31=ii:>1===>;|lg0dd=83;pD49;;oc00?77;;1vbi:nc;295~N>?=1em>:51110?xhci>7>51zJ:31=ii:>1===6;|lg0g5=83;pD49;;oc00?77;h1vbi:m4;295~N>?=1em>:5111a?xhcim7>51zJ:31=ii:>1==:>;|lg0gd=83;pD49;;oc00?77<;1vbi:mc;295~N>?=1em>:51160?xhc<7p`k4b394?7|@0=?7co<4;330==zfm>h>7>51zJ:31=ii:>1==:6;|lg0f5=83;pD49;;oc00?77?=1em>:5116a?xhcm7p`k4b;94?7|@0=?7co<4;3315=zfm>hm7>51zJ:31=ii:>1==;>;|lg0fd=83;pD49;;oc00?77=;1vbi:lc;295~N>?=1em>:51170?xhco>7>51zJ:31=ii:>1==;6;|lg0a5=83;pD49;;oc00?77=h1vbi:k4;295~N>?=1em>:5117a?xhcom7?51zJ:31=ii:>1==8>;%c1a?5fnh1vbi:kb;395~N>?=1em>:51141?!g5m39jjl5rne6gg?7=9rB2;95aa26955043-k9i7=nf`9~ja2cl3;1=vF6759me62=99oi7?51zJ:31=ii:>1==8:;%c1a?5fnh1vbi:kf;395~N>?=1em>:51145?!g5m39jjl5rne6f4?7=9rB2;95aa26955003-k9i7=nf`9~ja2b93;1=vF6759me62=99<37)o=e;1bbd=zfm>n>7?51zJ:31=ii:>1==86;%c1a?5fnh1vbi:j3;395~N>?=1em>:5114b?!g5m39jjl5rne6f0?7=9rB2;95aa269550e3-k9i7=nf`9~ja2b=3;1=vF6759me62=99n:7?51zJ:31=ii:>1==8k;%c1a?5fnh1vbi:j7;395~N>?=1em>:5114f?!g5m39jjl5rne6fnm7?51zJ:31=ii:>1==9>;%c1a?5fnh1vbi:jb;395~N>?=1em>:51151?!g5m39jjl5rne6fg?7=9rB2;95aa26955143-k9i7=nf`9~ja2bl3;1=vF6759me62=99=?7)o=e;1bbd=zfm>ni7?51zJ:31=ii:>1==9:;%c1a?5fnh1vbi:jf;395~N>?=1em>:51155?!g5m39jjl5rne6e4?7=9rB2;95aa26955103-k9i7=nf`9~ja2a93;1=vF6759me62=99=37)o=e;1bbd=zfm>m>7?51zJ:31=ii:>1==96;%c1a?5fnh1vbi:i3;395~N>?=1em>:5115b?!g5m39jjl5rne6e0?7=9rB2;95aa269551e3-k9i7=nf`9~ja2a=3;1=vF6759me62=99=h7)o=e;1bbd=zfm>m:7?51zJ:31=ii:>1==9k;%c1a?5fnh1vbi:i7;395~N>?=1em>:5115f?!g5m39jjl5rne6emn7>51zJ:31=ii:>1==6=;|lg0ce=83;pD49;;oc00?770:1vbi:id;295~N>?=1em>:511:7?xhc13tdo9=>50;3xL<133gk887??869~ja3793:1=vF6759me62=99237p`k51094?7|@0=?7co<4;33<<=zfm?;?7>51zJ:31=ii:>1==6n;|lg152=83;pD49;;oc00?770k1vbi;?5;295~N>?=1em>:511:`?xhc=9<1<7?tH857?kg4<3;;4i5rne733?6=9rB2;95aa26955>b3tdo9=650;3xL<133gk887??8g9~ja3713:1=vF6759me62=993;7p`k51c94?7|@0=?7co<4;33=4=zfm?;n7>51zJ:31=ii:>1==7=;|lg15e=83;pD49;;oc00?771:1vbi;?d;295~N>?=1em>:511;7?xhc=9o1<7?tH857?kg4<3;;585rne73b?6=9rB2;95aa26955?13tdo9<>50;3xL<133gk887??969~ja3693:1=vF6759me62=99337p`k50094?7|@0=?7co<4;33=<=zfm?:?7>51zJ:31=ii:>1==7n;|lg142=83;pD49;;oc00?771k1vbi;>5;295~N>?=1em>:511;`?xhc=8<1<7?tH857?kg4<3;;5i5rne723?6=9rB2;95aa26955?b3tdo9<650;3xL<133gk887??9g9~ja3613:1=vF6759me62=99k;7p`k50c94?7|@0=?7co<4;33e4=zfm?:n7>51zJ:31=ii:>1==o=;|lg14e=83;pD49;;oc00?77i:1vbi;>d;295~N>?=1em>:511c7?xhc=8o1<7?tH857?kg4<3;;m85rne72b?6=9rB2;95aa26955g13tdo9?>50;3xL<133gk887??a69~ja3593:1=vF6759me62=99k37p`k53094?7|@0=?7co<4;33e<=zfm?9?7>51zJ:31=ii:>1==on;|lg172=83;pD49;;oc00?77ik1vbi;=5;295~N>?=1em>:511c`?xhc=;<1<7?tH857?kg4<3;;mi5rne713?6=9rB2;95aa26955gb3tdo9?650;3xL<133gk887??ag9~ja3513:1=vF6759me62=99h;7p`k53c94?7|@0=?7co<4;33f4=zfm?9n7>51zJ:31=ii:>1==l=;|lg17e=83;pD49;;oc00?77j:1vbi;=d;295~N>?=1em>:511`7?xhc=;o1<7?tH857?kg4<3;;n85rne71b?6=9rB2;95aa26955d13tdo9>>50;3xL<133gk887??b69~ja3493:1=vF6759me62=99h37p`k52094?7|@0=?7co<4;33f<=zfm?8?7>51zJ:31=ii:>1==ln;|lg162=83;pD49;;oc00?77jk1vbi;<5;295~N>?=1em>:511``?xhc=:<1<7?tH857?kg4<3;;ni5rne703?6=9rB2;95aa26955db3tdo9>650;3xL<133gk887??bg9~ja3413:1=vF6759me62=99i;7p`k52c94?7|@0=?7co<4;33g4=zfm?8n7>51zJ:31=ii:>1==m=;|lg16e=83;pD49;;oc00?77k:1vbi;?=1em>:511a7?xhc=:o1<7?tH857?kg4<3;;o85rne70b?6=9rB2;95aa26955e13tdo99>50;3xL<133gk887??c69~ja3393:1=vF6759me62=99i37p`k55094?7|@0=?7co<4;33g<=zfm???7>51zJ:31=ii:>1==mn;|lg112=83;pD49;;oc00?77kk1vbi;;5;295~N>?=1em>:511a`?xhc==<1<7?tH857?kg4<3;;oi5rne773?6=9rB2;95aa26955eb3tdo99650;3xL<133gk887??cg9~ja3313:1=vF6759me62=99n;7p`k55c94?7|@0=?7co<4;33`4=zfm??n7>51zJ:31=ii:>1==j=;|lg11e=83;pD49;;oc00?77l:1vbi;;d;295~N>?=1em>:511f7?xhc==o1<7?tH857?kg4<3;;h85rne77b?6=9rB2;95aa26955b13tdo98>50;3xL<133gk887??d69~ja3293:1=vF6759me62=99n37p`k54094?7|@0=?7co<4;33`<=zfm?>?7>51zJ:31=ii:>1==jn;|lg102=83;pD49;;oc00?77lk1vbi;:5;295~N>?=1em>:511f`?xhc=<<1<7?tH857?kg4<3;;hi5rne763?6=9rB2;95aa26955bb3tdo98650;3xL<133gk887??dg9~ja3213:1=vF6759me62=99o;7p`k54c94?7|@0=?7co<4;33a4=zfm?>n7>51zJ:31=ii:>1==k=;|lg10e=83;pD49;;oc00?77m:1vbi;:d;295~N>?=1em>:511g7?xhc=50;3xL<133gk887??e69~ja3193:1=vF6759me62=99o37p`k57094?7|@0=?7co<4;33a<=zfm?=?7>51zJ:31=ii:>1==kn;|lg132=83;pD49;;oc00?77mk1vbi;95;295~N>?=1em>:511g`?xhc=?<1<7?tH857?kg4<3;;ii5rne753?6=9rB2;95aa26955cb3tdo9;650;3xL<133gk887??eg9~ja3113:1=vF6759me62=99l;7p`k57c94?7|@0=?7co<4;33b4=zfm?=n7>51zJ:31=ii:>1==h=;|lg13e=83;pD49;;oc00?77n:1vbi;9d;295~N>?=1em>:511d7?xhc=?o1<7?tH857?kg4<3;;j85rne75b?6=9rB2;95aa26955`13tdo9:>50;3xL<133gk887??f69~ja3093:1=vF6759me62=99l37p`k56094?7|@0=?7co<4;33b<=zfm?51zJ:31=ii:>1==hn;|lg122=83;pD49;;oc00?77nk1vbi;85;295~N>?=1em>:511d`?xhc=><1=7?tH857?kg4<3;;ji5rne743?6=9rB2;95aa26955`b3tdo9:650;3xL<133gk887??fg9~ja3013:1=vF6759me62=98:;7p`k56c94?7|@0=?7co<4;3244=zfm?51zJ:31=ii:>1=<>=;|lg12e=83;pD49;;oc00?768:1vbi;8d;295~N>?=1em>:51027?xhc=>o1<7?tH857?kg4<3;:<85rne74b?6=9rB2;95aa26954613tdo95>50;3xL<133gk887?>069~ja3?93:1=vF6759me62=98:37p`k59094?7|@0=?7co<4;324<=zfm?3?7>51zJ:31=ii:>1=<>n;|lg1=2=83;pD49;;oc00?768k1vbi;75;295~N>?=1em>:5102`?xhc=1<1<7?tH857?kg4<3;:0g9~ja3?13:1=vF6759me62=98;;7p`k59c94?7|@0=?7co<4;3254=zfm?3n7>51zJ:31=ii:>1=?=1em>:51037?xhc=1o1<7?tH857?kg4<3;:=85rne7;b?6=9rB2;95aa26954713tdo94>50;3xL<133gk887?>169~ja3>93:1=vF6759me62=98;37p`k58094?7|@0=?7co<4;325<=zfm?2?7>51zJ:31=ii:>1=?=1em>:5103`?xhc=0<1<7?tH857?kg4<3;:=i5rne7:3?6=9rB2;95aa269547b3tdo94650;3xL<133gk887?>1g9~ja3>13:1=vF6759me62=988;7p`k58c94?7|@0=?7co<4;3264=zfm?2n7>51zJ:31=ii:>1=<<=;|lg1?=1em>:51007?xhc=0o1<7?tH857?kg4<3;:>85rne7:b?6=9rB2;95aa26954413tdo9l>50;3xL<133gk887?>269~ja3f93:1=vF6759me62=98837p`k5`094?7|@0=?7co<4;326<=zfm?j?7>51zJ:31=ii:>1=<?=1em>:5100`?xhc=h<1<7?tH857?kg4<3;:>i5rne7b3?6=9rB2;95aa269544b3tdo9l650;3xL<133gk887?>2g9~ja3f13:1=vF6759me62=989;7p`k5`c94?7|@0=?7co<4;3274=zfm?jn7>51zJ:31=ii:>1=<==;|lg1de=83;pD49;;oc00?76;:1vbi;nd;295~N>?=1em>:51017?xhc=ho1<7?tH857?kg4<3;:?85rne7bb?6=9rB2;95aa26954513tdo9o>50;3xL<133gk887?>369~ja3e93:1=vF6759me62=98937p`k5c094?7|@0=?7co<4;327<=zfm?i?7>51zJ:31=ii:>1=<=n;|lg1g2=83;pD49;;oc00?76;k1vbi;m5;295~N>?=1em>:5101`?xhc=k<1<7?tH857?kg4<3;:?i5rne7a3?6=9rB2;95aa269545b3tdo9o650;3xL<133gk887?>3g9~ja3e13:1=vF6759me62=98>;7p`k5cc94?7|@0=?7co<4;3204=zfm?in7>51zJ:31=ii:>1=<:=;|lg1ge=83;pD49;;oc00?76<:1vbi;md;295~N>?=1em>:51067?xhc=ko1<7?tH857?kg4<3;:885rne7ab?6=9rB2;95aa26954213tdo9n>50;3xL<133gk887?>469~ja3d93:1=vF6759me62=98>37p`k5b094?7|@0=?7co<4;320<=zfm?h?7>51zJ:31=ii:>1=<:n;|lg1f2=83;pD49;;oc00?76?=1em>:5106`?xhc=j<1<7?tH857?kg4<3;:8i5rne7`3?6=9rB2;95aa269542b3tdo9n650;3xL<133gk887?>4g9~ja3d13:1=vF6759me62=98?;7p`k5bc94?7|@0=?7co<4;3214=zfm?hn7>51zJ:31=ii:>1=<;=;|lg1fe=83;pD49;;oc00?76=:1vbi;ld;295~N>?=1em>:51077?xhc=jo1<7?tH857?kg4<3;:985rne7`b?6=9rB2;95aa26954313tdo9i>50;3xL<133gk887?>569~ja3c93:1=vF6759me62=98?37p`k5e094?7|@0=?7co<4;321<=zfm?o?7>51zJ:31=ii:>1=<;n;|lg1a2=83;pD49;;oc00?76=k1vbi;k5;295~N>?=1em>:5107`?xhc=m<1<7?tH857?kg4<3;:9i5rne7g3?6=9rB2;95aa269543b3tdo9i650;3xL<133gk887?>5g9~ja3c13:1=vF6759me62=98<;7p`k5ec94?7|@0=?7co<4;3224=zfm?on7>51zJ:31=ii:>1=<8=;|lg1ae=83;pD49;;oc00?76>:1vbi;kd;295~N>?=1em>:51047?xhc=mo1<7?tH857?kg4<3;::85rne7gb?6=9rB2;95aa26954013tdo9h>50;3xL<133gk887?>669~ja3b93:1=vF6759me62=98<37p`k5d094?7|@0=?7co<4;322<=zfm?n?7>51zJ:31=ii:>1=<8n;|lg1`2=83;pD49;;oc00?76>k1vbi;j5;295~N>?=1em>:5104`?xhc=l<1<7?tH857?kg4<3;::i5rne7f3?6=9rB2;95aa269540b3tdo9h650;3xL<133gk887?>6g9~ja3b13:1=vF6759me62=98=;7p`k5dc94?4fsA3<86`n3582527io4?:0yK=2290qcj:ee83>4}O1>>0bl=;:0340>{il{I;40>hf;=0:=:;4}of6ac<728qC5::4n`17>470>2weh8h?:182M?0<2dj?94>1658ykb2n80;65<6sA3<86`n358252?j>4?:0yK=22h0qcj:f483>4}O1>>0bl=;:034g>{il{I;40>hf;=0:=:j4}of6b2<728qC5::4n`17>470m2weh8h7:182M?0<2dj?94>16d8ykb2n00;65<6sA3<86`n35825=7jo4?:0yK=224}O1>>0bl=;:03;0>{il{I;40>hf;=0:=5;4}of6bc<728qC5::4n`17>47?>2weh;>?:182M?0<2dj?94>1958ykb1880;65<6sA3<86`n35825=?4?:0yK=224}O1>>0bl=;:03;g>{il?:=6=4>{I;40>hf;=0:=5j4}of542<728qC5::4n`17>47?m2weh;>7:182M?0<2dj?94>19d8ykb1800;65<6sA3<86`n35825<74}O1>>0bl=;:03:0>{il?:n6=4>{I;40>hf;=0:=4;4}of54c<728qC5::4n`17>47>>2weh;??:182M?0<2dj?94>1858ykb1980;65<6sA3<86`n358254?:0yK=224}O1>>0bl=;:03:g>{il?;=6=4>{I;40>hf;=0:=4j4}of552<728qC5::4n`17>47>m2weh;?7:182M?0<2dj?94>18d8ykb1900;65<6sA3<86`n35825d74}O1>>0bl=;:03b0>{il?;n6=4>{I;40>hf;=0:=l;4}of55c<728qC5::4n`17>47f>2weh;1`58ykb1:80;65<6sA3<86`n35825d?>4?:0yK=224}O1>>0bl=;:03bg>{il?8=6=4>{I;40>hf;=0:=lj4}of562<728qC5::4n`17>47fm2weh;<7:182M?0<2dj?94>1`d8ykb1:00;65<6sA3<86`n35825g7o4?:0yK=224}O1>>0bl=;:03a0>{il?8n6=4>{I;40>hf;=0:=o;4}of56c<728qC5::4n`17>47e>2weh;=?:182M?0<2dj?94>1c58ykb1;80;65<6sA3<86`n35825g?4?:0yK=224}O1>>0bl=;:03ag>{il?9=6=4>{I;40>hf;=0:=oj4}of572<728qC5::4n`17>47em2weh;=7:182M?0<2dj?94>1cd8ykb1;00;65<6sA3<86`n35825f74}O1>>0bl=;:03`0>{il?9n6=4>{I;40>hf;=0:=n;4}of57c<628qC5::4n`17>47d>2.j>h4=7228ykb1<90;64<6sA3<86`n35825f><,h8n6?9<1:m`325290:wE784:lb71<69j30qcj94282>4}O1>>0bl=;:03`e>"f:l09;><4}of501<728qC5::4n`17>47dj2weh;:::082M?0<2dj?94>1ba8 d4b2;=8?6sad765>5<6sA3<86`n35825fb:0yK=220qcj94983>4}O1>>0bl=;:03`b>{il?>26<4>{I;40>hf;=0:=i>4$`0f>714=2weh;:n:182M?0<2dj?94>1e38ykb16*n2d813600(l{il?>n6=4>{I;40>hf;=0:=i;4}of50c<628qC5::4n`17>47c>2.j>h4=72:8ykb1=90;64<6sA3<86`n35825a><,h8n6?9<9:m`335290:wE784:lb71<69m30qcj95282>4}O1>>0bl=;:03ge>"f:l09;>o4}of511<728qC5::4n`17>47cj2weh;;::082M?0<2dj?94>1ea8 d4b2;=8n6sad775>5<6sA3<86`n35825ab:0yK=224}O1>>0bl=;:03gb>{il??26<4>{I;40>hf;=0:=h>4$`0f>714l2weh;;n:182M?0<2dj?94>1d38ykb1=k0:66*n2d8136c0(l{il??n6=4>{I;40>hf;=0:=h;4}of51c<628qC5::4n`17>47b>2.j>h4=7528ykb1>90;64<6sA3<86`n35825`><,h8n6?9;1:m`305290:wE784:lb71<69l30qcj96282>4}O1>>0bl=;:03fe>"f:l09;9<4}of521<728qC5::4n`17>47bj2weh;8::082M?0<2dj?94>1da8 d4b2;=??6sad745>5<6sA3<86`n35825`b:0yK=220qcj96983>4}O1>>0bl=;:03fb>{il?<26<4>{I;40>hf;=0:=k>4$`0f>713=2weh;8n:182M?0<2dj?94>1g38ykb1>k0:66*n2d813100(l{il?{I;40>hf;=0:=k;4}of52c<628qC5::4n`17>47a>2.j>h4=75;8ykb1?90;64<6sA3<86`n35825c><,h8n6?9;a:m`315290:wE784:lb71<69o30qcj97282>4}O1>>0bl=;:03ee>"f:l09;9l4}of531<728qC5::4n`17>47aj2weh;9::082M?0<2dj?94>1ga8 d4b2;=?o6sad755>5<6sA3<86`n35825cb:0yK=224}O1>>0bl=;:03eb>{il?=26<4>{I;40>hf;=0:>=>4$`0f>713m2weh;9n:182M?0<2dj?94>2138ykb1?k0:66*n2d813110qcj97d83>4}O1>>0bl=;:0031>{il?=m6=4>{I;40>hf;=0:>=84}of5<5<728qC5::4n`17>447?2weh;6>:182M?0<2dj?94>21:8ykb10;0;65<6sA3<86`n358265g2290:wE784:lb71<6:9i0qcj98783>4}O1>>0bl=;:003`>{il?2<6=4>{I;40>hf;=0:>=k4}of5<=<728qC5::4n`17>447n2weh;66:182M?0<2dj?94>2028ykb10h0;65<6sA3<86`n35826443:m`3>c290:wE784:lb71<6:8>0qcj98d83>4}O1>>0bl=;:0021>{il?2m6=4>{I;40>hf;=0:><84}of5=5<728qC5::4n`17>446?2weh;7>:182M?0<2dj?94>20:8ykb11;0;65<6sA3<86`n358264gb:m`3?2290:wE784:lb71<6:8i0qcj99783>4}O1>>0bl=;:002`>{il?3<6=4>{I;40>hf;=0:>446n2weh;76:182M?0<2dj?94>2328ykb11h0;65<6sA3<86`n35826740qcj99d83>4}O1>>0bl=;:0011>{il?3m6=4>{I;40>hf;=0:>?84}of5e5<728qC5::4n`17>445?2weh;o>:182M?0<2dj?94>23:8ykb1i;0;65<6sA3<86`n358267g4}O1>>0bl=;:001`>{il?k<6=4>{I;40>hf;=0:>?k4}of5e=<728qC5::4n`17>445n2weh;o6:182M?0<2dj?94>2228ykb1ih0;65<6sA3<86`n35826640qcj9ad83>4}O1>>0bl=;:0001>{il?km6=4>{I;40>hf;=0:>>84}of5f5<728qC5::4n`17>444?2weh;l>:182M?0<2dj?94>22:8ykb1j;0;65<6sA3<86`n358266g4}O1>>0bl=;:000`>{il?h<6=4>{I;40>hf;=0:>>k4}of5f=<728qC5::4n`17>444n2weh;l6:182M?0<2dj?94>2528ykb1jh0;65<6sA3<86`n35826140qcj9bd83>4}O1>>0bl=;:0071>{il?hm6=4>{I;40>hf;=0:>984}of5g5<728qC5::4n`17>443?2weh;m>:082M?0<2dj?94>25:8ykb1k;0;64<6sA3<86`n358261g4}O1>>0bl=;:007`>{il?i<6=4>{I;40>hf;=0:>9k4}of5g=<728qC5::4n`17>443n2weh;m6:182M?0<2dj?94>2428ykb1kh0;6=6sad7aa>5<6sA3<86`n35826040qcj9cd83>4}O1>>0bl=;:0061>{il?im6=4>{I;40>hf;=0:>884}of5`5<728qC5::4n`17>442?2weh;j>:182M?0<2dj?94>24:8ykb1l;0;656sad7f0>5<6sA3<86`n358260g4}O1>>0bl=;:006`>{il?n<6=4>{I;40>hf;=0:>8k4}of5`=<728qC5::4n`17>442n2weh;j6:182M?0<2dj?94>2728ykb1lh0;65<6sA3<86`n35826340qcj9dd83>4}O1>>0bl=;:0051>{il?nm6=4>{I;40>hf;=0:>;84}of5a5<728qC5::4n`17>441?2weh;k>:182M?0<2dj?94>27:8ykb1m;0;65<6sA3<86`n358263g4}O1>>0bl=;:005`>{il?o<6=4>{I;40>hf;=0:>;k4}of5a=<728qC5::4n`17>441n2weh;k6:182M?0<2dj?94>2628ykb1mh0;65<6sA3<86`n3582624>0qcj9ed83>4}O1>>0bl=;:0041>{il?om6=4>{I;40>hf;=0:>:84}of5b5<728qC5::4n`17>440?2weh;h>:182M?0<2dj?94>26:8ykb1n;0;65<6sA3<86`n358262gi0qcj9f782>4}O1>>0bl=;:004`>{il?l<6<4>{I;40>hf;=0:>:k4}of5b=<628qC5::4n`17>440n2weh;h6:082M?0<2dj?94>2928ykb1nh0:64<6sA3<86`n35826=4:0yK=220qcj9fd82>4}O1>>0bl=;:00;1>{il?lm6<4>{I;40>hf;=0:>584}of445<628qC5::4n`17>44??2weh:>>:082M?0<2dj?94>29:8ykb08;0:64<6sA3<86`n35826=g:0yK=224}O1>>0bl=;:00;`>{il>:<6<4>{I;40>hf;=0:>5k4}of44=<628qC5::4n`17>44?n2weh:>6:082M?0<2dj?94>2828ykb08h0:64<6sA3<86`n35826<4:0yK=220qcj80d82>4}O1>>0bl=;:00:1>{il>:m6<4>{I;40>hf;=0:>484}of455<628qC5::4n`17>44>?2weh:?>:082M?0<2dj?94>28:8ykb09;0:64<6sA3<86`n35826:0yK=224}O1>>0bl=;:00:`>{il>;<6<4>{I;40>hf;=0:>4k4$`0f>71f?2weh:?7:182M?0<2dj?94>28d8ykb0900:6{il>;h6=4>{I;40>hf;=0:>l=4}of45a<628qC5::4n`17>44f<2.j>h4=7`c8ykb09l0;64<6sA3<86`n35826d0<,h8n6?9nb:m`247290:wE784:lb71<6:h=0qcj82082>4}O1>>0bl=;:00b<>"f:l09;lm4}of467<728qC5::4n`17>44f12weh:<<:082M?0<2dj?94>2`c8 d4b2;=jh6sad607>5<6sA3<86`n35826dd84>:0yK=224}O1>>0bl=;:00b`>{il>8<6<4>{I;40>hf;=0:>lk4$`0f>71fn2weh:<7:182M?0<2dj?94>2`d8ykb0:00;65<6sA3<86`n35826g7o4?:0yK=224}O1>>0bl=;:00a0>{il>8n6=4>{I;40>hf;=0:>o;4}of46c<728qC5::4n`17>44e>2weh:=?:182M?0<2dj?94>2c58ykb0;80;65<6sA3<86`n35826g?4?:0yK=224}O1>>0bl=;:00ag>{il>9=6=4>{I;40>hf;=0:>oj4}of472<728qC5::4n`17>44em2weh:=7:182M?0<2dj?94>2cd8ykb0;00;65<6sA3<86`n35826f74}O1>>0bl=;:00`0>{il>9n6=4>{I;40>hf;=0:>n;4}of47c<728qC5::4n`17>44d>2weh::?:082M?0<2dj?94>2b58ykb0<80:64<6sA3<86`n35826f?4>:0yK=224}O1>>0bl=;:00`g>{il>>=6<4>{I;40>hf;=0:>nj4}of402<628qC5::4n`17>44dm2weh::7:082M?0<2dj?94>2bd8ykb0<00;65<6sA3<86`n35826a74}O1>>0bl=;:00g0>{il>>n6=4>{I;40>hf;=0:>i;4}of40c<728qC5::4n`17>44c>2weh:;?:182M?0<2dj?94>2e58ykb0=80;65<6sA3<86`n35826a?4?:0yK=224}O1>>0bl=;:00gg>{il>?=6=4>{I;40>hf;=0:>ij4}of412<728qC5::4n`17>44cm2weh:;7:182M?0<2dj?94>2ed8ykb0=00;65<6sA3<86`n35826`74}O1>>0bl=;:00f0>{il>?n6=4>{I;40>hf;=0:>h;4}of41c<728qC5::4n`17>44b>2weh:8?:182M?0<2dj?94>2d58ykb0>80;65<6sA3<86`n35826`?4?:0yK=224}O1>>0bl=;:00fg>{il><=6=4>{I;40>hf;=0:>hj4}of422<728qC5::4n`17>44bm2weh:87:182M?0<2dj?94>2dd8ykb0>00;65<6sA3<86`n35826c74}O1>>0bl=;:00e0>{il>{I;40>hf;=0:>k;4}of42c<728qC5::4n`17>44a>2weh:9?:182M?0<2dj?94>2g58ykb0?80:65<6sA3<86`n35826c?4?:0yK=224}O1>>0bl=;:00eg>{il>==6=4>{I;40>hf;=0:>kj4}of432<728qC5::4n`17>44am2weh:97:182M?0<2dj?94>2gd8ykb0?00;65<6sA3<86`n35827574}O1>>0bl=;:0130>{il>=n6=4>{I;40>hf;=0:?=;4}of43c<728qC5::4n`17>457>2weh:6?:182M?0<2dj?94>3158ykb0080;65<6sA3<86`n358275?4?:0yK=223290:wE784:lb71<6;9h0qcj88483>4}O1>>0bl=;:013g>{il>2=6=4>{I;40>hf;=0:?=j4}of4<2<728qC5::4n`17>457m2weh:67:182M?0<2dj?94>31d8ykb0000;65<6sA3<86`n35827472:m`2>d290:wE784:lb71<6;890qcj88e83>4}O1>>0bl=;:0120>{il>2n6=4>{I;40>hf;=0:?<;4}of4456>2weh:7?:182M?0<2dj?94>3058ykb0180;65<6sA3<86`n358274?4?:0yK=22a:m`2?3290:wE784:lb71<6;8h0qcj89483>4}O1>>0bl=;:012g>{il>3=6=4>{I;40>hf;=0:?456m2weh:77:182M?0<2dj?94>30d8ykb0100;65<6sA3<86`n35827774}O1>>0bl=;:0110>{il>3n6=4>{I;40>hf;=0:??;4}of4=c<728qC5::4n`17>455>2weh:o?:182M?0<2dj?94>3358ykb0i80;65<6sA3<86`n358277?4?:0yK=224}O1>>0bl=;:011g>{il>k=6=4>{I;40>hf;=0:??j4}of4e2<728qC5::4n`17>455m2weh:o7:182M?0<2dj?94>33d8ykb0i00;65<6sA3<86`n35827674}O1>>0bl=;:0100>{il>kn6=4>{I;40>hf;=0:?>;4}of4ec<728qC5::4n`17>454>2weh:l?:182M?0<2dj?94>3258ykb0j80;65<6sA3<86`n358276?4?:0yK=224}O1>>0bl=;:010g>{il>h=6=4>{I;40>hf;=0:?>j4}of4f2<728qC5::4n`17>454m2weh:l7:182M?0<2dj?94>32d8ykb0j00;65<6sA3<86`n35827174}O1>>0bl=;:0170>{il>hn6=4>{I;40>hf;=0:?9;4}of4fc<628qC5::4n`17>453>2weh:m?:182M?0<2dj?94>3558ykb0k80;64<6sA3<86`n358271?<,h8n6?9j7:m`2e4290:wE784:lb71<6;=k0qcj8c583>4}O1>>0bl=;:017f>{il>i>6=4>{I;40>hf;=0:?9m4}of4g3<728qC5::4n`17>453l2weh:m8:182M?0<2dj?94>35g8ykb0k10;65<6sA3<86`n35827064}O1>>0bl=;:0167>{il>io6=4>{I;40>hf;=0:?8:4}of4g`<728qC5::4n`17>452=2weh:mi:182M?0<2dj?94>3448ykb0l90;6;6sad6f2>5<6sA3<86`n358270>4}O1>>0bl=;:016f>{il>n>6=4>{I;40>hf;=0:?8m4}of4`3<728qC5::4n`17>452l2weh:j8:182M?0<2dj?94>34g8ykb0l10;6j6sad6f:>5<6sA3<86`n35827364}O1>>0bl=;:0157>{il>no6=4>{I;40>hf;=0:?;:4}of4``<728qC5::4n`17>451=2weh:ji:182M?0<2dj?94>3748ykb0m90;65<6sA3<86`n358273>4}O1>>0bl=;:015f>{il>o>6=4>{I;40>hf;=0:?;m4}of4a3<728qC5::4n`17>451l2weh:k8:182M?0<2dj?94>37g8ykb0m10;65<6sA3<86`n358272680qcj8eb83>4}O1>>0bl=;:0147>{il>oo6=4>{I;40>hf;=0:?::4}of4a`<728qC5::4n`17>450=2weh:ki:182M?0<2dj?94>3648ykb0n90;65<6sA3<86`n358272>k0qcj8f583>4}O1>>0bl=;:014f>{il>l>6=4>{I;40>hf;=0:?:m4}of4b3<728qC5::4n`17>450l2weh:h8:182M?0<2dj?94>36g8ykb0n10:65<6sA3<86`n35827=64}O1>>0bl=;:01;7>{il>lo6=4>{I;40>hf;=0:?5:4}of4b`<728qC5::4n`17>45?=2weh:hi:182M?0<2dj?94>3948ykb?890;65<6sA3<86`n35827=>4}O1>>0bl=;:01;f>{il1:>6=4>{I;40>hf;=0:?5m4}of;43<728qC5::4n`17>45?l2weh5>8:182M?0<2dj?94>39g8ykb?810;65<6sA3<86`n35827<64}O1>>0bl=;:01:7>{il1:o6=4>{I;40>hf;=0:?4:4}of;4`<728qC5::4n`17>45>=2weh5>i:182M?0<2dj?94>3848ykb?990;65<6sA3<86`n35827<>4}O1>>0bl=;:01:f>{il1;>6=4>{I;40>hf;=0:?4m4}of;53<728qC5::4n`17>45>l2weh5?8:182M?0<2dj?94>38g8ykb?910;65<6sA3<86`n35827d64}O1>>0bl=;:01b7>{il1;o6=4>{I;40>hf;=0:?l:4}of;5`<728qC5::4n`17>45f=2weh5?i:182M?0<2dj?94>3`48ykb?:90;65<6sA3<86`n35827d>?4?:0yK=224}O1>>0bl=;:01bf>{il18>6=4>{I;40>hf;=0:?lm4}of;63<728qC5::4n`17>45fl2weh5<8:182M?0<2dj?94>3`g8ykb?:10;65<6sA3<86`n35827g6l4?:0yK=224}O1>>0bl=;:01a7>{il18o6=4>{I;40>hf;=0:?o:4}of;6`<728qC5::4n`17>45e=2weh53c48ykb?;90;65<6sA3<86`n35827g>4}O1>>0bl=;:01af>{il19>6=4>{I;40>hf;=0:?om4}of;73<728qC5::4n`17>45el2weh5=8:182M?0<2dj?94>3cg8ykb?;10;65<6sA3<86`n35827f64}O1>>0bl=;:01`7>{il19o6=4>{I;40>hf;=0:?n:4}of;7`<728qC5::4n`17>45d=2weh5=i:182M?0<2dj?94>3b48ykb?<90;65<6sA3<86`n35827f>4}O1>>0bl=;:01`f>{il1>>6=4>{I;40>hf;=0:?nm4}of;03<728qC5::4n`17>45dl2weh5:8:182M?0<2dj?94>3bg8ykb?<10;65<6sA3<86`n35827a64}O1>>0bl=;:01g7>{il1>o6=4>{I;40>hf;=0:?i:4}of;0`<728qC5::4n`17>45c=2weh5:i:182M?0<2dj?94>3e48ykb?=90;65<6sA3<86`n35827a>4}O1>>0bl=;:01gf>{il1?>6=4>{I;40>hf;=0:?im4}of;13<728qC5::4n`17>45cl2weh5;8:182M?0<2dj?94>3eg8ykb?=10;65<6sA3<86`n35827`64}O1>>0bl=;:01f7>{il1?o6=4>{I;40>hf;=0:?h:4}of;1`<728qC5::4n`17>45b=2weh5;i:182M?0<2dj?94>3d48ykb?>90;65<6sA3<86`n35827`>4}O1>>0bl=;:01ff>{il1<>6=4>{I;40>hf;=0:?hm4}of;23<728qC5::4n`17>45bl2weh588:182M?0<2dj?94>3dg8ykb?>10;65<6sA3<86`n35827c64}O1>>0bl=;:01e7>{il1{I;40>hf;=0:?k:4}of;2`<728qC5::4n`17>45a=2weh58i:182M?0<2dj?94>3g48ykb??90;65<6sA3<86`n35827c>4}O1>>0bl=;:01ef>{il1=>6=4>{I;40>hf;=0:?km4}of;33<728qC5::4n`17>45al2weh598:182M?0<2dj?94>3gg8ykb??10;65<6sA3<86`n35820564}O1>>0bl=;:0637>{il1=o6=4>{I;40>hf;=0:8=:4}of;3`<728qC5::4n`17>427=2weh59i:182M?0<2dj?94>4148ykb?090;6;;6sad9:2>5<6sA3<86`n358205>4290:wE784:lb71<6<9k0qcj78583>4}O1>>0bl=;:063f>{il12>6=4>{I;40>hf;=0:8=m4}of;<3<728qC5::4n`17>427l2weh568:182M?0<2dj?94>41g8ykb?010;6;j6sad9::>5<6sA3<86`n35820461:m`=>e290:wE784:lb71<6<880qcj78b83>4}O1>>0bl=;:0627>{il12o6=4>{I;40>hf;=0:8<:4}of;<`<728qC5::4n`17>426=2weh56i:182M?0<2dj?94>4048ykb?190;6:;6sad9;2>5<6sA3<86`n358204>9:m`=?4290:wE784:lb71<6<8k0qcj79583>4}O1>>0bl=;:062f>{il13>6=4>{I;40>hf;=0:8426l2weh578:182M?0<2dj?94>40g8ykb?110;6:j6sad9;:>5<6sA3<86`n35820764}O1>>0bl=;:0617>{il13o6=4>{I;40>hf;=0:8?:4}of;=`<728qC5::4n`17>425=2weh57i:182M?0<2dj?94>4348ykb?i90;69;6sad9c2>5<6sA3<86`n358207>4}O1>>0bl=;:061f>{il1k>6=4>{I;40>hf;=0:8?m4}of;e3<728qC5::4n`17>425l2weh5o8:182M?0<2dj?94>43g8ykb?i10;69j6sad9c:>5<6sA3<86`n35820664}O1>>0bl=;:0607>{il1ko6=4>{I;40>hf;=0:8>:4}of;e`<728qC5::4n`17>424=2weh5oi:182M?0<2dj?94>4248ykb?j90;68;6sad9`2>5<6sA3<86`n358206>4}O1>>0bl=;:060f>{il1h>6=4>{I;40>hf;=0:8>m4}of;f3<728qC5::4n`17>424l2weh5l8:082M?0<2dj?94>42g8ykb?j10;68j6sad9`:>5<6sA3<86`n35820164}O1>>0bl=;:0677>{il1ho6=4>{I;40>hf;=0:89:4}of;f`<728qC5::4n`17>423=2weh5li:182M?0<2dj?94>4548ykb?k90;6?;6sad9a2>5<6sA3<86`n358201>4}O1>>0bl=;:067f>{il1i>6=4>{I;40>hf;=0:89m4}of;g3<728qC5::4n`17>423l2weh5m8:182M?0<2dj?94>45g8ykb?k10;6?j6sad9a:>5<6sA3<86`n35820064}O1>>0bl=;:0667>{il1io6=4>{I;40>hf;=0:88:4}of;g`<728qC5::4n`17>422=2weh5mi:182M?0<2dj?94>4448ykb?l90;6>;6sad9f2>5<6sA3<86`n358200>4}O1>>0bl=;:066f>{il1n>6=4>{I;40>hf;=0:88m4}of;`3<728qC5::4n`17>422l2weh5j8:182M?0<2dj?94>44g8ykb?l10;6>j6sad9f:>5<6sA3<86`n35820364}O1>>0bl=;:0657>{il1no6=4>{I;40>hf;=0:8;:4}of;``<728qC5::4n`17>421=2weh5ji:182M?0<2dj?94>4748ykb?m90;6=;6sad9g2>5<6sA3<86`n358203>4}O1>>0bl=;:065f>{il1o>6=4>{I;40>hf;=0:8;m4}of;a3<728qC5::4n`17>421l2weh5k8:182M?0<2dj?94>47g8ykb?m10;6=j6sad9g:>5<6sA3<86`n358202680qcj7eb83>4}O1>>0bl=;:0647>{il1oo6=4>{I;40>hf;=0:8::4}of;a`<728qC5::4n`17>420=2weh5ki:182M?0<2dj?94>4648ykb?n90;6<;6sad9d2>5<6sA3<86`n358202>k0qcj7f583>4}O1>>0bl=;:064f>{il1l>6=4>{I;40>hf;=0:8:m4}of;b3<728qC5::4n`17>420l2weh5h8:182M?0<2dj?94>46g8ykb?n10;65<6sA3<86`n35820=64}O1>>0bl=;:06;7>{il1lo6=4>{I;40>hf;=0:85:4}of;b`<728qC5::4n`17>42?=2weh5hi:182M?0<2dj?94>4948ykb>890;63;6sad822>5<6sA3<86`n35820=>4}O1>>0bl=;:06;f>{il0:>6=4>{I;40>hf;=0:85m4}of:43<728qC5::4n`17>42?l2weh4>8:082M?0<2dj?94>49g8ykb>810;63j6sad82:>5<6sA3<86`n35820<64}O1>>0bl=;:06:7>{il0:o6=4>{I;40>hf;=0:84:4}of:4`<728qC5::4n`17>42>=2weh4>i:182M?0<2dj?94>4848ykb>990;62;6sad832>5<6sA3<86`n35820<>4}O1>>0bl=;:06:f>{il0;>6=4>{I;40>hf;=0:84m4}of:53<728qC5::4n`17>42>l2weh4?8:182M?0<2dj?94>48g8ykb>910;62j6sad83:>5<6sA3<86`n35820d64}O1>>0bl=;:06b7>{il0;o6=4>{I;40>hf;=0:8l:4}of:5`<728qC5::4n`17>42f=2weh4?i:182M?0<2dj?94>4`48ykb>:90;6j;6sad802>5<6sA3<86`n35820d>?4?:0yK=224}O1>>0bl=;:06bf>{il08>6=4>{I;40>hf;=0:8lm4}of:63<728qC5::4n`17>42fl2weh4<8:182M?0<2dj?94>4`g8ykb>:10;6jj6sad80:>5<6sA3<86`n35820g6l4?:0yK=224}O1>>0bl=;:06a7>{il08o6=4>{I;40>hf;=0:8o:4}of:6`<728qC5::4n`17>42e=2weh44c48ykb>;90;6i;6sad812>5<6sA3<86`n35820g>4}O1>>0bl=;:06af>{il09>6=4>{I;40>hf;=0:8om4}of:73<728qC5::4n`17>42el2weh4=8:182M?0<2dj?94>4cg8ykb>;10;6ij6sad81:>5<6sA3<86`n35820f64}O1>>0bl=;:06`7>{il09o6=4>{I;40>hf;=0:8n:4}of:7`<728qC5::4n`17>42d=2weh4=i:182M?0<2dj?94>4b48ykb><90;6h;6sad862>5<6sA3<86`n35820f>4}O1>>0bl=;:06`f>"f:l095k>4}of:00<728qC5::4n`17>42dk2weh4:9:182M?0<2dj?94>4bf8ykb><>0;6hi6sad86;>5<6sA3<86`n35820f`4}O1>>0bl=;:06g6>{il0>h6=4>{I;40>hf;=0:8i=4}of:0a<728qC5::4n`17>42c<2weh4:j:182M?0<2dj?94>4e78ykb>o:6sad873>5<6sA3<86`n35820a1:0yK=224}O1>>0bl=;:06g=>{il0?86=4>{I;40>hf;=0:8io4}of:11<728qC5::4n`17>42cj2weh4;::182M?0<2dj?94>4ea8ykb>=?0;6oh6sad874>5<6sA3<86`n35820ac290:wE784:lb71<64}O1>>0bl=;:06f5>"f:l09m:k4}of:1g<728qC5::4n`17>42b:2weh4;l:082M?0<2dj?94>4d18 d4b2;k296sad87g>5<6sA3<86`n35820`2:0yK=224}O1>>0bl=;:06f2>{il0<;6=4>{I;40>hf;=0:8h94}of:24<728qC5::4n`17>42b02weh48=:182M?0<2dj?94>4d;8ykb>>:0;6nm6sad847>5<6sA3<86`n35820`d4}O1>>0bl=;:06fa>{il0<36=4>{I;40>hf;=0:8hh4}of:2<<728qC5::4n`17>42a82weh48n:182M?0<2dj?94>4g38ykb>>k0;6m>6sad84`>5<6sA3<86`n35820c54}O1>>0bl=;:06e2>{il0=;6=4>{I;40>hf;=0:8k94}of:34<728qC5::4n`17>42a02weh49=:182M?0<2dj?94>4g;8ykb>?:0;6mm6sad857>5<6sA3<86`n35820cd4}O1>>0bl=;:06ea>{il0=36=4>{I;40>hf;=0:8kh4}of:3<<728qC5::4n`17>43782weh49n:182M?0<2dj?94>5138ykb>?k0;66sad85`>5<6sA3<86`n35821554}O1>>0bl=;:0732>{il02;6<4>{I;40>hf;=0:9=94$`0f>7d5<2weh46>:082M?0<2dj?94>51:8 d4b2;h;m6sad8:1>5<6sA3<86`n358215?4?:0yK=223290:wE784:lb71<6=9h0qcj68483>4}O1>>0bl=;:073g>{il02=6=4>{I;40>hf;=0:9=j4}of:<2<728qC5::4n`17>437m2weh467:182M?0<2dj?94>51d8ykb>000;64uG9668jd5328?:<6sad8:b>5<5sA3<86`n35821472:m`<>d2909wE784:lb71<6=890qcj68e83>7}O1>>0bl=;:0720>{il02n6=4={I;40>hf;=0:9<;4}of:436>2weh47?:181M?0<2dj?94>5058ykb>180;6?uG9668jd5328?:46sad8;1>5<6sA3<86`n358214?4?:0yK=22a:m`4}O1>>0bl=;:072g>{il03=6=4>{I;40>hf;=0:9436m2weh477:182M?0<2dj?94>50d8ykb>100:64uG9668jd5328?9<6sad8;b>5<>sA3<86`n35821774}O1>>0bl=;:0710>{il03n6=4>{I;40>hf;=0:9?;4}of:=c<728qC5::4n`17>435>2weh4o?:182M?0<2dj?94>5358ykb>i80;65<6sA3<86`n358217?4?:0yK=224}O1>>0bl=;:071g>{il0k=6<46{I;40>hf;=0:9?j4}of:e2<720qC5::4n`17>435m2weh4o7:18:M?0<2dj?94>53d8ykb>i00;64uG9668jd5328?8<6sad8cb>5<6sA3<86`n35821674}O1>>0bl=;:0700>"f:l09o<:4}of:e`<728qC5::4n`17>434=2weh4oi:182M?0<2dj?94>5248ykb>j90;65<6sA3<86`n358216>:0yK=2220qcj6b283>4}O1>>0bl=;:070e>{il0h?6=4>{I;40>hf;=0:9>l4}of:f0<728qC5::4n`17>434k2weh4l9:182M?0<2dj?94>52f8ykb>j>0;65<6sA3<86`n358216`4}O1>>0bl=;:0776>{il0hh6=4>{I;40>hf;=0:99=4}of:fa<728qC5::4n`17>433<2weh4lj:182M?0<2dj?94>5578ykb>jo0;65<6sA3<86`n35821114}O1>>0bl=;:077e>{il0i?6=4>{I;40>hf;=0:99l4}of:g0<728qC5::4n`17>433k2weh4m9:182M?0<2dj?94>55f8ykb>k>0;65<6sA3<86`n358211`4}O1>>0bl=;:0766>{il0ih6=4>{I;40>hf;=0:98=4}of:ga<728qC5::4n`17>432<2weh4mj:182M?0<2dj?94>5478ykb>ko0;6:6sad8f3>5<6sA3<86`n35821014}O1>>0bl=;:076e>{il0n?6=4>{I;40>hf;=0:98l4}of:`0<728qC5::4n`17>432k2weh4j9:182M?0<2dj?94>54f8ykb>l>0;6i6sad8f;>5<6sA3<86`n358210`4}O1>>0bl=;:0756>{il0nh6=4>{I;40>hf;=0:9;=4}of:`a<728qC5::4n`17>431<2weh4jj:182M?0<2dj?94>5778ykb>lo0;65<6sA3<86`n35821314}O1>>0bl=;:075e>{il0o?6=4>{I;40>hf;=0:9;l4}of:a0<728qC5::4n`17>431k2weh4k9:182M?0<2dj?94>57f8ykb>m>0;65<6sA3<86`n358213`;0qcj6ec83>4}O1>>0bl=;:0746>{il0oh6=4>{I;40>hf;=0:9:=4}of:aa<728qC5::4n`17>430<2weh4kj:182M?0<2dj?94>5678ykb>mo0;65<6sA3<86`n358212130qcj6f283>4}O1>>0bl=;:074e>{il0l?6=4>{I;40>hf;=0:9:l4}of:b0<728qC5::4n`17>430k2weh4h9:182M?0<2dj?94>56f8ykb>n>0;65<6sA3<86`n358212`4}O1>>0bl=;:07;6>{il0lh6=4>{I;40>hf;=0:95=4}of:ba<728qC5::4n`17>43?<2weh4hj:182M?0<2dj?94>5978ykb>no0;65<6sA3<86`n35821=14}O1>>0bl=;:07;e>{ilh:?6=4>{I;40>hf;=0:95l4}ofb40<728qC5::4n`17>43?k2wehl>9:182M?0<2dj?94>59f8ykbf8>0;65<6sA3<86`n35821=`4}O1>>0bl=;:07:6>{ilh:h6=4>{I;40>hf;=0:94=4}ofb4a<728qC5::4n`17>43><2wehl>j:182M?0<2dj?94>5878ykbf8o0;65<6sA3<86`n35821<14}O1>>0bl=;:07:e>{ilh;?6=4={I;40>hf;=0:94l4}ofb50<72;qC5::4n`17>43>k2wehl?9:181M?0<2dj?94>58f8ykbf9>0;6?uG9668jd5328?2i6sad`3;>5<5sA3<86`n35821<`7}O1>>0bl=;:07b6>{ilh;h6=4>{I;40>hf;=0:9l=4}ofb5a<728qC5::4n`17>43f<2wehl?j:182M?0<2dj?94>5`78ykbf9o0;65<6sA3<86`n35821d1<4?:0yK=224}O1>>0bl=;:07be>{ilh8?6=4>{I;40>hf;=0:9ll4}ofb60<728qC5::4n`17>43fk2wehl<9:182M?0<2dj?94>5`f8ykbf:>0;65<6sA3<86`n35821d`44>:0yK=224}O1>>0bl=;:07a6>{ilh8h6=4>{I;40>hf;=0:9o=4}ofb6a<728qC5::4n`17>43e<2wehl5c78ykbf:o0;65<6sA3<86`n35821g14}O1>>0bl=;:07ae>{ilh9?6=4>{I;40>hf;=0:9ol4}ofb70<728qC5::4n`17>43ek2wehl=9:182M?0<2dj?94>5cf8ykbf;>0;64<6sA3<86`n35821g`<,h8n6?m9f:m`d5>290:wE784:lb71<6=j:0qcjn3`83>4}O1>>0bl=;:07`5>{ilh9i6=4>{I;40>hf;=0:9n<4}ofb7f<728qC5::4n`17>43d;2wehl=k:182M?0<2dj?94>5b68ykbf;l0;65<6sA3<86`n35821f04}O1>>0bl=;:07`=>{ilh>86=4>{I;40>hf;=0:9no4}ofb01<728qC5::4n`17>43dj2wehl:::182M?0<2dj?94>5ba8ykbf5<6sA3<86`n35821fc290:wE784:lb71<6=m:0qcjn4`83>4}O1>>0bl=;:07g5>{ilh>i6=4>{I;40>hf;=0:9i<4}ofb0f<728qC5::4n`17>43c;2wehl:k:182M?0<2dj?94>5e68ykbf5<6sA3<86`n35821a04}O1>>0bl=;:07g=>{ilh?86=4>{I;40>hf;=0:9io4}ofb11<728qC5::4n`17>43cj2wehl;::182M?0<2dj?94>5ea8ykbf=?0;65<6sA3<86`n35821ac:0yK=22290:wE784:lb71<6=l:0qcjn5`83>4}O1>>0bl=;:07f5>{ilh?i6=4>{I;40>hf;=0:9h<4}ofb1f<728qC5::4n`17>43b;2wehl;k:182M?0<2dj?94>5d68ykbf=l0;65<6sA3<86`n35821`04}O1>>0bl=;:07f=>{ilh<86=4>{I;40>hf;=0:9ho4}ofb21<728qC5::4n`17>43bj2wehl8::182M?0<2dj?94>5da8ykbf>?0;65<6sA3<86`n35821`c290:wE784:lb71<6=o:0qcjn6`83>4}O1>>0bl=;:07e5>{ilh{I;40>hf;=0:9k<4}ofb2f<728qC5::4n`17>43a;2wehl8k:182M?0<2dj?94>5g68ykbf>l0;65<6sA3<86`n35821c04}O1>>0bl=;:07e=>{ilh=86=4>{I;40>hf;=0:9ko4}ofb31<728qC5::4n`17>43aj2wehl9::182M?0<2dj?94>5ga8ykbf??0;65<6sA3<86`n35821cc290:wE784:lb71<6>9:0qcjn7`83>4}O1>>0bl=;:0435>{ilh=i6=4>{I;40>hf;=0::=<4}ofb3f<728qC5::4n`17>407;2wehl9k:182M?0<2dj?94>6168ykbf?l0;65<6sA3<86`n35822506290:wE784:lb71<6>920qcjn8383>4}O1>>0bl=;:043=>{ilh286=4>{I;40>hf;=0::=o4}ofb<1<728qC5::4n`17>407j2wehl6::182M?0<2dj?94>61a8ykbf0?0;65<6sA3<86`n358225c>290:wE784:lb71<6>8:0qcjn8`83>4}O1>>0bl=;:0425>{ilh2i6=4>{I;40>hf;=0::<<4}ofb406;2wehl6k:182M?0<2dj?94>6068ykbf0l0;65<6sA3<86`n35822407:m`d?6290:wE784:lb71<6>820qcjn9383>4}O1>>0bl=;:042=>{ilh386=4>{I;40>hf;=0::406j2wehl7::182M?0<2dj?94>60a8ykbf1?0;65<6sA3<86`n358224c:0yK=22f:&b6`<5kl:0qcjn9883>4}O1>>0bl=;:0414>{ilh3j6=4>{I;40>hf;=0::??4}ofb=g<728qC5::4n`17>405:2wehl7l:082M?0<2dj?94>6318ykbf1m0;65<6sA3<86`n3582273;=0qcjna083>4}O1>>0bl=;:041<>{ilhk96=4>{I;40>hf;=0::?74}ofbe6<728qC5::4n`17>405i2wehlo;:182M?0<2dj?94>63`8ykbfi<0;65<6sA3<86`n358227b;l0qcjna883>4}O1>>0bl=;:0404>{ilhkj6=4>{I;40>hf;=0::>?4}ofbeg<728qC5::4n`17>404:2wehlol:182M?0<2dj?94>6218ykbfim0;65<6sA3<86`n3582263:=0qcjnb083>4}O1>>0bl=;:040<>{ilhh96=4>{I;40>hf;=0::>74}ofbf6<728qC5::4n`17>404i2wehll;:182M?0<2dj?94>62`8ykbfj<0;65<6sA3<86`n358226b:l0qcjnb883>4}O1>>0bl=;:0474>{ilhhj6=4>{I;40>hf;=0::9?4}ofbfg<728qC5::4n`17>403:2wehlll:082M?0<2dj?94>6518 d4b2;n>o6sad``g>5<6sA3<86`n3582212=<0qcjnc183>4}O1>>0bl=;:0473>{ilhi:6=4>{I;40>hf;=0::964}ofbg7<728qC5::4n`17>40312wehlm<:182M?0<2dj?94>65c8ykbfk=0;65<6sA3<86`n358221e=o0qcjnc983>4}O1>>0bl=;:047b>{ilhi26=4>{I;40>hf;=0::8>4}ofbgd<728qC5::4n`17>40292wehlmm:182M?0<2dj?94>6408ykbfkj0;6?6sad`ag>5<6sA3<86`n3582202<<0qcjnd183>4}O1>>0bl=;:0463>{ilhn:6=4>{I;40>hf;=0::864}ofb`7<728qC5::4n`17>40212wehlj<:182M?0<2dj?94>64c8ykbfl=0;6n6sad`f6>5<6sA3<86`n358220e4}O1>>0bl=;:046b>{ilhn26=4>{I;40>hf;=0::;>4}ofb`d<728qC5::4n`17>40192wehljm:182M?0<2dj?94>6708ykbflj0:65<6sA3<86`n3582232?<0qcjne183>4}O1>>0bl=;:0453>{ilho:6=4>{I;40>hf;=0::;64}ofba7<728qC5::4n`17>40112wehlk<:182M?0<2dj?94>67c8ykbfm=0;65<6sA3<86`n358223e?o0qcjne983>4}O1>>0bl=;:045b>{ilho26=4>{I;40>hf;=0:::>4}ofbad<728qC5::4n`17>40092wehlkm:182M?0<2dj?94>6608ykbfmj0;65<6sA3<86`n3582222><0qcjnf183>4}O1>>0bl=;:0443>{ilhl:6=4>{I;40>hf;=0:::64}ofbb7<728qC5::4n`17>40012wehlh<:182M?0<2dj?94>66c8ykbfn=0;65<6sA3<86`n358222e>o0qcjnf983>4}O1>>0bl=;:044b>{ilhl26=4>{I;40>hf;=0::5>4}ofbbd<728qC5::4n`17>40?92wehlhm:182M?0<2dj?94>6908ykbfnj0;65<6sA3<86`n35822=21<0qcjm0183>4}O1>>0bl=;:04;3>{ilk::6=4>{I;40>hf;=0::564}ofa47<728qC5::4n`17>40?12weho><:182M?0<2dj?94>69c8ykbe8=0;65<6sA3<86`n35822=e1o0qcjm0983>4}O1>>0bl=;:04;b>{ilk:26=4>{I;40>hf;=0::4>4}ofa4d<728qC5::4n`17>40>92weho>m:182M?0<2dj?94>6808ykbe8j0;65<6sA3<86`n35822<20<0qcjm1183>4}O1>>0bl=;:04:3>{ilk;:6=4>{I;40>hf;=0::464}ofa57<728qC5::4n`17>40>12weho?<:182M?0<2dj?94>68c8ykbe9=0;65<6sA3<86`n358220o0qcjm1983>4}O1>>0bl=;:04:b>{ilk;26=4>{I;40>hf;=0::l>4}ofa5d<728qC5::4n`17>40f92weho?m:182M?0<2dj?94>6`08ykbe9j0;65<6sA3<86`n35822d2h<0qcjm2183>4}O1>>0bl=;:04b3>{ilk8:6=4>{I;40>hf;=0::l64}ofa67<728qC5::4n`17>40f12weho<<:182M?0<2dj?94>6`c8ykbe:=0;65<6sA3<86`n35822de;4?:0yK=22ho0qcjm2983>4}O1>>0bl=;:04bb>{ilk826=4>{I;40>hf;=0::o>4}ofa6d<728qC5::4n`17>40e92weho6c08ykbe:j0;65<6sA3<86`n35822g2h4?:0yK=22k<0qcjm3183>4}O1>>0bl=;:04a3>{ilk9:6=4>{I;40>hf;=0::o64}ofa77<728qC5::4n`17>40e12weho=<:182M?0<2dj?94>6cc8ykbe;=0;65<6sA3<86`n35822geko0qcjm3983>4}O1>>0bl=;:04ab>{ilk926=4>{I;40>hf;=0::n>4}ofa7d<728qC5::4n`17>40d92weho=m:182M?0<2dj?94>6b08ykbe;j0;65<6sA3<86`n35822f2j<0qcjm4183>4}O1>>0bl=;:04`3>{ilk>:6=4>{I;40>hf;=0::n64}ofa07<728qC5::4n`17>40d12weho:<:182M?0<2dj?94>6bc8ykbe<=0;65<6sA3<86`n35822fejo0qcjm4983>4}O1>>0bl=;:04`b>{ilk>26=4>{I;40>hf;=0::i>4}ofa0d<728qC5::4n`17>40c92weho:m:182M?0<2dj?94>6e08ykbe5<6sA3<86`n35822a2m<0qcjm5183>4}O1>>0bl=;:04g3>{ilk?:6=4>{I;40>hf;=0::i64}ofa17<728qC5::4n`17>40c12weho;<:182M?0<2dj?94>6ec8ykbe==0;65<6sA3<86`n35822aemo0qcjm5983>4}O1>>0bl=;:04gb>{ilk?26=4>{I;40>hf;=0::h>4}ofa1d<728qC5::4n`17>40b92weho;m:182M?0<2dj?94>6d08ykbe=j0;65<6sA3<86`n35822`2l<0(l{ilk<;6=4>{I;40>hf;=0::h94}ofa24<628qC5::4n`17>40b02.j>h4<0428ykbe>;0;64<6sA3<86`n35822`g<,h8n6>>:1:m`g03290:wE784:lb71<6>lh0qcjm6482>4}O1>>0bl=;:04fg>"f:l08<9k4}ofa23<728qC5::4n`17>40bl2weho88:082M?0<2dj?94>6dg8 d4b2::>?6sadc4;>5<6sA3<86`n35822``:0yK=220qcjm6`83>4}O1>>0bl=;:04e5>{ilk{I;40>hf;=0::k<4$`0f>662=2weho8l:182M?0<2dj?94>6g18ykbe>m0:6o<0(l{ilk=;6=4>{I;40>hf;=0::k94}ofa34<628qC5::4n`17>40a02.j>h4<04:8ykbe?;0;64<6sA3<86`n35822cg<,h8n6>>:9:m`g13290:wE784:lb71<6>oh0qcjm7482>4}O1>>0bl=;:04eg>"f:l08<8o4}ofa33<728qC5::4n`17>40al2weho98:082M?0<2dj?94>6gg8 d4b2::>>6sadc5;>5<6sA3<86`n35822c`4}O1>>0bl=;:0536>{ilk=h6=4>{I;40>hf;=0:;==4}ofa3a<728qC5::4n`17>417<2weho9j:182M?0<2dj?94>7178ykbe?o0;64<6sA3<86`n3582351<,h8n6>=;5:m`g>6290:wE784:lb71<6?920qcjm8382>4}O1>>0bl=;:053=>"f:l08?984}ofa<6<728qC5::4n`17>417i2weho6;:082M?0<2dj?94>71`8 d4b2:9?;6sadc:6>5<6sA3<86`n358235e0290:wE784:lb71<6?9o0qcjm8983>4}O1>>0bl=;:053b>{ilk226=4>{I;40>hf;=0:;<>4}ofa41692.j>h4<32d8ykbe0k0;66sadc:`>5<6sA3<86`n3582345:0yK=224:&b6`<4;=k0qcjm8d83>4}O1>>0bl=;:0521>{ilk2m6<4>{I;40>hf;=0:;<84$`0f>653j2weho7?:182M?0<2dj?94>7058ykbe180:69:m`g?4280:wE784:lb71<6?8k0(l{ilk3?6=4>{I;40>hf;=0:;416k2.j>h4<35g8ykbe1?0;64<6sA3<86`n358234c<,h8n6>=;f:m`g??290:wE784:lb71<6?8l0qcjm9882>4}O1>>0bl=;:0514>"f:l08?8>4}ofa=d<728qC5::4n`17>41592weho7m:082M?0<2dj?94>7308 d4b2:9?46sadc;`>5<6sA3<86`n35823754}O1>>0bl=;:0512>{ilkk;6=4>{I;40>hf;=0:;?94}ofae4<728qC5::4n`17>41502wehoo=:082M?0<2dj?94>73;8 d4b2:9?i6sadcc0>5<6sA3<86`n358237g:0yK=224}O1>>0bl=;:051g>{ilkk=6<4>{I;40>hf;=0:;?j4$`0f>65282wehoo8:182M?0<2dj?94>73g8ykbei10;65<6sA3<86`n35823664}O1>>0bl=;:0507>"f:l08?964}ofaea<728qC5::4n`17>414<2wehooj:182M?0<2dj?94>7278ykbeio0;65<6sA3<86`n35823614}O1>>0bl=;:050e>{ilkh?6=4>{I;40>hf;=0:;>l4}ofaf0<728qC5::4n`17>414k2wehol9:182M?0<2dj?94>72f8ykbej>0;65<6sA3<86`n358236`4}O1>>0bl=;:0576>{ilkhh6=4>{I;40>hf;=0:;9=4}ofafa<728qC5::4n`17>413<2weholj:182M?0<2dj?94>7578ykbejo0;65<6sA3<86`n35823114}O1>>0bl=;:057e>{ilki?6=4>{I;40>hf;=0:;9l4}ofag0<728qC5::4n`17>413k2wehom9:182M?0<2dj?94>75f8ykbek>0;65<6sA3<86`n358231`4}O1>>0bl=;:0566>{ilkih6=4>{I;40>hf;=0:;8=4}ofaga<728qC5::4n`17>412<2wehomj:182M?0<2dj?94>7478ykbeko0;6:6sadcf3>5<6sA3<86`n35823014}O1>>0bl=;:056e>{ilkn?6=4>{I;40>hf;=0:;8l4}ofa`0<728qC5::4n`17>412k2wehoj9:182M?0<2dj?94>74f8ykbel>0;6i6sadcf;>5<6sA3<86`n358230`4}O1>>0bl=;:0556>{ilknh6=4>{I;40>hf;=0:;;=4}ofa`a<728qC5::4n`17>411<2wehojj:182M?0<2dj?94>7778ykbelo0;65<6sA3<86`n35823314}O1>>0bl=;:055e>{ilko?6=4>{I;40>hf;=0:;;l4}ofaa0<728qC5::4n`17>411k2wehok9:182M?0<2dj?94>77f8ykbem>0;65<6sA3<86`n358233`;0qcjmec83>4}O1>>0bl=;:0546>{ilkoh6=4>{I;40>hf;=0:;:=4}ofaaa<728qC5::4n`17>410<2wehokj:182M?0<2dj?94>7678ykbemo0;65<6sA3<86`n358232130qcjmf283>4}O1>>0bl=;:054e>{ilkl?6=4>{I;40>hf;=0:;:l4}ofab0<728qC5::4n`17>410k2wehoh9:182M?0<2dj?94>76f8ykben>0;65<6sA3<86`n358232`4}O1>>0bl=;:05;6>{ilklh6=4>{I;40>hf;=0:;5=4}ofaba<728qC5::4n`17>41?<2wehohj:182M?0<2dj?94>7978ykbeno0;65<6sA3<86`n35823=14}O1>>0bl=;:05;e>{ilj:?6=4>{I;40>hf;=0:;5l4}of`40<728qC5::4n`17>41?k2wehn>9:182M?0<2dj?94>79f8ykbd8>0;65<6sA3<86`n35823=`4}O1>>0bl=;:05:6>{ilj:h6=4>{I;40>hf;=0:;4=4}of`4a<728qC5::4n`17>41><2wehn>j:182M?0<2dj?94>7878ykbd8o0;65<6sA3<86`n35823<14}O1>>0bl=;:05:e>{ilj;?6=4>{I;40>hf;=0:;4l4}of`50<728qC5::4n`17>41>k2wehn?9:182M?0<2dj?94>78f8ykbd9>0;65<6sA3<86`n35823<`4}O1>>0bl=;:05b6>{ilj;h6=4>{I;40>hf;=0:;l=4}of`5a<728qC5::4n`17>41f<2wehn?j:182M?0<2dj?94>7`78ykbd9o0;65<6sA3<86`n35823d1<4?:0yK=224}O1>>0bl=;:05be>{ilj8?6=4>{I;40>hf;=0:;ll4}of`60<728qC5::4n`17>41fk2wehn<9:182M?0<2dj?94>7`f8ykbd:>0;65<6sA3<86`n35823d`44?:0yK=224}O1>>0bl=;:05a6>{ilj8h6=4>{I;40>hf;=0:;o=4}of`6a<728qC5::4n`17>41e<2wehn7c78ykbd:o0;65<6sA3<86`n35823g14}O1>>0bl=;:05ae>{ilj9?6=4>{I;40>hf;=0:;ol4}of`70<728qC5::4n`17>41ek2wehn=9:182M?0<2dj?94>7cf8ykbd;>0;65<6sA3<86`n35823g`4}O1>>0bl=;:05`6>{ilj9h6=4>{I;40>hf;=0:;n=4}of`7a<728qC5::4n`17>41d<2wehn=j:182M?0<2dj?94>7b78ykbd;o0;65<6sA3<86`n35823f14}O1>>0bl=;:05`e>{ilj>?6=4>{I;40>hf;=0:;nl4}of`00<728qC5::4n`17>41dk2wehn:9:182M?0<2dj?94>7bf8ykbd<>0;65<6sA3<86`n35823f`4}O1>>0bl=;:05g6>{ilj>h6=4>{I;40>hf;=0:;i=4}of`0a<728qC5::4n`17>41c<2wehn:j:182M?0<2dj?94>7e78ykbd5<6sA3<86`n35823a14}O1>>0bl=;:05ge>{ilj??6=4>{I;40>hf;=0:;il4}of`10<728qC5::4n`17>41ck2wehn;9:182M?0<2dj?94>7ef8ykbd=>0;65<6sA3<86`n35823a`4}O1>>0bl=;:05f6>{ilj?h6=4>{I;40>hf;=0:;h=4}of`1a<728qC5::4n`17>41b<2wehn;j:182M?0<2dj?94>7d78ykbd=o0;65<6sA3<86`n35823`14}O1>>0bl=;:05fe>{ilj{I;40>hf;=0:;hl4}of`20<728qC5::4n`17>41bk2wehn89:182M?0<2dj?94>7df8ykbd>>0;65<6sA3<86`n35823``4}O1>>0bl=;:05e6>{ilj{I;40>hf;=0:;k=4}of`2a<728qC5::4n`17>41a<2wehn8j:182M?0<2dj?94>7g78ykbd>o0;65<6sA3<86`n35823c14}O1>>0bl=;:05ee>{ilj=?6=4>{I;40>hf;=0:;kl4}of`30<728qC5::4n`17>41ak2wehn99:182M?0<2dj?94>7gf8ykbd?>0;65<6sA3<86`n35823c`4}O1>>0bl=;:0:36>{ilj=h6=4>{I;40>hf;=0:4==4}of`3a<728qC5::4n`17>4>7<2wehn9j:182M?0<2dj?94>8178ykbd?o0;65<6sA3<86`n3582<515290:wE784:lb71<60930qcjl8283>4}O1>>0bl=;:0:3e>{ilj2?6=4>{I;40>hf;=0:4=l4}of`<0<728qC5::4n`17>4>7k2wehn69:182M?0<2dj?94>81f8ykbd0>0;65<6sA3<86`n3582<5`0:m`f>f290:wE784:lb71<608;0qcjl8c83>4}O1>>0bl=;:0:26>{ilj2h6=4>{I;40>hf;=0:4<=4}of`4>6<2wehn6j:182M?0<2dj?94>8078ykbd0o0;65<6sA3<86`n3582<418:m`f?5290:wE784:lb71<60830qcjl9283>4}O1>>0bl=;:0:2e>{ilj3?6=4>{I;40>hf;=0:44>6k2wehn79:182M?0<2dj?94>80f8ykbd1>0;65<6sA3<86`n3582<4`4}O1>>0bl=;:0:16>{ilj3h6=4>{I;40>hf;=0:4?=4}of`=a<728qC5::4n`17>4>5<2wehn7j:182M?0<2dj?94>8378ykbd1o0;65<6sA3<86`n3582<714}O1>>0bl=;:0:1e>{iljk?6=4>{I;40>hf;=0:4?l4}of`e0<728qC5::4n`17>4>5k2wehno9:182M?0<2dj?94>83f8ykbdi>0;65<6sA3<86`n3582<7`4}O1>>0bl=;:0:06>{iljkh6=4>{I;40>hf;=0:4>=4}of`ea<728qC5::4n`17>4>4<2wehnoj:182M?0<2dj?94>8278ykbdio0;65<6sA3<86`n3582<614}O1>>0bl=;:0:0e>{iljh?6=4>{I;40>hf;=0:4>l4}of`f0<728qC5::4n`17>4>4k2wehnl9:182M?0<2dj?94>82f8ykbdj>0;65<6sA3<86`n3582<6`4}O1>>0bl=;:0:76>{iljhh6=4>{I;40>hf;=0:49=4}of`fa<728qC5::4n`17>4>3<2wehnlj:182M?0<2dj?94>8578ykbdjo0;65<6sA3<86`n3582<114}O1>>0bl=;:0:7e>{ilji?6=4>{I;40>hf;=0:49l4}of`g0<728qC5::4n`17>4>3k2wehnm9:182M?0<2dj?94>85f8ykbdk>0;65<6sA3<86`n3582<1`4}O1>>0bl=;:0:66>{iljih6=4>{I;40>hf;=0:48=4}of`ga<728qC5::4n`17>4>2<2wehnmj:082M?0<2dj?94>8478 d4b2:9?56sadbae>5<6sA3<86`n3582<004}O1>>0bl=;:0:6=>{iljn86=4>{I;40>hf;=0:48o4}of``1<728qC5::4n`17>4>2j2wehnj::182M?0<2dj?94>84a8ykbdl?0;6h6sadbf4>5<6sA3<86`n3582<0c290:wE784:lb71<60?:0qcjld`83>4}O1>>0bl=;:0:55>{iljni6=4>{I;40>hf;=0:4;<4}of``f<728qC5::4n`17>4>1;2wehnjk:182M?0<2dj?94>8768ykbdll0;65<6sA3<86`n3582<304}O1>>0bl=;:0:5=>{iljo86=4>{I;40>hf;=0:4;o4}of`a1<728qC5::4n`17>4>1j2wehnk::182M?0<2dj?94>87a8ykbdm?0;65<6sA3<86`n3582<3c290:wE784:lb71<60>:0qcjle`83>4}O1>>0bl=;:0:45>{iljoi6=4>{I;40>hf;=0:4:<4}of`af<728qC5::4n`17>4>0;2wehnkk:182M?0<2dj?94>8668ykbdml0;65<6sA3<86`n3582<2020qcjlf383>4}O1>>0bl=;:0:4=>{iljl86=4>{I;40>hf;=0:4:o4}of`b1<728qC5::4n`17>4>0j2wehnh::182M?0<2dj?94>86a8ykbdn?0;64<6sA3<86`n3582<2c:0yK=22280:wE784:lb71<601:0qcjlf`82>4}O1>>0bl=;:0:;5>{iljli6<4>{I;40>hf;=0:45<4}of`bf<628qC5::4n`17>4>?;2wehnhk:082M?0<2dj?94>8968ykbdnl0:64<6sA3<86`n3582<=0:0yK=224}O1>>0bl=;:0:;=>{ilm:86<4>{I;40>hf;=0:45o4}ofg41<728qC5::4n`17>4>?j2wehi>::182M?0<2dj?94>89a8ykbc8?0;65<6sA3<86`n3582<=c290:wE784:lb71<600:0qcjk0`83>4}O1>>0bl=;:0::5>{ilm:i6=4>{I;40>hf;=0:44<4}ofg4f<728qC5::4n`17>4>>;2wehi>k:182M?0<2dj?94>8868ykbc8l0;65<6sA3<86`n3582<<04}O1>>0bl=;:0::=>{ilm;86=4>{I;40>hf;=0:44o4}ofg51<728qC5::4n`17>4>>j2wehi?::182M?0<2dj?94>88a8ykbc9?0;65<6sA3<86`n3582<290:wE784:lb71<60h:0qcjk1`83>4}O1>>0bl=;:0:b5>{ilm;i6=4>{I;40>hf;=0:4l<4}ofg5f<728qC5::4n`17>4>f;2wehi?k:182M?0<2dj?94>8`68ykbc9l0;65<6sA3<86`n3582=4?:0yK=224}O1>>0bl=;:0:b=>{ilm886=4>{I;40>hf;=0:4lo4}ofg61<728qC5::4n`17>4>fj2wehi<::182M?0<2dj?94>8`a8ykbc:?0;65<6sA3<86`n358254?:0yK=22290:wE784:lb71<60k:0qcjk2`83>4}O1>>0bl=;:0:a5>{ilm8i6=4>{I;40>hf;=0:4o<4}ofg6f<728qC5::4n`17>4>e;2wehi8c68ykbc:l0;65<6sA3<86`n35824}O1>>0bl=;:0:a=>{ilm986=4>{I;40>hf;=0:4oo4}ofg71<728qC5::4n`17>4>ej2wehi=::182M?0<2dj?94>8ca8ykbc;?0;65<6sA3<86`n3582290:wE784:lb71<60j:0qcjk3`83>4}O1>>0bl=;:0:`5>{ilm9i6=4>{I;40>hf;=0:4n<4}ofg7f<728qC5::4n`17>4>d;2wehi=k:182M?0<2dj?94>8b68ykbc;l0;65<6sA3<86`n35824}O1>>0bl=;:0:`=>{ilm>86=4>{I;40>hf;=0:4no4}ofg01<728qC5::4n`17>4>dj2wehi:::182M?0<2dj?94>8ba8ykbc5<6sA3<86`n3582290:wE784:lb71<60m:0qcjk4`83>4}O1>>0bl=;:0:g5>{ilm>i6=4>{I;40>hf;=0:4i<4}ofg0f<728qC5::4n`17>4>c;2wehi:k:182M?0<2dj?94>8e68ykbc5<6sA3<86`n35824}O1>>0bl=;:0:g=>{ilm?86=4>{I;40>hf;=0:4io4}ofg11<728qC5::4n`17>4>cj2wehi;::182M?0<2dj?94>8ea8ykbc=?0;65<6sA3<86`n3582290:wE784:lb71<60l:0qcjk5`83>4}O1>>0bl=;:0:f5>{ilm?i6=4>{I;40>hf;=0:4h<4}ofg1f<728qC5::4n`17>4>b;2wehi;k:182M?0<2dj?94>8d68ykbc=l0;65<6sA3<86`n3582<`0{ilm<96=4>{I;40>hf;=0:4h74}ofg26<728qC5::4n`17>4>bi2wehi8;:082M?0<2dj?94>8d`8 d4b2::m:6sade46>5<6sA3<86`n3582<`e{ilm<36=4>{I;40>hf;=0:4hh4}ofg2<<728qC5::4n`17>4>a82wehi8n:082M?0<2dj?94>8g38 d4b2:;;>6sade4a>5<6sA3<86`n35820(l{ilm{I;40>hf;=0:4k;4}ofg2c<728qC5::4n`17>4>a>2wehi9?:082M?0<2dj?94>8g58 d4b2:;;i6sade52>5<6sA3<86`n3582{ilm=?6=4>{I;40>hf;=0:4kl4}ofg30<728qC5::4n`17>4>ak2wehi99:082M?0<2dj?94>8gf8 d4b2:;:m6sade54>5<6sA3<86`n3582280:wE784:lb71<619:0(l{ilm=j6=4>{I;40>hf;=0:5=?4}ofg3g<728qC5::4n`17>4?7:2wehi9l:182M?0<2dj?94>9118ykbc?m0;64<6sA3<86`n3582=53<,h8n6>?=d:m`a1a290:wE784:lb71<619<0qcjk8183>4}O1>>0bl=;:0;33>{ilm2:6<4>{I;40>hf;=0:5=64$`0f>674?2wehi6=:082M?0<2dj?94>91;8 d4b2:;8o6sade:0>5<6sA3<86`n3582=5g2280:wE784:lb71<619i0(l{ilm2=6<4>{I;40>hf;=0:5=j4$`0f>67302wehi68:182M?0<2dj?94>91g8ykbc010;64<6sA3<86`n3582=46<,h8n6>?;f:m`a>f280:wE784:lb71<618;0(l{ilm2i6=4>{I;40>hf;=0:5<<4}ofg4?6;2wehi6k:182M?0<2dj?94>9068ykbc0l0:66:m`a?7290:wE784:lb71<618=0qcjk9083>4}O1>>0bl=;:0;2<>{ilm396=4>{I;40>hf;=0:5<74}ofg=6<728qC5::4n`17>4?6i2wehi7;:182M?0<2dj?94>90`8ykbc1<0;65<6sA3<86`n3582=4be:m`a??290:wE784:lb71<618l0qcjk9883>4}O1>>0bl=;:0;14>{ilm3j6=4>{I;40>hf;=0:5??4}ofg=g<728qC5::4n`17>4?5:2wehi7l:182M?0<2dj?94>9318ykbc1m0;65<6sA3<86`n3582=734}O1>>0bl=;:0;1<>{ilmk96=4>{I;40>hf;=0:5?74}ofge6<728qC5::4n`17>4?5i2wehio;:182M?0<2dj?94>93`8ykbci<0;65<6sA3<86`n3582=7b4}O1>>0bl=;:0;04>{ilmkj6=4>{I;40>hf;=0:5>?4}ofgeg<728qC5::4n`17>4?4:2wehiol:082M?0<2dj?94>9218 d4b2:;2j6sadecg>5<6sA3<86`n3582=624}O1>>0bl=;:0;03>{ilmh:6=4>{I;40>hf;=0:5>64}ofgf7<728qC5::4n`17>4?412wehil<:082M?0<2dj?94>92c8 d4b2:;ji6sade`7>5<6sA3<86`n3582=6d4}O1>>0bl=;:0;0a>{ilmh36=4>{I;40>hf;=0:5>h4}ofgf<<728qC5::4n`17>4?382wehiln:182M?0<2dj?94>9538ykbcjk0;66sade``>5<6sA3<86`n3582=15:0yK=224}O1>>0bl=;:0;71>{ilmhm6=4>{I;40>hf;=0:5984}ofgg5<628qC5::4n`17>4?3?2.j>h4<1e`8ykbck80;65<6sA3<86`n3582=1?4?:0yK=224}O1>>0bl=;:0;7g>{ilmi=6=4>{I;40>hf;=0:59j4}ofgg2<728qC5::4n`17>4?3m2wehim7:182M?0<2dj?94>95d8ykbck00;6<6sadeab>5<6sA3<86`n3582=074}O1>>0bl=;:0;60>{ilmin6=4>{I;40>hf;=0:58;4}ofggc<728qC5::4n`17>4?2>2wehij?:182M?0<2dj?94>9458ykbcl80;646sadef1>5<6sA3<86`n3582=0?4?:0yK=224}O1>>0bl=;:0;6g>{ilmn=6=4>{I;40>hf;=0:58j4}ofg`2<728qC5::4n`17>4?2m2wehij7:182M?0<2dj?94>94d8ykbcl00;65<6sA3<86`n3582=374}O1>>0bl=;:0;50>{ilmnn6=4>{I;40>hf;=0:5;;4}ofg`c<728qC5::4n`17>4?1>2wehik?:182M?0<2dj?94>9758ykbcm80;65<6sA3<86`n3582=3?4?:0yK=224}O1>>0bl=;:0;5g>{ilmo=6=4>{I;40>hf;=0:5;j4}ofga2<728qC5::4n`17>4?1m2wehik7:182M?0<2dj?94>97d8ykbcm00;65<6sA3<86`n3582=2790qcjkee83>4}O1>>0bl=;:0;40>{ilmon6=4>{I;40>hf;=0:5:;4}ofgac<728qC5::4n`17>4?0>2wehih?:182M?0<2dj?94>9658ykbcn80;65<6sA3<86`n3582=2?4?:0yK=22h0qcjkf483>4}O1>>0bl=;:0;4g>{ilml=6=4>{I;40>hf;=0:5:j4}ofgb2<728qC5::4n`17>4?0m2wehih7:182M?0<2dj?94>96d8ykbcn00;65<6sA3<86`n3582==74}O1>>0bl=;:0;;0>{ilmln6=4>{I;40>hf;=0:55;4}ofgbc<728qC5::4n`17>4??>2wehh>?:182M?0<2dj?94>9958ykbb880;65<6sA3<86`n3582==?4?:0yK=224}O1>>0bl=;:0;;g>{ill:=6=4>{I;40>hf;=0:55j4}off42<728qC5::4n`17>4??m2wehh>7:182M?0<2dj?94>99d8ykbb800;65<6sA3<86`n3582=<74}O1>>0bl=;:0;:0>{ill:n6=4>{I;40>hf;=0:54;4}off4c<728qC5::4n`17>4?>>2wehh??:182M?0<2dj?94>9858ykbb980;65<6sA3<86`n3582=4?:0yK=224}O1>>0bl=;:0;:g>{ill;=6=4>{I;40>hf;=0:54j4}off52<728qC5::4n`17>4?>m2wehh?7:182M?0<2dj?94>98d8ykbb900;65<6sA3<86`n3582=d74}O1>>0bl=;:0;b0>{ill;n6=4>{I;40>hf;=0:5l;4}off5c<728qC5::4n`17>4?f>2wehh9`58ykbb:80;65<6sA3<86`n3582=d?>4?:0yK=224}O1>>0bl=;:0;bg>{ill8=6=4>{I;40>hf;=0:5lj4}off62<728qC5::4n`17>4?fm2wehh<7:182M?0<2dj?94>9`d8ykbb:00;65<6sA3<86`n3582=g7o4?:0yK=224}O1>>0bl=;:0;a0>{ill8n6=4>{I;40>hf;=0:5o;4}off6c<728qC5::4n`17>4?e>2wehh=?:182M?0<2dj?94>9c58ykbb;80;65<6sA3<86`n3582=g?4?:0yK=224}O1>>0bl=;:0;ag>{ill9=6=4>{I;40>hf;=0:5oj4}off72<728qC5::4n`17>4?em2wehh=7:182M?0<2dj?94>9cd8ykbb;00;65<6sA3<86`n3582=f74}O1>>0bl=;:0;`0>{ill9n6=4>{I;40>hf;=0:5n;4}off7c<728qC5::4n`17>4?d>2wehh:?:182M?0<2dj?94>9b58ykbb<80;65<6sA3<86`n3582=f?4?:0yK=224}O1>>0bl=;:0;`g>{ill>=6=4>{I;40>hf;=0:5nj4}off02<728qC5::4n`17>4?dm2wehh:7:182M?0<2dj?94>9bd8ykbb<00;65<6sA3<86`n3582=a74}O1>>0bl=;:0;g0>{ill>n6=4>{I;40>hf;=0:5i;4}off0c<728qC5::4n`17>4?c>2wehh;?:182M?0<2dj?94>9e58ykbb=80;65<6sA3<86`n3582=a?4?:0yK=224}O1>>0bl=;:0;gg>{ill?=6=4>{I;40>hf;=0:5ij4}off12<728qC5::4n`17>4?cm2wehh;7:182M?0<2dj?94>9ed8ykbb=00;65<6sA3<86`n3582=`74}O1>>0bl=;:0;f0>{ill?n6=4>{I;40>hf;=0:5h;4}off1c<628qC5::4n`17>4?b>2wehh8?:082M?0<2dj?94>9d58 d4b2:8j;6sadd42>4<6sA3<86`n3582=`>4}O1>>0bl=;:0;ff>{ill<>6=4>{I;40>hf;=0:5hm4}off23<728qC5::4n`17>4?bl2wehh88:182M?0<2dj?94>9dg8ykbb>10;65<6sA3<86`n3582=c64}O1>>0bl=;:0;e7>{ill{I;40>hf;=0:5k:4}off2`<728qC5::4n`17>4?a=2wehh8i:182M?0<2dj?94>9g48ykbb?90;65<6sA3<86`n3582=c>4}O1>>0bl=;:0;ef>{ill=>6=4>{I;40>hf;=0:5km4}off33<728qC5::4n`17>4?al2wehh98:182M?0<2dj?94>9gg8ykbb?10;65<6sA3<86`n3582e564}O1>>0bl=;:0c37>{ill=o6=4>{I;40>hf;=0:m=:4}off3`<728qC5::4n`17>4g7=2wehh9i:182M?0<2dj?94>a148ykbb090;65<6sA3<86`n3582e5>4290:wE784:lb71<6i9k0qcjj8583>4}O1>>0bl=;:0c3f>{ill2>6=4>{I;40>hf;=0:m=m4}off<3<728qC5::4n`17>4g7l2wehh68:182M?0<2dj?94>a1g8ykbb010;65<6sA3<86`n3582e461:m``>e290:wE784:lb71<6i880qcjj8b83>4}O1>>0bl=;:0c27>{ill2o6=4>{I;40>hf;=0:m<:4}off<`<728qC5::4n`17>4g6=2wehh6i:182M?0<2dj?94>a048ykbb190;65<6sA3<86`n3582e4>9:m``?4290:wE784:lb71<6i8k0qcjj9583>4}O1>>0bl=;:0c2f>{ill3>6=4>{I;40>hf;=0:m4g6l2wehh78:182M?0<2dj?94>a0g8ykbb110;65<6sA3<86`n3582e764}O1>>0bl=;:0c17>{ill3o6=4>{I;40>hf;=0:m?:4}off=`<728qC5::4n`17>4g5=2wehh7i:182M?0<2dj?94>a348ykbbi90;65<6sA3<86`n3582e7>4}O1>>0bl=;:0c1f>{illk>6=4>{I;40>hf;=0:m?m4}offe3<728qC5::4n`17>4g5l2wehho8:182M?0<2dj?94>a3g8ykbbi10;65<6sA3<86`n3582e664}O1>>0bl=;:0c07>{illko6=4>{I;40>hf;=0:m>:4}offe`<728qC5::4n`17>4g4=2wehhoi:182M?0<2dj?94>a248ykbbj90;65<6sA3<86`n3582e6>4}O1>>0bl=;:0c0f>{illh>6=4>{I;40>hf;=0:m>m4}offf3<728qC5::4n`17>4g4l2wehhl8:182M?0<2dj?94>a2g8ykbbj10;65<6sA3<86`n3582e164}O1>>0bl=;:0c77>{illho6=4>{I;40>hf;=0:m9:4}offf`<728qC5::4n`17>4g3=2wehhli:182M?0<2dj?94>a548ykbbk90;65<6sA3<86`n3582e1>4}O1>>0bl=;:0c7f>{illi>6=4>{I;40>hf;=0:m9m4}offg3<728qC5::4n`17>4g3l2wehhm8:182M?0<2dj?94>a5g8ykbbk10;65<6sA3<86`n3582e064}O1>>0bl=;:0c67>{illio6=4>{I;40>hf;=0:m8:4}offg`<728qC5::4n`17>4g2=2wehhmi:182M?0<2dj?94>a448ykbbl90;6;6saddf2>4<6sA3<86`n3582e0>:0yK=224}O1>>0bl=;:0c6f>{illn>6<4>{I;40>hf;=0:m8m4}off`3<628qC5::4n`17>4g2l2wehhj8:082M?0<2dj?94>a4g8ykbbl10:6j6saddf:>4<6sA3<86`n3582e36:0yK=224}O1>>0bl=;:0c57>{illno6=4>{I;40>hf;=0:m;:4}off``<728qC5::4n`17>4g1=2wehhji:182M?0<2dj?94>a748ykbbm90;65<6sA3<86`n3582e3>4}O1>>0bl=;:0c5f>{illo>6=4>{I;40>hf;=0:m;m4}offa3<728qC5::4n`17>4g1l2wehhk8:182M?0<2dj?94>a7g8ykbbm10;65<6sA3<86`n3582e2680qcjjeb83>4}O1>>0bl=;:0c47>{illoo6=4>{I;40>hf;=0:m::4}offa`<728qC5::4n`17>4g0=2wehhki:182M?0<2dj?94>a648ykbbn90;65<6sA3<86`n3582e2>k0qcjjf583>4}O1>>0bl=;:0c4f>{illl>6=4>{I;40>hf;=0:m:m4}offb3<728qC5::4n`17>4g0l2wehhh8:182M?0<2dj?94>a6g8ykbbn10;65<6sA3<86`n3582e=64}O1>>0bl=;:0c;7>{illlo6=4>{I;40>hf;=0:m5:4}offb`<728qC5::4n`17>4g?=2wehhhi:182M?0<2dj?94>a948ykba890;65<6sA3<86`n3582e=>4}O1>>0bl=;:0c;f>{ilo:>6=4>{I;40>hf;=0:m5m4}ofe43<728qC5::4n`17>4g?l2wehk>8:182M?0<2dj?94>a9g8ykba810;65<6sA3<86`n3582e<64}O1>>0bl=;:0c:7>{ilo:o6=4>{I;40>hf;=0:m4:4}ofe4`<728qC5::4n`17>4g>=2wehk>i:182M?0<2dj?94>a848ykba990;65<6sA3<86`n3582e<>4}O1>>0bl=;:0c:f>{ilo;>6=4>{I;40>hf;=0:m4m4}ofe53<728qC5::4n`17>4g>l2wehk?8:182M?0<2dj?94>a8g8ykba910;65<6sA3<86`n3582ed64}O1>>0bl=;:0cb7>{ilo;o6=4>{I;40>hf;=0:ml:4}ofe5`<728qC5::4n`17>4gf=2wehk?i:182M?0<2dj?94>a`48ykba:90;65<6sA3<86`n3582ed>?4?:0yK=224}O1>>0bl=;:0cbf>{ilo8>6=4>{I;40>hf;=0:mlm4}ofe63<728qC5::4n`17>4gfl2wehk<8:182M?0<2dj?94>a`g8ykba:10:64<6sA3<86`n3582eg6l4?:0yK=224}O1>>0bl=;:0ca7>{ilo8o6=4>{I;40>hf;=0:mo:4}ofe6`<728qC5::4n`17>4ge=2wehkac48ykba;90;65<6sA3<86`n3582eg>4}O1>>0bl=;:0caf>{ilo9>6=4>{I;40>hf;=0:mom4}ofe73<728qC5::4n`17>4gel2wehk=8:182M?0<2dj?94>acg8ykba;10;65<6sA3<86`n3582ef64}O1>>0bl=;:0c`7>{ilo9o6=4>{I;40>hf;=0:mn:4}ofe7`<728qC5::4n`17>4gd=2wehk=i:182M?0<2dj?94>ab48ykba<90;65<6sA3<86`n3582ef>4}O1>>0bl=;:0c`f>{ilo>>6=4>{I;40>hf;=0:mnm4}ofe03<728qC5::4n`17>4gdl2wehk:8:182M?0<2dj?94>abg8ykba<10;65<6sA3<86`n3582ea64}O1>>0bl=;:0cg7>{ilo>o6=4>{I;40>hf;=0:mi:4}ofe0`<728qC5::4n`17>4gc=2wehk:i:182M?0<2dj?94>ae48ykba=90;65<6sA3<86`n3582ea>4}O1>>0bl=;:0cgf>{ilo?>6=4>{I;40>hf;=0:mim4}ofe13<728qC5::4n`17>4gcl2wehk;8:182M?0<2dj?94>aeg8ykba=10;65<6sA3<86`n3582e`64}O1>>0bl=;:0cf7>{ilo?o6=4>{I;40>hf;=0:mh:4}ofe1`<728qC5::4n`17>4gb=2wehk;i:182M?0<2dj?94>ad48ykba>90;65<6sA3<86`n3582e`>4}O1>>0bl=;:0cff>{ilo<>6=4>{I;40>hf;=0:mhm4}ofe23<728qC5::4n`17>4gbl2wehk88:182M?0<2dj?94>adg8ykba>10;65<6sA3<86`n3582ec64}O1>>0bl=;:0ce7>{ilo{I;40>hf;=0:mk:4}ofe2`<728qC5::4n`17>4ga=2wehk8i:182M?0<2dj?94>ag48ykba?90;65<6sA3<86`n3582ec>4}O1>>0bl=;:0cef>{ilo=>6=4>{I;40>hf;=0:mkm4}ofe33<728qC5::4n`17>4gal2wehk98:182M?0<2dj?94>agg8ykba?10;65<6sA3<86`n3582f564}O1>>0bl=;:0`37>{ilo=o6=4>{I;40>hf;=0:n=:4}ofe3`<728qC5::4n`17>4d7=2wehk9i:182M?0<2dj?94>b148ykba090;65<6sA3<86`n3582f5>4290:wE784:lb71<6j9k0qcji8583>4}O1>>0bl=;:0`3f>{ilo2>6=4>{I;40>hf;=0:n=m4}ofe<3<728qC5::4n`17>4d7l2wehk68:182M?0<2dj?94>b1g8ykba010;65<6sA3<86`n3582f461:m`c>e290:wE784:lb71<6j880qcji8b83>4}O1>>0bl=;:0`27>{ilo2o6=4>{I;40>hf;=0:n<:4}ofe<`<728qC5::4n`17>4d6=2wehk6i:182M?0<2dj?94>b048ykba190;65<6sA3<86`n3582f4>9:m`c?4290:wE784:lb71<6j8k0qcji9583>4}O1>>0bl=;:0`2f>{ilo3>6=4>{I;40>hf;=0:n4d6l2wehk78:182M?0<2dj?94>b0g8ykba110;65<6sA3<86`n3582f764}O1>>0bl=;:0`17>{ilo3o6=4>{I;40>hf;=0:n?:4}ofe=`<728qC5::4n`17>4d5=2wehk7i:182M?0<2dj?94>b348ykbai90;65<6sA3<86`n3582f7>4}O1>>0bl=;:0`1f>{ilok>6=4>{I;40>hf;=0:n?m4}ofee3<728qC5::4n`17>4d5l2wehko8:182M?0<2dj?94>b3g8ykbai10;65<6sA3<86`n3582f664}O1>>0bl=;:0`07>{iloko6=4>{I;40>hf;=0:n>:4}ofee`<728qC5::4n`17>4d4=2wehkoi:182M?0<2dj?94>b248ykbaj90;65<6sA3<86`n3582f6>4}O1>>0bl=;:0`0f>{iloh>6=4>{I;40>hf;=0:n>m4}ofef3<728qC5::4n`17>4d4l2wehkl8:182M?0<2dj?94>b2g8ykbaj10;65<6sA3<86`n3582f164}O1>>0bl=;:0`77>{iloho6=4>{I;40>hf;=0:n9:4}ofef`<728qC5::4n`17>4d3=2wehkli:182M?0<2dj?94>b548ykbak90;65<6sA3<86`n3582f1>4}O1>>0bl=;:0`7f>{iloi>6=4>{I;40>hf;=0:n9m4}ofeg3<728qC5::4n`17>4d3l2wehkm8:182M?0<2dj?94>b5g8ykbak10;65<6sA3<86`n3582f064}O1>>0bl=;:0`67>{iloio6=4>{I;40>hf;=0:n8:4}ofeg`<728qC5::4n`17>4d2=2wehkmi:182M?0<2dj?94>b448ykbal90;6;6sadgf2>5<6sA3<86`n3582f0>4}O1>>0bl=;:0`6f>{ilon>6=4>{I;40>hf;=0:n8m4}ofe`3<728qC5::4n`17>4d2l2wehkj8:182M?0<2dj?94>b4g8ykbal10;6j6sadgf:>5<6sA3<86`n3582f364}O1>>0bl=;:0`57>{ilono6=4>{I;40>hf;=0:n;:4}ofe``<728qC5::4n`17>4d1=2wehkji:182M?0<2dj?94>b748ykbam90;65<6sA3<86`n3582f3>4}O1>>0bl=;:0`5f>{iloo>6=4>{I;40>hf;=0:n;m4}ofea3<728qC5::4n`17>4d1l2wehkk8:182M?0<2dj?94>b7g8ykbam10;65<6sA3<86`n3582f2680qcjieb83>4}O1>>0bl=;:0`47>{ilooo6=4>{I;40>hf;=0:n::4}ofea`<728qC5::4n`17>4d0=2wehkki:182M?0<2dj?94>b648ykban90;65<6sA3<86`n3582f2>k0qcjif583>4}O1>>0bl=;:0`4f>{ilol>6=4>{I;40>hf;=0:n:m4}ofeb3<728qC5::4n`17>4d0l2wehkh8:182M?0<2dj?94>b6g8ykban10;65<6sA3<86`n3582f=64}O1>>0bl=;:0`;7>{ilolo6=4>{I;40>hf;=0:n5:4}ofeb`<728qC5::4n`17>4d?=2wehkhi:182M?0<2dj?94>b948ykc7890;65<6sA3<86`n3582f=>4}O1>>0bl=;:0`;f>{im9:>6=4>{I;40>hf;=0:n5m4}og343<728qC5::4n`17>4d?l2wei=>8:182M?0<2dj?94>b9g8ykc7810;65<6sA3<86`n3582f<64}O1>>0bl=;:0`:7>{im9:o6=4>{I;40>hf;=0:n4:4}og34`<728qC5::4n`17>4d>=2wei=>i:182M?0<2dj?94>b848ykc7990;65<6sA3<86`n3582f<>4}O1>>0bl=;:0`:f>{im9;>6=4>{I;40>hf;=0:n4m4}og353<728qC5::4n`17>4d>l2wei=?8:182M?0<2dj?94>b8g8ykc7910;65<6sA3<86`n3582fd64}O1>>0bl=;:0`b7>{im9;o6=4>{I;40>hf;=0:nl:4}og35`<728qC5::4n`17>4df=2wei=?i:182M?0<2dj?94>b`48ykc7:90;65<6sA3<86`n3582fd>?4?:0yK=224}O1>>0bl=;:0`bf>{im98>6=4>{I;40>hf;=0:nlm4}og363<728qC5::4n`17>4dfl2wei=<8:182M?0<2dj?94>b`g8ykc7:10;65<6sA3<86`n3582fg6l4?:0yK=224}O1>>0bl=;:0`a7>{im98o6=4>{I;40>hf;=0:no:4}og36`<728qC5::4n`17>4de=2wei=bc48ykc7;90;65<6sA3<86`n3582fg>4}O1>>0bl=;:0`af>{im99>6=4>{I;40>hf;=0:nom4}og373<728qC5::4n`17>4del2wei==8:182M?0<2dj?94>bcg8ykc7;10;65<6sA3<86`n3582ff64}O1>>0bl=;:0``7>{im99o6=4>{I;40>hf;=0:nn:4}og37`<728qC5::4n`17>4dd=2wei==i:182M?0<2dj?94>bb48ykc7<90;65<6sA3<86`n3582ff>4}O1>>0bl=;:0``f>{im9>>6=4>{I;40>hf;=0:nnm4}og303<728qC5::4n`17>4ddl2wei=:8:182M?0<2dj?94>bbg8ykc7<10;65<6sA3<86`n3582fa64}O1>>0bl=;:0`g7>{im9>o6=4>{I;40>hf;=0:ni:4}og30`<728qC5::4n`17>4dc=2wei=:i:182M?0<2dj?94>be48ykc7=90;65<6sA3<86`n3582fa>4}O1>>0bl=;:0`gf>{im9?>6=4>{I;40>hf;=0:nim4}og313<728qC5::4n`17>4dcl2wei=;8:182M?0<2dj?94>beg8ykc7=10;65<6sA3<86`n3582f`64}O1>>0bl=;:0`f7>{im9?o6<4>{I;40>hf;=0:nh:4$`0f>63?n2wei=;j:182M?0<2dj?94>bd78ykc7=o0;65<6sA3<86`n3582f`14}O1>>0bl=;:0`fe>"f:l0894j4}og321<728qC5::4n`17>4dbj2wei=8::082M?0<2dj?94>bda8 d4b2:?j>6sae145>5<6sA3<86`n3582f`b:0yK=224}O1>>0bl=;:0`fb>"f:l089ll4}og32<<728qC5::4n`17>4da82wei=8n:082M?0<2dj?94>bg38 d4b2:?i=6sae14a>4<6sA3<86`n3582fc4<,h8n6>;m6:ma50d290:wE784:lb71<6jo90qck?6e83>4}O1>>0bl=;:0`e0>{im9{I;40>hf;=0:nk;4}og32c<728qC5::4n`17>4da>2wei=9?:182M?0<2dj?94>bg58ykc7?80;65<6sA3<86`n3582fc?4?:0yK=224}O1>>0bl=;:0`eg>{im9==6=4>{I;40>hf;=0:nkj4}og332<728qC5::4n`17>4dam2wei=97:182M?0<2dj?94>bgd8ykc7?00;65<6sA3<86`n3582g574}O1>>0bl=;:0a30>{im9=n6=4>{I;40>hf;=0:o=;4}og33c<728qC5::4n`17>4e7>2wei=6?:182M?0<2dj?94>c158ykc7080;65<6sA3<86`n3582g5?4?:0yK=223290:wE784:lb71<6k9h0qck?8483>4}O1>>0bl=;:0a3g>{im92=6=4>{I;40>hf;=0:o=j4}og3<2<728qC5::4n`17>4e7m2wei=67:182M?0<2dj?94>c1d8ykc7000;65<6sA3<86`n3582g472:ma5>d290:wE784:lb71<6k890qck?8e83>4}O1>>0bl=;:0a20>{im92n6=4>{I;40>hf;=0:o<;4}og34e6>2wei=7?:182M?0<2dj?94>c058ykc7180;65<6sA3<86`n3582g4?4?:0yK=22a:ma5?3290:wE784:lb71<6k8h0qck?9483>4}O1>>0bl=;:0a2g>{im93=6=4>{I;40>hf;=0:o4e6m2wei=77:182M?0<2dj?94>c0d8ykc7100;65<6sA3<86`n3582g774}O1>>0bl=;:0a10>{im93n6=4>{I;40>hf;=0:o?;4}og3=c<728qC5::4n`17>4e5>2wei=o?:182M?0<2dj?94>c358ykc7i80;65<6sA3<86`n3582g7?4?:0yK=224}O1>>0bl=;:0a1g>{im9k=6=4>{I;40>hf;=0:o?j4}og3e2<728qC5::4n`17>4e5m2wei=o7:182M?0<2dj?94>c3d8ykc7i00;65<6sA3<86`n3582g674}O1>>0bl=;:0a00>{im9kn6=4>{I;40>hf;=0:o>;4}og3ec<728qC5::4n`17>4e4>2wei=l?:182M?0<2dj?94>c258ykc7j80;65<6sA3<86`n3582g6?4?:0yK=224}O1>>0bl=;:0a0g>{im9h=6=4>{I;40>hf;=0:o>j4}og3f2<728qC5::4n`17>4e4m2wei=l7:182M?0<2dj?94>c2d8ykc7j00;65<6sA3<86`n3582g174}O1>>0bl=;:0a70>{im9hn6=4>{I;40>hf;=0:o9;4}og3fc<728qC5::4n`17>4e3>2wei=m?:182M?0<2dj?94>c558ykc7k80;65<6sA3<86`n3582g1?4?:0yK=224}O1>>0bl=;:0a7g>{im9i=6=4>{I;40>hf;=0:o9j4}og3g2<728qC5::4n`17>4e3m2wei=m7:182M?0<2dj?94>c5d8ykc7k00;6<6sae1ab>5<6sA3<86`n3582g074}O1>>0bl=;:0a60>{im9in6=4>{I;40>hf;=0:o8;4}og3gc<728qC5::4n`17>4e2>2wei=j?:182M?0<2dj?94>c458ykc7l80;646sae1f1>5<6sA3<86`n3582g0?4?:0yK=224}O1>>0bl=;:0a6g>{im9n=6=4>{I;40>hf;=0:o8j4}og3`2<728qC5::4n`17>4e2m2wei=j7:182M?0<2dj?94>c4d8ykc7l00:64}O1>>0bl=;:0a57>{im9no6<4>{I;40>hf;=0:o;:4$`0f>614;2wei=jj:182M?0<2dj?94>c778ykc7lo0;65<6sA3<86`n3582g31{im9o86=4>{I;40>hf;=0:o;o4}og3a1<628qC5::4n`17>4e1j2.j>h4<7578ykc7m<0;65<6sA3<86`n3582g3b4}O1>>0bl=;:0a44>{im9oj6=4>{I;40>hf;=0:o:?4}og3ag<628qC5::4n`17>4e0:2.j>h4<7418ykc7mj0;65<6sA3<86`n3582g22<0qck?f182>4}O1>>0bl=;:0a43>"f:l08;8o4}og3b4<628qC5::4n`17>4e002.j>h4<74f8ykc7n;0;64<6sA3<86`n3582g2g<,h8n6>995:ma5`3290:wE784:lb71<6k>h0qck?f482>4}O1>>0bl=;:0a4g>"f:l08;;m4}og3b3<728qC5::4n`17>4e0l2wei=h8:182M?0<2dj?94>c6g8ykc7n10;65<6sA3<86`n3582g=64}O1>>0bl=;:0a;7>{im9lo6=4>{I;40>hf;=0:o5:4}og3b`<628qC5::4n`17>4e?=2.j>h4<76:8ykc7no0;65<6sA3<86`n3582g=1:0yK=22l0qck>0383>4}O1>>0bl=;:0a;=>{im8:86=4>{I;40>hf;=0:o5o4}og241<628qC5::4n`17>4e?j2.j>h4<7948ykc68<0;65<6sA3<86`n3582g=b:0yK=220983>4}O1>>0bl=;:0a;b>{im8:26=4>{I;40>hf;=0:o4>4}og24d<728qC5::4n`17>4e>92wei<>m:082M?0<2dj?94>c808 d4b2:=2=6sae02`>4<6sA3<86`n3582g<5<,h8n6>964:ma46c290:wE784:lb71<6k0>0qck>0d83>4}O1>>0bl=;:0a:1>{im8:m6<4>{I;40>hf;=0:o484$`0f>61>j2weic858ykc6980;64<6sA3<86`n3582g9n2:ma474290:wE784:lb71<6k0k0qck>1583>4}O1>>0bl=;:0a:f>{im8;>6=4>{I;40>hf;=0:o4m4}og253<628qC5::4n`17>4e>l2.j>h4<7`:8ykc69>0;65<6sA3<86`n3582g<`:0yK=221`82>4}O1>>0bl=;:0ab5>"f:l08;o>4}og25g<728qC5::4n`17>4ef:2weic`18ykc69m0:62182>4}O1>>0bl=;:0ab3>"f:l08;ok4}og264<728qC5::4n`17>4ef02wei<<=:182M?0<2dj?94>c`;8ykc6::0:694?:0yK=222783>4}O1>>0bl=;:0ab`>{im88<6<4>{I;40>hf;=0:olk4$`0f>61d12wei<<7:082M?0<2dj?94>c`d8 d4b2:=ho6sae00:>4<6sA3<86`n3582gg6<,h8n6>9lf:ma44f290:wE784:lb71<6kk;0qck>2c83>4}O1>>0bl=;:0aa6>{im88h6<4>{I;40>hf;=0:oo=4$`0f>61c?2wei<cc68ykc6:l0;65<6sA3<86`n3582gg03383>4}O1>>0bl=;:0aa=>{im8986<4>{I;40>hf;=0:ooo4$`0f>61b82wei<=;:082M?0<2dj?94>cc`8 d4b2:=n?6sae016>4<6sA3<86`n3582gge<,h8n6>9j6:ma451290:wE784:lb71<6kkn0qck>3683>4}O1>>0bl=;:0aaa>{im8936<4>{I;40>hf;=0:ooh4$`0f>61bm2wei<=6:182M?0<2dj?94>cb28ykc6;h0;65<6sA3<86`n3582gf40qck>3d83>4}O1>>0bl=;:0a`1>{im89m6<4>{I;40>hf;=0:on84$`0f>61a?2wei<:?:082M?0<2dj?94>cb58 d4b2:=mm6sae062>4<6sA3<86`n3582gf><,h8n6>9id:ma425290:wE784:lb71<6kj30qck>4283>4}O1>>0bl=;:0a`e>{im8>?6<4>{I;40>hf;=0:onl4$`0f>6>7=2wei<:::182M?0<2dj?94>cba8ykc65<6sA3<86`n3582gfc290:wE784:lb71<6km:0qck>4`83>4}O1>>0bl=;:0ag5>{im8>i6<4>{I;40>hf;=0:oi<4$`0f>6>7m2wei<:l:082M?0<2dj?94>ce18 d4b2:2:=6sae06g>4<6sA3<86`n3582ga2<,h8n6>6>4:ma42b290:wE784:lb71<6km?0qck>4g83>4}O1>>0bl=;:0ag2>{im8?;6<4>{I;40>hf;=0:oi94$`0f>6>6k2wei<;>:182M?0<2dj?94>ce:8ykc6=;0;65<6sA3<86`n3582gag5783>4}O1>>0bl=;:0ag`>{im8?<6<4>{I;40>hf;=0:oik4$`0f>6>5=2wei<;7:082M?0<2dj?94>ced8 d4b2:2946sae07:>4<6sA3<86`n3582g`6<,h8n6>6=b:ma43f290:wE784:lb71<6kl;0qck>5c83>4}O1>>0bl=;:0af6>{im8?h6<4>{I;40>hf;=0:oh=4$`0f>6>4;2wei<;k:182M?0<2dj?94>cd68ykc6=l0;65<6sA3<86`n3582g`06383>4}O1>>0bl=;:0af=>{im8<86<4>{I;40>hf;=0:oho4$`0f>6>4k2wei<8;:082M?0<2dj?94>cd`8 d4b2:28j6sae046>4<6sA3<86`n3582g`e<,h8n6>6;2:ma401290:wE784:lb71<6kln0qck>6683>4}O1>>0bl=;:0afa>{im8<36<4>{I;40>hf;=0:ohh4$`0f>6>3i2wei<86:182M?0<2dj?94>cg28ykc6>h0;65<6sA3<86`n3582gc40qck>6d82>4}O1>>0bl=;:0ae1>{im8{I;40>hf;=0:ok84}og235<728qC5::4n`17>4ea?2wei<9>:182M?0<2dj?94>cg:8ykc6?;0;65<6sA3<86`n3582gcg{im8==6<4>{I;40>hf;=0:okj4$`0f>6>2k2wei<98:182M?0<2dj?94>cgg8ykc6?10;65<6sA3<86`n3582`567b83>4}O1>>0bl=;:0f37>{im8=o6=4>{I;40>hf;=0:h=:4}og23`<728qC5::4n`17>4b7=2wei<9i:082M?0<2dj?94>d148 d4b2:2=56sae0:3>5<6sA3<86`n3582`51:0yK=228382>4}O1>>0bl=;:0f3=>"f:l084:>4}og2<6<728qC5::4n`17>4b7i2wei<6;:182M?0<2dj?94>d1`8ykc60<0:6:0yK=2220qck>8683>4}O1>>0bl=;:0f3a>{im8236<4>{I;40>hf;=0:h=h4$`0f>6>0l2wei<66:182M?0<2dj?94>d028ykc60h0:62:ma4>d290:wE784:lb71<6l890qck>8e83>4}O1>>0bl=;:0f20>{im82n6=4>{I;40>hf;=0:h<;4}og24b6>2wei<7?:182M?0<2dj?94>d058ykc6180:6:0yK=229:&b6`<401l0qck>9282>4}O1>>0bl=;:0f2e>"f:l0844<4}og2=1<728qC5::4n`17>4b6j2wei<7::182M?0<2dj?94>d0a8ykc61?0:6e:ma4??290:wE784:lb71<6l8l0qck>9883>4}O1>>0bl=;:0f14>{im83j6=4>{I;40>hf;=0:h??4}og2=g<728qC5::4n`17>4b5:2wei<7l:182M?0<2dj?94>d318ykc61m0:6:0yK=229g82>4}O1>>0bl=;:0f12>"f:l084l74}og2e5<728qC5::4n`17>4b5?2wei:182M?0<2dj?94>d3:8ykc6i;0:64?:0yK=22a483>4}O1>>0bl=;:0f1g>{im8k=6=4>{I;40>hf;=0:h?j4}og2e2<728qC5::4n`17>4b5m2weid3d8ykc6i00:6:0yK=22ac82>4}O1>>0bl=;:0f06>"f:l084n>4}og2ef<728qC5::4n`17>4b4;2weid268 d4b2:2h46sae0cf>5<6sA3<86`n3582`63b083>4}O1>>0bl=;:0f0<>{im8h96=4>{I;40>hf;=0:h>74}og2f6<728qC5::4n`17>4b4i2weid2`8 d4b2:2o=6sae0`6>4<6sA3<86`n3582`6e<,h8n6>6k4:ma4d1280:wE784:lb71<6l:n0(l{im8h<6=4>{I;40>hf;=0:h>k4}og2f=<628qC5::4n`17>4b4n2.j>h4<8ed8ykc6j00;65<6sA3<86`n3582`17be83>4}O1>>0bl=;:0f70>{im8hn6=4>{I;40>hf;=0:h9;4}og2fc<628qC5::4n`17>4b3>2.j>h4<8d:8ykc6k90:6c282>4}O1>>0bl=;:0f7e>"f:l084k84}og2g1<728qC5::4n`17>4b3j2weid5a8ykc6k?0;65<6sA3<86`n3582`1c290:wE784:lb71<6l<:0qck>c`82>4}O1>>0bl=;:0f65>"f:l084kh4}og2gg<628qC5::4n`17>4b2:2.j>h4<9108ykc6kj0:6?6*n2d80=53{im8im6=4>{I;40>hf;=0:h884}og2`5<728qC5::4n`17>4b2?2wei:182M?0<2dj?94>d4:8ykc6l;0;656sae0f0>5<6sA3<86`n3582`0gd782>4}O1>>0bl=;:0f6`>"f:l085<94}og2`2<728qC5::4n`17>4b2m2weid4d8ykc6l00:6db83>4}O1>>0bl=;:0f57>{im8no6=4>{I;40>hf;=0:h;:4}og2``<728qC5::4n`17>4b1=2weid748ykc6m90:6:0yK=22e382>4}O1>>0bl=;:0f5=>"f:l085?h4}og2a6<728qC5::4n`17>4b1i2weid7`8 d4b2:38;6sae0g6>5<6sA3<86`n3582`3ee983>4}O1>>0bl=;:0f5b>{im8o26=4>{I;40>hf;=0:h:>4}og2ad<728qC5::4n`17>4b092weid608 d4b2:3?<6sae0g`>4<6sA3<86`n3582`25<,h8n6>7;3:ma4cc280:wE784:lb71<6l>>0(l{im8on6=4>{I;40>hf;=0:h:;4}og2ac<628qC5::4n`17>4b0>2.j>h4<95g8ykc6n90;65<6sA3<86`n3582`2>k0qck>f583>4}O1>>0bl=;:0f4f>{im8l>6=4>{I;40>hf;=0:h:m4}og2b3<728qC5::4n`17>4b0l2weid6g8ykc6n10;65<6sA3<86`n3582`=6:0yK=22fc83>4}O1>>0bl=;:0f;6>{im8lh6=4>{I;40>hf;=0:h5=4}og2ba<728qC5::4n`17>4b?<2weid978ykc6no0:64}O1>>0bl=;:0f;=>"f:l085;m4}og146<728qC5::4n`17>4b?i2wei?>;:182M?0<2dj?94>d9`8ykc58<0;65<6sA3<86`n3582`=b:0yK=2290qck=0982>4}O1>>0bl=;:0f;b>"f:l085:84}og14<<628qC5::4n`17>4b>82.j>h4<96;8ykc58h0;64<6sA3<86`n3582`<4<,h8n6>771:ma76d290:wE784:lb71<6l090qck=0e83>4}O1>>0bl=;:0f:0>{im;:n6=4>{I;40>hf;=0:h4;4}og14c<728qC5::4n`17>4b>>2wei???:182M?0<2dj?94>d858ykc5980;64<6sA3<86`n3582`77a:ma774280:wE784:lb71<6l0k0(l{im;;?6<4>{I;40>hf;=0:h4l4$`0f>6?>92wei??::182M?0<2dj?94>d8a8ykc59?0:64}O1>>0bl=;:0fb4>{im;;j6=4>{I;40>hf;=0:hl?4}og15g<728qC5::4n`17>4bf:2wei??l:182M?0<2dj?94>d`18ykc59m0;65<6sA3<86`n3582`d34}O1>>0bl=;:0fb<>{im;896<4>{I;40>hf;=0:hl74$`0f>6?fi2wei?<<:182M?0<2dj?94>d`c8ykc5:=0;65<6sA3<86`n3582`de;4?:0yK=22{im;836=4>{I;40>hf;=0:hlh4}og16<<728qC5::4n`17>4be82wei?dc38 d4b2:3i46sae30a>5<6sA3<86`n3582`g4n4?:0yK=220qck=2d83>4}O1>>0bl=;:0fa1>{im;8m6=4>{I;40>hf;=0:ho84}og175<728qC5::4n`17>4be?2wei?=>:182M?0<2dj?94>dc:8ykc5;;0;64<6sA3<86`n3582`gg<,h8n6>7l6:ma753290:wE784:lb71<6lkh0qck=3483>4}O1>>0bl=;:0fag>{im;9=6=4>{I;40>hf;=0:hoj4}og172<728qC5::4n`17>4bem2wei?=7:082M?0<2dj?94>dcd8 d4b2:3hi6sae31:>5<6sA3<86`n3582`f6{im;9h6=4>{I;40>hf;=0:hn=4}og17a<728qC5::4n`17>4bd<2wei?=j:182M?0<2dj?94>db78ykc5;o0;65<6sA3<86`n3582`f14}O1>>0bl=;:0f`e>{im;>?6<4>{I;40>hf;=0:hnl4$`0f>6?b:2wei?:::182M?0<2dj?94>dba8ykc55<6sA3<86`n3582`fc280:wE784:lb71<6lm:0(l{im;>j6=4>{I;40>hf;=0:hi?4}og10g<728qC5::4n`17>4bc:2wei?:l:082M?0<2dj?94>de18 d4b2:3m<6sae36g>5<6sA3<86`n3582`a24}O1>>0bl=;:0fg3>{im;?:6=4>{I;40>hf;=0:hi64}og117<728qC5::4n`17>4bc12wei?;<:182M?0<2dj?94>dec8ykc5==0;65<6sA3<86`n3582`ae:0yK=224}O1>>0bl=;:0fga>{im;?36<4>{I;40>hf;=0:hih4$`0f>6g7l2wei?;6:182M?0<2dj?94>dd28ykc5=h0:64}O1>>0bl=;:0ff0>{im;?n6=4>{I;40>hf;=0:hh;4}og11c<728qC5::4n`17>4bb>2wei?8?:182M?0<2dj?94>dd58ykc5>80;65<6sA3<86`n3582``?4?:0yK=22{im;<>6<4>{I;40>hf;=0:hhm4$`0f>6g5n2wei?89:082M?0<2dj?94>ddf8 d4b2:k8>6sae344>5<6sA3<86`n3582``c:0yK=224}O1>>0bl=;:0fe4>{im;{I;40>hf;=0:hk?4}og12g<728qC5::4n`17>4ba:2wei?8l:182M?0<2dj?94>dg18ykc5>m0:6:0yK=224}O1>>0bl=;:0fe2>{im;=;6<4>{I;40>hf;=0:hk94$`0f>6g3i2wei?9>:182M?0<2dj?94>dg:8ykc5?;0:64?:0yK=224}O1>>0bl=;:0feg>{im;==6=4>{I;40>hf;=0:hkj4}og132<728qC5::4n`17>4bam2wei?97:182M?0<2dj?94>dgd8ykc5?00;64<6sA3<86`n3582a57<,h8n6>o:c:ma71e280:wE784:lb71<6m980(l{im;=h6=4>{I;40>hf;=0:i==4}og13a<628qC5::4n`17>4c7<2.j>h44<6sA3<86`n3582a50<,h8n6>o9e:ma7>7290:wE784:lb71<6m9=0qck=8083>4}O1>>0bl=;:0g3<>{im;296=4>{I;40>hf;=0:i=74}og1<6<728qC5::4n`17>4c7i2wei?6;:182M?0<2dj?94>e1`8ykc50<0;65<6sA3<86`n3582a5b?290:wE784:lb71<6m9l0qck=8883>4}O1>>0bl=;:0g24>{im;2j6=4>{I;40>hf;=0:i4c6:2wei?6l:182M?0<2dj?94>e018ykc50m0;65<6sA3<86`n3582a436:ma7?7290:wE784:lb71<6m8=0qck=9083>4}O1>>0bl=;:0g2<>{im;396=4>{I;40>hf;=0:i<74}og1=6<728qC5::4n`17>4c6i2wei?7;:182M?0<2dj?94>e0`8ykc51<0;65<6sA3<86`n3582a4be:ma7??290:wE784:lb71<6m8l0qck=9883>4}O1>>0bl=;:0g14>{im;3j6=4>{I;40>hf;=0:i??4}og1=g<728qC5::4n`17>4c5:2wei?7l:182M?0<2dj?94>e318ykc51m0;65<6sA3<86`n3582a734}O1>>0bl=;:0g1<>{im;k96=4>{I;40>hf;=0:i?74}og1e6<728qC5::4n`17>4c5i2wei?o;:182M?0<2dj?94>e3`8ykc5i<0;65<6sA3<86`n3582a7b4}O1>>0bl=;:0g04>{im;kj6=4>{I;40>hf;=0:i>?4}og1eg<728qC5::4n`17>4c4:2wei?ol:182M?0<2dj?94>e218ykc5im0;65<6sA3<86`n3582a634}O1>>0bl=;:0g0<>{im;h96=4>{I;40>hf;=0:i>74}og1f6<728qC5::4n`17>4c4i2wei?l;:182M?0<2dj?94>e2`8ykc5j<0;65<6sA3<86`n3582a6b4}O1>>0bl=;:0g74>{im;hj6=4>{I;40>hf;=0:i9?4}og1fg<728qC5::4n`17>4c3:2wei?ll:182M?0<2dj?94>e518ykc5jm0;65<6sA3<86`n3582a134}O1>>0bl=;:0g7<>{im;i96=4>{I;40>hf;=0:i974}og1g6<728qC5::4n`17>4c3i2wei?m;:182M?0<2dj?94>e5`8ykc5k<0;65<6sA3<86`n3582a1b4}O1>>0bl=;:0g64>{im;ij6=4>{I;40>hf;=0:i8?4}og1gg<728qC5::4n`17>4c2:2wei?ml:182M?0<2dj?94>e418ykc5km0;686sae3af>5<6sA3<86`n3582a034}O1>>0bl=;:0g6<>{im;n96<4>{I;40>hf;=0:i874}og1`6<728qC5::4n`17>4c2i2wei?j;:182M?0<2dj?94>e4`8ykc5l<0;6o6sae3f5>5<6sA3<86`n3582a0b4}O1>>0bl=;:0g54>{im;nj6=4>{I;40>hf;=0:i;?4}og1`g<728qC5::4n`17>4c1:2wei?jl:182M?0<2dj?94>e718ykc5lm0;65<6sA3<86`n3582a334}O1>>0bl=;:0g5<>{im;o96=4>{I;40>hf;=0:i;74}og1a6<728qC5::4n`17>4c1i2wei?k;:182M?0<2dj?94>e7`8ykc5m<0;65<6sA3<86`n3582a3b4}O1>>0bl=;:0g44>{im;oj6=4>{I;40>hf;=0:i:?4}og1ag<728qC5::4n`17>4c0:2wei?kl:182M?0<2dj?94>e618ykc5mm0:64<6sA3<86`n3582a23:0yK=22=0qck=f083>4}O1>>0bl=;:0g4<>{im;l96=4>{I;40>hf;=0:i:74}og1b6<728qC5::4n`17>4c0i2wei?h;:182M?0<2dj?94>e6`8ykc5n<0;65<6sA3<86`n3582a2b:0yK=224}O1>>0bl=;:0g4b>{im;l26=4>{I;40>hf;=0:i5>4}og1bd<728qC5::4n`17>4c?92wei?hm:182M?0<2dj?94>e908ykc5nj0;65<6sA3<86`n3582a=24}O1>>0bl=;:0g;3>{im:::6=4>{I;40>hf;=0:i564}og047<628qC5::4n`17>4c?12wei>><:182M?0<2dj?94>e9c8ykc48=0:65<6sA3<86`n3582a=e4}O1>>0bl=;:0g;b>{im::26=4>{I;40>hf;=0:i4>4}og04d<728qC5::4n`17>4c>92wei>>m:182M?0<2dj?94>e808ykc48j0;65<6sA3<86`n3582a<24}O1>>0bl=;:0g:3>{im:;:6<4>{I;40>hf;=0:i464}og057<728qC5::4n`17>4c>12wei>?<:182M?0<2dj?94>e8c8ykc49=0;65<6sA3<86`n3582a:0yK=224}O1>>0bl=;:0g:b>{im:;26=4>{I;40>hf;=0:il>4}og05d<728qC5::4n`17>4cf92wei>?m:182M?0<2dj?94>e`08ykc49j0;65<6sA3<86`n3582ad24}O1>>0bl=;:0gb3>{im:8:6=4>{I;40>hf;=0:il64}og067<728qC5::4n`17>4cf12wei><<:182M?0<2dj?94>e`c8ykc4:=0;65<6sA3<86`n3582ade;4?:0yK=224}O1>>0bl=;:0gbb>{im:826=4>{I;40>hf;=0:io>4}og06d<728qC5::4n`17>4ce92wei>ec08ykc4:j0;65<6sA3<86`n3582ag2h4?:0yK=224}O1>>0bl=;:0ga3>{im:9:6=4>{I;40>hf;=0:io64}og077<728qC5::4n`17>4ce12wei>=<:182M?0<2dj?94>ecc8ykc4;=0;65<6sA3<86`n3582age4}O1>>0bl=;:0gab>{im:926=4>{I;40>hf;=0:in>4}og07d<728qC5::4n`17>4cd92wei>=m:182M?0<2dj?94>eb08ykc4;j0;65<6sA3<86`n3582af24}O1>>0bl=;:0g`3>{im:>:6=4>{I;40>hf;=0:in64}og007<728qC5::4n`17>4cd12wei>:<:182M?0<2dj?94>ebc8ykc4<=0;65<6sA3<86`n3582afe4}O1>>0bl=;:0g`b>{im:>26=4>{I;40>hf;=0:ii>4}og00d<728qC5::4n`17>4cc92wei>:m:182M?0<2dj?94>ee08ykc45<6sA3<86`n3582aa24}O1>>0bl=;:0gg3>{im:?:6=4>{I;40>hf;=0:ii64}og017<728qC5::4n`17>4cc12wei>;<:182M?0<2dj?94>eec8ykc4==0;65<6sA3<86`n3582aae4}O1>>0bl=;:0ggb>{im:?26=4>{I;40>hf;=0:ih>4}og01d<728qC5::4n`17>4cb92wei>;m:182M?0<2dj?94>ed08ykc4=j0;65<6sA3<86`n3582a`24}O1>>0bl=;:0gf3>{im:<:6=4>{I;40>hf;=0:ih64}og027<728qC5::4n`17>4cb12wei>8<:182M?0<2dj?94>edc8ykc4>=0;65<6sA3<86`n3582a`e4}O1>>0bl=;:0gfb>{im:<26=4>{I;40>hf;=0:ik>4}og02d<728qC5::4n`17>4ca92wei>8m:182M?0<2dj?94>eg08ykc4>j0;65<6sA3<86`n3582ac24}O1>>0bl=;:0ge3>{im:=:6=4>{I;40>hf;=0:ik64}og037<728qC5::4n`17>4ca12wei>9<:182M?0<2dj?94>egc8ykc4?=0;65<6sA3<86`n3582ace4}O1>>0bl=;:0geb>{im:=26=4>{I;40>hf;=0:j=>4}og03d<728qC5::4n`17>4`792wei>9m:182M?0<2dj?94>f108ykc4?j0;65<6sA3<86`n3582b524}O1>>0bl=;:0d33>{im:2:6=4>{I;40>hf;=0:j=64}og0<7<728qC5::4n`17>4`712wei>6<:182M?0<2dj?94>f1c8ykc40=0;65<6sA3<86`n3582b5e0290:wE784:lb71<6n9o0qck<8983>4}O1>>0bl=;:0d3b>{im:226=4>{I;40>hf;=0:j<>4}og04`692wei>6m:082M?0<2dj?94>f008ykc40j0:65<6sA3<86`n3582b42:0yK=225:ma6>a280:wE784:lb71<6n8<0qck<9182>4}O1>>0bl=;:0d23>{im:3:6<4>{I;40>hf;=0:j<64}og0=7<728qC5::4n`17>4`612wei>7<:182M?0<2dj?94>f0c8ykc41=0;65<6sA3<86`n3582b4ed:ma6?0290:wE784:lb71<6n8o0qck<9983>4}O1>>0bl=;:0d2b>{im:326=4>{I;40>hf;=0:j?>4}og0=d<728qC5::4n`17>4`592wei>7m:182M?0<2dj?94>f308ykc41j0;65<6sA3<86`n3582b72:0yK=224}O1>>0bl=;:0d12>{im:k;6=4>{I;40>hf;=0:j?94}og0e4<728qC5::4n`17>4`502wei>o=:182M?0<2dj?94>f3;8ykc4i:0;65<6sA3<86`n3582b7d4}O1>>0bl=;:0d1a>{im:k36=4>{I;40>hf;=0:j?h4}og0e<<728qC5::4n`17>4`482wei>on:182M?0<2dj?94>f238ykc4ik0;66sae2c`>5<6sA3<86`n3582b654}O1>>0bl=;:0d02>{im:h;6=4>{I;40>hf;=0:j>94}og0f4<728qC5::4n`17>4`402wei>l=:182M?0<2dj?94>f2;8ykc4j:0;65<6sA3<86`n3582b6d4}O1>>0bl=;:0d0a>{im:h36=4>{I;40>hf;=0:j>h4}og0f<<728qC5::4n`17>4`382wei>ln:182M?0<2dj?94>f538ykc4jk0;66sae2``>5<6sA3<86`n3582b154}O1>>0bl=;:0d72>{im:i;6=4>{I;40>hf;=0:j994}og0g4<728qC5::4n`17>4`302wei>m=:182M?0<2dj?94>f5;8ykc4k:0;65<6sA3<86`n3582b1d4}O1>>0bl=;:0d7a>{im:i36=4>{I;40>hf;=0:j9h4}og0g<<728qC5::4n`17>4`282wei>mn:182M?0<2dj?94>f438ykc4kk0;6>6sae2a`>5<6sA3<86`n3582b054}O1>>0bl=;:0d62>{im:n;6=4>{I;40>hf;=0:j894}og0`4<728qC5::4n`17>4`202wei>j=:182M?0<2dj?94>f4;8ykc4l:0;6m6sae2f7>5<6sA3<86`n3582b0d4}O1>>0bl=;:0d6a>{im:n36=4>{I;40>hf;=0:j8h4}og0`<<728qC5::4n`17>4`182wei>jn:182M?0<2dj?94>f738ykc4lk0;66sae2f`>4<6sA3<86`n3582b35<,h8n6>j=8:ma6bc290:wE784:lb71<6n?>0qck4}O1>>0bl=;:0d51>{im:nm6=4>{I;40>hf;=0:j;84}og0a5<728qC5::4n`17>4`1?2wei>k>:182M?0<2dj?94>f7:8ykc4m;0;65<6sA3<86`n3582b3g4}O1>>0bl=;:0d5`>{im:o<6=4>{I;40>hf;=0:j;k4}og0a=<728qC5::4n`17>4`1n2wei>k6:182M?0<2dj?94>f628ykc4mh0;65<6sA3<86`n3582b24>0qck4}O1>>0bl=;:0d41>{im:om6=4>{I;40>hf;=0:j:84}og0b5<728qC5::4n`17>4`0?2wei>h>:182M?0<2dj?94>f6:8ykc4n;0;65<6sA3<86`n3582b2gi0qck4}O1>>0bl=;:0d4`>{im:l<6=4>{I;40>hf;=0:j:k4}og0b=<728qC5::4n`17>4`0n2wei>h6:182M?0<2dj?94>f928ykc4nh0;65<6sA3<86`n3582b=40qck4}O1>>0bl=;:0d;1>{im:lm6=4>{I;40>hf;=0:j584}og745<728qC5::4n`17>4`??2wei9>>:182M?0<2dj?94>f9:8ykc38;0;64<6sA3<86`n3582b=g4}O1>>0bl=;:0d;`>{im=:<6=4>{I;40>hf;=0:j5k4}og74=<728qC5::4n`17>4`?n2wei9>6:182M?0<2dj?94>f828ykc38h0;65<6sA3<86`n3582b<40qck;0d83>4}O1>>0bl=;:0d:1>{im=:m6=4>{I;40>hf;=0:j484}og755<728qC5::4n`17>4`>?2wei9?>:182M?0<2dj?94>f8:8ykc39;0;65<6sA3<86`n3582b4}O1>>0bl=;:0d:`>{im=;<6=4>{I;40>hf;=0:j4k4}og75=<728qC5::4n`17>4`>n2wei9?6:182M?0<2dj?94>f`28ykc39h0;65<6sA3<86`n3582bd40qck;1d83>4}O1>>0bl=;:0db1>{im=;m6=4>{I;40>hf;=0:jl84}og765<728qC5::4n`17>4`f?2wei9<>:182M?0<2dj?94>f`:8ykc3:;0;65<6sA3<86`n3582bdg94?:0yK=224}O1>>0bl=;:0db`>{im=8<6=4>{I;40>hf;=0:jlk4}og76=<728qC5::4n`17>4`fn2wei9<6:182M?0<2dj?94>fc28ykc3:h0;64<6sA3<86`n3582bg4n4?:0yK=220qck;2d83>4}O1>>0bl=;:0da1>{im=8m6=4>{I;40>hf;=0:jo84}og775<728qC5::4n`17>4`e?2wei9=>:182M?0<2dj?94>fc:8ykc3;;0;65<6sA3<86`n3582bgg4}O1>>0bl=;:0da`>{im=9<6=4>{I;40>hf;=0:jok4}og77=<728qC5::4n`17>4`en2wei9=6:182M?0<2dj?94>fb28ykc3;h0;65<6sA3<86`n3582bf40qck;3d83>4}O1>>0bl=;:0d`1>{im=9m6=4>{I;40>hf;=0:jn84}og705<728qC5::4n`17>4`d?2wei9:>:182M?0<2dj?94>fb:8ykc3<;0;65<6sA3<86`n3582bfg4}O1>>0bl=;:0d``>{im=><6=4>{I;40>hf;=0:jnk4}og70=<728qC5::4n`17>4`dn2wei9:6:182M?0<2dj?94>fe28ykc35<6sA3<86`n3582ba40qck;4d83>4}O1>>0bl=;:0dg1>{im=>m6=4>{I;40>hf;=0:ji84}og715<728qC5::4n`17>4`c?2wei9;>:182M?0<2dj?94>fe:8ykc3=;0;65<6sA3<86`n3582bag4}O1>>0bl=;:0dg`>{im=?<6=4>{I;40>hf;=0:jik4}og71=<728qC5::4n`17>4`cn2wei9;6:182M?0<2dj?94>fd28ykc3=h0;65<6sA3<86`n3582b`40qck;5d83>4}O1>>0bl=;:0df1>{im=?m6=4>{I;40>hf;=0:jh84}og725<728qC5::4n`17>4`b?2wei98>:182M?0<2dj?94>fd:8ykc3>;0;65<6sA3<86`n3582b`g4}O1>>0bl=;:0df`>{im=<<6=4>{I;40>hf;=0:jhk4}og72=<728qC5::4n`17>4`bn2wei986:182M?0<2dj?94>fg28ykc3>h0;65<6sA3<86`n3582bc40qck;6d83>4}O1>>0bl=;:0de1>{im={I;40>hf;=0:jk84}og735<728qC5::4n`17>4`a?2wei99>:182M?0<2dj?94>fg:8ykc3?;0;65<6sA3<86`n3582bcg4}O1>>0bl=;:0de`>{im==<6=4>{I;40>hf;=0:jkk4}og73=<728qC5::4n`17>4`an2wei996:182M?0<2dj?94=0128ykc3?h0;65<6sA3<86`n3581454?3:ma11c290:wE784:lb71<589>0qck;7d83>4}O1>>0bl=;:3231>{im==m6=4>{I;40>hf;=09<=84}og7<5<728qC5::4n`17>767?2wei96>:182M?0<2dj?94=01:8ykc30;0;65<6sA3<86`n358145g?b:ma1>2290:wE784:lb71<589i0qck;8783>4}O1>>0bl=;:323`>{im=2<6=4>{I;40>hf;=09<=k4}og7<=<728qC5::4n`17>767n2wei966:182M?0<2dj?94=0028ykc30h0;65<6sA3<86`n3581444>3:ma1>c290:wE784:lb71<588>0qck;8d83>4}O1>>0bl=;:3221>{im=2m6=4>{I;40>hf;=09<<84}og7=5<728qC5::4n`17>766?2wei97>:182M?0<2dj?94=00:8ykc31;0;65<6sA3<86`n358144g>b:ma1?2290:wE784:lb71<588i0qck;9783>4}O1>>0bl=;:322`>{im=3<6=4>{I;40>hf;=09<766n2wei976:182M?0<2dj?94=0328ykc31h0;65<6sA3<86`n3581474=3:ma1?c290:wE784:lb71<58;>0qck;9d83>4}O1>>0bl=;:3211>{im=3m6=4>{I;40>hf;=09765?2wei9o>:182M?0<2dj?94=03:8ykc3i;0;65<6sA3<86`n358147g=b:ma1g2290:wE784:lb71<58;i0qck;a783>4}O1>>0bl=;:321`>{im=k<6=4>{I;40>hf;=09765n2wei9o6:182M?0<2dj?94=0228ykc3ih0;65<6sA3<86`n3581464<3:ma1gc290:wE784:lb71<58:>0qck;ad83>4}O1>>0bl=;:3201>{im=km6=4>{I;40>hf;=09<>84}og7f5<728qC5::4n`17>764?2wei9l>:182M?0<2dj?94=02:8ykc3j;0;65<6sA3<86`n358146g4}O1>>0bl=;:320`>{im=h<6=4>{I;40>hf;=09<>k4}og7f=<728qC5::4n`17>764n2wei9l6:182M?0<2dj?94=0528ykc3jh0;65<6sA3<86`n3581414;3:ma1dc290:wE784:lb71<58=>0qck;bd83>4}O1>>0bl=;:3271>{im=hm6=4>{I;40>hf;=09<984}og7g5<728qC5::4n`17>763?2wei9m>:182M?0<2dj?94=05:8ykc3k;0;65<6sA3<86`n358141g;b:ma1e2290:wE784:lb71<58=i0qck;c783>4}O1>>0bl=;:327`>{im=i<6=4>{I;40>hf;=09<9k4}og7g=<728qC5::4n`17>763n2wei9m6:182M?0<2dj?94=0428ykc3kh0;6=6sae5aa>5<6sA3<86`n3581404:3:ma1ec290:wE784:lb71<58<>0qck;cd83>4}O1>>0bl=;:3261>{im=im6=4>{I;40>hf;=09<884}og7`5<728qC5::4n`17>762?2wei9j>:182M?0<2dj?94=04:8ykc3l;0;656sae5f0>5<6sA3<86`n358140g:b:ma1b2290:wE784:lb71<584}O1>>0bl=;:326`>{im=n<6=4>{I;40>hf;=09<8k4}og7`=<728qC5::4n`17>762n2wei9j6:182M?0<2dj?94=0728ykc3lh0;65<6sA3<86`n358143493:ma1bc290:wE784:lb71<58?>0qck;dd83>4}O1>>0bl=;:3251>{im=nm6=4>{I;40>hf;=09<;84}og7a5<728qC5::4n`17>761?2wei9k>:182M?0<2dj?94=07:8ykc3m;0;64<6sgk887:0yme62=:99d:ma1c0280:wco<4;032`=zfl>n47?51zlb71<58?l0qck;e882>4}ii:>1>=9?;|lf0`g=93;pbl=;:3245>{im=oi6<4>{oc00?47?;1vbh:jc;395~hf;=09<:=4}og7aa<628qem>:52157?xhb760=2wei9ki:082kg4<38;;;5rnd6e4?7=9rdj?94=0658ykc3n80:64<6sgk887:0yme62=:9=h7p`j4g495?7|fh9?6?>8d:ma1`0280:wco<4;033`=zfl>m47?51zlb71<58>l0qck;f882>4}ii:>1>=6?;|lf0cg=93;pbl=;:32;5>{im=li6<4>{oc00?470;1vbh:ic;395~hf;=09<5=4}og7ba<628qem>:521:7?xhb76?=2wei9hi:082kg4<38;4;5rnd734?7=9rdj?94=0958ykc2880:6?3tdn9=<51;3xjd532;:356sae420>4<6sgk887<84>:0yme62=:92h7p`j51495?7|fh9?6?>7d:ma060280:wco<4;03<`=zfl?;47?51zlb71<581l0qck:0882>4}ii:>1>=7?;|lf15g=93;pbl=;:32:5>{im<:i6<4>{oc00?471;1vbh;?c;395~hf;=09<4=4}og64a<628qem>:521;7?xhb=9o1=7?tn`17>76>=2wei8>i:082kg4<38;5;5rnd724?7=9rdj?94=0858ykc2980:64<6sgk887=84>:0yme62=:93h7p`j50495?7|fh9?6?>6d:ma070280:wco<4;03=`=zfl?:47?51zlb71<580l0qck:1882>4}ii:>1>=o?;|lf14g=93;pbl=;:32b5>{im<;i6<4>{oc00?47i;1vbh;>c;395~hf;=09:521c7?xhb=8o1=7?tn`17>76f=2wei8?i:082kg4<38;m;5rnd714?7=9rdj?94=0`58ykc2:80:64<6sgk887>84>:0yme62=:9kh7p`j53495?7|fh9?6?>nd:ma040280:wco<4;03e`=zfl?947?51zlb71<58hl0qck:2882>4}ii:>1>=l?;|lf17g=93;pbl=;:32a5>{im<8i6<4>{oc00?47j;1vbh;=c;395~hf;=09:521`7?xhb=;o1=7?tn`17>76e=2wei8<51;3xjd532;:i56sae410>4<6sgk887?84>:0yme62=:9hh7p`j52495?7|fh9?6?>md:ma050280:wco<4;03f`=zfl?847?51zlb71<58kl0qck:3882>4}ii:>1>=m?;|lf16g=93;pbl=;:32`5>{im<9i6<4>{oc00?47k;1vbh;:521a7?xhb=:o1=7?tn`17>76d=2wei8=i:082kg4<38;o;5rnd774?7=9rdj?94=0b58ykc2<80:64<6sgk887884>:0yme62=:9ih7p`j55495?7|fh9?6?>ld:ma020280:wco<4;03g`=zfl??47?51zlb71<58jl0qck:4882>4}ii:>1>=j?;|lf11g=93;pbl=;:32g5>{im<>i6<4>{oc00?47l;1vbh;;c;395~hf;=09:521f7?xhb==o1=7?tn`17>76c=2wei8:i:082kg4<38;h;5rnd764?7=9rdj?94=0e58ykc2=80:64<6sgk887984>:0yme62=:9nh7p`j54495?7|fh9?6?>kd:ma030280:wco<4;03``=zfl?>47?51zlb71<58ml0qck:5882>4}ii:>1>=k?;|lf10g=93;pbl=;:32f5>{im{oc00?47m;1vbh;:c;395~hf;=09:521g7?xhb=76b=2wei8;i:082kg4<38;i;5rnd754?7=9rdj?94=0d58ykc2>80:64<6sgk887:84>:0yme62=:9oh7p`j57495?7|fh9?6?>jd:ma000280:wco<4;03a`=zfl?=47?51zlb71<58ll0qck:6882>4}ii:>1>=h?;|lf13g=93;pbl=;:32e5>{im<{oc00?47n;1vbh;9c;395~hf;=09:521d7?xhb=?o1=7?tn`17>76a=2wei88i:082kg4<38;j;5rnd744?7=9rdj?94=0g58ykc2?80:64<6sgk887;84>:0yme62=:9lh7p`j56495?7|fh9?6?>id:ma010280:wco<4;03b`=zfl?<47?51zlb71<58ol0qck:7882>4}ii:>1><>?;|lf12g=93;pbl=;:3335>{im<=i6<4>{oc00?468;1vbh;8c;395~hf;=09===4}og63a<628qem>:52027?xhb=>o1=7?tn`17>777=2wei89i:082kg4<38:<;5rnd7;4?7=9rdj?94=1158ykc2080:64<6sgk887<>0`9~j`3?<3;1=v`n358155d484>:0yme62=:8:h7p`j59495?7|fh9?6???d:ma0>0280:wco<4;024`=zfl?347?51zlb71<599l0qck:8882>4}ii:>1>{im<2i6<4>{oc00?469;1vbh;7c;395~hf;=09=<=4}og6:52037?xhb=1o1=7?tn`17>776=2wei86i:082kg4<38:=;5rnd7:4?7=9rdj?94=1058ykc2180:64<6sgk887<>1`9~j`3><3;1=v`n358154d584>:0yme62=:8;h7p`j58495?7|fh9?6??>d:ma0?0280:wco<4;025`=zfl?247?51zlb71<598l0qck:9882>4}ii:>1><{im<3i6<4>{oc00?46:;1vbh;6c;395~hf;=09=?=4}og6=a<628qem>:52007?xhb=0o1=7?tn`17>775=2wei87i:082kg4<38:>;5rnd7b4?7=9rdj?94=1358ykc2i80:64<6sgk887<>2`9~j`3f<3;1=v`n358157dm84>:0yme62=:88h7p`j5`495?7|fh9?6??=d:ma0g0280:wco<4;026`=zfl?j47?51zlb71<59;l0qck:a882>4}ii:>1><=?;|lf1dg=93;pbl=;:3305>{im{oc00?46;;1vbh;nc;395~hf;=09=>=4}og6ea<628qem>:52017?xhb=ho1=7?tn`17>774=2wei8oi:082kg4<38:?;5rnd7a4?7=9rdj?94=1258ykc2j80:64<6sgk887<>3`9~j`3e<3;1=v`n358156dn84>:0yme62=:89h7p`j5c495?7|fh9?6??4}ii:>1><:?;|lf1gg=93;pbl=;:3375>{im{oc00?46<;1vbh;mc;395~hf;=09=9=4}og6fa<628qem>:52067?xhb=ko1=7?tn`17>773=2wei8li:082kg4<38:8;5rnd7`4?7=9rdj?94=1558ykc2k80:64<6sgk887<>4`9~j`3d<3;1=v`n358151do84>:0yme62=:8>h7p`j5b494?7|R=>o69u;b`87f6<>;908j:4rH61`?kg4<38:8i5G8e68ykc2k>0;6i?77<0;1e3?{O?:i0bl=;:337a>N?l=1vbh;l8;295~hf;=09=9h4}og6g<<728qem>:52073?xh2=>81<7?tn`17>77292we98o<:182kg4<38:9?5rn47g0?6=9rdj?94=1418yk318<0;6:>850;3xjd532;;>96sa5744>5<6sgk887<>579~j00>03:1=v`n3581501m6`66582?xhe=>31<7?tn`17>772j2d2:94>;|la1h>>=0:7p`m5cd94?7|fh9?6??:d:l:21<63tdi9h<50;3xjd532;;>i6`66582?xhe>9?1<7?tn`17>772n2d2:94>;|la27>=83;pbl=;:3354>h>>=0:7p`m65`94?7|fh9?6??91:l:21<63tdi:;k50;3xjd532;;=>6`66582?xhe>0;1<7?tn`17>771;2d2:94>;|la2g2=83;pbl=;:3350>h>>=0:7p`m6e594?7|fh9?6??95:l:21<63tdi:ko50;3xjd532;;=:6`66582?xhe?8n1<7?tn`17>771?2d2:94>;|la316=83;pbl=;:335<>h>>=0:7p`m77194?7|fh9?6??99:l:21<63tdi;5850;3xjd532;;=m6`66582?xhe?h31<7?tn`17>771j2d2:94>;|la3fe=83;pbl=;:335g>h>>=0:7p`m7dd94?7|fh9?6??9d:l:21<63tdi4<<50;3xjd532;;=i6`66582?xhe0:?1<7?tn`17>771n2d2:94>;|la<0>=83;pbl=;:3344>h>>=0:7p`m86`94?7|fh9?6??81:l:21<63tdi44k50;3xjd532;;<>6`66582?xhe0j;1<7?tn`17>770;2d2:94>;|la<`2=83;pbl=;:3340>h>>=0:7p`m91594?7|fh9?6??85:l:21<63tdi5?o50;3xjd532;;<:6`66582?xhe1=n1<7?tn`17>770?2d2:94>;|la=26=83;pbl=;:334<>h>>=0:7p`m98194?7|fh9?6??89:l:21<63tw}X9:8:182>4<6n=kp_?9i:0357?4=9:9959j52`gg7>">?>03oo5+8b:9`7=O<=l0D9j=;I664>d69?n1<7=8:0g05?7a8lqQ>8>53z;;>0vD9:7;%;5=?b53g>?m7?j729m=7e=9o>j7co<4;28/:18'290/4nj59b:8j=ed2910'4k7:18'290/4nj59df8j=ed2=10'4k8:18':18'1290/4nj59958j=ed2810'46::18'3290/4nj59958j=ed2:10'46<:18'5290/4nj59958j=ed2<10'47>:18'10'46i:18'b290/4nj59958j=ed2010'46k:18'd290/4nj59958j=ed2k10'46m:18'f290/4nj59958j=ed2m10'466:18'6290/4nj59958j=ed2o10n">>?02:85+47c97>N3:51:k1"3>h087E:;6:lb71<53`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8h:;51;494?6|,=>269<7;%;0=?5c?91/5:<5a338 <0120<>7):9a;18L1213gk887=4i3:g>5<6=44i35b>5<5<oj6:&:371=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;97dc13-3<>7o=1:&:23<6l91/8;o53:J703=ii:>196g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3e37>4<1290;w):;9;616>">;008mh84$851>d463-3=:7?k0:&72d<43A>?:6`n3585?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4l8l1=7850;2x 12>2=897)7<9;1ba3=#1>81m??4$845>4b73->=m7=4H565?kg4<3=0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k2382>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:99j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f6b5;3;1:7>50z&70<<3:;1/5>753`g5?!?0:3k9=6*66782`5=#5<7s->?57:=2:&:7<<4il<0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94n;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`0`73=93<1<7>t$56:>1453-3857=ne79'=24=i;;0(489:0f3?!21i390D9:9;oc00?d5<5<5<;4>:783>5}#<=318?<4$81:>6gb>2.2;?4n209'=30=9m:0(98n:29K0103:1d19'03g=;2B?8;5aa269`>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;m:j6<49:183!2313>9>6*63880e`0<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0n7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=7co<4;d8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c8j0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd5328:0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k0e82>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:038m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c8l0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd532880e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k0g82>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:018m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c990:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd5328>0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k1082>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:078m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c9;0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd5328<0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k1282>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:058m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c9<0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd532820e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k1782>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:0;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c9>0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd5328k0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k1982>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:0`8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c900:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd5328i0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k1`82>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:0f8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c9k0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd5328o0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k1b82>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:0d8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c9m0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd532;:0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k1d82>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:338m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c:90:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd532;80e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k2082>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:318m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c:10:6;4?:1y'01?=<;20(4=6:2cf2>">?;0j><5+9749=33<,=5G4548jd532;>0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=lcg82>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:378m7>c290C8lk4;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8?>k51;494?6|,=>269<7;%;0=?4b811/5:<5a338 <0120<>7):9a;18L1213gk887<9;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`077>=93<1<7>t$56:>1453-38575;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9?i:51;494?6|,=>269<7;%;0=?45>;1/5:<5a338 <0120<>7):9a;18L1213gk887<7;h0;`?6=3`8=97>5;h04e?6=@=kn76g7d183>>o5=o0;66a7d883>>{e::n>6<49:183!2313>946*63881634<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0956g=8e83>>o5><0;66g=7`83>M2fm21b4i>50;9j60`=831d4i750;9~f75c>3;1:7>50z&70<<3:11/5>752341?!?0:3k9=6*6678:20=#5j50;9j633=831b>:o50;J7e`=5<<,0926?<92:&:377d5<c83:17d<:f;29?j>c13:17pl=3b:95?0=83:p(9:6:50;?!?41389:?5+9609e77<,0<=648:;%65e?5<@=>=7co<4;0`?l4?l3:17d<95;29?l40i3:1D9oj;:k;`5<722c99k4?::m;`<<722wi>>m6:085>5<7s->?57:=8:&:7<<5:?80(49=:`02?!?1>33=96*;6`80?M23>2dj?94=d:k1ji65f8e294?=n:7?56;294~"3<00?>55+92;967053-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1>h5f29f94?=n:??1<75f26c94?N3il10e5j?:188m73a2900c5j6:188yg44k:0:6;4?:1y'01?=<;20(4=6:3056>">?;0j><5+9749=33<,=5G4548jd532;l0e?6k:188m7022900e?9n:18K0dc<3`2o<7>5;h06b?6=3f2o57>5;|`172e=93<1<7>t$56:>1453-3857<<5d9'=24=i;;0(489:0f3?!21i390D9:9;oc00?573`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9?l?51;494?6|,=>269<=;%;0=?45>;1/5:<5a338 <0128n;7):9a;:7?M23>2dj?94<1:k16280=6=4?{%67=?2502.2?44"3>h087E:;6:lb71<4:2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>>76:085>5<7s->?57:=8:&:7<<5:?80(49=:`02?!?1>33=96*;6`80?M23>2dj?94<3:k1"3>h087E:;6:lb71<4<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?==7:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94<5:k1"3>h087E:;6:lb71<4>2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=:7:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94<7:k1280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb71<402c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=:n:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94<9:k1"3>h087E:;6:lb71<4i2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=:l:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb71<4k2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?==n:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94"3>h087E:;6:lb71<4m2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?==l:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94"3>h087E:;6:lb71<382c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?==j:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94;1:k1"3>h087E:;6:lb71<3:2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=:?:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94;3:k1"3>h087E:;6:lb71<3<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=:=:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94;5:k1"3>h087E:;6:lb71<3>2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=:::085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94;7:k1"3>h087E:;6:lb71<302c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=:8:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94;9:k1"3>h087E:;6:lb71<3i2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??;?:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94;b:k1"3>h087E:;6:lb71<3k2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??;l:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94;d:k1"3>h087E:;6:lb71<3m2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??8n:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94;f:k1"3>h087E:;6:lb71<282c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??8l:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94:1:k1"3>h087E:;6:lb71<2:2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??8j:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94:3:k1"3>h087E:;6:lb71<2<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??;=:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94:5:k1"3>h087E:;6:lb71<2>2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??;;:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94:7:k1"3>h087E:;6:lb71<202c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??;9:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94:9:k1"3>h087E:;6:lb71<2i2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??;7:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94:b:k1280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb71<2k2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??;n:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94:d:k1"3>h087E:;6:lb71<2m2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??;k:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94:f:k1"3>h087E:;6:lb71<182c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??;i:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9491:k1"3>h087E:;6:lb71<1:2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??8>:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9493:k1"3>h087E:;6:lb71<1<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??8<:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9495:k1"3>h087E:;6:lb71<1>2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??8::085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9497:k1"3>h087E:;6:lb71<102c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??87:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9499:k1280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb71<1i2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=9>:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?949b:k1"3>h087E:;6:lb71<1k2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=98:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?949d:k1"3>h087E:;6:lb71<1m2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=96:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?949f:k1"3>h087E:;6:lb71<082c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=9m:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9481:k1"3>h087E:;6:lb71<0:2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=9k:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9483:k1"3>h087E:;6:lb71<0<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=9<:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9485:k1"3>h087E:;6:lb71<0>2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=9::085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9487:k1"3>h087E:;6:lb71<002c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=7l:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9489:k1"3>h087E:;6:lb71<0i2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??7>:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?948b:k1"3>h087E:;6:lb71<0k2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=:j:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?948d:k1"3>h087E:;6:lb71<0m2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=;;:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?948f:k1"3>h087E:;6:lb715<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9471:k1"3>h087E:;6:lb715<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9473:k1280=6=4?{%67=?2502.2?44=e1:8 <152h8:7)796;;51>"3>h087E:;6:lb715<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9475:k1"3>h087E:;6:lb712c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=;?:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9477:k1"3>h087E:;6:lb715<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?9479:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?947b:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?947d:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?947f:k1"3>h087E:;6:lb71<>82c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=8=:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9461:k1"3>h087E:;6:lb71<>:2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=6?:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9463:k16280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb71<><2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?=6=:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9465:k14280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb71<>>2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??>?:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9467:k1"3>h087E:;6:lb71<>02c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??>l:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9469:k1"3>h087E:;6:lb71<>i2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi???n:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?946b:k1"3>h087E:;6:lb71<>k2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi???l:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?946d:k1"3>h087E:;6:lb71<>m2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi???j:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?946f:k1"3>h087E:;6:lb71=:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94n1:k1"3>h087E:;6:lb71;:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94n3:k1"3>h087E:;6:lb719:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94n5:k1"3>h087E:;6:lb712c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??>7:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94n7:k1280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb71n:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94n9:k1"3>h087E:;6:lb71k:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94nb:k1"3>h087E:;6:lb71i:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94nd:k1"3>h087E:;6:lb71:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94nf:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94m1:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94m3:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94m5:k1280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb712c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?>:6:085>5<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?94m7:k1"3>h0386F;479me62=j11b>5j50;9j633=831b>:o50;9j8h50;9l=;b;392?6=8r.?844;299'=6?=:l:37)782;c15>">>?02:85+47c9<1=O<=<0bl=;:c;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg54">?;0j><5+9749=33<,=c83:17d<:f;29?j>c13:17pl<35f95?0=83:p(9:6:50;?!?4138n<55+9609e77<,0<=648:;%65e?>33A>?:6`n358af>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;:>n6<49:183!2313>946*63881a5><,0=96l<>;%;52??1=2.?:l474:J703=ii:>1nn5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb217b?7=>3:1h>7;%;46?g592.2:;46649'03g=0=1C8984n`17>gb5<5<5<:783>5}#<=318?64$81:>7c702.2;?4n209'=30=1??0(98n:968L1213gk887lj;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`071>=93<1<7>t$56:>14?3-38575<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l0:k1e280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l2:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l4:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l6:k1280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l8:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94la:k1c280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94lc:k1a280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94le:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94k0:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94k2:k12280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb715<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94k4:k1"3>h087E:;6:lb715<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?94k6:k1"3>h087E:;6:lb715<7s->?57:=2:&:7<<4;jn0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94k8:k1"3>h0386F;479me62=l01b>5j50;9j633=831b>:o50;9j8h50;9l=;0;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5d`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f65393;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=;2;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5db9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f653;3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=;4;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5dd9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f653=3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=;6;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5e19j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f653?3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=">>?0:h=5+47c97>N3:5e39j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f661;3;1:7>50z&70<<3:11/5>752d2;?!?0:3k9=6*6678:20=#5j50;9j633=831b>:o50;9j8h50;9l>94;392?6=8r.?844;299'=6?=:l:37)782;c15>">>?02:85+47c97>N3:5e59j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f66113;1:7>50z&70<<3:11/5>752d2;?!?0:3k9=6*6678:20=#5j50;9j633=831b>:o50;9j8h50;9l>9a;392?6=8r.?844;299'=6?=:l:37)782;c15>">>?02:85+47c97>N3:5e79j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f661j3;1:7>50z&70<<3:11/5>752d2;?!?0:3k9=6*6678:20=#1b>5j50;9j633=831b>:o50;9j8h50;9l>9c;392?6=8r.?844;299'=6?=:l:37)782;c15>">>?02:85+47c97>N3:5e99j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f661l3;1:7>50z&70<<3:11/5>752d2;?!?0:3k9=6*6678:20=#5j50;9j633=831b>:o50;9j8h50;9l>9e;392?6=8r.?844;299'=6?=:l:37)782;c15>">>?02:85+47c97>N3:5e`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f661n3;1:7>50z&70<<3:11/5>752d2;?!?0:3k9=6*6678:20=#5j50;9j633=831b>:o50;9j8h50;9l>80;392?6=8r.?844;299'=6?=:l:37)782;c15>">>?02:85+47c97>N3:5eb9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f661=3;1:7>50z&70<<3:11/5>752d2;?!?0:3k9=6*6678:20=#5j50;9j633=831b>:o50;9j8h50;9l>96;392?6=8r.?844;299'=6?=:l:37)782;c15>">>?02:85+47c97>N3:5ed9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f661?3;1:7>50z&70<<3:11/5>752d2;?!?0:3k9=6*6678:20=#5j50;9j633=831b>:o50;9j8h50;9l>98;392?6=8r.?844;299'=6?=:l:37)782;c15>">>?02:85+47c97>N3:5f19j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f65293;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=:2;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5f39j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f652j3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=:c;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5f59j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f652l3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=:e;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5f79j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f652n3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#1b>5j50;9j633=831b>:o50;9j8h50;9l=90;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5f99j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f65193;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=92;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5f`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f652;3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=:4;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5fb9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f652=3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=:6;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:5fd9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f652?3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#5j50;9j633=831b>:o50;9j8h50;9l=:8;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:51128m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg54=00:6;4?:1y'01?=<;80(4=6:3g3<>">?;0j><5+97495a6<,=5G4548jd5328::7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl<34c95?0=83:p(9:6:501?!?4138n<55+9609e77<,0<=6=7co<4;336>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;:826<49:183!2313>9>6*63881a5><,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:<>5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb211e?7=>3:1h>7;%;46?g592.2:;4>d19'03g=;2B?8;5aa2695525<5<5<o4>:783>5}#<=318?<4$81:>7c702.2;?4n209'=30=9m:0(98n:29K010:;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`077e=93<1<7>t$56:>1453-38572c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>069j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f655m3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#2900qo=<2g82>3<729q/89754308 <5>2;o;46*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:02:?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4;9?1=7850;2x 12>2=897)7<9;0f4==#1>81m??4$845>4b73->=m7=4H565?kg4<3;;m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3353>4<1290;w):;9;616>">;009i=64$851>d463-3=:7?k0:&72d<43A>?:6`n35824g=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96`6?3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1==m4i3:g>5<6=44i35b>5<5<46c3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8>5951;494?6|,=>269<=;%;0=?4b811/5:<5a338 <0128n;7):9a;18L1213gk887??e:k1f280=6=4?{%67=?25:2.2?44=e1:8 <152h8:7)796;3g4>"3>h087E:;6:lb71<68o1b>5j50;9j633=831b>:o50;9j8h50;9l<7b;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:51028m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg550j0:6;4?:1y'01?=<;80(4=6:3g3<>">?;0j><5+97495a6<,=5G4548jd5328;:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl<29f95?0=83:p(9:6:501?!?4138n<55+9609e77<,0<=6=7co<4;326>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;;2n6<49:183!2313>9>6*63881a5><,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:=>5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb20;b?7=>3:1h>7;%;46?g592.2:;4>d19'03g=;2B?8;5aa2695425<5<5<:783>5}#<=318?<4$81:>7c702.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`0625=93<1<7>t$56:>1453-38572c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??9;:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>169j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f640=3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#2900qo==7782>3<729q/89754308 <5>2;o;46*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:03:?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4:>=1=7850;2x 12>2=897)7<9;0f4==#1>81m??4$845>4b73->=m7=4H565?kg4<3;:m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm335;>4<1290;w):;9;616>">;009i=64$851>d463-3=:7?k0:&72d<43A>?:6`n35825g=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96`6?3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1=5<6=44i35b>5<5<47c3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8>:l51;494?6|,=>269<=;%;0=?4b811/5:<5a338 <0128n;7):9a;18L1213gk887?>e:k1"3>h087E:;6:lb71<69o1b>5j50;9j633=831b>:o50;9j8h50;9l<8e;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:51328m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg55?o0:6;4?:1y'01?=<;80(4=6:3g3<>">?;0j><5+97495a6<,=5G4548jd53288:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl<29295?0=83:p(9:6:501?!?4138n<55+9609e77<,0<=6=7co<4;316>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;;2:6<49:183!2313>9>6*63881a5><,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:>>5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb20;6?7=>3:1h>7;%;46?g592.2:;4>d19'03g=;2B?8;5aa2695725<5<5<4>:783>5}#<=318?<4$81:>7c702.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`06=2=93<1<7>t$56:>1453-38572c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi??6::085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>269j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f64?>3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#2900qo==8982>3<729q/89754308 <5>2;o;46*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:00:?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4:131=7850;2x 12>2=897)7<9;0f4==#1>81m??4$845>4b73->=m7=4H565?kg4<3;9m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3240>4<1290;w):;9;61<>">;009i=64$851>d463-3=:7795:&72d<43A>?:6`n35826g=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96`6?3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1=?m4i3:g>5<6=44i35b>5<5<44c3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8??>51;494?6|,=>269<=;%;0=?4b811/5:<5a338 <0128n;7):9a;18L1213gk887?=e:k1"3>h087E:;6:lb71<6:o1b>5j50;9j633=831b>:o50;9j8h50;9l==2;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:51228m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg54::0:6;4?:1y'01?=<;80(4=6:3g3<>">?;0j><5+97495a6<,=5G4548jd53289:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl<33695?0=83:p(9:6:501?!?4138n<55+9609e77<,0<=6=7co<4;306>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;:8>6<49:183!2313>9>6*63881a5><,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:?>5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb2112?7=>3:1h>7;%;46?g592.2:;4>d19'03g=;2B?8;5aa2695625<5<5<:4>:783>5}#<=318?<4$81:>7c702.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`074e=93<1<7>t$56:>1453-38572c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?>?k:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>369j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f656m3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#2900qo=<1g82>3<729q/89754308 <5>2;o;46*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:01:?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4;9<1=7850;2x 12>2=897)7<9;0f4==#1>81m??4$845>4b73->=m7=4H565?kg4<3;8m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3233>4<1290;w):;9;616>">;009i=64$851>d463-3=:7?k0:&72d<43A>?:6`n35827g=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96`6?3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1=>m4i3:g>5<6=44i35b>5<5<45c3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8?<951;494?6|,=>269<=;%;0=?4b811/5:<5a338 <0128n;7):9a;18L1213gk887?"3>h087E:;6:lb71<6;o1b>5j50;9j633=831b>:o50;9j8h50;9l=>9;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:51528m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg548>0:6;4?:1y'01?=<;80(4=6:3g3<>">?;0j><5+97495a6<,=5G4548jd5328>:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl<31:95?0=83:p(9:6:501?!?4138n<55+9609e77<,0<=6=7co<4;376>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;::26<49:183!2313>9>6*63881a5><,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:8>5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb213e?7=>3:1h>7;%;46?g592.2:;4>d19'03g=;2B?8;5aa2695125<5<5<:783>5}#<=318?<4$81:>7c702.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`075e=93<1<7>t$56:>1453-38572c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?>>k:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>469j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f657m3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#2900qo=<0g82>3<729q/89754308 <5>2;o;46*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:06:?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4;8;1=7850;2x 12>2=897)7<9;0f4==#1>81m??4$845>4b73->=m7=4H565?kg4<3;?m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3231>4<1290;w):;9;616>">;009i=64$851>d463-3=:7?k0:&72d<43A>?:6`n35820g=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96`6?3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1=9m4i3:g>5<6=44i35b>5<5<42c3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th88ih51;494?6|,=>269<7;%;0=?54km1/5:<5a338 <0120<>7):9a;18L1213gk887?;e:k1"3>h087E:;6:lb71<65j50;9j633=831b>:o50;9j8h50;9lb;392?6=8r.?844;239'=6?=:;<97)782;c15>">>?0:h=5+47c97>N3:51428m7>c2900e?8::188m71f2900e5j?:188m73a290C8lk4;n:g=?6=3th98=651;494?6|,=>269<7;%;0=?45>;1/5:<5a338 <0120<>7):9a;18L1213gk887?:1:k1"3>h087E:;6:lb71<6=;1b>5j50;9j633=831b>:o50;9j8h50;9l=<1;392?6=8r.?844;239'=6?=:l:37)782;c15>">>?0:h=5+47c97>N3:51418m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg54;?0:6;4?:1y'01?=<;80(4=6:3g3<>">?;0j><5+97495a6<,=5G4548jd5328??7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl<32595?0=83:p(9:6:501?!?4138n<55+9609e77<,0<=6=7co<4;361>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;:936<49:183!2313>9>6*63881a5><,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:9;5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb210=?7=>3:1h>7;%;46?g592.2:;4>d19'03g=;2B?8;5aa2695015<5<5<:783>5}#<=318?<4$81:>7c702.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`076d=93<1<7>t$56:>1453-3857=l:085>5<7s->?57:=2:&:7<<5m920(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>5`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f654l3;1:7>50z&70<<3:;1/5>752d2;?!?0:3k9=6*66782`5=#2900qo=<3382>3<729q/89754308 <5>2;o;46*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:07`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4;:91=7850;2x 12>2=897)7<9;0f4==#1>81m??4$845>4b73->=m7=4H565?kg4<3;>h6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3217>4<1290;w):;9;616>">;009i=64$851>d463-3=:7?k0:&72d<43A>?:6`n35821`=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96`6?3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1=8h4i3:g>5<6=44i35b>5<5<oj6:&:374073`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8h9o51;494?6|,=>269<=;%;0=?5fm?1/5:<5a338 <0128n;7):9a;18L1213gk887?91:k1"3>h087E:;6:lb71<6>;1b>5j50;9j633=831b>:o50;9j8h50;9lj90;392?6=8r.?844;239'=6?=;ho=7)782;c15>">>?0:h=5+47c97>N3:51718m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c>:0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd5328c83:17d<:f;29?j>c13:17pl=7co<4;351>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;m<>6<49:183!2313>9>6*63880e`0<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0::;5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb2f52?7=>3:1d19'03g=;2B?8;5aa2695315<5<5<:783>5}#<=318?<4$81:>6gb>2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`0`3>=93<1<7>t$56:>1453-3857=ne79'=24=i;;0(489:0f3?!21i390D9:9;oc00?7112c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?i:m:085>5<7s->?57:=2:&:7<<4il<0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>6`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f6b3k3;1:7>50z&70<<3:;1/5>753`g5?!?0:3k9=6*66782`5=#2900qo=k4e82>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:04`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4l=o1=7850;2x 12>2=897)7<9;1ba3=#1>81m??4$845>4b73->=m7=4H565?kg4<3;=h6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3e6e>4<1290;w):;9;616>">;008mh84$851>d463-3=:7?k0:&72d<43A>?:6`n35822`=n:1n1<75f27794?=n:>k1<75f8e294?=n:<7?56;294~"3<00?>?5+92;97dc13-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1=;h4i3:g>5<6=44i35b>5<5<oj6:&:374173`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8h8<51;494?6|,=>269<=;%;0=?5fm?1/5:<5a338 <0128n;7):9a;18L1213gk887?81:k1"3>h087E:;6:lb71<6?;1b>5j50;9j633=831b>:o50;9j8h50;9lj:4;392?6=8r.?844;239'=6?=;ho=7)782;c15>">>?0:h=5+47c97>N3:51618m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5c=?0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd5328=?7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=7co<4;341>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;m?36<49:183!2313>9>6*63880e`0<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:;;5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb2f6=?7=>3:1d19'03g=;2B?8;5aa2695215<5<5<:783>5}#<=318?<4$81:>6gb>2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`0`0d=93<1<7>t$56:>1453-3857=ne79'=24=i;;0(489:0f3?!21i390D9:9;oc00?7012c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?i;l:085>5<7s->?57:=2:&:7<<4il<0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>7`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f6b2l3;1:7>50z&70<<3:;1/5>753`g5?!?0:3k9=6*66782`5=#h0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=k5d82>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:05`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4l2=897)7<9;1ba3=#1>81m??4$845>4b73->=m7=4H565?kg4<3;>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3e42>4<1290;w):;9;616>">;008mh84$851>d463-3=:7?k0:&72d<43A>?:6`n35823`=n:1n1<75f27794?=n:>k1<75f8e294?=n:7?56;294~"3<00?>?5+92;97dc13-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1=:h4i3:g>5<6=44i35b>5<5<oj6:&:374>73`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8n<<51;494?6|,=>269<=;%;0=?5fm?1/5:<5a338 <0128n;7):9a;18L1213gk887?71:k1"3>h087E:;6:lb71<60;1b>5j50;9j633=831b>:o50;9j8h50;9ll>4;392?6=8r.?844;239'=6?=;ho=7)782;c15>">>?0:h=5+47c97>N3:51918m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5e9<0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd53282?7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=7co<4;3;1>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;k;<6<49:183!2313>9>6*63880e`0<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:4;5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb2`23:1d19'03g=;2B?8;5aa2695=15<5<5<:783>5}#<=318?<4$81:>6gb>2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`0f51=93<1<7>t$56:>1453-3857=ne79'=24=i;;0(489:0f3?!21i390D9:9;oc00?7?12c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?o>7:085>5<7s->?57:=2:&:7<<4il<0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>8`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f6d713;1:7>50z&70<<3:;1/5>753`g5?!?0:3k9=6*66782`5=#2900qo=m0`82>3<729q/89754308 <5>2:kn:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:0:`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4j9h1=7850;2x 12>2=897)7<9;1ba3=#1>81m??4$845>4b73->=m7=4H565?kg4<3;3h6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3c2`>4<1290;w):;9;616>">;008mh84$851>d463-3=:7?k0:&72d<43A>?:6`n3582<`=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;97dc13-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1=5h4i3:g>5<6=44i35b>5<5<oj6:&:374?73`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8n<>51;494?6|,=>269<=;%;0=?5fm?1/5:<5a338 <0128n;7):9a;18L1213gk887?61:k1"3>h087E:;6:lb71<61;1b>5j50;9j633=831b>:o50;9j8h50;9lm=f;392?6=8r.?844;239'=6?=;ho=7)782;c15>">>?0:h=5+47c97>N3:51818m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5dl=0:6;4?:1y'01?=<;80(4=6:2cf2>">?;0j><5+97495a6<,=5G4548jd53283?7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=34d95?0=83:p(9:6:501?!?413889h5+9609e77<,0<=6=7co<4;3:1>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;=n36<49:183!2313>9>6*638807fb<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:5;5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb051e?7=>3:15<5<c13:17pl>a8295?0=83:p(9:6:501?!?413;j4;5+9609e77<,0<=6=7co<4;3:<>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9h2m6<49:183!2313>9>6*63882e=0<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:545f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0c;a?7=>3:1d19'03g=;2B?8;5aa26955<5<5<:783>5}#<=318?<4$81:>4g?>2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2e<1=93<1<7>t$56:>14?3-3857?n879'=24=i;;0(489:846?!21i390D9:9;oc00?7>k2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=l7i:085>5<7s->?57:=8:&:7<<6i1<0(49=:`02?!?1>33=96*;6`8;0>N3:518f8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7fi>0:6;4?:1y'01?=<;20(4=6:0c;2>">?;0j><5+9749=33<,=5G4548jd53283n7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>a8395?0=83:p(9:6:50;?!?413;j4;5+9609e77<,0<=648:;%65e?5<@=>=7co<4;3:b>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9h3h6<49:183!2313>9>6*63882e=0<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0:m=5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0c:f?7=>3:1d19'03g=;2B?8;5aa2695d75<5<5<:783>5}#<=318?64$81:>4g?>2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2e=1=93<1<7>t$56:>1453-3857?n879'=24=i;;0(489:0f3?!21i390D9:9;oc00?7f;2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=5kj:085>5<7s->?57:=2:&:7<<60l>0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94>a59j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4>bl3;1:7>50z&70<<3:;1/5>7519g7?!?0:3k9=6*66782`5=#2900qo?7eb82>3<729q/89754308 <5>282n86*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:0c5?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd60o<1=7850;2x 12>2=897)7<9;3;a1=#1>81m??4$845>4b73->=m7=4H565?kg4<3;j;6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm19d6>4<1290;w):;9;61<>">;00:4h:4$851>d463-3=:7795:&72d<43A>?:6`n3582e==n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95=c33-3<>7o=1:&:23<>><1/8;o5859K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2=50=93<1<7>t$56:>14?3-3857?7e59'=24=i;;0(489:846?!21i390D9:9;oc00?7fi2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=5ki:085>5<7s->?57:=8:&:7<<60l>0(49=:`02?!?1>33=96*;6`80?M23>2dj?94>ac9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4>ai3;1:7>50z&70<<3:;1/5>7519g7?!?0:3k9=6*66782`5=#2900qo?7f882>3<729q/89754308 <5>282n86*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:0cg?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd619;1=7850;2x 12>2=837)7<9;3;a1=#1>81m??4$845><023->=m7=4H565?kg4<3;ji6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm19g6>4<1290;w):;9;616>">;00:4h:4$851>d463-3=:7?k0:&72d<43A>?:6`n3582ec=n:1n1<75f27794?=n:>k1<75f8e294?=n:?;0?nk5+45;9<70<,0926<898:J703=ii:>1=o>4i576>5<5<:583>5}#1>81m?<4$81:>40102B?8;5aa2695g75<5<:283>5}#1>81m?84$81:>40102B?8;5aa2695g45<9m6=44}c35f6<62:0;6=u+96090g`<,=>265<9;%;0=?71>11C8984n`17>4d43`>>97>5;h662?6=3f2>57>5;|`22<2=93>1<7>t$851>d453-3857?9699K0105;h;12?6=3f=8j7>5;|`22a5=9391<7>t$851>d413-3857?9699K0105;n50b?6=3th::ok51;194?6|,0=969li;%67=?>5>2.2?44>67:8L1213gk887?m6:k710<722c?9;4?::m;1<<722wi=;7i:087>5<7s-3<>7o=2:&:7<<6>?20D9:9;oc00?7e?2c95o4?::k126<722c2>;4?::m47c<722wi=;jj:080>5<7s-3<>7o=6:&:7<<6>?20D9:9;oc00?7e02c?n:4?::k126<722e50z&:3775174;?M23>2dj?94>b`9j6;=50;9j=70=831d;>h50;9~f40b93;1?7>50z&:3775174;?M23>2dj?94>bc9j0g1=831b>;=50;9l36`=831vn<8l2;397?6=8r.2;?4;bg9'01?=0;<0(4=6:045<>N3:51ca8m1322900e9;9:188k=3>2900qo?9a382>1<729q/5:<5a308 <5>28<=46F;479me62=9kn0e?7m:188m7042900e4<9:188k25a2900qo?9e382>6<729q/5:<5a348 <5>28<=46F;479me62=9ko0e9l8:188m7042900c:=i:188yg71k:0:6>4?:1y'=24=3:17b6:9;29?xd6>h91=7:50;2x <152h897)7<9;352==O<=<0bl=;:0a3?l4>j3:17d<93;29?l?5>3:17b9l91=7=50;2x <152h8=7)7<9;352==O<=<0bl=;:0a2?l2e?3:17d<93;29?j14n3:17pl>6b695?5=83:p(49=:5`e?!231329:6*6388223><@=>=7co<4;3`6>o3=<0;66g;5783>>i?=00;66sm17c7>4<3290;w)782;c16>">;00::;64H565?kg4<3;h?6g=9c83>>o5>:0;66g62783>>i0;o0;66sm17g7>4<4290;w)782;c12>">;00::;64H565?kg4<3;h86g;b683>>o5>:0;66a83g83>>{e9?i>6<4<:183!?0:3>ij6*;488;63=#1:31=;87;I672>hf;=0:o85f44794?=n<<<1<75`84;94?=zj8?;0j>?5+92;9530?3A>?:6`n3582g3=n:0h1<75f27194?=n1;<1<75`72d94?=zj8?;0j>;5+92;9530?3A>?:6`n3582g2=n40102B?8;5aa2695f>6=44i575>5<1=n74i3;a>5<5<9m6=44}c35a3<62:0;6=u+9609e70<,0926<898:J703=ii:>1=no4i5`4>5<5<:283>5}#1>818oh4$56:>=413-3857?9699K010>:7>5;n:6=?6=3th::l951;694?6|,0=96l<=;%;0=?71>11C8984n`17>4ed3`82n7>5;h057?6=3`39:7>5;n50b?6=3th::h951;194?6|,0=96l<9;%;0=?71>11C8984n`17>4ec3`>i;7>5;h057?6=3f=8j7>5;|`22d?=9391<7>t$851>1da3->?576=6:&:7<<6>?20D9:9;oc00?7dm2c?984?::k713<722e3944?::a53>f280?6=4?{%;46?g5:2.2?44>67:8L1213gk887?lf:k1=g<722c9:>4?::k:63<722e28086=4?{%;46?g5>2.2?44>67:8L1213gk887?k0:k7f2<722c9:>4?::m47c<722wi=;on:080>5<7s-3<>7:mf:&70<75174;?M23>2dj?94>d09j003=831b88850;9l<0?=831vn<87b;390?6=8r.2;?4n239'=6?=9?<37E:;6:lb71<6l;1b>4l50;9j635=831b5?850;9l36`=831vn<8la;397?6=8r.2;?4n279'=6?=9?<37E:;6:lb71<6l:1b8o950;9j635=831d;>h50;9~f40fj3;1?7>50z&:37<3jo1/89758518 <5>28<=46F;479me62=9m>0e9;::188m1312900c5;6:188yg710j0:694?:1y'=24=i;80(4=6:045<>N3:51e78m7?e2900e?8<:188m<412900c:=i:188yg71kk0:6>4?:1y'=24=i;<0(4=6:045<>N3:51e48m1d02900e?8<:188k25a2900qo?9ab82>6<729q/5:<54cd8 12>218=7)7<9;352==O<=<0bl=;:0f4?l22=3:17d::6;29?j>213:17pl>69f95?2=83:p(49=:`01?!?413;=:55G4548jd5328n37d<6b;29?l41;3:17d7=6;29?j14n3:17pl>6ba95?5=83:p(49=:`05?!?413;=:55G4548jd5328n27d:m7;29?l41;3:17b9hn1=7=50;2x <152=hm7):;9;:12>">;00::;64H565?kg4<3;om6g;5483>>o3=?0;66a75883>>{e9?2n6<4;:183!?0:3k9>6*6388223><@=>=7co<4;3gf>o51k0;66g=6283>>o>:?0;66a83g83>>{e9?io6<4<:183!?0:3k9:6*6388223><@=>=7co<4;3gg>o3j>0;66g=6283>>i0;o0;66sm17cf>4<4290;w)782;6ab>"3<003>;5+92;9530?3A>?:6`n3582`a=n<hf;=0:hh5f28`94?=n:?91<75f93494?=h?:l1<75rb04`a?7=;3:1hf;=0:hk5f4c594?=n:?91<75`72d94?=zj8?;0?nk5+45;9<15<,0926<898:J703=ii:>1=h>4i576>5<5<:583>5}#1>81m?<4$81:>40102B?8;5aa2695`75<5<:283>5}#1>81m?84$81:>40102B?8;5aa2695`45<9m6=44}c35f5<62:0;6=u+96090g`<,=>265:<;%;0=?71>11C8984n`17>4c43`>>97>5;h662?6=3f2>57>5;|`22<7=93>1<7>t$851>d453-3857?9699K0105;h;12?6=3f=8j7>5;|`22a6=9391<7>t$851>d413-3857?9699K0105;n50b?6=3th::o?51;194?6|,0=969li;%67=?>3;2.2?44>67:8L1213gk887?j6:k710<722c?9;4?::m;1<<722wi=;7=:087>5<7s-3<>7o=2:&:7<<6>?20D9:9;oc00?7b?2c95o4?::k126<722c2>;4?::m47c<722wi=;j>:080>5<7s-3<>7o=6:&:7<<6>?20D9:9;oc00?7b02c?n:4?::k126<722e;3;187>50z&:3775174;?M23>2dj?94>e`9j6;=50;9j=70=831d;>h50;9~f40c:3;1?7>50z&:3775174;?M23>2dj?94>ec9j0g1=831b>;=50;9l36`=831vn<8m4;397?6=8r.2;?4;bg9'01?=0;<0(4=6:045<>N3:51da8m1322900e9;9:188k=3>2900qo?99482>1<729q/5:<5a308 <5>28<=46F;479me62=9ln0e?7m:188m7042900e4<9:188k25a2900qo?9d582>6<729q/5:<5a348 <5>28<=46F;479me62=9lo0e9l8:188m7042900c:=i:188yg71j<0:6>4?:1y'=24=3:17b6:9;29?xd6>0<1=7:50;2x <152h897)7<9;352==O<=<0bl=;:0d3?l4>j3:17d<93;29?l?5>3:17b9m?1=7=50;2x <152h8=7)7<9;352==O<=<0bl=;:0d2?l2e?3:17d<93;29?j14n3:17pl>6c495?5=83:p(49=:5`e?!231329:6*6388223><@=>=7co<4;3e6>o3=<0;66g;5783>>i?=00;66sm17;4>4<3290;w)782;c16>">;00::;64H565?kg4<3;m?6g=9c83>>o5>:0;66g62783>>i0;o0;66sm17f5>4<4290;w)782;c12>">;00::;64H565?kg4<3;m86g;b683>>o5>:0;66a83g83>>{e9?h<6<4<:183!?0:3>ij6*;488;63=#1:31=;87;I672>hf;=0:j85f44794?=n<<<1<75`84;94?=zj8<247?54;294~">?;0j>?5+92;9530?3A>?:6`n3582b3=n:0h1<75f27194?=n1;<1<75`72d94?=zj8?;0j>;5+92;9530?3A>?:6`n3582b2=n40102B?8;5aa2695c>6=44i575>5<1=k74i3;a>5<5<9m6=44}c35`=<62:0;6=u+9609e70<,0926<898:J703=ii:>1=ko4i5`4>5<5<:283>5}#1>818oh4$56:>=413-3857?9699K010>:7>5;n:6=?6=3th::4o51;694?6|,0=96l<=;%;0=?71>11C8984n`17>4`d3`82n7>5;h057?6=3`39:7>5;n50b?6=3th::i751;194?6|,0=96l<9;%;0=?71>11C8984n`17>4`c3`>i;7>5;h057?6=3f=8j7>5;|`22gg=9391<7>t$851>1da3->?576=6:&:7<<6>?20D9:9;oc00?7am2c?984?::k713<722e3944?::a53?e280?6=4?{%;46?g5:2.2?44>67:8L1213gk887?if:k1=g<722c9:>4?::k:63<722e2.2?44>67:8L1213gk8874?::m47c<722wi=;lm:080>5<7s-3<>7:mf:&70<75174;?M23>2dj?94=009j003=831b88850;9l<0?=831vn<86c;390?6=8r.2;?4n239'=6?=9?<37E:;6:lb71<58;1b>4l50;9j635=831b5?850;9l36`=831vn<8kb;397?6=8r.2;?4n279'=6?=9?<37E:;6:lb71<58:1b8o950;9j635=831d;>h50;9~f40ek3;1?7>50z&:37<3jo1/89758348 <5>28<=46F;479me62=:9>0e9;::188m1312900c5;6:188yg711m0:694?:1y'=24=i;80(4=6:045<>N3:52178m7?e2900e?8<:188m<412900c:=i:188yg71lj0:6>4?:1y'=24=i;<0(4=6:045<>N3:52148m1d02900e?8<:188k25a2900qo?9be82>6<729q/5:<54cd8 12>218=7)7<9;352==O<=<0bl=;:324?l22=3:17d::6;29?j>213:17pl>68g95?2=83:p(49=:`01?!?413;=:55G4548jd532;:37d<6b;29?l41;3:17d7=6;29?j14n3:17pl>6ef95?5=83:p(49=:`05?!?413;=:55G4548jd532;:27d:m7;29?l41;3:17b9kl1=7=50;2x <152=hm7):;9;:12>">;00::;64H565?kg4<38;m6g;5483>>o3=?0;66a75883>>{e9?k;6<4;:183!?0:3k9>6*6388223><@=>=7co<4;03f>o51k0;66g=6283>>o>:?0;66a83g83>>{e9?nm6<4<:183!?0:3k9:6*6388223><@=>=7co<4;03g>o3j>0;66g=6283>>i0;o0;66sm17a3>4<4290;w)782;6ab>"3<003>;5+92;9530?3A>?:6`n35814a=n<hf;=09;57?57283>5}#1>818i=4$81:>65dl2d:ok4>;o07g?6:521d8m7072900e?8>:188m7052900e?8::188m=`72900e5h>:188m=`52900e<:6:18'10e<;9:18':18':18'dk3;:76g>dc83>!>dl3;nj6`7cb83?>o6lh0;6)6ld;3fb>h?kj0:76g>d883>!>dl3;nj6`7cb81?>o6l10;6)6ld;3fb>h?kj0876g>d683>!>dl3;nj6`7cb87?>o6l?0;6)6ld;3fb>h?kj0>76g>d483>!>dl3;nj6`7cb85?>o6l=0;6)6ld;3fb>h?kj0<76g>e783>!>dl3;nj6`7cb8;?>o6m<0;6)6ld;3fb>h?kj0276g>e583>!>dl3;nj6`7cb8b?>o6m;0;6)6ld;3fb>h?kj0i76g>e183>!>dl3;nj6`7cb8`?>o6ll0;6)6ld;3fb>h?kj0o76g>de83>!>dl3;nj6`7cb8f?>o6lj0;6)6ld;3fb>h?kj0m76g>d283>!>dl3;nj6`7cb824>=n9m81<7*7ce82ac=i0ji1=<54i325>5<6=44i327>5<5<5<5<5<5<5<5<5<5<5<#0jn14ok4n9a`>1=6=4+8bf95<#0jn14ok4n9a`>3=5<#0jn14ok4n9a`>==5<#0jn14ok4n9a`>d=5<#0jn14ok4n9a`>f=5<#0jn14ok4n9a`>`=5<#0jn14ok4n9a`>46<3f2=;7>5$9ag>=db3g2ho7?>;:m;23<72-2hh76me:l;gf<6:21d4;;50;&;ga07b693;29 =ec21hn7c6lc;36?>i?>;0;6)6ld;:aa>h?kj0::65`87394?"?km03nh5a8ba952=32e35o4?:%:``?>em2d3on4>a:9l<<2=83.3oi47bd9m?>3:1(5mk:9`f?k>dk3;o76a78183>!>dl32ii6`7cb82a>=h0>i1<7*7ce8;f`=i0ji1=k54o957>5<#0jn14ok4n9a`>76<3f2=57>5$9ag>=db3g2ho7<>;:m;1`<72-2hh76me:l;gf<5:21d48j50;&;ga:18'{e;=>>6<483;294~">?;0?h>5+92;976ec3g;hj7?4n36`>5=i0m81=6*=50811g=i0m91<6F;479Y606==r3m6l>5a08`f?g52tdj?94=119j636=831b>;?50;9j634=831b>;;50;9j=83.3oi4>5g9m5g9m5g9m5g9m5g9m5g9m5g9m5g9m3:17d?k5;29?l7c<3:17d?j6;29?l7b=3:17d?j4;29?l7b:3:17d?j0;29?l7cm3:17d?kd;29?l7ck3:17d?k3;29?l7c:3:17ddk3;07ddk3907ddk3?07ddk3=07ddk3307ddk3h07ddk3n07ddk3l07d?ie;29 =ec2;;<7c6lc;33?>o6nm0;6)6ld;023>h?kj0:=65`86c94?=h0>31<75`86:94?=h0>=1<75`86494?=h0>?1<75`86194?=h0>81<75`86394?=h0>:1<75`87d94?=h0?o1<75`87f94?=h0?i1<75`87`94?=h0?k1<75`87:94?=h0?=1<75`87494?=h0??1<75`87694?=h0?91<7*7ce8;f`=i0ji1=854o941>5<#0jn14ok4n9a`>40<3f2==7>5$9ag>=db3g2ho7?8;:m;25<72-2hh76me:l;gf<6021d48h50;&;gai?0o0;6)6ld;:aa>h?kj0:o65`89494?"?km03nh5a8ba95a=em2d3on4=0:9l<3?=83.3oi47bd9m2l3:1(5mk:9`f?k>dk38876a=2b83>>i5:k0;66a=2`83>>i5:00;66a=2983>>i5:>0;66a=2783>>i5:<0;66a=3583>>i5;:0;66a=3383>>i5;80;66a=3183>>i5:o0;66a=2d83>>i5:m0;66a=2583>>i5::0;66sm35;5>4<0;3:1mk;o3`b?7h6=5a8e095>"5=808895a8e194>N38>55z;e>d6=i80hn7o=:|lb71<5981b>;>50;9j637=831b>;<50;9j633=831b4k>50;9j5g9m5g9m5g9m5g9m21b=9<50;&;ga<6=o1e4nm57:9j500=83.3oi4>5g9m5g9m5g9m5g9m5g9mdk3:07d?ka;29 =ec28om7c6lc;38?l7c13:1(5mk:0ge?k>dk3807d?k8;29 =ec28om7c6lc;18?l7c?3:1(5mk:0ge?k>dk3>07d?k6;29 =ec28om7c6lc;78?l7c=3:1(5mk:0ge?k>dk3<07d?k4;29 =ec28om7c6lc;58?l7b>3:1(5mk:0ge?k>dk3207d?j5;29 =ec28om7c6lc;;8?l7b<3:1(5mk:0ge?k>dk3k07d?j2;29 =ec28om7c6lc;`8?l7b83:1(5mk:0ge?k>dk3i07d?ke;29 =ec28om7c6lc;f8?l7cl3:1(5mk:0ge?k>dk3o07d?kc;29 =ec28om7c6lc;d8?l7c;3:1(5mk:0ge?k>dk3;;76g>d383>!>dl3;nj6`7cb825>=n:9<1<75f21794?=n:9>1<75f21194?=n:981<75f21394?=n:9:1<75f1gd94?=n:9o1<75f21f94?=n:9i1<75f21`94?=n:9k1<75f21;94?=n:921<75f21594?=n9oo1<75f1gf94?=h0>k1<75`86;94?=h0>21<75`86594?=h0><1<75`86794?=h0>91<75`86094?=h0>;1<75`86294?=h0?l1<75`87g94?=h0?n1<75`87a94?=h0?h1<75`87c94?=h0?21<75`87594?=h0?<1<75`87794?=h0?>1<75`87194?"?km03nh5a8ba950=em2d3on4>8:9l<0`=83.3oi47bd9m><3:1(5mk:9`f?k>dk3;i76a78g83>!>dl32ii6`7cb82g>=h01<1<7*7ce8;f`=i0ji1=i54o9:3>5<#0jn14ok4n9a`>4c<3f25$9ag>=db3g2ho7?i;:m;31<72-2hh76me:l;gf<5821d4;750;&;gai5:j0;66a=2c83>>i5:h0;66a=2883>>i5:10;66a=2683>>i5:?0;66a=2483>>i5;=0;66a=3283>>i5;;0;66a=3083>>i5;90;66a=2g83>>i5:l0;66a=2e83>>i5:=0;66a=2283>>{e:=;:6<49:183!2313>946*63881634<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=09=?5f29f94?N3il10e?8::188m71f2900e5j?:188m73a2900c5j6:188yg439;0:6;4?:1y'01?=<;20(4=6:3056>">?;0j><5+9749=33<,=5G4548jd532;;87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=40195?0=83:p(9:6:50;?!?41389:?5+9609e77<,0<=648:;%65e?5<@=>=7co<4;020>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e::h?6<49:183!2313>946*63881634<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=09=85f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb31a1?7=>3:1?8=;%;46?g592.2:;46649'03g=;2B?8;5aa2696405<5<5<:783>5}#<=318?64$81:>741:2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`17g?=93<1<7>t$56:>14?3-3857<=639'=24=i;;0(489:846?!21i390D9:9;oc00?4602c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>>l8:085>5<7s->?57:=8:&:7<<5:?80(49=:`02?!?1>33=96*;6`80?M23>2dj?94=189j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f75e03;1:7>50z&70<<3:11/5>752341?!?0:3k9=6*6678:20=#2900qo<3<729q/897543:8 <5>2;8=>6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:33a?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5;kh1=7850;2x 12>2=837)7<9;0127=#1>81m??4$845><023->=m7=4H565?kg4<38:o6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm22``>4<1290;w):;9;61<>">;009>;<4$851>d463-3=:7795:&72d<43A>?:6`n35815a=n:1n1<75f27794?=n:>k1<75f8e294?=n:57?56;294~"3<00?>55+92;967053-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1>5>;n:085>5<7s->?57:=8:&:7<<5:?80(49=:`02?!?1>33=96*;6`80?M23>2dj?94=1g9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=83B?mh54o9f:>5<:783>5}#<=318?64$81:>741:2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=@=kn76a7d883>>{e::8j6<49:183!2313>946*63881634<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=09><5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb311f?7=>3:1?8=;%;46?g592.2:;46649'03g=;2B?8;5aa2696745<5<5<i4>:783>5}#<=318?64$81:>741:2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`177c=93<1<7>t$56:>14?3-3857<=639'=24=i;;0(489:846?!21i390D9:9;oc00?45<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>>5<7s->?57:=8:&:7<<5:?80(49=:`02?!?1>33=96*;6`80?M23>2dj?94=249j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f754:3;1:7>50z&70<<3:11/5>752341?!?0:3k9=6*6678:20=#2900qo<<3182>3<729q/897543:8 <5>2;8=>6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:304?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5;:;1=7850;2x 12>2=837)7<9;0127=#1>81m??4$845><023->=m7=4H565?kg4<38946g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2210>4<1290;w):;9;61<>">;009>;<4$851>d463-3=:7795:&72d<43A>?:6`n35816<=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;967053-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1>?o4i3:g>5<6=44i35b>5<5<<,0926?<92:&:3774e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9?9851;494?6|,=>269<7;%;0=?45>;1/5:<5a338 <0120<>7):9a;18L1213gk887<=c:k1"3>h087E:;6:lb71<5:m1b>5j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:523g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg44<00:6;4?:1y'01?=<;20(4=6:3056>">?;0j><5+9749=33<,=5G4548jd532;8m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=35c95?0=83:p(9:6:50;?!?41389:?5+9609e77<,0<=648:;%65e?5<@=>=7co<4;004>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e::>i6<49:183!2313>946*63881634<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=09?<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb317g?7=>3:1?8=;%;46?g592.2:;46649'03g=;2B?8;5aa2696645<5<5<:783>5}#<=318?64$81:>741:2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1714=93<1<7>t$56:>14?3-3857<=639'=24=i;;0(489:846?!21i390D9:9;oc00?44<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>>:<:085>5<7s->?57:=8:&:7<<5:?80(49=:`02?!?1>33=96*;6`80?M23>2dj?94=349j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f753<3;1:7>50z&70<<3:11/5>752341?!?0:3k9=6*6678:20=#2900qo<3<729q/897543:8 <5>2;8=>6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:314?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5;oo1=7850;2x 12>2=837)7<9;0127=#1>81m??4$845><023->=m7=4H565?kg4<38846g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm22de>4<1290;w):;9;61<>">;009>;<4$851>d463-3=:7795:&72d<43A>?:6`n35817<=n:1n1<75f27794?=n:>k1<75f8e294?=n:;<7?56;294~"3<00?>55+92;967053-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1>>o4i3:g>5<6=44i35b>5<5<<,0926?<92:&:3775e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9?k651;494?6|,=>269<7;%;0=?45>;1/5:<5a338 <0120<>7):9a;18L1213gk887<280=6=4?{%67=?2502.2?44=2708 <152h8:7)796;;51>"3>h087E:;6:lb71<5;m1b>5j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:522g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg44nk0:6;4?:1y'01?=<;20(4=6:3056>">?;0j><5+9749=33<,=5G4548jd532;9m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=3ga95?0=83:p(9:6:50;?!?41389:?5+9609e77<,0<=648:;%65e?5<@=>=7co<4;074>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9>:36<49:183!2313>946*6388223><,0=96l<>;%;52??1=2.?:l4<;I672>hf;=098<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb053=?7=>3:15<5<5<:783>5}#<=318?64$81:>40102.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2375=93<1<7>t$56:>14?3-3857?9699'=24=i;;0(489:846?!21i390D9:9;oc00?43<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=:?i:085>5<7s->?57:=8:&:7<<6>?20(49=:`02?!?1>33=96*;6`80?M23>2dj?94=449j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f415:3;1:7>50z&70<<3:11/5>75174;?!?0:3k9=6*6678:20=#2900qo?82582>3<729q/897543:8 <5>28<=46*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:364?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6?;?1=7850;2x 12>2=837)7<9;352==#1>81m??4$845><023->=m7=4H565?kg4<38?46g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1605>4<1290;w):;9;61<>">;00::;64$851>d463-3=:7795:&72d<43A>?:6`n35810<=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;9530?3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1>9o4i3:g>5<6=44i35b>5<5<<,0926<898:&:3772e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:;=l51;494?6|,=>269<7;%;0=?71>11/5:<5a338 <0120<>7):9a;18L1213gk887<;c:k167:8 <152h8:7)796;;51>"3>h0386F;479me62=:=n0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo?80e82>3<729q/897543:8 <5>28<=46*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:36f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6?9o1=7850;2x 12>2=837)7<9;352==#1>81m??4$845><023->=m7=4H565?kg4<38?j6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm162e>4<1290;w):;9;61<>">;00::;64$851>d463-3=:7795:&72d<43A>?:6`n358115=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;9530?3-3<>7o=1:&:23<>><1/8;o5859K010;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2347=93<1<7>t$56:>14?3-3857?9699'=24=i;;0(489:846?!21i32?7E:;6:lb71<5=;1b>5j50;9j633=831b>:o50;9j8h50;9l5;392?6=8r.?844;299'=6?=9?<37)782;c15>">>?02:85+47c97>N3:52418m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg709;0:6;4?:1y'01?=<;20(4=6:045<>">?;0j><5+9749=33<,=86g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1630>4<1290;w):;9;61<>">;00::;64$851>d463-3=:7795:&72d<43A>?:6`n358110=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;9530?3-3<>7o=1:&:23<>><1/8;o5859K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2341=93<1<7>t$56:>14?3-3857?9699'=24=i;;0(489:846?!21i390D9:9;oc00?42?2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=:?7:085>5<7s->?57:=8:&:7<<6>?20(49=:`02?!?1>33=96*;6`80?M23>2dj?94=599j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f41613;1:7>50z&70<<3:11/5>75174;?!?0:3k9=6*6678:20=#2900qo?81`82>3<729q/897543:8 <5>28<=46*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:37b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6?8h1=7850;2x 12>2=837)7<9;352==#1>81m??4$845><023->=m7=4H565?kg4<38>n6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm163`>4<1290;w):;9;61<>">;00::;64$851>d463-3=:7795:&72d<43A>?:6`n35811f=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;9530?3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1>8j4i3:g>5<6=44i35b>5<5<<,0926<898:&:3773b3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:;?>51;494?6|,=>269<7;%;0=?71>11/5:<5a338 <0120<>7):9a;18L1213gk887<:f:k167:8 <152h8:7)796;;51>"3>h087E:;6:lb71<5>91b>5j50;9j633=831b>:o50;9j8h50;9l:93;392?6=8r.?844;239'=6?=;:io7)782;c15>">>?0:h=5+47c97>N3:52738m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg53>;0:6;4?:1y'01?=<;80(4=6:21``>">?;0j><5+97495a6<,=5G4548jd532;<97d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl<47395?0=83:p(9:6:501?!?41398oi5+9609e77<,0<=6=7co<4;057>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;=<;6<49:183!2313>9>6*638807fb<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=09:95f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb266b?7=>3:1mk;%;46?g592.2:;4>d19'03g=;2B?8;5aa2696335<5<5<:783>5}#<=318?<4$81:>65dl2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`000b=93<1<7>t$56:>1453-3857=5<7s->?57:=2:&:7<<4;jn0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94=699j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f622j3;1:7>50z&70<<3:;1/5>7532ag?!?0:3k9=6*66782`5=#2900qo=;5`82>3<729q/89754308 <5>2:9hh6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:34b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4<<31=7850;2x 12>2=897)7<9;10ga=#1>81m??4$845>4b73->=m7=4H565?kg4<38=n6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm357;>4<1290;w):;9;616>">;008?nj4$851>d463-3=:7?k0:&72d<43A>?:6`n35812f=n:1n1<75f27794?=n:>k1<75f8e294?=n:>;7?56;294~"3<00?>?5+92;976ec3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1>;j4i3:g>5<6=44i35b>5<5<=ld:&:3770b3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th888;51;494?6|,=>269<=;%;0=?54km1/5:<5a338 <0128n;7):9a;18L1213gk887<9f:k1"3>h087E:;6:lb71<5?91b>5j50;9j633=831b>:o50;9j8h50;9l:n4;392?6=8r.?844;239'=6?=;:io7)782;c15>">>?0:h=5+47c97>N3:52638m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg53i:0:6;4?:1y'01?=<;80(4=6:21``>">?;0j><5+97495a6<,=5G4548jd532;=97d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl<4`095?0=83:p(9:6:501?!?41398oi5+9609e77<,0<=6=7co<4;047>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;=k:6<49:183!2313>9>6*638807fb<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=09;95f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb26b4?7=>3:1mk;%;46?g592.2:;4>d19'03g=;2B?8;5aa2696235<5<5<:783>5}#<=318?<4$81:>65dl2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`00t$56:>1453-3857=5<7s->?57:=2:&:7<<4;jn0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94=799j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f62>k3;1:7>50z&70<<3:;1/5>7532ag?!?0:3k9=6*66782`5=#30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=;9c82>3<729q/89754308 <5>2:9hh6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:35b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4<0k1=7850;2x 12>2=897)7<9;10ga=#1>81m??4$845>4b73->=m7=4H565?kg4<38>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm35;:>4<1290;w):;9;616>">;008?nj4$851>d463-3=:7?k0:&72d<43A>?:6`n35813f=n:1n1<75f27794?=n:>k1<75f8e294?=n:247?56;294~"3<00?>?5+92;976ec3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1>:j4i3:g>5<6=44i35b>5<5<=ld:&:3771b3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9?oj51;494?6|,=>269<7;%;0=?45>;1/5:<5a338 <0120<>7):9a;18L1213gk887<8f:k1"3>h087E:;6:lb71<5091b>5j50;9j633=831b>:o50;9j8h50;9l4l50;9j635=831b5?850;9l36`=831vn?h50;9~f74?k3;187>50z&:37752341?M23>2dj?94=829j6;=50;9j=70=831d;>h50;9~f74f>3;1?7>50z&:37752341?M23>2dj?94=859j0g1=831b>;=50;9l36`=831vn?<7e;390?6=8r.2;?4n239'=6?=:;<97E:;6:lb71<50<1b>4l50;9j635=831b5?850;9l36`=831vn?h50;9~f74>83;187>50z&:37752341?M23>2dj?94=869j6;=50;9j=70=831d;>h50;9~f74f03;1?7>50z&:37752341?M23>2dj?94=899j0g1=831b>;=50;9l36`=831vn?<69;397?6=8r.2;?4;bg9'01?=0;k0(4=6:3056>N3:529;8m1322900e9;9:188k=3>2900qo<=9382>1<729q/5:<5a308 <5>2;8=>6F;479me62=:1k0e?7m:188m7042900e4<9:188k25a2900qo<=a882>6<729q/5:<5a348 <5>2;8=>6F;479me62=:1h0e9l8:188m7042900c:=i:188yg451h0:6>4?:1y'=24=3:17b6:9;29?xd5:091=7:50;2x <152h897)7<9;0127=O<=<0bl=;:3:g?l4>j3:17d<93;29?l?5>3:17b9=7co<4;0;b>o3=<0;66g;5783>>i?=00;66sm23;7>4<3290;w)782;c16>">;009>;<4H565?kg4<382<6g=9c83>>o5>:0;66g62783>>i0;o0;66sm23ca>4<4290;w)782;c12>">;009>;<4H565?kg4<382=6g;b683>>o5>:0;66a83g83>>{e:;3h6<4<:183!?0:3>ij6*;488;6d=#1:31>?8=;I672>hf;=095?5f44794?=n<<<1<75`84;94?=zj;8297?54;294~">?;0j>?5+92;967053A>?:6`n3581=6=n:0h1<75f27194?=n1;<1<75`72d94?=zj;8jo7?53;294~">?;0j>;5+92;967053A>?:6`n3581=1=n741:2B?8;5aa2696<36=44i575>5<1>484i3;a>5<5<9m6=44}c01ea<62:0;6=u+9609e70<,0926?<92:J703=ii:>1>494i5`4>5<5<:283>5}#1>818oh4$56:>=4f3-3857<=639K010>:7>5;n:6=?6=3th9>5:51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>7?>3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>4h51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>7?f3`>i;7>5;h057?6=3f=8j7>5;|`16<>=9391<7>t$851>1da3->?576=a:&:7<<5:?80D9:9;oc00?4>j2c?984?::k713<722e3944?::a67>2280?6=4?{%;46?g5:2.2?44=2708L1213gk887<6c:k1=g<722c9:>4?::k:63<722e2.2?44=2708L1213gk887<6d:k7f2<722c9:>4?::m47c<722wi>?69:087>5<7s-3<>7o=2:&:7<<5:?80D9:9;oc00?4>m2c95o4?::k126<722c2>;4?::m47c<722wi>?o>:080>5<7s-3<>7o=6:&:7<<5:?80D9:9;oc00?4>n2c?n:4?::k126<722e?280?6=4?{%;46?g5:2.2?44=2708L1213gk8874?::k:63<722e2.2?44=2708L1213gk8874?::m47c<722wi>?o<:080>5<7s-3<>7o=6:&:7<<5:?80D9:9;oc00?4f:2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hln:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4f=2c95o4?::k126<722c2>;4?::m47c<722wi>hh6:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4f>2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hm8:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4f12c95o4?::k126<722c2>;4?::m47c<722wi>k>8:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4fi2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hm6:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4fl2c95o4?::k126<722c2>;4?::m47c<722wi>k>6:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4fm2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hmm:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4e92c95o4?::k126<722c2>;4?::m47c<722wi>k>m:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4e:2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hmk:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4e=2c95o4?::k126<722c2>;4?::m47c<722wi>k>k:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4e>2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hl>:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4e12c95o4?::k126<722c2>;4?::m47c<722wi>hh?:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4ei2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hl<:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4el2c95o4?::k126<722c2>;4?::m47c<722wi>hh=:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4em2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hl::087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4d92c95o4?::k126<722c2>;4?::m47c<722wi>hh;:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4d:2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hl8:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4d=2c95o4?::k126<722c2>;4?::m47c<722wi>hh9:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4d>2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hl6:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4d12c95o4?::k126<722c2>;4?::m47c<722wi>hh7:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4di2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hll:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4dl2c95o4?::k126<722c2>;4?::m47c<722wi>hhm:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4dm2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hlj:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4c92c95o4?::k126<722c2>;4?::m47c<722wi>hhk:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4c:2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hm?:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4c=2c95o4?::k126<722c2>;4?::m47c<722wi>hhi:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4c>2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hm=:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4c12c95o4?::k126<722c2>;4?::m47c<722wi>k>>:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4ci2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>hm;:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?4cl2c95o4?::k126<722c2>;4?::m47c<722wi>k><:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4cm2c?n:4?::k126<722e4?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>k>9:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?4b92c?n:4?::k126<722e50z&:37752d2;?M23>2dj?94=e29j6;=50;9j=70=831d;>h50;9~f7c5<3;1?7>50z&:37752d2;?M23>2dj?94=e59j0g1=831b>;=50;9l36`=831vn?k>b;397?6=8r.2;?4;bg9'01?=0;k0(4=6:3g3<>N3:52d78m1322900e9;9:188k=3>2900qo1<729q/5:<5a308 <5>2;o;46F;479me62=:l<0e?7m:188m7042900e4<9:188k25a2900qo6<729q/5:<5a348 <5>2;o;46F;479me62=:l=0e9l8:188m7042900c:=i:188yg4b9j0:6>4?:1y'=24=3:17b6:9;29?xd5m9o1=7:50;2x <152h897)7<9;0f4==O<=<0bl=;:3g:?l4>j3:17d<93;29?l?5>3:17b9<@=>=7co<4;0ff>o3=<0;66g;5783>>i?=00;66sm2d2e>4<3290;w)782;c16>">;009i=64H565?kg4<38no6g=9c83>>o5>:0;66g62783>>i0;o0;66sm2d0a>4<4290;w)782;c12>">;009i=64H565?kg4<38nh6g;b683>>o5>:0;66a83g83>>{e:l;n6<4<:183!?0:3>ij6*;488;6d=#1:31>h>7;I672>hf;=09ih5f44794?=n<<<1<75`84;94?=zj;o:<7?54;294~">?;0j>?5+92;96`6?3A>?:6`n3581ac=n:0h1<75f27194?=n1;<1<75`72d94?=zj;o9o7?53;294~">?;0j>;5+92;96`6?3A>?:6`n3581b5=n7c702B?8;5aa2696c76=44i575>5<1>k<4i3;a>5<5<9m6=44}c0f6a<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1>k=4i5`4>5<5<=4>:283>5}#1>818oh4$56:>=4f3-3857>:7>5;n:6=?6=3th9i<<51;694?6|,0=96l<=;%;0=?4b811C8984n`17>7`23`82n7>5;h057?6=3`39:7>5;n50b?6=3th9i?k51;194?6|,0=96l<9;%;0=?4b811C8984n`17>7`13`>i;7>5;h057?6=3f=8j7>5;|`1a77=9391<7>t$851>1da3->?576=a:&:7<<5m920D9:9;oc00?4a?2c?984?::k713<722e3944?::a6`74280?6=4?{%;46?g5:2.2?44=e1:8L1213gk8874?::k:63<722e2.2?44=e1:8L1213gk8874?::m47c<722wi>h<=:080>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94=f`9j003=831b88850;9l<0?=831vn?k>4;390?6=8r.2;?4n239'=6?=:l:37E:;6:lb71<5nk1b>4l50;9j635=831b5?850;9l36`=831vn?k<0;397?6=8r.2;?4n279'=6?=:l:37E:;6:lb71<5nj1b8o950;9j635=831d;>h50;9~f7c5;3;1?7>50z&:37<3jo1/897583c8 <5>2;o;46F;479me62=:on0e9;::188m1312900c5;6:188yg4b9<0:694?:1y'=24=i;80(4=6:3g3<>N3:52gg8m7?e2900e?8<:188m<412900c:=i:188yg4b;80:6>4?:1y'=24=i;<0(4=6:3g3<>N3:52gd8m1d02900e?8<:188k25a2900qo6<729q/5:<54cd8 12>218j7)7<9;0f4==O<=<0bl=;:223?l22=3:17d::6;29?j>213:17pl=e1c95?2=83:p(49=:`01?!?4138n<55G4548jd532:::7d<6b;29?l41;3:17d7=6;29?j14n3:17pl=e3795?5=83:p(49=:`05?!?4138n<55G4548jd532::97d:m7;29?l41;3:17b9">;009i=64H565?kg4<39;?6g;5483>>o3=?0;66a75883>>{e:l:i6<4;:183!?0:3k9>6*63881a5><@=>=7co<4;130>o51k0;66g=6283>>o>:?0;66a83g83>>{e:l8=6<4<:183!?0:3k9:6*63881a5><@=>=7co<4;131>o3j>0;66g=6283>>i0;o0;66sm2d3:>4<4290;w)782;6ab>"3<003>l5+92;96`6?3A>?:6`n358043=n<h>7;I672>hf;=08<:5f28`94?=n:?91<75f93494?=h?:l1<75rb3g13?7=;3:1h>7;I672>hf;=08<55f4c594?=n:?91<75`72d94?=zj;o:m7?53;294~">?;0?nk5+45;9<7g<,0926?k?8:J703=ii:>1?=74i576>5<5<54>:283>5}#1>81m?84$81:>7c702B?8;5aa26975g5<9m6=44}c0f3d<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1?=l4i3;a>5<5<9m6=44}c0f1?=m4i5`4>5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa26975b5<5<:283>5}#1>81m?84$81:>7c702B?8;5aa26975c5<9m6=44}c0f3a<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1?=h4i3;a>5<5<9m6=44}c0f1?<>4i5`4>5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa2697475<5<:283>5}#1>81m?84$81:>7c702B?8;5aa2697445<9m6=44}c0f<4<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1?<=4i3;a>5<5<9m6=44}c0f1?<:4i5`4>5<5<4>:583>5}#1>81m?<4$81:>7c702B?8;5aa2697435<5<:283>5}#1>81m?84$81:>7c702B?8;5aa2697405<9m6=44}c0f<0<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1?<94i3;a>5<5<9m6=44}c0f=4<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1?<64i5`4>5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa26974?5<5<:283>5}#1>81m?84$81:>7c702B?8;5aa26974g5<9m6=44}c0f=6<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1?5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa26974e5<5<:283>5}#1>81m?84$81:>7c702B?8;5aa26974b5<9m6=44}c0f7<<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1?5<5<9m6=44}c0f16<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1?5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa2697765<5<:283>5}#1>81m?84$81:>7c702B?8;5aa2697775<9m6=44}c0f7a<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1??<4i3;a>5<5<9m6=44}c0f10<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1??=4i5`4>5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa2697725<5<:283>5}#1>81m?84$81:>7c702B?8;5aa2697735<9m6=44}c0f04<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1??84i3;a>5<5<9m6=44}c0f12<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1??94i5`4>5<5<4>:583>5}#1>81m?<4$81:>7c702B?8;5aa26977>5<5<:283>5}#1>81m?84$81:>7c702B?8;5aa26977?5<9m6=44}c0f00<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1??o4i3;a>5<5<9m6=44}c0f1<<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1??l4i5`4>5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa26977e5<5<:283>5}#1>81m?84$81:>7c702B?8;5aa26977b5<9m6=44}c0f0<<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1??k4i3;a>5<5<9m6=44}c0f1g<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1??h4i5`4>5<5<4>:583>5}#1>81m?<4$81:>7c702B?8;5aa2697665<5<:283>5}#1>81m?84$81:>7c702B?8;5aa2697675<9m6=44}c0f70<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1?><4i3;a>5<5<9m6=44}c0f0c<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1?>=4i5`4>5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa2697625<5<:283>5}#1>81m?84$81:>7c702B?8;5aa2697635<9m6=44}c0f14<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1?>84i5`4>5<5<:283>5}#1>818oh4$56:>=4f3-3857=8;h661?6=3`>>:7>5;n:6=?6=3th9i;>51;694?6|,0=96l<=;%;0=?4b811C8984n`17>65?3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9i:>51;194?6|,0=96l<9;%;0=?4b811C8984n`17>65>3`>i;7>5;h057?6=3f=8j7>5;|`1a3e=9391<7>t$851>1da3->?576=a:&:7<<5m920D9:9;oc00?54i2c?984?::k713<722e3944?::a6`06280?6=4?{%;46?g5:2.2?44=e1:8L1213gk887=4?::k:63<722e2.2?44=e1:8L1213gk887=4?::m47c<722wi>h8k:080>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94<3e9j003=831b88850;9l<0?=831vn?k92;390?6=8r.2;?4n239'=6?=:l:37E:;6:lb71<4;l1b>4l50;9j635=831b5?850;9l36`=831vn?k82;397?6=8r.2;?4n279'=6?=:l:37E:;6:lb71<4;o1b8o950;9j635=831d;>h50;9~f7c1m3;1?7>50z&:37<3jo1/897583c8 <5>2;o;46F;479me62=;=:0e9;::188m1312900c5;6:188yg4b>:0:694?:1y'=24=i;80(4=6:3g3<>N3:53538m7?e2900e?8<:188m<412900c:=i:188yg4b?:0:6>4?:1y'=24=i;<0(4=6:3g3<>N3:53508m1d02900e?8<:188k25a2900qo1<729q/5:<5a308 <5>2;o;46F;479me62=;=90e?7m:188m7042900e4<9:188k25a2900qo6<729q/5:<5a348 <5>2;o;46F;479me62=;=>0e9l8:188m7042900c:=i:188yg4b><0:694?:1y'=24=i;80(4=6:3g3<>N3:53578m7?e2900e?8<:188m<412900c:=i:188yg4b?<0:6>4?:1y'=24=i;<0(4=6:3g3<>N3:53548m1d02900e?8<:188k25a2900qo1<729q/5:<5a308 <5>2;o;46F;479me62=;==0e?7m:188m7042900e4<9:188k25a2900qo6<729q/5:<5a348 <5>2;o;46F;479me62=;=20e9l8:188m7042900c:=i:188yg4b>00:694?:1y'=24=i;80(4=6:3g3<>N3:535;8m7?e2900e?8<:188m<412900c:=i:188yg4b?>0:6>4?:1y'=24=i;<0(4=6:3g3<>N3:535c8m1d02900e?8<:188k25a2900qo6<729q/5:<5a348 <5>2;o;46F;479me62=;=h0e9l8:188m7042900c:=i:188yg4bi90:6>4?:1y'=24=h7d::5;29?l22>3:17b6:9;29?xd5m0?1=7:50;2x <152h897)7<9;0f4==O<=<0bl=;:26g?l4>j3:17d<93;29?l?5>3:17b9<@=>=7co<4;17b>o3=<0;66g;5783>>i?=00;66sm2d;5>4<3290;w)782;c16>">;009i=64H565?kg4<39><6g=9c83>>o5>:0;66g62783>>i0;o0;66sm2dc5>4<4290;w)782;c12>">;009i=64H565?kg4<39>=6g;b683>>o5>:0;66a83g83>>{e:lk96<4<:183!?0:3>ij6*;488;6d=#1:31>h>7;I672>hf;=089?5f44794?=n<<<1<75`84;94?=zj;o2;7?54;294~">?;0j>?5+92;96`6?3A>?:6`n358016=n:0h1<75f27194?=n1;<1<75`72d94?=zj;oj;7?53;294~">?;0j>;5+92;96`6?3A>?:6`n358011=n7c702B?8;5aa2697036=44i575>5<1?884i3;a>5<5<9m6=44}c0fe=<62:0;6=u+9609e70<,0926?k?8:J703=ii:>1?894i5`4>5<5<:283>5}#1>818oh4$56:>=4f3-3857;7;h661?6=3`>>:7>5;n:6=?6=3th9i4751;694?6|,0=96l<=;%;0=?4b811C8984n`17>63>3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9il751;194?6|,0=96l<9;%;0=?4b811C8984n`17>63f3`>i;7>5;h057?6=3f=8j7>5;|`1a1<7>t$851>d453-3857;m;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1adg=9391<7>t$851>d413-3857;l;h6a3?6=3`8=?7>5;n50b?6=3th9i4m51;694?6|,0=96l<=;%;0=?4b811C8984n`17>63c3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ill51;194?6|,0=96l<9;%;0=?4b811C8984n`17>63b3`>i;7>5;h057?6=3f=8j7>5;|`1a1<7>t$851>d453-3857;i;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1ade=9391<7>t$851>d413-38578?;h6a3?6=3`8=?7>5;n50b?6=3th9ilj51;194?6|,0=96l<9;%;0=?4b811C8984n`17>6063`>i;7>5;h057?6=3f=8j7>5;|`0446=93>1<7>t$851>d453-38578=;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`047?=9391<7>t$851>d413-38578<;h6a3?6=3`8=?7>5;n50b?6=3th8<<<51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6033`82n7>5;h057?6=3`39:7>5;n50b?6=3th86023`>i;7>5;h057?6=3f=8j7>5;|`0445=93>1<7>t$851>d453-385789;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`047d=9391<7>t$851>d413-385788;h6a3?6=3`8=?7>5;n50b?6=3th8<<:51;694?6|,0=96l<=;%;0=?4b811C8984n`17>60?3`82n7>5;h057?6=3`39:7>5;n50b?6=3th860>3`>i;7>5;h057?6=3f=8j7>5;|`0443=93>1<7>t$851>d453-38578n;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`047b=9391<7>t$851>d413-38578m;h6a3?6=3`8=?7>5;n50b?6=3th8<<851;694?6|,0=96l<=;%;0=?4b811C8984n`17>60d3`82n7>5;h057?6=3`39:7>5;n50b?6=3th860c3`>i;7>5;h057?6=3f=8j7>5;|`0441=93>1<7>t$851>d453-38578j;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`047`=9391<7>t$851>d413-38578i;h6a3?6=3`8=?7>5;n50b?6=3th8<<651;694?6|,0=96l<=;%;0=?4b811C8984n`17>6173`82n7>5;h057?6=3`39:7>5;n50b?6=3th8<>>51;194?6|,0=96l<9;%;0=?4b811C8984n`17>6163`>i;7>5;h057?6=3f=8j7>5;|`0467=9391<7>t$851>d413-38579=;h6a3?6=3`8=?7>5;n50b?6=3th9j:j51;794?6|,0=969li;%67=?11i2.2?44=e1:8L1213gk887=83:k710<722c?9;4?::k712<722c?954?::m;1<<722wi>k8k:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?50<2c95o4?::k126<722c2>;4?::m47c<722wi>k6;:086>5<7s-3<>7:mf:&70<<0>h1/5>752d2;?M23>2dj?94<749j003=831b88850;9j001=831b88650;9l<0?=831vn?h84;390?6=8r.2;?4n239'=6?=:l:37E:;6:lb71<4??1b>4l50;9j635=831b5?850;9l36`=831vn?h75;391?6=8r.2;?4;bg9'01?=??k0(4=6:3g3<>N3:53658m1322900e9;9:188m1302900e9;7:188k=3>2900qo1<729q/5:<5a308 <5>2;o;46F;479me62=;>20e?7m:188m7042900e4<9:188k25a2900qo0<729q/5:<54cd8 12>2>213:17pl=f6495?2=83:p(49=:`01?!?4138n<55G4548jd532:=j7d<6b;29?l41;3:17d7=6;29?j14n3:17pl=f9595?3=83:p(49=:5`e?!2313==m6*63881a5><@=>=7co<4;14f>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2g54>4<3290;w)782;c16>">;009i=64H565?kg4<39>o5>:0;66g62783>>i0;o0;66sm2g:;>4<2290;w)782;6ab>"3<00<:l5+92;96`6?3A>?:6`n35803a=n<h>7;I672>hf;=08;h5f28`94?=n:?91<75f93494?=h?:l1<75rb3d;=?7==3:17c702B?8;5aa26972`6=44i575>5<5<1?5>4i3;a>5<5<9m6=44}c0e26:8n;%;0=?4b811C8984n`17>6>63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1b2g=93>1<7>t$851>d453-38576=;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1b=d=93?1<7>t$851>1da3->?5799a:&:7<<5m920D9:9;oc00?5?;2c?984?::k713<722c?9:4?::k71=<722e3944?::a6c1e280?6=4?{%;46?g5:2.2?44=e1:8L1213gk887=74:k1=g<722c9:>4?::k:63<722ed280>6=4?{%;46?2en2.?84486`9'=6?=:l:37E:;6:lb71<40<1b88;50;9j000=831b88950;9j00>=831d48750;9~f7`0k3;187>50z&:37752d2;?M23>2dj?94<879j6;=50;9j=70=831d;>h50;9~f7`0m3;197>50z&:37<3jo1/897577c8 <5>2;o;46F;479me62=;1=0e9;::188m1312900e9;8:188m13?2900c5;6:188yg4a>l0:694?:1y'=24=i;80(4=6:3g3<>N3:539:8m7?e2900e?8<:188m<412900c:=i:188yg4a?o0:684?:1y'=24=3:17d::7;29?l2203:17b6:9;29?xd5n?l1=7:50;2x <152h897)7<9;0f4==O<=<0bl=;:2:b?l4>j3:17d<93;29?l?5>3:17b9">;009i=64H565?kg4<393n6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e:o=;6<4;:183!?0:3k9>6*63881a5><@=>=7co<4;1;g>o51k0;66g=6283>>o>:?0;66a83g83>>{e:o2:6<4::183!?0:3>ij6*;48842d=#1:31>h>7;I672>hf;=084i5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;l<=7?54;294~">?;0j>?5+92;96`6?3A>?:6`n3580<`=n:0h1<75f27194?=n1;<1<75`72d94?=zj;l3>7?55;294~">?;0?nk5+45;933g<,0926?k?8:J703=ii:>1?5h4i576>5<5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa2697<65<5<4>:483>5}#1>818oh4$56:>20f3-38577>;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th9j:=51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?53`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j5j51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?43`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4651;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?33`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl=51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?23`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl851;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?13`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl951;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?03`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl651;694?6|,0=96l<=;%;0=?4b811C8984n`17>6??3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl751;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?>3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jlo51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?f3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jll51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?e3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jlm51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?d3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j5k51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?c3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j5h51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?b3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4>51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6?a3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4?51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g73`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4<51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g63`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4=51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g53`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4:51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g43`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4;51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g33`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4851;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g23`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4951;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g13`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4751;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g03`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4o51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g?3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4l51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6g>3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4m51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6gf3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4j51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6ge3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4k51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6gd3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j4h51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6gc3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl>51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6gb3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl?51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6ga3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl<51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d73`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl:51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d63`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jl;51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d53`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jnj51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d43`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji651;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d33`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh=51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d23`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh851;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d13`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh951;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d03`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh651;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d?3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh751;694?6|,0=96l<=;%;0=?4b811C8984n`17>6d>3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jho51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6df3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jhl51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6de3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jhm51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6dd3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jnk51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6dc3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jnh51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6db3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji>51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6da3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji?51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e73`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji<51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e63`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji=51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e53`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji:51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e43`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji;51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e33`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji851;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e23`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji951;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e13`82n7>5;h057?6=3`39:7>5;n50b?6=3th9ji751;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e03`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jio51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e?3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jil51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6e>3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jim51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6ef3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jij51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6ee3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jik51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6ed3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jih51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6ec3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh>51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6eb3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh?51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6ea3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh<51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6b73`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh:51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6b63`82n7>5;h057?6=3`39:7>5;n50b?6=3th9jh;51;694?6|,0=96l<=;%;0=?4b811C8984n`17>6b53`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>k651;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6b43`82n7>5;h057?6=3`39:7>5;n50b?6=3th9?=j51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6b33`>i;7>5;h057?6=3f=8j7>5;|`16c?=93>1<7>t$851>d453-3857<=639K010j:;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`175c=9391<7>t$851>d413-3857<=639K010j9;h6a3?6=3`8=?7>5;n50b?6=3th9>kl51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6b03`82n7>5;h057?6=3`39:7>5;n50b?6=3th9?=h51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6b?3`>i;7>5;h057?6=3f=8j7>5;|`16cb=93>1<7>t$851>d453-3857<=639K010j6;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1746=9391<7>t$851>d413-3857<=639K010jn;h6a3?6=3`8=?7>5;n50b?6=3th9>kh51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6be3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9?;1C8984n`17>6bd3`>i;7>5;h057?6=3f=8j7>5;|`1757=93>1<7>t$851>d453-3857<=639K010jk;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1744=9391<7>t$851>d413-3857<=639K010jj;h6a3?6=3`8=?7>5;n50b?6=3th9?==51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6ba3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9?<=51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6c73`>i;7>5;h057?6=3f=8j7>5;|`1753=93>1<7>t$851>d453-3857<=639K010k>;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1742=9391<7>t$851>d413-3857<=639K010k=;h6a3?6=3`8=?7>5;n50b?6=3th9?=951;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6c43`82n7>5;h057?6=3`39:7>5;n50b?6=3th9?<;51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6c33`>i;7>5;h057?6=3f=8j7>5;|`1740=9391<7>t$851>d413-3857<=639K010k:;h6a3?6=3`8=?7>5;n50b?6=3th9>lk51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6c13`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>n;51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6c03`>i;7>5;h057?6=3f=8j7>5;|`16d`=93>1<7>t$851>d453-3857<=639K010k7;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16f>=9391<7>t$851>d413-3857<=639K010k6;h6a3?6=3`8=?7>5;n50b?6=3th9>o?51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6cf3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>n751;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6ce3`>i;7>5;h057?6=3f=8j7>5;|`16g5=93>1<7>t$851>d453-3857<=639K010kl;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16fg=9391<7>t$851>d413-3857<=639K010kk;h6a3?6=3`8=?7>5;n50b?6=3th9>o;51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6cb3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>nl51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6ca3`>i;7>5;h057?6=3f=8j7>5;|`16g1=93>1<7>t$851>d453-3857<=639K010h?;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16fe=9391<7>t$851>d413-3857<=639K010h>;h6a3?6=3`8=?7>5;n50b?6=3th9>o751;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6`53`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>nj51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6`43`>i;7>5;h057?6=3f=8j7>5;|`16gd=93>1<7>t$851>d453-3857<=639K010h;;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16fc=9391<7>t$851>d413-3857<=639K010h:;h6a3?6=3`8=?7>5;n50b?6=3th9>oj51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6`13`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>nh51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6`03`>i;7>5;h057?6=3f=8j7>5;|`16g`=93>1<7>t$851>d453-3857<=639K010h7;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16a6=9391<7>t$851>d413-3857<=639K010h6;h6a3?6=3`8=?7>5;n50b?6=3th9>n851;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6`f3`>i;7>5;h057?6=3f=8j7>5;|`16a7=93>1<7>t$851>d453-3857<=639K010hm;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16`>=9391<7>t$851>d413-3857<=639K010hl;h6a3?6=3`8=?7>5;n50b?6=3th9>i:51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>6`c3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>hm51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>6`b3`>i;7>5;h057?6=3f=8j7>5;|`16a0=93>1<7>t$851>d453-3857<=639K010hi;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16`b=9391<7>t$851>d413-3857<=639K010?;h6a3?6=3`8=?7>5;n50b?6=3th9>i651;694?6|,0=96l<=;%;0=?45>;1C8984n`17>1663`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>hk51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>1653`>i;7>5;h057?6=3f=8j7>5;|`16ag=93>1<7>t$851>d453-3857<=639K010<;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16``=9391<7>t$851>d413-3857<=639K010;;h6a3?6=3`8=?7>5;n50b?6=3th9>im51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>1623`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>k>51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>1613`>i;7>5;h057?6=3f=8j7>5;|`16ac=93>1<7>t$851>d453-3857<=639K0108;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16c7=9391<7>t$851>d413-3857<=639K0107;h6a3?6=3`8=?7>5;n50b?6=3th9>h>51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>16>3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>k<51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>16f3`>i;7>5;h057?6=3f=8j7>5;|`16`4=93>1<7>t$851>d453-3857<=639K010m;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16c5=9391<7>t$851>d413-3857<=639K010l;h6a3?6=3`8=?7>5;n50b?6=3th9>h:51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>16c3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>k:51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>16b3`>i;7>5;h057?6=3f=8j7>5;|`16a4=93>1<7>t$851>d453-3857<=639K010i;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`16`?=9391<7>t$851>d413-3857<=639K0105;n50b?6=3th9>ho51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>1763`>i;7>5;h057?6=3f=8j7>5;|`163d=93>1<7>t$851>d453-3857<=639K0105;h;12?6=3f=8j7>5;|`162b=9391<7>t$851>d413-3857<=639K0105;n50b?6=3th9>;m51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>1733`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>:k51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>1723`>i;7>5;h057?6=3f=8j7>5;|`163c=93>1<7>t$851>d453-3857<=639K0105;h;12?6=3f=8j7>5;|`162`=9391<7>t$851>d413-3857<=639K0105;n50b?6=3th9>;h51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>17?3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>5>51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>17>3`>i;7>5;h057?6=3f=8j7>5;|`1627=93>1<7>t$851>d453-3857<=639K0105;h;12?6=3f=8j7>5;|`16=7=9391<7>t$851>d413-3857<=639K0105;n50b?6=3th9>;=51;694?6|,0=96l<=;%;0=?45>;1C8984n`17>17d3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>:951;194?6|,0=96l<9;%;0=?45>;1C8984n`17>17c3`>i;7>5;h057?6=3f=8j7>5;|`1633=93>1<7>t$851>d453-3857<=639K0105;h;12?6=3f=8j7>5;|`162>=9391<7>t$851>d413-3857<=639K0105;n50b?6=3th9>;951;694?6|,0=96l<=;%;0=?45>;1C8984n`17>1473`82n7>5;h057?6=3`39:7>5;n50b?6=3th9>:751;194?6|,0=96l<9;%;0=?45>;1C8984n`17>1463`>i;7>5;h057?6=3f=8j7>5;|`163?=93>1<7>t$851>d453-3857<=639K0105;h;12?6=3f=8j7>5;|`162g=9391<7>t$851>d413-3857<=639K0105;n50b?6=3th9>:l51;194?6|,0=96l<9;%;0=?45>;1C8984n`17>1433`>i;7>5;h057?6=3f=8j7>5;|`07`d=9391<7>t$851>1da3->?576=a:&:7<<4;jn0D9:9;oc00?25=2c?984?::k713<722e3944?::a76bc280?6=4?{%;46?g5:2.2?44<3bf8L1213gk887:=6:k1=g<722c9:>4?::k:63<722e2.2?44<3bf8L1213gk887:=7:k7f2<722c9:>4?::m47c<722wi?>kl:080>5<7s-3<>7:mf:&70<7532ag?M23>2dj?94;299j003=831b88850;9l<0?=831vn>=ke;390?6=8r.2;?4n239'=6?=;:io7E:;6:lb71<3:01b>4l50;9j635=831b5?850;9l36`=831vn>=ib;397?6=8r.2;?4n279'=6?=;:io7E:;6:lb71<3:h1b8o950;9j635=831d;>h50;9~f65bl3;1?7>50z&:37<3jo1/897583c8 <5>2:9hh6F;479me62=<;h0e9;::188m1312900c5;6:188yg54lo0:694?:1y'=24=i;80(4=6:21``>N3:543a8m7?e2900e?8<:188m<412900c:=i:188yg54nj0:6>4?:1y'=24=i;<0(4=6:21``>N3:543f8m1d02900e?8<:188k25a2900qo=6<729q/5:<54cd8 12>218j7)7<9;10ga=O<=<0bl=;:50f?l22=3:17d::6;29?j>213:17pl<3d295?2=83:p(49=:`01?!?41398oi5G4548jd532=8m7d<6b;29?l41;3:17d7=6;29?j14n3:17pl<3gf95?5=83:p(49=:`05?!?41398oi5G4548jd532=9;7d:m7;29?l41;3:17b9">;008?nj4H565?kg4<3>8=6g;5483>>o3=?0;66a75883>>{e;:o:6<4;:183!?0:3k9>6*638807fb<@=>=7co<4;606>o51k0;66g=6283>>o>:?0;66a83g83>>{e;:ln6<4<:183!?0:3k9:6*638807fb<@=>=7co<4;607>o3j>0;66g=6283>>i0;o0;66sm32d3>4<4290;w)782;6ab>"3<003>l5+92;976ec3A>?:6`n358771=n<mk;I672>hf;=0??85f28`94?=n:?91<75f93494?=h?:l1<75rb21eb?7=;3:1mk;I672>hf;=0??;5f4c594?=n:?91<75`72d94?=zj:9m=7?53;294~">?;0?nk5+45;9<7g<,0926>=ld:J703=ii:>18>94i576>5<5<4>:583>5}#1>81m?<4$81:>65dl2B?8;5aa26906>5<5<:283>5}#1>81m?84$81:>65dl2B?8;5aa26906?5<9m6=44}c10b7<62:0;6=u+96090g`<,=>26515f3`>>97>5;h662?6=3f2>57>5;|`07`2=93>1<7>t$851>d453-3857=5;h;12?6=3f=8j7>5;|`0057=9391<7>t$851>d413-3857=5;n50b?6=3th8?k=51;194?6|,0=969li;%67=?>5i2.2?44<3bf8L1213gk887:k::087>5<7s-3<>7o=2:&:7<<4;jn0D9:9;oc00?24m2c95o4?::k126<722c2>;4?::m47c<722wi?9>=:080>5<7s-3<>7o=6:&:7<<4;jn0D9:9;oc00?24n2c?n:4?::k126<722e3;187>50z&:377532ag?M23>2dj?94;409j6;=50;9j=70=831d;>h50;9~f627;3;1?7>50z&:377532ag?M23>2dj?94;439j0g1=831b>;=50;9l36`=831vn>=i5;397?6=8r.2;?4;bg9'01?=0;k0(4=6:21``>N3:54518m1322900e9;9:188k=3>2900qo=1<729q/5:<5a308 <5>2:9hh6F;479me62=<=>0e?7m:188m7042900e4<9:188k25a2900qo=;0582>6<729q/5:<5a348 <5>2:9hh6F;479me62=<=?0e9l8:188m7042900c:=i:188yg54n?0:6>4?:1y'=24==7d::5;29?l22>3:17b6:9;29?xd4;l21=7:50;2x <152h897)7<9;10ga=O<=<0bl=;:564?l4>j3:17d<93;29?l?5>3:17b9=7co<4;67=>o3=<0;66g;5783>>i?=00;66sm32g:>4<3290;w)782;c16>">;008?nj4H565?kg4<3>?m6g=9c83>>o5>:0;66g62783>>i0;o0;66sm3525>4<4290;w)782;c12>">;008?nj4H565?kg4<3>?n6g;b683>>o5>:0;66a83g83>>{e;:l36<4<:183!?0:3>ij6*;488;6d=#1:31?>mk;I672>hf;=0?8n5f44794?=n<<<1<75`84;94?=zj:9nm7?54;294~">?;0j>?5+92;976ec3A>?:6`n35870a=n:0h1<75f27194?=n1;<1<75`72d94?=zj:>;;7?53;294~">?;0j>;5+92;976ec3A>?:6`n35870`=n65dl2B?8;5aa26901`6=44i575>5<=ld:J703=ii:>188>4i5`4>5<5<:4>:283>5}#1>818oh4$56:>=4f3-3857=;h661?6=3`>>:7>5;n:6=?6=3th88<751;694?6|,0=96l<=;%;0=?54km1C8984n`17>1353`82n7>5;h057?6=3`39:7>5;n50b?6=3th88>851;194?6|,0=96l<9;%;0=?54km1C8984n`17>1343`>i;7>5;h057?6=3f=8j7>5;|`007>=9391<7>t$851>1da3->?576=a:&:7<<4;jn0D9:9;oc00?22<2c?984?::k713<722e3944?::a717f280?6=4?{%;46?g5:2.2?44<3bf8L1213gk887::5:k1=g<722c9:>4?::k:63<722e2.2?44<3bf8L1213gk887::6:k7f2<722c9:>4?::m47c<722wi?9<6:080>5<7s-3<>7:mf:&70<7532ag?M23>2dj?94;569j003=831b88850;9l<0?=831vn>:>b;390?6=8r.2;?4n239'=6?=;:io7E:;6:lb71<3=11b>4l50;9j635=831b5?850;9l36`=831vn>:<8;397?6=8r.2;?4n279'=6?=;:io7E:;6:lb71<3=01b8o950;9j635=831d;>h50;9~f625i3;1?7>50z&:37<3jo1/897583c8 <5>2:9hh6F;479me62=<N3:544`8m7?e2900e?8<:188m<412900c:=i:188yg53;00:6>4?:1y'=24=i;<0(4=6:21``>N3:544a8m1d02900e?8<:188k25a2900qo=;2c82>6<729q/5:<54cd8 12>218j7)7<9;10ga=O<=<0bl=;:57g?l22=3:17d::6;29?j>213:17pl<40f95?2=83:p(49=:`01?!?41398oi5G4548jd532=?n7d<6b;29?l41;3:17d7=6;29?j14n3:17pl<42c95?5=83:p(49=:`05?!?41398oi5G4548jd532=?m7d:m7;29?l41;3:17b9">;008?nj4H565?kg4<3>=<6g;5483>>o3=?0;66a75883>>{e;=;n6<4;:183!?0:3k9>6*638807fb<@=>=7co<4;655>o51k0;66g=6283>>o>:?0;66a83g83>>{e;=9i6<4<:183!?0:3k9:6*638807fb<@=>=7co<4;656>o3j>0;66g=6283>>i0;o0;66sm350g>4<4290;w)782;6ab>"3<003>l5+92;976ec3A>?:6`n358726=n<mk;I672>hf;=0?:95f28`94?=n:?91<75f93494?=h?:l1<75rb260g?7=;3:1mk;I672>hf;=0?:85f4c594?=n:?91<75`72d94?=zj:>9i7?53;294~">?;0?nk5+45;9<7g<,0926>=ld:J703=ii:>18;84i576>5<5<=4>:583>5}#1>81m?<4$81:>65dl2B?8;5aa2690315<5<:283>5}#1>81m?84$81:>65dl2B?8;5aa26903>5<9m6=44}c176c<62:0;6=u+96090g`<,=>26510>3`>>97>5;h662?6=3f2>57>5;|`0077=93>1<7>t$851>d453-3857=5;h;12?6=3f=8j7>5;|`006c=9391<7>t$851>d413-3857=5;n50b?6=3th88>>51;194?6|,0=969li;%67=?>5i2.2?44<3bf8L1213gk887:9c:k710<722c?9;4?::m;1<<722wi?9<=:087>5<7s-3<>7o=2:&:7<<4;jn0D9:9;oc00?21l2c95o4?::k126<722c2>;4?::m47c<722wi?9=i:080>5<7s-3<>7o=6:&:7<<4;jn0D9:9;oc00?21m2c?n:4?::k126<722eo1b88;50;9j000=831d48750;9~f625;3;187>50z&:377532ag?M23>2dj?94;719j6;=50;9j=70=831d;>h50;9~f62383;1?7>50z&:377532ag?M23>2dj?94;709j0g1=831b>;=50;9l36`=831vn>:<2;397?6=8r.2;?4;bg9'01?=0;k0(4=6:21``>N3:54608m1322900e9;9:188k=3>2900qo=;2582>1<729q/5:<5a308 <5>2:9hh6F;479me62=<>90e?7m:188m7042900e4<9:188k25a2900qo=;4082>6<729q/5:<5a348 <5>2:9hh6F;479me62=<>>0e9l8:188m7042900c:=i:188yg53;:0:6>4?:1y'=24=7d::5;29?l22>3:17b6:9;29?xd4<;?1=7:50;2x <152h897)7<9;10ga=O<=<0bl=;:555?l4>j3:17d<93;29?l?5>3:17b9=7co<4;64<>o3=<0;66g;5783>>i?=00;66sm3505>4<3290;w)782;c16>">;008?nj4H565?kg4<3><56g=9c83>>o5>:0;66g62783>>i0;o0;66sm3560>4<4290;w)782;c12>">;008?nj4H565?kg4<3>>o5>:0;66a83g83>>{e;=9>6<4<:183!?0:3>ij6*;488;6d=#1:31?>mk;I672>hf;=0?;o5f44794?=n<<<1<75`84;94?=zj:>?87?53;294~">?;0j>;5+92;976ec3A>?:6`n35873f=n7c702B?8;5aa26902b6=44i575>5<18:k4i3;a>5<5<9m6=44}c0e0a<62:0;6=u+9609e70<,0926?k?8:J703=ii:>18:h4i5`4>5<5<:283>5}#1>818oh4$56:>=4f3-3857>:7>5;n:6=?6=3th9j<751;694?6|,0=96l<=;%;0=?4b811C8984n`17>1>63`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j8651;194?6|,0=96l<9;%;0=?4b811C8984n`17>1>53`>i;7>5;h057?6=3f=8j7>5;|`1b15=9391<7>t$851>1da3->?576=a:&:7<<5m920D9:9;oc00?2?;2c?984?::k713<722e3944?::a6c43280?6=4?{%;46?g5:2.2?44=e1:8L1213gk887:74:k1=g<722c9:>4?::k:63<722e2.2?44=e1:8L1213gk887:75:k7f2<722c9:>4?::m47c<722wi>k:9:080>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94;879j003=831b88850;9l<0?=831vn?h=6;390?6=8r.2;?4n239'=6?=:l:37E:;6:lb71<30>1b>4l50;9j635=831b5?850;9l36`=831vn?h96;397?6=8r.2;?4n279'=6?=:l:37E:;6:lb71<3011b8o950;9j635=831d;>h50;9~f7`3?3;1?7>50z&:37<3jo1/897583c8 <5>2;o;46F;479me62=<130e9;::188m1312900c5;6:188yg4a:>0:694?:1y'=24=i;80(4=6:3g3<>N3:549c8m7?e2900e?8<:188m<412900c:=i:188yg4a>>0:6>4?:1y'=24=i;<0(4=6:3g3<>N3:549`8m1d02900e?8<:188k25a2900qo6<729q/5:<54cd8 12>218j7)7<9;0f4==O<=<0bl=;:5:`?l22=3:17d::6;29?j>213:17pl=f3:95?2=83:p(49=:`01?!?4138n<55G4548jd532=2o7d<6b;29?l41;3:17d7=6;29?j14n3:17pl=f7:95?5=83:p(49=:`05?!?4138n<55G4548jd532=2n7d:m7;29?l41;3:17b9">;009i=64H565?kg4<3>3j6g;5483>>o3=?0;66a75883>>{e:o826<4;:183!?0:3k9>6*63881a5><@=>=7co<4;6:4>o51k0;66g=6283>>o>:?0;66a83g83>>{e:o<26<4<:183!?0:3k9:6*63881a5><@=>=7co<4;6:5>o3j>0;66g=6283>>i0;o0;66sm2g6b>4<4290;w)782;6ab>"3<003>l5+92;96`6?3A>?:6`n3587=7=n<h>7;I672>hf;=0?5>5f28`94?=n:?91<75f93494?=h?:l1<75rb3d5e?7=;3:1h>7;I672>hf;=0?595f4c594?=n:?91<75`72d94?=zj;l?n7?53;294~">?;0?nk5+45;9<7g<,0926?k?8:J703=ii:>184;4i576>5<5<o4>:583>5}#1>81m?<4$81:>7c702B?8;5aa2690<05<5<:283>5}#1>81m?84$81:>7c702B?8;5aa2690<15<9m6=44}c0e0f<62:0;6=u+96090g`<,=>2651??3`>>97>5;h662?6=3f2>57>5;|`1b7e=93>1<7>t$851>d453-38575;h;12?6=3f=8j7>5;|`1b3e=9391<7>t$851>d413-38575;n50b?6=3th9j?k51;194?6|,0=969li;%67=?>5i2.2?44=e1:8L1213gk887:6b:k710<722c?9;4?::m;1<<722wi>k>i:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?2>k2c95o4?::k126<722c2>;4?::m47c<722wi>k:j:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?2>l2c?n:4?::k126<722e50z&:37752d2;?M23>2dj?94;9g9j6;=50;9j=70=831d;>h50;9~f7`3n3;1?7>50z&:37752d2;?M23>2dj?94;a19j0g1=831b>;=50;9l36`=831vn?h<0;397?6=8r.2;?4;bg9'01?=0;k0(4=6:3g3<>N3:54`38m1322900e9;9:188k=3>2900qo1<729q/5:<5a308 <5>2;o;46F;479me62=6<729q/5:<5a348 <5>2;o;46F;479me62=4?:1y'=24=3:17b6:9;29?xd5n881=7:50;2x <152h897)7<9;0f4==O<=<0bl=;:5c6?l4>j3:17d<93;29?l?5>3:17b9<@=>=7co<4;6b3>o3=<0;66g;5783>>i?=00;66sm2g30>4<3290;w)782;c16>">;009i=64H565?kg4<3>j46g=9c83>>o5>:0;66g62783>>i0;o0;66sm2g71>4<4290;w)782;c12>">;009i=64H565?kg4<3>j56g;b683>>o5>:0;66a83g83>>{e:o986<4<:183!?0:3>ij6*;488;6d=#1:31>h>7;I672>hf;=0?ml5f44794?=n<<<1<75`84;94?=zj;l:87?54;294~">?;0j>?5+92;96`6?3A>?:6`n3587eg=n:0h1<75f27194?=n1;<1<75`72d94?=zj;l>?7?53;294~">?;0j>;5+92;96`6?3A>?:6`n3587ef=n7c702B?8;5aa2690db6=44i575>5<18lk4i3;a>5<5<9m6=44}c0e11<62:0;6=u+9609e70<,0926?k?8:J703=ii:>18lh4i5`4>5<5<:283>5}#1>818oh4$56:>=4f3-3857>:7>5;n:6=?6=3th9j<851;694?6|,0=96l<=;%;0=?4b811C8984n`17>1d63`82n7>5;h057?6=3`39:7>5;n50b?6=3th9j8;51;194?6|,0=96l<9;%;0=?4b811C8984n`17>1d53`>i;7>5;h057?6=3f=8j7>5;|`1b60=9391<7>t$851>1da3->?576=a:&:7<<5m920D9:9;oc00?2e;2c?984?::k713<722e3944?::a6c70280?6=4?{%;46?g5:2.2?44=e1:8L1213gk887:m4:k1=g<722c9:>4?::k:63<722e2.2?44=e1:8L1213gk887:m5:k7f2<722c9:>4?::m47c<722wi>k=8:080>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94;b79j003=831b88850;9l<0?=831vn?h>8;390?6=8r.2;?4n239'=6?=:l:37E:;6:lb71<3j>1b>4l50;9j635=831b5?850;9l36`=831vn?h:7;397?6=8r.2;?4n279'=6?=:l:37E:;6:lb71<3j11b8o950;9j635=831d;>h50;9~f7`413;1?7>50z&:37<3jo1/897583c8 <5>2;o;46F;479me62=N3:54cc8m7?e2900e?8<:188m<412900c:=i:188yg4a=00:6>4?:1y'=24=i;<0(4=6:3g3<>N3:54c`8m1d02900e?8<:188k25a2900qo6<729q/5:<54cd8 12>218j7)7<9;0f4==O<=<0bl=;:5``?l22=3:17d::6;29?j>213:17pl=f0`95?2=83:p(49=:`01?!?4138n<55G4548jd532=ho7d<6b;29?l41;3:17d7=6;29?j14n3:17pl=f4c95?5=83:p(49=:`05?!?4138n<55G4548jd532=hn7d:m7;29?l41;3:17b9">;009i=64H565?kg4<3>ij6g;5483>>o3=?0;66a75883>>{e:o;h6<4;:183!?0:3k9>6*63881a5><@=>=7co<4;6`4>o51k0;66g=6283>>o>:?0;66a83g83>>{e:o?i6<4<:183!?0:3k9:6*63881a5><@=>=7co<4;6`5>o3j>0;66g=6283>>i0;o0;66sm2g1`>4<4290;w)782;6ab>"3<003>l5+92;96`6?3A>?:6`n3587g7=n<h>7;I672>hf;=0?o>5f28`94?=n:?91<75f93494?=h?:l1<75rb3d6g?7=;3:1h>7;I672>hf;=0?o95f4c594?=n:?91<75`72d94?=zj;l8h7?53;294~">?;0?nk5+45;9<7g<,0926?k?8:J703=ii:>18n;4i576>5<5<:583>5}#1>81m?<4$81:>7c702B?8;5aa2690f05<5<:283>5}#1>81m?84$81:>7c702B?8;5aa2690f15<9m6=44}c0e7`<62:0;6=u+96090g`<,=>2651e?3`>>97>5;h662?6=3f2>57>5;|`1b4`=93>1<7>t$851>d453-38575;h;12?6=3f=8j7>5;|`1b0c=9391<7>t$851>d413-38575;n50b?6=3th9j>h51;194?6|,0=969li;%67=?>5i2.2?44=e1:8L1213gk887:lb:k710<722c?9;4?::m;1<<722wi>k5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?2dk2c95o4?::k126<722c2>;4?::m47c<722wi>k;i:080>5<7s-3<>7o=6:&:7<<5m920D9:9;oc00?2dl2c?n:4?::k126<722e50z&:37752d2;?M23>2dj?94;cg9j6;=50;9j=70=831d;>h50;9~f7`183;1?7>50z&:37752d2;?M23>2dj?94;d19j0g1=831b>;=50;9l36`=831vn?h;1;397?6=8r.2;?4;bg9'01?=0;k0(4=6:3g3<>N3:54e38m1322900e9;9:188k=3>2900qo1<729q/5:<5a308 <5>2;o;46F;479me62=6<729q/5:<5a348 <5>2;o;46F;479me62=4?:1y'=24=3:17b6:9;29?xd5n;91=7:50;2x <152h897)7<9;0f4==O<=<0bl=;:5f6?l4>j3:17d<93;29?l?5>3:17b9<@=>=7co<4;6g3>o3=<0;66g;5783>>i?=00;66sm2g06>4<3290;w)782;c16>">;009i=64H565?kg4<3>o46g=9c83>>o5>:0;66g62783>>i0;o0;66sm2g47>4<4290;w)782;c12>">;009i=64H565?kg4<3>o56g;b683>>o5>:0;66a83g83>>{e:o>>6<4<:183!?0:3>ij6*;488;6d=#1:31>h>7;I672>hf;=0?hl5f44794?=n<<<1<75`84;94?=zj;l=97?53;294~">?;0j>;5+92;96`6?3A>?:6`n3587`g=nhf;=0?hn5f44794?=n<<<1<75f93494?=h?:l1<75rb372=?7=<3:1hf;=0?hi5f44794?=n<<<1<75f93494?=h?:l1<75rb370`?7=<3:1471l2B?8;5aa2690ac6=44i575>5<5<4>:583>5}#1>81m?=4$81:>471l2B?8;5aa2690a`6=44i575>5<5<:583>5}#1>818oh4$56:>2ed3-3857?>6e9K010>:7>5;h663?6=3f2>57>5;|`1036=93>1<7>t$851>d433-3857?>6e9K010;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`114>=93>1<7>t$851>d443-3857?>6e9K010>:7>5;h;12?6=3f=8j7>5;|`116e=93>1<7>t$851>1da3->?579lc:&:7<<69?n0D9:9;oc00?2b;2c?984?::k713<722c?9:4?::m;1<<722wi>9l>:087>5<7s-3<>7o=3:&:7<<69?n0D9:9;oc00?2b<2c?984?::k713<722c2>;4?::m47c<722wi>9jm:087>5<7s-3<>7:mf:&70<<0kj1/5>75104g?M23>2dj?94;e49j003=831b88850;9j001=831d48750;9~f722n3;187>50z&:3775104g?M23>2dj?94;e79j003=831b88850;9j=70=831d;>h50;9~f736?3;187>50z&:3775104g?M23>2dj?94;e69j003=831b88850;9j=70=831d;>h50;9~f734j3;187>50z&:37<3jo1/89757ba8 <5>28;=h6F;479me62=2900qo<;ag82>1<729q/5:<5a318 <5>28;=h6F;479me62=1<729q/5:<54cd8 12>2>ih7)7<9;322a=O<=<0bl=;:5gb?l22=3:17d::6;29?l22?3:17b6:9;29?xd5<3:17b93:17b9">;00:=;j4H565?kg4<3>nh6g;5483>>o3=?0;66g;5683>>i?=00;66sm25cg>4<3290;w)782;c17>">;00:=;j4H565?kg4<3>ni6g;5483>>o3=?0;66g62783>>i0;o0;66sm25f:>4<3290;w)782;6ab>"3<00?:6`n3587ac=n<>h7?54;294~">?;0j>95+92;9540c3A>?:6`n3587b5=n<?;0j>>5+92;9540c3A>?:6`n3587b4=n<?;0?nk5+45;93fe<,092618k<4i576>5<5<18k=4i576>5<5<9m6=44}c07`=<62=0;6=u+96090g`<,=>26:ml;%;0=?76>m1C8984n`17>1`33`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th988m51;694?6|,0=96l<;;%;0=?76>m1C8984n`17>1`23`>>97>5;h662?6=3`39:7>5;n50b?6=3th99<:51;694?6|,0=96l<<;%;0=?76>m1C8984n`17>1`13`>>97>5;h662?6=3`39:7>5;n50b?6=3th99>651;694?6|,0=969li;%67=?1dk2.2?44>17f8L1213gk887:i7:k710<722c?9;4?::k712<722e3944?::a61g>280?6=4?{%;46?g5;2.2?44>17f8L1213gk887:i8:k710<722c?9;4?::k:63<722e3;390?6=8r.2;?4n229'=6?=98N3:54ga8m1322900e9;9:188m1302900c5;6:188yg43i>0:694?:1y'=24=i;90(4=6:035`>N3:54gf8m1322900e9;9:188m<412900c:=i:188yg43l?0:694?:1y'=24=3:17d::7;29?j>213:17pl=44c95?2=83:p(49=:`07?!?413;::i5G4548jd532=lm7d::5;29?l22>3:17d7=6;29?j14n3:17pl=50095?2=83:p(49=:`00?!?413;::i5G4548jd532<:;7d::5;29?l22>3:17d7=6;29?j14n3:17pl=52795?2=83:p(49=:5`e?!2313=ho6*6388253b<@=>=7co<4;735>o3=<0;66g;5783>>o3=>0;66a75883>>{e:=k>6<4;:183!?0:3k9?6*6388253b<@=>=7co<4;736>o3=<0;66g;5783>>o>:?0;66a83g83>>{e:=?26<4;:183!?0:3k986*6388253b<@=>=7co<4;737>o3=<0;66g;5783>>o>:?0;66a83g83>>{e:<;:6<4;:183!?0:3k9?6*6388253b<@=>=7co<4;730>o3=<0;66g;5783>>o>:?0;66a83g83>>{e:<9?6<4;:183!?0:3>ij6*;4884gf=#1:31=<8k;I672>hf;=0><85f44794?=n<<<1<75f44594?=h0<31<75rb36b7?7=<3:1hf;=0><;5f44794?=n<<<1<75f93494?=h?:l1<75rb366hf;=0><:5f44794?=n<<<1<75f93494?=h?:l1<75rb3724?7=<3:1hf;=0><55f44794?=n<<<1<75f93494?=h?:l1<75rb377a?7=<3:1471l2B?8;5aa26915?6=44i575>5<5<?>4>:583>5}#1>818oh4$56:>2ed3-3857?>6e9K010n;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`10d7=93>1<7>t$851>d443-3857?>6e9K010m;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`10a5=93>1<7>t$851>1da3->?579lc:&:7<<69?n0D9:9;oc00?37k2c?984?::k713<722c?9:4?::m;1<<722wi>9;9:087>5<7s-3<>7o=4:&:7<<69?n0D9:9;oc00?37l2c?984?::k713<722c2>;4?::m47c<722wi>8>j:087>5<7s-3<>7o=3:&:7<<69?n0D9:9;oc00?37m2c?984?::k713<722c2>;4?::m47c<722wi>8==:087>5<7s-3<>7:mf:&70<<0kj1/5>75104g?M23>2dj?94:0g9j003=831b88850;9j001=831d48750;9~f72>l3;187>50z&:3775104g?M23>2dj?94:119j003=831b88850;9j=70=831d;>h50;9~f722=3;187>50z&:3775104g?M23>2dj?94:109j003=831b88850;9j=70=831d;>h50;9~f737l3;187>50z&:3775104g?M23>2dj?94:139j003=831b88850;9j=70=831d;>h50;9~f73493;187>50z&:37<3jo1/89757ba8 <5>28;=h6F;479me62==890e9;::188m1312900e9;8:188k=3>2900qo<;9c82>1<729q/5:<5a318 <5>28;=h6F;479me62==8>0e9;::188m1312900e4<9:188k25a2900qo<;5582>1<729q/5:<5a368 <5>28;=h6F;479me62==8?0e9;::188m1312900e4<9:188k25a2900qo<:0b82>1<729q/5:<5a318 <5>28;=h6F;479me62==8<0e9;::188m1312900e4<9:188k25a2900qo<:3182>1<729q/5:<54cd8 12>2>ih7)7<9;322a=O<=<0bl=;:434?l22=3:17d::6;29?l22?3:17b6:9;29?xd5<031=7:50;2x <152h887)7<9;322a=O<=<0bl=;:43;?l22=3:17d::6;29?l?5>3:17b93:17b93:17b9">;00:=;j4H565?kg4<3?:n6g;5483>>o3=?0;66g;5683>>i?=00;66sm25;4>4<3290;w)782;c17>">;00:=;j4H565?kg4<3?:o6g;5483>>o3=?0;66g62783>>i0;o0;66sm2571>4<3290;w)782;c10>">;00:=;j4H565?kg4<3?:h6g;5483>>o3=?0;66g62783>>i0;o0;66sm242b>4<3290;w)782;c17>">;00:=;j4H565?kg4<3?:i6g;5483>>o3=?0;66g62783>>i0;o0;66sm240f>4<3290;w)782;6ab>"3<00?:6`n35865c=n<297?54;294~">?;0j>>5+92;9540c3A>?:6`n358665=n<>=7?54;294~">?;0j>95+92;9540c3A>?:6`n358664=n<?;0j>>5+92;9540c3A>?:6`n358667=n<?;0?nk5+45;93fe<,092619?=4i576>5<5<19?:4i576>5<5<9m6=44}c0715<62=0;6=u+9609e72<,092619?;4i576>5<5<9m6=44}c064=<62=0;6=u+9609e75<,092619?84i576>5<5<9m6=44}c066g<62=0;6=u+96090g`<,=>26:j?;%;0=?76>m1C8984n`17>0403`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th984?51;694?6|,0=96l<<;%;0=?76>m1C8984n`17>04?3`>>97>5;h662?6=3`39:7>5;n50b?6=3th989h51;694?6|,0=96l<;;%;0=?76>m1C8984n`17>04>3`>>97>5;h662?6=3`39:7>5;n50b?6=3th99=951;694?6|,0=96l<<;%;0=?76>m1C8984n`17>04f3`>>97>5;h662?6=3`39:7>5;n50b?6=3th99?o51;694?6|,0=969li;%67=?1c82.2?44>17f8L1213gk887;=b:k710<722c?9;4?::k712<722e3944?::a61>a280?6=4?{%;46?g5;2.2?44>17f8L1213gk887;=c:k710<722c?9;4?::k:63<722e17f8L1213gk887;=d:k710<722c?9;4?::k:63<722e17f8L1213gk887;=e:k710<722c?9;4?::k:63<722e280?6=4?{%;46?2en2.?8448d19'=6?=98N3:55218m1322900e9;9:188m1302900c5;6:188yg430k0:694?:1y'=24=i;90(4=6:035`>N3:55268m1322900e9;9:188m<412900c:=i:188yg43>10:694?:1y'=24=i;>0(4=6:035`>N3:55278m1322900e9;9:188m<412900c:=i:188yg42:90:694?:1y'=24=i;90(4=6:035`>N3:55248m1322900e9;9:188m<412900c:=i:188yg42<;0:694?:1y'=24=3:17d::7;29?j>213:17pl=53595?2=83:p(49=:5`e?!2313=ho6*6388253b<@=>=7co<4;70<>o3=<0;66g;5783>>o3=>0;66a75883>>{e:=i96<4;:183!?0:3k9?6*6388253b<@=>=7co<4;70=>o3=<0;66g;5783>>o>:?0;66a83g83>>{e:=i<6<4;:183!?0:3>ij6*;4884gf=#1:31=<8k;I672>hf;=0>?l5f44794?=n<<<1<75f44594?=h0<31<75rb3653?7=<3:1hf;=0>?o5f44794?=n<<<1<75f93494?=h?:l1<75rb372b?7=<3:1hf;=0>?n5f44794?=n<<<1<75f93494?=h?:l1<75rb3712?7=<3:14$81:>471l2B?8;5aa26916b6=44i575>5<5<:583>5}#1>81m?=4$81:>471l2B?8;5aa26916c6=44i575>5<5<:583>5}#1>81m?:4$81:>471l2B?8;5aa26916`6=44i575>5<5<=h4>:583>5}#1>81m?=4$81:>471l2B?8;5aa2691166=44i575>5<5<>84>:583>5}#1>818oh4$56:>2b73-3857?>6e9K010;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`10gc=93>1<7>t$851>d443-3857?>6e9K010>:7>5;h;12?6=3f=8j7>5;|`1033=93>1<7>t$851>d433-3857?>6e9K010>:7>5;h;12?6=3f=8j7>5;|`114b=93>1<7>t$851>d443-3857?>6e9K010>:7>5;h;12?6=3f=8j7>5;|`1172=93>1<7>t$851>1da3->?579k0:&:7<<69?n0D9:9;oc00?33=2c?984?::k713<722c?9:4?::m;1<<722wi>9ll:087>5<7s-3<>7o=3:&:7<<69?n0D9:9;oc00?33>2c?984?::k713<722c2>;4?::m47c<722wi>98;:087>5<7s-3<>7o=4:&:7<<69?n0D9:9;oc00?33?2c?984?::k713<722c2>;4?::m47c<722wi>8?l:087>5<7s-3<>7o=3:&:7<<69?n0D9:9;oc00?3302c?984?::k713<722c2>;4?::m47c<722wi>8<<:087>5<7s-3<>7:mf:&70<<0l91/5>75104g?M23>2dj?94:489j003=831b88850;9j001=831d48750;9~f72ei3;187>50z&:3775104g?M23>2dj?94:4`9j003=831b88850;9j=70=831d;>h50;9~f721;3;187>50z&:3775104g?M23>2dj?94:4c9j003=831b88850;9j=70=831d;>h50;9~f736j3;187>50z&:3775104g?M23>2dj?94:4b9j003=831b88850;9j=70=831d;>h50;9~f735:3;187>50z&:37<3jo1/89757ba8 <5>28;=h6F;479me62===n0e9;::188m1312900e9;8:188k=3>2900qo<;b982>1<729q/5:<5a318 <5>28;=h6F;479me62===o0e9;::188m1312900e4<9:188k25a2900qo<;6382>1<729q/5:<5a368 <5>28;=h6F;479me62===l0e9;::188m1312900e4<9:188k25a2900qo<:1`82>1<729q/5:<5a318 <5>28;=h6F;479me62==<:0e9;::188m1312900e4<9:188k25a2900qo<:3g82>1<729q/5:<54cd8 12>2>ih7)7<9;322a=O<=<0bl=;:472?l22=3:17d::6;29?l22?3:17b6:9;29?xd53:17b93:17b93:17b9">;00:=;j4H565?kg4<3?>96g;5483>>o3=?0;66g;5683>>i?=00;66sm25c3>4<3290;w)782;c17>">;00:=;j4H565?kg4<3?>:6g;5483>>o3=?0;66g62783>>i0;o0;66sm256`>4<3290;w)782;c10>">;00:=;j4H565?kg4<3?>;6g;5483>>o3=?0;66g62783>>i0;o0;66sm2427>4<3290;w)782;c17>">;00:=;j4H565?kg4<3?>46g;5483>>o3=?0;66g62783>>i0;o0;66sm2414>4<3290;w)782;6ab>"3<00?:6`n35861<=n<3m7?54;294~">?;0j>>5+92;9540c3A>?:6`n35861d=n<?n7?54;294~">?;0j>95+92;9540c3A>?:6`n35861g=n<?;0j>>5+92;9540c3A>?:6`n35861f=n<?;0?nk5+45;93fe<,0926198j4i576>5<5<198k4i576>5<5<9m6=44}c076f<62=0;6=u+9609e72<,0926198h4i576>5<5<9m6=44}c07a1<62=0;6=u+9609e75<,092619;>4i576>5<5<9m6=44}c0664<62=0;6=u+96090g`<,=>26:ml;%;0=?76>m1C8984n`17>0063`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th98;751;694?6|,0=96l<<;%;0=?76>m1C8984n`17>0053`>>97>5;h662?6=3`39:7>5;n50b?6=3th8mhm51;694?6|,0=96l<;;%;0=?5fm?1C8984n`17>0043`>>97>5;h662?6=3`39:7>5;n50b?6=3th8mk>51;694?6|,0=96l<<;%;0=?5fm?1C8984n`17>0033`>>97>5;h662?6=3`39:7>5;n50b?6=3th8mk<51;694?6|,0=969li;%67=?1dk2.2?44?1b88;50;9j000=831b88950;9l<0?=831vn>ojd;390?6=8r.2;?4n229'=6?=;ho=7E:;6:lb71<2>>1b88;50;9j000=831b5?850;9l36`=831vn>ojf;390?6=8r.2;?4;bg9'01?=?ji0(4=6:2cf2>N3:557:8m1322900e9;9:188m1302900c5;6:188yg76>j0:6?4?:1y'=24=0"5=h08h55a3g59g>h>;90:86*;b58;1<=O<=<0bl=;:44:?l22<3:17b97)7<9;322<=#:f=i1::1=95+4c69<0?<@=>=7co<4;75e>o3==0;66a83g83>>{e98<36<4=:183!?0:32>96*63882531<,;?j6>j7;o1e3?e4$5`7>=3>3A>?:6`n35862g=n<<>1<75`72d94?=zj8;=:7?52;294~">?;03985+92;954023-8>m7=k8:l0b2212B?8;5aa26913e5<:383>5}#1>8148;4$81:>404m2.99l400c3`>>87>5;n50b?6=3th::>j51;094?6|,0=965;:;%;0=?71;j1/>8o53e:8j6`02j1e5>>5519'0g2=0<30D9:9;oc00?31m2c?994?::m47c<722wi=;=m:081>5<7s-3<>76:5:&:7<<6>:k0(?;n:2f;?k5a?3i0b4=?:428 1d321?27E:;6:lb71<2>o1b88:50;9l36`=831vn<8<9;396?6=8r.2;?47549'=6?=9?937)<:a;1g<>h4n>0h7c7<0;73?!2e<32>56F;479me62==>:0e9;;:188k25a2900qo?93682>7<729q/5:<58478 <5>28<8:6*=5`80`==i;o=1o6`631864>"3j=03945G4548jd532<=:7d::4;29?j14n3:17pl>62795?4=83:p(49=:976?!?413;=?95+24c97a>14874H565?kg4<3?<>6g;5583>>i0;o0;66sm172a>4<5290;w)782;:61>">;00::=o4$37b>6b?3g9m;7m4n813>06<,=h?65;6;I672>hf;=0>;>5f44694?=h?:l1<75rb043=?7=:3:17;%06e?5c02d8j:4l;o;04?373->i876:9:J703=ii:>19::4i577>5<9m6=44}c3542<62;0;6=u+9609<03<,0926<8?6:&11d<4l11e?k95c:l:75<282.?n947589K0105;|`2253=9381<7>t$851>=323-3857?9059'60g=;m20b>h8:b9m=66==91/8o:584;8L1213gk887;86:k711<722e2=2.2?44>6108 73f2:n37c=i7;a8j<572<:0(9l;:97:?M23>2dj?94:769j002=831d;>h50;9~f40793;1>7>50z&:37751723?!42i39o46`N3:556:8m1332900c:=i:188yg72no0:6?4?:1y'=24=0"5=h08h55a3g59g>h>;90><6*;b58;1<=O<=<0bl=;:45:?l22<3:17b97)7<9;36bf=#:f=i1::19=5+4c69<0?<@=>=7co<4;74e>o3==0;66a83g83>>{e;92<6<49:183!2313>946*63881a5><,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0>;o5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb2014?7=>3:1h>7;%;46?g592.2:;46649'03g=;2B?8;5aa26912e5<5<5<:783>5}#<=318?64$81:>7c702.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`066b=93<1<7>t$56:>14?3-38575<7s->?57:=8:&:7<<5m920(49=:`02?!?1>33=96*;6`80?M23>2dj?94:7g9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f643;3;1:7>50z&70<<3:11/5>752d2;?!?0:3k9=6*6678:20=#2900qo==4782>3<729q/897543:8 <5>2;o;46*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:4:2?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4:=31=7850;2x 12>2=837)7<9;0f4==#1>81m??4$845><023->=m7=4H565?kg4<3?3>6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm336`>4<1290;w):;9;61<>">;009i=64$851>d463-3=:7795:&72d<43A>?:6`n3586<6=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;96`6?3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>195:4i3:g>5<6=44i35b>5<5<<,0926?k?8:&:370>23`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8>?951;494?6|,=>269<7;%;0=?4b811/5:<5a338 <0120<>7):9a;18L1213gk887;76:k1"3>h087E:;6:lb71<20>1b>5j50;9j633=831b>:o50;9j8h50;9l<=d;392?6=8r.?844;299'=6?=:l:37)782;c15>">>?02:85+47c9<1=O<=<0bl=;:4:;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdel90:694?:1y'=24=2900qom;0;390?6=8r.2;?4;bg9'01?=?ji0(4=6:c53?M23>2dj?94:8`9j003=831b88850;9j001=831d48750;9~fg?6280?6=4?{%;46?g5;2.2?44m719K010>:7>5;h;12?6=3f=8j7>5;|``04<62<0;6=u+96090g`<,=>26:8i;%;0=?d082B?8;5aa2691=e6=44i575>5<5<g173A>?:6`n35864<3290;w)782;c17>">;00i;=5G4548jd532<2n7d::5;29?l22>3:17d7=6;29?j14n3:17plm7082>1<729q/5:<5a368 <5>2k=;7E:;6:lb71<20o1b88;50;9j000=831b5?850;9l36`=831vnoj>:087>5<7s-3<>7:mf:&70<<0kj1/5>75b628L1213gk887;60:k710<722c?9;4?::k712<722e3944?::ag1e=93>1<7>t$851>1da3->?579lc:&:7<0?63`>>97>5;h662?6=3`>>;7>5;n:6=?6=3thin<4>:583>5}#1>81m?=4$81:>g173A>?:6`n3586=7=n<ij6*;48842c=#1:31n:>4H565?kg4<3?2?6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{ek1;1=7;50;2x <152=hm7):;9;55b>">;00i;=5G4548jd532<3?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xdd900:694?:1y'=24=i;90(4=6:c53?M23>2dj?94:949j003=831b88850;9j=70=831d;>h50;9~fg15280?6=4?{%;46?g5<2.2?44m719K010>:7>5;h;12?6=3f=8j7>5;|`a`f<62=0;6=u+96090g`<,=>26:ml;%;0=?d082B?8;5aa2691<16=44i575>5<5<7?54;294~">?;0?nk5+45;93fe<,0926o9?;I672>hf;=0>555f44794?=n<<<1<75f44594?=h0<31<75rbc`1>4<3290;w)782;c17>">;00i;=5G4548jd532<327d::5;29?l22>3:17d7=6;29?j14n3:17pll6e82>0<729q/5:<54cd8 12>2>N3:558c8m1322900e9;9:188m1302900e9;7:188k=3>2900qom7c;391?6=8r.2;?4;bg9'01?=??l0(4=6:c53?M23>2dj?94:9c9j003=831b88850;9j001=831b88650;9l<0?=831vnn?n:087>5<7s-3<>7o=3:&:7<0?d3`>>97>5;h662?6=3`39:7>5;n50b?6=3thi;>4>:583>5}#1>81m?:4$81:>g173A>?:6`n3586=a=n<ij6*;4884gf=#1:31n:>4H565?kg4<3?2i6g;5483>>o3=?0;66g;5683>>i?=00;66smc6:95?2=83:p(49=:5`e?!2313=ho6*6388a35=O<=<0bl=;:4;e?l22=3:17d::6;29?l22?3:17b6:9;29?xdejm0:694?:1y'=24=i;90(4=6:c53?M23>2dj?94:a19j003=831b88850;9j=70=831d;>h50;9~ff1c280>6=4?{%;46?2en2.?84486g9'=6?=j>:0D9:9;oc00?3f92c?984?::k713<722c?9:4?::k71=<722e3944?::ag<6=93?1<7>t$851>1da3->?5799f:&:7<0g53`>>97>5;h662?6=3`>>;7>5;h6657>5;|``6d<62=0;6=u+9609e75<,0926o9?;I672>hf;=0>m>5f44794?=n<<<1<75f93494?=h?:l1<75rbc5f>4<3290;w)782;c10>">;00i;=5G4548jd5323:17d7=6;29?j14n3:17plmf382>1<729q/5:<54cd8 12>2>ih7)7<9;`44>N3:55`78m1322900e9;9:188m1302900c5;6:188yge0m3;187>50z&:37<3jo1/89757ba8 <5>2k=;7E:;6:lb71<2i?1b88;50;9j000=831b88950;9l<0?=831vnom7:087>5<7s-3<>7o=3:&:7<0g03`>>97>5;h662?6=3`39:7>5;n50b?6=3thh;k4>:483>5}#1>818oh4$56:>20a3-3857l80:J703=ii:>19l64i576>5<5<5<?;0?nk5+45;933`<,0926o9?;I672>hf;=0>m45f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zjj9>6<4;:183!?0:3k9?6*6388a35=O<=<0bl=;:4cb?l22=3:17d::6;29?l?5>3:17b90(4=6:c53?M23>2dj?94:ac9j003=831b88850;9j=70=831d;>h50;9~fg`3280?6=4?{%;46?2en2.?8448cb9'=6?=j>:0D9:9;oc00?3fk2c?984?::k713<722c?9:4?::m;1<<722wio9<51;694?6|,0=969li;%67=?1dk2.2?44m719K010>:7>5;h663?6=3f2>57>5;|`agd<62=0;6=u+9609e75<,0926o9?;I672>hf;=0>mh5f44794?=n<<<1<75f93494?=h?:l1<75rbb60>4<2290;w)782;6ab>"3<00<:k5+92;9f26<@=>=7co<4;7bb>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smc8095?3=83:p(49=:5`e?!2313==j6*6388a35=O<=<0bl=;:4`3?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pll3682>1<729q/5:<5a318 <5>2k=;7E:;6:lb71<2j81b88;50;9j000=831b5?850;9l36`=831vno6m:087>5<7s-3<>7o=4:&:7<0d53`>>97>5;h662?6=3`39:7>5;n50b?6=3thij84>:583>5}#1>818oh4$56:>2ed3-3857l80:J703=ii:>19o=4i576>5<5<g173A>?:6`n3586f1=n<3:17b9;3;197>50z&:37<3jo1/897577d8 <5>2k=;7E:;6:lb71<2j>1b88;50;9j000=831b88950;9j00>=831d48750;9~ff5?280?6=4?{%;46?g5;2.2?44m719K010>:7>5;h;12?6=3f=8j7>5;|`ahf;=0>n45f44794?=n<<<1<75f93494?=h?:l1<75rbcd5>4<3290;w)782;6ab>"3<00=7co<4;7ae>o3=<0;66g;5783>>o3=>0;66a75883>>{ek=<1=7:50;2x <152=hm7):;9;5`g>">;00i;=5G4548jd5323:17d::7;29?j>213:17plmcb82>1<729q/5:<5a318 <5>2k=;7E:;6:lb71<2jj1b88;50;9j000=831b5?850;9l36`=831vnn:8:086>5<7s-3<>7:mf:&70<<0>o1/5>75b628L1213gk887;md:k710<722c?9;4?::k712<722c?954?::m;1<<722wi=?;n:087>4<3s-3<>7::2:J:2f=ii:>19ok4i2ceb?7=3`ii=7?5;h1bb`<622eh594>::``=1<72=0;6=u+96090g`<,=>265?=;%;0=?d082B?8;5aa2691g`6=44i575>5<5<;2wx?lhj:181[5fnl16o4:54458yve><3:1>vPl959>g<2=0<30qpll3882>1<729q/5:<5a318 <5>2k=;7E:;6:lb71<2k91b88;50;9j000=831b5?850;9l36`=831vno6k:087>5<7s-3<>7o=4:&:7<0e63`>>97>5;h662?6=3`39:7>5;n50b?6=3thij:4>:583>5}#1>818oh4$56:>2ed3-3857l80:J703=ii:>19n<4i576>5<5<g173A>?:6`n3586g6=n<3:17b9oie;39?je313;17om;9;290?6=8r.2;?4;bg9'01?=0820(4=6:c53?M23>2dj?94:c79j003=831b88850;9j001=831d48750;9~w`gd2909wSknc:?`0<<3=<1v>oif;296~X4iol01n:6:575?xu4ioo1<7;d<00?9:5rsb6:>5<5sWi?563l488;1<=zuki8m7?54;294~">?;0j>>5+92;9f26<@=>=7co<4;7`3>o3=<0;66g;5783>>o>:?0;66a83g83>>{ej1o1=7:50;2x <152h8?7)7<9;`44>N3:55b:8m1322900e9;9:188m<412900c:=i:188ygdc:3;187>50z&:37<3jo1/89757ba8 <5>2k=;7E:;6:lb71<2k01b88;50;9j000=831b88950;9l<0?=831vnn:n:087>5<7s-3<>7:mf:&70<<0kj1/5>75b628L1213gk887;la:k710<722c?9;4?::k712<722e3944?::affc=93>1<7>t$851>d443-3857l80:J703=ii:>19nl4i576>5<5<9m6=44}c3104<62=0:69u+9609004<@0obim0:66g4<i6=4;:183!?0:3>ij6*;488;5==#1:31n:>4H565?kg4<3?hh6g;5483>>o3=?0;66g;5683>>i?=00;66s|e`f94?4|Vlko70m;b;661>{t;hlm6=4={_1bbc=:k=h18884}r1bb`<72;qU?lhj;>:7>5;h;12?6=3f=8j7>5;|`ahf;=0>ok5f44794?=n<<<1<75f93494?=h?:l1<75rbcf7>4<3290;w)782;6ab>"3<00=7co<4;7g4>o3=<0;66g;5783>>o3=>0;66a75883>>{ek=n1=7:50;2x <152=hm7):;9;5`g>">;00i;=5G4548jd5323:17d::7;29?j>213:17plmcg82>1<729q/5:<5a318 <5>2k=;7E:;6:lb71<2l;1b88;50;9j000=831b5?850;9l36`=831vn<<;2;390?7=::k0ecc=931do9k51;9ag1c=83>1<7>t$851>1da3->?576>8:&:7<0b33`>>97>5;h662?6=3`>>;7>5;n:6=?6=3tynmh4?:3y]adc<5j>n69;:;|q0ec`=838pR>oif:?`0`<3=?1v>oie;296~X4ioo01n:j:574?xud:0D9:9;oc00?3c>2c?984?::k713<722c2>;4?::m47c<722wini851;694?6|,0=969li;%67=?1dk2.2?44m719K010>:7>5;h663?6=3f2>57>5;|``0c<62=0;6=u+96090g`<,=>26:ml;%;0=?d082B?8;5aa2691a>6=44i575>5<5<?;0j>>5+92;9f26<@=>=7co<4;7g=>o3=<0;66g;5783>>o>:?0;66a83g83>>{ek<:1=7;50;2x <152=hm7):;9;55b>">;00i;=5G4548jd5323:17d::7;29?l2203:17b6:9;29?xdd1<0:684?:1y'=24=50z&:3775b628L1213gk887;kc:k710<722c?9;4?::k:63<722e1<7>t$851>d433-3857l80:J703=ii:>19ij4i576>5<5<9m6=44}c`g3?7=<3:1g173A>?:6`n3586``=n<ij6*;4884gf=#1:31n:>4H565?kg4<3?oj6g;5483>>o3=?0;66g;5683>>i?=00;66smbc695?2=83:p(49=:`00?!?413h<<6F;479me62==l:0e9;::188m1312900e4<9:188k25a2900qo?=4282>1<62=q/5:<54408L<0d3gk887;j1:kff5<622c8mkh51;9j7d`b2800cn;=:088ff35290?6=4?{%;46?2en2.?8447199'=6?=j>:0D9:9;oc00?3b:2c?984?::k713<722c?9:4?::m;1<<722wxio>50;0xZ`d734i>>7::5:p7d`a2909wS=nfg9>g04=<<<0q~=nfd83>7}Y;hln70m:2;663>{tk<81<756srbb3`>4<3290;w)782;c17>">;00i;=5G4548jd5323:17d7=6;29?j14n3:17plm7482>1<729q/5:<5a368 <5>2k=;7E:;6:lb71<2m=1b88;50;9j000=831b5?850;9l36`=831vnoj6:087>5<7s-3<>7:mf:&70<<0kj1/5>75b628L1213gk887;j5:k710<722c?9;4?::k712<722e3944?::ag05=93>1<7>t$851>1da3->?579lc:&:7<0c13`>>97>5;h662?6=3`>>;7>5;n:6=?6=3thin84>:583>5}#1>81m?=4$81:>g173A>?:6`n3586a2=n<?;0?9?5G97a8jd5321=75mc4694?2=83:p(49=:5`e?!23132:46*6388a35=O<=<0bl=;:4g:?l22=3:17d::6;29?l22?3:17b6:9;29?xubj80;6?uQec389f332=?>7p}52z\0ecc<5j??69;8;|q`11<72;qUo8:4=b77>=3>3twio6=44i575>5<5<?;0j>95+92;9f26<@=>=7co<4;7ff>o3=<0;66g;5783>>o>:?0;66a83g83>>{ejmh1=7:50;2x <152=hm7):;9;5`g>">;00i;=5G4548jd5323:17d::7;29?j>213:17pll5482>1<729q/5:<54cd8 12>2>ih7)7<9;`44>N3:55df8m1322900e9;9:188m1302900c5;6:188ygde>3;187>50z&:3775b628L1213gk887;je:k710<722c?9;4?::k:63<722e::m`13<622hh9;4?:583>5}#1>818oh4$56:>=7?3-3857l80:J703=ii:>19k>4i576>5<5<:7::6:p7d`b2909wS=nfd9>g00=<<=0q~m:6;296~Xd=?16o88584;8yxdd:90:694?:1y'=24=i;90(4=6:c53?M23>2dj?94:f09j003=831b88850;9j=70=831d;>h50;9~fg10280?6=4?{%;46?g5<2.2?44m719K010>:7>5;h;12?6=3f=8j7>5;|`a``<62=0;6=u+96090g`<,=>26:ml;%;0=?d082B?8;5aa2691c56=44i575>5<5<47?54;294~">?;0?nk5+45;93fe<,0926o9?;I672>hf;=0>j95f44794?=n<<<1<75f44594?=h0<31<75rbc`4>4<3290;w)782;c17>">;00i;=5G4548jd5327d::5;29?l22>3:17d7=6;29?j14n3:17pl>25495?2=93>p(49=:571?M?1k2dj?94:f79jag5=931b?lhi:088m6gam3;17bm:9;39?ge213:187>50z&:37<3jo1/897580:8 <5>2k=;7E:;6:lb71<2n>1b88;50;9j000=831b88950;9l<0?=831vhl<:181[ce;27h944;549~w6gan3:1>vP2=?=7p};d=003945r}ca16?7=<3:14H565?kg4<3?m46g;5483>>o3=?0;66g62783>>i0;o0;66smb6:95?2=83:p(49=:`07?!?413h<<6F;479me62==o30e9;::188m1312900e4<9:188k25a2900qolj0;390?6=8r.2;?4;bg9'01?=?ji0(4=6:c53?M23>2dj?94:f`9j003=831b88850;9j001=831d48750;9~ff3f280?6=4?{%;46?2en2.?8448cb9'=6?=j>:0D9:9;oc00?3aj2c?984?::k713<722c?9:4?::m;1<<722wino651;694?6|,0=96l<<;%;0=?d082B?8;5aa2691ce6=44i575>5<5<:582>1}#1>8188<4H84`?kg4<3?mh6gjb582>>o4iol1=75f3`df>4<5<3290;w)782;6ab>"3<003=55+92;9f26<@=>=7co<4;7ea>o3=<0;66g;5783>>o3=>0;66a75883>>{tmk>1<7>96s|3`de>5<5sW9jjk52c4`9000n7::7:pg0d=838pRn;m;212wvnn<;:087>5<7s-3<>7o=3:&:7<0`a3`>>97>5;h662?6=3`39:7>5;n50b?6=3thi;44>:583>5}#1>81m?:4$81:>g173A>?:6`n358545=n<ij6*;4884gf=#1:31n:>4H565?kg4<3<;=6g;5483>>o3=?0;66g;5683>>i?=00;66smc4a95?2=83:p(49=:5`e?!2313=ho6*6388a35=O<=<0bl=;:721?l22=3:17d::6;29?l22?3:17b6:9;29?xdej00:694?:1y'=24=i;90(4=6:c53?M23>2dj?949029j003=831b88850;9j=70=831d;>h50;9~f44303;187?54z&:37<3=;1C5;m4n`17>3633`oi97?5;h1bbc<622c8mkk51;9lg0b=931io8j50;694?6|,0=969li;%67=?>602.2?44m719K010:;h661?6=3`>>:7>5;h663?6=3f2>57>5;|qff0<72;qUio;4=b7g>1323ty8mkh50;0xZ6gan27h9i4;579~w6gam3:1>vP7}YkN3:56148m1322900e9;9:188m<412900c:=i:188ygd0i3;187>50z&:3775b628L1213gk8878?7:k710<722c?9;4?::k:63<722e1<7>t$851>1da3->?579lc:&:7<36?3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3thh9h4>:583>5}#1>818oh4$56:>2ed3-3857l80:J703=ii:>1:=74i576>5<5<4H565?kg4<3<;m6g;5483>>o3=?0;66g62783>>i0;o0;66sm136:>4<3280?w)782;666>N>>j1em>:561`8m`d12800e>oif;39?l5fnl0:66al5g82>>dd=o0;694?:1y'=24=9i0e9;::188m1312900e9;8:188k=3>2900q~km6;296~Xbj?16o8h54478yv5fno0;6?uQ3`de?8e2n3>>:6s|3`df>5<5sW9jjh52c4d9001j7>52z\`1c=:k1=7:t$851>1353A3=o6`n35854a=n9?;;6<44i2ceb?7=3`9jjh4>::m`=3<622hh5;4?:583>5}#1>818oh4$56:>=7?3-3857l80:J703=ii:>1:=k4i576>5<5<>3>>;6s|c8494?4|Vj3=70m66;:6=>{zjj8<6<4;:183!?0:3k9?6*6388a35=O<=<0bl=;:72e?l22=3:17d::6;29?l?5>3:17b90(4=6:c53?M23>2dj?949119j003=831b88850;9j=70=831d;>h50;9~fgc2280?6=4?{%;46?2en2.?8448cb9'=6?=j>:0D9:9;oc00?0692c?984?::k713<722c?9:4?::m;1<<722wio;>51;694?6|,0=969li;%67=?1dk2.2?44m719K010>:7>5;h663?6=3f2>57>5;|`afg<62=0;6=u+9609e75<,0926o9?;I672>hf;=0==>5f44794?=n<<<1<75f93494?=h?:l1<75rb007e?7=<3;18v*6738717=O1?i0bl=;:737?lce?3;17d=nfg82>>o4ioo1=75`c7395?=ek?;1<7:50;2x <152=hm7):;9;:2<>">;00i;=5G4548jd532?;>7d::5;29?l22>3:17d::7;29?j>213:17p}jb683>7}Ymk=01n8>:576?xu4iol1<7;d>80?9;5rs2cea?6=:rT8mkk4=b42>1303tyh:<4?:3y]g37<5j<:65;6;|a572a280?6<4;{%;46?22:2B2:n5aa269240602.2?44m719K010>:7>5;h663?6=3f2>57>5;|q2243=838pR<8>5:?`<7<3=<1v>oif;296~X4iol01n6=:575?xu4ioo1<7;d0;0?9:5rsb:1>5<5sWi3>63l838;1<=zuki947?54;294~">?;0j>>5+92;9f26<@=>=7co<4;42<>o3=<0;66g;5783>>o>:?0;66a83g83>>{ej>i1=7:50;2x <152h8?7)7<9;`44>N3:560;8m1322900e9;9:188m<412900c:=i:188ygdb>3;187>50z&:37<3jo1/89757ba8 <5>2k=;7E:;6:lb71<19h1b88;50;9j000=831b88950;9l<0?=831vnn8<:087>5<7s-3<>7:mf:&70<<0kj1/5>75b628L1213gk8878>b:k710<722c?9;4?::k712<722e3944?::afge=93>1<7>t$851>d443-3857l80:J703=ii:>1:5<5<9m6=44}c310g<62=0:69u+9609004<@0obj10:66g4<ij6*;488;5==#1:31n:>4H565?kg4<3<:i6g;5483>>o3=?0;66g;5683>>i?=00;66s|ec:94?4|Vlh370m94;661>{t;hlm6=4={_1bbc=:k?>18884}r1bb`<72;qU?lhj;37a3`;==l4>::k0ec`=931b?lhj:088kf>42800nn6<:187>5<7s-3<>7:mf:&70<75b628L1213gk8878=0:k710<722c?9;4?::k712<722e3944?::p537f2909wS?91`9>g=5=<7}Y;hlm70m73;662>{t;hln6=4={_1bb`=:k1918894}ra;7?6=:rTh4>52c919<0?44>:583>5}#1>81m?=4$81:>g173A>?:6`n358564=n<3:17b9;90e9;::188m1312900e9;8:188k=3>2900qom95;390?6=8r.2;?4;bg9'01?=?ji0(4=6:c53?M23>2dj?949259j003=831b88850;9j001=831d48750;9~fgdb280?6=4?{%;46?g5;2.2?44m719K010>:7>5;h;12?6=3f=8j7>5;|`261e=93>1=7:t$851>1353A3=o6`n358563=nmk31=75f3`de>4<54;294~">?;0?nk5+45;9<4><,0926o9?;I672>hf;=0=>:5f44794?=n<<<1<75f44594?=h0<31<75rsd`:>5<5sWoi563l678710=z{:kmj7>52z\0ec`<5j<=69;9;|q0ecc=838pR>oie:?`23<3=>1vn89:181[e1>27h:;47589~yg75=80:694>:5y'=24=<<80D48l;oc00?0502c::oie;39?je?<3;17om74;290?6=8r.2;?4;bg9'01?=0820(4=6:c53?M23>2dj?949289j003=831b88850;9j001=831d48750;9~w406n3:1>vP>60d89f>32=?>7p}52z\0ecc<5j2?69;8;|q`<1<72;qUo5:4=b:7>=3>3twio?l51;694?6|,0=96l<<;%;0=?d082B?8;5aa26927g6=44i575>5<5<?;0j>95+92;9f26<@=>=7co<4;41f>o3=<0;66g;5783>>o>:?0;66a83g83>>{ejl31=7:50;2x <152=hm7):;9;5`g>">;00i;=5G4548jd532?8h7d::5;29?l22>3:17d::7;29?j>213:17pll6682>1<729q/5:<54cd8 12>2>ih7)7<9;`44>N3:563f8m1322900e9;9:188m1302900c5;6:188ygden3;187>50z&:3775b628L1213gk8878=e:k710<722c?9;4?::k:63<722e=93?1<7>t$851>1da3->?5799f:&:7<34a3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2604=93>1=7:t$851>1353A3=o6`n358575=n;hlm6<44i07ae?7=3`9jjh4>::m`<0<622hh484?:583>5}#1>818oh4$56:>=743-3857l80:J703=ii:>1:>?4i576>5<5<>;6s|c9794?4|Vj2>70m75;:6=>{zjj8h6<4;:183!?0:3k9?6*6388a35=O<=<0bl=;:711?l22=3:17d::6;29?l?5>3:17b90(4=6:c53?M23>2dj?949329j003=831b88850;9j=70=831d;>h50;9~fgcf280?6=4?{%;46?2en2.?8448cb9'=6?=j>:0D9:9;oc00?04<2c?984?::k713<722c?9:4?::m;1<<722wio;751;694?6|,0=969li;%67=?1dk2.2?44m719K010>:7>5;h663?6=3f2>57>5;|`ag5<62=0;6=u+9609e75<,0926o9?;I672>hf;=0=?;5f44794?=n<<<1<75f93494?=h?:l1<75rb007`?7=<3;18v*6738717=O1?i0bl=;:714?lcej3;17d=nfg82>>o4ioo1=75`c7c95?=ek?k1<7:50;2x <152=hm7):;9;:2<>">;00i;=5G4548jd532?937d::5;29?l22>3:17d::7;29?j>213:17p}jbc83>7}Ymkh01n8n:576?xu4iol1<7;d>h0?9;5rs2cea?6=:rT8mkk4=b4b>1303tyh:l4?:3y]g3g<5j602.2?44m719K010>:7>5;h663?6=3f2>57>5;|q2272=838pR<8=4:?`<3<3=<1v>oif;296~X4iol01n69:575?xu4ioo1<7;d0?0?9:5rsb:5>5<5sWi3:63l878;1<=zuki9h7?54;294~">?;0j>>5+92;9f26<@=>=7co<4;40f>o3=<0;66g;5783>>o>:?0;66a83g83>>{ej1;1=7:50;2x <152h8?7)7<9;`44>N3:562a8m1322900e9;9:188m<412900c:=i:188ygdbj3;187>50z&:37<3jo1/89757ba8 <5>2k=;7E:;6:lb71<1;m1b88;50;9j000=831b88950;9l<0?=831vnn8m:087>5<7s-3<>7:mf:&70<<0kj1/5>75b628L1213gk88781<7>t$851>d443-3857l80:J703=ii:>1:>h4i576>5<5<9m6=44}c310`<62=0:69u+9609004<@0objj0:66g4<ij6*;488;5==#1:31n:>4H565?kg4<3>o3=?0;66g;5683>>i?=00;66s|eca94?4|Vlhh70m9c;661>{t;hlm6=4={_1bbc=:k?i18884}r1bb`<72;qU?lhj;3253`;=>44>::k0ec`=931b?lhj:088kf>02800nn68:187>5<7s-3<>7:mf:&70<75b628L1213gk8878;3:k710<722c?9;4?::k712<722e3944?::p534>2909wS?9289>g=1=<7}Y;hlm70m77;662>{t;hln6=4={_1bb`=:k1=18894}ra;3?6=:rTh4:52c959<0?h4>:583>5}#1>81m?=4$81:>g173A>?:6`n358501=n<3:17b9=<0e9;::188m1312900e9;8:188k=3>2900qom9e;390?6=8r.2;?4;bg9'01?=?ji0(4=6:c53?M23>2dj?949469j003=831b88850;9j001=831d48750;9~fge5280?6=4?{%;46?g5;2.2?44m719K010>:7>5;h;12?6=3f=8j7>5;|``2c<62<0;6=u+96090g`<,=>26:8i;%;0=?d082B?8;5aa26921?6=44i575>5<5<o4iol1=75f14`e>4<54;294~">?;0?nk5+45;9<45<,0926o9?;I672>hf;=0=8o5f44794?=n<<<1<75f44594?=h0<31<75rs2ceb?6=:rT8mkh4=b:;>1323ty:9oh50;0xZ43en27h454;579~w6gam3:1>vP?2=?<7p}l8983>7}Yk1201n67:97:?x{ek;l1=7:50;2x <152h887)7<9;`44>N3:565a8m1322900e9;9:188m<412900c:=i:188ygd?;3;187>50z&:3775b628L1213gk8878;d:k710<722c?9;4?::k:63<722e1<7>t$851>1da3->?579lc:&:7<32b3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3thh;=4>:583>5}#1>818oh4$56:>2ed3-3857l80:J703=ii:>1:9h4i576>5<5<4H565?kg4<3<><6g;5483>>o3=?0;66g62783>>i0;o0;66smc6395?3=83:p(49=:5`e?!2313==j6*6388a35=O<=<0bl=;:772?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>24495?2=93>p(49=:571?M?1k2dj?949539j7d`a2800e<;l4;39?l5fnl0:66al8882>>dd000;694?:1y'=24=<90e9;::188m1312900e9;8:188k=3>2900q~=nfg83>7}Y;hlm70m79;661>{t9>34i3576:9:~ff57280?6=4?{%;46?g5;2.2?44m719K010>:7>5;h;12?6=3f=8j7>5;|`a<1<62=0;6=u+9609e72<,0926o9?;I672>hf;=0=985f44794?=n<<<1<75f93494?=h?:l1<75rbcgf>4<3290;w)782;6ab>"3<00=7co<4;462>o3=<0;66g;5783>>o3=>0;66a75883>>{ek>81=7:50;2x <152=hm7):;9;5`g>">;00i;=5G4548jd532??<7d::5;29?l22>3:17d::7;29?j>213:17plmc582>1<729q/5:<5a318 <5>2k=;7E:;6:lb71<1=11b88;50;9j000=831b5?850;9l36`=831vnn9<:086>5<7s-3<>7:mf:&70<<0>o1/5>75b628L1213gk8878:9:k710<722c?9;4?::k712<722c?954?::m;1<<722wi=?;8:087>4<3s-3<>7::2:J:2f=ii:>1:8o4i2ceb?7=3`;>o44>::k0ecc=931do5o51;9ag=g=83>1<7>t$851>1da3->?576>3:&:7<33e3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8mkh50;0xZ6gan27h4l4;549~w43d13:1>vP>5b;89f>f2=?=7p};d0h03945r}ca05?7=<3:14H565?kg4<3<>o6g;5483>>o3=?0;66g62783>>i0;o0;66smb9795?2=83:p(49=:`07?!?413h<<6F;479me62=>2dj?9495d9j003=831b88850;9j001=831d48750;9~ff13280?6=4?{%;46?2en2.?8448cb9'=6?=j>:0D9:9;oc00?02n2c?984?::k713<722c?9:4?::m;1<<722winn;51;694?6|,0=96l<<;%;0=?d082B?8;5aa2692366=44i575>5<5<?;0?nk5+45;933`<,0926o9?;I672>hf;=0=:<5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj88>47?54;390~">?;0?9?5G97a8jd532?<97d=nfg82>>o6=jo1=75f3`df>4<5<3290;w)782;6ab>"3<003=>5+92;9f26<@=>=7co<4;457>o3=<0;66g;5783>>o3=>0;66a75883>>{t;hlm6=4={_1bbc=:k1h188;4}r36g`<72;qU=8mj;2wx?lhj:181[5fnl16o5l54458yve?j3:1>vPl8c9>g=d=0<30qpll3382>1<729q/5:<5a318 <5>2k=;7E:;6:lb71<1>=1b88;50;9j000=831b5?850;9l36`=831vno69:087>5<7s-3<>7o=4:&:7<3023`>>97>5;h662?6=3`39:7>5;n50b?6=3thij=4>:583>5}#1>818oh4$56:>2ed3-3857l80:J703=ii:>1:;84i576>5<5<g173A>?:6`n358522=n<3:17b90:684?:1y'=24=?30e9;::188m1312900e9;8:188m13?2900c5;6:188yg75=00:694>:5y'=24=<<80D48l;oc00?01i2c8mkh51;9j50b42800e>oie;39?je?l3;17om7d;290?6=8r.2;?4;bg9'01?=0890(4=6:c53?M23>2dj?9496c9j003=831b88850;9j001=831d48750;9~w6gan3:1>vPc2=?>7p}>5e194?4|V8?o?63l8e8713=z{:kmi7>52z\0ecc<5j2o69;8;|q`=3>3twio>=51;694?6|,0=96l<<;%;0=?d082B?8;5aa26923e6=44i575>5<5<?;0j>95+92;9f26<@=>=7co<4;45`>o3=<0;66g;5783>>o>:?0;66a83g83>>{ejo;1=7:50;2x <152=hm7):;9;5`g>">;00i;=5G4548jd532?3:17d::7;29?j>213:17pll7882>1<729q/5:<54cd8 12>2>ih7)7<9;`44>N3:567d8m1322900e9;9:188m1302900c5;6:188ygdd?3;187>50z&:3775b628L1213gk887880:k710<722c?9;4?::k:63<722et$851>1da3->?5799f:&:7<3163`>>97>5;h662?6=3`>>;7>5;h6657>5;|``<`<62<0;6=u+96090g`<,=>26:8i;%;0=?d082B?8;5aa2692246=44i575>5<5<4H565?kg4<3<>o3=?0;66g62783>>i0;o0;66smb9:95?2=83:p(49=:`07?!?413h<<6F;479me62=>>>0e9;::188m1312900e4<9:188k25a2900qoli3;390?6=8r.2;?4;bg9'01?=?ji0(4=6:c53?M23>2dj?949749j003=831b88850;9j001=831d48750;9~ff1e280?6=4?{%;46?2en2.?8448cb9'=6?=j>:0D9:9;oc00?00>2c?984?::k713<722c?9:4?::m;1<<722winn751;694?6|,0=96l<<;%;0=?d082B?8;5aa2692216=44i575>5<5<?;0?nk5+45;933`<,0926o9?;I672>hf;=0=;55f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zjj2m6<4::183!?0:3>ij6*;48842c=#1:31n:>4H565?kg4<3<<56g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{ek:<1=7:50;2x <152h887)7<9;`44>N3:566c8m1322900e9;9:188m<412900c:=i:188ygd?i3;187>50z&:3775b628L1213gk88788b:k710<722c?9;4?::k:63<722et$56:>14?3-3857l80:&:370D9:9;oc00?00k2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wijk<51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`eb4<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa26922c5<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0=;k5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbgge>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4;4>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{enlo1=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532?2:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pliee82>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:56908m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`bi3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<10:1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<=93<1<7>t$56:>1453-3857l80:&:373>23`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thmi:4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1:584i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3585<2=n:1n1<75f27794?=n:>k1<75f8e294?=n:6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3<346g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm110a>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4;=>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e998j6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3<3m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm110:>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4;f>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99836<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3<3o6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1104>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4;`>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e998=6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3<3i6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1106>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4;b>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{enmk1=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532?3;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plid882>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:56838m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`c03;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<11;1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:373?33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thmh84>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1:4;4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3585=3=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3<2;6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smfe295?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:7;;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdako0:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#030e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qohle;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9499`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fcec280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?0>j2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wijh<51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`ea4<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa26925<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0=5h5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbgfe>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4:b>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{enmo1=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532?k;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plide82>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:56`38m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`d:3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<1i;1b>5j50;9j633=831b>:o50;9j8h50;9l:085>5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:373g33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thmnk4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1:l;4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3585e3=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smfcc95?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:7c;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdaj00:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#h30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qohm8;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?949a`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fcd0280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?0fj2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wijo851;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`ef0<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa2692db5<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0=mh5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbga:>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4bb>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{enj21=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532?h;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plic682>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:56c38m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`d>3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<1j;1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:373d33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thm5n4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1:o;4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3585f3=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smf8;95?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:7`;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xda110:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#k30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qoh65;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?949b`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fc?3280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?0ej2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wij4=51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`e=7<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa2692gb5<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0=nh5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbg;3>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4ab>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{enh21=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532?i;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plia682>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:56b38m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`f>3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<1k;1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:373e33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thmm>4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1:n;4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3585g3=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smf6`95?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:7a;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xda?h0:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#j30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qoh89;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?949c`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fc1?280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?0dj2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wij:;51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`e31<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa2692fb5<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0=oh5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbg51>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4`b>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{en>;1=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532?n;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pli7182>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:56e38m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`?l3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<1l;1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:373b33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thm4l4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1:i;4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3585`3=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smf7795?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:7f;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xda>=0:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#m30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qoh93;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?949d`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fc05280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?0cj2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wij;?51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`e25<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa2692ab5<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0=hh5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbg4`>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4gb>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{en?h1=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532?o;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pli6`82>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:56d38m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`113;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<1m;1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:373c33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thm894>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1:h;4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3585a3=n:1n1<75f27794?=n:>k1<75f8e294?=n:96<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smf5395?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:7g;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xda<90:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#l30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qoh:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?949e`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fc5d280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?0bj2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wij>l51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`e7d<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa2692`b5<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0=ih5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbg1;>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4fb>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{en=n1=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532?l;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pli4b82>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:56g38m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`3j3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<1n;1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:373`33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thm854>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1:k;4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3585b3=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smf3:95?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:7d;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xda:>0:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#o30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qoh=6;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?949f`9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fc42280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?0aj2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wij?<51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`e64<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa2692cb5<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0=jh5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbg3e>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;4eb>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{en8o1=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532>:;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pli1e82>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:57138m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`4=3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<08;1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:372633`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thm??4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1;=;4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n358443=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=;;6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm113b>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;53<>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99;26<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=;56g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm113;>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;53e>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99;<6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=;n6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1135>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;53g>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99;>6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=;h6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1131>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;53a>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99;:6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=;j6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1133>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;524>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99:m6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=:=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm112f>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;526>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99:o6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=:?6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1101>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;520>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e998:6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=:96g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1103>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;522>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99;m6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=:;6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm113f>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;52<>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99;o6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=:56g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm112b>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;52e>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99:26<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=:n6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm112;>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;52g>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99:<6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=:h6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1125>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;52a>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99:>6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=:j6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1121>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;514>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e99::6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=9=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1123>4<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;516>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{enol1=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532>887d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plifd82>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:57368m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`al3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<0:<1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:372403`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thmn=4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1;?64i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n35846<=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=9m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smf`f95?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:60a?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xda0<0:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#2900qoh74;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9482e9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fc>4280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?15m2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wij5<51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`e<4<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa2693665<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=04<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;506>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{eno31=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532>987d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plif982>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:57268m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg`a?3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<0;<1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:372503`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thm:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1;>64i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n35847<=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=8m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smf1a95?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:61a?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xda8k0:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#2900qoh?a;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?9483e9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f474:3;1:7>50z&70<<3:11/5>75b628 <152h8:7)796;;51>"3>h087E:;6:lb71<0;l1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:372273`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thm9o4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1;9?4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n358407=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=??6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smf4:95?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:667?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69;h1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>>>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>12295?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:665?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69:;1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>><7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>13d95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:66;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69;31=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>>27d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>13c95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:66b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69;21=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>>i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>13f95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:66`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69;o1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>>o7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>13495?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:66f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69;:1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>>m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>13595?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:673?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd698l1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>?:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>13695?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:671?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69;?1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>?87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>13195?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:677?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd698n1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>?>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>10g95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:675?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd698i1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>?<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>10`95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:67;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69;81=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>?27d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>10c95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:67b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd698>1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>?i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>10795?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:67`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69891=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>?o7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>10:95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:67f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69831=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>?m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>10595?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:643?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd698;1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532><:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>10095?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:641?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69921=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532><87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>11f95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:647?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd699o1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532><>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>11a95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:645?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd699<1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532><<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>11595?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:64;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd699?1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532><27d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>11`95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:64b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd699k1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>c83:17d<:f;29?j>c13:17pl>11695?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:64`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69991=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>c83:17d<:f;29?j>c13:17pl>0gf95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:64f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69981=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>c83:17d<:f;29?j>c13:17pl>0ga95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:653?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd699;1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>=:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0g`95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:651?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd699:1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>=87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0gc95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:657?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68ol1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>=>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0g;95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:655?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68o21=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>=<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0g095?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:65;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68o=1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>=27d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0g395?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:65b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68o<1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>=i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0g295?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:65`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68o?1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>=o7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0dd95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:65f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68o>1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>=m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0df95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6:3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68lo1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>2:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0da95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6:1?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68l>1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>287d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0d795?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6:7?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68l91=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>2>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0d095?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6:5?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68l31=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>2<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0d595?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6:;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68l;1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>227d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0d:95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6:b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68l:1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>2i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0e;95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6:`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68mk1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>2o7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0e:95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6:f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68mo1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>2m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0ed95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6;3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68m=1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>3:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0ef95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6;1?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68mi1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>387d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0e795?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6;7?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68m<1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>3>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0b`95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6;5?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68j:1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>3<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0e695?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6;;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xda8=0:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#327d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0c795?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6;b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdbnh0:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#3i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pljf882>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:6;`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdbn>0:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#3o7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pljf982>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:6;f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdbn?0:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#3m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pljf482>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:6c3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdbm00:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#k:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plje382>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:6c1?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdbm10:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#k87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plje682>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:6c7?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69;i1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>k>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plje082>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:6c5?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdbm?0:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#k<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>13395?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6c;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdbm90:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#k27d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plje482>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:6cb?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd698<1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>ki7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pljdg82>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:6c`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdbm=0:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#ko7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>11;95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6cf?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68oo1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>km7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0g195?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6`3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68mh1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>h:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0d495?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6`1?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68k>1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>h87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0c395?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6`7?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68m91=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>h>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0e095?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6`5?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68m;1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>h<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0bc95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6`;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68m:1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>h27d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0bd95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6`b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68j31=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>hi7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0b:95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6``?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68jo1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>ho7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0b595?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6`f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68jn1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>hm7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0b495?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6a3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68ji1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>i:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0cd95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6a1?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68j?1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>i87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0cg95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6a7?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68j>1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>i>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0cf95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6a5?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68j81=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>i<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0b195?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6a;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68j;1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>i27d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0c`95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6ab?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68ki1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>ii7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0c;95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6a`?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68k21=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>io7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>0cc95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:6af?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd68k<1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>im7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plj9d82>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:57e28m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg77j>0:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#2900qok6c;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?948d39j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f`?e280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?1c;2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wii4j51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`f=<<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa2693a35<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=04<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;5g3>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{em0<1=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532>n37d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plj9682>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:57e;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188ygc?i3;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<0lh1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:372bd3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thn4h4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1;ij4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3584``=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=oj6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sme9a95?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:6g3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdb0m0:6;4?:1y'01?=<;80(4=6:c53?!?0:3k9=6*66782`5=#2900qok75;392?6=8r.?844;239'=6?=j>:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?948e39j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f`>3280=6=4?{%67=?25:2.2?44m719'=24=i;;0(489:0f3?!21i390D9:9;oc00?1b;2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wii5=51;494?6|,=>269<=;%;0=?d082.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`f<4<62?0;6=u+45;9074<,0926o9?;%;46?g592.2:;4>d19'03g=;2B?8;5aa2693`35<5<5<?5+92;9f26<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=04<1290;w):;9;616>">;00i;=5+9609e77<,0<=6=7co<4;5f3>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{emh91=7850;2x 12>2=897)7<9;`44>">?;0j><5+97495a6<,=5G4548jd532>o37d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plja382>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:57d;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188ygcf83;1:7>50z&70<<3:;1/5>75b628 <152h8:7)796;3g4>"3>h087E:;6:lb71<0mh1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857l80:&:372cd3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thn4o4>:783>5}#<=318?<4$81:>g173-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1;hj4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7?k0:&72d<43A>?:6`n3584a`=n:1n1<75f27794?=n:>k1<75f8e294?=n:6<49:183!2313>9>6*6388a35=#1>81m??4$845>4b73->=m7=4H565?kg4<3=nj6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sme6c95?0=83:p(9:6:501?!?413h<<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:6d3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd69:21=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5G4548jd532>l:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plj7g82>3<729q/89754308 <5>2k=;7)782;c15>">>?0:h=5+47c97>N3:57g08m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg76;?0:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#2900qo?>3282>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c97>N3:57g68m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg76;>0:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#2900qo?89g82>6<729q/5:<5a348 <5>28=?<6F;479me62=?o<0e9l8:188m7042900c:=i:188yg701l0:6>4?:1y'=24=i;<0(4=6:0574>N3:57g58m1d02900e?8<:188k25a2900qo?87b82>1<729q/5:<5a308 <5>28=?<6F;479me62=?o20e?7m:188m7042900e4<9:188k25a2900qo?88e82>6<729q/5:<54cd8 12>218j7)7<9;3405=O<=<0bl=;:6d:?l22=3:17d::6;29?j>213:17pl>78f95?5=83:p(49=:`05?!?413;<8=5G4548jd532>lj7d:m7;29?l41;3:17b9h1=7:50;2x <152h897)7<9;3405=O<=<0bl=;:6da?l4>j3:17d<93;29?l?5>3:17b9">;00:;9>4H565?kg4<3=mo6g;5483>>o3=?0;66a75883>>{e9>3h6<4<:183!?0:3k9:6*63882316<@=>=7co<4;5e`>o3j>0;66g=6283>>i0;o0;66sm165b>4<3290;w)782;c16>">;00:;9>4H565?kg4<3=mi6g=9c83>>o5>:0;66g62783>>i0;o0;66sm16:a>4<4290;w)782;6ab>"3<003>l5+92;952273A>?:6`n3584bc=n<hf;=03<=5f4c594?=n:?91<75`72d94?=zj8=<57?54;294~">?;0j>?5+92;952273A>?:6`n358;44=n:0h1<75f27194?=n1;<1<75`72d94?=zj8=3m7?53;294~">?;0?nk5+45;9<7g<,0926<9;0:J703=ii:>14=<4i576>5<5<:283>5}#1>81m?84$81:>41382B?8;5aa269<555<9m6=44}c343=<62=0;6=u+9609e74<,0926<9;0:J703=ii:>14=:4i3;a>5<5<9m6=44}c34<<<62:0;6=u+96090g`<,=>265=623`>>97>5;h662?6=3f2>57>5;|`23t$851>d413-3857?8419K0109;h6a3?6=3`8=?7>5;n50b?6=3th:;:951;694?6|,0=96l<=;%;0=?70<91C8984n`17>=603`82n7>5;h057?6=3`39:7>5;n50b?6=3th:;5651;194?6|,0=969li;%67=?>5i2.2?44>7528L1213gk8876?8:k710<722c?9;4?::m;1<<722wi=:77:080>5<7s-3<>7o=6:&:7<<6?=:0D9:9;oc00?>712c?n:4?::k126<722e7528L1213gk8876?a:k1=g<722c9:>4?::k:63<722e028086=4?{%;46?2en2.?84472`9'=6?=9>>;7E:;6:lb7150z&:37751663?M23>2dj?9470b9j0g1=831b>;=50;9l36`=831vn<975;390?6=8r.2;?4n239'=6?=9>>;7E:;6:lb714l50;9j635=831b5?850;9l36`=831vn<966;397?6=8r.2;?4;bg9'01?=0;k0(4=6:0574>N3:581g8m1322900e9;9:188k=3>2900qo?8a682>6<729q/5:<5a348 <5>28=?<6F;479me62=09l0e9l8:188m7042900c:=i:188yg700=0:694?:1y'=24=i;80(4=6:0574>N3:58028m7?e2900e?8<:188m<412900c:=i:188yg701<0:6>4?:1y'=24=3:17b6:9;29?xd6?h<1=7=50;2x <152h8=7)7<9;3405=O<=<0bl=;:931?l2e?3:17d<93;29?j14n3:17pl>79195?2=83:p(49=:`01?!?413;<8=5G4548jd5321;87d<6b;29?l41;3:17d7=6;29?j14n3:17pl>78695?5=83:p(49=:5`e?!231329m6*63882316<@=>=7co<4;:20>o3=<0;66g;5783>>i?=00;66sm16c6>4<4290;w)782;c12>">;00:;9>4H565?kg4<32:96g;b683>>o5>:0;66a83g83>>{e9>296<4;:183!?0:3k9>6*63882316<@=>=7co<4;:22>o51k0;66g=6283>>o>:?0;66a83g83>>{e9>386<4<:183!?0:3>ij6*;488;6d=#1:31=::?;I672>hf;=03=:5f44794?=n<<<1<75`84;94?=zj8=j87?53;294~">?;0j>;5+92;952273A>?:6`n358;5==nhf;=03=45f28`94?=n:?91<75f93494?=h?:l1<75rb05:6?7=;3:141382B?8;5aa269<4g6=44i575>5<145<5<:583>5}#1>81m?<4$81:>41382B?8;5aa269<4e5<5<:283>5}#1>818oh4$56:>=4f3-3857?8419K010>:7>5;n:6=?6=3th:;l<51;194?6|,0=96l<9;%;0=?70<91C8984n`17>=7b3`>i;7>5;h057?6=3f=8j7>5;|`232`=93>1<7>t$851>d453-3857?8419K0105;h;12?6=3f=8j7>5;|`23<6=9391<7>t$851>1da3->?576=a:&:7<<6?=:0D9:9;oc00?>582c?984?::k713<722e3944?::a52g628086=4?{%;46?g5>2.2?44>7528L1213gk8876=1:k7f2<722c9:>4?::m47c<722wi=:9j:087>5<7s-3<>7o=2:&:7<<6?=:0D9:9;oc00?>5:2c95o4?::k126<722c2>;4?::m47c<722wi=:6i:080>5<7s-3<>7:mf:&70<751663?M23>2dj?947229j003=831b88850;9l<0?=831vn<9n0;397?6=8r.2;?4n279'=6?=9>>;7E:;6:lb71h50;9~f410l3;187>50z&:37751663?M23>2dj?947249j6;=50;9j=70=831d;>h50;9~f41?m3;1?7>50z&:37<3jo1/897583c8 <5>28=?<6F;479me62=0;<0e9;::188m1312900c5;6:188yg701>0:6>4?:1y'=24=i;<0(4=6:0574>N3:58358m1d02900e?8<:188k25a2900qo?87482>1<729q/5:<5a308 <5>28=?<6F;479me62=0;20e?7m:188m7042900e4<9:188k25a2900qo?88782>6<729q/5:<54cd8 12>218j7)7<9;3405=O<=<0bl=;:90:?l22=3:17d::6;29?j>213:17pl>77`95?5=83:p(49=:`05?!?413;<8=5G4548jd53218j7d:m7;29?l41;3:17b975:95?2=83:p(49=:`01?!?413;<8=5G4548jd53218h7d<6b;29?l41;3:17d7=6;29?j14n3:17pl>74;95?5=83:p(49=:5`e?!231329m6*63882316<@=>=7co<4;:1`>o3=<0;66g;5783>>i?=00;66sm164:>4<4290;w)782;c12>">;00:;9>4H565?kg4<329i6g;b683>>o5>:0;66a83g83>>{e9>><6<4;:183!?0:3k9>6*63882316<@=>=7co<4;:1b>o51k0;66g=6283>>o>:?0;66a83g83>>{e9>?36<4<:183!?0:3>ij6*;488;6d=#1:31=::?;I672>hf;=03?=5f44794?=n<<<1<75`84;94?=zj8==47?53;294~">?;0j>;5+92;952273A>?:6`n358;74=nhf;=03??5f28`94?=n:?91<75f93494?=h?:l1<75rb0563?7=;3:141382B?8;5aa269<656=44i575>5<14>:4i5`4>5<5<:583>5}#1>81m?<4$81:>41382B?8;5aa269<635<5<:283>5}#1>818oh4$56:>=4f3-3857?8419K010>:7>5;n:6=?6=3th:;;851;194?6|,0=96l<9;%;0=?70<91C8984n`17>=503`>i;7>5;h057?6=3f=8j7>5;|`2312=93>1<7>t$851>d453-3857?8419K0105;h;12?6=3f=8j7>5;|`2303=9391<7>t$851>1da3->?576=a:&:7<<6?=:0D9:9;oc00?>412c?984?::k713<722e3944?::a520228086=4?{%;46?g5>2.2?44>7528L1213gk88764?::m47c<722wi=::<:087>5<7s-3<>7o=2:&:7<<6?=:0D9:9;oc00?>4j2c95o4?::k126<722c2>;4?::m47c<722wi=:;;:080>5<7s-3<>7:mf:&70<751663?M23>2dj?9473b9j003=831b88850;9l<0?=831vn<994;397?6=8r.2;?4n279'=6?=9>>;7E:;6:lb71h50;9~f413:3;187>50z&:37751663?M23>2dj?9473d9j6;=50;9j=70=831d;>h50;9~f412;3;1?7>50z&:37<3jo1/897583c8 <5>28=?<6F;479me62=0:l0e9;::188m1312900c5;6:188yg70?=0:6>4?:1y'=24=i;<0(4=6:0574>N3:58528m1d02900e?8<:188k25a2900qo?85082>1<729q/5:<5a308 <5>28=?<6F;479me62=0=;0e?7m:188m7042900e4<9:188k25a2900qo?86382>6<729q/5:<54cd8 12>218j7)7<9;3405=O<=<0bl=;:961?l22=3:17d::6;29?j>213:17pl>76195?5=83:p(49=:`05?!?413;<8=5G4548jd5321>87d:m7;29?l41;3:17b9j3:17d<93;29?l?5>3:17b9">;00:;9>4H565?kg4<32?96g;5483>>o3=?0;66a75883>>{e9>=96<4<:183!?0:3k9:6*63882316<@=>=7co<4;:72>o3j>0;66g=6283>>i0;o0;66sm166e>4<3290;w)782;c16>">;00:;9>4H565?kg4<32?;6g=9c83>>o5>:0;66g62783>>i0;o0;66sm1643>4<4290;w)782;6ab>"3<003>l5+92;952273A>?:6`n358;0==n<hf;=03845f4c594?=n:?91<75`72d94?=zj8=?i7?54;294~">?;0j>?5+92;952273A>?:6`n358;0d=n:0h1<75f27194?=n1;<1<75`72d94?=zj8=>j7?53;294~">?;0?nk5+45;9<7g<,0926<9;0:J703=ii:>149l4i576>5<5<:283>5}#1>81m?84$81:>41382B?8;5aa269<1e5<9m6=44}c340a<62=0;6=u+9609e74<,0926<9;0:J703=ii:>149j4i3;a>5<5<9m6=44}c341`<62:0;6=u+96090g`<,=>265=2b3`>>97>5;h662?6=3f2>57>5;|`233`=9391<7>t$851>d413-3857?8419K0105;n50b?6=3th:;9m51;694?6|,0=96l<=;%;0=?70<91C8984n`17>=373`82n7>5;h057?6=3`39:7>5;n50b?6=3th:;8j51;194?6|,0=969li;%67=?>5i2.2?44>7528L1213gk8876:1:k710<722c?9;4?::m;1<<722wi=:8j:080>5<7s-3<>7o=6:&:7<<6?=:0D9:9;oc00?>2:2c?n:4?::k126<722e7528L1213gk8876:3:k1=g<722c9:>4?::k:63<722e>;7E:;6:lb7150z&:37751663?M23>2dj?947549j0g1=831b>;=50;9l36`=831vn<9;a;390?6=8r.2;?4n239'=6?=9>>;7E:;6:lb714l50;9j635=831b5?850;9l36`=831vn<9:b;397?6=8r.2;?4;bg9'01?=0;k0(4=6:0574>N3:58458m1322900e9;9:188k=3>2900qo?86b82>6<729q/5:<5a348 <5>28=?<6F;479me62=0<20e9l8:188m7042900c:=i:188yg70<00:694?:1y'=24=i;80(4=6:0574>N3:584;8m7?e2900e?8<:188m<412900c:=i:188yg70=h0:6>4?:1y'=24=3:17b6:9;29?xd6??91=7=50;2x <152h8=7)7<9;3405=O<=<0bl=;:97a?l2e?3:17d<93;29?j14n3:17pl>75395?2=83:p(49=:`01?!?413;<8=5G4548jd5321?h7d<6b;29?l41;3:17d7=6;29?j14n3:17pl>74095?5=83:p(49=:5`e?!231329m6*63882316<@=>=7co<4;:6`>o3=<0;66g;5783>>i?=00;66sm19;a>4<1290;w):;9;61<>">;00:;9>4$851>d463-3=:7795:&72d5<5<5<:783>5}#<=318?64$81:>41382.2;?4n209'=30=1??0(98n:968L1213gk8876:f:k17528 <152h8:7)796;;51>"3>h087E:;6:lb7191b>5j50;9j633=831b>:o50;9j8h50;9l>;7)782;c15>">>?02:85+47c97>N3:58738m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7?1>0:6;4?:1y'01?=<;20(4=6:0574>">?;0j><5+9749=33<,=5G4548jd5321<97d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>88;95?0=83:p(9:6:50;?!?413;<8=5+9609e77<,0<=648:;%65e?5<@=>=7co<4;:57>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e913=6<49:183!2313>946*63882316<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=03:95f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0::1?7=>3:15<5<5<4>:783>5}#<=318?64$81:>41382.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2t$56:>14?3-3857?8419'=24=i;;0(489:846?!21i390D9:9;oc00?>1?2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=57;:085>5<7s->?57:=8:&:7<<6?=:0(49=:`02?!?1>33=96*;6`80?M23>2dj?947699j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4>f93;1:7>50z&70<<3:11/5>751663?!?0:3k9=6*6678:20=#2900qo?7a182>3<729q/897543:8 <5>28=?<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:94b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd600o1=7850;2x 12>2=837)7<9;3405=#1>81m??4$845><023->=m7=4H565?kg4<32=n6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm19;g>4<1290;w):;9;61<>">;00:;9>4$851>d463-3=:7795:&72d<43A>?:6`n358;2f=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;952273-3<>7o=1:&:23<>><1/8;o53:J703=ii:>14;j4i3:g>5<6=44i35b>5<5<<,0926<9;0:&:37=0b3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:44=51;494?6|,=>269<7;%;0=?70<91/5:<5a338 <0120<>7):9a;18L1213gk88769f:k17528 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l>;7)782;c15>">>?02:85+47c97>N3:58638m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7??<0:6;4?:1y'01?=<;20(4=6:0574>">?;0j><5+9749=33<,=5G4548jd5321=97d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>86195?0=83:p(9:6:50;?!?413;<8=5+9609e77<,0<=648:;%65e?5<@=>=7co<4;:47>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e91=96<49:183!2313>946*63882316<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=03;95f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0:40?7=>3:15<5<5<:783>5}#<=318?64$81:>41382.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2<26=93<1<7>t$56:>14?3-3857?8419'=24=i;;0(489:846?!21i390D9:9;oc00?>0?2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=59j:085>5<7s->?57:=8:&:7<<6?=:0(49=:`02?!?1>33=96*;6`80?M23>2dj?947799j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4>0l3;1:7>50z&70<<3:11/5>751663?!?0:3k9=6*6678:20=#30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo?77g82>3<729q/897543:8 <5>28=?<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:95b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd60>i1=7850;2x 12>2=837)7<9;3405=#1>81m??4$845><023->=m7=4H565?kg4<32>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm195a>4<1290;w):;9;61<>">;00:;9>4$851>d463-3=:7795:&72d<43A>?:6`n358;3f=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;952273-3<>7o=1:&:23<>><1/8;o53:J703=ii:>14:j4i3:g>5<6=44i35b>5<5<<,0926<9;0:&:37=1b3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:4:o51;494?6|,=>269<7;%;0=?70<91/5:<5a338 <0120<>7):9a;18L1213gk88768f:k17528 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l>;7)782;c15>">>?02:85+47c97>N3:58938m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7?=k0:6;4?:1y'01?=<;20(4=6:0574>">?;0j><5+9749=33<,=5G4548jd5321297d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>84c95?0=83:p(9:6:50;?!?413;<8=5+9609e77<,0<=648:;%65e?5<@=>=7co<4;:;7>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e91ni6<49:183!2313>9>6*63882316<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=03495f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0:ge?7=>3:1d19'03g=;2B?8;5aa269<=35<5<5<:783>5}#<=318?<4$81:>41382.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2=93<1<7>t$56:>1453-3857?8419'=24=i;;0(489:0f3?!21i390D9:9;oc00?>??2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=5j8:085>5<7s->?57:=2:&:7<<6?=:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?947899j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4>c>3;1:7>50z&70<<3:;1/5>751663?!?0:3k9=6*66782`5=#2900qo?7e282>3<729q/89754308 <5>28=?<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:9:b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd60l81=7850;2x 12>2=897)7<9;3405=#1>81m??4$845>4b73->=m7=4H565?kg4<323n6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm19g2>4<1290;w):;9;616>">;00:;9>4$851>d463-3=:7?k0:&72d<43A>?:6`n358;k1<75f8e294?=n:?5+92;952273-3<>7o=1:&:23<6l91/8;o53:J703=ii:>145j4i3:g>5<6=44i35b>5<5<=>b3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:4ik51;494?6|,=>269<=;%;0=?70<91/5:<5a338 <0128n;7):9a;18L1213gk88767f:k17528 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l>;7)782;c15>">>?0:h=5+47c97>N3:58838m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7?l<0:6;4?:1y'01?=<;80(4=6:0574>">?;0j><5+97495a6<,=5G4548jd5321397d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>8e695?0=83:p(9:6:501?!?413;<8=5+9609e77<,0<=6=7co<4;::7>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e91hi6<49:183!2313>9>6*63882316<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=03595f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0:ae?7=>3:1d19'03g=;2B?8;5aa269<<35<5<5<:783>5}#<=318?<4$81:>41382.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2=93<1<7>t$56:>1453-3857?8419'=24=i;;0(489:0f3?!21i390D9:9;oc00?>>?2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=5l8:085>5<7s->?57:=2:&:7<<6?=:0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?947999j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4>e>3;1:7>50z&70<<3:;1/5>751663?!?0:3k9=6*66782`5=#2900qo?7c282>3<729q/89754308 <5>28=?<6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:9;b?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd60j81=7850;2x 12>2=897)7<9;3405=#1>81m??4$845>4b73->=m7=4H565?kg4<322n6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm19a2>4<1290;w):;9;616>">;00:;9>4$851>d463-3=:7?k0:&72d<43A>?:6`n358;=f=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;952273-3<>7o=1:&:23<6l91/8;o53:J703=ii:>144j4i3:g>5<6=44i35b>5<5<=?b3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:4ok51;494?6|,=>269<=;%;0=?70<91/5:<5a338 <0128n;7):9a;18L1213gk88766f:k17528 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l>;7)782;c15>">>?0:h=5+47c97>N3:58`38m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7?j<0:6;4?:1y'01?=<;80(4=6:0574>">?;0j><5+97495a6<,=5G4548jd5321k97d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>8c695?0=83:p(9:6:501?!?413;<8=5+9609e77<,0<=6=7co<4;:b7>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e91?<6<49:183!2313>946*63882316<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=03m95f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0:60?7=>3:15<5<5<:783>5}#<=318?64$81:>41382.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2<5g=93<1<7>t$56:>14?3-3857?8419'=24=i;;0(489:846?!21i390D9:9;oc00?>f?2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=5>;:085>5<7s->?57:=8:&:7<<6?=:0(49=:`02?!?1>33=96*;6`80?M23>2dj?947a99j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4>4:3;1:7>50z&70<<3:11/5>751663?!?0:3k9=6*6678:20=#c83:17d<:f;29?j>c13:17pl>83d95?0=83:p(9:6:50;?!?413;<8=5+9609e77<,0<=648:;%65e?5<@=>=7co<4;:be>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9>ln6<49:183!2313>946*63882316<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=03mo5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0:35?7=>3:15<5<5<:783>5}#<=318?64$81:>41382.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2<7?=93<1<7>t$56:>14?3-3857?8419'=24=i;;0(489:846?!21i390D9:9;oc00?>fm2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=55<7s->?57:=8:&:7<<6?=:0(49=:`02?!?1>33=96*;6`80?M23>2dj?947ag9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4>5>3;1:7>50z&70<<3:11/5>751663?!?0:3k9=6*6678:20=#2900qo?8f482>3<729q/897543:8 <5>28=?<6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:9`2?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6?o21=7850;2x 12>2=837)7<9;3405=#1>81m??4$845><023->=m7=4H565?kg4<32i>6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1900>4<1290;w):;9;61<>">;00:;9>4$851>d463-3=:7795:&72d<43A>?:6`n358;f6=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;952273-3<>7o=1:&:23<>><1/8;o53:J703=ii:>14o:4i3:g>5<6=44i35b>5<5<<,0926<9;0:&:37=d23`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:;hj51;494?6|,=>269<7;%;0=?70<91/5:<5a338 <0120<>7):9a;18L1213gk8876m6:k17528 <152h8:7)796;;51>"3>h087E:;6:lb711b>5j50;9j633=831b>:o50;9j8h50;9l>;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:9`;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd60=31=7850;2x 12>2=837)7<9;3405=#1>81m??4$845><023->=m7=4H565?kg4<32i56g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm16g4>4<1290;w):;9;61<>">;00:;9>4$851>d463-3=:7795:&72d<43A>?:6`n358;fd=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;952273-3<>7o=1:&:23<>><1/8;o53:J703=ii:>14ol4i3:g>5<6=44i35b>5<5<<,0926<9;0:&:37=dd3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:;h:51;494?6|,=>269<7;%;0=?70<91/5:<5a338 <0120<>7):9a;18L1213gk8876md:k17528 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l>;7)782;c15>">>?02:85+47c97>N3:58cd8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7?<:0:6;4?:1y'01?=<;20(4=6:0574>">?;0j><5+9749=33<,=5G4548jd5321i;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>82c95?0=83:p(9:6:50;?!?413;<8=5+9609e77<,0<=648:;%65e?5<@=>=7co<4;:`5>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e919<6<49:183!2313>946*63882316<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=03o?5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0:0`?7=>3:15<5<5<:783>5}#<=318?64$81:>41382.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2<1`=93<1<7>t$56:>1453-3857?8419'=24=i;;0(489:0f3?!21i390D9:9;oc00?>d=2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=5<=:085>5<7s->?57:=8:&:7<<6?=:0(49=:`02?!?1>33=96*;6`80?M23>2dj?947c79j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fd06280?6=4?{%;46?2en2.?8448cb9'=6?=i=n0D9:9;oc00?>d?2c?984?::k713<722c?9:4?::m;1<<722wim;<51;694?6|,0=969li;%67=?1dk2.2?44n4e9K010>:7>5;h663?6=3f2>57>5;|`b25<62=0;6=u+9609e75<,0926l:k;I672>hf;=03o45f44794?=n<<<1<75f93494?=h?:l1<75rb`7f>4<3290;w)782;6ab>"3<00=7co<4;:`e>o3=<0;66g;5783>>o3=>0;66a75883>>{ei">;00j8i5G4548jd5321ii7d::5;29?l22>3:17d::7;29?j>213:17pln5e82>1<729q/5:<5a318 <5>2h>o7E:;6:lb715<7s-3<>7:mf:&70<<0kj1/5>75a5f8L1213gk8876ld:k710<722c?9;4?::k712<722e3944?::ae0e=93>1<7>t$851>1da3->?579lc:&:7<=eb3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3thj9l4>:583>5}#1>81m?=4$81:>d2c3A>?:6`n358;gc=n<ij6*;4884gf=#1:31m9j4H565?kg4<32o<6g;5483>>o3=?0;66g;5683>>i?=00;66sma4;95?2=83:p(49=:5`e?!2313=ho6*6388b0a=O<=<0bl=;:9f2?l22=3:17d::6;29?l22?3:17b6:9;29?xdf=>0:694?:1y'=24=i;90(4=6:`6g?M23>2dj?947d39j003=831b88850;9j=70=831d;>h50;9~fd32280?6=4?{%;46?2en2.?8448cb9'=6?=i=n0D9:9;oc00?>c;2c?984?::k713<722c?9:4?::m;1<<722wim8851;694?6|,0=969li;%67=?1dk2.2?44n4e9K010>:7>5;h663?6=3f2>57>5;|`b11<62=0;6=u+9609e75<,0926l:k;I672>hf;=03h85f44794?=n<<<1<75f93494?=h?:l1<75rb`71>4<3290;w)782;6ab>"3<00=7co<4;:g2>o3=<0;66g;5783>>o3=>0;66a75883>>{ei<91=7:50;2x <152=hm7):;9;5`g>">;00j8i5G4548jd5321n<7d::5;29?l22>3:17d::7;29?j>213:17pln5082>1<729q/5:<5a318 <5>2h>o7E:;6:lb715<7s-3<>7:mf:&70<<0kj1/5>75a5f8L1213gk8876k9:k710<722c?9;4?::k712<722e3944?::ae2b=93>1<7>t$851>1da3->?579lc:&:7<=bf3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3thj;o4>:583>5}#1>81m?=4$81:>d2c3A>?:6`n358;`g=n<ij6*;4884gf=#1:31m9j4H565?kg4<32oo6g;5483>>o3=?0;66g;5683>>i?=00;66sma6c95?2=83:p(49=:5`e?!2313=ho6*6388b0a=O<=<0bl=;:9fg?l22=3:17d::6;29?l22?3:17b6:9;29?xdf?10:694?:1y'=24=i;90(4=6:`6g?M23>2dj?947dd9j003=831b88850;9j=70=831d;>h50;9~fd11280?6=4?{%;46?2en2.?8448cb9'=6?=i=n0D9:9;oc00?>cn2c?984?::k713<722c?9:4?::m;1<<722wim:951;694?6|,0=969li;%67=?1dk2.2?44n4e9K010>:7>5;h663?6=3f2>57>5;|`b30<62=0;6=u+9609e75<,0926l:k;I672>hf;=03i<5f44794?=n<<<1<75f93494?=h?:l1<75rb`50>4<3290;w)782;6ab>"3<00=7co<4;:f6>o3=<0;66g;5783>>o3=>0;66a75883>>{ei>>1=7:50;2x <152=hm7):;9;5`g>">;00j8i5G4548jd5321o87d::5;29?l22>3:17d::7;29?j>213:17pln7382>1<729q/5:<5a318 <5>2h>o7E:;6:lb715<7s-3<>7:mf:&70<<0kj1/5>75a5f8L1213gk8876j5:k710<722c?9;4?::k712<722e3944?::ae27=93>1<7>t$851>1da3->?579lc:&:7<=c13`>>97>5;h662?6=3`>>;7>5;n:6=?6=3thj:k4>:583>5}#1>81m?=4$81:>d2c3A>?:6`n358;a2=n<ij6*;4884gf=#1:31m9j4H565?kg4<32n46g;5483>>o3=?0;66g;5683>>i?=00;66sma7g95?2=83:p(49=:5`e?!2313=ho6*6388b0a=O<=<0bl=;:9g:?l22=3:17d::6;29?l22?3:17b6:9;29?xdf>j0:694?:1y'=24=i;90(4=6:`6g?M23>2dj?947e`9j003=831b88850;9j=70=831d;>h50;9~fd0f280?6=4?{%;46?2en2.?8448cb9'=6?=i=n0D9:9;oc00?>bj2c?984?::k713<722c?9:4?::m;1<<722wim;l51;694?6|,0=969li;%67=?1dk2.2?44n4e9K010>:7>5;h663?6=3f2>57>5;|`b2<<62=0;6=u+9609e75<,0926l:k;I672>hf;=03ii5f44794?=n<<<1<75f93494?=h?:l1<75rb`44>4<3290;w)782;6ab>"3<00=7co<4;:fa>o3=<0;66g;5783>>o3=>0;66a75883>>{ei?21=7:50;2x <152=hm7):;9;5`g>">;00j8i5G4548jd5321om7d::5;29?l22>3:17d::7;29?j>213:17pln6782>1<729q/5:<5a318 <5>2h>o7E:;6:lb715<7s-3<>7:mf:&70<<0kj1/5>75a5f8L1213gk8876i1:k710<722c?9;4?::k712<722e3944?::ae06=93>1<7>t$851>1da3->?579lc:&:7<=`53`>>97>5;h662?6=3`>>;7>5;n:6=?6=3thj8h4>:583>5}#1>81m?=4$81:>d2c3A>?:6`n358;b6=n<ij6*;4884gf=#1:31m9j4H565?kg4<32m86g;5483>>o3=?0;66g;5683>>i?=00;66sma7795?2=83:p(49=:5`e?!2313=ho6*6388b0a=O<=<0bl=;:9d6?l22=3:17d::6;29?l22?3:17b6:9;29?xdf>:0:694?:1y'=24=i;90(4=6:`6g?M23>2dj?947f79j003=831b88850;9j=70=831d;>h50;9~fde3280=6=4?{%67=?25:2.2?44n4e9'=24=i;;0(489:0f3?!21i390D9:9;oc00?>a?2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wimn=51;494?6|,=>269<=;%;0=?g3l2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`bg7<62?0;6=u+45;9074<,0926l:k;%;46?g592.2:;4>d19'03g=;2B?8;5aa2695<5<5<?5+92;9e1b<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=03jl5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb`a3>4<1290;w):;9;616>">;00j8i5+9609e77<,0<=6=7co<4;:ef>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{eikl1=7850;2x 12>2=897)7<9;c7`>">?;0j><5+97495a6<,=5G4548jd5321lh7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plncb82>3<729q/89754308 <5>2h>o7)782;c15>">>?0:h=5+47c97>N3:58gf8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yggdj3;1:7>50z&70<<3:;1/5>75a5f8 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857o;d:&:37<673`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thjo54>:783>5}#<=318?<4$81:>d2c3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>15=?4i3:g>5<6=44i35b>5<5<3:1d463-3=:7?k0:&72d<43A>?:6`n358:47=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388b0a=#1>81m??4$845>4b73->=m7=4H565?kg4<33;?6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smab795?0=83:p(9:6:501?!?413k?h6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:827?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdfjl0:6;4?:1y'01?=<;80(4=6:`6g?!?0:3k9=6*66782`5=#2900qoomd;392?6=8r.?844;239'=6?=i=n0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?946079j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fdg5280=6=4?{%67=?25:2.2?44n4e9'=24=i;;0(489:0f3?!21i390D9:9;oc00??7?2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wiml?51;494?6|,=>269<=;%;0=?g3l2.2;?4n209'=30=9m:0(98n:29K0107;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`be5<62?0;6=u+45;9074<,0926l:k;%;46?g592.2:;4>d19'03g=;2B?8;5aa269=5?5<5<5<?5+92;9e1b<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=024<1290;w):;9;616>">;00j8i5+9609e77<,0<=6=7co<4;;3f>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{ei0n1=7850;2x 12>2=897)7<9;c7`>">?;0j><5+97495a6<,=5G4548jd5320:h7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plna`82>3<729q/89754308 <5>2h>o7)782;c15>">>?0:h=5+47c97>N3:591f8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yggf13;1:7>50z&70<<3:;1/5>75a5f8 <152h8:7)796;3g4>"3>h087E:;6:lb71<>8l1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857o;d:&:37<773`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thjm;4>:783>5}#<=318?<4$81:>d2c3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>155<6=44i35b>5<5<3:1d463-3=:7?k0:&72d<43A>?:6`n358:57=n:1n1<75f27794?=n:>k1<75f8e294?=n:9>6*6388b0a=#1>81m??4$845>4b73->=m7=4H565?kg4<33:?6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sma`195?0=83:p(9:6:501?!?413k?h6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:837?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdf1j0:6;4?:1y'01?=<;80(4=6:`6g?!?0:3k9=6*66782`5=#2900qoo6b;392?6=8r.?844;239'=6?=i=n0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?946179j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fg3c280=6=4?{%67=?2502.2?44n4e9'=24=i;;0(489:846?!21i32?7E:;6:lb71<>9>1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=8:&:7<7):9a;18L1213gk8877>8:k1t$56:>14?3-3857o;d:&:370D9:9;oc00??612c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722win8o51;494?6|,=>269<7;%;0=?g3l2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`a1<<62?0;6=u+45;907><,0926l:k;%;46?g592.2:;46649'03g=;2B?8;5aa269=4d5<5<5<47?56;294~"3<00?>55+92;9e1b<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=02=n5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbc46>4<1290;w):;9;61<>">;00j8i5+9609e77<,0<=648:;%65e?5<@=>=7co<4;;2`>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{ej?>1=7850;2x 12>2=837)7<9;c7`>">?;0j><5+9749=33<,=5G4548jd5320;n7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plm6282>3<729q/897543:8 <5>2h>o7)782;c15>">>?02:85+47c97>N3:590d8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188ygd1:3;1:7>50z&70<<3:11/5>75a5f8 <152h8:7)796;;51>"3>h087E:;6:lb71<>:91b>5j50;9j633=831b>:o50;9j8h50;9l:085>5<7s->?57:=8:&:7<7):9a;18L1213gk8877=1:k1t$56:>14?3-3857o;d:&:37<453`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thi9k4>:783>5}#<=318?64$81:>d2c3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>15?=4i3:g>5<6=44i35b>5<5<3:1d463-3=:7795:&72d<43A>?:6`n358:61=n:1n1<75f27794?=n:>k1<75f8e294?=n:946*6388b0a=#1>81m??4$845><023->=m7=4H565?kg4<33996g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smb4495?0=83:p(9:6:50;?!?413k?h6*6738b64=#1?<15;;4$54b>=2<@=>=7co<4;;12>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{ei1>1=7850;2x 12>2=897)7<9;c7`>">?;0j><5+97495a6<,=5G4548jd53208<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pln8282>3<729q/89754308 <5>2h>o7)782;c15>">>?0:h=5+47c97>N3:593:8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188ygg?:3;1:7>50z&70<<3:;1/5>75a5f8 <152h8:7)796;3g4>"3>h087E:;6:lb71<>:01b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=8:&:7<7):9a;:7?M23>2dj?9462`9j6=b=83B?mh54i346>5<5<5<?5+92;9e1b<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=02>o5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rbc0e>4<1290;w):;9;616>">;00j8i5+9609e77<,0<=6=7co<4;;1g>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{ej;o1=7850;2x 12>2=897)7<9;c7`>">?;0j><5+97495a6<,=5G4548jd53208o7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plm2e82>3<729q/89754308 <5>2h>o7)782;c15>">>?0:h=5+47c97>N3:593g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188ygd5k3;1:7>50z&70<<3:;1/5>75a5f8 <152h8:7)796;3g4>"3>h087E:;6:lb71<>:o1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=2:&:7<t$56:>1453-3857o;d:&:37<563`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3thi>44>:783>5}#<=318?<4$81:>d2c3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>15><4i3:g>5<6=44i35b>5<5<3:1d463-3=:7795:&72d5<5<5<55+92;9e1b<,0=96l<>;%;52??1=2.?:l474:J703=ii:>15>:4i3:g>5<6=44i35b>5<5<3:1d463-3=:7795:&72d5<5<5<55+92;9e1b<,0=96l<>;%;52??1=2.?:l474:J703=ii:>15>84i3:g>5<6=44i35b>5<5<3:1d463-3=:7795:&72d5<5<5<55+92;9e1b<,0=96l<>;%;52??1=2.?:l474:J703=ii:>15>64i3:g>5<6=44i35b>5<5<3:1d463-3=:7795:&72d5<5<5<55+92;9e1b<,0=96l<>;%;52??1=2.?:l474:J703=ii:>15>o4i3:g>5<6=44i35b>5<5<3:1d463-3=:7795:&72d5<5<5<55+92;9e1b<,0=96l<>;%;52??1=2.?:l474:J703=ii:>15>m4i3:g>5<6=44i35b>5<5<3:1d463-3=:7795:&72d5<5<5<55+92;9e1b<,0=96l<>;%;52??1=2.?:l474:J703=ii:>15>k4i3:g>5<6=44i35b>5<5<3:1d463-3=:7795:&72d5<5<5<55+92;9e1b<,0=96l<>;%;52??1=2.?:l474:J703=ii:>159>4i3:g>5<6=44i35b>5<5<3:1d463-3=:7795:&72d5<5<5<55+92;9e1b<,0=96l<>;%;52??1=2.?:l474:J703=ii:>159<4i3:g>5<6=44i35b>5<5<159=4i5`4>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=125<9m6=44}c3gbg<62=0;6=u+9609e74<,0926159;4i3;a>5<5<9m6=44}c3f5a<62:0;6=u+9609e70<,092615984i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=115<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=1>5<9m6=44}c3f4g<62=0;6=u+9609e74<,092615974i3;a>5<5<9m6=44}c3f5g<62:0;6=u+9609e70<,0926159o4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=1d5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=1e5<9m6=44}c3f42<62=0;6=u+9609e74<,0926159j4i3;a>5<5<9m6=44}c3f5<<62:0;6=u+9609e70<,0926159k4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=1`5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=065<9m6=44}c3f46<62=0;6=u+9609e74<,0926158?4i3;a>5<5<9m6=44}c3f52<62:0;6=u+9609e70<,0926158<4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=055<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=025<9m6=44}c3gbc<62=0;6=u+9609e74<,0926158;4i3;a>5<5<9m6=44}c3f50<62:0;6=u+9609e70<,092615884i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=015<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=0>5<9m6=44}c3gbd<62=0;6=u+9609e74<,092615874i3;a>5<5<9m6=44}c3`a6<62:0;6=u+9609e70<,0926158o4i5`4>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=0d5<9m6=44}c3`g2<62=0;6=u+9609e74<,0926158m4i3;a>5<5<9m6=44}c3`a4<62:0;6=u+9609e70<,0926158j4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=0c5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=0`5<9m6=44}c3`g6<62=0;6=u+9609e74<,092615;>4i3;a>5<5<9m6=44}c3``c<62:0;6=u+9609e70<,092615;?4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=345<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=355<9m6=44}c3`fc<62=0;6=u+9609e74<,092615;:4i3;a>5<5<9m6=44}c3`aa<62:0;6=u+9609e70<,092615;;4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=305<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=315<9m6=44}c3``2<62=0;6=u+9609e74<,092615;64i3;a>5<5<9m6=44}c3`ag<62:0;6=u+9609e70<,092615;74i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=3g5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=3d5<9m6=44}c3``6<62=0;6=u+9609e74<,092615;m4i3;a>5<5<9m6=44}c3`a<<62:0;6=u+9609e70<,092615;j4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=3c5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=3`5<9m6=44}c3`gc<62=0;6=u+9609e74<,092615:>4i3;a>5<5<9m6=44}c3`a2<62:0;6=u+9609e70<,092615:?4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=245<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=255<9m6=44}c3`gg<62=0;6=u+9609e74<,092615::4i3;a>5<5<9m6=44}c3`a0<62:0;6=u+9609e70<,092615:;4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=205<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=215<9m6=44}c3`f`<62=0;6=u+9609e74<,092615:64i3;a>5<5<9m6=44}c3`0a<62:0;6=u+9609e70<,092615:74i5`4>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=2g5<9m6=44}c3`7d<62=0;6=u+9609e74<,092615:l4i3;a>5<5<9m6=44}c3`0g<62:0;6=u+9609e70<,092615:m4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=2b5<5<4>:283>5}#1>818oh4$56:>=4f3-3857?l309K010>:7>5;n:6=?6=3th:o9o51;194?6|,0=96l<9;%;0=?7d;81C8984n`17><1a3`>i;7>5;h057?6=3f=8j7>5;|`2g6>=93>1<7>t$851>d453-3857?l309K0105;h;12?6=3f=8j7>5;|`2g14=9391<7>t$851>1da3->?576=a:&:7<<6k:;0D9:9;oc00???92c?984?::k713<722e3944?::a5f2>28086=4?{%;46?g5>2.2?44>c238L1213gk887772:k7f2<722c9:>4?::m47c<722wi=n=8:087>5<7s-3<>7o=2:&:7<<6k:;0D9:9;oc00???;2c95o4?::k126<722c2>;4?::m47c<722wi=n:>:080>5<7s-3<>7:mf:&70<751b12?M23>2dj?946859j003=831b88850;9l<0?=831vn0<1b8o950;9j635=831d;>h50;9~f4e4>3;187>50z&:37751b12?M23>2dj?946879j6;=50;9j=70=831d;>h50;9~f4e383;1?7>50z&:37<3jo1/897583c8 <5>28i8=6F;479me62=11=0e9;::188m1312900c5;6:188yg7d<>0:6>4?:1y'=24=i;<0(4=6:0a05>N3:599:8m1d02900e?8<:188k25a2900qo?l3482>1<729q/5:<5a308 <5>28i8=6F;479me62=1130e?7m:188m7042900e4<9:188k25a2900qo?l3g82>6<729q/5:<54cd8 12>218j7)7<9;3`74=O<=<0bl=;:8:b?l22=3:17d::6;29?j>213:17pl>c5495?5=83:p(49=:`05?!?413;h?<5G4548jd53202i7d:m7;29?l41;3:17b91=7:50;2x <152h897)7<9;3`74=O<=<0bl=;:8:`?l4>j3:17d<93;29?l?5>3:17b9">;00:o>?4H565?kg4<333h6g;5483>>o3=?0;66a75883>>{e9j>>6<4<:183!?0:3k9:6*63882g67<@=>=7co<4;;;a>o3j>0;66g=6283>>i0;o0;66sm1b10>4<3290;w)782;c16>">;00:o>?4H565?kg4<333j6g=9c83>>o5>:0;66g62783>>i0;o0;66sm1b1g>4<4290;w)782;6ab>"3<003>l5+92;95f563A>?:6`n358:=5=n<;I672>hf;=025<5f4c594?=n:?91<75`72d94?=zj8i8>7?54;294~">?;0j>?5+92;95f563A>?:6`n358:=7=n:0h1<75f27194?=n1;<1<75`72d94?=zj8i8o7?53;294~">?;0?nk5+45;9<7g<,0926154=4i576>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=<25<9m6=44}c3ga`<62:0;6=u+9609e70<,0926154;4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=<05<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=<15<9m6=44}c3ga<<62=0;6=u+9609e74<,092615464i3;a>5<5<9m6=44}c3gb=<62:0;6=u+9609e70<,092615474i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=5<9m6=44}c3ga0<62=0;6=u+9609e74<,0926154m4i3;a>5<5<9m6=44}c3gb3<62:0;6=u+9609e70<,0926154j4i5`4>5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269=5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=<`5<9m6=44}c3ga4<62=0;6=u+9609e74<,092615l>4i3;a>5<5<9m6=44}c3gb1<62:0;6=u+9609e70<,092615l?4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=d45<5<4>:283>5}#1>81m?84$81:>4e492B?8;5aa269=d55<9m6=44}c3g`a<62=0;6=u+9609e74<,092615l:4i3;a>5<5<9m6=44}c3gb7<62:0;6=u+9609e70<,092615l;4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=d05<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=d15<9m6=44}c3g`<<62=0;6=u+9609e74<,092615l64i3;a>5<5<9m6=44}c3gaa<62:0;6=u+9609e70<,092615l74i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=dg5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=dd5<9m6=44}c3ggd<62:0;6=u+9609e70<,092615lm4i5`4>5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269=db5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=dc5<9m6=44}c3gg0<62=0;6=u+9609e74<,092615lh4i3;a>5<5<9m6=44}c3g`1<62:0;6=u+9609e70<,092615o>4i5`4>5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269=g75<5<4>:283>5}#1>81m?84$81:>4e492B?8;5aa269=g45<9m6=44}c3gg4<62=0;6=u+9609e74<,092615o=4i3;a>5<5<9m6=44}c3g`7<62:0;6=u+9609e70<,092615o:4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=g35<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=g05<9m6=44}c3gfa<62=0;6=u+9609e74<,092615o94i3;a>5<5<9m6=44}c3g`5<62:0;6=u+9609e70<,092615o64i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=g?5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=gg5<9m6=44}c3gf<<62=0;6=u+9609e74<,092615ol4i3;a>5<5<9m6=44}c3gg`<62:0;6=u+9609e70<,092615om4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=gb5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=gc5<9m6=44}c3gf0<62=0;6=u+9609e74<,092615oh4i3;a>5<5<9m6=44}c3gg<<62:0;6=u+9609e70<,092615n>4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=f75<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=f45<9m6=44}c3ge<<62:0;6=u+9609e70<,092615n=4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=f25<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=f35<9m6=44}c3g4d<62=0;6=u+9609e74<,092615n84i3;a>5<5<9m6=44}c3ge3<62:0;6=u+9609e70<,092615n94i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=f>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=f?5<9m6=44}c3g4=<62=0;6=u+9609e74<,092615no4i3;a>5<5<9m6=44}c3ge1<62:0;6=u+9609e70<,092615nl4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=fe5<5<4>:283>5}#1>81m?84$81:>4e492B?8;5aa269=fb5<9m6=44}c3g43<62=0;6=u+9609e74<,092615nk4i3;a>5<5<9m6=44}c3ge7<62:0;6=u+9609e70<,092615nh4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=a65<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=a75<9m6=44}c3g41<62=0;6=u+9609e74<,092615i<4i3;a>5<5<9m6=44}c3ge5<62:0;6=u+9609e70<,092615i=4i5`4>5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269=a25<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=a35<9m6=44}c3g47<62=0;6=u+9609e74<,092615i84i3;a>5<5<9m6=44}c3g=`<62:0;6=u+9609e70<,092615i94i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=a>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=a?5<9m6=44}c3`bc<62=0;6=u+9609e74<,092615io4i3;a>5<5<9m6=44}c3g=g<62:0;6=u+9609e70<,092615il4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=ae5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=ab5<9m6=44}c3`ba<62=0;6=u+9609e74<,092615ik4i3;a>5<5<9m6=44}c3g=<<62:0;6=u+9609e70<,092615ih4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=`65<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=`75<9m6=44}c3`bg<62=0;6=u+9609e74<,092615h<4i3;a>5<5<9m6=44}c3g=2<62:0;6=u+9609e70<,092615h=4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=`25<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=`35<9m6=44}c3`b<<62=0;6=u+9609e74<,092615h84i3;a>5<5<9m6=44}c3g=0<62:0;6=u+9609e70<,092615h94i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=`>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=`?5<9m6=44}c3`b2<62=0;6=u+9609e74<,092615ho4i3;a>5<5<9m6=44}c3g=6<62:0;6=u+9609e70<,092615hl4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=`e5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=`b5<9m6=44}c3g56<62=0;6=u+9609e74<,092615hk4i3;a>5<5<9m6=44}c3gf5<62:0;6=u+9609e70<,092615hh4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=c65<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=c75<9m6=44}c3g54<62=0;6=u+9609e74<,092615k<4i3;a>5<5<9m6=44}c3ge`<62:0;6=u+9609e70<,092615k=4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=c25<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=c35<9m6=44}c3g4c<62=0;6=u+9609e74<,092615k84i3;a>5<5<9m6=44}c3gef<62:0;6=u+9609e70<,092615k94i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=c>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=c?5<9m6=44}c3g4a<62=0;6=u+9609e74<,092615ko4i3;a>5<5<9m6=44}c3ge=<62:0;6=u+9609e70<,092615kl4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269=ce5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269=cb5<9m6=44}c3g45<62=0;6=u+9609e74<,092615kk4i3;a>5<5<9m6=44}c3g=7<62:0;6=u+9609e70<,092615kh4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e565<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e575<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e545<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e555<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e525<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e535<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e505<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e515<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e5>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e5?5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e5g5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269e5d5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e5e5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e5b5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e5c5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e5`5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269e465<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e475<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e445<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e455<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e425<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e435<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e405<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e415<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e4?5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e4g5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e4d5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e4e5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e4b5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e4c5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269e4`5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e765<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e775<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e745<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e755<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e725<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e735<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e705<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e715<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e7>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e7?5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e7g5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e7d5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e7e5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e7b5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e7c5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e7`5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e665<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e675<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e645<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269e655<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e625<5<:583>5}#1>818oh4$56:>2bb3-3857?l309K010>:7>5;h663?6=3f2>57>5;|`2a14=93>1<7>t$851>1da3->?579ke:&:7<<6k:;0D9:9;oc00?g4>2c?984?::k713<722c?9:4?::m;1<<722wi=h=i:087>5<7s-3<>7o=3:&:7<<6k:;0D9:9;oc00?g4?2c?984?::k713<722c2>;4?::m47c<722wi=h:<:087>5<7s-3<>7:mf:&70<<0ll1/5>751b12?M23>2dj?94n399j003=831b88850;9j001=831d48750;9~f4c3<3;187>50z&:37<3jo1/89757eg8 <5>28i8=6F;479me62=i:30e9;::188m1312900e9;8:188k=3>2900qo?j4082>1<729q/5:<5a318 <5>28i8=6F;479me62=i:k0e9;::188m1312900e4<9:188k25a2900qo?j3d82>1<729q/5:<5a368 <5>28i8=6F;479me62=i:h0e9;::188m1312900e4<9:188k25a2900qo?j3b82>1<729q/5:<54cd8 12>2>nn7)7<9;3`74=O<=<0bl=;:`1`?l22=3:17d::6;29?l22?3:17b6:9;29?xd6m:n1=7:50;2x <152=hm7):;9;5ga>">;00:o>?4H565?kg4<3k8h6g;5483>>o3=?0;66g;5683>>i?=00;66sm1d66>4<3290;w)782;c17>">;00:o>?4H565?kg4<3k8i6g;5483>>o3=?0;66g62783>>i0;o0;66sm1d15>4<3290;w)782;6ab>"3<00?:6`n358b7c=n<?;0?nk5+45;93ac<,09261m9>4i576>5<5<1m9?4i576>5<5<9m6=44}c3f7<<62=0;6=u+96090g`<,=>26:jj;%;0=?7d;81C8984n`17>d253`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th:i>o51;694?6|,0=969li;%67=?1cm2.2?44>c238L1213gk887o;3:k710<722c?9;4?::k712<722e3944?::a5`50280?6=4?{%;46?g5;2.2?44>c238L1213gk887o;4:k710<722c?9;4?::k:63<722ec238L1213gk887o;5:k710<722c?9;4?::k:63<722eN3:5a558m1322900e9;9:188m1302900c5;6:188yg7b;k0:694?:1y'=24=i;90(4=6:0a05>N3:5a5:8m1322900e9;9:188m<412900c:=i:188yg7b:j0:694?:1y'=24=27d::5;29?l22>3:17d::7;29?j>213:17pl>e3g95?2=83:p(49=:5`e?!2313=oi6*63882g67<@=>=7co<4;c7e>o3=<0;66g;5783>>o3=>0;66a75883>>{e9l8i6<4;:183!?0:3k9?6*63882g67<@=>=7co<4;c7f>o3=<0;66g;5783>>o>:?0;66a83g83>>{e9l8m6<4;:183!?0:3>ij6*;4884``=#1:31=n=>;I672>hf;=0j8n5f44794?=n<<<1<75f44594?=h0<31<75rb0g04?7=<3:14e492B?8;5aa269e1b6=44i575>5<5<i4>:583>5}#1>81m?=4$81:>4e492B?8;5aa269e1c6=44i575>5<5<l4>:583>5}#1>81m?:4$81:>4e492B?8;5aa269e1`6=44i575>5<5<54>:583>5}#1>818oh4$56:>2bb3-3857?l309K010>:7>5;h663?6=3f2>57>5;|`2a7?=93>1<7>t$851>1da3->?579ke:&:7<<6k:;0D9:9;oc00?g292c?984?::k713<722c?9:4?::m;1<<722wi=h=>:087>5<7s-3<>7o=3:&:7<<6k:;0D9:9;oc00?g2:2c?984?::k713<722c2>;4?::m47c<722wi=h<=:087>5<7s-3<>7:mf:&70<<0ll1/5>751b12?M23>2dj?94n529j003=831b88850;9j001=831d48750;9~f4c5<3;187>50z&:37<3jo1/89757eg8 <5>28i8=6F;479me62=i<>0e9;::188m1312900e9;8:188k=3>2900qo?j2082>1<729q/5:<5a318 <5>28i8=6F;479me62=i1<729q/5:<54cd8 12>2>nn7)7<9;3`74=O<=<0bl=;:`75?l22=3:17d::6;29?l22?3:17b6:9;29?xd6m;<1=7:50;2x <152=hm7):;9;5ga>">;00:o>?4H565?kg4<3k>;6g;5483>>o3=?0;66g;5683>>i?=00;66sm1d00>4<3290;w)782;c17>">;00:o>?4H565?kg4<3k>46g;5483>>o3=?0;66g62783>>i0;o0;66sm1d03>4<3290;w)782;c10>">;00:o>?4H565?kg4<3k>56g;5483>>o3=?0;66g62783>>i0;o0;66sm1d3f>4<3290;w)782;6ab>"3<00?:6`n358b1d=n<?;0?nk5+45;93ac<,09261m8l4i576>5<5<1m8m4i576>5<5<9m6=44}c3fg7<62:0;6=u+9609e70<,09261m8j4i5`4>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e0c5<9m6=44}c3fe5<62=0;6=u+9609e74<,09261m8h4i3;a>5<5<9m6=44}c3fgg<62:0;6=u+9609e70<,09261m;>4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e375<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e345<9m6=44}c3fe=<62=0;6=u+9609e74<,09261m;=4i3;a>5<5<9m6=44}c3fg<<62:0;6=u+9609e70<,09261m;:4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e335<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e305<9m6=44}c3fe3<62=0;6=u+9609e74<,09261m;94i3;a>5<5<9m6=44}c3fg2<62:0;6=u+9609e70<,09261m;64i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e3?5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e3g5<9m6=44}c3fe1<62=0;6=u+9609e74<,09261m;l4i3;a>5<5<9m6=44}c3fg0<62:0;6=u+9609e70<,09261m;m4i5`4>5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269e3b5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e3c5<9m6=44}c3fe7<62=0;6=u+9609e74<,09261m;h4i3;a>5<5<9m6=44}c3fg6<62:0;6=u+9609e70<,09261m:>4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e275<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e245<9m6=44}c3f=`<62=0;6=u+9609e74<,09261m:=4i3;a>5<5<9m6=44}c3fb5<62:0;6=u+9609e70<,09261m::4i5`4>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e235<9m6=44}c3fg`<62=0;6=u+9609e74<,09261m:84i3;a>5<5<9m6=44}c3fb<<62:0;6=u+9609e70<,09261m:94i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e2>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e2?5<9m6=44}c3f`3<62=0;6=u+9609e74<,09261m:o4i3;a>5<5<9m6=44}c3fb2<62:0;6=u+9609e70<,09261m:l4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e2e5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e2b5<9m6=44}c3f`1<62=0;6=u+9609e74<,09261m:k4i3;a>5<5<9m6=44}c3fb0<62:0;6=u+9609e70<,09261m:h4i5`4>5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269e=65<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e=75<9m6=44}c3f`7<62=0;6=u+9609e74<,09261m5<4i3;a>5<5<9m6=44}c3fb6<62:0;6=u+9609e70<,09261m5=4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e=25<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e=35<9m6=44}c3f`5<62=0;6=u+9609e74<,09261m584i3;a>5<5<9m6=44}c3fb4<62:0;6=u+9609e70<,09261m594i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e=>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e=?5<9m6=44}c3fgf<62=0;6=u+9609e74<,09261m5o4i3;a>5<5<9m6=44}c3f=1<62:0;6=u+9609e70<,09261m5l4i5`4>5<5<4>:283>5}#1>81m?84$81:>4e492B?8;5aa269e=e5<9m6=44}c3f23<62=0;6=u+9609e74<,09261m5j4i3;a>5<5<9m6=44}c3f=7<62:0;6=u+9609e70<,09261m5k4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e=`5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e<65<9m6=44}c3f21<62=0;6=u+9609e74<,09261m4?4i3;a>5<5<9m6=44}c3f=5<62:0;6=u+9609e70<,09261m4<4i5`4>5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269e<55<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e<25<9m6=44}c3f27<62=0;6=u+9609e74<,09261m4;4i3;a>5<5<9m6=44}c3f=a<62:0;6=u+9609e70<,09261m484i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e<15<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e<>5<9m6=44}c3f2`<62=0;6=u+9609e74<,09261m474i3;a>5<5<9m6=44}c3f=g<62:0;6=u+9609e70<,09261m4o4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269e5<9m6=44}c3f2f<62=0;6=u+9609e74<,09261m4j4i3;a>5<5<9m6=44}c3f=<<62:0;6=u+9609e70<,09261m4k4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269e<`5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269ed65<9m6=44}c3f2d<62=0;6=u+9609e74<,09261ml?4i3;a>5<5<9m6=44}c3f=2<62:0;6=u+9609e70<,09261ml<4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269ed55<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269ed25<9m6=44}c3f2=<62=0;6=u+9609e74<,09261ml;4i3;a>5<5<9m6=44}c3f=0<62:0;6=u+9609e70<,09261ml84i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269ed15<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269ed>5<9m6=44}c3f25<62=0;6=u+9609e74<,09261ml74i3;a>5<5<9m6=44}c3e5`<62:0;6=u+9609e70<,09261mlo4i5`4>5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269edd5<9m6=44}c3fbf<62=0;6=u+9609e74<,09261mlm4i3;a>5<5<9m6=44}c3e62<62:0;6=u+9609e70<,09261mlj4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269edc5<5<;4>:283>5}#1>81m?84$81:>4e492B?8;5aa269ed`5<9m6=44}c3e41<62=0;6=u+9609e74<,09261mo>4i3;a>5<5<9m6=44}c3e60<62:0;6=u+9609e70<,09261mo?4i5`4>5<5<4>:583>5}#1>81m?<4$81:>4e492B?8;5aa269eg45<5<94>:283>5}#1>81m?84$81:>4e492B?8;5aa269eg55<9m6=44}c3e47<62=0;6=u+9609e74<,09261mo:4i3;a>5<5<9m6=44}c3e66<62:0;6=u+9609e70<,09261mo;4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269eg05<5<?4>:283>5}#1>81m?84$81:>4e492B?8;5aa269eg15<9m6=44}c3e45<62=0;6=u+9609e74<,09261mo64i3;a>5<5<9m6=44}c3e64<62:0;6=u+9609e70<,09261mo74i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269egg5<5<=4>:283>5}#1>81m?84$81:>4e492B?8;5aa269egd5<9m6=44}c3fb`<62=0;6=u+9609e74<,09261mom4i3;a>5<5<9m6=44}c3e5c<62:0;6=u+9609e70<,09261moj4i5`4>5<5<:583>5}#1>81m?<4$81:>4e492B?8;5aa269egc5<5<:283>5}#1>81m?84$81:>4e492B?8;5aa269eg`5<9m6=44}c3fbd<62=0;6=u+9609e74<,09261mn>4i3;a>5<5<9m6=44}c02a0<62?0;6=u+45;907><,0926de63`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=h:51;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887ol2:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5ab68m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46mk0:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532hi>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=1dc95?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;c`2>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:8o26<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0jo:5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb33f3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269ef>5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`15`0=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?gdi2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94ncc9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f77b:3;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#2900qo3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:`ag?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd58?;1=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3khi6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2143>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358bgc=n:1n1<75f27794?=n:>k1<75f8e294?=n:j7?56;294~"3<00?>55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1mi>4i3:g>5<6=44i35b>5<5<<,0926db63`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9<8j51;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887ok2:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l99;392?6=8r.?844;299'=6?=9j9:7)782;c15>">>?02:85+47c97>N3:5ae68m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg47>10:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532hn>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=07595?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;cg2>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:9<=6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0jh:5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3251?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269ea>5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1435=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?gci2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>=;l:085>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94ndc9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f762j3;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#2900qo<>d682>3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:`fg?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd59m<1=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3koi6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm20fe>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358b`c=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1mh>4i3:g>5<6=44i35b>5<5<<,0926dc63`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=im51;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887oj2:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5ad68m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46l00:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532ho>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=1e:95?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;cf2>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:8n>6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0ji:5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb33g0?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269e`>5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`15f>=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?gbi2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>:085>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94nec9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f77c83;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#2900qo<>cg82>3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:`gg?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd59jo1=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3kni6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm20ag>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358bac=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1mk>4i3:g>5<6=44i35b>5<5<<,0926d`63`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=no51;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887oi2:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5ag68m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg47k00:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532hl>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=0b:95?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;ce2>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:9i<6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0jj:5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb32`2?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269ec>5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K010>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9oom6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0jjl5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0dfa?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269ecd5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2b`e=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?gal2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=kkm:085>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94nfd9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4`bi3;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#2900qo?ie882>3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:c23?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6nl21=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3h;=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1gg4>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358a47=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1n==4i3:g>5<6=44i35b>5<5<g633`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9269<=;%;0=?7d;81/5:<5a338 <0128n;7):9a;18L1213gk887l?5:k1c238 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;J7e`=6=44i35b>5<5<<,0926g603`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=>951;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887l?8:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5b1c8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46;<0:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532k:i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=12695?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`3g>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:8986<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0i3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269f5c5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K010i;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1566=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?d682c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi><5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94m109j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f775k3;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#2900qo<>2g82>3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:c30?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd59;h1=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3h:86g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm200b>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358a50=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1n<84i3:g>5<6=44i35b>5<5<<,0926g703`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=?951;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887l>8:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5b0c8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46::0:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532k;i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=13795?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`2g>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:8>;6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0i=i5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb330b?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269f4c5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`156b=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?d582c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi><=l:085>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`8;0>N3:5b338m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46;k0:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532k897d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=13f95?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?>33A>?:6`n358a66=n:1n1<75f27794?=n:>k1<75f8e294?=n:7?56;294~"3<00?>55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1n?:4i3:g>5<6=44i35b>5<5<<,09260D9:9;oc00?d5=2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi><<>:085>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`8;0>N3:5b348m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg47j?0:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532k8<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=0c795?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`1<>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:9h?6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0i>45f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb32a7?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269f7g5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K010>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9on26<49:183!2313>9>6*63882g67<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0i>n5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0dg3:1;%;46?g592.2:;4>d19'03g=;2B?8;5aa269f7b5<5<5<:783>5}#<=318?<4$81:>4e492.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2ba0=93<1<7>t$56:>1453-3857?l309'=24=i;;0(489:0f3?!21i390D9:9;oc00?d5n2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=kj::085>5<7s->?57:=2:&:7<<6k:;0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94m319j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4`c<3;1:7>50z&70<<3:;1/5>751b12?!?0:3k9=6*66782`5=#2900qo?id282>3<729q/89754308 <5>28i8=6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:c11?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6nm81=7850;2x 12>2=897)7<9;3`74=#1>81m??4$845>4b73->=m7=4H565?kg4<3h8?6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1gf2>4<1290;w):;9;616>">;00:o>?4$851>d463-3=:7?k0:&72d<43A>?:6`n358a71=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1n>;4i3:g>5<6=44i35b>5<5<<,0926g513`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:j9751;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887l<7:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5b2;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7a">?;0j><5+9749=33<,=5G4548jd532k9j7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>f5795?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`0f>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9o>?6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0i?n5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0d77?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269f6b5<5<5<:783>5}#<=318?<4$81:>4e492.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`146e=93<1<7>t$56:>1453-3857?l309'=24=i;;0(489:0f3?!21i390D9:9;oc00?d4n2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>==m:085>5<7s->?57:=2:&:7<<6k:;0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94m419j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f764i3;1:7>50z&70<<3:;1/5>751b12?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>28i8=6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:c61?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd58:21=7850;2x 12>2=897)7<9;3`74=#1>81m??4$845>4b73->=m7=4H565?kg4<3h??6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2114>4<1290;w):;9;616>">;00:o>?4$851>d463-3=:7?k0:&72d<43A>?:6`n358a01=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;95f563-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1n9;4i3:g>5<6=44i35b>5<5<g213`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9269<=;%;0=?7d;81/5:<5a338 <0128n;7):9a;18L1213gk887l;7:k1c238 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9li4;392?6=8r.?844;239'=6?=9j9:7)782;c15>">>?0:h=5+47c97>N3:5b5;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg47n:0:6;4?:1y'01?=<;80(4=6:0a05>">?;0j><5+97495a6<,=5G4548jd532k>j7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=0g095?0=83:p(9:6:501?!?413;h?<5+9609e77<,0<=6=7co<4;`7f>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:9l:6<49:183!2313>9>6*63882g67<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0i8n5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb32eb?7=>3:1;%;46?g592.2:;4>d19'03g=;2B?8;5aa269f1b5<5<5<:783>5}#<=318?<4$81:>4e492.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`14cb=93<1<7>t$56:>1453-3857?l309'=24=i;;0(489:0f3?!21i390D9:9;oc00?d3n2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>=hl:085>5<7s->?57:=2:&:7<<6k:;0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94m519j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f76aj3;1:7>50z&70<<3:;1/5>751b12?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>28i8=6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:c71?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd58o31=7850;2x 12>2=897)7<9;3`74=#1>81m??4$845>4b73->=m7=4H565?kg4<3h>?6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm21d;>4<1290;w):;9;616>">;00:o>?4$851>d463-3=:7?k0:&72d<43A>?:6`n358a11=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;95f563-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1n8;4i3:g>5<6=44i35b>5<5<g313`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9<9651;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887l:7:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5b4;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46>k0:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532k?j7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=17c95?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`6f>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:8<26<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0i9n5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3353:1;%;46?g592.2:;46649'03g=;2B?8;5aa269f0b5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1530=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?d2n2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi><;6:085>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94m619j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f77203;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#2900qo<>5682>3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:c41?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd59<<1=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3h=?6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2076>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358a21=n:1n1<75f27794?=n:>k1<75f8e294?=n:87?56;294~"3<00?>55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1n;;4i3:g>5<6=44i35b>5<5<<,0926g013`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=8<51;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887l97:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb7111b>5j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5b7;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46>80:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532kc83:17d<:f;29?j>c13:17pl=17295?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`5f>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:8?m6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0i:n5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3276?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269f3b5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1664=93<1<7>t$56:>1453-3857?l309'=24=i;;0(489:0f3?!21i390D9:9;oc00?d1n2c94i4?:I6ba>=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb301b?7=>3:1;%;46?g592.2:;4>d19'03g=;2B?8;5aa269f26c83:17d<:f;29?j>c13:17pl=23a95?0=83:p(9:6:501?!?413;h?<5+9609e77<,0<=6=7co<4;`45>o50m0;6E:ne:9j633=831b>:o50;9j8h50;9lmb;392?6=8r.?844;239'=6?=9j9:7)782;c15>">>?0:h=5+47c97>N3:5b608m7>c290C8lk4;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887l83:k1c238 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5b678m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46k;0:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532k==7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=1b395?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`43>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:8i;6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0i;55f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb33ab?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269f2?5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`15gd=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?d0j2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94m7b9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f77e13;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#n0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo<>b982>3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:c5f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd59k?1=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3h>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm20`7>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358a<5=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1n5?4i3:g>5<6=44i35b>5<5<<,0926g>53`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=o?51;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887l73:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5b978m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46il0:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532k2=7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=02g95?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`;3>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:8ki6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0i455f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb33be?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269f=?5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`15d>=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?d?j2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94m8b9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f77f<3;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#2900qo<>a282>3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:c:f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd59h81=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3h3j6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm20c2>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358a=5=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1n4?4i3:g>5<6=44i35b>5<5<<,0926g?53`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=4m51;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887l63:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5b878m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46100:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532k3=7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=18:95?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`:3>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:83<6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0i555f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb33:0?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269f5<5<5<4>:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`15<4=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?d>j2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi><7>:085>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94m9b9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f770k3;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#2900qo<>7c82>3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:c;f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd59>k1=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3h2j6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm205:>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358ae5=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1nl?4i3:g>5<6=44i35b>5<5<<,0926gg53`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=5m51;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887ln3:k1e280=6=4?{%67=?2502.2?44>c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5b`78m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg46000:6;4?:1y'01?=<;20(4=6:0a05>">?;0j><5+9749=33<,=5G4548jd532kk=7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=19:95?0=83:p(9:6:50;?!?413;h?<5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`b3>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:82<6<49:183!2313>946*63882g67<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0im55f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3342?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269fd?5<5<5<:783>5}#<=318?64$81:>4e492.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1522=93<1<7>t$56:>14?3-3857?l309'=24=i;;0(489:846?!21i390D9:9;oc00?dfj2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi><9<:085>5<7s->?57:=8:&:7<<6k:;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94mab9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f770:3;1:7>50z&70<<3:11/5>751b12?!?0:3k9=6*6678:20=#2900qo<>7082>3<729q/897543:8 <5>28i8=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:ccf?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd59>:1=7850;2x 12>2=837)7<9;3`74=#1>81m??4$845><023->=m7=4H565?kg4<3hjj6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm204e>4<1290;w):;9;61<>">;00:o>?4$851>d463-3=:7795:&72d<43A>?:6`n358af5=n:1n1<75f27794?=n:>k1<75f8e294?=n:7?56;294~"3<00?>55+92;95f563-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1no?4i3:g>5<6=44i35b>5<5<<,0926gd53`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9=5>51;494?6|,=>269<7;%;0=?7d;81/5:<5a338 <0120<>7):9a;18L1213gk887lm3:k1c238 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9lh50;9~f7bc>3;1?7>50z&:37752e`a?M23>2dj?94mb79j0g1=831b>;=50;9l36`=831vn?jl4;390?6=8r.2;?4n239'=6?=:mhi7E:;6:lb711b>4l50;9j635=831b5?850;9l36`=831vn?jld;397?6=8r.2;?4;bg9'01?=0;k0(4=6:3faf>N3:5bc:8m1322900e9;9:188k=3>2900qo6<729q/5:<5a348 <5>2;nin6F;479me62=jk30e9l8:188m7042900c:=i:188yg4ck:0:694?:1y'=24=i;80(4=6:3faf>N3:5bcc8m7?e2900e?8<:188m<412900c:=i:188yg4ckj0:6>4?:1y'=24=3:17b6:9;29?xd5lm>1=7=50;2x <152h8=7)7<9;0gfg=O<=<0bl=;:c``?l2e?3:17d<93;29?j14n3:17pl=db095?2=83:p(49=:`01?!?4138ono5G4548jd532kho7d<6b;29?l41;3:17d7=6;29?j14n3:17pl=db`95?5=83:p(49=:5`e?!231329m6*63881`gd<@=>=7co<4;`aa>o3=<0;66g;5783>>i?=00;66sm2ef0>4<4290;w)782;c12>">;009hol4H565?kg4<3hij6g;b683>>o5>:0;66a83g83>>{e:mi:6<4;:183!?0:3k9>6*63881`gd<@=>=7co<4;``4>o51k0;66g=6283>>o>:?0;66a83g83>>{e:mij6<4<:183!?0:3>ij6*;488;6d=#1:31>ilm;I672>hf;=0io<5f44794?=n<<<1<75`84;94?=zj;no>7?53;294~">?;0j>;5+92;96ade3A>?:6`n358ag7=nilm;I672>hf;=0io>5f28`94?=n:?91<75f93494?=h?:l1<75rb3f`=?7=;3:17bej2B?8;5aa269ff26=44i575>5<1nn;4i5`4>5<5<:583>5}#1>81m?<4$81:>7bej2B?8;5aa269ff05<5<:283>5}#1>818oh4$56:>=4f3-3857>:7>5;n:6=?6=3th9hi>51;194?6|,0=96l<9;%;0=?4cjk1C8984n`17>ge?3`>i;7>5;h057?6=3f=8j7>5;|`1`gc=93>1<7>t$851>d453-38575;h;12?6=3f=8j7>5;|`1`f1=9391<7>t$851>1da3->?576=a:&:7<<5lkh0D9:9;oc00?ddi2c?984?::k713<722e3944?::a6aea28086=4?{%;46?g5>2.2?44=dc`8L1213gk887llb:k7f2<722c9:>4?::m47c<722wi>ilk:087>5<7s-3<>7o=2:&:7<<5lkh0D9:9;oc00?ddk2c95o4?::k126<722c2>;4?::m47c<722wi>im9:080>5<7s-3<>7:mf:&70<752e`a?M23>2dj?94mce9j003=831b88850;9l<0?=831vn?jle;397?6=8r.2;?4n279'=6?=:mhi7E:;6:lb71h50;9~f7bek3;187>50z&:37752e`a?M23>2dj?94mcg9j6;=50;9j=70=831d;>h50;9~f7bd=3;1?7>50z&:37<3jo1/897583c8 <5>2;nin6F;479me62=jm:0e9;::188m1312900c5;6:188yg4b8?0:6;4?:1y'01?=<;20(4=6:3faf>">?;0j><5+9749=33<,=>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2d26>4<1290;w):;9;61<>">;009hol4$851>d463-3=:7795:&72d<43A>?:6`n358a`7=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;96ade3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1ni=4i3:g>5<6=44i35b>5<5<<,0926?jmb:&:37gb33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9i=?51;494?6|,=>269<7;%;0=?4cjk1/5:<5a338 <0120<>7):9a;18L1213gk887lk5:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5be58m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4cnl0:6;4?:1y'01?=<;20(4=6:3faf>">?;0j><5+9749=33<,=5G4548jd532kn37d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=e1295?0=83:p(9:6:50;?!?4138ono5+9609e77<,0<=648:;%65e?5<@=>=7co<4;`g=>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:mlo6<49:183!2313>946*63881`gd<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0ihl5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3fe6?7=>3:1ilm;%;46?g592.2:;46649'03g=;2B?8;5aa269fad5<5<5<:783>5}#<=318?<4$81:>7bej2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1```=93<1<7>t$56:>1453-3857ikj:085>5<7s->?57:=2:&:7<<5lkh0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94mdd9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7ba83;1:7>50z&70<<3:;1/5>752e`a?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>2;nin6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:cg3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5llh1=7850;2x 12>2=897)7<9;0gfg=#1>81m??4$845>4b73->=m7=4H565?kg4<3hn=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2egg>4<1290;w):;9;616>">;009hol4$851>d463-3=:7?k0:&72d<43A>?:6`n358aa7=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96ade3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1nh=4i3:g>5<6=44i35b>5<5<l>d:&:37gc33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8n8;51;494?6|,=>269<=;%;0=?5e9m1/5:<5a338 <0128n;7):9a;18L1213gk887lj5:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9ll;d;392?6=8r.?844;239'=6?=;k;o7)782;c15>">>?0:h=5+47c97>N3:5bd58m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5e">?;0j><5+97495a6<,=5G4548jd532ko37d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=7co<4;`f=>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;k2:6<49:183!2313>9>6*63880f4b<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0iil5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb2`05?7=>3:15<5<5<:783>5}#<=318?<4$81:>6d6l2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`0g7d=93<1<7>t$56:>14?3-3857=m1e9'=24=i;;0(489:846?!21i390D9:9;oc00?dbl2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?o9j:085>5<7s->?57:=2:&:7<<4j8n0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94med9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f6db<3;1:7>50z&70<<3:11/5>753c3g?!?0:3k9=6*6678:20=#c83:17d<:f;29?j>c13:17pl=7co<4;`e4>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;k=36<49:183!2313>9>6*63880f4b<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0ij<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb2`44?7=>3:1d19'03g=;2B?8;5aa269fc45<5<5<:783>5}#<=318?<4$81:>6d6l2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`0f3>=93<1<7>t$56:>1453-3857=m1e9'=24=i;;0(489:0f3?!21i390D9:9;oc00?da<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?o68:085>5<7s->?57:=2:&:7<<4j8n0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94mf49j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f6d?>3;1:7>50z&70<<3:;1/5>753c3g?!?0:3k9=6*66782`5=#2900qo=l0582>3<729q/897543:8 <5>2:h:h6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:cd4?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4k991=7850;2x 12>2=837)7<9;1a5a=#1>81m??4$845><023->=m7=4H565?kg4<3hm46g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3b21>4<1290;w):;9;61<>">;008nd463-3=:7795:&72d<43A>?:6`n358ab<=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;97g7c3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1nko4i3:g>5<6=44i35b>5<5<<,0926>l>d:&:37g`e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8nkh51;494?6|,=>269<7;%;0=?5e9m1/5:<5a338 <0120<>7):9a;18L1213gk887lic:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9llid;392?6=8r.?844;299'=6?=;k;o7)782;c15>">>?02:85+47c97>N3:5bgg8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5d8j0:6;4?:1y'01?=<;20(4=6:2`2`>">?;0j><5+9749=33<,=5G4548jd532klm7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=7co<4;a34>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;j:j6<49:183!2313>946*63880f4b<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0h<<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb2a3=?7=>3:15<5<5<:783>5}#<=318?64$81:>6d6l2.2;?4n209'=30=1??0(98n:29K010<;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`0g51=93<1<7>t$56:>14?3-3857=m1e9'=24=i;;0(489:846?!21i390D9:9;oc00?e7<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?n>9:085>5<7s->?57:=8:&:7<<4j8n0(49=:`02?!?1>33=96*;6`80?M23>2dj?94l049j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f6e7=3;1:7>50z&70<<3:11/5>753c3g?!?0:3k9=6*6678:20=#2900qo=mfb82>3<729q/897543:8 <5>2:h:h6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:b24?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4joh1=7850;2x 12>2=837)7<9;1a5a=#1>81m??4$845><023->=m7=4H565?kg4<3i;46g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3c65>4<1290;w):;9;616>">;008nd463-3=:7?k0:&72d<43A>?:6`n358`4<=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;97g7c3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1o=o4i3:g>5<6=44i35b>5<5<l>d:&:37f6e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8ni651;494?6|,=>269<=;%;0=?5e9m1/5:<5a338 <0128n;7):9a;18L1213gk887m?c:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9llk6;392?6=8r.?844;239'=6?=;k;o7)782;c15>">>?0:h=5+47c97>N3:5c1g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5el<0:6;4?:1y'01?=<;80(4=6:2`2`>">?;0j><5+97495a6<,=5G4548jd532j:m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=7co<4;a24>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;kn86<49:183!2313>9>6*63880f4b<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0h=<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb2`g6?7=>3:1d19'03g=;2B?8;5aa269g445<5<5<:783>5}#<=318?<4$81:>6d6l2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`0f`6=93<1<7>t$56:>1453-3857=m1e9'=24=i;;0(489:0f3?!21i390D9:9;oc00?e6<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?oji:085>5<7s->?57:=2:&:7<<4j8n0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l149j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f6dcm3;1:7>50z&70<<3:;1/5>753c3g?!?0:3k9=6*66782`5=#2900qo=mde82>3<729q/89754308 <5>2:h:h6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:b34?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4jmi1=7850;2x 12>2=897)7<9;1a5a=#1>81m??4$845>4b73->=m7=4H565?kg4<3i:46g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm3cfa>4<1290;w):;9;616>">;008nd463-3=:7?k0:&72d<43A>?:6`n358`5<=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;97g7c3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1o5<6=44i35b>5<5<l>d:&:37f7e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th8ni>51;494?6|,=>269<=;%;0=?5e9m1/5:<5a338 <0128n;7):9a;18L1213gk887m>c:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9ll7a;392?6=8r.?844;299'=6?=;k;o7)782;c15>">>?02:85+47c97>N3:5c0g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg5e>:0:6;4?:1y'01?=<;80(4=6:2`2`>">?;0j><5+97495a6<,=5G4548jd532j;m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=7co<4;a14>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e908<6<4;:183!?0:3>ij6*;4884gf=#1:31=4>i;I672>hf;=0h><5f44794?=n<<<1<75f44594?=h0<31<75rb0;14?7n2B?8;5aa269g746=44i575>5<5<;4>:583>5}#1>81m?=4$81:>4?7n2B?8;5aa269g756=44i575>5<5<:783>5}#<=318?64$81:>4?7n2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2=6?=93<1<7>t$56:>14?3-3857?60g9'=24=i;;0(489:846?!21i390D9:9;oc00?e5=2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=4=7:085>5<7s->?57:=8:&:7<<619l0(49=:`02?!?1>33=96*;6`80?M23>2dj?94l279j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4?4?3;1:7>50z&70<<3:11/5>75182e?!?0:3k9=6*6678:20=#2900qo?63782>3<729q/897543:8 <5>283;j6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:b0;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd61:?1=7850;2x 12>2=837)7<9;3:4c=#1>81m??4$845><023->=m7=4H565?kg4<3i956g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1864>4<1290;w):;9;616>">;00:5=h4$851>d463-3=:7?k0:&72d<43A>?:6`n358`6d=n:1n1<75f27794?=n:>k1<75f8e294?=n:7?56;294~"3<00?>?5+92;95<6a3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1o?l4i3:g>5<6=44i35b>5<5<f4d3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:5:h51;494?6|,=>269<=;%;0=?7>8o1/5:<5a338 <0128n;7):9a;18L1213gk887m=d:k191d8 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5c3d8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7>?j0:6;4?:1y'01?=<;80(4=6:0;3b>">?;0j><5+97495a6<,=5G4548jd532j9;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>96`95?0=83:p(9:6:501?!?413;2=7co<4;a05>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e90=j6<49:183!2313>9>6*63882=5`<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0h??5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0;4=?7=>3:1i;%;46?g592.2:;4>d19'03g=;2B?8;5aa269g655<5<5<:783>5}#<=318?<4$81:>4?7n2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2=21=93<1<7>t$56:>1453-3857?60g9'=24=i;;0(489:0f3?!21i390D9:9;oc00?e4=2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=499:085>5<7s->?57:=2:&:7<<619l0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l379j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4?0<3;1:7>50z&70<<3:;1/5>75182e?!?0:3k9=6*66782`5=#2900qo?67282>3<729q/89754308 <5>283;j6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:b1;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd61>81=7850;2x 12>2=897)7<9;3:4c=#1>81m??4$845>4b73->=m7=4H565?kg4<3i856g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1852>4<1290;w):;9;616>">;00:5=h4$851>d463-3=:7?k0:&72d<43A>?:6`n358`7d=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;95<6a3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1o>l4i3:g>5<6=44i35b>5<5<f5d3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:5;k51;494?6|,=>269<=;%;0=?7>8o1/5:<5a338 <0128n;7):9a;18L1213gk887m91d8 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5c2d8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7>>k0:6;4?:1y'01?=<;80(4=6:0;3b>">?;0j><5+97495a6<,=5G4548jd532j>;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>99:95?0=83:p(9:6:501?!?413;2=7co<4;a75>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e902<6<49:183!2313>9>6*63882=5`<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0h8?5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0;;2?7=>3:1i;%;46?g592.2:;4>d19'03g=;2B?8;5aa269g155<5<5<:783>5}#<=318?<4$81:>4?7n2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2==2=93<1<7>t$56:>1453-3857?60g9'=24=i;;0(489:0f3?!21i390D9:9;oc00?e3=2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=46<:085>5<7s->?57:=2:&:7<<619l0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l479j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4??83;1:7>50z&70<<3:;1/5>75182e?!?0:3k9=6*66782`5=#2900qo?67482>3<729q/89754308 <5>283;j6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:b6;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd61?k1=7850;2x 12>2=897)7<9;3:4c=#1>81m??4$845>4b73->=m7=4H565?kg4<3i?56g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm184:>4<1290;w):;9;616>">;00:5=h4$851>d463-3=:7?k0:&72d<43A>?:6`n358`0d=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;95<6a3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1o9l4i3:g>5<6=44i35b>5<5<f2d3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:5n651;494?6|,=>269<=;%;0=?7>8o1/5:<5a338 <0128n;7):9a;18L1213gk887m;d:k191d8 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5c5d8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7>k<0:6;4?:1y'01?=<;80(4=6:0;3b>">?;0j><5+97495a6<,=5G4548jd532j?;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>9b695?0=83:p(9:6:501?!?413;2=7co<4;a65>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e90i86<49:183!2313>9>6*63882=5`<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0h9?5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0;`6?7=>3:1i;%;46?g592.2:;4>d19'03g=;2B?8;5aa269g055<5<5<:783>5}#<=318?<4$81:>4?7n2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2=f6=93<1<7>t$56:>1453-3857?60g9'=24=i;;0(489:0f3?!21i390D9:9;oc00?e2=2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=4li:085>5<7s->?57:=2:&:7<<619l0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l579j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4?el3;1:7>50z&70<<3:;1/5>75182e?!?0:3k9=6*66782`5=#2900qo?6bb82>3<729q/89754308 <5>283;j6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:b7;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd61kh1=7850;2x 12>2=897)7<9;3:4c=#1>81m??4$845>4b73->=m7=4H565?kg4<3i>56g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm18`b>4<1290;w):;9;616>">;00:5=h4$851>d463-3=:7?k0:&72d<43A>?:6`n358`1d=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;95<6a3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1o8l4i3:g>5<6=44i35b>5<5<f3d3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:5o951;494?6|,=>269<=;%;0=?7>8o1/5:<5a338 <0128n;7):9a;18L1213gk887m:d:k191d8 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5c4d8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7>j=0:6;4?:1y'01?=<;80(4=6:0;3b>">?;0j><5+97495a6<,=5G4548jd532j<;7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>9e395?0=83:p(9:6:501?!?413;2=7co<4;a55>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e90n;6<49:183!2313>9>6*63882=5`<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0h:?5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0;`b?7=>3:1i;%;46?g592.2:;4>d19'03g=;2B?8;5aa269g355<5<5<:783>5}#<=318?<4$81:>4?7n2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2=fb=93<1<7>t$56:>1453-3857?60g9'=24=i;;0(489:0f3?!21i390D9:9;oc00?e1=2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=4ml:085>5<7s->?57:=2:&:7<<619l0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l679j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4?d13;1:7>50z&70<<3:;1/5>75182e?!?0:3k9=6*66782`5=#2900qo?6bd82>3<729q/89754308 <5>283;j6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:b4;?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd61k91=7850;2x 12>2=897)7<9;3:4c=#1>81m??4$845>4b73->=m7=4H565?kg4<3i=56g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm18`1>4<1290;w):;9;616>">;00:5=h4$851>d463-3=:7?k0:&72d<43A>?:6`n358`2d=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95<6a3-3<>7o=1:&:23<>><1/8;o5859K010>o5?h0;66g7d183>>o5=o0;66a7d883>>{e90>:6<49:183!2313>9>6*63882=5`<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0h:n5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0c`e?7=<3:14ge:2B?8;5aa269g3b6=44i575>5<5<:583>5}#1>818oh4$56:>2ed3-3857?nb39K010>:7>5;h663?6=3f2>57>5;|`2ef?=93>1<7>t$851>d443-3857?nb39K010>:7>5;h;12?6=3f=8j7>5;|`2eab=93<1<7>t$56:>14?3-3857?nb39'=24=i;;0(489:846?!21i390D9:9;oc00?e082c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=ljl:085>5<7s->?57:=8:&:7<<6ik80(49=:`02?!?1>33=96*;6`80?M23>2dj?94l709j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4gcj3;1:7>50z&70<<3:11/5>751``1?!?0:3k9=6*6678:20=#80e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo?nd`82>3<729q/897543:8 <5>28ki>6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:b50?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6im31=7850;2x 12>2=837)7<9;3bf7=#1>81m??4$845><023->=m7=4H565?kg4<3i<86g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1`f;>4<1290;w):;9;61<>">;00:mo<4$851>d463-3=:7795:&72d<43A>?:6`n358`30=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;95dd53-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1o:84i3:g>5<6=44i35b>5<5<f103`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:n?:51;494?6|,=>269<=;%;0=?7fj;1/5:<5a338 <0128n;7):9a;18L1213gk887m88:k1ac08 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5c6c8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7e:90:6;4?:1y'01?=<;80(4=6:0ca6>">?;0j><5+97495a6<,=5G4548jd532j=i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>b0d95?0=83:p(9:6:501?!?413;jn?5+9609e77<,0<=6=7co<4;a4g>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9k;n6<49:183!2313>9>6*63882eg4<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0h;i5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0`2`?7=>3:1d19'03g=;2B?8;5aa269g2c5<5<5<:783>5}#<=318?<4$81:>4ge:2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2f4d=93<1<7>t$56:>1453-3857?nb39'=24=i;;0(489:0f3?!21i390D9:9;oc00?e?82c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=o?n:085>5<7s->?57:=2:&:7<<6ik80(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l809j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4d613;1:7>50z&70<<3:;1/5>751``1?!?0:3k9=6*66782`5=#2900qo?m1682>3<729q/89754308 <5>28ki>6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:b:0?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6j8<1=7850;2x 12>2=897)7<9;3bf7=#1>81m??4$845>4b73->=m7=4H565?kg4<3i386g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1c36>4<1290;w):;9;616>">;00:mo<4$851>d463-3=:7?k0:&72d<43A>?:6`n358`<0=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;95dd53-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1o584i3:g>5<6=44i35b>5<5<f>03`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:n<<51;494?6|,=>269<=;%;0=?7fj;1/5:<5a338 <0128n;7):9a;18L1213gk887m78:k1ac08 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l0;392?6=8r.?844;239'=6?=9hh97)782;c15>">>?0:h=5+47c97>N3:5c9c8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7e8o0:6;4?:1y'01?=<;80(4=6:0ca6>">?;0j><5+97495a6<,=5G4548jd532j2i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>b1g95?0=83:p(9:6:501?!?413;jn?5+9609e77<,0<=6=7co<4;a;g>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9k8i6<49:183!2313>9>6*63882eg4<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0h4i5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0`1e?7=>3:1d19'03g=;2B?8;5aa269g=c5<5<5<44>:783>5}#<=318?<4$81:>4ge:2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2f7>=93<1<7>t$56:>1453-3857?nb39'=24=i;;0(489:0f3?!21i390D9:9;oc00?e>82c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=o<8:085>5<7s->?57:=2:&:7<<6ik80(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94l909j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4d5>3;1:7>50z&70<<3:;1/5>751``1?!?0:3k9=6*66782`5=#2900qo?m2282>3<729q/89754308 <5>28ki>6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:b;0?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6j821=7850;2x 12>2=897)7<9;3bf7=#1>81m??4$845>4b73->=m7=4H565?kg4<3i286g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1c2g>4<1290;w):;9;616>">;00:mo<4$851>d463-3=:7?k0:&72d<43A>?:6`n358`=0=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;95dd53-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1o484i3:g>5<6=44i35b>5<5<f?03`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:n;j51;494?6|,=>269<=;%;0=?7fj;1/5:<5a338 <0128n;7):9a;18L1213gk887m68:k1ac08 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5c8c8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7e>00:6;4?:1y'01?=<;80(4=6:0ca6>">?;0j><5+97495a6<,=5G4548jd532j3i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>b7:95?0=83:p(9:6:501?!?413;jn?5+9609e77<,0<=6=7co<4;a:g>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9k<<6<49:183!2313>9>6*63882eg4<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0h5i5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0`52?7=>3:1d19'03g=;2B?8;5aa269g5<5<5<:783>5}#<=318?<4$81:>4ge:2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2f32=93<1<7>t$56:>1453-3857?nb39'=24=i;;0(489:0f3?!21i390D9:9;oc00?ef82c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=o8<:085>5<7s->?57:=2:&:7<<6ik80(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94la09j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4d1:3;1:7>50z&70<<3:;1/5>751``1?!?0:3k9=6*66782`5=#2900qo?m6182>3<729q/89754308 <5>28ki>6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:bc0?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6j2=897)7<9;3bf7=#1>81m??4$845>4b73->=m7=4H565?kg4<3ij86g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1c7f>4<1290;w):;9;616>">;00:mo<4$851>d463-3=:7?k0:&72d<43A>?:6`n358`e0=n:1n1<75f27794?=n:>k1<75f8e294?=n:h7?56;294~"3<00?>?5+92;95dd53-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1ol84i3:g>5<6=44i35b>5<5<fg03`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:n8l51;494?6|,=>269<=;%;0=?7fj;1/5:<5a338 <0128n;7):9a;18L1213gk887mn8:k1ac08 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5c`c8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7e=10:6;4?:1y'01?=<;80(4=6:0ca6>">?;0j><5+97495a6<,=5G4548jd532jki7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>b4595?0=83:p(9:6:501?!?413;jn?5+9609e77<,0<=6=7co<4;abg>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9k=?6<49:183!2313>9>6*63882eg4<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0hmi5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0`47?7=>3:1d19'03g=;2B?8;5aa269gdc5<5<5<:783>5}#<=318?<4$81:>4ge:2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2f27=93<1<7>t$56:>1453-3857?nb39'=24=i;;0(489:0f3?!21i390D9:9;oc00?ee82c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=o9?:085>5<7s->?57:=2:&:7<<6ik80(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94lb09j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4d1n3;1:7>50z&70<<3:;1/5>751``1?!?0:3k9=6*66782`5=#2900qo?m6b82>3<729q/89754308 <5>28ki>6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:b`0?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6j?;1=7850;2x 12>2=897)7<9;3bf7=#1>81m??4$845>4b73->=m7=4H565?kg4<3ii86g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1c75>4<1290;w):;9;616>">;00:mo<4$851>d463-3=:7?k0:&72d<43A>?:6`n358`f0=n:1n1<75f27794?=n:>k1<75f8e294?=n:97?56;294~"3<00?>?5+92;95dd53-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1oo84i3:g>5<6=44i35b>5<5<<,09260D9:9;oc00?ee?2c94i4?:I6ba>=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0cf0?7=>3:1d19'03g=;2B?8;5aa269gg>5<5<5<5}#1>81>494$81:>d5f3-8<5793-8<:7:>d:&13=<0;m1/>4759758 7?f2=hn7)=;5;6aa>"4n002:k5+957904b<,0==69?k;%043?dd3->:n7<:3:l;gd<73-9m9779f:J703=]:<:1?vj?:g4957d=ugk887mm9:k130<722c9;=4?::k;ac<722c8j54?::k;`=<722c3h:4?::k;`0<722c?8n4?::k70g<722c94?4?::k1<6<722c9494?::k1<4<722c9454?::k1<2<722c94;4?::k:1a<722c29n4?::k:1g<722c29l4?::k:1<<722e9:;4?::m12g<722e9::4?::m12=<722e9:44?::m12d<722e9:h4?::m134<722e9;?4?::m13f<722e?nn4?::m;`3<722e28h4?::m:0a<722e28n4?::m:0g<722e28l4?::m:0<<722e2854?::m:02<722wim>l51;0:>5<7s-3<>7<67:&:7<:7572f8b70a2;1e>:=58:l131<6?2.9;;4;1e9'62>=?:n0(?76:844?!4>i3>ii6*<4487f`=#;o315;h4$866>17c3-3<:7:>d:&132uk0;d5>44e2tdj?94lb`9j623=83B?=h54i353>5<5<5<6=44i56`>5<i6=44i3:1>5<5<5<5<5<5<5<5<5<5<5<5<5<o6=44o86`>5<i6=44o86b>5<26=44o86;>5<<6=44}cc77?7=:3:1o4$37b>6b?3->i87::e:&71g<43A>?:6`n358`fg=n<<>1<75`72d94?=zjh>36<49:183!2313>9>6*6388b7d=#1>81m??4$845>4b73->=m76;;I672>hf;=0hnn5f29f94?=n:??1<75f26c94?=n0m:1<7F;ad98m73a2900c5j6:188ygg313;1:7>50z&70<<3:;1/5>75a2c8 <152h8:7)796;3g4>"3>h0386F;479me62=kkn0e?6k:188m7022900e?9n:188m=b7290C8lk4;h06b?6=3f2o57>5;|`2e00=93<1<7>t$56:>14?3-3857?n209'=24=i;;0(489:846?!21i390D9:9;oc00?eem2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=l;>:085>5<7s->?57:=8:&:7<<6i;;0(49=:`02?!?1>33=96*;6`80?M23>2dj?94lbg9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4g2?3;1:7>50z&70<<3:11/5>751`02?!?0:3k9=6*6678:20=#2900qo?n5382>3<729q/897543:8 <5>28k9=6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:ba2?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6i<>1=7850;2x 12>2=837)7<9;3b64=#1>81m??4$845><023->=m7=4H565?kg4<3ih>6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1`76>4<1290;w):;9;61<>">;00:m??4$851>d463-3=:7795:&72d<43A>?:6`n358`g6=n:1n1<75f27794?=n:>k1<75f8e294?=n:?7?56;294~"3<00?>55+92;95d463-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1on:4i3:g>5<6=44i35b>5<5<<,0926fe23`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:m;=51;494?6|,=>269<7;%;0=?7f:81/5:<5a338 <0120<>7):9a;18L1213gk887ml6:k1a338 <152h8:7)796;;51>"3>h087E:;6:lb711b>5j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5cb:8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7f>?0:6;4?:1y'01?=<;20(4=6:0c15>">?;0j><5+9749=33<,=5G4548jd532ji27d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>a7595?0=83:p(9:6:50;?!?413;j><5+9609e77<,0<=648:;%65e?5<@=>=7co<4;a`e>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9h<36<49:183!2313>946*63882e77<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0hoo5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0c5=?7=>3:1;%;46?g592.2:;46649'03g=;2B?8;5aa269gfe5<5<5<:783>5}#<=318?64$81:>4g592.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2e3d=93<1<7>t$56:>14?3-3857?n209'=24=i;;0(489:846?!21i390D9:9;oc00?edm2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=l:?:087>5<7s-3<>7o=4:&:7<<6i;;0D9:9;oc00?edn2c?984?::k713<722c2>;4?::m47c<722wi=l:<:087>5<7s-3<>7o=3:&:7<<6i;;0D9:9;oc00?ec82c?984?::k713<722c2>;4?::m47c<722wi=l:>:087>5<7s-3<>7o=3:&:7<<6i;;0D9:9;oc00?ec92c?984?::k713<722c2>;4?::m47c<722wi=l<=:087>5<7s-3<>7o=2:&:7<<6i;;0D9:9;oc00?ec:2c95o4?::k126<722c2>;4?::m47c<722wi=l=::080>5<7s-3<>7o=6:&:7<<6i;;0D9:9;oc00?ec;2c?n:4?::k126<722ea338L1213gk887mk4:k1=g<722c9:>4?::k:63<722e2.2?44>a338L1213gk887mk5:k7f2<722c9:>4?::m47c<722wi=l<::087>5<7s-3<>7o=2:&:7<<6i;;0D9:9;oc00?ec>2c95o4?::k126<722c2>;4?::m47c<722wi=l=8:080>5<7s-3<>7o=6:&:7<<6i;;0D9:9;oc00?ec?2c?n:4?::k126<722ea338L1213gk887mk8:k1=g<722c9:>4?::k:63<722e2.2?44>a338L1213gk887mk9:k7f2<722c9:>4?::m47c<722wi=l<6:087>5<7s-3<>7o=2:&:7<<6i;;0D9:9;oc00?eci2c95o4?::k126<722c2>;4?::m47c<722wi=l=6:080>5<7s-3<>7o=6:&:7<<6i;;0D9:9;oc00?ecj2c?n:4?::k126<722ea338L1213gk887mkc:k1=g<722c9:>4?::k:63<722e2.2?44>a338L1213gk887mkd:k7f2<722c9:>4?::m47c<722wi=l5<7s-3<>7o=2:&:7<<6i;;0D9:9;oc00?ecm2c95o4?::k126<722c2>;4?::m47c<722wi=l=m:080>5<7s-3<>7o=6:&:7<<6i;;0D9:9;oc00?ecn2c?n:4?::k126<722ea338L1213gk887mj0:k1=g<722c9:>4?::k:63<722e2.2?44>a338L1213gk887mj1:k7f2<722c9:>4?::m47c<722wi=l=>:087>5<7s-3<>7o=2:&:7<<6i;;0D9:9;oc00?eb:2c95o4?::k126<722c2>;4?::m47c<722wi=l=k:080>5<7s-3<>7o=6:&:7<<6i;;0D9:9;oc00?eb;2c?n:4?::k126<722e2.2?44>a338L1213gk887mj4:k7f2<722c9:>4?::m47c<722wi=l?;:085>5<7s->?57:=8:&:7<<6i890(49=:`02?!?1>33=96*;6`80?M23>2dj?94le49j6=b=83B?mh54i346>5<5<5<:783>5}#<=318?<4$81:>4g6;2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2e2c=93<1<7>t$56:>14?3-3857?n129'=24=i;;0(489:846?!21i390D9:9;oc00?eb?2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=l9m:085>5<7s->?57:=8:&:7<<6i890(49=:`02?!?1>33=96*;6`80?M23>2dj?94le99j6=b=83B?mh54i346>5<5<5<:783>5}#<=318?64$81:>4g6;2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2e4b=93<1<7>t$56:>14?3-3857?n129'=24=i;;0(489:846?!21i390D9:9;oc00?ebi2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=l97:085>5<7s->?57:=8:&:7<<6i890(49=:`02?!?1>33=96*;6`80?M23>2dj?94lec9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4g0?3;1:7>50z&70<<3:11/5>751`30?!?0:3k9=6*6678:20=#2900qo?n7782>3<729q/897543:8 <5>28k:?6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:bgg?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6jo31=7850;2x 12>2=837)7<9;3ag1=#1>81m??4$845><023->=m7=4H565?kg4<3ini6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1cd7>4<1290;w):;9;61<>">;00:nn:4$851>d463-3=:7795:&72d<43A>?:6`n358`ac=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95ge33-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1ok>4i3:g>5<6=44i35b>5<5<<,0926f`63`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:nk951;494?6|,=>269<7;%;0=?7ek=1/5:<5a338 <0120<>7):9a;18L1213gk887mi2:k1bb68 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5cg68m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg7d8<0:6;4?:1y'01?=<;20(4=6:0``0>">?;0j><5+9749=33<,=5G4548jd532jl>7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl>c1495?0=83:p(9:6:50;?!?413;io95+9609e77<,0<=648:;%65e?5<@=>=7co<4;ae2>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9j:<6<49:183!2313>946*63882ff2<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0hj:5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0a33:15<5<5<:783>5}#<=318?64$81:>4dd<2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2g5g=93<1<7>t$56:>14?3-3857?mc59'=24=i;;0(489:846?!21i390D9:9;oc00?eai2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=n>m:085>5<7s->?57:=8:&:7<<6jj>0(49=:`02?!?1>33=96*;6`80?M23>2dj?94lfc9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f4e7k3;1:7>50z&70<<3:11/5>751ca7?!?0:3k9=6*6678:20=#2900qo?l0e82>3<729q/897543:8 <5>28hh86*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:bdg?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6k9o1=7850;2x 12>2=837)7<9;3ag1=#1>81m??4$845><023->=m7=4H565?kg4<3imi6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1cg0>4<3290;w)782;c10>">;00:nn:4H565?kg4<3imj6g;5483>>o3=?0;66g62783>>i0;o0;66sm1cg5>4<3290;w)782;c17>">;00:nn:4H565?kg4<3n;<6g;5483>>o3=?0;66g62783>>i0;o0;66sm1cg7>4<3290;w)782;c17>">;00:nn:4H565?kg4<3n;=6g;5483>>o3=?0;66g62783>>i0;o0;66sm1ca6>4<3290;w)782;c16>">;00:nn:4H565?kg4<3n;>6g=9c83>>o5>:0;66g62783>>i0;o0;66sm1cf;>4<4290;w)782;c12>">;00:nn:4H565?kg4<3n;?6g;b683>>o5>:0;66a83g83>>{e9ki=6<4;:183!?0:3k9>6*63882ff2<@=>=7co<4;f30>o51k0;66g=6283>>o>:?0;66a83g83>>{e9kn26<4<:183!?0:3k9:6*63882ff2<@=>=7co<4;f31>o3j>0;66g=6283>>i0;o0;66sm1ca;>4<3290;w)782;c16>">;00:nn:4H565?kg4<3n;:6g=9c83>>o5>:0;66g62783>>i0;o0;66sm1cfb>4<4290;w)782;c12>">;00:nn:4H565?kg4<3n;;6g;b683>>o5>:0;66a83g83>>{e9kij6<4;:183!?0:3k9>6*63882ff2<@=>=7co<4;f3<>o51k0;66g=6283>>o>:?0;66a83g83>>{e9kni6<4<:183!?0:3k9:6*63882ff2<@=>=7co<4;f3=>o3j>0;66g=6283>>i0;o0;66sm1ca`>4<3290;w)782;c16>">;00:nn:4H565?kg4<3n;m6g=9c83>>o5>:0;66g62783>>i0;o0;66sm1cf`>4<4290;w)782;c12>">;00:nn:4H565?kg4<3n;n6g;b683>>o5>:0;66a83g83>>{e9kin6<4;:183!?0:3k9>6*63882ff2<@=>=7co<4;f3g>o51k0;66g=6283>>o>:?0;66a83g83>>{e9kno6<4<:183!?0:3k9:6*63882ff2<@=>=7co<4;f3`>o3j>0;66g=6283>>i0;o0;66sm1cf3>4<3290;w)782;c16>">;00:nn:4H565?kg4<3n;i6g=9c83>>o5>:0;66g62783>>i0;o0;66sm1cff>4<4290;w)782;c12>">;00:nn:4H565?kg4<3n;j6g;b683>>o5>:0;66a83g83>>{e9kn96<4;:183!?0:3k9>6*63882ff2<@=>=7co<4;f24>o51k0;66g=6283>>o>:?0;66a83g83>>{e9knm6<4<:183!?0:3k9:6*63882ff2<@=>=7co<4;f25>o3j>0;66g=6283>>i0;o0;66sm1cf7>4<3290;w)782;c16>">;00:nn:4H565?kg4<3n:>6g=9c83>>o5>:0;66g62783>>i0;o0;66sm1cg3>4<4290;w)782;c12>">;00:nn:4H565?kg4<3n:?6g;b683>>o5>:0;66a83g83>>{e9ko:6<4<:183!?0:3k9:6*63882ff2<@=>=7co<4;f20>o3j>0;66g=6283>>i0;o0;66sm1c`4>4<1290;w):;9;61<>">;00:no84$851>d463-3=:7795:&72d<43A>?:6`n358g50=n:1n1<7F;ad98m7022900e?9n:188m=b72900e?;i:188k=b>2900qo?l2582>3<729q/89754308 <5>28hi:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:e35?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6k;;1=7850;2x 12>2=837)7<9;3af3=#1>81m??4$845><023->=m7=4H565?kg4<3n:;6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1b3f>4<1290;w):;9;61<>">;00:no84$851>d463-3=:7795:&72d<43A>?:6`n358g5==n:1n1<7F;ad98m7022900e?9n:188m=b72900e?;i:188k=b>2900qo?mbb82>3<729q/897543:8 <5>28hi:6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:e3:?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6jj:1=7850;2x 12>2=837)7<9;3af3=#1>81m??4$845><023->=m7=4H565?kg4<3n:m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1b3a>4<1290;w):;9;61<>">;00:no84$851>d463-3=:7795:&72d<43A>?:6`n358g5g=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;95gd13-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1h5<6=44i35b>5<5<<,0926a7c3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9ni851;494?6|,=>269<=;%;0=?4e:l1/5:<5a338 <0128n;7):9a;18L1213gk887j>e:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5d328m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4el90:6;4?:1y'01?=<;20(4=6:3`1a>">?;0j><5+9749=33<,=5G4548jd532m8:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=bg;95?0=83:p(9:6:50;?!?4138i>h5+9609e77<,0<=648:;%65e?5<@=>=7co<4;f16>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:j:n6<49:183!2313>9>6*63881f7c<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0o>>5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3a3`?7=>3:1od19'03g=;2B?8;5aa269`725<5<5<:783>5}#<=318?<4$81:>7d5m2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1g5d=93<1<7>t$56:>1453-38572c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>n>n:085>5<7s->?57:=2:&:7<<5j;o0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94k269j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7e713;1:7>50z&70<<3:;1/5>752c0f?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>2;h9i6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:e0:?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5k9?1=7850;2x 12>2=897)7<9;0a6`=#1>81m??4$845>4b73->=m7=4H565?kg4<3n9m6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2cgf>4<1290;w):;9;616>">;009n?k4$851>d463-3=:7?k0:&72d<43A>?:6`n358g6g=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96g4b3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1h?m4i3:g>5<6=44i35b>5<5<a4c3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9nk?51;494?6|,=>269<=;%;0=?4e:l1/5:<5a338 <0128n;7):9a;18L1213gk887j=e:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5d228m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4en=0:6;4?:1y'01?=<;80(4=6:3`1a>">?;0j><5+97495a6<,=5G4548jd532m9:7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=bg795?0=83:p(9:6:501?!?4138i>h5+9609e77<,0<=6=7co<4;f06>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:j8;6<49:183!2313>946*63881g5`<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0o?>5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3a23:1n>i;%;46?g592.2:;46649'03g=;2B?8;5aa269`62c83:17d<:f;29?j>c13:17pl=c0;95?0=83:p(9:6:50;?!?4138h=7co<4;f01>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:j;j6<49:183!2313>946*63881g5`<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0o?;5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3a2f?7=>3:1n>i;%;46?g592.2:;46649'03g=;2B?8;5aa269`615<5<5<>4>:783>5}#<=318?64$81:>7d5m2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1g70=93<1<7>t$56:>14?3-3857o8m:080>5<7s-3<>7o=6:&:7<<5j:<0D9:9;oc00?b4i2c?n:4?::k126<722e2.2?44=b248L1213gk887j4?::m47c<722wi>o=k:087>5<7s-3<>7o=2:&:7<<5j:<0D9:9;oc00?b4k2c95o4?::k126<722c2>;4?::m47c<722wi>o86:080>5<7s-3<>7o=6:&:7<<5j:<0D9:9;oc00?b4l2c?n:4?::k126<722e4?::k:63<722e2.2?44=b248L1213gk887j4?::m47c<722wi>o=m:087>5<7s-3<>7o=2:&:7<<5j:<0D9:9;oc00?b382c95o4?::k126<722c2>;4?::m47c<722wi>o88:080>5<7s-3<>7o=6:&:7<<5j:<0D9:9;oc00?b392c?n:4?::k126<722e4?::k:63<722e2.2?44=b248L1213gk887j;3:k7f2<722c9:>4?::m47c<722wi>o=6:087>5<7s-3<>7o=2:&:7<<5j:<0D9:9;oc00?b3<2c95o4?::k126<722c2>;4?::m47c<722wi>o9;:080>5<7s-3<>7o=6:&:7<<5j:<0D9:9;oc00?b3=2c?n:4?::k126<722e4?::k:63<722e2.2?44=b248L1213gk887j;7:k7f2<722c9:>4?::m47c<722wi>o:::087>5<7s-3<>7o=2:&:7<<5j:<0D9:9;oc00?b302c95o4?::k126<722c2>;4?::m47c<722wi>o9=:080>5<7s-3<>7o=6:&:7<<5j:<0D9:9;oc00?b312c?n:4?::k126<722e4?::k:63<722e2.2?44=b248L1213gk887j;b:k7f2<722c9:>4?::m47c<722wi>o:<:087>5<7s-3<>7o=2:&:7<<5j:<0D9:9;oc00?b3k2c95o4?::k126<722c2>;4?::m47c<722wi>o9?:080>5<7s-3<>7o=6:&:7<<5j:<0D9:9;oc00?b3l2c?n:4?::k126<722e4?::k:63<722e2.2?44=b248L1213gk887j;f:k7f2<722c9:>4?::m47c<722wi>o:>:087>5<7s-3<>7o=2:&:7<<5j:<0D9:9;oc00?b282c95o4?::k126<722c2>;4?::m47c<722wi>o8j:080>5<7s-3<>7o=6:&:7<<5j:<0D9:9;oc00?b292c?n:4?::k126<722e4?::k:63<722e2.2?44=b248L1213gk887j:3:k7f2<722c9:>4?::m47c<722wi>o=i:087>5<7s-3<>7o=2:&:7<<5j:<0D9:9;oc00?b2<2c95o4?::k126<722c2>;4?::m47c<722wi>o8l:080>5<7s-3<>7o=6:&:7<<5j:<0D9:9;oc00?b2=2c?n:4?::k126<722e4?::k:63<722e2.2?44=b248L1213gk887j:7:k7f2<722c9:>4?::m47c<722wi>o=8:087>5<7s-3<>7o=2:&:7<<5j:<0D9:9;oc00?b202c95o4?::k126<722c2>;4?::m47c<722wi>o6i:085>5<7s->?57:=2:&:7<<5j:<0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94k589j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7d?m3;1:7>50z&70<<3:;1/5>752c15?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>2;h8:6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:e7a?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5j1i1=7850;2x 12>2=897)7<9;0a73=#1>81m??4$845>4b73->=m7=4H565?kg4<3n>o6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2c:a>4<1290;w):;9;616>">;009n>84$851>d463-3=:7?k0:&72d<43A>?:6`n358g1a=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96g513-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1h8k4i3:g>5<6=44i35b>5<5<a3a3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9n4851;494?6|,=>269<=;%;0=?4e;?1/5:<5a338 <0128n;7):9a;18L1213gk887j90:k1"3>h087E:;6:lb7181b>5j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5d708m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4e1:0:6;4?:1y'01?=<;80(4=6:3`02>">?;0j><5+97495a6<,=5G4548jd532m<87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=b8095?0=83:p(9:6:501?!?4138i?;5+9609e77<,0<=6=7co<4;f50>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:k3:6<49:183!2313>9>6*63881f60<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0o:85f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3`:4?7=>3:1o=9;%;46?g592.2:;4>d19'03g=;2B?8;5aa269`305<5<5<:783>5}#<=318?<4$81:>7d4>2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1f=>=93<1<7>t$56:>1453-3857o9::085>5<7s->?57:=8:&:7<<5j:<0(49=:`02?!?1>33=96*;6`80?M23>2dj?94k689j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7gan3;1:7>50z&70<<3:;1/5>752`f;?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>2;ko46*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:e4a?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5j8n1=7850;2x 12>2=897)7<9;0b`==#1>81m??4$845>4b73->=m7=4H565?kg4<3n=o6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2c3f>4<1290;w):;9;616>">;009mi64$851>d463-3=:7?k0:&72d<43A>?:6`n358g2a=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96db?3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1h;k4i3:g>5<6=44i35b>5<5<a0a3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9n??51;494?6|,=>269<=;%;0=?4fl11/5:<5a338 <0128n;7):9a;18L1213gk887j80:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5d608m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4fm80:6i4?:1y'=24=0l;0(4=6:3cg<>"3>h0>7E:;6:lb7150;9~f7gb:3;1h7>50z&:37752`f;?!21i3?0D9:9;oc00?b0<2c2;h4?::k1b92.2?44=ae:8 10f2<1C8984n`17>a123`35;h0;`?6=3`35;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;h1e5?6=3`9m>7>5;h1e7?6=3`9m87>5;n;03?6=3f9m<7>5;|`1e`2=93n1<7>t$851>=c63-38575<5<:6=44i06b>5<i6=44i2d2>5<5<5<?:6`n358g32=n1>o1<75f29f94?=n1>n1<75f12d94?=n9=;1<75f15c94?=n9=h1<75f3g394?=n;o81<75f3g194?=n;o>1<75`92594?=h;o:1<75rb3cflj7;%65e?3<@=>=7co<4;f4<>o>?l0;66g=8e83>>o>?m0;66g>3g83>>o6<80;66g>4`83>>o6>o4n;0;66g>o4n=0;66a63683>>i4n90;66sm2`g5>4">;009mi64$54b>0=O<=<0bl=;:e5:?l?0m3:17d<7d;29?l?0l3:17d?N3:5d6c8m<1b2900e?6k:188m<1c2900e<=i:188m4262900e<:n:188m42e2900e>h>:188m6`52900e>h<:188m6`32900c4=8:188k6`72900qo3<729q/897543:8 <5>2;ko46*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:e5a?l4?l3:1D9oj;:k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>o<6:085>5<7s->?57:=8:&:7<<5im20(49=:`02?!?1>33=96*;6`80?M23>2dj?94k7b9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7d5i3;1:7>50z&70<<3:11/5>752`f;?!?0:3k9=6*6678:20=#n0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo3<729q/897543:8 <5>2;ko46*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:e5f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5j9o1=7850;2x 12>2=837)7<9;0b`==#1>81m??4$845><023->=m7=4H565?kg4<3nM2fm21b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7d7n3;1:7>50z&70<<3:11/5>752`f;?!?0:3k9=6*6678:20=#2900qo3<729q/897543:8 <5>2;ko46*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:e:2?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5j8;1=7850;2x 12>2=837)7<9;0b`==#1>81m??4$845><023->=m7=4H565?kg4<3n3>6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2b4`>4<1290;w):;9;61<>">;009o?j4$851>d463-3=:7795:&72d<43A>?:6`n358g<6=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96f4c3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1h5:4i3:g>5<6=44i35b>5<5<a>23`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9o5951;494?6|,=>269<=;%;0=?4d:m1/5:<5a338 <0128n;7):9a;18L1213gk887j76:k1?280=6=4?{%67=?25:2.2?44=c3f8 <152h8:7)796;3g4>"3>h087E:;6:lb711b>5j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5d9:8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4d0h0:6;4?:1y'01?=<;80(4=6:3a1`>">?;0j><5+97495a6<,=5G4548jd532m227d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=c9`95?0=83:p(9:6:501?!?4138h>i5+9609e77<,0<=6=7co<4;f;e>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:j2h6<49:183!2313>9>6*63881g7b<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0o4o5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3a;`?7=>3:1nd19'03g=;2B?8;5aa269`=e5<5<5<:783>5}#<=318?<4$81:>7e5l2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1g=7=93<1<7>t$56:>1453-3857n6=:085>5<7s->?57:=2:&:7<<5k;n0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94k8g9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7e?;3;1:7>50z&70<<3:;1/5>752b0g?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>2;i9h6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:e;2?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5k1?1=7850;2x 12>2=897)7<9;0`6a=#1>81m??4$845>4b73->=m7=4H565?kg4<3n2>6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2b:5>4<1290;w):;9;616>">;009o?j4$851>d463-3=:7?k0:&72d<43A>?:6`n358g=6=n:1n1<75f27794?=n:>k1<75f8e294?=n:?;0j>?5+92;96f4c3A>?:6`n358g=1=n:0h1<75f27194?=n1;<1<75`72d94?=zj;i>o7?53;294~">?;0j>;5+92;96f4c3A>?:6`n358g=0=nnhf;=0o5;5f28`94?=n:?91<75f93494?=h?:l1<75rb3a57?7=;3:1nhf;=0o5:5f4c594?=n:?91<75`72d94?=zj;i8:7?54;294~">?;0j>?5+92;96f4c3A>?:6`n358g===n:0h1<75f27194?=n1;<1<75`72d94?=zj;i=87?53;294~">?;0j>;5+92;96f4c3A>?:6`n358g=<=nnhf;=0o5l5f28`94?=n:?91<75f93494?=h?:l1<75rb3a51?7=;3:1nhf;=0o5o5f4c594?=n:?91<75`72d94?=zj;i847?54;294~">?;0j>?5+92;96f4c3A>?:6`n358g=f=n:0h1<75f27194?=n1;<1<75`72d94?=zj;i=:7?53;294~">?;0j>;5+92;96f4c3A>?:6`n358g=a=nnhf;=0o5h5f28`94?=n:?91<75f93494?=h?:l1<75rb3a53?7=;3:1nhf;=0o5k5f4c594?=n:?91<75`72d94?=zj;i8m7?54;294~">?;0j>?5+92;96f4c3A>?:6`n358ge5=n:0h1<75f27194?=n1;<1<75`72d94?=zj;i=47?53;294~">?;0j>;5+92;96f4c3A>?:6`n358ge4=nnhf;=0om?5f28`94?=n:?91<75f93494?=h?:l1<75rb3a5=?7=;3:1nhf;=0om>5f4c594?=n:?91<75`72d94?=zj;i8o7?54;294~">?;0j>?5+92;96f4c3A>?:6`n358ge1=n:0h1<75f27194?=n1;<1<75`72d94?=zj;i=m7?53;294~">?;0j>;5+92;96f4c3A>?:6`n358ge0=nnhf;=0om;5f28`94?=n:?91<75f93494?=h?:l1<75rb3a5f?7=;3:1nhf;=0om:5f4c594?=n:?91<75`72d94?=zj;i8<7?54;294~">?;0j>?5+92;96f4c3A>?:6`n358ge==n:0h1<75f27194?=n1;<1<75`72d94?=zj;i>h7?53;294~">?;0j>;5+92;96f4c3A>?:6`n358ge<=nnhf;=0oml5f28`94?=n:?91<75f93494?=h?:l1<75rb3a6a?7=;3:1nhf;=0omo5f4c594?=n:?91<75`72d94?=zj;i8>7?54;294~">?;0j>?5+92;96f4c3A>?:6`n358gef=n:0h1<75f27194?=n1;<1<75`72d94?=zj;i>j7?53;294~">?;0j>;5+92;96f4c3A>?:6`n358gea=nnhf;=0omh5f28`94?=n:?91<75f93494?=h?:l1<75rb3a54?7=;3:1nhf;=0omk5f4c594?=n:?91<75`72d94?=zj;i887?54;294~">?;0j>?5+92;96f4c3A>?:6`n358gf5=n:0h1<75f27194?=n1;<1<75`72d94?=zj;i==7?53;294~">?;0j>;5+92;96f4c3A>?:6`n358gf4=nnhf;=0on?5f4c594?=n:?91<75`72d94?=zj;n>57?56;294~"3<00?>55+92;96a7f3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1ho=4i3:g>5<6=44i35b>5<5<a:&:37ad33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9h;j51;494?6|,=>269<=;%;0=?4c9h1/5:<5a338 <0128n;7):9a;18L1213gk887jm5:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5dc58m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4c??0:6;4?:1y'01?=<;80(4=6:3f2e>">?;0j><5+97495a6<,=5G4548jd532mh37d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=d6595?0=83:p(9:6:501?!?4138o=l5+9609e77<,0<=6=7co<4;fa=>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:m=36<49:183!2313>9>6*63881`4g<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0onl5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3f4=?7=>3:1i?n;%;46?g592.2:;4>d19'03g=;2B?8;5aa269`gd5<5<5<:783>5}#<=318?<4$81:>7b6i2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1`2d=93<1<7>t$56:>1453-3857i8j:085>5<7s->?57:=2:&:7<<5l8k0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94kbd9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7b1n3;1:7>50z&70<<3:;1/5>752e3b?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>2;n:m6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:ea3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5l>;1=7850;2x 12>2=897)7<9;0g5d=#1>81m??4$845>4b73->=m7=4H565?kg4<3nh=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2e51>4<1290;w):;9;616>">;009hd463-3=:7?k0:&72d<43A>?:6`n358gg7=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96a7f3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1hn=4i3:g>5<6=44i35b>5<5<a:J703=ii:>1hn:4i3;a>5<5<9m6=44}c0g0<<62:0;6=u+9609e70<,0926?j>a:J703=ii:>1hn;4i5`4>5<5<?4>:583>5}#1>81m?<4$81:>7b6i2B?8;5aa269`f05<5<:283>5}#1>81m?84$81:>7b6i2B?8;5aa269`f15<9m6=44}c0g66<62=0;6=u+9609e74<,0926?j>a:J703=ii:>1hn64i3;a>5<5<9m6=44}c0g14<62:0;6=u+9609e70<,0926?j>a:J703=ii:>1hn74i5`4>5<5<94>:583>5}#1>81m?<4$81:>7b6i2B?8;5aa269`fg5<5<:283>5}#1>81m?84$81:>7b6i2B?8;5aa269`fd5<9m6=44}c0g60<62=0;6=u+9609e74<,0926?j>a:J703=ii:>1hnm4i3;a>5<5<9m6=44}c0g16<62:0;6=u+9609e70<,0926?j>a:J703=ii:>1hnj4i5`4>5<5<;4>:583>5}#1>81m?<4$81:>7b6i2B?8;5aa269`fc5<5<:283>5}#1>81m?84$81:>7b6i2B?8;5aa269`f`5<9m6=44}c0g62<62=0;6=u+9609e74<,0926?j>a:J703=ii:>1hi>4i3;a>5<5<9m6=44}c0g10<62:0;6=u+9609e70<,0926?j>a:J703=ii:>1hi?4i5`4>5<5<54>:583>5}#1>81m?<4$81:>7b6i2B?8;5aa269`a45<5<:283>5}#1>81m?84$81:>7b6i2B?8;5aa269`a55<9m6=44}c0g6<<62=0;6=u+9609e74<,0926?j>a:J703=ii:>1hi:4i3;a>5<5<9m6=44}c0g12<62:0;6=u+9609e70<,0926?j>a:J703=ii:>1hi;4i5`4>5<5<l4>:583>5}#1>81m?<4$81:>7b6i2B?8;5aa269`a05<5<:283>5}#1>81m?84$81:>7b6i2B?8;5aa269`a15<9m6=44}c0g5a<62=0;6=u+9609e74<,0926?j>a:J703=ii:>1hi64i3;a>5<5<9m6=44}c0g0d<62:0;6=u+9609e70<,0926?j>a:J703=ii:>1hi74i5`4>5<5<:583>5}#1>81m?<4$81:>7b6i2B?8;5aa269`ag5<5<:283>5}#1>81m?84$81:>7b6i2B?8;5aa269`ad5<9m6=44}c0g5c<62=0;6=u+9609e74<,0926?j>a:J703=ii:>1him4i3;a>5<5<9m6=44}c0g0f<62:0;6=u+9609e70<,0926?j>a:J703=ii:>1hij4i5`4>5<5<=4>:583>5}#1>81m?<4$81:>7b6i2B?8;5aa269`ac5<5<:283>5}#1>81m?84$81:>7b6i2B?8;5aa269`a`5<9m6=44}c0g64<62=0;6=u+9609e74<,0926?j>a:J703=ii:>1hh>4i3;a>5<5<9m6=44}c0g0`<62:0;6=u+9609e70<,0926?j>a:J703=ii:>1hh?4i5`4>5<5<:283>5}#1>81m?84$81:>7b6i2B?8;5aa269``45<9m6=44}c0g43<62?0;6=u+45;9074<,0926?ml5:&:37ac43`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9h=951;494?6|,=>269<=;%;0=?4dk<1/5:<5a338 <0128n;7):9a;18L1213gk887jj4:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l7)782;c15>">>?0:h=5+47c97>N3:5dd48m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4c8h0:6;4?:1y'01?=<;80(4=6:3a`1>">?;0j><5+97495a6<,=5G4548jd532mo<7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=d1`95?0=83:p(9:6:501?!?4138ho85+9609e77<,0<=6=7co<4;ff<>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:m:h6<49:183!2313>9>6*63881gf3<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0oi45f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3f3`?7=>3:1nm:;%;46?g592.2:;4>d19'03g=;2B?8;5aa269``g5<5<5<:783>5}#<=318?64$81:>7ed=2.2;?4n209'=30=1??0(98n:968L1213gk887jjb:k1ji65f27794?=n:>k1<75f8e294?=n:55+92;96fe23-3<>7o=1:&:23<>><1/8;o5859K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1g`6=93<1<7>t$56:>14?3-3857nml:085>5<7s->?57:=8:&:7<<5kj?0(49=:`02?!?1>33=96*;6`80?M23>2dj?94ked9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7edl3;1:7>50z&70<<3:11/5>752ba6?!?0:3k9=6*6678:20=#2900qo3<729q/897543:8 <5>2;ih96*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:ed3?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5kjl1=7850;2x 12>2=837)7<9;0`g0=#1>81m??4$845><023->=m7=4H565?kg4<3nm=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2bfg>4<1290;w):;9;61<>">;009on;4$851>d463-3=:7795:&72d<43A>?:6`n358gb7=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96fe23-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1hk=4i3:g>5<6=44i35b>5<5<a`33`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9ok851;494?6|,=>269<=;%;0=?4dk<1/5:<5a338 <0128n;7):9a;18L1213gk887ji5:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l7)782;c15>">>?0:h=5+47c97>N3:5dg58m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4dn00:6;4?:1y'01?=<;80(4=6:3a`1>">?;0j><5+97495a6<,=5G4548jd532ml37d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=cgc95?0=83:p(9:6:501?!?4138ho85+9609e77<,0<=6=7co<4;fe=>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:jli6<49:183!2313>9>6*63881gf3<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0ojl5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3ca6?7=>3:1ll>;%;46?g592.2:;46649'03g=;2B?8;5aa269`cd5<5<5<:783>5}#<=318?<4$81:>7ge92.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1ef?=93<1<7>t$56:>1453-3857lm7:085>5<7s->?57:=2:&:7<<5ik;0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94kfd9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7gd?3;1:7>50z&70<<3:;1/5>752``2?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>2;ki=6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:d23?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5ij?1=7850;2x 12>2=897)7<9;0bf4=#1>81m??4$845>4b73->=m7=4H565?kg4<3o;=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2`f1>4<1290;w):;9;616>">;009mo?4$851>d463-3=:7?k0:&72d<43A>?:6`n358f47=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96dd63-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1i==4i3:g>5<6=44i35b>5<5<`633`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9mnh51;494?6|,=>269<=;%;0=?4fj81/5:<5a338 <0128n;7):9a;18L1213gk887k?5:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5e158m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4fkj0:6;4?:1y'01?=<;80(4=6:3ca5>">?;0j><5+97495a6<,=5G4548jd532l:37d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=ab`95?0=83:p(9:6:501?!?4138jn<5+9609e77<,0<=6=7co<4;g3=>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:hi?6<49:183!2313>9>6*63881eg7<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0n3:1ll>;%;46?g592.2:;4>d19'03g=;2B?8;5aa269a5d5<5<5<:783>5}#<=318?64$81:>7ge92.2;?4n209'=30=1??0(98n:29K010l;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`13`?=93<1<7>t$56:>14?3-3857<:db9'=24=i;;0(489:846?!21i390D9:9;oc00?c7l2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>:kn:085>5<7s->?57:=2:&:7<<5=mi0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94j0d9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f71d>3;1:7>50z&70<<3:11/5>7524f`?!?0:3k9=6*6678:20=#2900qo<70g82>3<729q/89754308 <5>2;?oo6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:d33?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5?l21=7850;2x 12>2=837)7<9;06`f=#1>81m??4$845><023->=m7=4H565?kg4<3o:=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2933>4<1290;w):;9;616>">;0099im4$851>d463-3=:7?k0:&72d<43A>?:6`n358f57=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;960bd3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1i<=4i3:g>5<6=44i35b>5<5<`733`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th94<751;494?6|,=>269<=;%;0=?42lj1/5:<5a338 <0128n;7):9a;18L1213gk887k>5:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9lb;392?6=8r.?844;239'=6?=:">>?0:h=5+47c97>N3:5e058m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4?9j0:6;4?:1y'01?=<;80(4=6:37gg>">?;0j><5+97495a6<,=5G4548jd532l;37d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=80f95?0=83:p(9:6:501?!?4138>hn5+9609e77<,0<=6=7co<4;g2=>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:1;n6<49:183!2313>9>6*638811ae<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0n=l5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3:2b?7=>3:18jl;%;46?g592.2:;4>d19'03g=;2B?8;5aa269a4d5<5<5<:783>5}#<=318?<4$81:>73ck2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1<45=93<1<7>t$56:>1453-3857<:db9'=24=i;;0(489:0f3?!21i390D9:9;oc00?c6l2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>5?;:085>5<7s->?57:=2:&:7<<5=mi0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94j1d9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7>6=3;1:7>50z&70<<3:;1/5>7524f`?!?0:3k9=6*66782`5=#2900qo<71782>3<729q/89754308 <5>2;?oo6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:d03?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd508=1=7850;2x 12>2=897)7<9;06`f=#1>81m??4$845>4b73->=m7=4H565?kg4<3o9=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm26gf>4<1290;w):;9;61<>">;0099im4$851>d463-3=:7795:&72d<43A>?:6`n358f67=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;960bd3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1i?=4i3:g>5<6=44i35b>5<5<`433`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9;k>51;494?6|,=>269<=;%;0=?42lj1/5:<5a338 <0128n;7):9a;18L1213gk887k=5:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5e358m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg40n00:6;4?:1y'01?=<;80(4=6:37gg>">?;0j><5+97495a6<,=5G4548jd532l837d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=7gc95?0=83:p(9:6:501?!?4138>hn5+9609e77<,0<=6=7co<4;g1=>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:>li6<49:183!2313>9>6*638811ae<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0n>l5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb35eg?7=>3:18jl;%;46?g592.2:;4>d19'03g=;2B?8;5aa269a7d5<5<5<:783>5}#<=318?<4$81:>73ck2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`13cc=93<1<7>t$56:>1453-3857<:db9'=24=i;;0(489:0f3?!21i390D9:9;oc00?c5l2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>:h>:085>5<7s->?57:=2:&:7<<5=mi0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94j2d9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f71a:3;1:7>50z&70<<3:;1/5>7524f`?!?0:3k9=6*66782`5=#2900qo<8f282>3<729q/89754308 <5>2;?oo6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:d13?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5?o>1=7850;2x 12>2=897)7<9;06`f=#1>81m??4$845>4b73->=m7=4H565?kg4<3o8=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm26d6>4<1290;w):;9;616>">;0099im4$851>d463-3=:7?k0:&72d<43A>?:6`n358f77=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;960bd3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1i>=4i3:g>5<6=44i35b>5<5<`533`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9;n651;494?6|,=>269<=;%;0=?42lj1/5:<5a338 <0128n;7):9a;18L1213gk887k<5:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5e258m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg40l80:6;4?:1y'01?=<;80(4=6:37gg>">?;0j><5+97495a6<,=5G4548jd532l937d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=7e095?0=83:p(9:6:501?!?4138>hn5+9609e77<,0<=6=7co<4;g0=>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:>n86<49:183!2313>9>6*638811ae<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0n?l5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb35g0?7=>3:18jl;%;46?g592.2:;4>d19'03g=;2B?8;5aa269a6d5<5<5<:783>5}#<=318?<4$81:>73ck2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`13a0=93<1<7>t$56:>1453-3857<:db9'=24=i;;0(489:0f3?!21i390D9:9;oc00?c4l2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>:m6:085>5<7s->?57:=2:&:7<<5=mi0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94j3d9j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f71di3;1:7>50z&70<<3:;1/5>7524f`?!?0:3k9=6*66782`5=#2900qo<8cc82>3<729q/89754308 <5>2;?oo6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:d63?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5?ji1=7850;2x 12>2=897)7<9;06`f=#1>81m??4$845>4b73->=m7=4H565?kg4<3o?=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm26ag>4<1290;w):;9;616>">;0099im4$851>d463-3=:7?k0:&72d<43A>?:6`n358f07=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;960bd3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1i9=4i3:g>5<6=44i35b>5<5<<,0926?;kc:&:37`233`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th94>?51;494?6|,=>269<7;%;0=?42lj1/5:<5a338 <0120<>7):9a;:7?M23>2dj?94j449j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7>4:3;1:7>50z&70<<3:11/5>7524f`?!?0:3k9=6*6678:20=#2900qo<8ec82>3<729q/897543:8 <5>2;?oo6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:d64?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5?li1=7850;2x 12>2=837)7<9;06`f=#1>81m??4$845><023->=m7=4H565?kg4<3o?46g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm26gg>4<1290;w):;9;61<>">;0099im4$851>d463-3=:7795:&72d<43A>?:6`n358f0<=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;960bd3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1i9o4i3:g>5<6=44i35b>5<5<`2e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th94?651;494?6|,=>269<=;%;0=?42lj1/5:<5a338 <0128n;7):9a;18L1213gk887k;c:k1280=6=4?{%67=?25:2.2?44=5ea8 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5e5g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4?:k0:6;4?:1y'01?=<;80(4=6:37gg>">?;0j><5+97495a6<,=5G4548jd532l>m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=83a95?0=83:p(9:6:501?!?4138>hn5+9609e77<,0<=6=7co<4;g64>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:18o6<49:183!2313>9>6*638811ae<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0n9<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3:1a?7=>3:18jl;%;46?g592.2:;4>d19'03g=;2B?8;5aa269a045<5<5<k4>:783>5}#<=318?<4$81:>73ck2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1<74=93<1<7>t$56:>1453-3857<:db9'=24=i;;0(489:0f3?!21i390D9:9;oc00?c2<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>5<<:085>5<7s->?57:=2:&:7<<5=mi0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94j549j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7>5<3;1:7>50z&70<<3:;1/5>7524f`?!?0:3k9=6*66782`5=#2900qo<72482>3<729q/89754308 <5>2;?oo6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:d74?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd50;<1=7850;2x 12>2=897)7<9;06`f=#1>81m??4$845>4b73->=m7=4H565?kg4<3o>46g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2904>4<1290;w):;9;616>">;0099im4$851>d463-3=:7?k0:&72d<43A>?:6`n358f1<=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;960bd3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1i8o4i3:g>5<6=44i35b>5<5<`3e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th94=951;494?6|,=>269<=;%;0=?42lj1/5:<5a338 <0128n;7):9a;18L1213gk887k:c:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5e4g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4?8h0:6;4?:1y'01?=<;80(4=6:37gg>">?;0j><5+97495a6<,=5G4548jd532l?m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=81`95?0=83:p(9:6:501?!?4138>hn5+9609e77<,0<=6=7co<4;g54>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:1:h6<49:183!2313>9>6*638811ae<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=0n:<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3:3`?7=>3:18jl;%;46?g592.2:;4>d19'03g=;2B?8;5aa269a345<5<5<:783>5}#<=318?<4$81:>73ck2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1<57=93<1<7>t$56:>1453-3857<:db9'=24=i;;0(489:0f3?!21i390D9:9;oc00?c1<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>5>=:085>5<7s->?57:=2:&:7<<5=mi0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94j649j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7>7;3;1:7>50z&70<<3:;1/5>7524f`?!?0:3k9=6*66782`5=#2900qo<70582>3<729q/89754308 <5>2;?oo6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:d44?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd509?1=7850;2x 12>2=897)7<9;06`f=#1>81m??4$845>4b73->=m7=4H565?kg4<3o=46g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2925>4<1290;w):;9;616>">;0099im4$851>d463-3=:7?k0:&72d<43A>?:6`n358f2<=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;960bd3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1i;o4i3:g>5<6=44i35b>5<5<<,0926?;kc:&:37`0e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9;i651;494?6|,=>269<7;%;0=?42lj1/5:<5a338 <0120<>7):9a;18L1213gk887k9c:k1"3>h087E:;6:lb71m1b>5j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5e7g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg40m80:6;4?:1y'01?=<;20(4=6:37gg>">?;0j><5+9749=33<,=5G4548jd532lc83:17d<:f;29?j>c13:17pl=7d095?0=83:p(9:6:50;?!?4138>hn5+9609e77<,0<=648:;%65e?5<@=>=7co<4;g44>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:>o86<49:183!2313>946*638811ae<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0n;<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb35f0?7=>3:18jl;%;46?g592.2:;46649'03g=;2B?8;5aa269a245<5<5<:783>5}#<=318?64$81:>73ck2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`13`0=93<1<7>t$56:>14?3-3857<:db9'=24=i;;0(489:846?!21i390D9:9;oc00?c0<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>:j6:085>5<7s->?57:=8:&:7<<5=mi0(49=:`02?!?1>33=96*;6`80?M23>2dj?94j749j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f71ci3;1:7>50z&70<<3:11/5>7524f`?!?0:3k9=6*6678:20=#<0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo<8dc82>3<729q/897543:8 <5>2;?oo6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:d54?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5?mi1=7850;2x 12>2=837)7<9;06`f=#1>81m??4$845><023->=m7=4H565?kg4<3o<46g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm26fg>4<1290;w):;9;61<>">;0099im4$851>d463-3=:7795:&72d<43A>?:6`n358f3<=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;960bd3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1i:o4i3:g>5<6=44i35b>5<5<<,0926?;kc:&:37`1e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9;8>51;494?6|,=>269<7;%;0=?42lj1/5:<5a338 <0120<>7):9a;18L1213gk887k8c:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5e6g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg40>00:6;4?:1y'01?=<;20(4=6:37gg>">?;0j><5+9749=33<,=5G4548jd532l=m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=77c95?0=83:p(9:6:50;?!?4138>hn5+9609e77<,0<=648:;%65e?5<@=>=7co<4;g;4>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:>946*638811ae<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0n4<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb355g?7=>3:18jl;%;46?g592.2:;46649'03g=;2B?8;5aa269a=45<5<5<:783>5}#<=318?64$81:>73ck2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`133c=93<1<7>t$56:>14?3-3857<:db9'=24=i;;0(489:846?!21i390D9:9;oc00?c?<2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>:;>:085>5<7s->?57:=8:&:7<<5=mi0(49=:`02?!?1>33=96*;6`80?M23>2dj?94j849j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f712:3;1:7>50z&70<<3:11/5>7524f`?!?0:3k9=6*6678:20=#2900qo<85282>3<729q/897543:8 <5>2;?oo6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:d:4?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5?<>1=7850;2x 12>2=837)7<9;06`f=#1>81m??4$845><023->=m7=4H565?kg4<3o346g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2676>4<1290;w):;9;61<>">;0099im4$851>d463-3=:7795:&72d<43A>?:6`n358f<<=n:1n1<75f27794?=n:>k1<75f8e294?=n::7?56;294~"3<00?>55+92;960bd3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1i5o4i3:g>5<6=44i35b>5<5<<,0926?;kc:&:37`>e3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9;8651;494?6|,=>269<7;%;0=?42lj1/5:<5a338 <0120<>7):9a;18L1213gk887k7c:k1280=6=4?{%67=?2502.2?44=5ea8 <152h8:7)796;;51>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5e9g8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg40=j0:6;4?:1y'01?=<;20(4=6:37gg>">?;0j><5+9749=33<,=5G4548jd532l2m7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=74f95?0=83:p(9:6:50;?!?4138>hn5+9609e77<,0<=648:;%65e?5<@=>=7co<4;g:4>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:>?n6<49:183!2313>946*638811ae<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0n5<5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb356b?7=>3:18jl;%;46?g592.2:;46649'03g=;2B?8;5aa269a<45<5<5<:783>5}#<=318?64$81:>73ck2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1337=93<1<7>t$56:>14?3-3857<:db9'=24=i;;0(489:846?!21i390D9:9;oc00?c><2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>:8=:085>5<7s->?57:=8:&:7<<5=mi0(49=:`02?!?1>33=96*;6`80?M23>2dj?94j949j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f711;3;1:7>50z&70<<3:11/5>7524f`?!?0:3k9=6*6678:20=#2900qo<86582>3<729q/897543:8 <5>2;?oo6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:d;4?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5???1=7850;2x 12>2=837)7<9;06`f=#1>81m??4$845><023->=m7=4H565?kg4<3o246g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2644>4<1290;w):;9;61<>">;0099im4$851>d463-3=:7795:&72d<43A>?:6`n358f=<=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;960bd3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1i4o4i3:g>5<6=44i35b>5<5<1i4l4i576>5<5<9m6=44}c05`a<62=0;6=u+96090g`<,=>26:ml;%;0=?42lj1C8984n`17>`?d3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th9:im51;694?6|,0=969li;%67=?1dk2.2?44=5ea8L1213gk887k6d:k710<722c?9;4?::k712<722e3944?::a63c6280?6=4?{%;46?g5;2.2?44=5ea8L1213gk887k6e:k710<722c?9;4?::k:63<722eN3:5e`28m1322900e9;9:188m1302900c5;6:188yg41mh0:694?:1y'=24=i;90(4=6:37gg>N3:5e`38m1322900e9;9:188m<412900c:=i:188yg41mj0:694?:1y'=24=hn5G4548jd532lk97d::5;29?l22>3:17d::7;29?j>213:17pl=6d`95?2=83:p(49=:5`e?!2313=ho6*638811ae<@=>=7co<4;gb7>o3=<0;66g;5783>>o3=>0;66a75883>>{e:?o?6<4;:183!?0:3k9?6*638811ae<@=>=7co<4;gb0>o3=<0;66g;5783>>o>:?0;66a83g83>>{e:?o=6<4;:183!?0:3>ij6*;4884gf=#1:31>8jl;I672>hf;=0nm85f44794?=n<<<1<75f44594?=h0<31<75rb34f1?7=<3:173ck2B?8;5aa269ad06=44i575>5<5<:583>5}#1>81m?=4$81:>73ck2B?8;5aa269ad16=44i575>5<5<:583>5}#1>818oh4$56:>2ed3-3857<:db9K010>:7>5;h663?6=3f2>57>5;|`12`>=93>1<7>t$851>1da3->?579lc:&:7<<5=mi0D9:9;oc00?cf12c?984?::k713<722c?9:4?::m;1<<722wi>;kk:087>5<7s-3<>7o=3:&:7<<5=mi0D9:9;oc00?cfi2c?984?::k713<722c2>;4?::m47c<722wi>;ki:087>5<7s-3<>7:mf:&70<<0kj1/5>7524f`?M23>2dj?94jac9j003=831b88850;9j001=831d48750;9~f70bm3;187>50z&:37<3jo1/89757ba8 <5>2;?oo6F;479me62=mhi0e9;::188m1312900e9;8:188k=3>2900qo<9f182>1<729q/5:<5a318 <5>2;?oo6F;479me62=mhn0e9;::188m1312900e4<9:188k25a2900qo<9f382>1<729q/5:<54cd8 12>2>ih7)7<9;06`f=O<=<0bl=;:dcf?l22=3:17d::6;29?l22?3:17b6:9;29?xd5>o;1=7:50;2x <152=hm7):;9;5`g>">;0099im4H565?kg4<3ojj6g;5483>>o3=?0;66g;5683>>i?=00;66sm27d0>4<3290;w)782;c17>">;0099im4H565?kg4<3oi<6g;5483>>o3=?0;66g62783>>i0;o0;66sm27d6>4<3290;w)782;6ab>"3<00?:6`n358ff4=n<?;0?nk5+45;93fe<,0926?;kc:J703=ii:>1io<4i576>5<5<1io=4i576>5<5<9m6=44}c05b=<62=0;6=u+96090g`<,=>26:ml;%;0=?42lj1C8984n`17>`d33`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th9:k951;694?6|,0=969li;%67=?1dk2.2?44=5ea8L1213gk887km5:k710<722c?9;4?::k712<722e3944?::a63bb280?6=4?{%;46?g5;2.2?44=5ea8L1213gk887km6:k710<722c?9;4?::k:63<722e1b88;50;9j000=831b88950;9l<0?=831vn?8kf;390?6=8r.2;?4;bg9'01?=?ji0(4=6:37gg>N3:5ec:8m1322900e9;9:188m1302900c5;6:188yg74080:684>:4y'=24=<<80D48l;oc00?ce12c9;i951;9j62e02800e?9k8;39?l40k10:66a=5d795?=e:6=4::183!?0:3>ij6*;488427=#1:31>8jl;I672>hf;=0nnl5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{;=o;7>52z\13a1<5;?n97::5:p62e02909wS<8c69>60c22=?=7p}=7e:94?4|V;=o463=5d79001i84;599~w73b=3:1>vP=5d78973b=32>56srb37g`?7=<3:18jl;I672>hf;=0nno5f28`94?=n:?91<75f93494?=h?:l1<75rb01;6?7==3;19v*6738717=O1?i0bl=;:d``?l40lo0:66g=7bd95?=n:>o;6<44i35g4?7=3f8>i;4>::`11`0=83?1<7>t$851>1da3->?57992:&:7<<5=mi0D9:9;oc00?cel2c?984?::k713<722c?9:4?::k71=<722e3944?::p62ba2909wS<8dg9>60c12=?>7p}=7bd94?4|V;=hj63=5d49000i;4;569~w71c83:1>vP=7e28973b>3>>46s|24g5>5<5sW8>i;5224g5>=3>3twi>8jj:087>5<7s-3<>7o=2:&:7<<5=mi0D9:9;oc00?cem2c95o4?::k126<722c2>;4?::m47c<722wi=>6<:086>4<2s-3<>7::2:J:2f=ii:>1ioh4i35f5?7=3`8::k13`4=931b>:j=:088k73b?3;17o<:e683>0<729q/5:<54cd8 12>2><97)7<9;06`f=O<=<0bl=;:da3?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=7d394?4|V;=n=63=5d59003i:4;579~w71b:3:1>vP=7d08973b?3>>;6s|26f1>5<5sW813?3ty99h950;0xZ73b?2799h9584;8yxd5=ml1=7:50;2x <152h897)7<9;06`f=O<=<0bl=;:da2?l4>j3:17d<93;29?l?5>3:17b91=7;51;7x <152=?97E79c:lb71:k<:088m71c;3;17d<8e582>>o5?m>1=75`24g;>4<55;294~">?;0?nk5+45;9334<,0926?;kc:J703=ii:>1in=4i576>5<5<5<4?:3y]62c4348>i54;549~w71c;3:1>vP=7e18973b03>>:6s|26g7>5<5sW81303ty9;i:50;0xZ71c<2799h6544:8yv42m10;6?uQ24g;?842m103945r}c06a5<62=0;6=u+9609e74<,0926?;kc:J703=ii:>1in:4i3;a>5<5<9m6=44}c30<0<62<0:68u+9609004<@0o5?l?1=75f26f6>4<290>6=4?{%;46?2en2.?8448639'=6?=:=831d48750;9~w71b=3:1>vP=7d78973b13>>96s|26f6>5<5sW81313ty9;h850;0xZ71b>2799h754458yv40l?0;6?uQ26f5?842m00?955rs37f=?6=:rT99h74=37f=?>212wvn?;j1;390?6=8r.2;?4n239'=6?=:1b>4l50;9j635=831b5?850;9l36`=831vn<=76;391?7==r.2;?4;539K=3e6*638811ae<@=>=7co<4;g`=>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|26f:>5<5sW81323ty9;n750;0xZ71d12799ho54448yv40lh0;6?uQ26fb?842mh0?9:5rs35`e?6=:rT9;no4=37fe?2202wx>8kn:181[42mh16>8kn:97:?x{e:6*638811ae<@=>=7co<4;g`e>o51k0;66g=6283>>o>:?0;66a83g83>>{e9:2<6<4::086!?0:3>>>6F66b9me62=mjh0e?9kb;39?l40kk0:66g=7ea95?=n:>ih6<44o37ff?7=3k8>io4?:483>5}#1>818oh4$56:>2053-3857<:db9K010>:7>5;h663?6=3`>>47>5;n:6=?6=3ty9;il50;0xZ71cj2799hl54478yv40kk0;6?uQ26aa?842mk0?9;5rs35gg?6=:rT9;im4=37ff?22?2wx>:ml:181[40kj16>8km:57;?xu5=lh1<7;5=lh14874}|`11`5=93>1<7>t$851>d453-3857<:db9K0105;h;12?6=3f=8j7>5;|`27=>=93?1=7;t$851>1353A3=o6`n358fg`=n:>no6<44i35``?7=3`8::k13fc=931d>8kl:088f73bk3:197>50z&:37<3jo1/89757708 <5>2;?oo6F;479me62=mjl0e9;::188m1312900e9;8:188m13?2900c5;6:188yv40lm0;6?uQ26fg?842mj0?985rs35``?6=:rT9;nj4=37fg?22>2wx>:jj:181[40ll16>8kl:574?xu5?jo1<7;5=li18864}r06af<72;qU>8kl;<06af1<729q/5:<5a308 <5>2;?oo6F;479me62=mm:0e?7m:188m7042900e4<9:188k25a2900qo<91e82>6<729q/5:<54cd8 12>218i7)7<9;06`f=O<=<0bl=;:df2?l22=3:17d::6;29?j>213:17pl=61f95?2=83:p(49=:`01?!?4138>hn5G4548jd532ln97d<6b;29?l41;3:17d7=6;29?j14n3:17pl=63695?5=83:p(49=:5`e?!231329n6*638811ae<@=>=7co<4;gg7>o3=<0;66g;5783>>i?=00;66sm2737>4<3290;w)782;c16>">;0099im4H565?kg4<3oo86g=9c83>>o5>:0;66g62783>>i0;o0;66sm2706>4<4290;w)782;6ab>"3<003>o5+92;960bd3A>?:6`n358f`0=n<8jl;I672>hf;=0nh;5f28`94?=n:?91<75f93494?=h?:l1<75rb3412?7=;3:173ck2B?8;5aa269aa16=44i575>5<1ii64i3;a>5<5<9m6=44}c0562<62:0;6=u+96090g`<,=>265`b>3`>>97>5;h662?6=3f2>57>5;|`1241=93>1<7>t$851>d453-3857<:db9K0105;h;12?6=3f=8j7>5;|`127>=9391<7>t$851>1da3->?576=b:&:7<<5=mi0D9:9;oc00?ccj2c?984?::k713<722e3944?::a637?280?6=4?{%;46?g5:2.2?44=5ea8L1213gk887kkc:k1=g<722c9:>4?::k:63<722e28086=4?{%;46?2en2.?84472c9'=6?=:50z&:377524f`?M23>2dj?94jdd9j6;=50;9j=70=831d;>h50;9~f705i3;1?7>50z&:37<3jo1/897583`8 <5>2;?oo6F;479me62=mml0e9;::188m1312900c5;6:188yg419h0:694?:1y'=24=i;80(4=6:37gg>N3:5ed28m7?e2900e?8<:188m<412900c:=i:188yg41:k0:6>4?:1y'=24=hn5G4548jd532lo:7d::5;29?l22>3:17b6:9;29?xd5>8h1=7:50;2x <152h897)7<9;06`f=O<=<0bl=;:dg1?l4>j3:17d<93;29?l?5>3:17b9;i1=7=50;2x <152=hm7):;9;:1f>">;0099im4H565?kg4<3on?6g;5483>>o3=?0;66a75883>>{e:?;h6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;gf0>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?;n6<4<:183!?0:3>ij6*;488;6g=#1:31>8jl;I672>hf;=0ni85f44794?=n<<<1<75`84;94?=zj;<;i7?54;294~">?;0j>?5+92;960bd3A>?:6`n358fa3=n:0h1<75f27194?=n1;<1<75`72d94?=zj;<:j7?53;294~">?;0?nk5+45;9<7d<,0926?;kc:J703=ii:>1ih94i576>5<5<:583>5}#1>81m?<4$81:>73ck2B?8;5aa269a`>5<5<=4>:283>5}#1>818oh4$56:>=4e3-3857<:db9K010>:7>5;n:6=?6=3th9:<>51;694?6|,0=96l<=;%;0=?42lj1C8984n`17>`cf3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9:??51;194?6|,0=969li;%67=?>5j2.2?44=5ea8L1213gk887kjb:k710<722c?9;4?::m;1<<722wi>;?>:087>5<7s-3<>7o=2:&:7<<5=mi0D9:9;oc00?cbk2c95o4?::k126<722c2>;4?::m47c<722wi>;<=:080>5<7s-3<>7:mf:&70<7524f`?M23>2dj?94jee9j003=831b88850;9l<0?=831vn?8>2;390?6=8r.2;?4n239'=6?=:4l50;9j635=831b5?850;9l36`=831vn?8=3;397?6=8r.2;?4;bg9'01?=0;h0(4=6:37gg>N3:5edd8m1322900e9;9:188k=3>2900qo<91282>1<729q/5:<5a308 <5>2;?oo6F;479me62=mo:0e?7m:188m7042900e4<9:188k25a2900qo<:fe82>6<729q/5:<54cd8 12>218i7)7<9;06`f=O<=<0bl=;:dd2?l22=3:17d::6;29?j>213:17pl=5df95?2=83:p(49=:`01?!?4138>hn5G4548jd532ll97d<6b;29?l41;3:17d7=6;29?j14n3:17pl=61695?5=83:p(49=:5`e?!231329n6*638811ae<@=>=7co<4;ge7>o3=<0;66g;5783>>i?=00;66sm24d7>4<3290;w)782;c16>">;0099im4H565?kg4<3om86g=9c83>>o5>:0;66g62783>>i0;o0;66sm2726>4<4290;w)782;6ab>"3<003>o5+92;960bd3A>?:6`n358fb0=n<8jl;I672>hf;=0nj;5f28`94?=n:?91<75f93494?=h?:l1<75rb3432?7=;3:173ck2B?8;5aa269ac16=44i575>5<1ik64i3;a>5<5<9m6=44}c0542<62:0;6=u+96090g`<,=>265``>3`>>97>5;h662?6=3f2>57>5;|`11c1=93>1<7>t$851>d453-3857<:db9K0105;h;12?6=3f=8j7>5;|`125>=9391<7>t$851>1da3->?576=b:&:7<<5=mi0D9:9;oc00?caj2c?984?::k713<722e3944?::a60`?280?6=4?{%;46?g5:2.2?44=5ea8L1213gk887kic:k1=g<722c9:>4?::k:63<722e28086=4?{%;46?2en2.?84472c9'=6?=:50z&:377524f`?M23>2dj?94jfd9j6;=50;9j=70=831d;>h50;9~f707i3;1?7>50z&:37<3jo1/897583`8 <5>2;?oo6F;479me62=mol0e9;::188m1312900c5;6:188yg42nh0:694?:1y'=24=i;80(4=6:37gg>N3:5f128m7?e2900e?8<:188m<412900c:=i:188yg418k0:6>4?:1y'=24=hn5G4548jd532o::7d::5;29?l22>3:17b6:9;29?xd5=oh1=7:50;2x <152h897)7<9;06`f=O<=<0bl=;:g21?l4>j3:17d<93;29?l?5>3:17b99i1=7=50;2x <152=hm7):;9;:1f>">;0099im4H565?kg4<3l;?6g;5483>>o3=?0;66a75883>>{e:6*638811ae<@=>=7co<4;d30>o51k0;66g=6283>>o>:?0;66a83g83>>{e:ij6*;488;6g=#1:31>8jl;I672>hf;=0m<85f44794?=n<<<1<75`84;94?=zj;?ni7?54;294~">?;0j>?5+92;960bd3A>?:6`n358e43=n:0h1<75f27194?=n1;<1<75`72d94?=zj;?mj7?53;294~">?;0?nk5+45;9<7d<,0926?;kc:J703=ii:>1j=94i576>5<5<ik4>:583>5}#1>81m?<4$81:>73ck2B?8;5aa269b5>5<5<:283>5}#1>818oh4$56:>=4e3-3857<:db9K0106;h661?6=3`>>:7>5;n:6=?6=3th99k>51;694?6|,0=96l<=;%;0=?42lj1C8984n`17>c6f3`82n7>5;h057?6=3`39:7>5;n50b?6=3th9:=?51;194?6|,0=969li;%67=?>5j2.2?44=5ea8L1213gk887h?b:k710<722c?9;4?::m;1<<722wi>8h>:087>5<7s-3<>7o=2:&:7<<5=mi0D9:9;oc00?`7k2c95o4?::k126<722c2>;4?::m47c<722wi>;>=:080>5<7s-3<>7:mf:&70<7524f`?M23>2dj?94i0e9j003=831b88850;9l<0?=831vn?;i2;390?6=8r.2;?4n239'=6?=:4l50;9j635=831b5?850;9l36`=831vn?8?3;397?6=8r.2;?4;bg9'01?=0;h0(4=6:37gg>N3:5f1d8m1322900e9;9:188k=3>2900qo<:f282>1<729q/5:<5a308 <5>2;?oo6F;479me62=n8:0e?7m:188m7042900e4<9:188k25a2900qo<98e82>1<729q/5:<5a308 <5>2;?oo6F;479me62=n8;0e?7m:188m704290C8lk4;h;12?6=3f=8j7>5;|`12fd=9391<7>t$851>d413-3857<:db9K0105H5cf?>i0;o0;66sm27;0>4<3290;w)782;c16>">;0099im4H565?kg4<3l:?6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27f1>4<4290;w)782;c12>">;0099im4H565?kg4<3l:86g;b683>>o5>:0;66a83g83>>{e:?3?6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d21>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?n86<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d22>o3j>0;66g=6283>>i0;o0;66sm27;6>4<3290;w)782;c16>">;0099im4H565?kg4<3l:;6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27f7>4<4290;w)782;c12>">;0099im4H565?kg4<3l:46g;b683>>o5>:0;66a83g83>>{e:?3=6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d2=>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?n>6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d2e>o3j>0;66g=6283>>i0;o0;66sm27;4>4<3290;w)782;c16>">;0099im4H565?kg4<3l:n6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27f5>4<4290;w)782;c12>">;0099im4H565?kg4<3l:o6g;b683>>o5>:0;66a83g83>>{e:?336<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d2`>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?n<6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d2a>o3j>0;66g=6283>>i0;o0;66sm27;:>4<3290;w)782;c16>">;0099im4H565?kg4<3l:j6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27f;>4<4290;w)782;c12>">;0099im4H565?kg4<3l9<6g;b683>>o5>:0;66a83g83>>{e:?3j6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d15>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?n26<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d16>o3j>0;66g=6283>>i0;o0;66sm27;a>4<3290;w)782;c16>">;0099im4H565?kg4<3l9?6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27fb>4<4290;w)782;c12>">;0099im4H565?kg4<3l986g;b683>>o5>:0;66a83g83>>{e:?2n6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d11>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?ih6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d12>o3j>0;66g=6283>>i0;o0;66sm27:e>4<3290;w)782;c16>">;0099im4H565?kg4<3l9;6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27ag>4<4290;w)782;c12>">;0099im4H565?kg4<3l946g;b683>>o5>:0;66a83g83>>{e:?3;6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d1=>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?in6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d1e>o3j>0;66g=6283>>i0;o0;66sm27;2>4<3290;w)782;c16>">;0099im4H565?kg4<3l9n6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27ae>4<4290;w)782;c12>">;0099im4H565?kg4<3l9o6g;b683>>o5>:0;66a83g83>>{e:?396<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d1`>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?n;6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d1a>o3j>0;66g=6283>>i0;o0;66sm27f2>4<4290;w)782;c12>">;0099im4H565?kg4<3l9j6g;b683>>o5>:0;66a83g83>>{e:?8o6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d04>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=7co<4;d05>o3j>0;66g=6283>>i0;o0;66sm2761>4<3290;w)782;c16>">;0099im4H565?kg4<3l8>6g=9c83>>o5>:0;66g62783>>i0;o0;66sm2754>4<4290;w)782;c12>">;0099im4H565?kg4<3l8?6g;b683>>o5>:0;66a83g83>>{e:??36<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d00>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?296<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d01>o3j>0;66g=6283>>i0;o0;66sm277`>4<3290;w)782;c16>">;0099im4H565?kg4<3l8:6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27:5>4<4290;w)782;c12>">;0099im4H565?kg4<3l8;6g;b683>>o5>:0;66a83g83>>{e:??n6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d0<>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?2<6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d0=>o3j>0;66g=6283>>i0;o0;66sm2743>4<3290;w)782;c16>">;0099im4H565?kg4<3l8m6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27:;>4<4290;w)782;c12>">;0099im4H565?kg4<3l8n6g;b683>>o5>:0;66a83g83>>{e:?<96<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d0g>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?226<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d0`>o3j>0;66g=6283>>i0;o0;66sm2747>4<3290;w)782;c16>">;0099im4H565?kg4<3l8i6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27:b>4<4290;w)782;c12>">;0099im4H565?kg4<3l8j6g;b683>>o5>:0;66a83g83>>{e:?<=6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d74>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?2i6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d75>o3j>0;66g=6283>>i0;o0;66sm274;>4<3290;w)782;c16>">;0099im4H565?kg4<3l?>6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27:`>4<4290;w)782;c12>">;0099im4H565?kg4<3l??6g;b683>>o5>:0;66a83g83>>{e:?8n6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d70>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=7co<4;d71>o3j>0;66g=6283>>i0;o0;66sm2713>4<3290;w)782;c16>">;0099im4H565?kg4<3l?:6g=9c83>>o5>:0;66g62783>>i0;o0;66sm274f>4<4290;w)782;c12>">;0099im4H565?kg4<3l?;6g;b683>>o5>:0;66a83g83>>{e:?996<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d7<>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=7co<4;d7=>o3j>0;66g=6283>>i0;o0;66sm2717>4<3290;w)782;c16>">;0099im4H565?kg4<3l?m6g=9c83>>o5>:0;66g62783>>i0;o0;66sm2753>4<4290;w)782;c12>">;0099im4H565?kg4<3l?n6g;b683>>o5>:0;66a83g83>>{e:?9=6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d7g>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=:6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d7`>o3j>0;66g=6283>>i0;o0;66sm271;>4<3290;w)782;c16>">;0099im4H565?kg4<3l?i6g=9c83>>o5>:0;66g62783>>i0;o0;66sm2751>4<4290;w)782;c12>">;0099im4H565?kg4<3l?j6g;b683>>o5>:0;66a83g83>>{e:?9j6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d64>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=86<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d65>o3j>0;66g=6283>>i0;o0;66sm271`>4<3290;w)782;c16>">;0099im4H565?kg4<3l>>6g=9c83>>o5>:0;66g62783>>i0;o0;66sm2757>4<4290;w)782;c12>">;0099im4H565?kg4<3l>?6g;b683>>o5>:0;66a83g83>>{e:?9n6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d60>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=>6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d61>o3j>0;66g=6283>>i0;o0;66sm2763>4<3290;w)782;c16>">;0099im4H565?kg4<3l>:6g=9c83>>o5>:0;66g62783>>i0;o0;66sm2755>4<4290;w)782;c12>">;0099im4H565?kg4<3l>;6g;b683>>o5>:0;66a83g83>>{e:?>?6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d6<>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=36<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d6=>o3j>0;66g=6283>>i0;o0;66sm2765>4<3290;w)782;c16>">;0099im4H565?kg4<3l>m6g=9c83>>o5>:0;66g62783>>i0;o0;66sm275:>4<4290;w)782;c12>">;0099im4H565?kg4<3l>n6g;b683>>o5>:0;66a83g83>>{e:?>36<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d6g>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=j6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d6`>o3j>0;66g=6283>>i0;o0;66sm276b>4<3290;w)782;c16>">;0099im4H565?kg4<3l>i6g=9c83>>o5>:0;66g62783>>i0;o0;66sm275a>4<4290;w)782;c12>">;0099im4H565?kg4<3l>j6g;b683>>o5>:0;66a83g83>>{e:?>h6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d54>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=h6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d55>o3j>0;66g=6283>>i0;o0;66sm276f>4<3290;w)782;c16>">;0099im4H565?kg4<3l=>6g=9c83>>o5>:0;66g62783>>i0;o0;66sm275g>4<4290;w)782;c12>">;0099im4H565?kg4<3l=?6g;b683>>o5>:0;66a83g83>>{e:??;6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d50>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?=n6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d51>o3j>0;66g=6283>>i0;o0;66sm2771>4<3290;w)782;c16>">;0099im4H565?kg4<3l=:6g=9c83>>o5>:0;66g62783>>i0;o0;66sm275e>4<4290;w)782;c12>">;0099im4H565?kg4<3l=;6g;b683>>o5>:0;66a83g83>>{e:???6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d5<>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?2;6<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d5=>o3j>0;66g=6283>>i0;o0;66sm2775>4<3290;w)782;c16>">;0099im4H565?kg4<3l=m6g=9c83>>o5>:0;66g62783>>i0;o0;66sm27:2>4<4290;w)782;c12>">;0099im4H565?kg4<3l=n6g;b683>>o5>:0;66a83g83>>{e:??j6<4;:183!?0:3k9>6*638811ae<@=>=7co<4;d5g>o51k0;66g=6283>>o>:?0;66a83g83>>{e:?286<4<:183!?0:3k9:6*638811ae<@=>=7co<4;d5`>o3j>0;66g=6283>>i0;o0;66sm27:7>4<4290;w)782;c12>">;0099im4H565?kg4<3l=i6g;b683>>o5>:0;66a83g83>>{e:>2h6<4<:183!?0:3k9:6*6388133`<@=>=7co<4;d5b>o3j>0;66g=6283>>i0;o0;66sm26:a>4<4290;w)782;c12>">;009;;h4H565?kg4<3l<<6g;b683>>o5>:0;66a83g83>>{e:>=m6<4;:183!?0:3k9>6*6388133`<@=>=7co<4;d45>o51k0;66g=6283>>o>:?0;66a83g83>>{e:>2j6<4<:183!?0:3k9:6*6388133`<@=>=7co<4;d46>o3j>0;66g=6283>>i0;o0;66sm265g>4<3290;w)782;c16>">;009;;h4H565?kg4<3l>o5>:0;66g62783>>i0;o0;66sm26::>4<4290;w)782;c12>">;009;;h4H565?kg4<3l<86g;b683>>o5>:0;66a83g83>>{e:>=i6<4;:183!?0:3k9>6*6388133`<@=>=7co<4;d41>o51k0;66g=6283>>o>:?0;66a83g83>>{e:>236<4<:183!?0:3k9:6*6388133`<@=>=7co<4;d42>o3j>0;66g=6283>>i0;o0;66sm265:>4<3290;w)782;c16>">;009;;h4H565?kg4<3l<;6g=9c83>>o5>:0;66g62783>>i0;o0;66sm26:4>4<4290;w)782;c12>">;009;;h4H565?kg4<3l<46g;b683>>o5>:0;66a83g83>>{e:>=<6<4;:183!?0:3k9>6*6388133`<@=>=7co<4;d4=>o51k0;66g=6283>>o>:?0;66a83g83>>{e:>2=6<4<:183!?0:3k9:6*6388133`<@=>=7co<4;d4e>o3j>0;66g=6283>>i0;o0;66sm2656>4<3290;w)782;c16>">;009;;h4H565?kg4<3l>o5>:0;66g62783>>i0;o0;66sm26:6>4<4290;w)782;c12>">;009;;h4H565?kg4<3l>o5>:0;66a83g83>>{e:>=86<4;:183!?0:3k9>6*6388133`<@=>=7co<4;d4`>o51k0;66g=6283>>o>:?0;66a83g83>>{e:>2?6<4<:183!?0:3k9:6*6388133`<@=>=7co<4;d4a>o3j>0;66g=6283>>i0;o0;66sm2652>4<3290;w)782;c16>">;009;;h4H565?kg4<3l>o5>:0;66g62783>>i0;o0;66sm26:0>4<4290;w)782;c12>">;009;;h4H565?kg4<3l3<6g;b683>>o5>:0;66a83g83>>{e:>=;6<4;:183!?0:3k9>6*6388133`<@=>=7co<4;d;5>o51k0;66g=6283>>o>:?0;66a83g83>>{e:>2m6<4;:183!?0:3k9?6*6388133`<@=>=7co<4;d;6>o3=<0;66g;5783>>o>:?0;66a83g83>>{e:>3:6<4;:183!?0:3k9?6*6388133`<@=>=7co<4;d;7>o3=<0;66g;5783>>o>:?0;66a83g83>>{e:>2n6<4;:183!?0:3k986*6388133`<@=>=7co<4;d;0>o3=<0;66g;5783>>o>:?0;66a83g83>>{e:>h26<49:183!2313>946*6388133`<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0m485f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb35a3:1:8i;%;46?g592.2:;46649'03g=;2B?8;5aa269b=05<5<5<:783>5}#<=318?64$81:>711n2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`13g0=93<1<7>t$56:>14?3-3857<86g9'=24=i;;0(489:846?!21i390D9:9;oc00?`?02c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>:l::085>5<7s->?57:=8:&:7<<5??l0(49=:`02?!?1>33=96*;6`80?M23>2dj?94i889j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f71e<3;1:7>50z&70<<3:11/5>75264e?!?0:3k9=6*6678:20=#2900qo<8b282>3<729q/897543:8 <5>2;==j6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:g:a?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5?k81=7850;2x 12>2=837)7<9;042c=#1>81m??4$845><023->=m7=4H565?kg4<3l3o6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm26`2>4<1290;w):;9;61<>">;009;;h4$851>d463-3=:7795:&72d<43A>?:6`n358ek1<75f8e294?=n:55+92;9620a3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1j5k4i3:g>5<6=44i35b>5<5<<,0926?99f:&:37c>a3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9;l=51;494?6|,=>269<7;%;0=?40>o1/5:<5a338 <0120<>7):9a;18L1213gk887h60:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5f808m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg40i<0:6;4?:1y'01?=<;20(4=6:355b>">?;0j><5+9749=33<,=5G4548jd532o387d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=78d95?0=83:p(9:6:50;?!?4138<:k5+9609e77<,0<=648:;%65e?5<@=>=7co<4;d:0>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:>k?6<49:183!2313>946*6388133`<,0=96l<>;%;52??1=2.?:l4<;I672>hf;=0m585f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3;ab?7=>3:15oj;%;46?g592.2:;46649'03g=;2B?8;5aa269b<05<5<5<:783>5}#<=318?64$81:>7>fm2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1=c5=93<1<7>t$56:>1453-3857<7ad9'=24=i;;0(489:0f3?!21i390D9:9;oc00?`>02c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>4lm:085>5<7s->?57:=8:&:7<<50ho0(49=:`02?!?1>33=96*;6`80?M23>2dj?94i989j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7?a93;1:7>50z&70<<3:11/5>7529cf?!?0:3k9=6*6678:20=#2900qo3<729q/89754308 <5>2;2ji6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:g;a?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd51o:1=7850;2x 12>2=837)7<9;0;e`=#1>81m??4$845><023->=m7=4H565?kg4<3l2o6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm28d4>4<1290;w):;9;616>">;0094lk4$851>d463-3=:7?k0:&72d<43A>?:6`n358e=a=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96=gb3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1j4k4i3:g>5<6=44i35b>5<5<c?a3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9m=651;494?6|,=>269<=;%;0=?4?il1/5:<5a338 <0128n;7):9a;18L1213gk887hn0:k1280=6=4?{%67=?25:2.2?44=8`g8 <152h8:7)796;3g4>"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5f`08m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4f8k0:6;4?:1y'01?=<;80(4=6:3:ba>">?;0j><5+97495a6<,=5G4548jd532ok87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=a1a95?0=83:p(9:6:501?!?41383mh5+9609e77<,0<=6=7co<4;db0>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:h:o6<49:183!2313>9>6*63881;%;52?7c82.?:l4<;I672>hf;=0mm85f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3c3a?7=>3:15oj;%;46?g592.2:;4>d19'03g=;2B?8;5aa269bd05<5<5<:783>5}#<=318?<4$81:>7>fm2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1=cg=93<1<7>t$56:>1453-3857<7ad9'=24=i;;0(489:0f3?!21i390D9:9;oc00?`f02c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>4hm:085>5<7s->?57:=2:&:7<<50ho0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94ia89j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7?ak3;1:7>50z&70<<3:;1/5>7529cf?!?0:3k9=6*66782`5=#2900qo<6fe82>3<729q/89754308 <5>2;2ji6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:gca?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd51oo1=7850;2x 12>2=897)7<9;0;e`=#1>81m??4$845>4b73->=m7=4H565?kg4<3ljo6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm28de>4<1290;w):;9;616>">;0094lk4$851>d463-3=:7?k0:&72d<43A>?:6`n358eea=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96=gb3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1jlk4i3:g>5<6=44i35b>5<5<cga3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9m=<51;494?6|,=>269<=;%;0=?4?il1/5:<5a338 <0128n;7):9a;18L1213gk887hm0:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5fc08m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4f8?0:6;4?:1y'01?=<;80(4=6:3:ba>">?;0j><5+97495a6<,=5G4548jd532oh87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=a1595?0=83:p(9:6:501?!?41383mh5+9609e77<,0<=6=7co<4;da0>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:0i;6<49:183!2313>9>6*63881;%;52?7c82.?:l4<;I672>hf;=0mn85f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3;`5?7=>3:15oj;%;46?g592.2:;4>d19'03g=;2B?8;5aa269bg05<5<5<:783>5}#<=318?<4$81:>7>fm2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1=a7=93<1<7>t$56:>1453-3857<7ad9'=24=i;;0(489:0f3?!21i390D9:9;oc00?`e02c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>4j=:085>5<7s->?57:=2:&:7<<50ho0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94ib89j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7?c;3;1:7>50z&70<<3:;1/5>7529cf?!?0:3k9=6*66782`5=#2900qo<6d582>3<729q/89754308 <5>2;2ji6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:g`a?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd51m?1=7850;2x 12>2=897)7<9;0;e`=#1>81m??4$845>4b73->=m7=4H565?kg4<3lio6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm28f5>4<1290;w):;9;616>">;0094lk4$851>d463-3=:7?k0:&72d<43A>?:6`n358efa=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96=gb3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1jok4i3:g>5<6=44i35b>5<5<cda3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th95n=51;494?6|,=>269<=;%;0=?4?il1/5:<5a338 <0128n;7):9a;18L1213gk887hl0:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5fb08m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4>k?0:6;4?:1y'01?=<;80(4=6:3:ba>">?;0j><5+97495a6<,=5G4548jd532oi87d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=9b595?0=83:p(9:6:501?!?41383mh5+9609e77<,0<=6=7co<4;d`0>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:0i36<49:183!2313>9>6*63881;%;52?7c82.?:l4<;I672>hf;=0mo85f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3;`=?7=>3:15oj;%;46?g592.2:;4>d19'03g=;2B?8;5aa269bf05<5<5<:783>5}#<=318?<4$81:>7>fm2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1=fd=93<1<7>t$56:>1453-3857<7ad9'=24=i;;0(489:0f3?!21i390D9:9;oc00?`d02c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>4mk:085>5<7s->?57:=2:&:7<<50ho0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94ic89j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7?dm3;1:7>50z&70<<3:;1/5>7529cf?!?0:3k9=6*66782`5=#2900qo<6cg82>3<729q/89754308 <5>2;2ji6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:gaa?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd51m:1=7850;2x 12>2=897)7<9;0;e`=#1>81m??4$845>4b73->=m7=4H565?kg4<3lho6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm28d7>4<1290;w):;9;61<>">;0094lk4$851>d463-3=:7795:&72d<43A>?:6`n358ega=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;96=gb3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1jnk4i3:g>5<6=44i35b>5<5<<,0926?6ne:&:37cea3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9m?651;494?6|,=>269<7;%;0=?4?il1/5:<5a338 <0120<>7):9a;:7?M23>2dj?94id19j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7g513;1:7>50z&70<<3:11/5>7529cf?!?0:3k9=6*6678:20=#2900qo3<729q/89754308 <5>2;2ji6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:gf1?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5i8:1=7850;2x 12>2=897)7<9;0;e`=#1>81m??4$845>4b73->=m7=4H565?kg4<3lo?6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2`3a>4<1290;w):;9;616>">;0094lk4$851>d463-3=:7?k0:&72d<43A>?:6`n358e`1=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96=gb3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1ji;4i3:g>5<6=44i35b>5<5<cb13`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9m?<51;494?6|,=>269<=;%;0=?4?il1/5:<5a338 <0128n;7):9a;18L1213gk887hk7:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?0:h=5+47c97>N3:5fe;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4f:<0:6;4?:1y'01?=<;80(4=6:3:ba>">?;0j><5+97495a6<,=5G4548jd532onj7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=a3495?0=83:p(9:6:501?!?41383mh5+9609e77<,0<=6=7co<4;dgf>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:h;:6<49:183!2313>9>6*63881;%;52?7c82.?:l4<;I672>hf;=0mhn5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3c26?7=>3:15oj;%;46?g592.2:;4>d19'03g=;2B?8;5aa269bab5<5<5<4>:783>5}#<=318?<4$81:>7>fm2.2;?4n209'=30=9m:0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1e42=93<1<7>t$56:>1453-3857<7ad9'=24=i;;0(489:0f3?!21i390D9:9;oc00?`cn2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>l?::085>5<7s->?57:=2:&:7<<50ho0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94ie19j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7g6>3;1:7>50z&70<<3:;1/5>7529cf?!?0:3k9=6*66782`5=#2900qo3<729q/89754308 <5>2;2ji6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:gg1?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd5i821=7850;2x 12>2=897)7<9;0;e`=#1>81m??4$845>4b73->=m7=4H565?kg4<3ln?6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm2`3:>4<1290;w):;9;616>">;0094lk4$851>d463-3=:7?k0:&72d<43A>?:6`n358ea1=n:1n1<75f27794?=n:>k1<75f8e294?=n:?5+92;96=gb3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1jh;4i3:g>5<6=44i35b>5<5<cc13`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th9m269<=;%;0=?4?il1/5:<5a338 <0128n;7):9a;18L1213gk887hj7:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9lf;392?6=8r.?844;239'=6?=:1kn7)782;c15>">>?0:h=5+47c97>N3:5fd;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4>l10:6;4?:1y'01?=<;20(4=6:3:ba>">?;0j><5+9749=33<,=5G4548jd532ooj7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=9e;95?0=83:p(9:6:50;?!?41383mh5+9609e77<,0<=648:;%65e?5<@=>=7co<4;dff>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:0o?6<49:183!2313>946*63881;%;52??1=2.?:l4<;I672>hf;=0min5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3;f=?7=>3:15oj;%;46?g592.2:;46649'03g=;2B?8;5aa269b`b5<5<5<:783>5}#<=318?64$81:>7>fm2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1=`d=93<1<7>t$56:>14?3-3857<7ad9'=24=i;;0(489:846?!21i390D9:9;oc00?`bn2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>4kl:085>5<7s->?57:=8:&:7<<50ho0(49=:`02?!?1>33=96*;6`80?M23>2dj?94if19j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f7?bl3;1:7>50z&70<<3:11/5>7529cf?!?0:3k9=6*6678:20=#2900qo<6ed82>3<729q/897543:8 <5>2;2ji6*6738b64=#1?<15;;4$54b>6=O<=<0bl=;:gd1?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd51ll1=7850;2x 12>2=837)7<9;0;e`=#1>81m??4$845><023->=m7=4H565?kg4<3lm?6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm28fb>4<1290;w):;9;61<>">;0094lk4$851>d463-3=:7795:&72d<43A>?:6`n358eb1=n:1n1<75f27794?=n:>k1<75f8e294?=n:55+92;96=gb3-3<>7o=1:&:23<>><1/8;o53:J703=ii:>1jk;4i3:g>5<6=44i35b>5<5<<,0926?6ne:&:37c`13`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th95ij51;494?6|,=>269<7;%;0=?4?il1/5:<5a338 <0120<>7):9a;18L1213gk887hi7:k1"3>h087E:;6:lb715j50;9j633=831b>:o50;9j8h50;9l">>?02:85+47c97>N3:5fg;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4>m90:6;4?:1y'01?=<;20(4=6:3:ba>">?;0j><5+9749=33<,=5G4548jd532olj7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl=9d395?0=83:p(9:6:50;?!?41383mh5+9609e77<,0<=648:;%65e?5<@=>=7co<4;def>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e:0o96<49:183!2313>946*63881;%;52??1=2.?:l4<;I672>hf;=0mjn5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb3;f7?7=>3:15oj;%;46?g592.2:;46649'03g=;2B?8;5aa269bcb5<5<5<:783>5}#<=318?64$81:>7>fm2.2;?4n209'=30=1??0(98n:29K0105;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`1=`0=93<1<7>t$56:>14?3-3857<7ad9'=24=i;;0(489:846?!21i390D9:9;oc00?`an2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi>4k8:085>5<7s->?57:=8:&:7<<50ho0(49=:`02?!?1>33=96*;6`80?M23>2dj?94>0128m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg4>m10:6;4?:1y'01?=<;20(4=6:3:ba>">?;0j><5+9749=33<,=5G4548jd5328:;=6g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm12;:>4<2280>w)782;666>N>>j1em>:51121?l4>l10:66g=9b295?=n:0n26<44i3;`5?7=3f83no4>::`1t$851>1da3->?57992:&:7<<50ho0D9:9;oc00?778:1b88;50;9j000=831b88950;9j00>=831d48750;9~w7?c03:1>vP=9e:897>ej3>>96s|28a3>5<5sW82o=5229`a>1313ty95i750;0xZ7?c12794ol54458yv4>k80;6?uQ28a2?84?jk0?955rs3:af?6=:rT94ol4=3:af?>212wvn?6nf;390?6=8r.2;?4n239'=6?=:1kn7E:;6:lb71<689>0e?7m:188m7042900e4<9:188k25a2900qo?<9b82>0<62m00:66g=9e395?=h:1hn6<44b3:aa?6==3:17>fm2B?8;5aa26955613`>>97>5;h662?6=3`>>;7>5;h6657>5;|q1=`2=838pR?7j4:?17}Y:0ih70<7bd8713=z{;3n57>52z\1=`?<5;2ii7::7:p66=db2=?37p}=8cg94?4|V;2ii63=8cg9<0?467?2c95o4?::k126<722c2>;4?::m47c<722wi=>7k:086>4<2s-3<>7::2:J:2f=ii:>1==>7;h0:ad<622c95i<51;9j66*63881=7co<4;334<=n<4j=:181[4>l;16>5li:575?xu51lh1<7;50kl18894}r0:`6<72;qU>4j<;<0;fc<3=11v?6mf;296~X50kl01?6mf;:6=>{zj;2i?7?54;294~">?;0j>?5+92;96=gb3A>?:6`n358245g5<5<:482>0}#1>8188<4H84`?kg4<3;;4<N3:5112`?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=9da94?4|V;3no63=8b29003vP=9df897>d83>>;6s|28f6>5<5sW82h85229a3>13?3ty94n>50;0xZ7>d82794n>584;8yxd50k>1=7:50;2x <152h897)7<9;0;e`=O<=<0bl=;:023`>o51k0;66g=6283>>o>:?0;66a83g83>>{e9:3m6<4::086!?0:3>>>6F66b9me62=99:n7d<6ed82>>o51m<1=75f28ge>4<{t:0n=6=4={_0:`3=::1i:69;9;|q1=``=838pR?7jf:?17}Y:0n<70<7c0871==z{;2h=7>52z\1e=3;187>50z&:377529cf?M23>2dj?94>0028m7?e2900e?8<:188m<412900c:=i:188yg74i90:684>:4y'=24=<<80D48l;oc00?77981b>4jn:088m7?d:3;17d<6dc82>>o51j91=75`29a1>4<7>55;294~">?;0?nk5+45;9334<,0926?6ne:J703=ii:>1==?=;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty95io50;0xZ7?ci2794n<54478yv4>k;0;6?uQ28a1?84?k;0?9;5rs3;gf?6=:rT95il4=3:`6?22?2wx>4m<:181[4>k:16>5m=:57;?xu50j81<7;50j814874}|`11<7>t$851>d453-3857<7ad9K010>3:k1=g<722c9:>4?::k:63<722e6<4:{%;46?22:2B2:n5aa26955733`82hn4>::k1=f2=931b>4jk:088m7?d=3;17b<7c282>>d50j91<7;50;2x <152=hm7):;9;556>">;0094lk4H565?kg4<3;;=85f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{;3oo7>52z\1=ae<5;2h?7::5:p66=e42=?=7p}=9ef94?4|V;3oh63=8b190014;599~w7>d;3:1>vP=8b1897>d;32>56srb3:a3?7=<3:15oj;I672>hf;=0:<<84i3;a>5<5<9m6=44}c30e7<62<0:68u+9609004<@0::k1=f1=931d>5m;:088f7>d<3:197>50z&:37<3jo1/89757708 <5>2;2ji6F;479me62=99;37d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu51mo1<7;50j>188;4}r0:g3<72;qU>4m9;<0;g1<3=?1v?7kf;296~X51ml01?6l4;663>{t:0i<6=4={_0:g2=::1i?69;7;|q1>o5>:0;66g62783>>i0;o0;66sm12c0>4<2280>w)782;666>N>>j1em>:5113b?l4>m90:66g=9b:95?=n:0o:6<44i3;`=?7=3f83o84>::`1t$851>1da3->?57992:&:7<<50ho0D9:9;oc00?779k1b88;50;9j000=831b88950;9j00>=831d48750;9~w7?b83:1>vP=9d2897>d=3>>96s|28a;>5<5sW82o55229a6>1313ty95h?50;0xZ7?b92794n;54458yv4>k00;6?uQ28a:?84?k<0?955rs3:`1?6=:rT94n;4=3:`1?>212wvn?6m9;390?6=8r.2;?4n239'=6?=:1kn7E:;6:lb71<688i0e?7m:188m7042900e4<9:188k25a2900qo?0<62m:0:66g=9b`95?=h:1i=6<44b3:`2?6==3:17>fm2B?8;5aa269557b3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q1=`4=838pR?7j2:?17}Y:0ij70<7c78713=z{;3n?7>52z\1=`5<5;2h:7::7:p66=e12=?37p}=8b494?4|V;2h:63=8b49<0?466n2c95o4?::k126<722c2>;4?::m47c<722wi=>7n:086>4<2s-3<>7::2:J:2f=ii:>1==6*63881=7co<4;3364=n<4mk:181[4>km16>5ll:575?xu51l<1<7;50ki18894}r0:g`<72;qU>4mj;<0;ff<3=11v?6mc;296~X50ki01?6mc;:6=>{zj;2i<7?54;294~">?;0j>?5+92;96=gb3A>?:6`n35824745<5<:482>0}#1>8188<4H84`?kg4<3;;>>5f28g4>4<51;9l6=dc2800n?6md;291?6=8r.2;?4;bg9'01?=??80(4=6:3:ba>N3:51107?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=9d594?4|V;3n;63=8cf9003vP=9d:897>el3>>;6s|28f3>5<5sW82h=5229`g>13?3ty94oj50;0xZ7>el2794oj584;8yxd50k;1=7:50;2x <152h897)7<9;0;e`=O<=<0bl=;:0211>o51k0;66g=6283>>o>:?0;66a83g83>>{e:0:m6<4<:183!?0:3>ij6*;488;6g=#1:31>5oj;I672>hf;=0:5<5<:583>5}#1>81m?<4$81:>7>fm2B?8;5aa26955403`82n7>5;h057?6=3`39:7>5;n50b?6=3th955j2.2?44=8`g8L1213gk887??299j003=831b88850;9l<0?=831vn?7?2;390?6=8r.2;?4n239'=6?=:1kn7E:;6:lb71<68;30e?7m:188m7042900e4<9:188k25a2900qo<61g82>6<729q/5:<54cd8 12>218i7)7<9;0;e`=O<=<0bl=;:021e>o3=<0;66g;5783>>i?=00;66sm2824>4<3290;w)782;c16>">;0094lk4H565?kg4<3;;>o5f28`94?=n:?91<75f93494?=h?:l1<75rb3;14?7=;3:17>fm2B?8;5aa269554d3`>>97>5;h662?6=3f2>57>5;|`1=5>=93>1<7>t$851>d453-3857<7ad9K010=d:k1=g<722c9:>4?::k:63<722e800:694?:1y'=24=i;80(4=6:3:ba>N3:5110e?l4>j3:17d<93;29?l?5>3:17b9">;0094lk4H565?kg4<3;;?=5f44794?=n<<<1<75`84;94?=zj;3;m7?54;294~">?;0j>?5+92;96=gb3A>?:6`n35824675<5<>4>:283>5}#1>818oh4$56:>=4e3-3857<7ad9K010<2:k710<722c?9;4?::m;1<<722wi>4>m:087>5<7s-3<>7o=2:&:7<<50ho0D9:9;oc00?77;:1b>4l50;9j635=831b5?850;9l36`=831vn?7=4;397?6=8r.2;?4;bg9'01?=0;h0(4=6:3:ba>N3:51117?l22=3:17d::6;29?j>213:17pl=91a95?2=83:p(49=:`01?!?41383mh5G4548jd5328:896g=9c83>>o5>:0;66g62783>>i0;o0;66sm2806>4<4290;w)782;6ab>"3<003>o5+92;96=gb3A>?:6`n35824606=44i575>5<1===8;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1=70=9391<7>t$851>1da3->?576=b:&:7<<50ho0D9:9;oc00?77;11b88;50;9j000=831d48750;9~f7?7m3;187>50z&:377529cf?M23>2dj?94>02;8m7?e2900e?8<:188m<412900c:=i:188yg4>990:6>4?:1y'=24=>o3=?0;66a75883>>{e:1l36<4;:183!?0:3k9>6*63881=7co<4;337g=n:0h1<75f27194?=n1;<1<75`72d94?=zj;3:=7?53;294~">?;0?nk5+45;9<7d<,0926?6ne:J703=ii:>1===l;h661?6=3`>>:7>5;n:6=?6=3th94k751;694?6|,0=96l<=;%;0=?4?il1C8984n`17>464l2c95o4?::k126<722c2>;4?::m47c<722wi>4?=:080>5<7s-3<>7:mf:&70<7529cf?M23>2dj?94>02g8m1322900e9;9:188k=3>2900qo<7f`82>1<729q/5:<5a308 <5>2;2ji6F;479me62=999m7d<6b;29?l41;3:17d7=6;29?j14n3:17pl=90195?5=83:p(49=:5`e?!231329n6*63881=7co<4;3305=n<5oj;I672>hf;=0:<9?4i3;a>5<5<9m6=44}c0:51<62:0;6=u+96090g`<,=>265463:2c?984?::k713<722e3944?::a6=`d280?6=4?{%;46?g5:2.2?44=8`g8L1213gk887??429j6;=50;9j=70=831d;>h50;9~f7?6=3;1?7>50z&:37<3jo1/897583`8 <5>2;2ji6F;479me62=99>?7d::5;29?l22>3:17b6:9;29?xd50on1=7:50;2x <152h897)7<9;0;e`=O<=<0bl=;:0271>o51k0;66g=6283>>o>:?0;66a83g83>>{e:0;=6<4<:183!?0:3>ij6*;488;6g=#1:31>5oj;I672>hf;=0:<984i576>5<5<:583>5}#1>81m?<4$81:>7>fm2B?8;5aa26955203`82n7>5;h057?6=3`39:7>5;n50b?6=3th95<951;194?6|,0=969li;%67=?>5j2.2?44=8`g8L1213gk887??499j003=831b88850;9l<0?=831vn?6if;390?6=8r.2;?4n239'=6?=:1kn7E:;6:lb71<68=30e?7m:188m7042900e4<9:188k25a2900qo<61982>6<729q/5:<54cd8 12>218i7)7<9;0;e`=O<=<0bl=;:027e>o3=<0;66g;5783>>i?=00;66sm2823>4<3290;w)782;c16>">;0094lk4H565?kg4<3;;8o5f28`94?=n:?91<75f93494?=h?:l1<75rb3;2=?7=;3:17>fm2B?8;5aa269552d3`>>97>5;h662?6=3f2>57>5;|`1=57=93>1<7>t$851>d453-3857<7ad9K010;d:k1=g<722c9:>4?::k:63<722e8:0:694?:1y'=24=i;80(4=6:3:ba>N3:5116e?l4>j3:17d<93;29?l?5>3:17b9">;0094lk4H565?kg4<3;;9=5f44794?=n<<<1<75`84;94?=zj;3;87?54;294~">?;0j>?5+92;96=gb3A>?:6`n35824075<5<:283>5}#1>818oh4$56:>=4e3-3857<7ad9K010:2:k710<722c?9;4?::m;1<<722wi>4>::087>5<7s-3<>7o=2:&:7<<50ho0D9:9;oc00?77=:1b>4l50;9j635=831b5?850;9l36`=831vn?7>e;397?6=8r.2;?4;bg9'01?=0;h0(4=6:3:ba>N3:51177?l22=3:17d::6;29?j>213:17pl=91495?2=83:p(49=:`01?!?41383mh5G4548jd5328:>96g=9c83>>o5>:0;66g62783>>i0;o0;66sm29fe>4<4290;w)782;6ab>"3<003>o5+92;96=gb3A>?:6`n35824006=44i575>5<1==;8;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1<`g=9391<7>t$851>1da3->?576=b:&:7<<50ho0D9:9;oc00?77=11b88;50;9j000=831d48750;9~f7>c:3;187>50z&:377529cf?M23>2dj?94>04;8m7?e2900e?8<:188m<412900c:=i:188yg4?mo0:6>4?:1y'=24=m6g;5483>>o3=?0;66a75883>>{e:1n<6<4;:183!?0:3k9>6*63881=7co<4;331g=n:0h1<75f27194?=n1;<1<75`72d94?=zj;2m<7?53;294~">?;0?nk5+45;9<7d<,0926?6ne:J703=ii:>1==;l;h661?6=3`>>:7>5;n:6=?6=3th94i651;694?6|,0=96l<=;%;0=?4?il1C8984n`17>462l2c95o4?::k126<722c2>;4?::m47c<722wi>5h>:080>5<7s-3<>7:mf:&70<7529cf?M23>2dj?94>04g8m1322900e9;9:188k=3>2900qo<7d882>1<729q/5:<5a308 <5>2;2ji6F;479me62=99?m7d<6b;29?l41;3:17d7=6;29?j14n3:17pl=8g095?5=83:p(49=:5`e?!231329n6*63881=7co<4;3325=n<5oj;I672>hf;=0:<;?4i3;a>5<5<9m6=44}c0;b6<62:0;6=u+96090g`<,=>265461:2c?984?::k713<722e3944?::a6=be280?6=4?{%;46?g5:2.2?44=8`g8L1213gk887??629j6;=50;9j=70=831d;>h50;9~f7>a<3;1?7>50z&:37<3jo1/897583`8 <5>2;2ji6F;479me62=993:17b6:9;29?xd50mi1=7:50;2x <152h897)7<9;0;e`=O<=<0bl=;:0251>o51k0;66g=6283>>o>:?0;66a83g83>>{e:1l>6<4<:183!?0:3>ij6*;488;6g=#1:31>5oj;I672>hf;=0:<;84i576>5<5<:583>5}#1>81m?<4$81:>7>fm2B?8;5aa26955003`82n7>5;h057?6=3`39:7>5;n50b?6=3th94k851;194?6|,0=969li;%67=?>5j2.2?44=8`g8L1213gk887??699j003=831b88850;9l<0?=831vn?6ke;390?6=8r.2;?4n239'=6?=:1kn7E:;6:lb71<68?30e?7m:188m7042900e4<9:188k25a2900qo<7e182>6<729q/5:<54cd8 12>218i7)7<9;0;e`=O<=<0bl=;:025e>o3=<0;66g;5783>>i?=00;66sm29a;>4<3290;w)782;c16>">;0094lk4H565?kg4<3;;:o5f28`94?=n:?91<75f93494?=h?:l1<75rb3:f5?7=;3:17>fm2B?8;5aa269550d3`>>97>5;h662?6=3f2>57>5;|`11<7>t$851>d453-3857<7ad9K0109d:k1=g<722c9:>4?::k:63<722eN3:5114e?l4>j3:17d<93;29?l?5>3:17b9">;0094lk4H565?kg4<3;;;=5f44794?=n<<<1<75`84;94?=zj;2hn7?54;294~">?;0j>?5+92;96=gb3A>?:6`n35824275<5<:283>5}#1>818oh4$56:>=4e3-3857<7ad9K01082:k710<722c?9;4?::m;1<<722wi>5ml:087>5<7s-3<>7o=2:&:7<<50ho0D9:9;oc00?77?:1b>4l50;9j635=831b5?850;9l36`=831vn?6j5;397?6=8r.2;?4;bg9'01?=0;h0(4=6:3:ba>N3:51157?l22=3:17d::6;29?j>213:17pl=8bf95?2=83:p(49=:`01?!?41383mh5G4548jd5328:<96g=9c83>>o5>:0;66g62783>>i0;o0;66sm29g5>4<4290;w)782;6ab>"3<003>o5+92;96=gb3A>?:6`n35824206=44i575>5<1==98;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1<`1=9391<7>t$851>1da3->?576=b:&:7<<50ho0D9:9;oc00?77?11b88;50;9j000=831d48750;9~f7>dn3;187>50z&:377529cf?M23>2dj?94>06;8m7?e2900e?8<:188m<412900c:=i:188yg4?m10:6>4?:1y'=24=>o3=?0;66a75883>>{e:1n;6<4;:183!?0:3k9>6*63881=7co<4;333g=n:0h1<75f27194?=n1;<1<75`72d94?=zj;2n57?53;294~">?;0?nk5+45;9<7d<,0926?6ne:J703=ii:>1==9l;h661?6=3`>>:7>5;n:6=?6=3th94i?51;694?6|,0=96l<=;%;0=?4?il1C8984n`17>460l2c95o4?::k126<722c2>;4?::m47c<722wi>5km:080>5<7s-3<>7:mf:&70<7529cf?M23>2dj?94>06g8m1322900e9;9:188k=3>2900qo<7d282>1<729q/5:<5a308 <5>2;2ji6F;479me62=99=m7d<6b;29?l41;3:17d7=6;29?j14n3:17pl=8da95?5=83:p(49=:5`e?!231329n6*63881=7co<4;33<5=n<5oj;I672>hf;=0:<5?4i3;a>5<5<9m6=44}c0;aa<62:0;6=u+96090g`<,=>26546?:2c?984?::k713<722e3944?::a6=b2280?6=4?{%;46?g5:2.2?44=8`g8L1213gk887??829j6;=50;9j=70=831d;>h50;9~f7>bm3;1?7>50z&:37<3jo1/897583`8 <5>2;2ji6F;479me62=992?7d::5;29?l22>3:17b6:9;29?xd50m<1=7:50;2x <152h897)7<9;0;e`=O<=<0bl=;:02;1>o51k0;66g=6283>>o>:?0;66a83g83>>{e:08<6<4;:183!?0:3k9>6*63881=7co<4;33<3=n:0h1<75f27194?N3il10e4<9:188k25a2900qo<68482>6<729q/5:<5a348 <5>2;2ji6F;479me62=992<7d:m7;29?l41;3:1D9oj;:m47c<722wi>4==:087>5<7s-3<>7o=2:&:7<<50ho0D9:9;oc00?77011b>4l50;9j635=831b5?850;9l36`=831vn?760;397?6=8r.2;?4n279'=6?=:1kn7E:;6:lb71<68130e9l8:188m7042900c:=i:188yg4>;?0:694?:1y'=24=i;80(4=6:3:ba>N3:511:b?l4>j3:17d<93;29?l?5>3:17b9o3j>0;66g=6283>>i0;o0;66sm2814>4<3290;w)782;c16>">;0094lk4H565?kg4<3;;4n5f28`94?=n:?91<75f93494?=h?:l1<75rb3;:2?7=;3:15oj;I672>hf;=0:<5j4i5`4>5<5<:583>5}#1>81m?<4$81:>7>fm2B?8;5aa26955>b3`82n7>5;h057?6=3`39:7>5;n50b?6=3th954951;194?6|,0=96l<9;%;0=?4?il1C8984n`17>46?n2c?n:4?::k126<722e280?6=4?{%;46?g5:2.2?44=8`g8L1213gk887??919j6;=50;9j=70=831d;>h50;9~f7?>03;1?7>50z&:377529cf?M23>2dj?94>0838m1d02900e?8<:188k25a2900qo<63`82>1<729q/5:<5a308 <5>2;2ji6F;479me62=99397d<6b;29?l41;3:17d7=6;29?j14n3:17pl=98;95?5=83:p(49=:`05?!?41383mh5G4548jd5328:2?6g;b683>>o5>:0;66a83g83>>{e:09i6<4;:183!?0:3k9>6*63881=7co<4;33=1=n:0h1<75f27194?=n1;<1<75`72d94?=zj;32m7?53;294~">?;0j>;5+92;96=gb3A>?:6`n35824<35<9m6=44}c0:7f<62=0;6=u+9609e74<,0926?6ne:J703=ii:>1==79;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1=t$851>d413-3857<7ad9K01067:k7f2<722c9:>4?::m47c<722wi>4=k:087>5<7s-3<>7o=2:&:7<<50ho0D9:9;oc00?77111b>4l50;9j635=831b5?850;9l36`=831vn?76c;397?6=8r.2;?4n279'=6?=:1kn7E:;6:lb71<68030e9l8:188m7042900c:=i:188yg4>:10:694?:1y'=24=i;80(4=6:3:ba>N3:511;b?l4>j3:17d<93;29?l?5>3:17b9o3j>0;66g=6283>>i0;o0;66sm280:>4<3290;w)782;c16>">;0094lk4H565?kg4<3;;5n5f28`94?=n:?91<75f93494?=h?:l1<75rb3;;3?7=;3:15oj;I672>hf;=0:<4j4i5`4>5<5<l4>:583>5}#1>81m?<4$81:>7>fm2B?8;5aa26955?b3`82n7>5;h057?6=3`39:7>5;n50b?6=3th955651;194?6|,0=96l<9;%;0=?4?il1C8984n`17>46>n2c?n:4?::k126<722e;=50;9j=70=831d;>h50;9~f7??13;1?7>50z&:377529cf?M23>2dj?94>0`38m1d02900e?8<:188k25a2900qo<62b82>1<729q/5:<5a308 <5>2;2ji6F;479me62=99k97d<6b;29?l41;3:17d7=6;29?j14n3:17pl=99c95?5=83:p(49=:`05?!?41383mh5G4548jd5328:j?6g;b683>>o5>:0;66a83g83>>{e:08o6<4;:183!?0:3k9>6*63881=7co<4;33e1=n:0h1<75f27194?=n1;<1<75`72d94?=zj;33n7?53;294~">?;0j>;5+92;96=gb3A>?:6`n35824d35<9m6=44}c0:6`<62=0;6=u+9609e74<,0926?6ne:J703=ii:>1==o9;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`1==e=9391<7>t$851>d413-3857<7ad9K010n7:k7f2<722c9:>4?::m47c<722wi>45<7s-3<>7o=2:&:7<<50ho0D9:9;oc00?77i11b>4l50;9j635=831b5?850;9l36`=831vn?77d;397?6=8r.2;?4n279'=6?=:1kn7E:;6:lb71<68h30e9l8:188m7042900c:=i:188yg4>;90:694?:1y'=24=i;80(4=6:3:ba>N3:511cb?l4>j3:17d<93;29?l?5>3:17b9o3j>0;66g=6283>>i0;o0;66sm2812>4<3290;w)782;c16>">;0094lk4H565?kg4<3;;mn5f28`94?=n:?91<75f93494?=h?:l1<75rb3;;b?7=;3:15oj;I672>hf;=0:5<5<4>:583>5}#1>81m?<4$81:>7>fm2B?8;5aa26955gb3`82n7>5;h057?6=3`39:7>5;n50b?6=3th954?51;194?6|,0=96l<9;%;0=?4?il1C8984n`17>46fn2c?n:4?::k126<722e;=50;9j=70=831d;>h50;9~f7?>:3;1?7>50z&:377529cf?M23>2dj?94>0c38m1d02900e?8<:188k25a2900qo<63482>1<729q/5:<5a308 <5>2;2ji6F;479me62=99h97d<6b;29?l41;3:17d7=6;29?j14n3:17pl=98195?5=83:p(49=:`05?!?41383mh5G4548jd5328:i?6g;b683>>o5>:0;66a83g83>>{e:03?6<4<:183!?0:3k9:6*63881=7co<4;33f1=no4:981=75f333e>4<o851;9a77d1290>6=4?{%;46?2en2.?84473d9'=6?=:l:37E:;6:lb71<68k<0e9;::188m1312900e9;8:188m13?2900c5;6:188yv558;0;6?uQ3321?855j?0?985rs202b?6=:rT8>2wx???j:181[559l16??l9:574?xu4:8n1<7;4:k<18864}r11f3<72;qU??l9;<11f31<729q/5:<5a308 <5>2;o;46F;479me62=99h<7d<6b;29?l41;3:17d7=6;29?j14n3:17pl>45`95?3=93?p(49=:571?M?1k2dj?94>0c:8m647=3;17d==0582>>o4:991=75f333`>4<265=j;%;0=?4b811C8984n`17>46e12c?984?::k713<722c?9:4?::k71=<722e3944?::p77622909wS==049>77d02=?>7p}<21694?4|V:8;863<2c590004?:3y]77643499n:4;569~w646k3:1>vP<20a8964e?3>>46s|33`4>5<5sW99n:5233`4>=3>3twi??oi:087>5<7s-3<>7o=2:&:7<<5m920D9:9;oc00?77jh1b>4l50;9j635=831b5?850;9l36`=831vn<:;c;391?7==r.2;?4;539K=3emb:k065>=931b??>8:088m647>3;17d==1c82>>i4:k21=75m33`;>5<2290;w)782;6ab>"3<003?h5+92;96`6?3A>?:6`n35824ge6=44i575>5<5<7;<11f=<3=<1v>{t;;:=6=4={_1143=:;;h369;8;|q064d=838pR><>b:?06g>=<<20q~==b983>7}Y;;h370==b98;1<=zuk99n=4>:583>5}#1>81m?<4$81:>7c702B?8;5aa26955dc3`82n7>5;h057?6=3`39:7>5;n50b?6=3th:89j51;795?3|,0=969;=;I;5g>hf;=0:::k065?=931b???n:088k64e13;17o==b883>0<729q/5:<54cd8 12>219n7)7<9;0f4==O<=<0bl=;:02ab>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|332a>5<5sW991323ty8>=o50;0xZ647i278>o754448yv55800;6?uQ332:?855j00?9:5rs202e?6=:rT8>6*63881a5><@=>=7co<4;33g5=n:0h1<75f27194?=n1;<1<75`72d94?=zj8>?i7?55;391~">?;0?9?5G97a8jd5328:h=6g<21d95?=n;;:n6<44i203`?7=3`99=:4>::m06gg=931i??ln:186>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>0b08m1322900e9;9:188m1302900e9;7:188k=3>2900q~==0g83>7}Y;;:m70==b`8710=z{:8;i7>52z\065c<5:8im7::6:p776c2909wS==0e9>77df2=?<7p}<20594?4|V:8:;63<2cc900>N3:511a0?l4>j3:17d<93;29?l?5>3:17b90e><>2;39?l55980:66g<20295?=n;;:h6<44o20af?7=3k99no4?:483>5}#1>818oh4$56:>=5b3-3857l5:k710<722c?9;4?::k712<722c?954?::m;1<<722wx???=:181[559;16??lm:576?xu4:8;1<7;4:kh18884}r1155<72;qU????;<11fg<3=>1v>{t;;hi6=4={_11fg=:;;hi65;6;|a77d4280?6=4?{%;46?g5:2.2?44=e1:8L1213gk887??c79j6;=50;9j=70=831d;>h50;9~f42283;197?55z&:37<3=;1C5;m4n`17>46d?2c8><;51;9j77732800e><>3;39?l55880:66a<2ca95?=e;;hh6=4::183!?0:3>ij6*;488;7`=#1:31>h>7;I672>hf;=0:5<5<5<vP<2068964ek3>>:6s|3330>5<5sW99=>5233``>1303ty8>=?50;0xZ6479278>om544:8yv55jj0;6?uQ33``?855jj03945r}c11f1<62=0;6=u+9609e74<,0926?k?8:J703=ii:>1==m6;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`2007=93?1=7;t$851>1353A3=o6`n35824fg<851;9j77672800c>>o3=?0;66g;5683>>o3=10;66a75883>>{t;;;26=4={_115<=:;;ho69;:;|q064>=838pR><>8:?06gb=<<<0q~==1783>7}Y;;;=70==be8712=z{:8;<7>52z\0656<5:8ih7::8:p77dc2909wS==be9>77dc21?27psm33`6>4<3290;w)782;c16>">;009i=64H565?kg4<3;;on5f28`94?=n:?91<75f93494?=h?:l1<75rb00a1?7==3;19v*6738717=O1?i0bl=;:02``>o6?9<1=75f1624>4<:51;9a5253290>6=4?{%;46?2en2.?84473d9'=6?=9?<37E:;6:lb71<68jo0e9;::188m1312900e9;8:188m13?2900c5;6:188yv708?0;6?uQ1625?870;=0?985rs0533?6=:rT:;=94=0500?22>2wx=:>::181[708<16=:=;:574?xu6>l31<7;6?:>18864}r3471<72;qU=:=;;<34711<729q/5:<5a308 <5>28<=46F;479me62=99im7d<6b;29?l41;3:17d7=6;29?j14n3:17pl>2c495?3=93?p(49=:571?M?1k2dj?94>0e28m40bi3;17d?9ec82>>o6?9>1=75f17g`>4<265=j;%;0=?71>11C8984n`17>46c92c?984?::k713<722c?9:4?::k71=<722e3944?::p53cf2909wS?9e`9>52522=?>7p}>6d`94?4|V87279000vP>6da89414=3>>46s|1616>5<5sW;=3>3twi=:5<7s-3<>7o=2:&:7<<6>?20D9:9;oc00?77l;1b>4l50;9j635=831b5?850;9l36`=831vn<k3:k22`b=931b=;kj:088m417;3;17d?9eg82>>i6?:<1=75m1615>5<2290;w)782;6ab>"3<003?h5+92;9530?3A>?:6`n35824a26=44i575>5<5<lo01<9<6;662>{t9>:86=4={_3446=:9>9=69;8;|q22``=838pR<8jf:?2360=<<20q~?83783>7}Y9>9=70?8378;1<=zuk;<>h4>:583>5}#1>81m?<4$81:>40102B?8;5aa26955b23`82n7>5;h057?6=3`39:7>5;n50b?6=3th:>o651;795?3|,0=969;=;I;5g>hf;=0:::k2354=931b=;h=:088k414?3;17o?83683>0<729q/5:<54cd8 12>219n7)7<9;352==O<=<0bl=;:02g3>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|17d3>5<5sW;=j=521614>1323ty::k?50;0xZ40a927:;>954448yv708;0;6?uQ1621?870;>0?9:5rs04e6?6=:rT::k<4=0503?2202wx=:=8:181[70;>16=:=8:97:?x{e9>8m6<4;:183!?0:3k9>6*6388223><@=>=7co<4;33`==n:0h1<75f27194?=n1;<1<75`72d94?=zj88i57?55;391~">?;0?9?5G97a8jd5328:o56g>6g695?=n9?l>6<44i0535?7=3`;=j;4>::m236>=931i=:=7:186>5<7s-3<>7:mf:&70<75174;?M23>2dj?94>0ec8m1322900e9;9:188m1302900e9;7:188k=3>2900q~?9f583>7}Y9?l?70?8398710=z{852z\22c3<58=847::6:p52662909wS?8009>525?2=?<7p}>6g494?4|V872:900>N3:511fa?l4>j3:17d<93;29?l?5>3:17b96gg95?=n9?l26<44o050=?7=3k;5}#1>818oh4$56:>=5b3-3857?9699K010kd:k710<722c?9;4?::k712<722c?954?::m;1<<722wx=;h8:181[71n>16=:=6:576?xu6>o21<7;6?:318884}r35b`<72;qU=;hj;<347<<3=>1v<8i9;296~X6>o301<9<9;66<>{t9>926=4={_347<=:9>9265;6;|a5256280?6=4?{%;46?g5:2.2?44>67:8L1213gk887??dd9j6;=50;9j=70=831d;>h50;9~f44ej3;197?55z&:37<3=;1C5;m4n`17>46cn2c::ko51;9j53`e2800e<8i3;39?l71nj0:66a>72c95?=e9>9j6=4::183!?0:3>ij6*;488;7`=#1:31=;87;I672>hf;=0:4i576>5<5<5<vP>6g`89414i3>>:6s|17d0>5<5sW;=j>52161b>1303ty::km50;0xZ40ak27:;>o544:8yv70;h0;6?uQ161b?870;h03945r}c3477<62=0;6=u+9609e74<,0926<898:J703=ii:>1==k>;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`26ge=93?1=7;t$851>1353A3=o6`n35824`4>o3=?0;66g;5683>>o3=10;66a75883>>{t9?lo6=4={_35ba=:9>9i69;:;|q22c`=838pR<8if:?236d=<<<0q~?9e983>7}Y9?o370?83c8712=z{8=;<7>52z\2356<58=8n7::8:p525e2909wS?83c9>525e21?27psm1610>4<3290;w)782;c16>">;00::;64H565?kg4<3;;i95f28`94?=n:?91<75f93494?=h?:l1<75rb0126?7==3;19v*6738717=O1?i0bl=;:02f1>o598l1=75f2003>4<290>6=4?{%;46?2en2.?84473d9'=6?=9j9:7E:;6:lb71<68l<0e9;::188m1312900e9;8:188m13?2900c5;6:188yv469o0;6?uQ203e?846<00?985rs3314?6=:rT9=?>4=337=?22>2wx><:6:574?xu59981<7;59=318864}r020<<72;qU><:6;<020<4082>1<729q/5:<5a308 <5>28i8=6F;479me62=99o<7d<6b;29?l41;3:17d7=6;29?j14n3:17pl>30195?3=93?p(49=:571?M?1k2dj?94>0d:8m777;3;17d<>0582>>o598n1=75f2026>4<265=j;%;0=?7d;81C8984n`17>46b12c?984?::k713<722c?9:4?::k71=<722e3944?::p64642909wS<>029>642f2=?>7p}=11694?4|V;;;863=15c9000vP=11789773i3>>46s|206b>5<5sW8:8l52206b>=3>3twi><:=:087>5<7s-3<>7o=2:&:7<<6k:;0D9:9;oc00?77mh1b>4l50;9j635=831b5?850;9l36`=831vn<=>4;391?7==r.2;?4;539K=3ejb:k1550=931b><>8:088m776k3;17d<>0982>>i59=h1=75m206a>5<2290;w)782;6ab>"3<003?h5+92;95f563A>?:6`n35824`e6=44i575>5<5<<>9;<020g<3=<1v???7;296~X599=01??;b;662>{t:8;h6=4={_025f=::8>i69;8;|q155>=838pR???8:?151d=<<20q~<>4c83>7}Y:8>i70<>4c8;1<=zuk8:8>4>:583>5}#1>81m?<4$81:>4e492B?8;5aa26955cc3`82n7>5;h057?6=3`39:7>5;n50b?6=3th:?<;51;795?3|,0=969;=;I;5g>hf;=0:::k154d=931b><>m:088k773k3;17o<>4b83>0<729q/5:<54cd8 12>219n7)7<9;3`74=O<=<0bl=;:02fb>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|202:>5<5sW8:<452206`>1323ty9==o50;0xZ777i279=9m54448yv469k0;6?uQ203a?846<:l:181[46<:l:97:?x{e:8>?6<4;:183!?0:3k9>6*63882g67<@=>=7co<4;33b5=n:0h1<75f27194?=n1;<1<75`72d94?=zj89::7?55;391~">?;0?9?5G97a8jd5328:m=6g=11f95?=n:8:n6<44i332e?7=3`8:::m151b=931i><:k:186>5<7s-3<>7:mf:&70<751b12?M23>2dj?94>0g08m1322900e9;9:188m1302900e9;7:188k=3>2900q~<>0e83>7}Y:8:o70<>4e8710=z{;;;i7>52z\155c<5;;?h7::6:p647f2909wS<>1`9>642c2=?<7p}=11d94?4|V;;;j63=15f900>N3:511d0?l4>j3:17d<93;29?l?5>3:17b90e??>0;39?l46980:66g=10595?=n:8;96<44o337a?7=3k8:8h4?:483>5}#1>818oh4$56:>=5b3-3857?l309K010i5:k710<722c?9;4?::k712<722c?954?::m;1<<722wx><:j:576?xu598;1<7;59=o18884}r0252<72;qU>1v??>2;296~X598801??;e;66<>{t:8>n6=4={_020`=::8>n65;6;|a6421280?6=4?{%;46?g5:2.2?44>c238L1213gk887??f79j6;=50;9j=70=831d;>h50;9~f45603;197?55z&:37<3=;1C5;m4n`17>46a?2c9=<=51;9j64732800e???c;39?l469<0:66a=15d95?=e:8>m6=4::183!?0:3>ij6*;488;7`=#1:31=n=>;I672>hf;=0:5<5<5<4?:3y]6474348:8k4;549~w776<3:1>vP=10689773n3>>:6s|202`>5<5sW8:1303ty9=<;50;0xZ776=279=9h544:8yv461==h6;h0:f?6=3`8=?7>5;h;12?6=3f=8j7>5;|`274?=93?1=7;t$851>1353A3=o6`n35824cg2800c??:0;39?g46=90;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t:8;=6=4={_0253=::8?;69;:;|q154>=838pR??>8:?1506=<<<0q~<>0083>7}Y:8::70<>518712=z{;;:57>52z\154?<5;;><7::8:p64372909wS<>519>643721?27psm206;>4<3290;w)782;c16>">;00:o>?4H565?kg4<3;;jn5f28`94?=n:?91<75f93494?=h?:l1<75rb312=?7=;3:1741:2B?8;5aa26955`c3`>>97>5;h662?6=3f2>57>5;|`16c0=9391<7>t$851>1da3->?576=a:&:7<<5:?80D9:9;oc00?77nl1b88;50;9j000=831d48750;9~f756i3;187>50z&:37<3jo1/897574d8 <5>2;8=>6F;479me62=99lm7d::5;29?l22>3:17d::7;29?j>213:17pl=2g595?2=83:p(49=:5`e?!2313=>j6*63881634<@=>=7co<4;3245=n<?;0?9?5G97a8jd5328;;=6g=41g95?=n::;i6<44i3624?7=3`8?::m1050=931i>9>8:186>5<7s-3<>7:mf:&70<752341?M23>2dj?94>1108m1322900e9;9:188m1302900e9;7:188k=3>2900q~<;0d83>7}Y:=:n70<;068710=z{;9:n7>52z\174d<5;>;;7::6:p61772909wS<;119>61602=?<7p}=41d94?4|V;>;j63=415900>:4y'=24=<<80D48l;oc00?768:1b>>;7:088m752>3;17d<<9d82>>o5;<=1=75`2277>4<97>55;294~">?;0?nk5+45;9<56<,0926?<92:J703=ii:>1=<>;;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty9?8650;0xZ7520279?8;54478yv44=?0;6?uQ2275?844=<0?9;5rs31:a?6=:rT9?4k4=3161?22?2wx>>;8:181[44=>16>>;::57;?xu5;<>1<7;5;t$851>1da3->?576=5:&:7<<6k:;0D9:9;oc00?768<1b88;50;9j000=831d48750;9~f4`203;1?7>50z&:37<3jo1/89758378 <5>28i8=6F;479me62=98:=7d::5;29?l22>3:17b6:9;29?xd6n<<1=7=50;2x <152=hm7):;9;:11>">;00:o>?4H565?kg4<3;:<:5f44794?=n<<<1<75`84;94?=zj8l>87?53;294~">?;0?nk5+45;9<73<,09261=<>7;h661?6=3`>>:7>5;n:6=?6=3th:j8<51;194?6|,0=969li;%67=?>5=2.2?44>c238L1213gk887?>089j003=831b88850;9l<0?=831vnN3:5102b?l22=3:17d::6;29?j>213:17pl>f5g95?5=83:p(49=:5`e?!23132996*63882g67<@=>=7co<4;324g=n<4e492B?8;5aa269546d3`>>97>5;h662?6=3f2>57>5;|`2ef7=9391<7>t$851>1da3->?576;3:&:7<<6ik80D9:9;oc00?768m1b88;50;9j000=831d48750;9~f4?6m3;1?7>50z&:37<3jo1/89758518 <5>283;j6F;479me62=98:n7d::5;29?l22>3:17b6:9;29?xde<:0:6>4?:1y'=24=3:17b6:9;29?xdf0h0:6>4?:1y'=24=3:17b6:9;29?xd5k8;1=7=50;2x <152=hm7):;9;:1e>">;009o=h4H565?kg4<3;:=<5f44794?=n<<<1<75`84;94?=zj;koi7?53;294~">?;0?nk5+45;9<7g<,0926?ok8:J703=ii:>1=>:7>5;n:6=?6=3th9mio51;194?6|,0=969li;%67=?>5i2.2?44=ae:8L1213gk887?>129j003=831b88850;9l<0?=831vnN3:51037?l22=3:17d::6;29?j>213:17pl>cca95?5=83:p(49=:5`e?!231329n6*63882g67<@=>=7co<4;3250=n<o580n1=75f21cf>4<512.2?44>c238L1213gk887?>169j003=831b88850;9j001=831d48750;9~w76>l3:1>vP=08f894`0i3>>96s|21cf>5<5sW8;mh521g5b>1313ty9265>;;%;0=?7fj;1C8984n`17>47602c?984?::k713<722c?9:4?::m;1<<722wi=l7<:087>5<7s-3<>7:mf:&70<751`:5?M23>2dj?94>10;8m1322900e9;9:188m1302900c5;6:188yg7><:0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm19d2>4<3290;w)782;6ab>"3<003<95+92;95=c33A>?:6`n358254d6=44i575>5<5<:583>5}#1>818oh4$56:>2bc3-3857?l309K010c:k710<722c?9;4?::k712<722e3944?::a5=2b280?6=4?{%;46?2en2.?8448cb9'=6?=9>>;7E:;6:lb71<698n0e9;::188m1312900e9;8:188k=3>2900qo?74c82>1<729q/5:<54cd8 12>2>ih7)7<9;3405=O<=<0bl=;:032a>o3=<0;66g;5783>>o3=>0;66a75883>>{e91>36<4;:183!?0:3>ij6*;4884gf=#1:31=::?;I672>hf;=0:=5<5<26:ml;%;0=?70<91C8984n`17>47582c?984?::k713<722c?9:4?::m;1<<722wi=5:=:087>5<7s-3<>7:mf:&70<<0kj1/5>751663?M23>2dj?94>1338m1322900e9;9:188m1302900c5;6:188yg7?;o0:694?:1y'=24=6g;5483>>o3=?0;66g;5683>>i?=00;66sm191`>4<3290;w)782;6ab>"3<00?:6`n35825756=44i575>5<5<:583>5}#1>818oh4$56:>2ed3-3857?8419K010>;7E:;6:lb71<69;?0e9;::188m1312900e9;8:188k=3>2900qo?73582>1<729q/5:<54cd8 12>2>ih7)7<9;3405=O<=<0bl=;:0312>o3=<0;66g;5783>>o3=>0;66a75883>>{e919:6<4;:183!?0:3>ij6*;4884gf=#1:31=::?;I672>hf;=0:=?94i576>5<5<26:ml;%;0=?70<91C8984n`17>47502c?984?::k713<722c?9:4?::m;1<<722wi=55<7s-3<>7:mf:&70<<0kj1/5>751663?M23>2dj?94>13;8m1322900e9;9:188m1302900c5;6:188yg7?:10:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm1906>4<3290;w)782;6ab>"3<00?:6`n358257d6=44i575>5<5<:583>5}#1>818oh4$56:>2ed3-3857?8419K010>;7E:;6:lb71<69;n0e9;::188m1312900e9;8:188k=3>2900qo?70882>1<729q/5:<54cd8 12>2>ih7)7<9;3405=O<=<0bl=;:031a>o3=<0;66g;5783>>o3=>0;66a75883>>{e91:=6<4;:183!?0:3>ij6*;4884gf=#1:31=::?;I672>hf;=0:=?h4i576>5<5<26:ml;%;0=?70<91C8984n`17>47482c?984?::k713<722c?9:4?::m;1<<722wi=5>?:087>5<7s-3<>7:mf:&70<<0kj1/5>751663?M23>2dj?94>1238m1322900e9;9:188m1302900c5;6:188yg70nm0:694?:1y'=24=6g;5483>>o3=?0;66g;5683>>i?=00;66sm16db>4<3290;w)782;6ab>"3<00?:6`n35825656=44i575>5<5<:583>5}#1>818oh4$56:>2ed3-3857?8419K010>;7E:;6:lb71<69:?0e9;::188m1312900e9;8:188k=3>2900qo?8f382>1<729q/5:<54cd8 12>2>ih7)7<9;3405=O<=<0bl=;:0302>o3=<0;66g;5783>>o3=>0;66a75883>>{e9>om6<4;:183!?0:3>ij6*;4884gf=#1:31=::?;I672>hf;=0:=>94i576>5<5<26:ml;%;0=?70<91C8984n`17>47402c?984?::k713<722c?9:4?::m;1<<722wi=:k6:087>5<7s-3<>7:mf:&70<<0kj1/5>751663?M23>2dj?94>12;8m1322900e9;9:188m1302900c5;6:188yg70m?0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm16g0>4<3290;w)782;6ab>"3<00?:6`n358256d6=44i575>5<5<:583>5}#1>818oh4$56:>2ed3-3857?8419K0101<7>t$851>1da3->?579lc:&:7<474l2c?984?::k713<722c?9:4?::m;1<<722win>h51;694?6|,0=969li;%67=?1dk2.2?44n4e9K0101<7>t$851>1da3->?579lc:&:7<474n2c?984?::k713<722c?9:4?::m;1<<722win>l51;694?6|,0=969li;%67=?1dk2.2?44n4e9K0101<7>t$851>1da3->?579lc:&:7<47392c?984?::k713<722c?9:4?::m;1<<722win>951;694?6|,0=969li;%67=?1dk2.2?44n4e9K0101<7>t$851>1da3->?579lc:&:7<473;2c?984?::k713<722c?9:4?::m;1<<722wi=lm=:087>5<7s-3<>7:mf:&70<751``1?M23>2dj?94>1568m1322900e9;9:188m1302900c5;6:188yg7>9o0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sma9:95?2=83:p(49=:5`e?!23132856*6388b0a=O<=<0bl=;:0372>o3=<0;66g;5783>>o3=>0;66a75883>>{e:jn86<4;:183!?0:3>ij6*;48841c=#1:31>nm:;I672>hf;=0:=994i576>5<5<26:;i;%;0=?4d8o1C8984n`17>47302c?984?::k713<722c?9:4?::m;1<<722wi>lji:087>5<7s-3<>7:mf:&70<<0=o1/5>752`f;?M23>2dj?94>15;8m1322900e9;9:188m1302900c5;6:188yg4flk0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm1b`g>4<3290;w)782;6ab>"3<00?:6`n358251d6=44i575>5<5<:483>5}#1>818oh4$56:>2ef3-3857n<::086>5<7s-3<>7:mf:&70<<0ih1/5>752c0f?M23>2dj?94>15f8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?nc282>0<729q/5:<54cd8 12>218n7)7<9;3bf7=O<=<0bl=;:037a>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1803>4<2290;w)782;6ab>"3<003>h5+92;95<6a3A>?:6`n358251`6=44i575>5<5<d2c3A>?:6`n35825066=44i575>5<5<26:9n;%;0=?4d8o1C8984n`17>47292c?984?::k713<722c?9:4?::k71=<722e3944?::a6dc7280>6=4?{%;46?2en2.?84487`9'=6?=:hn37E:;6:lb71<69<80e9;::188m1312900e9;8:188m13?2900c5;6:188yg4flj0:684?:1y'=24=?6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e:jn:6<4::183!?0:3>ij6*;48843c=#1:31>nm:;I672>hf;=0:=8:4i576>5<5<5<:483>5}#1>818oh4$56:>2223-3857?l309K0109>k:086>5<7s-3<>7:mf:&70<<00;1/5>752341?M23>2dj?94>1448m1322900e9;9:188m1302900e9;7:188k=3>2900qo?<4882>2<521q/5:<54408L<0d3gk887?>569j616?2800e86?:088m0dc2800e?=m3;39?l44ij0:66g=3`c95?=h:=:h6<44b363g?6==3:1741:2B?8;5aa269543?3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`105g=83>1<7>t$851>1da3->?579i6:J703=ii:>1=<;6;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q105>=838pR?:?8:?105e=<9>l:575?xu2jm0;6?uQ5cf89727k3>>46s|22`0>5<5sW88n>52252b>1323ty9?lm50;0xZ75fk2798=o54448yv44ih0;6?uQ22cb?8438h0?9:5rs363g?6=:rT98=m4=363g?>212wx>9>n:1818438j0?9:52252b>=3>3twi=<7j:086>4<2s-3<>7::2:J:2f=ii:>1=<;n;h00f7<622c9?o>51;9j66ga2800e?=m1;39?j3el3;17o<;0c83>0<729q/5:<54cd8 12>218:7)7<9;0127=O<=<0bl=;:036f>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|22`1>5<5sW88n?52252a>1323ty9?o>50;0xZ75e82798=l54448yv44io0;6?uQ22ce?8438k0?9:5rs31a5?6=:rT9?o?4=363f?2202wx9oj50;0xZ0dc348?:4y'=24=<<80D48l;oc00?76=j1b>><8:088m755<3;17d<<2282>>o5;;?1=75`60095?=e::n;6=4::183!?0:3>ij6*;488;0`=#1:31>?8=;I672>hf;=0:=8j4i576>5<5<5<:4?:3y]66403488h=4;549~w755<3:1>vP=3368975c83>>:6s|2200>5<5sW88>>5222f3>1303ty9??;50;0xZ755=279?i>544:8yv06:3:1>vP9139>66b721?27psm22a`>4<2290;w)782;6ab>"3<00?:6`n358250c6=44i575>5<5<<4>::k177?=931d::;51;9a667b290>6=4?{%;46?2en2.?8447179'=6?=:;<97E:;6:lb71<69?:0e9;::188m1312900e9;8:188m13?2900c5;6:188yv449o0;6?uQ223e?8449l0?985rs3116?6=:rT9??<4=312a?22>2wx>><>:181[44:816>>?j:574?xu5;;31<7;5;8o18864}r441?6=:rT=;852223f>=3>3twi=>:<:086>4<2s-3<>7::2:J:2f=ii:>1=<8>;h441?7=3`88>54>::k1776=931b>><9:088k75dj3;17o<<1e83>0<729q/5:<54cd8 12>21987)7<9;0127=O<=<0bl=;:0356>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|66794?4|V?=>70<<1e8710=z{;9947>52z\177><5;9:h7::6:p66472909wS<<219>667c2=?<7p}=33494?4|V;99:63=30f900>l0:694>:5y'=24=<<80D48l;oc00?76>:1b>9>j:088m72683;17d<;0g82>>i3ll0:66l=40794?2=83:p(49=:5`e?!231328:6*63881634<@=>=7co<4;3221=n<;i7>52z\105c<5;>:97::5:p61772909wS<;119>61722=?=7p}=41d94?4|V;>;j63=4079001oi7>52z\7``=::=;>65;6;|a6173280>6=4?{%;46?2en2.?8447069'=6?=:;<97E:;6:lb71<69??0e9;::188m1312900e9;8:188m13?2900c5;6:188yg4d9m0:6>4?:1y'=24=>o3=?0;66a75883>>{e:kim6<4<:183!?0:3>ij6*;488;60=#1:31>o=9;I672>hf;=0:=;94i576>5<5<:283>5}#1>818oh4$56:>=613-3857?l309K0105<7s-3<>7:mf:&70<751``1?M23>2dj?94>17;8m1322900e9;9:188k=3>2900qo?64182>6<729q/5:<54cd8 12>21:=7)7<9;3:4c=O<=<0bl=;:035e>o3=<0;66g;5783>>i?=00;66sm2b01>4<3290;w)782;6ab>"3<00?:6`n358253d6=44i575>5<5<:482>0}#1>8188<4H84`?kg4<3;::n5f211f>4<md;291?6=8r.2;?4;bg9'01?=09:0(4=6:0a05>N3:5104g?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=02g94?4|V;:8i63=0cf900354?:3y]654?348;ni4;579~w765i3:1>vP=03c8976el3>>;6s|210:>5<5sW8;>45221`g>13?3ty91=7:50;2x <152=hm7):;9;5`g>">;009?8k4H565?kg4<3;::h5f44794?=n<<<1<75f44594?=h0<31<75rb31;7?7=<3:1752m2B?8;5aa269540a3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th9?5<51;694?6|,0=969li;%67=?1dk2.2?44=34g8L1213gk887?>719j003=831b88850;9j001=831d48750;9~f75?93;187>50z&:37<3jo1/89757ba8 <5>2;9>i6F;479me62=98=:7d::5;29?l22>3:17d::7;29?j>213:17pl=39295?2=83:p(49=:5`e?!2313=ho6*6388170c<@=>=7co<4;3237=n<?;0?nk5+45;93fe<,0926?=:e:J703=ii:>1=<9<;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`172c=93>1<7>t$851>1da3->?579lc:&:7<<5;N3:51056?l22=3:17d::6;29?l22?3:17b6:9;29?xd4l?o1=7=50;2x <152=hm7):;9;:32>">;008h;m4H565?kg4<3;:;;5f44794?=n<<<1<75`84;94?=zj;9ij7?53;294~">?;0?nk5+45;9<50<,0926?<92:J703=ii:>1=<98;h661?6=3`>>:7>5;n:6=?6=3th9?=m51;194?6|,0=969li;%67=?>5=2.2?44=2708L1213gk887?>799j003=831b88850;9l<0?=831vn><9:088m755?3;17b;;3;39?g44=80;694?:1y'=24=>o3=?0;66g;5683>>i?=00;66s|2206>5<5sW88>8522272>1323ty9??850;0xZ755>279?8?54448yv44:>0;6?uQ2204?844=80?9:5rs460>5<5sW???63=3439<0?2:2.2?44=2708L1213gk887?>7c9j003=831b88850;9l<0?=831vn?=:c;391?6=8r.2;?4;bg9'01?=0;l0(4=6:3056>N3:5105`?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>32f95?3=93?p(49=:571?M?1k2dj?94>16f8m75503;17d<<2582>>o5;;:1=75f2200>4<<7?5;c0015<72<0;6=u+96090g`<,=>265==;%;0=?45>;1C8984n`17>470m2c?984?::k713<722c?9:4?::k71=<722e3944?::p664?2909wS<<299>66372=?>7p}=33694?4|V;99863=3429000=4?:3y]664734889=4;569~w755;3:1>vP=3318975283>>46s|2273>5<5sW889=522273>=3>3twi=>=j:086>4<2s-3<>7::2:J:2f=ii:>1=<9i;h005c<622c9??<51;9j66462800e?==9;39?j44=;0:66l=34094?3=83:p(49=:5`e?!231328i6*63881634<@=>=7co<4;32<5=n<><=:181[44:;16>>;=:575?xu5;;;1<7;5;<818894}r006<<72;qU>><6;<0017<3=11v?=:2;296~X5;<801?=:2;:6=>{zj898o7?54;390~">?;0?9?5G97a8jd5328;3=6g=34095?=n==91=75f2273>4<26:h9;%;0=?45>;1C8984n`17>47?:2c?984?::k713<722c?9:4?::m;1<<722wx>>;=:181[44=;16>>;<:576?xu2<:0;6?uQ55189752;3>>:6s|2273>5<5sW889=522270>1303ty9?9h50;0xZ753n279?8=584;8yxd6;=<1=7;51;7x <152=?97E79c:lb71<69190e?=m0;39?l44j80:66g=3`g95?=n::km6<44o3637?7=3k8?<>4?:483>5}#1>818oh4$56:>=553-3857<=639K010>l?:181[44j916>9><:576?xu5;k;1<7;5<9918884}r00e`<72;qU>>oj;<0746<3=>1v?=nf;296~X5;hl01?:?3;66<>{t:=:86=4={_0746=::=:865;6;|a5620280>6<4:{%;46?22:2B2:n5aa26954>23`88mn4>::k17db=931b>>om:088m75fi3;17b<;0582>>d5<9>1<7;50;2x <152=hm7):;9;:06>">;009>;<4H565?kg4<3;:4;5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{;9jo7>52z\17de<5;>;87::5:p66gc2909wS<61632=?=7p}=3``94?4|V;9jn63=4169001vP=41689727<32>56srb0176?7==3;19v*6738717=O1?i0bl=;:03;3>o5;;:1=75f55195?=n::826<44i311::`17d?=83?1<7>t$851>1da3->?576>a:&:7<<5:?80D9:9;oc00?76011b88;50;9j000=831b88950;9j00>=831d48750;9~w75583:1>vP=3328975f13>>96s|55194?4|V<>870<52z\177?<5;9j57::7:p664?2909wS<<299>66g>2=?37p}=3`;94?4|V;9j563=3`;9<0?889j003=831b88850;9j001=831b88650;9l<0?=831vn?=n8;397?6=8r.2;?4;bg9'01?=0;?0(4=6:3056>N3:510:b?l22=3:17d::6;29?j>213:17pl=0c:95?5=83:p(49=:5`e?!23132;96*63882g67<@=>=7co<4;32o58:o1=75f210:>4<c238L1213gk887?>8e9j003=831b88850;9j001=831d48750;9~w764m3:1>vP=02g894`cj3>>96s|210:>5<5sW8;>4521gfa>1313ty9::m2ed3=931i=lo9:187>5<7s-3<>7:mf:&70<751`:5?M23>2dj?94>19d8m1322900e9;9:188m1302900c5;6:188yv7f1o0;6?uQ1`;e?87fi?0?985rs0`1a?6=:rT:n?k4=0cb2?22>2wx?lhj:181[5fnl16=lo9:574?xu6ih?1<7;6ih<14874}|`26f3=93>1=7:t$851>1353A3=o6`n35825<6N3:510;2?l22=3:17d::6;29?l22?3:17b6:9;29?xu60oo1<7;619?188;4}r3:oie;296~X4ioo01<7?5;663>{t90:?6=4={_3:41=:90:>65;6;|a5666280>6<4:{%;46?22:2B2:n5aa26954?53`8;>l4>::k147>=931b>=<6:088m764m3;17b>d58==1<7;50;2x <152=hm7):;9;:22>">;00:o>?4H565?kg4<3;:5>5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{;:9m7>52z\147g<5;:?;7::5:p654?2909wS65202=?=7p}=03;94?4|V;:9563=05590013:1>vP=05489763?32>56srb0`42?7=;3:14ge:2B?8;5aa26954?33`>>97>5;h662?6=3f2>57>5;|`2=a5=9391<7>t$851>1da3->?576?5:&:7<<619l0D9:9;oc00?761<1b88;50;9j000=831d48750;9~f45b=3;187?54z&:37<3=;1C5;m4n`17>47>>2c9on751;9j6fee2800e?mla;39?j4dk?0:66l=cd194?2=83:p(49=:5`e?!23132:46*63881gf3<@=>=7co<4;32=2=n<52z\1gf?<5;in?7::5:p6fee2909wS6fc42=?=7p}=cbc94?4|V;ihm63=cd1900147589~yg4dl>0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm1442>4<2280>w)782;666>N>>j1em>:510;:?l5e180:66g::`0fdb=83?1<7>t$851>1da3->?576=831d48750;9~w6d>93:1>vP>96s|3b37>5<5sW9h=9523ccg>1313ty8n>k50;0xZ6d4m278nlj54458yv5en:0;6?uQ3cd0?85eim0?955rs2`bg?6=:rT8nlm4=2`b`?>212wvn<:;2;391?7==r.2;?4;539K=3eo51;9j7f4e2800e>?if;39?j551;0:66l<28194?3=83:p(49=:5`e?!2313=>o6*63881a5><@=>=7co<4;32=f=n<o50;0xZ05f34995>4;579~w6e5j3:1>vP;3>>;6s|30de>5<5sW9:jk5233;0>13?3ty8>4<50;0xZ64>:278>4=584;8yxd4:=o1=7;50;2x <152=hm7):;9;5a0>">;009i=64H565?kg4<3;:5i5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:88j7?55;294~">?;0?nk5+45;93`><,0926?k?8:J703=ii:>1=<7j;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8>>m51;794?6|,0=969li;%67=?1b02.2?44=e1:8L1213gk887?>9g9j003=831b88850;9j001=831b88650;9l<0?=831vn><=9;391?6=8r.2;?4;bg9'01?=?l20(4=6:3g3<>N3:510c3?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<23195?3=83:p(49=:5`e?!2313=i86*63881a5><@=>=7co<4;32e4=n<o5kj<1=75f2ba;>4<6=4?{%;46?2en2.?8447169'=6?=:ji>7E:;6:lb71<69h90e9;::188m1312900e9;8:188m13?2900c5;6:188yv4dk?0;6?uQ2ba5?84dm;0?985rs3a`2wx>nk?:181[4dm916>nk=:574?xu5l<31<7;5kl818864}r0`a4<72;qU>nk>;<0`a70<729q/5:<54cd8 12>2>i87)7<9;0a6`=O<=<0bl=;:03b0>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm12`g>4<2280>w)782;666>N>>j1em>:510c6?l4d::0:66g=b6795?=n:j8=6<44i3a14?7=3f8i?=4>::`1fab=83?1<7>t$851>1da3->?576<2:&:7<<5j;o0D9:9;oc00?76i?1b88;50;9j000=831b88950;9j00>=831d48750;9~w7e5;3:1>vP=c31897dcl3>>96s|2c56>5<5sW8i;8522cfg>1313ty9o?850;0xZ7e5>279nij54458yv4d:90;6?uQ2b03?84elm0?955rs3`04?6=:rT9n>>4=3`g`?>212wvnN3:510c4?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>bc195?3=83:p(49=:5`e?!2313=2=6*63882eg4<@=>=7co<4;32e==n<4ge:2B?8;5aa26954g>3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2fd`=93?1<7>t$851>1da3->?57961:&:7<<6ik80D9:9;oc00?76ih1b88;50;9j000=831b88950;9j00>=831d48750;9~f4dfl3;197>50z&:37<3jo1/89757838 <5>28ki>6F;479me62=98ki7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6jhh1=7;50;2x <152=hm7):;9;5:5>">;00:mo<4H565?kg4<3;:mn5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8hj57?55;294~">?;0?nk5+45;93<7<,09261=>:7>5;h663?6=3`>>47>5;n:6=?6=3th:nl951;794?6|,0=969li;%67=?1>92.2?44>ac08L1213gk887?>ad9j003=831b88850;9j001=831b88650;9l<0?=831vnN3:510ce?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>b`195?3=83:p(49=:5`e?!2313=2=6*63882eg4<@=>=7co<4;32f5=n<4ge:2B?8;5aa26954d63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2f<`=93?1<7>t$851>1da3->?57961:&:7<<6ik80D9:9;oc00?76j;1b88;50;9j000=831b88950;9j00>=831d48750;9~f4d>l3;197>50z&:37<3jo1/89757838 <5>28ki>6F;479me62=98h87d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6j0h1=7;50;2x <152=hm7):;9;5:5>">;00:mo<4H565?kg4<3;:n95f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8h257?55;294~">?;0?nk5+45;93<7<,09261=>:7>5;h663?6=3`>>47>5;n:6=?6=3th:n4951;794?6|,0=969li;%67=?1>92.2?44>ac08L1213gk887?>b79j003=831b88850;9j001=831b88650;9l<0?=831vnN3:510`4?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>b8195?3=83:p(49=:5`e?!2313=2=6*63882eg4<@=>=7co<4;32f==n<4ge:2B?8;5aa26954d>3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2f=`=93?1<7>t$851>1da3->?57961:&:7<<6ik80D9:9;oc00?76jh1b88;50;9j000=831b88950;9j00>=831d48750;9~f4d?l3;197>50z&:37<3jo1/89757838 <5>28ki>6F;479me62=98hi7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6j1h1=7;50;2x <152=hm7):;9;5:5>">;00:mo<4H565?kg4<3;:nn5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8h357?55;294~">?;0?nk5+45;93<7<,09261=>:7>5;h663?6=3`>>47>5;n:6=?6=3th:n5951;794?6|,0=969li;%67=?1>92.2?44>ac08L1213gk887?>bd9j003=831b88850;9j001=831b88650;9l<0?=831vnN3:510`e?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>b9195?3=83:p(49=:5`e?!2313=2=6*63882eg4<@=>=7co<4;32g5=n<4ge:2B?8;5aa26954e63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2f2`=93?1<7>t$851>1da3->?57961:&:7<<6ik80D9:9;oc00?76k;1b88;50;9j000=831b88950;9j00>=831d48750;9~f4d0l3;197>50z&:37<3jo1/89757838 <5>28ki>6F;479me62=98i87d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6j>h1=7;50;2x <152=hm7):;9;5:5>">;00:mo<4H565?kg4<3;:o95f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8h<57?55;294~">?;0?nk5+45;93<7<,09261=>:7>5;h663?6=3`>>47>5;n:6=?6=3th:m<<51;794?6|,0=969li;%67=?1>92.2?44>91d8L1213gk887?>c79j003=831b88850;9j001=831b88650;9l<0?=831vn0;391?6=8r.2;?4;bg9'01?=?0;0(4=6:0;3b>N3:510a4?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>a1g95?3=83:p(49=:5`e?!2313=2=6*63882=5`<@=>=7co<4;32g==n<4?7n2B?8;5aa26954e>3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2e5g=93?1<7>t$851>1da3->?57961:&:7<<619l0D9:9;oc00?76kh1b88;50;9j000=831b88950;9j00>=831d48750;9~f4g703;197>50z&:37<3jo1/89757838 <5>283;j6F;479me62=98ii7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6i9<1=7;50;2x <152=hm7):;9;5:5>">;00:5=h4H565?kg4<3;:on5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8k;87?55;294~">?;0?nk5+45;93<7<,0926<7?f:J703=ii:>1=>:7>5;h663?6=3`>>47>5;n:6=?6=3th:m=<51;794?6|,0=969li;%67=?1>92.2?44>91d8L1213gk887?>cd9j003=831b88850;9j001=831b88650;9l<0?=831vnN3:510ae?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>9gg95?3=83:p(49=:5`e?!2313=2=6*63882=5`<@=>=7co<4;32`5=n<4?7n2B?8;5aa26954b63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2=cg=93?1<7>t$851>1da3->?57961:&:7<<619l0D9:9;oc00?76l;1b88;50;9j000=831b88950;9j00>=831d48750;9~f4?a03;197>50z&:37<3jo1/89757838 <5>283;j6F;479me62=98n87d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd61o<1=7;50;2x <152=hm7):;9;5:5>">;00:5=h4H565?kg4<3;:h95f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj83m87?55;294~">?;0?nk5+45;93<7<,0926<7?f:J703=ii:>1=>:7>5;h663?6=3`>>47>5;n:6=?6=3th:5k<51;794?6|,0=969li;%67=?1>92.2?44>91d8L1213gk887?>d79j003=831b88850;9j001=831b88650;9l<0?=831vn<7i0;391?6=8r.2;?4;bg9'01?=?0;0(4=6:0;3b>N3:510f4?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>9dg95?3=83:p(49=:5`e?!2313=2=6*63882=5`<@=>=7co<4;32`==n<4?7n2B?8;5aa26954b>3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2=`g=93?1<7>t$851>1da3->?57961:&:7<<619l0D9:9;oc00?76lh1b88;50;9j000=831b88950;9j00>=831d48750;9~f4?b03;197>50z&:37<3jo1/89757838 <5>283;j6F;479me62=98ni7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd61l<1=7;50;2x <152=hm7):;9;5:5>">;00:5=h4H565?kg4<3;:hn5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj83n87?55;294~">?;0?nk5+45;93<7<,0926<7?f:J703=ii:>1=>:7>5;h663?6=3`>>47>5;n:6=?6=3th:5h<51;794?6|,0=969li;%67=?1>92.2?44>91d8L1213gk887?>dd9j003=831b88850;9j001=831b88650;9l<0?=831vn<7j0;391?6=8r.2;?4;bg9'01?=?0;0(4=6:0;3b>N3:510fe?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>9eg95?3=83:p(49=:5`e?!2313=2=6*63882=5`<@=>=7co<4;32a5=n<4?7n2B?8;5aa26954c63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2=ag=93?1<7>t$851>1da3->?57961:&:7<<619l0D9:9;oc00?76m;1b88;50;9j000=831b88950;9j00>=831d48750;9~f4?c03;197>50z&:37<3jo1/89757838 <5>283;j6F;479me62=98o87d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd61m<1=7;50;2x <152=hm7):;9;5:5>">;00:5=h4H565?kg4<3;:i95f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8h<47?55;294~">?;0?nk5+45;931=>:7>5;h663?6=3`>>47>5;n:6=?6=3th:5i;51;794?6|,0=969li;%67=?1>12.2?44>91d8L1213gk887?>e79j003=831b88850;9j001=831b88650;9l<0?=831vn<::5;391?7==r.2;?4;539K=3e>i4;?=1=75m3244>5<2290;w)782;6ab>"3<003=85+92;96`6?3A>?:6`n35825`>6=44i575>5<5<>;a;296~X48=k01>=97;662>{t;99m6=4={_137c=:;:<<69;8;|q046c=838pR>>7}Y;:<<70=<668;1<=zuk;?994>:482>0}#1>8188<4H84`?kg4<3;:i45f311g>4<=95;291?6=8r.2;?4;bg9'01?=08?0(4=6:3g3<>N3:510gb?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<02f94?4|V::8h63<3779003vP<05689651=3>>;6s|3164>5<5sW9;8:523246>13?3ty8?;;50;0xZ651=278?;;584;8yxd4l>81=7=50;2x <152=hm7):;9;:31>">;008h:>4H565?kg4<3;:io5f44794?=n<<<1<75`84;94?=zj89om7?54;390~">?;0?9?5G97a8jd5328;no6g=c3195?=n:j8;6<44i3a12?7=3f8ijl4>::`1fc1=83>1<7>t$851>1da3->?579i7:&:7<<5j;o0D9:9;oc00?76mm1b88;50;9j000=831b88950;9l<0?=831v?m=3;296~X5k;901?li7;661>{t:j8;6=4={_0`65=::kl<69;9;|q1g70=838pR?m=6:?1fc1=<<=0q~7}Y:klj70:482>0}#1>8188<4H84`?kg4<3;:ih5f24f5>4<N3:510ge?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=5e494?4|V;?o:63>ad:9003vP>b3g894gb03>>;6s|1`;2>5<5sW;j5<521`g;>13?3ty:mh950;0xZ4gb?27:mh6584;8yxd6:j=1=7;51;7x <152=?97E79c:lb71<69o:0e?;k6;39?l7>:h0:66g>99`95?=n91om6<44o0;70?7=3k;2884?:483>5}#1>818oh4$56:>=503-3857?60g9K0108j9:181[42l?16=4:::576?xu61;k1<7;61=?18884}r3:1v<6jf;296~X60ll01<7;5;66<>{t90>?6=4={_3:01=:90>>65;6;|a5dc>280>6=4?{%;46?2en2.?84484`9'=6?=9hh97E:;6:lb71<69o80e9;::188m1312900e9;8:188m13?2900c5;6:188yg7>>o3=?0;66g;5683>>o3=10;66a75883>>{e9:hn6<4;:087!?0:3>>>6F66b9me62=98l?7d>o5k;<1=75f2b00>4<265;>;%;0=?4e:l1C8984n`17>47a=2c?984?::k713<722c?9:4?::m;1<<722wx>o9::181[4e?<16>ok>:576?xu5k;<1<7;5jl;18884}r0`66<72;qU>n<<;<0aa4<3=>1v?l<1;296~X5j:;01?lj1;:6=>{zj89io7?55;391~">?;0?9?5G97a8jd5328;m:6g=b6795?=n:j8=6<44i3a17?7=3`8ih>4>::m1f7`=931i>ojm:186>5<7s-3<>7:mf:&70<752c0f?M23>2dj?94>1g58m1322900e9;9:188m1302900e9;7:188k=3>2900q~7}Y:k=>7052z\1g70<5;hon7::6:p6f442909wS6gbe2=?<7p}=be194?4|V;ho?63=be`900>k4?:3y]6g4a348iho47589~yg73<=0:684>:4y'=24=<<80D48l;oc00?76n11b?8m?:088m64113;17d==2e82>>o4;?91=75`33;g>4<55;294~">?;0?nk5+45;9<1g<,0926?k?8:J703=ii:>1=>:7>5;h663?6=3`>>47>5;n:6=?6=3ty89n>50;0xZ63d8278>4j54478yv55>00;6?uQ334:?8551m0?9;5rs201`?6=:rT8>?j4=20:`?22?2wx?>8<:181[54>:16??7k:57;?xu4:0n1<7;4:0n14874}|`2013=93?1=7;t$851>1353A3=o6`n35825cg<6e;39?g551l0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;;8o6=4={_116a=:;;3n69;:;|q0676=838pR><=0:?067}Y;:<870==9d8712=z{:9;n7>52z\075d<5:82i7::8:p77?b2909wS==9d9>77?b21?27psm33;e>4<2290;w)782;6ab>"3<00?:6`n35825ce6=44i575>5<5<265>:;%;0=?4b811C8984n`17>47al2c?984?::k713<722e3944?::a50g4280>6<4:{%;46?22:2B2:n5aa26954`b3`9=9;4>::k021b=931b?=:<:088m663k3;17b=j1582>>d4m8?1<7;50;2x <152=hm7):;9;5;0>">;009i=94H565?kg4<3;:jk5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:<>:7>52z\0200<5:o:97::5:p732c2909wS=94e9>7`722=?=7p}<05194?4|V::??63vP56srb01g1?7==3;19v*6738717=O1?i0bl=;:0034>o5=m<1=75f2b00>4<6=4?{%;46?2en2.?8447149'=6?=:k8n7E:;6:lb71<6:9;0e9;::188m1312900e9;8:188m13?2900c5;6:188yv42l?0;6?uQ24f5?84el;0?985rs3a17?6=:rT9o?=4=3`g6?22>2wx>n<9:181[4d:?16>oj=:574?xu69?81<7;5jm818864}r0a`4<72;qU>oj>;<0a`71<62=q/5:<54408L<0d3gk887?=039j6fe02800e?mk8;39?l4dm90:66a=ceg95?=e:jnm6=4;:183!?0:3>ij6*;4884bf=#1:31>nm:;I672>hf;=0:>==4i576>5<5<nm8;<0``c<3=<1v?mk8;296~X5km201?mkf;662>{t:jo;6=4={_0`a5=::jnm69;8;|q1gac=838pR?mke:?1ga`=0<30qpl>3d495?2=93>p(49=:571?M?1k2dj?94>2168m7ed?3;17d>o5kl:1=75`2bfa>4<54;294~">?;0?nk5+45;9<52<,0926?ml5:J703=ii:>1=?>:;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q1gf1=838pR?ml7:?1gae=<7}Y:jn37052z\1g`6<5;ioo7::7:p6fbe2909wS6fbd21?27psm13ga>4<3280?w)782;666>N>>j1em>:51325?l46?j0:66g=16c95?=n:8=26<44o0d05}#1>818oh4$56:>2`03-3857?l309K0107b9>65??2=?>7p}=16c94?4|V;;348;554;569~w4`403:1>vP>f2:8976>032>56srb00`4?7==3;19v*6738717=O1?i0bl=;:003<>o5=4<::`2<`1=83?1<7>t$851>1da3->?579if:&:7<<60l>0D9:9;oc00?75801b88;50;9j000=831b88950;9j00>=831d48750;9~w732n3:1>vP=54d894>b?3>>96s|24f5>5<5sW8>h;5219g4>1313ty8mkk50;0xZ6gam27:4h954458yv32=3:1>vP:549>5=c02=?37p}>8d494?4|V82n:63>8d59<0?oh51;795?3|,0=969;=;I;5g>hf;=0:>=o4i3757?7=3`9jjh4>::k0gf`=931b?nj;:088k4>2=3;17o?75783>0<729q/5:<54cd8 12>2>lo7)7<9;3405=O<=<0bl=;:003f>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|2440>5<5sW8>:>521975>1323ty8mkk50;0xZ6gam27:48854448yv5dko0;6?uQ3bae?87?=?0?9:5rs2ag0?6=:rT8oi:4=0:62?2202wx=5;::181[7?=<16=5;9:97:?x{e9;ho6<4::086!?0:3>>>6F66b9me62=9;:h7d<:6282>>o4km>1=75f3bae>4<7528L1213gk887?=0e9j003=831b88850;9j001=831b88650;9l<0?=831v?;93;296~X5=?901<6=1;661>{t;jn?6=4={_1``1=:918:69;9;|q0gf`=838pR>mlf:?2<77=<<=0q~=nfd83>7}Y;hln70?720871==z{829<7>52z\2<76<5829=76:9:~f4g>m3;197>50z&:37<3jo1/897581;8 <5>28k3:6F;479me62=9;:n7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6:m;1=7;51;7x <152=?97E79c:lb71<6:9l0e?;94;39?l3>j3;17d<:d782>>o2=<0:66a>a8f95?=e9h3o6=4::183!?0:3>ij6*;4884bc=#1:31=l69;I672>hf;=0:><>4i576>5<5<5<:94?:3y]600334;j5i4;549~w0?e2909wS;6b:?2e7}Y:6=4={_761>;6i0n18864}r3b=a<72;qU=l7k;<3b=a0<729q/5:<54cd8 12>21:27)7<9;3;a1=O<=<0bl=;:0025>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm13a1>4<2280>w)782;666>N>>j1em>:51331?l42=o0:66g:b182>>o5=m<1=75f54795?=h91li6<44b0:ef?6==3:14>b<2B?8;5aa26957743`>>97>5;h662?6=3`>>;7>5;h6657>5;|q110`=838pR?;:f:?2;60oh18894}r761?6=:rT>985219da>13?3ty:4kl50;0xZ4>aj27:4kl584;8yxd6:hl1=7;51;7x <152=?97E79c:lb71<6:8>0e>oi3;39?l5fnl0:66gi4?:483>5}#1>818oh4$56:>=673-3857l80:J703=ii:>1=??:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty8mk=50;0xZ6ga;27:;68;n14874}|`26dc=93<1>79t$851>1353A3=o6`n3582640::k0f57=931b?o>?:088k465k3;17o??2g83>6<729q/5:<54cd8 12>21:=7)7<9;`44>N3:51334?l22=3:17d::6;29?j>213:17pl>02294?3=83:p(49=:5`e?!23132;<6F;479me62=9;;37d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xua9h0;6?uQf0c89465n3>>:6s|110g>5<5sW;;>i521113>1323ty8n=;50;0xZ6d7=27:<>>54448yv5e880;6?uQ3c22?877;90?9:5rs2`34?6=:rT8n=>4=0204?2202wx==>584;8yxd6=?91=7;51;7x <152=?97E79c:lb71<6:830e>l=5;39?l5d9<0:66g6<44o2`a4?7=3k9in<4?:483>5}#1>818oh4$56:>2`c3-3857=m1e9K010a:k710<722c?9;4?::k712<722c?954?::m;1<<722wx?o<::181[5e:<16?ol>:576?xu4k8?1<7;4jk;18884}r1a05<72;qU?o:?;<1af4<3=>1v>li5;296~X4jo?01>lm1;66<>{t;kh;6=4={_1af5=:;kh:65;6;|a5127280>6<4:{%;46?22:2B2:n5aa269577e3`?>47?5;h16g5<622c8>;751;9j16g=931d??:;:088f643=3:197>50z&:37<3jo1/89758028 <5>2;o;46F;479me62=9;;h7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu2=10;6?uQ54:89643=3>>96s|34a3>5<5sW9>o=523366>1313ty8>;750;0xZ6411278>9;54458yv34i3:1>vP:3`9>77222=?37p}<25694?4|V:8?863<2579<0?9o51;794?6|,0=969li;%67=?1el2.2?44=e1:8L1213gk887?=1e9j003=831b88850;9j001=831b88650;9l<0?=831vn><6b;391?6=8r.2;?4;bg9'01?=09h0(4=6:3g3<>N3:5133f?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>31:95?3=93?p(49=:571?M?1k2dj?94>20d8m76e83;17d>o58ho1=75f21;g>4<26:h?;%;0=?7d;81C8984n`17>44582c?984?::k713<722c?9:4?::k71=<722e3944?::p65d72909wS65>?2=?>7p}=0`d94?4|V;:jj63=09:9000l3:1>vP=08f8976?03>>46s|21:;>5<5sW8;455221:;>=3>3twi=>>6:086>4<2s-3<>7::2:J:2f=ii:>1=?<>;h03f5<622c96d;39?j470j0:66l=09a94?3=83:p(49=:5`e?!231328i6*63882g67<@=>=7co<4;3167=n<4=32;g?22=2wx>=oi:181[47io16>=6l:575?xu58ho1<7;581i18894}r03=a<72;qU>=7k;<037c;296~X581i01?>7c;:6=>{zj89;m7?55;391~">?;0?9?5G97a8jd532889?6g=0`d95?=n:9kn6<44i32a5?7=3`8;n=4>::m14<7=931i>=7>:186>5<7s-3<>7:mf:&70<751b12?M23>2dj?94>2368m1322900e9;9:188m1302900e9;7:188k=3>2900q~7}Y:9km7052z\14dc<5;:2=7::6:p65d62909wS65?62=?<7p}=0c294?4|V;:i<63=083900>:4y'=24=<<80D48l;oc00?75:<1b>=oi:088m76fm3;17d>o58k:1=75`21;1>4<7>55;294~">?;0?nk5+45;9<43<,09261=?<9;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty9=l?:181[47j916>=7=:57;?xu58081<7;580814874}|`14=?=93?1<7>t$851>1da3->?579jb:&:7<<6k:;0D9:9;oc00?75:>1b88;50;9j000=831b88950;9j00>=831d48750;9~f457?3;1:7<57z&:37<3=;1C5;m4n`17>44502c9<5751;9j1c6=931b>=67:088m76fl3;17d>i581=1=75m21:b>5<3290;w)782;6ab>"3<003>>5+92;95f563A>?:6`n358267?6=44i575>5<5<5}#1>818oh4$56:>=2d3A>?:6`n358267g6=44i575>5<5<>348;4l4;549~w0`72909wS;i0:?14=g=<<=0q~7}Y:9237052z\14db<5;:3i7::6:p65d62909wS65>b2=?<7p}=09594?4|V;:3;63=09c9<0?65>f2=?=70:283>5}#1>818oh4$56:>=623-3857?7e59K0104<2s-3<>7::2:J:2f=ii:>1=?mlf;39?j7>8;0:66l>91194?3=83:p(49=:5`e?!23132?56*63882<`2<@=>=7co<4;316a=n<8j9:181[42l?16=4><:575?xu619;1<7;619918894}r1`gc<72;qU?nmi;<3:46<3=11v<7?2;296~X619801<7?3;:6=>{zj89<>7?55;391~">?;0?9?5G97a8jd532889i6g:cd82>>o4iok1=75f3b0e>4<4k2.2?440?9;5rs2a1b?6=:rT8o?h4=2ag3?22?2wx?lhl:181[5fnj16?nj8:57;?xu5=;4km=14874}|`25g?=93>1=7:t$851>1353A3=o6`n35826665<7s-3<>7:mf:&70<751b0:?M23>2dj?94>2238m1322900e9;9:188m1302900c5;6:188yv5fnk0;6?uQ3`da?87d:m0?985rs2a`b?6=:rT8onh4=0a1`?22>2wx?lhk:181[5fnm16=n56srb00ga?7==3;19v*6738717=O1?i0bl=;:0006>o2m:0:66go4>::`2g7e=83?1<7>t$851>1da3->?576=831d48750;9~w0c42909wS;j3:?2g7e=<7}Y;hlj70?l2b8713=z{:i9j7>52z\0g7`<58i9o7::7:p7d`d2909wS=nfb9>5f4d2=?37p}>c3`94?4|V8i9n63>c3a9<0?hf;=0:>>:4i2`34?7=3`9hok4>::k0ga2=931b?lhi:088k0ca2800n?>nc;291?6=8r.2;?4;bg9'01?=0=o0(4=6:0a05>N3:51316?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}vP>;6s|3`de>5<5sW9jjk5221c`>13?3ty>ik4?:3y]1``<5;:jo76:9:~f457m3;197?55z&:37<3=;1C5;m4n`17>444>2c99;>51;9j1``=931b?o>>:088m6gam3;17b>d58hh1<7;50;2x <152=hm7):;9;:7e>">;00:o>?4H565?kg4<3;9?:5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{;?=<7>52z\1136<5;:jn7::5:p1``=838pR8ki;<03eg<3=?1v>l?1;296~X4j9;01?>nb;663>{t;hln6=4={_1bb`=::9ki69;7;|q14dd=838pR?>nb:?14dd=0<30qpl=7co<4;317==n<7gc02B?8;5aa269575>3`>>97>5;h662?6=3f2>57>5;|`266c=93>1=7:t$851>1353A3=o6`n358266g51;9lf64=931in>=50;694?6|,0=969li;%67=?>4>2.2?44n4e9K010f65=<7}Y;hlm70l<3;662>{t;k:;6=4={_1a45=:j:918894}r`06?6=:rTi??52b219<0?hf;=0:>>m4i3`34?7=3`8?=;4>::k0ecc=931b?nj;:088k7d6:3;17o0<729q/5:<54cd8 12>219?7)7<9;0b`==O<=<0bl=;:000`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|2c23>5<5sW8i<=522c30>1323ty98<850;0xZ726>279n<=54448yv5fnl0;6?uQ3`df?84e9:0?9:5rs2ag0?6=:rT8oi:4=3`27?2202wx>o?=:181[4e9;16>o?<:97:?x{e9:h:6<4::086!?0:3>>>6F66b9me62=9;9n7d<;1782>>o5=m<1=75f3bf7>4<5<5<9?9;<0b`1<3=<1v?;k6;296~X5=m<01?ok4;662>{t;jn?6=4={_1``1=::hn?69;8;|q0ecc=838pR>oie:?1ea2=<<20q~7}Y:hn870:482>0}#1>8188<4H84`?kg4<3;98=5f2447>4<984>::m2e=>=931i=l66:186>5<7s-3<>7:mf:&70<<0no1/5>751`:5?M23>2dj?94>2538m1322900e9;9:188m1302900e9;7:188k=3>2900q~<:6583>7}Y:<52z\11a0<58k357::6:p7d`b2909wS=nfd9>5d>>2=?<7p}:5483>7}Y={t9h236=4={_3b<==:9h2265;6;|a57d4280>6<4:{%;46?22:2B2:n5aa26957253`o2?7?5;h1a44<622c8n=>51;9j7d`a2800c87d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xub1:0;6?uQe8189474=3>>96s|3c22>5<5sW9i<<521016>1313ty8n=>50;0xZ6d7827:=>;54458yv5fno0;6?uQ3`de?876;<0?955rs0300?6=:rT:=>:4=0301?>212wvn<oif;39?j768o0:66l>10294?3=83:p(49=:5`e?!231328?6*6388a35=O<=<0bl=;:0071>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|e6g94?4|Vl=n70?>118710=z{:h;=7>52z\0f57<58;:<7::6:p7g672909wS=m019>54772=?<7p}102900>:4y'=24=<<80D48l;oc00?75l?1;39?l5e890:66a>0dc95?=e99oi6=4::183!?0:3>ij6*;488;7f=#1:31n:>4H565?kg4<3;98:5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{l386=4={_g:7>;68lh188;4}r1bbc<72;qU?lhi;<33ag<3=?1v>l?1;296~X4j9;01<>jb;663>{t;k:;6=4={_1a45=:99oi69;7;|q24`g=838pR<>ja:?24`d=0<30qpl>2c295?3=93?p(49=:571?M?1k2dj?94>25:8m`1b2800e>oif;39?l5e880:66gm4$81:>g173A>?:6`n358261?6=44i575>5<5<1323ty8mkh50;0xZ6gan27:4=02a7?2202wx==l=:181[77j;16==l<:97:?x{e9;2:6<4::086!?0:3>>>6F66b9me62=9;>j7dk63;39?l5fno0:66g4<ij6*;488;7f=#1:31n:>4H565?kg4<3;98o5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{l386=4={_g:7>;bn:0?985rs2ceb?6=:rT8mkh4=dd0>1313ty8n=>50;0xZ6d7827nj>4;569~w6d793:1>vP7}Ymo801hh<:97:?x{e9;2;6<4::086!?0:3>>>6F66b9me62=9;>h7dk8e;39?l5fno0:66g4<ij6*;488;7f=#1:31n:>4H565?kg4<3;98i5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{l=n6=4={_g4a>;bl>0?985rs2ceb?6=:rT8mkh4=df4>1313ty8n=>50;0xZ6d7827nh:4;569~w6d793:1>vP7}Ymm<01hj8:97:?x{e9;=n6<4::086!?0:3>>>6F66b9me62=9;>n7dk63;39?l5fno0:66g4<ij6*;488;7`=#1:31n:>4H565?kg4<3;98k5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{l386=4={_g:7>;b190?985rs2ceb?6=:rT8mkh4=d;3>1313ty8n=?50;0xZ6d7927n5=4;569~w6d783:1>vP7}Ym1l01h7?:97:?x{e9;=h6<4::086!?0:3>>>6F66b9me62=9;?;7dk8e;39?l5fno0:66g4<ij6*;488;7`=#1:31n:>4H565?kg4<3;99<5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{l=n6=4={_g4a>;b?j0?985rs2ceb?6=:rT8mkh4=d5`>1313ty8n=?50;0xZ6d7927n;n4;569~w6d783:1>vP7}Ym>h01h9l:97:?x{e9:h=6<4::086!?0:3>>>6F66b9me62=9;?97d>o5ilk1=75f2c2b>4<{t:hoj6=4={_0bad=::k:969;9;|q1f5g=838pR?l?a:?1f54=<<=0q~7}Y:k8?7052z\1f56<5;h;>76:9:~f47dj3;187?54z&:37<3=;1C5;m4n`17>442<2c8mk=51;9j7g622800e>mk4;39?j07>3;17ok62;290?6=8r.2;?4;bg9'01?=0830(4=6:c53?M23>2dj?94>2478m1322900e9;9:188m1302900c5;6:188yv5fn:0;6?uQ3`d0?8c>:3>>96s|3c26>5<5sW9i<852e8090007::7:p250=838pR;>9;212wvn<<8f;391?7==r.2;?4;539K=3emlf;39?jc>;3;17ok61;291?6=8r.2;?4;bg9'01?=0;80(4=6:c53?M23>2dj?94>2458m1322900e9;9:188m1302900e9;7:188k=3>2900q~8?6;296~X18?16i4?54478yv42>80;6?uQ2442?8c>93>>:6s|3`df>5<5sW9jjh52e839001212wvn<<8d;391?7==r.2;?4;539K=3emlf;39?jc0m3;17ok8d;291?6=8r.2;?4;bg9'01?=0;:0(4=6:c53?M23>2dj?94>24;8m1322900e9;9:188m1302900e9;7:188k=3>2900q~8?6;296~X18?16i:j54478yv42>80;6?uQ2442?8c0l3>>:6s|3`df>5<5sW9jjh52e6f9001212wvn>i19:0:66lm2183>0<729q/5:<54cd8 12>218:7)7<9;c7`>N3:5137a?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}52z\0gf`<5k8;69;9;|q0ga2=838pR>mk4:?a65<3=>1v>oif;296~X4iol01oo3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm10;3>4<3280?w)782;666>N>>j1em>:5137g?l55<:0:66g4<54;294~">?;0?nk5+45;93c1<,0926?k?8:J703=ii:>1=?;j;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q0615=838pR><;3:?0e4?=<7}Y;m=>70=n188713=z{:8387>52z\06=2<5:k:57::7:p11b=838pR8:k;<1b5<0<62l?2;39?l5e880:66g7>fm2B?8;5aa26957073`>>97>5;h662?6=3`>>;7>5;h6657>5;|q0f55=838pR>l?3:?1e6?=<7}Y;k:97052z\0f57<5;k857::7:p7g672909wS=m019>6d5>2=?37p}=a2;94?4|V;k8563=a2;9<0?h>51;795?3|,0=969;=;I;5g>hf;=0:>;?4i32f`?7=3`8;in4>::k14`d=931b>=kn:088k4`513;17o?i2883>0<729q/5:<54cd8 12>219n7)7<9;3`74=O<=<0bl=;:0056>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|21gg>5<5sW8;ii521g0:>1323ty9>>6F66b9me62=9;<87d>o58l21=75f21g5>4<4m2.2?44>c238L1213gk887?=659j003=831b88850;9j001=831b88650;9l<0?=831v?>j9;296~X58l301{t:9o36=4={_03a==:9o8j69;9;|q14`0=838pR?>j6:?2b7g=<<=0q~7}Y:9o>70?i2`871==z{8l9m7>52z\2b7g<58l9m76:9:~f44b:3;197?55z&:37<3=;1C5;m4n`17>441=2c9j2;39?l47m80:66a>f3`95?=e9o8i6=4::183!?0:3>ij6*;488;7`=#1:31=n=>;I672>hf;=0:>;84i576>5<5<5<o4;549~w76b;3:1>vP=0d1894`5j3>>:6s|21g1>5<5sW8;i?521g0a>1303ty9::m2b7e=931i=k5<7s-3<>7:mf:&70<751b12?M23>2dj?94>27:8m1322900e9;9:188m1302900c5;6:188yv47ml0;6?uQ21gf?87a:j0?985rs32f4?6=:rT94=0d1g?22>2wx>=ji:181[47lo16=k;6n;i14874}|`26`2=93?1=7;t$851>1353A3=o6`n358263?>o3=?0;66g;5683>>o3=10;66a75883>>{t9o826=4={_3e6<=:9o8o69;:;|q2b7g=838pR7}Y9o8i70?i2e8712=z{8l9o7>52z\2b7e<58l9h7::8:p5c4c2909wS?i2e9>5c4c21?27psm151a>4<3280?w)782;666>N>>j1em>:5134a?l549>0:66g<23f95?=n;::26<44o2002?7=3k99?;4?:583>5}#1>818oh4$56:>=513-385777512=?>7p}<23f94?4|V:89h63<22490003499?;4;569~w644>3:1>vP<22489644>32>56srb060g?7==3;19v*6738717=O1?i0bl=;:005`>o4;9n1=75f322`>4<>951;9a7750290>6=4?{%;46?2en2.?84473d9'=6?=:l:37E:;6:lb71<6:?o0e9;::188m1312900e9;8:188m13?2900c5;6:188yv548m0;6?uQ322g?855;>0?985rs213g?6=:rT8?=m4=2003?22>2wx?>>m:181[548k16??=8:574?xu4;9k1<7;4::=18864}r1172<72;qU??=8;<11720<62=>3;39?l54980:66g<31g95?=h;;936<44b200k4$81:>7c702B?8;5aa26957173`>>97>5;h662?6=3`>>;7>5;h6657>5;|q0742=838pR>=>4:?066>=<7}Y;:;870==398713=z{:9:=7>52z\0747<5:8847::7:p766b2909wS=<0d9>775?2=?37p}<22:94?4|V:88463<22:9<0?k51;695?2|,0=969;=;I;5g>hf;=0:>:?4i200::k0661=931d??=6:088f64413:187>50z&:37<3jo1/89757g48 <5>2;o;46F;479me62=9;=97d::5;29?l22>3:17d::7;29?j>213:17p}<22:94?4|V:88463<22;9003vP<2258964413>>;6s|331:>5<5sW99?452331:>=3>3twi=8o;:086>4<2s-3<>7::2:J:2f=ii:>1=?9<;h1512<622c8:9k51;9j75242800e>>;c;39?j5b9?0:66l=7co<4;3131=n<;4m8=18894}r130f<72;qU?=:l;<1f52<3=11v>k>6;296~X4m8<01>k>7;:6=>{zj:?h97?55;294~">?;0?nk5+45;9<5e<,0926?k?8:J703=ii:>1=?9:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th89n<51;794?6|,0=969li;%67=?13j2.2?44=e1:8L1213gk887?=779j003=831b88850;9j001=831b88650;9l<0?=831vn>892;390?6=8r.2;?4;bg9'01?=0=<0(4=6:3g3<>N3:51354?l22=3:17d::6;29?l22?3:17b6:9;29?xd6<181=7;51;7x <152=?97E79c:lb71<6:>20e>=?b;39?l54800:66g<30195?=n;::o6<44o246b?7=3k9=9k4?:483>5}#1>818oh4$56:>=5b3-3857>m:181[548k16?;;i:576?xu4;931<7;4>?<;<151c<3=>1v>=?d;296~X4;9n01>8:f;66<>{t;??m6=4={_151c=:;??m65;6;|a7307280?6=4?{%;46?2en2.?8448fb9'=6?=:l:37E:;6:lb71<6:>k0e9;::188m1312900e9;8:188k=3>2900qo?:a482>0<628;f;39?l57<:0:66g<05a95?=h;l;36<44b2g2=?6==3:17c7?2B?8;5aa269571d3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q020>=838pR>8:8:?0a4?=<7}Y;?>m70=j188713=z{::??7>52z\0415<5:o:57::7:p752d2909wS=?4b9>7`7>2=?37p}hf;=0:>:j4i246=?7=3`9=9=4>::k0415=931b?=:l:088k6c6i3;17o=j1c83>0<729q/5:<54cd8 12>2>2?7)7<9;0f42=O<=<0bl=;:004a>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|377:>5<5sW9=94523d3a>1323ty8:8>50;0xZ6028278i>>6F66b9me62=9;=m7d;<0;39?l5fnm0:66g6gb>2B?8;5aa26957>73`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty>?=4?:3y]166<5:in97::5:p7d`c2909wS=nfe9>7fc22=?=7p}:94?:3y]6003349hi847589~yg74jo0:694>:5y'=24=<<80D48l;oc00?75081b>oo7:088m7dc83;17d>i5j><1=75m2c54>5<3290;w)782;6ab>"3<0038n5+92;96g513A>?:6`n35826=46=44i575>5<5<vP=be2897d0?3>>:6s|2b4`>5<5sW8h:n522c54>1303ty9n:850;0xZ7d0>279n:9584;8yxd6:m91=7;51;7x <152=?97E79c:lb71<6:190e?;94;39?l42l?0:66g>a`095?=n;jim6<44o0cb7?7=3k;jm94?:483>5}#1>818oh4$56:>=2>3-3857?n879K01088;:181[42>=16=lo;:576?xu5=m<1<7;6ih>18884}r3be7<72;qU=lo=;<3be1<3=>1v>mlf;296~X4kjl01{t9hk86=4={_3be6=:9hk?65;6;|a50g0280>6<4:{%;46?22:2B2:n5aa26957>23`9=9l4>::k0207=931b?=:<:088m663k3;17b=j1b82>>d4m8n1<7;50;2x <152=hm7):;9;5;0>">;009i=94H565?kg4<3;94;5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:<>m7>52z\020g<5:o:h7::5:p73362909wS=9509>7`7c2=?=7p}<05194?4|V::??63vP56srb32:=?7==3:14e492B?8;5aa26957>03`>>97>5;h662?6=3`>>;7>5;h6657>5;|`21d>=93?1=7;t$851>1353A3=o6`n35826=>n7?5;h1517<622c8<9=51;9j752d2800c>k>e;39?g5b9o0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;??i6=4={_151g=:;l;m69;:;|q0204=838pR>8:2:?0a4`=<<<0q~=?4283>7}Y;9>870=j1g8712=z{::?o7>52z\041e<5:o:j7::8:p7`7b2909wS=j1d9>7`7a21?27psm14c:>4<2280>w)782;666>N>>j1em>:513:b?l51=j0:66g<64195?=n;9>86<44i227g?7=3f9n>=4>::`0a77=83?1<7>t$851>1da3->?57974:&:7<<5m9=0D9:9;oc00?750k1b88;50;9j000=831b88950;9j00>=831d48750;9~w602k3:1>vP<64a896c593>>96s|3770>5<5sW9=9>523d02>1313ty8<9=50;0xZ663;278i??54458yv574=2g15?>212wvn<;na;391?7==r.2;?4;539K=3e>i4m;81=75m3d00>5<2290;w)782;6ab>"3<00<495+92;96`603A>?:6`n35826=b6=44i575>5<5<8:4;296~X4><>01>k=3;662>{t;9>86=4={_1306=:;l8869;8;|q041e=838pR>>;c:?0a75=<<20q~=j2383>7}Y;l8970=j228;1<=zuk;>m?4>:482>0}#1>8188<4H84`?kg4<3;94h5f377f>4<97?5;h1306<622c8<9m51;9l7`752800n>k>3;291?6=8r.2;?4;bg9'01?=?1>0(4=6:3g33>N3:513:e?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<64g94?4|V:<>i634;579~w663;3:1>vP<051896c6;3>>;6s|316`>5<5sW9;8n523d30>13?3ty8i<<50;0xZ6c6:278i<=584;8yxd6l<91=7=50;2x <152=hm7):;9;:32>">;00:o>?4H565?kg4<3;95=5f44794?=n<<<1<75`84;94?=zj8n>=7?53;294~">?;0?nk5+45;9<50<,09261=?7>;h661?6=3`>>:7>5;n:6=?6=3th:h9h51;194?6|,0=969li;%67=?>7>2.2?44>c238L1213gk887?=939j003=831b88850;9l<0?=831vnN3:513;0?l22=3:17d::6;29?j>213:17pl>d5`95?5=83:p(49=:5`e?!23132996*63882g67<@=>=7co<4;31=1=n<4e492B?8;5aa26957?23`>>97>5;h662?6=3f2>57>5;|`2`11=9391<7>t$851>1da3->?576=5:&:7<<6k:;0D9:9;oc00?751?1b88;50;9j000=831d48750;9~f4b483;1?7>50z&:37<3jo1/89758378 <5>28i8=6F;479me62=9;3<7d::5;29?l22>3:17b6:9;29?xd6l821=7=50;2x <152=hm7):;9;:32>">;00:o>?4H565?kg4<3;9555f44794?=n<<<1<75`84;94?=zj8n:m7?53;294~">?;0?nk5+45;9<50<,09261=?76;h661?6=3`>>:7>5;n:6=?6=3th:h<;51;194?6|,0=969li;%67=?>5=2.2?44>c238L1213gk887?=9`9j003=831b88850;9l<0?=831vn?663;390?6=8r.2;?4;bg9'01?=0=80(4=6:37gg>N3:513;a?l22=3:17d::6;29?l22?3:17b6:9;29?xd50081=7:50;2x <152=hm7):;9;554>">;0099im4H565?kg4<3;95n5f44794?=n<<<1<75f44594?=h0<31<75rb3::4?7==3:173ck2B?8;5aa26957?c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1<=c=93?1<7>t$851>1da3->?57961:&:7<<5=mi0D9:9;oc00?751l1b88;50;9j000=831b88950;9j00>=831d48750;9~f7>?k3;197>50z&:37<3jo1/89757838 <5>2;?oo6F;479me62=9;3m7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd501k1=7;50;2x <152=hm7):;9;5:5>">;0099im4H565?kg4<3;9m=5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;2347?55;294~">?;0?nk5+45;93<7<,0926?;kc:J703=ii:>1=?o>;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th945851;794?6|,0=969li;%67=?1>92.2?44=5ea8L1213gk887?=a39j003=831b88850;9j001=831b88650;9l<0?=831vn?674;391?6=8r.2;?4;bg9'01?=?0;0(4=6:37gg>N3:513c0?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=89095?3=83:p(49=:5`e?!2313=2=6*638811ae<@=>=7co<4;31e1=n<73ck2B?8;5aa26957g23`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1<2c=93?1<7>t$851>1da3->?57961:&:7<<5=mi0D9:9;oc00?75i?1b88;50;9j000=831b88950;9j00>=831d48750;9~f7>0k3;197>50z&:37<3jo1/89757838 <5>2;?oo6F;479me62=9;k<7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd50>k1=7;50;2x <152=hm7):;9;5:5>">;0099im4H565?kg4<3;9m55f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;2<47?55;294~">?;0?nk5+45;93<7<,0926?;kc:J703=ii:>1=?o6;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th94:851;794?6|,0=969li;%67=?1>92.2?44=5ea8L1213gk887?=a`9j003=831b88850;9j001=831b88650;9l<0?=831vn?684;391?6=8r.2;?4;bg9'01?=?0;0(4=6:37gg>N3:513ca?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=86095?3=83:p(49=:5`e?!2313=2=6*638811ae<@=>=7co<4;31ef=n<73ck2B?8;5aa26957gc3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1<3c=93?1<7>t$851>1da3->?57961:&:7<<5=mi0D9:9;oc00?75il1b88;50;9j000=831b88950;9j00>=831d48750;9~f7>1k3;197>50z&:37<3jo1/89757838 <5>2;?oo6F;479me62=9;km7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd50?k1=7;50;2x <152=hm7):;9;5:5>">;0099im4H565?kg4<3;9n=5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;2=47?55;294~">?;0?nk5+45;93<7<,0926?;kc:J703=ii:>1=?l>;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th94;851;794?6|,0=969li;%67=?1>92.2?44=5ea8L1213gk887?=b39j003=831b88850;9j001=831b88650;9l<0?=831vn?694;391?6=8r.2;?4;bg9'01?=?0;0(4=6:37gg>N3:513`0?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=87095?3=83:p(49=:5`e?!2313=2=6*638811ae<@=>=7co<4;31f1=n<73ck2B?8;5aa26957d23`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1<0c=93?1<7>t$851>1da3->?57961:&:7<<5=mi0D9:9;oc00?75j?1b88;50;9j000=831b88950;9j00>=831d48750;9~f7>2k3;197>50z&:37<3jo1/89757838 <5>2;?oo6F;479me62=9;h<7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd50">;0099im4H565?kg4<3;9n55f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;2>47?55;294~">?;0?nk5+45;93<7<,0926?;kc:J703=ii:>1=?l6;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th948851;794?6|,0=969li;%67=?1>92.2?44=5ea8L1213gk887?=b`9j003=831b88850;9j001=831b88650;9l<0?=831vn?6:4;391?6=8r.2;?4;bg9'01?=?0;0(4=6:37gg>N3:513`a?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=84095?3=83:p(49=:5`e?!2313=2=6*638811ae<@=>=7co<4;31ff=n<4$81:>73ck2B?8;5aa26957dc3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1<<7=93?1<7>t$851>1da3->?579kc:&:7<<5=mi0D9:9;oc00?75jl1b88;50;9j000=831b88950;9j00>=831d48750;9~f431?3;197?55z&:37<3=;1C5;m4n`17>44en2c8n??51;9j7g472800e>li9;39?l5e<=0:66aij6*;4884b5=#1:31?o?k;I672>hf;=0:>n>4i576>5<5<5<<4?:3y]7g46349ino4;549~w6d583:1>vP>:6s|3cd:>5<5sW9ij4523c`a>1303ty8n9:50;0xZ6d3<278nol544:8yv5ejh0;6?uQ3c`b?85ejk03945r}c0b0g<62<0;6=u+96090g`<,=>26:8k;%;0=?4?il1C8984n`17>44d92c?984?::k713<722c?9:4?::k71=<722e3944?::a541a280?6<4;{%;46?22:2B2:n5aa26957e53`9o>44>::k0ecc=931b?lhi:088k05?2800n?o;c;290?6=8r.2;?4;bg9'01?=0=i0(4=6:3:ba>N3:513a0?l22=3:17d::6;29?l22?3:17b6:9;29?xu4l;31<7;5i=i188;4}r1bb`<72;qU?lhj;<0b0f<3=?1v>oif;296~X4iol01?o;c;663>{t=:21<726:;9;%;0=?4?il1C8984n`17>44d<2c?984?::k713<722c?9:4?::k71=<722e3944?::a6a74280>6=4?{%;46?2en2.?8448ec9'=6?=:ji>7E:;6:lb71<6:j?0e9;::188m1312900e9;8:188m13?2900c5;6:188yg4c9?0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:m;96<4::183!?0:3>ij6*;4884`1=#1:31>nm:;I672>hf;=0:>n94i576>5<5<5<:283>5}#1>818oh4$56:>=243-3857kj:086>4<2s-3<>7::2:J:2f=ii:>1=?m6;h0g2<<622c9h;651;9j6a002800e?j96;39?j4c0m0:66l=d9f94?3=83:p(49=:5`e?!231328i6*63881`4g<@=>=7co<4;31gd=n<i87:181[4c>116>i6k:575?xu5l?=1<7;5l1n18894}r0g23<72;qU>i89;<0g{zj89nj7?55;391~">?;0?9?5G97a8jd53288hn6g=d7795?=n:m::m1`=c=931i>i6j:186>5<7s-3<>7:mf:&70<752e3b?M23>2dj?94>2ba8m1322900e9;9:188m1302900e9;7:188k=3>2900q~7}Y:m<>7052z\1`32<5;n3i7::6:p6a042909wS6a>b2=?<7p}=d4a94?4|V;n>o63=d9g900>b348o4h47589~yg74n90:684>:4y'=24=<<80D48l;oc00?75km1b>i8=:088m7b193;17d>o5l4<55;294~">?;0?nk5+45;9<6c<,0926?j>a:J703=ii:>1=?mj;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty9h;<50;0xZ7b1:279h5h54478yv4c>80;6?uQ2e42?84c0o0?9;5rs3f54?6=:rT9h;>4=3f;b?22?2wx>i;i:181[4c=o16>i6i:57;?xu5l1l1<7;5l1l14874}|`27c7=93?1=7;t$851>1353A3=o6`n35826f`i7?5;h0g1a<622c9h;l51;9j6a0f2800c?j60;39?g4c190;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t:m?n6=4={_0g1`=::m3;69;:;|q1`0b=838pR?j:d:?1`<6=<<<0q~7}Y:m52z\1`3g<5;n2<7::8:p6a?72909wS6a?721?27psm12gg>4<2280>w)782;666>N>>j1em>:513f2?l4c0m0:66g=d9g95?=n:m2m6<44i3f:4?7=3f8o4n4>::`1`<7=83?1<7>t$851>1da3->?579i0:&:7<<5l8k0D9:9;oc00?75l;1b88;50;9j000=831b88950;9j00>=831d48750;9~w7b?l3:1>vP=d9f897b>93>>96s|2e:f>5<5sW8o4h522e;2>1313ty9h5h50;0xZ7b?n279h4?54458yv4c190;6?uQ2e;3?84c180?955rs3f;g?6=:rT9h5m4=3f:5?>212wvn<=j1;391?7==r.2;?4;539K=3en9m:088m7e0i3;17d>i5kh:1=75m2bc3>5<2290;w)782;6ab>"3<003?h5+92;96f4c3A>?:6`n35826a26=44i575>5<5<n9l;<0`e5<3=<1v?m8b;296~X5k>h01?mn0;662>{t:j=j6=4={_0`3d=::jk;69;8;|q1g2?=838pR?m89:?1gd6=<<20q~7}Y:jk;70:482>0}#1>8188<4H84`?kg4<3;9h85f2b5;>4<N3:513f5?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=c6:94?4|V;i<463=c`390033:1>vP=c64897ef93>>;6s|2b4e>5<5sW8h:k522bc2>13?3ty9ol?50;0xZ7ef9279ol?584;8yxd6;l91=7;51;7x <152=?97E79c:lb71<6:m=0e?m85;39?l4d?=0:66g=c6195?=n:j=96<44o3ab6?7=3k8hm?4?:483>5}#1>818oh4$56:>=5b3-3857n9::181[4d?<16>no=:576?xu5k>>1<7;5kh818884}r0`36<72;qU>n9<;<0`e7<3=>1v?m82;296~X5k>801?mn2;66<>{t:jk96=4={_0`e7=::jk965;6;|a56c3280>6<4:{%;46?22:2B2:n5aa26957b>3`8h;<4>::k1g26=931b>n9j:088m7e0l3;17b>d5kh91<7;50;2x <152=hm7):;9;:0a>">;009o?j4H565?kg4<3;9hl5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{;i<=7>52z\1g27<5;ij?7::5:p6f172909wS6fg42=?=7p}=c6g94?4|V;i4;599~w7ef;3:1>vP=c`1897ef;32>56srb01f4?7==3;19v*6738717=O1?i0bl=;:00gf>o5kh:1=75f2bc2>4<7?5;h0`e6<622e9o4h51;9a6fg3290>6=4?{%;46?2en2.?8448f19'=6?=:j8o7E:;6:lb71<6:mi0e9;::188m1312900e9;8:188m13?2900c5;6:188yv4di90;6?uQ2bc3?84di=0?985rs3ab5?6=:rT9ol?4=3ab0?22>2wx>no=:181[4di;16>no;:574?xu5kh91<7;5kh>18864}r0`=c<72;qU>n7i;<0`e10<6222800e?l74;39?l4e0:0:66g=b9095?=h:kk26<44b3`b=?6==3:1k4$81:>7d4>2B?8;5aa26957bb3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q1f=3=838pR?l75:?1fd?=<7}Y:k2?7052z\1f=5<5;hj57::7:p6g>52909wS6gg>2=?37p}=b`;94?4|V;hj563=b`;9<0?hf;=0:>ih4i3`;5?7=3`8i4=4>::k1f2`=931b>o97:088k7dfi3;17o0<729q/5:<54cd8 12>219n7)7<9;0a73=O<=<0bl=;:00f4>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|2c:2>5<5sW8i4<522ccb>1323ty9n5>50;0xZ7d?8279nlo54448yv4e?o0;6?uQ2c5e?84eih0?9:5rs3`4oon:181[4eih16>oon:97:?x{e9:i86<4::086!?0:3>>>6F66b9me62=9;o:7d>o5j>n1=75f2c5`>4<4m2.2?44=b248L1213gk887?=e39j003=831b88850;9j001=831b88650;9l<0?=831v?l8e;296~X5j>o01?lnb;661>{t:k=o6=4={_0a3a=::kki69;9;|q1f2e=838pR?l8c:?1fdd=<<=0q~7}Y:k=i7052z\1fdd<5;hjn76:9:~f45d<3;197?55z&:37<3=;1C5;m4n`17>44b;2c9n:o51;9j6g1>2800e?l77;39?l4e0?0:66a=b`a95?=e:kkh6=4::183!?0:3>ij6*;488;7`=#1:31>o=9;I672>hf;=0:>h:4i576>5<5<5<vP=b6;897dfk3>>:6s|2c:4>5<5sW8i4:522cc`>1303ty9n5850;0xZ7d?>279nlm544:8yv4eij0;6?uQ2cc`?84eij03945r}c30g5<62<0:68u+9609004<@0::k1fde=931d>oo7:088f7dfl3:197>50z&:37<3jo1/89757g28 <5>2;h8:6F;479me62=9;o=7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu5jh31<7;5jhn188;4}r0aed<72;qU>oon;<0aea<3=?1v?lnb;296~X5jhh01?lnd;663>{t:kkh6=4={_0aef=::kko69;7;|q1fd>=838pR?ln8:?1fdb=0<30qpl>52f95?3=93?p(49=:571?M?1k2dj?94>2d58m63d83;17d=<6282>>o4;9h1=75f334:>4<265?j;%;0=?4b811C8984n`17>44b02c?984?::k713<722c?9:4?::k71=<722e3944?::p70e72909wS=:c19>7dde2=?>7p}<37194?4|V:9=?63vP<27;896gej3>>46s|3``a>5<5sW9jno523``a>=3>3twi=8:?:087>4<3s-3<>7::2:J:2f=ii:>1=?k6;h70=?7=3`998>4>::k066b=931d?llj:088f6gem3:187>50z&:37<3jo1/89758118 <5>2;o;46F;479me62=9;oj7d::5;29?l22>3:17d::7;29?j>213:17p}:3883>7}Y=:301>ome;661>{t;;>86=4={_1106=:;hhn69;9;|q066b=838pR><7}Y;hhn70=nbd8;1<=zuk;>8<4>:582>1}#1>8188<4H84`?kg4<3;9io5f3365>4<2900q~==4783>7}Y;;>=70=nbg8710=z{:8987>52z\0672<5:kij7::6:p774f2909wS==2`9>7dda2=?<7p}92.2?44=e1:8L1213gk887?=ee9j003=831b88850;9j001=831b88650;9l<0?=831vn>om9;391?6=8r.2;?4;bg9'01?=?jn0(4=6:3g3<>N3:513gf?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>d0`95?5=83:p(49=:5`e?!23132;:6*63882g67<@=>=7co<4;31ac=n<7c702B?8;5aa26957`73`>>97>5;h662?6=3`>>;7>5;h6657>5;|`021d=93?1<7>t$851>1da3->?579ld:&:7<<5m920D9:9;oc00?75n81b88;50;9j000=831b88950;9j00>=831d48750;9~f434m3;197?55z&:37<3=;1C5;m4n`17>44a:2c8?<;51;9j76772800e>=?d;39?l548?0:66aij6*;488;50=#1:31>h>7;I672>hf;=0:>k=4i576>5<5<5<vP<302896gek3>>:6s|322g>5<5sW981303ty8?=850;0xZ657>278mom544:8yv5fjj0;6?uQ3```?85fjj03945r}c367c<62<0:68u+9609004<@0::k0740=931d?llk:088f6gel3:197>50z&:37<3jo1/89758078 <5>2;o;46F;479me62=9;l>7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4;921<7;4ikn188;4}r1042<72;qU?>>8;<1bfa<3=?1v>=>7;296~X4;8=01>omd;663>{t;:;=6=4={_1053=:;hho69;7;|q0egb=838pR>omd:?0egb=0<30qpl<@=>=7co<4;31b3=n<o4:=31=75f330g>4<?44>::`0ef6=83?1<7>t$851>1da3->?576=831d48750;9~w64313:1>vP<25;896gd83>>96s|330g>5<5sW99>i523`a3>1313ty8>?950;0xZ645?278mn>54458yv55<90;6?uQ3363?85fk90?955rs41:>5<5sW?8563702.2?44=e1:8L1213gk887?=f89j003=831b88850;9j001=831b88650;9l<0?=831vnd;397?6=8r.2;?4;bg9'01?=09<0(4=6:0a05>N3:513db?l22=3:17d::6;29?j>213:17pl>d0d95?5=83:p(49=:5`e?!23132;:6*63882g67<@=>=7co<4;31bg=n<4e492B?8;5aa26957`d3`>>97>5;h662?6=3f2>57>5;|`237?=9391<7>t$851>1da3->?576:2:&:7<<6>?20D9:9;oc00?75nm1b88;50;9j000=831d48750;9~f4e6l3;1?7>50z&:37<3jo1/89758148 <5>28hi:6F;479me62=9;ln7d::5;29?l22>3:17b6:9;29?xd6i>k1=7=50;2x <152=hm7):;9;:32>">;00:m<=4H565?kg4<3;9jk5f44794?=n<<<1<75`84;94?=zj8?=h7?54;390~">?;0?9?5G97a8jd53289;<6gn4>::`0g7b=83>1<7>t$851>1da3->?576?3:&:7<<4j8n0D9:9;oc00?74881b88;50;9j000=831b88950;9l<0?=831v>l=2;296~X4j;801>m=d;661>{t;hln6=4={_1bb`=:;j8o69;9;|q0ec`=838pR>oif:?0g7b=<<=0q~=l2b83>7}Y;j8h70=l2e8;1<=zuk;>:n4>:582>1}#1>8188<4H84`?kg4<3;84<2900q~=m2383>7}Y;k8970=l0d8710=z{:kmi7>52z\0ecc<5:i;i7::6:p7d`a2909wS=nfg9>7f6b2=?<7p}hf;=0:?=:4i2`16?7=3`9jjh4>::k0ec`=931d?o6m:088f6d?k3:187>50z&:37<3jo1/89757g48 <5>2:h:h6F;479me62=9::>7d::5;29?l22>3:17d::7;29?j>213:17p}63vP>;6s|3c:a>5<5sW9i4o523c:`>=3>3twi=><=:087>4<3s-3<>7::2:J:2f=ii:>1=>>9;h3e6c<622c8n=?51;9j5c562800c??6f;39?g46i90;694?:1y'=24=>o3=?0;66g;5683>>i?=00;66s|1g0e>5<5sW;m>k5220c3>1323ty8n=?50;0xZ6d79279=l>54448yv7a;80;6?uQ1g12?846i90?9:5rs33:b?6=:rT9=4h4=33b4?>212wvn<=>d;390?7=>:088m4`493;17b<>7682>>d59>21<7:50;2x <152=hm7):;9;5e2>">;00:o>?4H565?kg4<3;8<45f44794?=n<<<1<75f44594?=h0<31<75rs0d1b?6=:rT:j?h4=334>:181[5e8816><97:575?xu6n:;1<7;59>218894}r0232<72;qU><98;<023=0<62>if?l0:66lm3083>0<729q/5:<54cd8 12>21>m7)7<9;c7`>N3:5122a?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=57794?4|V;?=963m308710=z{k996=4={_`06>;e;80?9;5rs2ag0?6=:rT8oi:4=c12>1303ty8onh50;0xZ6edn27i?<4;599~wd1b2909wSo8e:?a740<62>ifik0:66lnab83>0<729q/5:<54cd8 12>2>l:7)7<9;c7`>N3:5122g?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=40594?4|V;>:;63nab8710=z{k996=4={_`06>;fij0?9;5rs2ag0?6=:rT8oi:4=`c`>1303ty99i850;0xZ73c>27jmn4;599~wdge2909wSonb:?bef0<627gc02B?8;5aa269566a3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q1f5b=838pR?l?d:?1f57=<7}Y:k8<7052z\1f5e<5;h;=7::7:p6g412909wS6g662=?37p}=ad;94?4|V;kn563=b139<0?7>2.2?44>c238L1213gk887?<119j003=831b88850;9l<0?=831vnN3:51232?l22=3:17d::6;29?j>213:17pl<39195?3=83:p(49=:5`e?!2313=3:6*63881a5><@=>=7co<4;3057=n<4e492B?8;5aa26956743`>>97>5;h662?6=3f2>57>5;|`2g42=9391<7>t$851>1da3->?576?6:&:7<<6jj>0D9:9;oc00?749=1b88;50;9j000=831d48750;9~f4e6:3;1?7>50z&:37<3jo1/89758148 <5>28hh86F;479me62=9:;>7d::5;29?l22>3:17b6:9;29?xd6k8:1=7=50;2x <152=hm7):;9;:32>">;00:nn:4H565?kg4<3;8=;5f44794?=n<<<1<75`84;94?=zj8k<=7?53;294~">?;0?nk5+45;9<50<,09261=>?8;h661?6=3`>>:7>5;n:6=?6=3th:m;h51;194?6|,0=969li;%67=?>7>2.2?44>a338L1213gk887?<199j003=831b88850;9l<0?=831vnN3:5123:?l22=3:17d::6;29?j>213:17pl<73795?5=83:p(49=:5`e?!23132996*63881a5><@=>=7co<4;305d=n<o58hl1=75f21cf>4<884>::`2bd>=83?1<7>t$851>1da3->?576=831d48750;9~w76fn3:1>vP=0`d894`f03>>96s|21cf>5<5sW8;mh521gc;>1313ty95<5sW??963>f`:9<0?hf;=0:?::k14db=931b>=7k:088k0202800nN3:5123f?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=0`d94?4|V;:jj63>f`;9003vP=0`f894`f13>>;6s|21;g>5<5sW8;5i521gc:>13?3ty>8:4?:3y]111<58lj576:9:~f4`f83;197>50z&:37<3jo1/897575d8 <5>28i8=6F;479me62=9:;m7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6<1k1=7852;4x <152=?97E79c:X716<6s1oh6p`n35827769>5;39?j0b>3;17o=81483>0<729q/5:<54cd8 12>2>o27)7<9;0f4==O<=<0bl=;:0115>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm3634>5<2290;w)782;6ab>"3<00<;i5+92;96`6?3A>?:6`n35827746=44i575>5<5<;4?8?18884=2523?22?2wx???k:180[559m16?:?::574?8509>0?985rs2:64?6=;rT848>4=2521?220278;<9544:8yv509<0;6?uQ3636?8509<03945rs7g5>5<5sW\3=:0:w5kl:|lb71<6;;90e><j0:66g<20a95?=n;1?;6<44o2524?7=3f26:k6;%;0=?4b811C8984n`17>455<2c?984?::k713<722c?9:4?::k71=<722e3944?::a7275290>6=4?{%;46?2en2.?84487e9'=6?=:l:37E:;6:lb71<6;;?0e9;::188m1312900e9;8:188m13?2900c5;6:188yv55;h0;6>uQ331b?850990?98523631>1313ty8>;m50;1xZ641k278;<>544489616:3>>;6s|333`>5<4sW99=n523633>130349<=?4;549~w6>283:1?vP<8428961683>>463<700900>49:95?0=:34>{9g`>xhf;=0:??84i200e?7=3`99:o4>::k064d=931b?5;?:088k617j3;17b8ja;39?g508k0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;>:o6=4::183!?0:3>ij6*;48843a=#1:31>h>7;I672>hf;=0:??64i576>5<5<5<726c2=?=7p}<27`94?5|V:8=n63<71`9000<5:=;h7::7:p777e2908wS==1c9>726e2=?<70=80e8710=z{:2><7>53z\0<06<5:=;n7::8:?035b=<<20q~=80c83>7}Y;>:i70=80c8;1<=z{?oj6=4={_4fe>;4?9n14874}|`20=1=93<1>78t$851>1353A3=o6T;5282=cd2tdj?94>33;8m644i3;17d==6`82>>o4:8k1=75f3973>4<5}#1>818oh4$56:>2c>3-38577:186>5<7s-3<>7:mf:&70<<0?m1/5>752d2;?M23>2dj?94>33`8m1322900e9;9:188m1302900e9;7:188k=3>2900q~==3`83>6}Y;;9j70=8078710=:;>:369;9;|q063g=839pR><9a:?0350=<<<01>9?8;663>{t;;;j6=4<{_115d=:;>:=69;8;<144=<3=<1v>6:0;297~X40<:01>9?6;66<>;4?9218864}r1443<72;qU?:>9;<1443<951;9j7=372800c>9?1;39?j0bm3;17o=80083>0<729q/5:<54cd8 12>2>o27)7<9;0f4==O<=<0bl=;:011`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm3620>5<2290;w)782;6ab>"3<00<;i5+92;96`6?3A>?:6`n358277c6=44i575>5<5<<:575?xu4:?=1<7=t^2053>;4?9;18884=2537?22?2wx???8:180[559>16?:>>:574?8508:0?985rs2:64?6=;rT848>4=2535?220278;==544:8yv50880;6?uQ3622?8508803945rs7gf>5<5sW\3=:0:w5kl:|lb71<6;;l0e><26:k6;%;0=?4b811C8984n`17>45482c?984?::k713<722c?9:4?::k71=<722e3944?::a73`3290>6=4?{%;46?2en2.?84487e9'=6?=:l:37E:;6:lb71<6;:;0e9;::188m1312900e9;8:188m13?2900c5;6:188yv55;h0;6>uQ331b?851n;0?985237d7>1313ty8>8m50;1xZ642k278:k<54448960a<3>>;6s|332`>5<4sW99130349=j94;549~w6>283:1?vP<8428960a:3>>463<6g6900>49695?0=:34>{9g`>xhf;=0:?><4i200e?7=3`999<4>::k0657=931b?5;?:088k60ej3;17b8i2;39?g51jk0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;?ho6=4::183!?0:3>ij6*;48843a=#1:31>h>7;I672>hf;=0:?>:4i576>5<5<5<73dc2=?=7p}<24394?5|V:8>=63<6c`9000<5:73de2=?<70=9be8710=z{:2><7>53z\0<06<5:7}Y;?hi70=9bc8;1<=z{?l96=4={_4e6>;4>kn14874}|`20=5=93<1>78t$851>1353A3=o6T;5282=cd2tdj?94>3278m644i3;17d==5182>>o4:9:1=75f3973>4<5}#1>818oh4$56:>2c>3-38575<7s-3<>7:mf:&70<<0?m1/5>752d2;?M23>2dj?94>3258m1322900e9;9:188m1302900e9;7:188k=3>2900q~==3`83>6}Y;;9j70=9858710=:;?2=69;9;|q0606=839pR><:0:?02=2=<<<01>876;663>{t;;:;6=4<{_1145=:;?2?69;8;<15<3<3=<1v>6:0;297~X40<:01>874;66<>;4>1<18864}r15<1<72;qU?;6;;<15<1">;009i=64H565?kg4<3;8?55f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:=:m7?55;294~">?;0?nk5+45;93`?<,0926?k?8:J703=ii:>1=>=6;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8:km51;794?6|,0=969li;%67=?1b12.2?44=e1:8L1213gk887?<3`9j003=831b88850;9j001=831b88650;9l<0?=831vn>8i7;391?6=8r.2;?4;bg9'01?=?l30(4=6:3g3<>N3:5121a?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<6df95?3=83:p(49=:5`e?!2313=n56*63881a5><@=>=7co<4;307f=n<7c702B?8;5aa269565c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`02`5=93?1<7>t$851>1da3->?579j9:&:7<<5m920D9:9;oc00?74;l1b88;50;9j000=831b88950;9j00>=831d48750;9~f60cm3;197>50z&:37<3jo1/89757d;8 <5>2;o;46F;479me62=9:9m7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4>m31=7;50;2x <152=hm7):;9;5f=>">;009i=64H565?kg4<3;88=5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:?;0?nk5+45;93`?<,0926?k?8:J703=ii:>1=>:>;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8:nh51;794?6|,0=969li;%67=?1b12.2?44=e1:8L1213gk887?<439j003=831b88850;9j001=831b88650;9l<0?=831vn>8la;391?6=8r.2;?4;bg9'01?=?l30(4=6:3g3<>N3:51260?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<6b795?3=83:p(49=:5`e?!2313=n56*63881a5><@=>=7co<4;3001=n<7c702B?8;5aa26956223`>>97>5;h662?6=3`>>;7>5;h6657>5;|`02g0=93?1<7>t$851>1da3->?579j9:&:7<<5m920D9:9;oc00?74=831d48750;9~f60e93;197>50z&:37<3jo1/89757d;8 <5>2;o;46F;479me62=9:><7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4>hi1=7;50;2x <152=hm7):;9;5f=>">;009i=64H565?kg4<3;8855f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:?;0?nk5+45;93`?<,0926?k?8:J703=ii:>1=>:6;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8:l<51;794?6|,0=969li;%67=?1b12.2?44=e1:8L1213gk887?<4`9j003=831b88850;9j001=831b88650;9l<0?=831vn>86d;391?6=8r.2;?4;bg9'01?=?l30(4=6:3g3<>N3:5126a?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<68:95?3=83:p(49=:5`e?!2313=n56*63881a5><@=>=7co<4;300f=n<7c702B?8;5aa269562c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`02=c=93?1<7>t$851>1da3->?579j9:&:7<<5m920D9:9;oc00?74=831d48750;9~f60?13;197>50z&:37<3jo1/89757d;8 <5>2;o;46F;479me62=9:>m7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6l;31=7=50;2x <152=hm7):;9;:32>">;00:o>?4H565?kg4<3;89=5f44794?=n<<<1<75`84;94?=zj:?2>7?54;294~">?;0?nk5+45;930c<,0926?k?8:J703=ii:>1=>;>;h661?6=3`>>:7>5;h663?6=@=;n76a75883>>{e;ij6*;4884=4=#1:31>h>7;I672>hf;=0:?8<4i576>5<5<5<m>4>:283>5}#1>818oh4$56:>=423-3857=h0<31<75rb27:b?7==3:17c702B?8;5aa26956333`>>97>5;h662?6=3`>>;7>5;h6657>5;|`01t$851>1da3->?576=5:&:7<<5m920D9:9;oc00?74=<1b88;50;9j000=83B?=h54o97:>5<5l4>:483>5}#1>818oh4$56:>2?63-38575<7s-3<>7:mf:&70<752d2;?M23>2dj?94>3458m1322900e9;9:18K04c<3f2>57>5;|`01<>=93?1<7>t$851>1da3->?57961:&:7<<5m920D9:9;oc00?74=11b88;50;9j000=831b88950;9j00>=831d48750;9~f63>?3;197>50z&:37<3jo1/897578d8 <5>2;o;46F;479me62=9:?27d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6<>o1=7;51;7x <152=?97E79c:lb71<6;=;9;39?l3><3;17d;:0;39?l52j>0:66a<58795?=e;<3>6=4::183!?0:3>ij6*;48841f=#1:31>h>7;I672>hf;=0:?8l4i576>5<5<5<349>584;549~w0?32909wS;64:?01<3=<<<0q~;:0;296~X2=916?87::574?xu4=k=1<7;4=0?18864}r16=0<72;qU?87:;<16=00<729q/5:<54cd8 12>2>3m7)7<9;0f4==O<=<0bl=;:016g>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1e0a>4<4290;w)782;6ab>"3<003<;5+92;95f563A>?:6`n358270b6=44i575>5<265>9;%;0=?7d;81C8984n`17>452m2c?984?::k713<722e3944?::a5a5628086=4?{%;46?2en2.?8447079'=6?=9j9:7E:;6:lb71<6;:5y'=24=<<80D48l;oc00?74>91b>4o>:088m6gam3;17d=nfg82>>i5i=21=75m2`6:>5<3290;w)782;6ab>"3<003<>5+92;96=gb3A>?:6`n35827376=44i575>5<5<vP>:6s|3`de>5<5sW9jjk522`6:>1303ty9m9650;0xZ7g30279m97584;8yxd6;h31=7:51;6x <152=?97E79c:lb71<6;?80e?76e;39?l5fnl0:66g7>fm2B?8;5aa26956043`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty954k50;0xZ7?>m279m>=54478yv5fnl0;6?uQ3`df?84f;:0?9;5rs2ceb?6=:rT8mkh4=3c07?22?2wx>l==:181[4f;;16>l=<:97:?x{e9;396<4;:087!?0:3>>>6F66b9me62=9:o3=<0;66g;5783>>o3=>0;66a75883>>{tn3>>96s|3`df>5<5sW9jjh52f4490004?:3y]7g6434l>:7::7:pb01=838pRk;8;212wvn<<60;390?7=:0D9:9;oc00?74>>1b88;50;9j000=831b88950;9l<0?=831vk;::181[`2=27m9=4;549~w6gam3:1>vP;a=903945r}c363a<62<0:68u+9609004<@0::k0ecg=931d?nk=:088f6eb;3:197>50z&:37<3jo1/89758128 <5>2:kn:6F;479me62=9:<27d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4ioi1<7;4kl9188;4}r1bba<72;qU?lhk;<1`a6<3=?1v>oib;296~X4ioh01>mj3;663>{t;hlj6=4={_1bbd=:;jo869;7;|q0g`4=838pR>mj2:?0g`5=0<30qpl>3`595?3=93?p(49=:571?M?1k2dj?94>37c8m7?>m3;17d<:d782>>o4iol1=75f3`df>4<26:hj;%;0=?4?il1C8984n`17>451j2c?984?::k713<722c?9:4?::k71=<722e3944?::p66d4e2=?>7p}=5e494?4|V;?o:63=a3`9000o4;569~w6gam3:1>vP>46s|2`0b>5<5sW8j>l522`0a>=3>3twi=?7n:086>4<2s-3<>7::2:J:2f=ii:>1=>8l;hd63?7=3`9i<<4>::k0ec`=931b?o>?:088kc?12800nk78:186>5<7s-3<>7:mf:&70<75b628L1213gk887?<6e9j003=831b88850;9j001=831b88650;9l<0?=831vk;8:181[`2?27m5:4;549~w6d793:1>vP52z\0f56<5o3<69;7;|qe=3<72;qUj484=g;4>=3>3twi=?76:086>4<2s-3<>7::2:J:2f=ii:>1=>8j;hd60?7=3`9i<<4>::k0ec`=931b?o>?:088kc>b2800nk6i:186>5<7s-3<>7:mf:&70<75b628L1213gk887?<6g9j003=831b88850;9j001=831b88650;9l<0?=831vk;;:181[`2<27m4k4;549~w6d793:1>vPa2=?=7p}52z\0f56<5o2m69;7;|qe<`<72;qUj5k4=g:e>=3>3twi=?78:086>4<2s-3<>7::2:J:2f=ii:>1=>9?;hd63?7=3`9jjk4>::k0f56=931b?o>>:088kc1b2800nk9i:186>5<7s-3<>7:mf:&70<75b628L1213gk887?<709j003=831b88850;9j001=831b88650;9l<0?=831vk;8:181[`2?27m;k4;549~w6gan3:1>vP52z\0f57<5o=m69;7;|qe3`<72;qUj:k4=g5e>=3>3twi=?79:086>4<2s-3<>7::2:J:2f=ii:>1=>9=;hd60?7=3`9jjk4>::k0f56=931b?o>>:088kc112800nk98:186>5<7s-3<>7:mf:&70<75b628L1213gk887?<729j003=831b88850;9j001=831b88650;9l<0?=831vk;;:181[`2<27m;:4;549~w6gan3:1>vP52z\0f57<5o=<69;7;|qe33<72;qUj:84=g54>=3>3twi=?7::086>4<2s-3<>7::2:J:2f=ii:>1=>9;;hd63?7=3`9i<<4>::k0f56=931b?lhi:088kc0b2800nk8i:186>5<7s-3<>7:mf:&70<75b628L1213gk887?<749j003=831b88850;9j001=831b88650;9l<0?=831vk;8:181[`2?27m:k4;549~w6d793:1>vP52z\0ec`<5o=3>3twi=?7;:086>4<2s-3<>7::2:J:2f=ii:>1=>99;hd60?7=3`9i<<4>::k0f56=931b?lhi:088kc012800nk88:186>5<7s-3<>7:mf:&70<75b628L1213gk887?<769j003=831b88850;9j001=831b88650;9l<0?=831vk;;:181[`2<27m::4;549~w6d793:1>vP52z\0ec`<5o<<69;7;|qe23<72;qUj;84=g44>=3>3twi=<7::086>4<2s-3<>7::2:J:2f=ii:>1=>97;h1a47<622c8n=>51;9j7g662800e>l?3;39?j32<3;17o0<729q/5:<54cd8 12>21;=7)7<9;0;e`=O<=<0bl=;:014=>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|3c21>5<5sW9i1323ty8n=>50;0xZ6d78279m9854448yv5e880;6?uQ3c22?84f:4y'=24=<<80D48l;oc00?74?h1b>5om:088m0332800e?o:1;39?l4f>6=4::183!?0:3>ij6*;4884ba=#1:31>5oj;I672>hf;=0:?:l4i576>5<5<5<7}Y:h?:7052z\1e1`<5;k?97::8:p66d2221?27psm13:f>4<2280>w)782;666>N>>j1em>:5125`?l5e8<0:66g=7?5;cd65?6==3:1g173A>?:6`n358272b6=44i575>5<5<:;>;6s|3`d0>5<5sW9jj>52f43900>=7>52z\e14=:n<;14874}|`26<7=93>1=7:t$851>1353A3=o6`n358272c=7?5;nd61?7=3kl>>7>54;294~">?;0?nk5+45;9<75<,0926o9?;I672>hf;=0:?:h4i576>5<5<52f409003:<4?:3y]600634l>>7::6:pb07=838pRk;>;>76:9:~f4b4;3;1?7>50z&:37<3jo1/89758148 <5>28i8=6F;479me62=9:2;7d::5;29?l22>3:17b6:9;29?xd5lk<1=7:50;2x <152=hm7):;9;5`g>">;009mo?4H565?kg4<3;84<5f44794?=n<<<1<75f44594?=h0<31<75rb3fa0?7=<3:17ge92B?8;5aa26956>53`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th8o4751;794?6|,0=969li;%67=?12<2.2?44m67;391?6=8r.2;?4;bg9'01?=?<>0(4=6:2cf2>N3:512:7?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl86*63880e`0<@=>=7co<4;30<0=n<6gb>2B?8;5aa26956>13`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0g=>=93?1<7>t$851>1da3->?579:4:&:7<<4il<0D9:9;oc00?740>1b88;50;9j000=831b88950;9j00>=831d48750;9~f6e?>3;197>50z&:37<3jo1/89757468 <5>2:kn:6F;479me62=9:237d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4k1>1=7;50;2x <152=hm7):;9;560>">;008mh84H565?kg4<3;8445f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:i3>7?55;294~">?;0?nk5+45;9302<,0926>oj6:J703=ii:>1=>6n;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:mo?51;694?6|,0=969li;%67=?1dk2.2?44>a948L1213gk887?<8c9j003=831b88850;9j001=831d48750;9~f4ge83;187>50z&:37<3jo1/89757ba8 <5>28k3:6F;479me62=9:2h7d::5;29?l22>3:17d::7;29?j>213:17pl>a`d95?2=83:p(49=:5`e?!2313=ho6*63882e=0<@=>=7co<4;30?;0?nk5+45;93fe<,09261=>6j;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`2edb=93>1<7>t$851>1da3->?579lc:&:7<<6i1<0D9:9;oc00?740o1b88;50;9j000=831b88950;9l<0?=831vnN3:512;3?l22=3:17d::6;29?l22?3:17b6:9;29?xd6ihh1=7:50;2x <152=hm7):;9;5`g>">;00:m584H565?kg4<3;85<5f44794?=n<<<1<75f44594?=h0<31<75rb0cbe?7=<3:14g?>2B?8;5aa26956?53`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th:ml751;694?6|,0=969li;%67=?1dk2.2?44>a948L1213gk887?<929j003=831b88850;9j001=831d48750;9~f4gf03;187>50z&:37<3jo1/89757ba8 <5>28k3:6F;479me62=9:3?7d::5;29?l22>3:17d::7;29?j>213:17pl>91g95?2=83:p(49=:5`e?!2313=ho6*63882<`2<@=>=7co<4;30=0=n<?;0?nk5+45;93fe<,0926<6j4:J703=ii:>1=>79;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`2=5e=93>1<7>t$851>1da3->?579lc:&:7<<60l>0D9:9;oc00?741>1b88;50;9j000=831b88950;9l<0?=831vn<7?b;390?6=8r.2;?4;bg9'01?=?ji0(4=6:0:f0>N3:512;;?l22=3:17d::6;29?l22?3:17b6:9;29?xd619k1=7:50;2x <152=hm7):;9;5`g>">;00:4h:4H565?kg4<3;8545f44794?=n<<<1<75f44594?=h0<31<75rb0;3=?7=<3:14>b<2B?8;5aa26956?f3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th:5=651;694?6|,0=969li;%67=?1dk2.2?44>8d68L1213gk887?<9c9j003=831b88850;9j001=831d48750;9~f4?7?3;187>50z&:37<3jo1/89757ba8 <5>282n86F;479me62=9:3h7d::5;29?l22>3:17d::7;29?j>213:17pl>d2795?5=83:p(49=:5`e?!23132;:6*63882g67<@=>=7co<4;30=a=n<o4>=k1=75f3`g6>4<5}#1>818oh4$56:>=753-3857:i65f44494?=n<<=1<75`84;94?=z{:52z\021g<5:73202=?=7p}:0983>7}Y=9201>8;7;663>{t;l;;6=4={_1f55=:;?><65;6;|a50g7280?6<4;{%;46?22:2B2:n5aa26956g73`9=8;4>::k0e`2=931b9=651;9l7`6a2800n>8;3;290?6=8r.2;?4;bg9'01?=0880(4=6:3g3<>N3:512c2?l22=3:1D9?j;:k713<722c?9:4?::m;1<<722wx?;:9:181[511<7;4>=918884}r73<5523760>1303ty8i=h50;0xZ6c7n278:9=584;8yxd69>;1=7:51;6x <152=?97E79c:lb71<6;h80e><;3;39?l550=0:66g5<3290;w)782;6ab>"3<00?:6`n35827d56=44i575>5<5<4?:3y]7724349j=?4;549~w64?<3:1>vP<296896g6:3>>:6s|3bg4>5<5sW9hi:523`31>1303ty>=>4?:3y]145<5:k:>76:9:~f431j3;187?54z&:37<3=;1C5;m4n`17>45f<2c8n?851;9j7g562800e>j72;39?j5em>0:66l6*63880f4b<@=>=7co<4;30e0=n<52z\0f70<5:hn47::5:p7g562909wS=m309>7gc?2=?=7p}63:4y'=24=<<80D48l;oc00?74i?1b?8m?:088m656?3;17d=<0c82>>o4;9i1=75`34:;>4<55;294~">?;0?nk5+45;9<6c<,0926?k?8:J703=ii:>1=>o8;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty89n>50;0xZ63d827895654478yv549>0;6?uQ3234?852010?9;5rs213f?6=:rT8?=l4=27;>l:181[548j16?867:57;?xu4=121<7;4=1214874}|`01=?=9391<7>t$851>1da3->?576?6:&:7<<5m920D9:9;oc00?74i11b88;50;9j000=831d48750;9~f63?i3;197>50z&:37<3jo1/89757ec8 <5>2;o;46F;479me62=9:k27d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6=0l1=7:51;6x <152=?97E79c:lb71<6;hk0e>8;2;39?l5fm:0:66g:0982>>i4m9o1=75m371e>5<3290;w)782;6ab>"3<003=?5+92;96`6?3A>?:6`n35827dd6=4G40g8?l22>3:17d::7;29?j>213:17p}<65094?4|V:63<62d90034?:3y]7dc4349=?k4;579~w06?2909wS;?8:?026`=<<=0q~=j0d83>7}Y;l:n70=93g8;1<=zuk;>5h4>:582>1}#1>8188<4H84`?kg4<3;8mn5f371f>4<7?5;h73::`026d=83>1<7>t$851>1da3->?576>2:&:7<<5m920D9:9;oc00?74im1b88;50;J75`=5<oj2;296~X4il801>8{t=921<7212wvn<;6d;390?7=:088m06?2800c>k?c;39?g51;>0;694?:1y'=24=M26m21b88850;9j001=831d48750;9~w604i3:1>vP<62c89604?3>>96s|3`g2>5<5sW9ji<523714>1313ty><54?:3y]15><5:<8;7::7:p7`6d2909wS=j0b9>735021?27psm14;`>4<3280?w)782;666>N>>j1em>:512`3?l51;?0:66g4<54;294~">?;0?nk5+45;9<44<,0926?k?8:J703=ii:>1=>l>;h661?6=@=;n76g;5783>>o3=>0;66a75883>>{t;?9=6=4={_1573=:;?9869;:;|q0e`6=838pR>oj0:?0265=<<<0q~;?8;296~X28116?;=<:574?xu4m9h1<7;4>:914874}|`211=7:t$851>1353A3=o6`n35827g47?5;h1b`c<622c><54>::m0a5g=931i?;5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>3c18m132290C8>;7>5;n:6=?6=3ty8:><50;0xZ604:278:?h54478yv5flo0;6?uQ3`fe?851:o0?9;5rs42;>5<5sW?;463<63d9001k47589~yg721h0:694>:5y'=24=<<80D48l;oc00?74j=1b?;6*63881a5><@=>=7co<4;30f0=n<2900q~=92c83>7}Y;?8i70=9298710=z{:koh7>52z\0eab<5:<947::6:p15>=838pR8>7;<156=<3=>1v>k?8;296~X4m9201>8=8;:6=>{zj8?257?54;390~">?;0?9?5G97a8jd53289i:6g<63595?=n;hnh6<44i42;>4<265?=;%;0=?4b811C8984n`17>45e?2c?984?:I62a>=n<<<1<75f44594?=h0<31<75rs2413?6=:rT8:?94=2410?22=2wx?ljl:181[5flj16?;<;:575?xu2810;6?uQ51:89605<3>>;6s|3d24>5<5sW9n<:523707>=3>3twi=877:087>4<3s-3<>7::2:J:2f=ii:>1=>l7;h1566<622c8mil51;9j15>=931d?h>9:088f60583:187>50z&:37<3jo1/89758008 <5>2;o;46F;479me62=9:h27d::5;29L17b32c?9;4?::k712<722e3944?::p73442909wS=9229>73472=?>7p}52z\64==:;?8;69;8;|q0a50=838pR>k?6:?0276=0<30qpl>58595?2=93>p(49=:571?M?1k2dj?94>3cc8m606n3;17d=nd`82>>o2810:66aij6*;488;57=#1:31>h>7;I672>hf;=0:?ol4i576>58l1<7;4>8i188;4}r1b`d<72;qU?ljn;<155f<3=?1v8>7:181[370278:6:2.2?44=e1:8L1213gk887?5<5<vP>:6s|51:94?4|V<:370=9198712=z{:o;87>52z\0a52<5:<:476:9:~f43>=3;187?54z&:37<3=;1C5;m4n`17>45em2c8:<951;9j7db?2800e8>7:088k6c7;3;17o=91583>1<729q/5:<54cd8 12>21;97)7<9;0f4==O<=<0bl=;:01ab>o3=<0;6E:>e:9j000=831b88950;9l<0?=831v>8>7;296~X4>8=01>8>4;661>{t;hn36=4={_1b`==:;?;?69;9;|q64=<72;qU9=64=2420?22?2wx?h><:181[5b8:16?;?;:97:?x{e9<3?6<4;:087!?0:3>>>6F66b9me62=9:i;7d=91282>>o4im=1=75f51:95?=h;l:96<44b2424?6=<3:17c702B?8;5aa26956e63`>>97>5H53f?>o3=?0;66g;5683>>i?=00;66s|3730>5<5sW9==>523733>1323ty8mi950;0xZ6gc?278:<>54448yv3703:1>vP:099>73772=?<7p}63<6029<0?hf;=0:?n<4i243b?7=3`9jh;4>::k64=<622e8i=?51;9a736d290?6=4?{%;46?2en2.?8447139'=6?=:l:37E:;6:lb71<6;j90e9;::18K04c<3`>>:7>5;h663?6=3f2>57>5;|q025`=838pR>8?f:?025e=<7}Y;hn=70=90b8713=z{<:36=4={_73<>;4>9i18894}r1f44<72;qU?h>>;<154f1<62=q/5:<54408L<0d3gk887?ok5;39?l3703;17b=j0182>>d4>921<7:50;2x <152=hm7):;9;:26>">;009i=64H565?kg4<3;8o85f44794?N39l10e9;9:188m1302900c5;6:188yv518k0;6?uQ372a?851810?985rs2cg1?6=:rT8mi;4=2432wx9=650;0xZ06?349=<54;569~w6c783:1>vP56srb07:5?7=<3;18v*6738717=O1?i0bl=;:01`2>o4>9=1=75f3`f7>4<5}#1>818oh4$56:>=753-3857:i65f44494?=n<<=1<75`84;94?=z{:<;;7>52z\0251<5:<;87::5:p7db32909wS=nd59>73632=?=7p}:0983>7}Y=9201>8?4;663>{t;mlm6=4={_1gbc=:;?:?65;6;|a50?7280?6<4;{%;46?22:2B2:n5aa26956e?3`9=<>4>::k0ea5=931b9=651;9l7a`b2800n>8?0;290?6=8r.2;?4;bg9'01?=0880(4=6:3g3<>N3:512a:?l22=3:1D9?j;:k713<722c?9:4?::m;1<<722wx?;><:181[518:16?;>?:576?xu4im91<7;4>9:18884}r73<5523723>1303ty8hkk50;0xZ6bam278:=>584;8yxd6=1l1=7:51;6x <152=?97E79c:lb71<6;jk0e>;if;39?l5fl;0:66g:0982>>i4lon1=75m34d`>5<3290;w)782;6ab>"3<003=?5+92;96`6?3A>?:6`n35827fd6=4G40g8?l22>3:17d::7;29?j>213:17p}<5gd94?4|V:?mj63<5ga9003jn4;579~w06?2909wS;?8:?01ce=<<=0q~=kfe83>7}Y;mlo70=:fb8;1<=zuk;>4h4>:582>1}#1>8188<4H84`?kg4<3;8on5f34da>4<::`01c>=83>1<7>t$851>1da3->?576>2:&:7<<5m920D9:9;oc00?74km1b88;50;J75`=5<ok1;296~X4im;01>;i8;662>{t=921<7212wvn<;7d;390?7=jib;39?g52n=0;694?:1y'=24=M26m21b88850;9j001=831d48750;9~w63a?3:1>vP<5g58963a<3>>96s|3`f3>5<5sW9jh=5234d7>1313ty><54?:3y]15><5:?m87::7:p7a`e2909wS=kfc9>70`321?27psm14:`>4<3280?w)782;666>N>>j1em>:512f3?l52n:0:66g4<54;294~">?;0?nk5+45;9<44<,0926?k?8:J703=ii:>1=>j>;h661?6=@=;n76g;5783>>o3=>0;66a75883>>{t;olf:?01c6=<<<0q~;?8;296~X28116?8h?:574?xu4lok1<7;4=o:14874}|`21=d=93>1=7:t$851>1353A3=o6`n35827a4<54>::m0`c?=931i?8kl:187>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>3e18m132290C8>;7>5;n:6=?6=3ty89hh50;0xZ63bn2789hm54478yv5fkl0;6?uQ3`af?852mj0?9;5rs42;>5<5sW?;463<5da9001349>in47589~yg720h0:694>:5y'=24=<<80D48l;oc00?74l=1b?8km:088m6gdl3;17d;?8;39?j5cn10:66l<5d:94?2=83:p(49=:5`e?!23132:>6*63881a5><@=>=7co<4;30`0=n<2900q~=:ec83>7}Y;52z\0efb<5:?n47::6:p15>=838pR8>7;<16a=<3=>1v>ji8;296~X4lo201>;j8;:6=>{zj8?357?54;390~">?;0?9?5G97a8jd53289o:6g<5d595?=n;hih6<44i42;>4<265?=;%;0=?4b811C8984n`17>45c?2c?984?:I62a>=n<<<1<75f44594?=h0<31<75rs27f3?6=:rT89h94=27f0?22=2wx?lml:181[5fkj16?8k;:575?xu2810;6?uQ51:8963b<3>>;6s|3ed4>5<5sW9oj:5234g7>=3>3twi=867:087>4<3s-3<>7::2:J:2f=ii:>1=>j7;h16a6<622c8mnl51;9j15>=931d?ih9:088f63b83:187>50z&:37<3jo1/89758008 <5>2;o;46F;479me62=9:n27d::5;29L17b32c?9;4?::k712<722e3944?::p70c42909wS=:e29>70c72=?>7p}52z\64==:;ji6:?01`6=0<30qpl>59595?2=93>p(49=:571?M?1k2dj?94>3ec8m63cn3;17d=nc`82>>o2810:66aij6*;488;57=#1:31>h>7;I672>hf;=0:?il4i576>5;4=mi188;4}r1bgd<72;qU?lmn;<16`f<3=?1v8>7:181[3702789im54458yv5cn<0;6?uQ3ed6?852lj03945r}c36<3<62=0:69u+9609004<@06:2.2?44=e1:8L1213gk887?5<5<ho4?:3y]70be349>h54;549~w6gd13:1>vP>:6s|51:94?4|V<:370=:d98712=z{:nm87>52z\0`c2<5:?o476:9:~f43?=3;187?54z&:37<3=;1C5;m4n`17>45cm2c89i951;9j7de?2800e8>7:088k6ba;3;17o=:d583>1<729q/5:<54cd8 12>21;97)7<9;0f4==O<=<0bl=;:01gb>o3=<0;6E:>e:9j000=831b88950;9l<0?=831v>;k7;296~X4=m=01>;k4;661>{t;hi36=4={_1bg==:;>>6F66b9me62=9:o;7d=:d282>>o4ij=1=75f51:95?=h;ml96<44b27g4?6=<3:17c702B?8;5aa26956c63`>>97>5H53f?>o3=?0;66g;5683>>i?=00;66s|34f0>5<5sW9>h>5234f3>1323ty8mn950;0xZ6gd?2789i>54448yv3703:1>vP:099>70b72=?<7p}63<5e29<0?hf;=0:?h<4i27`b?7=3`9jo;4>::k64=<622e8hk?51;9a70e1290?6=4?{%;46?2en2.?8447139'=6?=:l:37E:;6:lb71<6;l90e9;::18K04c<3`>>:7>5;h663?6=3f2>57>5;|q01f`=838pR>;lf:?01f0=<7}Y;hi=70=:c78713=z{<:36=4={_73<>;4=j<18894}r1gb4<72;qU?ih>;<16g30<729q/5:<54cd8 12>2>3:7)7<9;0f4==O<=<0bl=;:01f0>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm34c;>4<4290;w)782;6ab>"3<003>85+92;96`6?3A>?:6`n35827`36=4G40g8?l22>3:17b6:9;29?xd6l:=1=7=50;2x <152=hm7):;9;:32>">;00:o>?4H565?kg4<3;8i;5f44794?=n<<<1<75`84;94?=zj:93;7?53;294~">?;0?nk5+45;9<15<,0926?k?8:J703=ii:>1=>k8;h661?6=3`>>:7>5;n:6=?6=3th8?5651;794?6|,0=969li;%67=?1f92.2?44=e1:8L1213gk887?=79;397?6=8r.2;?4;bg9'01?=09?0(4=6:3g3<>N3:512g:?l22=3:17d::6;29?j>213:17pl>d2;95?5=83:p(49=:5`e?!23132;:6*63882g67<@=>=7co<4;30ad=n<o49ol1=75f3b0a>4<n7?5;n10g3<622h8?n950;694?6|,0=969li;%67=?>4>2.2?44=e1:8L1213gk887?vP<1gd8965d?3>>96s|3b0a>5<5sW9h>o5232a4>1313ty8<8l50;0xZ662j278?n954458yv54k?0;6?uQ32a5?854k>03945r}c361<<62<0:68u+9609004<@0::k0f63=931d?o7=:088f6d>;3:197>50z&:37<3jo1/89757gf8 <5>2:h:h6F;479me62=9:on7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4j8o1<7;4j09188;4}r1`5=<72;qU?n?7;<1a=6<3=?1v>lja;296~X4jlk01>l63;663>{t;k9>6=4={_1a70=:;k3869;7;|q0f<4=838pR>l62:?0f<5=0<30qpl=88g95?3=83:p(49=:5`e?!2313==h6*638811ae<@=>=7co<4;30ac=n<73ck2B?8;5aa26956`73`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f10<62<0;6=u+96090g`<,=>26:h6;%;0=?d082B?8;5aa26956`63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f13<62<0;6=u+96090g`<,=>26:6k;%;0=?d082B?8;5aa26956`53`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f12<62<0;6=u+96090g`<,=>265>n;%;0=?d082B?8;5aa26956`43`>>97>5;h662?6=3`>>;7>5;h6657>5;|`262d=93>1=7:t$851>1353A3=o6`n35827c2=931ii8650;694?6|,0=969li;%67=?>6:2.2?44m719K010a0>=<7}Y99;m70k:8;662>{t91?26=4={_3;1<=:m<218894}rg6:483>5}#1>818oh4$56:>2e33-3857l80:J703=ii:>1=>h9;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3thn8k4>:583>5}#1>818oh4$56:>2`d3-3857l80:J703=ii:>1=>h8;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`f0=<62<0;6=u+96090g`<,=>26:h6;%;0=?d082B?8;5aa26956`?3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f0<<62<0;6=u+96090g`<,=>26:6k;%;0=?d082B?8;5aa26956`>3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f0d<62<0;6=u+96090g`<,=>265>n;%;0=?d082B?8;5aa26956`f3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`262g=93>1=7:t$851>1353A3=o6`n35827cd6:2.2?44m719K010a1d=<7}Y99;<70k;b;662>{t91?26=4={_3;1<=:m=h18894}rg7f?6=:rTn8o52e5`9<0?:483>5}#1>818oh4$56:>2e33-3857l80:J703=ii:>1=>hk;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3thn8?4>:583>5}#1>818oh4$56:>2`d3-3857l80:J703=ii:>1=>hj;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`f7g<62<0;6=u+96090g`<,=>26:h6;%;0=?d082B?8;5aa26956`a3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f7f<62<0;6=u+96090g`<,=>26:6k;%;0=?d082B?8;5aa26951673`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f7a<62<0;6=u+96090g`<,=>265>n;%;0=?d082B?8;5aa26951663`>>97>5;h662?6=3`>>;7>5;h6657>5;|`262?=93>1=7:t$851>1353A3=o6`n3582054k50;694?6|,0=969li;%67=?>6:2.2?44m719K010a6c=<7}Y99:m70k{t91?26=4={_3;1<=:m:o18894}rg0a?6=:rTn?h52e2g9<0?:483>5}#1>818oh4$56:>2e33-3857l80:J703=ii:>1=9>;;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3thn?84>:583>5}#1>818oh4$56:>2`d3-3857l80:J703=ii:>1=9>:;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`f6`<62<0;6=u+96090g`<,=>26:h6;%;0=?d082B?8;5aa26951613`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f6c<62<0;6=u+96090g`<,=>26:6k;%;0=?d082B?8;5aa26951603`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f75<62<0;6=u+96090g`<,=>265>n;%;0=?d082B?8;5aa269516?3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`262>=93>1=7:t$851>1353A3=o6`n358205??50;694?6|,0=969li;%67=?>6:2.2?44m719K010a67=<7}Y99:<70k<1;662>{t91?26=4={_3;1<=:m:;18894}rg05?6=:rTn?<52e239<0?:4>:483>5}#1>818oh4$56:>2e33-3857l80:J703=ii:>1=9>m;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3thn>54>:583>5}#1>818oh4$56:>2`d3-3857l80:J703=ii:>1=9>l;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`f64<62<0;6=u+96090g`<,=>26:h6;%;0=?d082B?8;5aa269516c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f67<62<0;6=u+96090g`<,=>26:6k;%;0=?d082B?8;5aa269516b3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f66<62<0;6=u+96090g`<,=>265>n;%;0=?d082B?8;5aa269516a3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2621=93>1=7:t$851>1353A3=o6`n3582046::mf61<622hn>94?:583>5}#1>818oh4$56:>=753-3857l80:J703=ii:>1=9?>;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q2456=838pR<>?0:?f61<3=<1vkhi:181[`an27n>94;579~w4>213:1>vP>84;89`432=?<7p}j2583>7}Ym;>01h<;:97:?x{em8k1=7;50;2x <152=hm7):;9;5`0>">;00i;=5G4548jd5328>:>6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{em8h1=7:50;2x <152=hm7):;9;5eg>">;00i;=5G4548jd5328>:?6g;5483>>o3=?0;66g;5683>>i?=00;66sme0695?3=83:p(49=:5`e?!2313=m56*6388a35=O<=<0bl=;:0620>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sme0795?3=83:p(49=:5`e?!2313=3h6*6388a35=O<=<0bl=;:0621>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sme0495?3=83:p(49=:5`e?!23132;m6*6388a35=O<=<0bl=;:0622>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1355>4<3280?w)782;666>N>>j1em>:51534?l`a03;17dhi7;39?l7?=00:66aj1682>>db9>0;694?:1y'=24=3:17d::7;29?j>213:17p}if983>7}Yno201h?8:576?xuan>0;6?uQfg589`702=?=7p}>84;94?4|V82>563j168712=z{l;<6=4={_g23>;b9>03945r}cg3`?7==3:1g173A>?:6`n358204?6=44i575>5<5<g173A>?:6`n358204g6=44i575>5<5<?;0?nk5+45;93c?<,0926o9?;I672>hf;=0:85<5<5<?;0?nk5+45;93=b<,0926o9?;I672>hf;=0:85<5<5<?;0?nk5+45;9<5g<,0926o9?;I672>hf;=0:85<5<5<:582>1}#1>8188<4H84`?kg4<3;?=h5ffg295?=nnll1=75f197:>4<5<3290;w)782;6ab>"3<003=?5+92;9f26<@=>=7co<4;375c=n<;b8h0?985rsgge>5<5sWlnj63j0`8713=z{82>57>52z\2<0?<5l:j69;8;|qf4d<72;qUi=o4=d2b>=3>3twii=>51;794?6|,0=969li;%67=?1d<2.2?44m719K010t$851>1da3->?579i9:&:7<425:2c?984?::k713<722c?9:4?::k71=<722e3944?::a`cd=93?1<7>t$851>1da3->?5797d:&:7<425;2c?984?::k713<722c?9:4?::k71=<722e3944?::a`ce=93?1<7>t$851>1da3->?576?a:&:7<425<2c?984?::k713<722c?9:4?::k71=<722e3944?::a5713280?6<4;{%;46?22:2B2:n5aa26951423`ln47?5;hdf3?7=3`;3944>::mgba<622hoji4?:583>5}#1>818oh4$56:>=753-3857l80:J703=ii:>1=9<9;h661?6=3`>>:7>5;h663?6=3f2>57>5;|qea=<72;qUjh64=edg>1323tymi:4?:3y]b`1<5mlo69;9;|q2<0?=838pR<6:9:?gba<3=>1vihk:181[bal27oji47589~ygba;3;197>50z&:37<3jo1/89757b68 <5>2k=;7E:;6:lb71<6<;=0e9;::188m1312900e9;8:188m13?2900c5;6:188ygba<3;187>50z&:37<3jo1/89757ga8 <5>2k=;7E:;6:lb71<6<;20e9;::188m1312900e9;8:188k=3>2900qojjd;391?6=8r.2;?4;bg9'01?=?o30(4=6:c53?M23>2dj?94>43;8m1322900e9;9:188m1302900e9;7:188k=3>2900qojje;391?6=8r.2;?4;bg9'01?=?1n0(4=6:c53?M23>2dj?94>43c8m1322900e9;9:188m1302900e9;7:188k=3>2900qojjf;391?6=8r.2;?4;bg9'01?=09k0(4=6:c53?M23>2dj?94>43`8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?=7282>1<62=q/5:<54408L<0d3gk887?;2b9jb`6=931bjih51;9j5=3>2800cih?:088fa`7290?6=4?{%;46?2en2.?8447139'=6?=j>:0D9:9;oc00?73:m1b88;50;9j000=831b88950;9l<0?=831vkk?:181[`b827oj=4;549~wcba2909wShkf:?gb5<3=?1v<6:9;296~X60<301ih?:574?xucn90;6?uQdg289a`721?27psmdd495?3=83:p(49=:5`e?!2313=h86*6388a35=O<=<0bl=;:061a>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smdd595?2=83:p(49=:5`e?!2313=mo6*6388a35=O<=<0bl=;:061b>o3=<0;66g;5783>>o3=>0;66a75883>>{ell:1=7;50;2x <152=hm7):;9;5e=>">;00i;=5G4548jd5328>8<6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{ell;1=7;50;2x <152=hm7):;9;5;`>">;00i;=5G4548jd5328>8=6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{ell81=7;50;2x <152=hm7):;9;:3e>">;00i;=5G4548jd5328>8>6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e9;=96<4;:087!?0:3>>>6F66b9me62=9=987dhk8;39?l`c?3;17d?75882>>icm:0:66lke283>1<729q/5:<54cd8 12>21;97)7<9;`44>N3:51517?l22=3:17d::6;29?l22?3:17b6:9;29?xual10;6?uQfe:89ac42=?>7p}id683>7}Ynm=01ik<:575?xu60<31<7;cm:0?9:5rseg0>5<5sWnn?63ke28;1<=zukno57?55;294~">?;0?nk5+45;93f2<,0926o9?;I672>hf;=0:8>;4i576>5<5<5<?;0?nk5+45;93ce<,0926o9?;I672>hf;=0:8>84i576>5<5<g173A>?:6`n35820616=44i575>5<5<g173A>?:6`n358206>6=44i575>5<5<g173A>?:6`n358206?6=44i575>5<5<4<ij6*;488;57=#1:31n:>4H565?kg4<3;??o5f44794?=n<<<1<75f44594?=h0<31<75rsgf3>5<5sWlo<63kd78710=z{oim6=4={_d`b>;cl?0?9;5rs0:6=?6=:rT:4874=ef5>1303tyoh;4?:3y]`a0<5mn=65;6;|a`fe=93?1<7>t$851>1da3->?579l4:&:7<424k2c?984?::k713<722c?9:4?::k71=<722e3944?::a`fb=93>1<7>t$851>1da3->?579ic:&:7<424l2c?984?::k713<722c?9:4?::m;1<<722wihn851;794?6|,0=969li;%67=?1a12.2?44m719K0107i2.2?44m719K0104<3s-3<>7::2:J:2f=ii:>1=9:>;hd`::`gg<<72=0;6=u+96090g`<,=>265?=;%;0=?d082B?8;5aa26951253`>>97>5;h662?6=3`>>;7>5;n:6=?6=3tymo54?:3y]bf><5mi269;:;|qeg2<72;qUjn94=ea:>1313ty:48750;0xZ4>2127oo44;569~wae>2909wSjl9:?gg<0(4=6:c53?M23>2dj?94>4518m1322900e9;9:188m1302900e9;7:188k=3>2900qojl0;390?6=8r.2;?4;bg9'01?=?oi0(4=6:c53?M23>2dj?94>4568m1322900e9;9:188m1302900c5;6:188ygbe13;197>50z&:37<3jo1/89757g;8 <5>2k=;7E:;6:lb71<6<=?0e9;::188m1312900e9;8:188m13?2900c5;6:188ygbei3;197>50z&:37<3jo1/897579f8 <5>2k=;7E:;6:lb71<6<=<0e9;::188m1312900e9;8:188m13?2900c5;6:188ygbej3;197>50z&:37<3jo1/897581c8 <5>2k=;7E:;6:lb71<6<==0e9;::188m1312900e9;8:188m13?2900c5;6:188yg75>o0:694>:5y'=24=<<80D48l;oc00?73<11bjn>51;9jbg`=931b=5;6:088kadd2800nill:187>5<7s-3<>7:mf:&70<75b628L1213gk887?;489j003=831b88850;9j001=831d48750;9~wce72909wShl0:?gff<3=<1vkli:181[`en27onn4;579~w4>213:1>vP>84;89add2=?<7p}kbb83>7}Ylki01ill:97:?x{elk81=7;50;2x <152=hm7):;9;5`0>">;00i;=5G4548jd5328>?m6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{elk91=7:50;2x <152=hm7):;9;5eg>">;00i;=5G4548jd5328>?n6g;5483>>o3=?0;66g;5683>>i?=00;66smd`a95?3=83:p(49=:5`e?!2313=m56*6388a35=O<=<0bl=;:067g>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smd`f95?3=83:p(49=:5`e?!2313=3h6*6388a35=O<=<0bl=;:067`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smd`g95?3=83:p(49=:5`e?!23132;m6*6388a35=O<=<0bl=;:067a>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm134f>4<3280?w)782;666>N>>j1em>:5156e?l`e03;17dhm7;39?l7?=00:66akag82>>dcio0;694?:1y'=24=3:17d::7;29?j>213:17p}ib983>7}Ynk201ioi:576?xuaj>0;6?uQfc589aga2=?=7p}>84;94?4|V82>563kag8712=z{mkm6=4={_fbb>;cio03945r}cfb1?7==3:1g173A>?:6`n35820076=44i575>5<5<g173A>?:6`n35820046=44i575>5<5<?;0?nk5+45;93c?<,0926o9?;I672>hf;=0:88=4i576>5<5<5<?;0?nk5+45;93=b<,0926o9?;I672>hf;=0:88:4i576>5<5<5<?;0?nk5+45;9<5g<,0926o9?;I672>hf;=0:88;4i576>5<5<5<:582>1}#1>8188<4H84`?kg4<3;?9;5ffc295?=nnhl1=75f197:>4<5<3290;w)782;6ab>"3<003=?5+92;9f26<@=>=7co<4;3712=n<;ci80?985rsgce>5<5sWljj63ka08713=z{82>57>52z\2<0?<5mk:69;8;|qge4<72;qUhl?4=ec2>=3>3twih4951;794?6|,0=969li;%67=?1d<2.2?44m719K010t$851>1da3->?579i9:&:7<422i2c?984?::k713<722c?9:4?::k71=<722e3944?::a`<5=93?1<7>t$851>1da3->?5797d:&:7<422j2c?984?::k713<722c?9:4?::k71=<722e3944?::a`<2=93?1<7>t$851>1da3->?576?a:&:7<422k2c?984?::k713<722c?9:4?::k71=<722e3944?::a570d280?6<4;{%;46?22:2B2:n5aa269513c3`lj:7?5;hdb1?7=3`;3944>::mg=0<622ho584?:583>5}#1>818oh4$56:>=753-3857l80:J703=ii:>1=9;j;h661?6=3`>>:7>5;h663?6=3f2>57>5;|qee3<72;qUjl84=e;6>1323tymm84?:3y]bd3<5m3>69;9;|q2<0?=838pR<6:9:?g=0<3=>1vi7::181[b>=27o5847589~ygb?j3;197>50z&:37<3jo1/89757b68 <5>2k=;7E:;6:lb71<6<50z&:37<3jo1/89757ga8 <5>2k=;7E:;6:lb71<62900qoj75;391?6=8r.2;?4;bg9'01?=?o30(4=6:c53?M23>2dj?94>4738m1322900e9;9:188m1302900e9;7:188k=3>2900qoj76;391?6=8r.2;?4;bg9'01?=?1n0(4=6:c53?M23>2dj?94>4708m1322900e9;9:188m1302900e9;7:188k=3>2900qoj77;391?6=8r.2;?4;bg9'01?=09k0(4=6:c53?M23>2dj?94>4718m1322900e9;9:188m1302900e9;7:188k=3>2900qo?=6c82>1<62=q/5:<54408L<0d3gk887?;659jb2800ci67:088fa>?290?6=4?{%;46?2en2.?8447139'=6?=j>:0D9:9;oc00?73><1b88;50;9j000=831b88950;9l<0?=831vk7m:181[`>j27o454;549~wc?f2909wSh6a:?g<=<3=?1v<6:9;296~X60<301i67:574?xuc010;6?uQd9:89a>?21?27psmd6g95?3=83:p(49=:5`e?!2313=h86*6388a35=O<=<0bl=;:0652>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smd6d95?2=83:p(49=:5`e?!2313=mo6*6388a35=O<=<0bl=;:0653>o3=<0;66g;5783>>o3=>0;66a75883>>{el>21=7;50;2x <152=hm7):;9;5e=>">;00i;=5G4548jd5328>=46g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{el>31=7;50;2x <152=hm7):;9;5;`>">;00i;=5G4548jd5328>=56g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{el>k1=7;50;2x <152=hm7):;9;:3e>">;00i;=5G4548jd5328>=m6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e9;>>6F66b9me62=9=:3;17d?75882>>ic?k0:66lk7c83>1<729q/5:<54cd8 12>21;97)7<9;`44>N3:5154`?l22=3:17d::6;29?l22?3:17b6:9;29?xua1:0;6?uQf8189a1e2=?>7p}i9383>7}Yn0801i9m:575?xu60<31<7;c?k0?9:5rse5a>5<5sWn?;0?nk5+45;93f2<,0926o9?;I672>hf;=0:8;j4i576>5<5<5<7?54;294~">?;0?nk5+45;93ce<,0926o9?;I672>hf;=0:8;k4i576>5<5<g173A>?:6`n358203`6=44i575>5<5<g173A>?:6`n35820266=44i575>5<5<g173A>?:6`n35820276=44i575>5<5<4<ij6*;488;57=#1:31n:>4H565?kg4<3;?;>5f44794?=n<<<1<75f44594?=h0<31<75rsg:a>5<5sWl3n63k6d8710=z{o2j6=4={_d;e>;c>l0?9;5rs0:6=?6=:rT:4874=e4f>1303tyo:h4?:3y]`3c<5mt$851>1da3->?579l4:&:7<420<2c?984?::k713<722c?9:4?::k71=<722e3944?::a`33=93>1<7>t$851>1da3->?579ic:&:7<420=2c?984?::k713<722c?9:4?::m;1<<722wih8j51;794?6|,0=969li;%67=?1a12.2?44m719K0107i2.2?44m719K0104<3s-3<>7::2:J:2f=ii:>1=996;hd;7?7=3`l3>7?5;h3;1<<622eo:=4>::`g25<72=0;6=u+96090g`<,=>265?=;%;0=?d082B?8;5aa269511f3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3tym4>4?:3y]b=5<5m<;69;:;|qe<7<72;qUj5<4=e43>1313ty:48750;0xZ4>2127o:=4;569~wa072909wSj90:?g250(4=6:c53?M23>2dj?94>46`8m1322900e9;9:188m1302900e9;7:188k=3>2900qoj:7;390?6=8r.2;?4;bg9'01?=?oi0(4=6:c53?M23>2dj?94>46a8m1322900e9;9:188m1302900c5;6:188ygb293;197>50z&:37<3jo1/89757g;8 <5>2k=;7E:;6:lb71<6<>n0e9;::188m1312900e9;8:188m13?2900c5;6:188ygb2:3;197>50z&:37<3jo1/897579f8 <5>2k=;7E:;6:lb71<6<>o0e9;::188m1312900e9;8:188m13?2900c5;6:188ygb2;3;197>50z&:37<3jo1/897581c8 <5>2k=;7E:;6:lb71<6<>l0e9;::188m1312900e9;8:188m13?2900c5;6:188yg75>>0:694>:5y'=24=<<80D48l;oc00?73091bj:l51;9jb2g=931b=5;6:088ka332800ni;;:187>5<7s-3<>7:mf:&70<75b628L1213gk887?;809j003=831b88850;9j001=831d48750;9~wc1e2909wSh8b:?g11<3=<1vk9n:181[`0i27o994;579~w4>213:1>vP>84;89a332=?<7p}k5583>7}Yl<>01i;;:97:?x{el=k1=7;50;2x <152=hm7):;9;5`0>">;00i;=5G4548jd5328>3>6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{el=h1=7:50;2x <152=hm7):;9;5eg>">;00i;=5G4548jd5328>3?6g;5483>>o3=?0;66g;5683>>i?=00;66smd5695?3=83:p(49=:5`e?!2313=m56*6388a35=O<=<0bl=;:06;0>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smd5795?3=83:p(49=:5`e?!2313=3h6*6388a35=O<=<0bl=;:06;1>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smd5495?3=83:p(49=:5`e?!23132;m6*6388a35=O<=<0bl=;:06;2>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1345>4<3280?w)782;666>N>>j1em>:515:4?l`0;3;17dh82;39?l7?=00:66ak4682>>dc<>0;694?:1y'=24=3:17d::7;29?j>213:17p}i7283>7}Yn>901i:8:576?xua?;0;6?uQf6089a202=?=7p}>84;94?4|V82>563k468712=z{m><6=4={_f73>;c<>03945r}cf0`?7==3:1g173A>?:6`n35820=?6=44i575>5<5<g173A>?:6`n35820=g6=44i575>5<5<?;0?nk5+45;93c?<,0926o9?;I672>hf;=0:85l4i576>5<5<5<?;0?nk5+45;93=b<,0926o9?;I672>hf;=0:85m4i576>5<5<5<?;0?nk5+45;9<5g<,0926o9?;I672>hf;=0:85j4i576>5<5<5<:582>1}#1>8188<4H84`?kg4<3;?4h5ff7`95?=nn?k1=75f197:>4<5<3290;w)782;6ab>"3<003=?5+92;9f26<@=>=7co<4;37;c;h0?985rsg4b>5<5sWl=m63k3`8713=z{82>57>52z\2<0?<5m9j69;8;|qg7d<72;qUh>o4=e1b>=3>3twih>>51;794?6|,0=969li;%67=?1d<2.2?44m719K010?51;694?6|,0=969li;%67=?1ak2.2?44m719K010t$851>1da3->?579i9:&:7<42>:2c?984?::k713<722c?9:4?::k71=<722e3944?::a`7d=93?1<7>t$851>1da3->?5797d:&:7<42>;2c?984?::k713<722c?9:4?::k71=<722e3944?::a`7e=93?1<7>t$851>1da3->?576?a:&:7<42><2c?984?::k713<722c?9:4?::k71=<722e3944?::a5703280?6<4;{%;46?22:2B2:n5aa26951?23`l=?7?5;hd56?7=3`;3944>::mg6a<622ho>i4?:583>5}#1>818oh4$56:>=753-3857l80:J703=ii:>1=979;h661?6=3`>>:7>5;h663?6=3f2>57>5;|qe26<72;qUj;=4=e0g>1323tym:?4?:3y]b34<5m8o69;9;|q2<0?=838pR<6:9:?g6a<3=>1vii47589~ygb5;3;197>50z&:37<3jo1/89757b68 <5>2k=;7E:;6:lb71<6<0=0e9;::188m1312900e9;8:188m13?2900c5;6:188ygb5<3;187>50z&:37<3jo1/89757ga8 <5>2k=;7E:;6:lb71<6<020e9;::188m1312900e9;8:188k=3>2900qoj>c;391?6=8r.2;?4;bg9'01?=?o30(4=6:c53?M23>2dj?94>48;8m1322900e9;9:188m1302900e9;7:188k=3>2900qoj>d;391?6=8r.2;?4;bg9'01?=?1n0(4=6:c53?M23>2dj?94>48c8m1322900e9;9:188m1302900e9;7:188k=3>2900qoj>e;391?6=8r.2;?4;bg9'01?=09k0(4=6:c53?M23>2dj?94>48`8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?=6282>1<62=q/5:<54408L<0d3gk887?;9b9jb0d=931bj8o51;9j5=3>2800ci?i:088fa7a290?6=4?{%;46?2en2.?8447139'=6?=j>:0D9:9;oc00?731m1b88;50;9j000=831b88950;9l<0?=831vk;m:181[`2j27o=k4;549~wc3f2909wSh:a:?g5c<3=?1v<6:9;296~X60<301i?i:574?xuc9o0;6?uQd0d89a7a21?27psmd0795?3=83:p(49=:5`e?!2313=h86*6388a35=O<=<0bl=;:06:a>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smd0495?2=83:p(49=:5`e?!2313=mo6*6388a35=O<=<0bl=;:06:b>o3=<0;66g;5783>>o3=>0;66a75883>>{el8:1=7;50;2x <152=hm7):;9;5e=>">;00i;=5G4548jd5328>j<6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{el8;1=7;50;2x <152=hm7):;9;5;`>">;00i;=5G4548jd5328>j=6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{el881=7;50;2x <152=hm7):;9;:3e>">;00i;=5G4548jd5328>j>6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e9;<96<4;:087!?0:3>>>6F66b9me62=9=k87dh;b;39?l`3i3;17d?75882>>ic9:0:66lk1283>1<729q/5:<54cd8 12>21;97)7<9;`44>N3:515c7?l22=3:17d::6;29?l22?3:17b6:9;29?xua7p}i4`83>7}Yn=k01i?<:575?xu60<31<7;c9:0?9:5rse30>5<5sWn:?63k128;1<=zukn;57?55;294~">?;0?nk5+45;93f2<,0926o9?;I672>hf;=0:8l;4i576>5<5<5<?;0?nk5+45;93ce<,0926o9?;I672>hf;=0:8l84i576>5<5<g173A>?:6`n35820d16=44i575>5<5<g173A>?:6`n35820d>6=44i575>5<5<g173A>?:6`n35820d?6=44i575>5<5<4<ij6*;488;57=#1:31n:>4H565?kg4<3;?mo5f44794?=n<<<1<75f44594?=h0<31<75rsg60>5<5sWl??63k078710=z{o>96=4={_d76>;c8?0?9;5rs0:6=?6=:rT:4874=e25>1303tyo<;4?:3y]`50<5m:=65;6;|agce=93?1<7>t$851>1da3->?579l4:&:7<42fk2c?984?::k713<722c?9:4?::k71=<722e3944?::agcb=93>1<7>t$851>1da3->?579ic:&:7<42fl2c?984?::k713<722c?9:4?::m;1<<722wiok851;794?6|,0=969li;%67=?1a12.2?44m719K0107i2.2?44m719K0104<3s-3<>7::2:J:2f=ii:>1=9l>;hd0f?7=3`l8m7?5;h3;1<<622ehj44>::``b<<72=0;6=u+96090g`<,=>265?=;%;0=?d082B?8;5aa26951d53`>>97>5;h662?6=3`>>;7>5;n:6=?6=3tym?o4?:3y]b6d<5jl269;:;|qe7d<72;qUj>o4=bd:>1313ty:48750;0xZ4>2127hj44;569~wf`>2909wSmi9:?`b<0(4=6:c53?M23>2dj?94>4c18m1322900e9;9:188m1302900e9;7:188k=3>2900qomi0;390?6=8r.2;?4;bg9'01?=?oi0(4=6:c53?M23>2dj?94>4c68m1322900e9;9:188m1302900c5;6:188ygeb13;197>50z&:37<3jo1/89757g;8 <5>2k=;7E:;6:lb71<650z&:37<3jo1/897579f8 <5>2k=;7E:;6:lb71<650z&:37<3jo1/897581c8 <5>2k=;7E:;6:lb71<6:5y'=24=<<80D48l;oc00?73j11bj>=51;9jb64=931b=5;6:088kfcd2800nnkl:187>5<7s-3<>7:mf:&70<75b628L1213gk887?;b89j003=831b88850;9j001=831d48750;9~wc542909wSh<3:?`af<3=<1vk==:181[`4:27hin4;579~w4>213:1>vP>84;89fcd2=?<7p}leb83>7}Ykli01nkl:97:?x{ekl81=7;50;2x <152=hm7):;9;5`0>">;00i;=5G4548jd5328>im6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{ekl91=7:50;2x <152=hm7):;9;5eg>">;00i;=5G4548jd5328>in6g;5483>>o3=?0;66g;5683>>i?=00;66smcea95?3=83:p(49=:5`e?!2313=m56*6388a35=O<=<0bl=;:06ag>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smcef95?3=83:p(49=:5`e?!2313=3h6*6388a35=O<=<0bl=;:06a`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smceg95?3=83:p(49=:5`e?!23132;m6*6388a35=O<=<0bl=;:06aa>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm137f>4<3280?w)782;666>N>>j1em>:515`e?l`503;17dh=7;39?l7?=00:66aldg82>>ddlo0;694?:1y'=24=3:17d::7;29?j>213:17p}i2983>7}Yn;201nji:576?xua:>0;6?uQf3589fba2=?=7p}>84;94?4|V82>563ldg8712=z{jnm6=4={_agb>;dlo03945r}cag1?7==3:1g173A>?:6`n35820f76=44i575>5<5<g173A>?:6`n35820f46=44i575>5<5<?;0?nk5+45;93c?<,0926o9?;I672>hf;=0:8n=4i576>5<5<5<?;0?nk5+45;93=b<,0926o9?;I672>hf;=0:8n:4i576>5<5<5<?;0?nk5+45;9<5g<,0926o9?;I672>hf;=0:8n;4i576>5<5<5<:582>1}#1>8188<4H84`?kg4<3;?o;5ff3295?=nn8l1=75f197:>4<5<3290;w)782;6ab>"3<003=?5+92;9f26<@=>=7co<4;37g2=n<;dl;0?985rsg3e>5<5sWl:j63ld38713=z{82>57>52z\2<0?<5jn969;8;|q``7<72;qUoi<4=bf1>=3>3twion651;794?6|,0=969li;%67=?1d<2.2?44m719K010t$851>1da3->?579i9:&:7<42di2c?984?::k713<722c?9:4?::k71=<722e3944?::agf4=93?1<7>t$851>1da3->?5797d:&:7<42dj2c?984?::k713<722c?9:4?::k71=<722e3944?::agf5=93?1<7>t$851>1da3->?576?a:&:7<42dk2c?984?::k713<722c?9:4?::k71=<722e3944?::a573d280?6<4;{%;46?22:2B2:n5aa26951ec3`l;h7?5;hd3g?7=3`;3944>::m`g1<622hho94?:583>5}#1>818oh4$56:>=753-3857l80:J703=ii:>1=9mj;h661?6=3`>>:7>5;h663?6=3f2>57>5;|qe4a<72;qUj=j4=ba7>1323tym1vnm;:181[ed<27ho947589~ygeei3;197>50z&:37<3jo1/89757b68 <5>2k=;7E:;6:lb71<650z&:37<3jo1/89757ga8 <5>2k=;7E:;6:lb71<62900qo=<8d82>0<729q/5:<54cd8 12>2>oj7)7<9;0f4==O<=<0bl=;:06g5>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1574>4<2280>w)782;666>N>>j1em>:515f1?l54::`07=`=83?1<7>t$851>1da3->?576?0:&:7<<5m920D9:9;oc00?73l:1b88;50;9j000=831b88950;9j00>=831d48750;9~w653k3:1>vP<35a8965?n3>>96s|31:5>5<5sW9;4;5232:e>1313ty8<5:50;0xZ66?<278?5h54458yv570<0;6?uQ31:6?8540o0?955rs21;b?6=:rT8?5h4=21;b?>212wvn>=60;391?6=8r.2;?4;bg9'01?=?0k0(4=6:3g3<>N3:515f7?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<38395?5=83:p(49=:5`e?!23132;96*63881a5><@=>=7co<4;37`0=n<4e492B?8;5aa26951b13`>>97>5;h662?6=3f2>57>5;|`2`6b=9391<7>t$851>1da3->?576?6:&:7<<6k:;0D9:9;oc00?73l>1b88;50;9j000=831d48750;9~f65>l3;197>50z&:37<3jo1/897579a8 <5>2;o;46F;479me62=9=n37d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4;0o1=7=50;2x <152=hm7):;9;:31>">;009i=64H565?kg4<3;?h45f44794?=n<<<1<75`84;94?=zj:i9m7?53;294~">?;0?nk5+45;9<53<,0926>l>d:J703=ii:>1=9jn;h661?6=3`>>:7>5;n:6=?6=3th8o??51;194?6|,0=969li;%67=?>7=2.2?44m>a;397?6=8r.2;?4;bg9'01?=09?0(4=6:2`2`>N3:515f`?l22=3:17d::6;29?j>213:17pl>2da95?2=93>p(49=:571?M?1k2dj?94>4ef8m77f?3;17d<>a982>>o59h31=75`1g1:>4<54;294~">?;0?nk5+45;9<17<,09261=9jj;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q15d1=838pR??n7:?14fe=<a983>7}Y:8k37052z\15d?<5;:ho7::7:p5c5>2909wS?i389>65ed21?27psm1473>4<2280>w)782;666>N>>j1em>:515fe?l5d990:66gl4>::`0f7g=83?1<7>t$851>1da3->?576>5:&:7<<4j8n0D9:9;oc00?73m91b88;50;9j000=831b88950;9j00>=831d48750;9~w6e683:1>vP>96s|3b32>5<5sW9h=<523c0b>1313ty8o<<50;0xZ6e6:278n?o54458yv5d9:0;6?uQ3b30?85e:h0?955rs2`1e?6=:rT8n?o4=2`1e?>212wvn<;;d;391?7==r.2;?4;539K=3e>i4j;=1=75m3c04>5<2290;w)782;6ab>"3<00<9n5+92;97g7c3A>?:6`n35820`46=44i575>5<5<lja;296~X4jlk01>l=7;662>{t;k9<6=4={_1a72=:;k8<69;8;|q0f`e=838pR>ljc:?0f71=<<20q~=m2683>7}Y;k8<70=m268;1<=zuk;>8h4>:482>0}#1>8188<4H84`?kg4<3;?i>5f3c1`>4<l=8;291?6=8r.2;?4;bg9'01?=?N3:515g7?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}54;579~w6d4m3:1>vP>;6s|3cd0>5<5sW9ij>523c0;>13?3ty8n?650;0xZ6d50278n?6584;8yxd6==l1=7;51;7x <152=?97E79c:lb71<6l=8;39?l5e:>0:66g44?:483>5}#1>818oh4$56:>=723-3857=m1e9K010;4j;318884}r1a=4<72;qU?o7>;<1a6<<3=>1v>m?f;296~X4k9l01>l=9;66<>{t;k826=4={_1a6<=:;k8265;6;|a5036280>6<4:{%;46?22:2B2:n5aa26951c03`9h=:4>::k0g4>=931b?n?;:088m6e6=3;17b=m2c82>>d4j;h1<7;50;2x <152=hm7):;9;:21>">;008n52z\0g41<5:h9n7::5:p7f7?2909wS=l199>7g4e2=?=7p}o4;599~w6d5j3:1>vP56srb0f0b?7=;3:14e492B?8;5aa26951c>3`>>97>5;h662?6=3f2>57>5;|`202`=93>1=7:t$851>1353A3=o6`n35820`g;l1;290?6=8r.2;?4;bg9'01?=0820(4=6:3g3<>N3:515ga?l22=3:17d::6;29?l22?3:17b6:9;29?xu4;9k1<7;4=j;188;4}r1057<72;qU?>?=;<16g4<3=?1v>=?f;296~X4;9l01>;l1;663>{t;nh6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e;:ij6*;4884f7=#1:31>h>7;I672>hf;=0:8hk4i576>5<5<5<4>:283>5}#1>818oh4$56:>=623-3857=m1e9K010=h0<31<75rb2`;4?7=;3:16d6l2B?8;5aa26951`73`>>97>5;h662?6=3f2>57>5;|`0f2b=9391<7>t$851>1da3->?576?5:&:7<<4j8n0D9:9;oc00?73n81b88;50;9j000=831d48750;9~f6d0i3;1?7>50z&:37<3jo1/89758178 <5>2:h:h6F;479me62=9=l97d::5;29?l22>3:17b6:9;29?xd4j>=1=7=50;2x <152=hm7):;9;:31>">;008n5f44794?=n<<<1<75`84;94?=zj:h=m7?53;294~">?;0?nk5+45;9<53<,0926>l>d:J703=ii:>1=9h;;h661?6=3`>>:7>5;n:6=?6=3th8n;951;194?6|,0=969li;%67=?>7=2.2?44l95;397?6=8r.2;?4;bg9'01?=09?0(4=6:2`2`>N3:515d5?l22=3:17d::6;29?j>213:17pl=7co<4;37b2=n<6d6l2B?8;5aa26951`?3`>>97>5;h662?6=3f2>57>5;|`0f0g=9391<7>t$851>1da3->?576?5:&:7<<4j8n0D9:9;oc00?73n01b88;50;9j000=831d48750;9~f6d2?3;1?7>50z&:37<3jo1/89758178 <5>2:h:h6F;479me62=9=lj7d::5;29?l22>3:17b6:9;29?xd4j<>1=7=50;2x <152=hm7):;9;:31>">;008n=7?53;294~">?;0?nk5+45;9<53<,0926>l>d:J703=ii:>1=9hl;h661?6=3`>>:7>5;n:6=?6=3th8n9m51;194?6|,0=969li;%67=?>7=2.2?44l;8;397?6=8r.2;?4;bg9'01?=09?0(4=6:2`2`>N3:515df?l22=3:17d::6;29?j>213:17pl>72a95?5=83:p(49=:5`e?!23132996*6388223><@=>=7co<4;37bc=n<o4l181=75f3cg7>4<50;694?6|,0=969li;%67=?>3k2.2?445<5<5349i:=4;549~w6db<3:1>vP>:6s|3c12>5<5sW9i?<523c43>1303ty8n8h50;0xZ6d2n278n;>584;8yxd6;;<1=7:51;6x <152=?97E79c:lb71<6=980e4e492B?8;5aa26950643`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty:j>;50;0xZ4`4=279=ok54478yv5e880;6?uQ3c22?846jl0?9;5rs2ceb?6=:rT8mkh4=33aa?22?2wx>6<4;:087!?0:3>>>6F66b9me62=9<:?7d?i3582>>o4iol1=75f3c23>4<26:h9;%;0=?7d;81C8984n`17>437=2c?984?:I62a>=n<<<1<75f44594?=h0<31<75rs0d00?6=:rT:j>:4=33a3?22=2wx?lhi:181[5fno16>;59k=18894}r02f3<72;qU>1<62=q/5:<54408L<0d3gk887?:079j5c532800e>l?0;39?l5fno0:66a=1`795?=e:8k=6=4;:183!?0:3>ij6*;4884b2=#1:31=n=>;I672>hf;=0:9=94i576>5<5<l?0;296~X4j9:01??n6;662>{t;hlm6=4={_1bbc=::8k=69;8;|q15d3=838pR??n5:?15d0=0<30qpl>33395?2=93>p(49=:571?M?1k2dj?94>51:8m4`4<3;17d=nfg82>>o4j9:1=75`20;6>4<54;294~">?;0?nk5+45;93c1<,09261=8>6;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q2b62=838pR7}Y;hlm70<>978713=z{:h;<7>52z\0f56<5;;2:7::7:p64?22909wS<>949>64?121?27psm1203>4<3280?w)782;666>N>>j1em>:5142b?l7a;<0:66g5}#1>818oh4$56:>2`03-3857?l309K01064?72=?>7p}vP=19d8977>832>56srb012b?7=<3;18v*6738717=O1?i0bl=;:073g>o6n:>1=75f3`de>4<7;2.2?44>c238L1213gk887?:0e9j003=831b88850;9j001=831d48750;9~w4`4<3:1>vP>f268977?<3>>96s|3`de>5<5sW9jjk5220:7>1313ty8n=>50;0xZ6d78279=5:54458yv460:0;6?uQ20:0?8460=03945r}c305g<62=0:69u+9609004<@06<44i2ceb?7=3`9i<<4>::m1532=931i><8::187>5<7s-3<>7:mf:&70<<0n?1/5>751b12?M23>2dj?94>51d8m1322900e9;9:188m1302900c5;6:188yv7a;<0;6?uQ1g16?846><0?985rs2ceb?6=:rT8mkh4=3351?22>2wx?o>>:181[5e8816><8::574?xu59?>1<7;59??14874}|`26`?=93>1=7:t$851>1353A3=o6`n358214651;9l5c522800n??:e;290?6=8r.2;?4;bg9'01?=0990(4=6:0a05>N3:51432?l22=3:1D9?j;:k713<722c?9:4?::m;1<<722wx=k<;j:576?xu4ioo1<7;59?;<021`<3=>1v{zj89:m7?54;390~">?;0?9?5G97a8jd5328?:>6g>f2795?=n;hlm6<44i2`35?7=3f8:9l4>::`150d=83>1<7>t$851>1da3->?576?3:&:7<<6k:;0D9:9;oc00?729:1b88;50;9j000=831b88950;9l<0?=831v{t;hlm6=4={_1bbc=::8?i69;9;|q0f57=838pR>l?1:?150d=<<=0q~<>5`83>7}Y:8?j70<>5c8;1<=zuk;95h4>:582>1}#1>8188<4H84`?kg4<3;>=95ff0a95?=n;k:86<44i2cea?7=3fljo7?5;cdbf?6=<3:1g173A>?:6`n35821436=4G40g8?l22>3:17d::7;29?j>213:17p}i1b83>7}Yn8i01kom:576?xu4j991<7;aik0?9;5rs2cea?6=:rT8mkk4=gca>1303tymmn4?:3y]bde<5oki65;6;|a57b2280>6<4:{%;46?22:2B2:n5aa26950713`;jh?4>::k2ea5=931b=lj;:088m4gc=3;17b?nbc82>>d6ik31<7;50;2x <152=hm7):;9;:0a>">;00:mo<4H565?kg4<3;>=:5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{8ko>7>52z\2ea4<58ki57::5:p5db42909wS?nd29>5dd>2=?=7p}>ae694?4|V8ko863>ac;9001vP>ac`894ge132>56srb00`2?7==3;19v*6738717=O1?i0bl=;:072<>o61;l1=75f1813>4<6=4?{%;46?2en2.?84473d9'=6?=90:m7E:;6:lb71<6=830e9;::188m1312900e9;8:188m13?2900c5;6:188yv7>:o0;6?uQ180e?87>9?0?985rs0;04?6=:rT:5>>4=0;22?22>2wx=4=>:181[7>;816=4?9:574?xu61:81<7;618<18864}r3:5=<72;qU=4?7;<3:530<62>i68;91=75m1107>5<2290;w)782;6ab>"3<003?n5+92;9f26<@=>=7co<4;365g=n<vPi2g9>55432=?>7p}036900094;569~w6d783:1>vP>46s|1100>5<5sW;;>>521107>=3>3twi=?ol:086>4<2s-3<>7::2:J:2f=ii:>1=8?l;hd2f?7=3`9jjk4>::k0f57=931b?o>?:088k466j3;17o??1b83>0<729q/5:<54cd8 12>219h7)7<9;`44>N3:5143g?l22=3:1D9?j;:k713<722c?9:4?::k71=<722e3944?::pb4d=838pRk?m;<335f<3=<1v>oif;296~X4iol01<>>c;662>{t;k::6=4={_1a44=:99;h69;8;|q0f56=838pR>l?0:?244e=<<20q~??1c83>7}Y99;i70??1b8;1<=zuk;9mo4>:482>0}#1>8188<4H84`?kg4<3;>=h5ff3d95?=n;hlm6<44i2`35?7=3`9i<=4>::m2445=931i==?;:186>5<7s-3<>7:mf:&70<75b628L1213gk887?:1g9j003=831b88850;9j001=831b88650;9l<0?=831vk?:181[5e8916==?;:57;?xu68891<7;688>14874}|`26dg=93?1=7;t$851>1353A3=o6`n3582176::k0f56=931d==>m:088f467k3:197>50z&:37<3jo1/89758218 <5>2k=;7E:;6:lb71<6=;;0e9;::188m1312900e9;8:188m13?2900c5;6:188yv`6j3:1>vPi1c9>556d2=?>7p}01a9000vP>46s|112a>5<5sW;;=3>3twi=?o6:086>4<2s-3<>7::2:J:2f=ii:>1=8<=;hd1b?7=3`9jjk4>::k0f56=931b?o>>:088k467;3;17o??0583>0<729q/5:<54cd8 12>219h7)7<9;`44>N3:51400?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}i2g83>7}Yn;l01<>?4;661>{t;hlm6=4={_1bbc=:99:?69;9;|q0f56=838pR>l?0:?2452=<<=0q~=m0083>7}Y;k::70??05871==z{8:;?7>52z\2455<58:;876:9:~f44f03;197?55z&:37<3=;1C5;m4n`17>435<2cm=o4>::k0ec`=931b?o>?:088m6d793;17bhib;39?g`ak3:197>50z&:37<3jo1/897582a8 <5>2k=;7E:;6:lb71<6=;?0e9;::188m1312900e9;8:188m13?2900c5;6:188yv`6j3:1>vPi1c9>bce=<7}Y;hlm70hic;662>{t;k:;6=4={_1a45=:noi18894}r1a44<72;qU?o>>;435>2cmmn4>::k0ec`=931b?o>?:088m6d793;17bhi3;39?g`a<3:197>50z&:37<3jo1/89758238 <5>2k=;7E:;6:lb71<6=;=0e9;::188m1312900e9;8:188m13?2900c5;6:188yv`fk3:1>vPiab9>bc2=<7}Y;hlm70hi4;662>{t;k:;6=4={_1a45=:no>18894}r1a44<72;qU?o>>;3;197?55z&:37<3=;1C5;m4n`17>43502cmm?4>::k0ec`=931b?o>?:088m6d793;17bhjb;39?g`bk3:197>50z&:37<3jo1/89758238 <5>2k=;7E:;6:lb71<6=;30e9;::18K04c<3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tymm?4?:3y]bd4<5ooh69;:;|q0ec`=838pR>oif:?eaf<3=?1v>l?0;296~X4j9:01kkl:574?xu4j9;1<7;amj0?955rsgga>5<5sWlnn63ieb8;1<=zuk;9m84>:482>0}#1>8188<4H84`?kg4<3;>>l5ff`a95?=n;k:;6<44i2ceb?7=3`9i<<4>::mea6<622hmi94?:483>5}#1>818oh4$56:>=543-3857l80:J703=ii:>1=8>:7>5;h663?6=3`>>47>5;n:6=?6=3tymmn4?:3y]bde<5oo?69;:;|q0f56=838pR>l?0:?ea1<3=?1v>oif;296~X4iol01kk;:574?xu4j9;1<7;am=0?955rsgg0>5<5sWln?63ie58;1<=zuk;9m94>:482>0}#1>8188<4H84`?kg4<3;>>n5ff`095?=n;k:;6<44i2ceb?7=3`9i<<4>::me`g<622hmhn4?:483>5}#1>818oh4$56:>=543-3857l80:J703=ii:>1=8>:7>5;h663?6=3`>>47>5;n:6=?6=3tymm?4?:3y]bd4<5onh69;:;|q0f56=838pR>l?0:?e`f<3=?1v>oif;296~X4iol01kjl:574?xu4j9;1<7;alj0?955rsgfa>5<5sWlon63idb8;1<=zuk;9m>4>:482>0}#1>8188<4H84`?kg4<3;>>h5ff`a95?=n;hlm6<44i2`34?7=3`9i<<4>::me`6<622hmh94?:483>5}#1>818oh4$56:>=543-3857l80:J703=ii:>1=8>:7>5;h663?6=3`>>47>5;n:6=?6=3tymmn4?:3y]bde<5on?69;:;|q0ec`=838pR>oif:?e`1<3=?1v>l?0;296~X4j9:01kj;:574?xu4j9;1<7;al=0?955rsgf0>5<5sWlo?63id58;1<=zuk;9m?4>:482>0}#1>8188<4H84`?kg4<3;>?=5ff`095?=n;hlm6<44i2`34?7=3`9i<<4>::megg<622hmon4?:483>5}#1>818oh4$56:>=543-3857l80:J703=ii:>1=8=>;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tymm?4?:3y]bd4<5oih69;:;|q0ec`=838pR>oif:?egf<3=?1v>l?0;296~X4j9:01kml:574?xu4j9;1<7;akj0?955rsgaa>5<5sWlhn63icb8;1<=zuk;9m<4>:482>0}#1>8188<4H84`?kg4<3;>??5ff`a95?=n;hlm6<44i2`35?7=3`9i<=4>::meg6<622hmo94?:483>5}#1>818oh4$56:>=5d3-3857l80:J703=ii:>1=8=<;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tymmn4?:3y]bde<5oi?69;:;|q0ec`=838pR>oif:?eg1<3=?1v>l?1;296~X4j9;01km;:574?xu4j9:1<7;ak=0?955rsga0>5<5sWlh?63ic58;1<=zuk;9m=4>:482>0}#1>8188<4H84`?kg4<3;>?95ff`095?=n;hlm6<44i2`35?7=3`9i<=4>::mefg<622hmnn4?:483>5}#1>818oh4$56:>=5d3-3857l80:J703=ii:>1=8=:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tymm?4?:3y]bd4<5ohh69;:;|q0ec`=838pR>oif:?eff<3=?1v>l?1;296~X4j9;01kll:574?xu4j9:1<7;ajj0?955rsg`a>5<5sWlin63ibb8;1<=zuk;95k4>:482>0}#1>8188<4H84`?kg4<3;>?;5ff3d95?=n;k::6<44i2ceb?7=3`9i<=4>::mef6<622hmn94?:483>5}#1>818oh4$56:>=5d3-3857l80:J703=ii:>1=8=8;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym>k4?:3y]b7`<5oh?69;:;|q0f57=838pR>l?1:?ef1<3=?1v>oif;296~X4iol01kl;:574?xu4j9:1<7;aj=0?955rsg`0>5<5sWli?63ib58;1<=zuk;95i4>:482>0}#1>8188<4H84`?kg4<3;>?55ff`a95?=n;hlm6<44i2`34?7=3`9i<<4>::mee<<622hmml4?:483>5}#1>818oh4$56:>=5b3-3857l80:J703=ii:>1=8=6;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tymmn4?:3y]bde<5okj69;:;|q0ec`=838pR>oif:?eed<3=?1v>l?0;296~X4j9:01kon:574?xu4j9;1<7;aih0?955rsgc:>5<5sWlj563ia`8;1<=zuk;95o4>:482>0}#1>8188<4H84`?kg4<3;>?l5ff`095?=n;hlm6<44i2`34?7=3`9i<<4>::me=`<622hm5k4?:483>5}#1>818oh4$56:>=5b3-3857l80:J703=ii:>1=8=m;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tymm?4?:3y]bd4<5o3m69;:;|q0ec`=838pR>oif:?e=c<3=?1v>l?0;296~X4j9:01k7i:574?xu4j9;1<7;a1o0?955rsg;f>5<5sWl2i63i9g8;1<=zuk;9554>:482>0}#1>8188<4H84`?kg4<3;>?n5ff0`95?=n;k::6<44i2ceb?7=3`9i<=4>::me<3<622hm4:4?:483>5}#1>818oh4$56:>=5d3-3857l80:J703=ii:>1=8=k;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym=o4?:3y]b4d<5o2<69;:;|q0f57=838pR>l?1:?e<2<3=?1v>oif;296~X4iol01k68:574?xu4j9:1<7;a0>0?955rsg:5>5<5sWl3:63i868;1<=zuk;95>4>:482>0}#1>8188<4H84`?kg4<3;>?h5ff3d95?=n;hlm6<44i2`34?7=3`9i<<4>::me1`<622hm9k4?:483>5}#1>818oh4$56:>=5b3-3857l80:J703=ii:>1=8=i;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym>k4?:3y]b7`<5o?m69;:;|q0ec`=838pR>oif:?e1c<3=?1v>l?0;296~X4j9:01k;i:574?xu4j9;1<7;a=o0?955rsg7f>5<5sWl>i63i5g8;1<=zuk;94i4>:482>0}#1>8188<4H84`?kg4<3;>8=5ff3d95?=n;hlm6<44i2`34?7=3`9i<<4>::me0`<622hm8k4?:483>5}#1>818oh4$56:>=563-3857l80:J703=ii:>1=8:>;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym>k4?:3y]b7`<5o>m69;:;|q0ec`=838pR>oif:?e0c<3=?1v>l?0;296~X4j9:01k:i:574?xu4j9;1<7;a5<5sWl?i63i4g8;1<=zuk;94n4>:482>0}#1>8188<4H84`?kg4<3;>8?5ff0`95?=n;hlm6<44i2`34?7=3`9i<<4>::me03<622hm8:4?:483>5}#1>818oh4$56:>=563-3857l80:J703=ii:>1=8:<;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym=o4?:3y]b4d<5o><69;:;|q0ec`=838pR>oif:?e02<3=?1v>l?0;296~X4j9:01k:8:574?xu4j9;1<7;a<>0?955rsg65>5<5sWl?:63i468;1<=zuk;94o4>:482>0}#1>8188<4H84`?kg4<3;>895ff3d95?=n;k:;6<44i2ceb?7=3`9i<<4>::me7`<622hm?k4?:483>5}#1>818oh4$56:>=543-3857l80:J703=ii:>1=8::;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym>k4?:3y]b7`<5o9m69;:;|q0f56=838pR>l?0:?e7c<3=?1v>oif;296~X4iol01k=i:574?xu4j9;1<7;a;o0?955rsg1f>5<5sWl8i63i3g8;1<=zuk;94l4>:482>0}#1>8188<4H84`?kg4<3;>8;5ff0`95?=n;k:;6<44i2ceb?7=3`9i<<4>::me73<622hm?:4?:483>5}#1>818oh4$56:>=543-3857l80:J703=ii:>1=8:8;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym=o4?:3y]b4d<5o9<69;:;|q0f56=838pR>l?0:?e72<3=?1v>oif;296~X4iol01k=8:574?xu4j9;1<7;a;>0?955rsg15>5<5sWl8:63i368;1<=zuk;9454>:482>0}#1>8188<4H84`?kg4<3;>855ff3d95?=n;hlm6<44i2`34?7=3`9i<<4>::me6g<622hm>n4?:483>5}#1>818oh4$56:>=543-3857l80:J703=ii:>1=8:6;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym>k4?:3y]b7`<5o8h69;:;|q0ec`=838pR>oif:?e6f<3=?1v>l?0;296~X4j9:01k;a:j0?955rsg0a>5<5sWl9n63i2b8;1<=zuk;94:4>:482>0}#1>8188<4H84`?kg4<3;>8l5ff0`95?=n;hlm6<44i2`34?7=3`9i<<4>::me66<622hm>94?:483>5}#1>818oh4$56:>=543-3857l80:J703=ii:>1=8:m;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym=o4?:3y]b4d<5o8?69;:;|q0ec`=838pR>oif:?e61<3=?1v>l?0;296~X4j9:01k<;:574?xu4j9;1<7;a:=0?955rsg00>5<5sWl9?63i258;1<=zuk;94?4>:482>0}#1>8188<4H84`?kg4<3;>8n5ff0`95?=n;hlm6<44i2`34?7=3`9i<<4>::me55<622hm=<4?:483>5}#1>818oh4$56:>=5b3-3857l80:J703=ii:>1=8:k;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym=o4?:3y]b4d<5o;:69;:;|q0ec`=838pR>oif:?e54<3=?1v>l?0;296~X4j9:01k?>:574?xu4j9;1<7;a980?955rsg33>5<5sWl:<63i108;1<=zuk9i;<4>:483>5}#1>818oh4$56:>2?63-3857=m1e9K010=h0<31<75rb2`5g?7==3:16d6l2B?8;5aa269502a3`>>97>5;h662?6=3`>>;7>5;h66>{e9;2?6<4::086!?0:3>>>6F66b9me62=99;39?l42>80:66g4<ij6*;488;67=#1:31n:>4H565?kg4<3;>9<5f44794?N39l10e9;9:188m1302900e9;7:188k=3>2900q~h>9;296~Xa9016j<854478yv42>80;6?uQ2442?8`6>3>>:6s|3bae>5<5sW9hok52f049001212wvn<=ma;391?7==r.2;?4;539K=3elkn:088m7d7i3;17d>i5j931=75m2c2:>5<2290;w)782;6ab>"3<00?:6`n35821056=44i575>5<5<lk6;<0a4<<3=<1v?oja;296~X5ilk01?l?9;662>{t:k:j6=4={_0a4d=::k:269;8;|q1f72=838pR?l=4:?1f5?=<<20q~7}Y:k:270:482>0}#1>8188<4H84`?kg4<3;>995f2c2`>4<N3:51476?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=b1a94?4|V;h;o63=b1:9003;4?:3y]6g41348i<54;579~w7d7l3:1>vP=b1f897d703>>;6s|2c04>5<5sW8i>:522c2;>13?3ty9n=650;0xZ7d70279n=6584;8yxd6l=>1=7=50;2x <152=hm7):;9;:32>">;00:o>?4H565?kg4<3;>9;5f44794?=n<<<1<75`84;94?=zj8n?:7?53;294~">?;0?nk5+45;9<50<,09261=8;8;h661?6=3`>>:7>5;n:6=?6=3th:85?51;695?2|,0=969;=;I;5g>hf;=0:9864i7c`>4<>:7>5;h663?6=3f2>57>5;|q5ef<72;qU:lm4=241`?22=2wx??;4>;n18894}r156a<72;qU?;0<62oke;39?l548k0:66g<37195?=h;?8h6<44b241g?6==3:17c702B?8;5aa269503e3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q01f6=838pR>;l0:?027e=<7}Y;hnn70=92b8713=z{:9;n7>52z\075d<5:<9o7::7:p76042909wS=<629>734d2=?37p}<63a94?4|V:<9o63<63a9<0?9<6;397?6=8r.2;?4;bg9'01?=0;?0(4=6:3g3<>N3:5147g?l22=3:17d::6;29?j>213:17pl>41795?2=93>p(49=:571?M?1k2dj?94>54g8m7c2k3;17d=<4g82>>o4;=o1=75`2d7f>4<j7>54;294~">?;0?nk5+45;93c0<,0926?k?8:J703=ii:>1=8;i;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q1a0e=838pR?k:c:?1a0`=<7}Y;:>m7052z\071c<5;o>j7::7:p6`3b2909wS6`3a21?27psm1527>4<2280>w)782;666>N>>j1em>:51443?l54i6<44i217e?7=3f8n9n4>::`1a0b=83?1<7>t$851>1da3->?579i0:&:7<<5m920D9:9;oc00?72>81b88;50;9j000=831b88950;9j00>=831d48750;9~w653l3:1>vP<35f897c2l3>>96s|326`>5<5sW988n522d7g>1313ty8?9l50;0xZ653j279i8j54458yv54212wvn<:64;391?7==r.2;?4;539K=3e>i4?=i1=75m366`>5<2290;w)782;6ab>"3<003?h5+92;96`6?3A>?:6`n35821356=44i575>5<5<<=d;296~X4:;n01>9;c;662>{t;;8:6=4={_1164=:;>>h69;8;|q061e=838pR><;c:?031e=<<20q~=84b83>7}Y;>>h70=84b8;1<=zuk98ml4>:483>5}#1>818oh4$56:>2>?3-3857:li:080>5<7s-3<>7:mf:&70<75264e?M23>2dj?94>5778m1322900e9;9:188k=3>2900qo<8be82>6<729q/5:<54cd8 12>21:=7)7<9;042c=O<=<0bl=;:0752>o3=<0;66g;5783>>i?=00;66sm26`a>4<4290;w)782;6ab>"3<003<;5+92;9620a3A>?:6`n35821316=44i575>5<265;<;%;0=?7d;81C8984n`17>43102c?984?::k713<722e3944?::a65b428086=4?{%;46?2en2.?8447079'=6?=9j9:7E:;6:lb71<6=?30e9;::188m1312900c5;6:188yg47l10:6>4?:1y'=24=>o3=?0;66a75883>>{e9:;;6<49:385!?0:3>>>6F66b9Y005=9r2no7saa269500e3`8:ml4>::k14f5=931b>=m?:088m4`413;17b>i11k0:66l=0e594?5=83:p(49=:5`e?!23132>>6*63882g67<@=>=7co<4;362f=n<4e492B?8;5aa269500c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q15dg=839pR??na:?14a1=<kd;663>{t:9i86=4<{_03g6=::9n<69;9;<03`a<3=11v?>l0;296~X58j:01?>kd;661>{t9o926=4={_3e7<=::9no69;9;|q14fd=838pR?>lb:?14a1=0<30q~86b;296~X11k16>=jk:97:?x{e:9io6<4::183!?0:3>ij6*;4884fc=#1:31=n=>;I672>hf;=0:9;k4i576>5<5<213:17pl=0e395?3=83:p(49=:5`e?!2313=>=6*63882g67<@=>=7co<4;362c=n<>o3=?0;66g;5683>>o3=10;66a75883>>{e9:3=6<4;:087!?0:3>>>6F66b9me62=9<=:7d<9fd82>>o4ioo1=75f3`de>4<265><;%;0=?42lj1C8984n`17>430:2c?984?::k713<722c?9:4?::m;1<<722wx>;hj:181[41nl16>57l:576?xu4ioo1<7;500i18884}r1bbc<72;qU?lhi;<0;=f<3=>1v?66b;296~X500h01?66c;:6=>{zj89287?54;390~">?;0?9?5G97a8jd5328?::`1<1<7>t$851>1da3->?579i7:&:7<<5=mi0D9:9;oc00?72?=1b88;50;9j000=831b88950;9l<0?=831v?8ie;296~X5>oo01?66a;661>{t;hln6=4={_1bb`=::13j69;9;|q0ec`=838pR>oif:?1<7}Y:13=70<79`8;1<=zuk;85<4>:582>1}#1>8188<4H84`?kg4<3;>;85f27dg>4<<0e9;::18K04c<3`>>:7>5;h663?6=3f2>57>5;|q12cb=838pR?8id:?1<17=<7}Y;hln70<7408713=z{:kmj7>52z\0ec`<5;2?=7::7:p6=262909wS<7409>6=2621?27psm3b:3>4<2290;w)782;6ab>"3<00<995+92;97dc13A>?:6`n35821216=44i575>5<5<26:;;;%;0=?5fm?1C8984n`17>43002c?984?::k713<722c?9:4?::k71=<722e3944?::a7f1d280>6=4?{%;46?2en2.?8448559'=6?=;ho=7E:;6:lb71<6=>30e9;::188m1312900e9;8:188m13?2900c5;6:188yg5d?h0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;j=96<4::183!?0:3>ij6*;488411=#1:31?lk9;I672>hf;=0:9:l4i576>5<5<5<:483>5}#1>818oh4$56:>2333-3857=ne79K0105<7s-3<>7:mf:&70<75b628L1213gk887?:7e9j003=831b88850;9l<0?=831vn>=89;391?6=8r.2;?4;bg9'01?=?lk0(4=6:3g3<>N3:5145f?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<02095?3=83:p(49=:5`e?!2313=356*63881a5><@=>=7co<4;363c=n<0:6>4?:1y'=24=hn5G4548jd5328?3<6g;5483>>o3=?0;66a75883>>{e:?hj6<4;:183!?0:3>ij6*;4884f0=#1:31>8jl;I672>hf;=0:95?4i576>5<5<26:l:;%;0=?42lj1C8984n`17>43?:2c?984?::k713<722c?9:4?::m;1<<722wi>;l9:087>5<7s-3<>7:mf:&70<<0j<1/5>7524f`?M23>2dj?94>5918m1322900e9;9:188m1302900c5;6:188yg41j=0:694?:1y'=24=hn5G4548jd5328?386g;5483>>o3=?0;66g;5683>>i?=00;66sm27`1>4<3290;w)782;6ab>"3<00<5>5+92;960bd3A>?:6`n35821=36=44i575>5<5<:583>5}#1>818oh4$56:>2?43-3857<:db9K0102900qo<9ab82>1<729q/5:<54cd8 12>2>387)7<9;06`f=O<=<0bl=;:07;<>o3=<0;66g;5783>>o3=>0;66a75883>>{e:?kj6<4;:183!?0:3>ij6*;4884f0=#1:31>8jl;I672>hf;=0:9574i576>5<5<26:l:;%;0=?42lj1C8984n`17>43?i2c?984?::k713<722c?9:4?::m;1<<722wi>;o9:087>5<7s-3<>7:mf:&70<<0j<1/5>7524f`?M23>2dj?94>59`8m1322900e9;9:188m1302900c5;6:188yg41i=0:694?:1y'=24=hn5G4548jd5328?3o6g;5483>>o3=?0;66g;5683>>i?=00;66sm27c1>4<3290;w)782;6ab>"3<00?:6`n35821=b6=44i575>5<5<:583>5}#1>818oh4$56:>2d23-3857<:db9K0102900qo<99e82>1<729q/5:<54cd8 12>2>387)7<9;06`f=O<=<0bl=;:07:4>o3=<0;66g;5783>>o3=>0;66a75883>>{e9>>6F66b9me62=9<3:7d=m1g82>>o4k9l1=75f3cg`>4<l>f;296~X4j8l01>l68;661>{t;j:m6=4={_1`4c=:;k3369;9;|q0f`e=838pR>ljc:?0f<>=<<=0q~=m3683>7}Y;k9<70=m99871==z{:h2:7>52z\0f<0<5:h2476:9:~f45>:3;197?55z&:37<3=;1C5;m4n`17>43>;2c9:kl51;9j63`c2800e>oie;39?l5fno0:66a=85695?=e:1>>6=4::183!?0:3>ij6*;4884b1=#1:31>8jl;I672>hf;=0:94:4i576>5<5<5<vP=6gf897>3=3>>:6s|3`df>5<5sW9jjh522966>1303ty8mkh50;0xZ6gan27949;544:8yv4?<=0;6?uQ2967?84?<<03945r}c37<`<62=0:69u+9609004<@0::m0314=931i?::=:187>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>5848m1322900e9;9:188m1302900c5;6:188yv54=o0;6?uQ327e?850<;0?985rs216a?6=:rT8?8k4=2576?22>2wx?>;l:181[54=j16?::=:574?xu4?=81<7;4?=814874}|`20=`=93?1=7;t$851>1353A3=o6`n35821<157?5;h101d<622c8?8=51;9j76332800c>9;6;39?g50>o3=?0;66g;5683>>o3=10;66a75883>>{t;:?26=4={_101<=:;>>=69;:;|q070g=838pR>=:a:?0310=<<<0q~=<5283>7}Y;:?870=8478712=z{:9>87>52z\0702<5:=?:7::8:p72212909wS=8479>722121?27psm15;3>4<2280>w)782;666>N>>j1em>:514;:?l54=<0:66g<34495?=n;:?<6<44i216::`0311=83?1<7>t$851>1da3->?576=831d48750;9~w652=3:1>vP<34789613?3>>96s|3275>5<5sW989;523664>1313ty8?8950;0xZ652?278;9954458yv54=10;6?uQ327;?850<>0?955rs2573?6=:rT8;994=2573?>212wvn<:61;391?7==r.2;?4;539K=3e;=:088m652j3;17d=<5e82>>i4?=21=75m366;>5<2290;w)782;6ab>"3<003?h5+92;96`6?3A>?:6`n358216=44i575>5<5<;>;<140=<3=<1v>=:2;296~X4;<801>9;8;662>{t;:?i6=4={_101g=:;>>369;8;|q070b=838pR>=:d:?031>=<<20q~=84983>7}Y;>>370=8498;1<=zuk;:;>4>:582>1}#1>8188<4H84`?kg4<3;>5i5f335`>4<>54>::`0140=83>1<7>t$851>1da3->?579i7:&:7<<4;jn0D9:9;oc00?721l1b88;50;9j000=831b88950;9l<0?=831v><8c;296~X4:>i01>;>6;661>{t;;2j6=4={_11<;c:?0140=<<=0q~;=8;296~X2:116?8?9:97:?x{e9:h;6<4m:48g!?0:3>>>6F66b9Y005=9r2no7saa26950?a3`9i<94>::k0ga2=931b?nmi:088m7>fj3;17d=m0282>>o4j9;1=75f3c23>4<7?5;n0b14<622e9m9h51;9l6N3:514c3?l22=3:17d::6;29?j>213:17pl=a4294?5=83:p(49=:5`e?!23132;96*638811a><@=>=7co<4;36e4=n<4$81:>7>fm2B?8;5aa26950g53`>>97>5;h662?6=3`>>;7>5H53f?>i?=00;66sm2`1f>5<3290;w)782;6ab>"3<003=55G4548jd5328?j?6g;5483>>o3=?0;66g;5683>>i?=00;66sm2`63>5<2290;w)782;6ab>"3<003>?5G4548jd5328?j86g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{t;k:?6=4;{_1a41=::h?969;:;<0b15<3=<16>l:?:575?xu4km>1<7=t^2ag0>;5i<818884=3c74?2202wx?nmi:180[5dko16>l;?:575?84f<90?9:5rs3:bf?6=:rT94ll4=3c0g?22?2wx?o><:181[5e8:16>l=j:576?xu4j9;1<7;5i:o18884}r1a45<72;qU?o>?;<0b7`<3=>1v>l?2;296~X4j9801?o;0;661>{t:h?:6=4={_0b14=::h?965;6;|q1e1`=838pR?o;f:?1e06=0<30q~<69d83>7}Y:03n7052z?1e6e=<{t:h>;6=4={<0b7f<3=?16>l:?:97:?x{e98=>6<4;:087!?0:3>>>6F66b9me62=97d==4b82>>o4:1k1=75f335`>4<84$81:>65dl2B?8;5aa26950g13`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8>9m50;0xZ643k2789==54478yv550h0;6?uQ33:b?8528:0?9;5rs204g?6=:rT8>:m4=2737?22?2wx9?m50;0xZ04d349><>47589~yg56180:684?:1y'=24=>o3=?0;66g;5683>M26m21b88650;9l<0?=831vn>?7f;391?6=8r.2;?4;bg9'01?=?0;0(4=6:3g3<>N3:514c;?l22=3:17d::6;29?l22?3:17d::8;29L17b32e3944?::a74>c280>6=4?{%;46?2en2.?8448909'=6?=:l:37E:;6:lb71<6=h30e9;::188m1312900e9;8:188m13?2900c5;6:188yg560k0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;8226<4::183!?0:3>ij6*;4884=4=#1:31>h>7;I672>hf;=0:9ll4i576>5<5<5<:483>5}#1>818oh4$56:>2?63-38575<7s-3<>7:mf:&70<<0181/5>752d2;?M23>2dj?94>5`f8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=>8282>0<729q/5:<54cd8 12>2>3:7)7<9;0f4==O<=<0bl=;:07ba>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm30:2>4<2290;w)782;6ab>"3<00<5<5+92;96`6?3A>?:6`n35821d`6=44i575>5<5<26:7>;%;0=?4b811C8984n`17>43e82c?984?::k713<722c?9:4?::k71=<722e3944?::a741c280>6=4?{%;46?2en2.?8448909'=6?=:l:37E:;6:lb71<6=k;0e9;::188m1312900e9;8:188m13?2900c5;6:188yg56?k0:684?:1y'=24=6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e;8=26<4::183!?0:3>ij6*;4884=4=#1:31>h>7;I672>hf;=0:9o=4i576>5<5<5<4>:483>5}#1>818oh4$56:>2?63-38575<7s-3<>7:mf:&70<<0?k1/5>752d2;?M23>2dj?94>5c78m1322900e9;9:188m1302900e9;7:188k=3>2900qo?:5g82>0<62>i4j0l1=75m3c;e>5<2290;w)782;6ab>"3<00?:6`n35821g16=44i575>5<5<278n4h54448yv5d9;0;6?uQ3b31?85e1o0?9:5rs2a27?6=:rT8o<=4=2`:b?2202wx?o7i:181[5e1o16?o7i:97:?x{e9<<;6<4::086!?0:3>>>6F66b9me62=9>o4j;k1=75f3cd2>4<5<5<l=a;296~X4j;k01>ln1;662>{t;kl:6=4={_1ab4=:;kk:69;8;|q0f6e=838pR>l7}Y;kk:70=ma08;1<=zuk;:?l4>:483>5}#1>818oh4$56:>2ce3-3857l80:J703=ii:>1=8ln;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:=9<51;794?6|,0=969li;%67=?13i2.2?44m719K0105<7s-3<>7:mf:&70<<0l?1/5>75b628L1213gk887?:bb9j003=831b88850;9j001=831b88650;9l<0?=831vn2dj?94>5cf8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?>3c82>0<729q/5:<54cd8 12>2>>j7)7<9;`44>N3:514`f?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>15295?3=83:p(49=:5`e?!2313=nn6*6388a35=O<=<0bl=;:07ab>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1062>4<2290;w)782;6ab>"3<00<8l5+92;9f26<@=>=7co<4;36g5=n<g173A>?:6`n35821f76=44i575>5<5<26::n;%;0=?d082B?8;5aa26950e53`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2506=93?1<7>t$851>1da3->?579k8:&:7<43d;2c?984?::k713<722c?9:4?::k71=<722e3944?::a5610280?6<4;{%;46?22:2B2:n5aa26950e33`?8<7?5;h1bbd<622c8mkj51;9l60022800n>mj6;290?6=8r.2;?4;bg9'01?=?o=0(4=6:2cf2>N3:514a6?l22=3:1D9?j;:k713<722c?9:4?::m;1<<722wx9>>50;0xZ057349hi;4;549~w6gai3:1>vP3>>:6s|3`dg>5<5sW9jji523bg5>1303ty99;;50;0xZ731=278oh8584;8yxd6;>?1=7;51;7x <152=?97E79c:lb71<6=j<0e8mj:088m6gai3;17d=l2g82>>o4ioi1=75`2440>4<55;294~">?;0?nk5+45;9<67<,0926>oj6:J703=ii:>1=8m8;h661?6=@=;n76g;5783>>o3=>0;66g;5983>>i?=00;66s|5bg94?4|V52z\0ecg<5:in87::6:p7f4a2909wS=l2g9>7fc32=?<7p}:>4?:3y]6004349hi947589~yg4>1m0:6>4?:1y'=24=>o3=?0;66a75883>>{e:hkn6<4<:183!?0:3>ij6*;488;40=#1:31>8j7;I672>hf;=0:9n74i576>5<5<:483>5}#1>818oh4$56:>22f3-3857<:d99K010ll?:086>5<7s-3<>7:mf:&70<<0l11/5>7524f;?M23>2dj?94>5b`8m1322900e9;9:188m1302900e9;7:188k=3>2900qo6<729q/5:<54cd8 12>21:>7)7<9;06`==O<=<0bl=;:07`g>o3=<0;66g;5783>>i?=00;66sm2`c;>4<2290;w)782;6ab>"3<00<8l5+92;960b?3A>?:6`n35821fb6=44i575>5<5<26:j7;%;0=?42l11C8984n`17>43dm2c?984?::k713<722c?9:4?::k71=<722e3944?::a6dg328086=4?{%;46?2en2.?8447049'=6?=:h55G4548jd5328?o<6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e:hk=6<4::183!?0:3>ij6*;4884`==#1:31>8j7;I672>hf;=0:9i?4i576>5<5<5<:283>5}#1>818oh4$56:>=623-3857<:d99K010lo=:086>5<7s-3<>7:mf:&70<<0

    7524f;?M23>2dj?94>5e18m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>2>n37)7<9;06`==O<=<0bl=;:07g0>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2`;f>4<4290;w)782;6ab>"3<003<85+92;960b?3A>?:6`n35821a36=44i575>5<26::n;%;0=?42l11C8984n`17>43c>2c?984?::k713<722c?9:4?::k71=<722e3944?::a6dg7280>6=4?{%;46?2en2.?8448d99'=6?=:4?:1y'=24=h55G4548jd5328?o46g;5483>>o3=?0;66a75883>>{e:h3o6<4::183!?0:3>ij6*;4884`==#1:31>8j7;I672>hf;=0:9i74i576>5<5213:17pl=a8095?5=83:p(49=:5`e?!23132;96*638811a><@=>=7co<4;36`d=n<73c02B?8;5aa26950be3`>>97>5;h662?6=3`>>;7>5H53f?>o3=10;66a75883>>{e:h=i6<4<:183!?0:3>ij6*;488;40=#1:31>8j7;I672>hf;=0:9im4i576>5<5<:483>5}#1>818oh4$56:>2b?3-3857<:d99K010:i65f44:94?=h0<31<75rb3c673c02B?8;5aa26950bb3`>>97>5;h662?6=3f2>57>5;|`1e0?=93?1<7>t$851>1da3->?579;a:&:7<<5=m20D9:9;oc00?72lo1b88;50;9j000=831b88950;9j00>=831d48750;9~f7g2i3;197>50z&:37<3jo1/89757e:8 <5>2;?o46F;479me62=93:17d::7;29?l2203:17b6:9;29?xd5i<91=7=50;2x <152=hm7):;9;:31>">;0099i64H565?kg4<3;>i<5f44794?=n<<<1<75`84;94?=zj;k>;7?55;294~">?;0?nk5+45;93a><,0926?;k8:J703=ii:>1=8k=;h661?6=3`>>:7>5;h663?6=@=;n76g;5983>>i?=00;66sm3b4a>4<2290;w)782;6ab>"3<00?:6`n35821`56=44i575>5<5<26:km;%;0=?5fm?1C8984n`17>43b<2c?984?::k713<722c?9:4?::k71=<722e3944?::a6dgf280?6=4?{%;46?2en2.?8448cb9'=6?=:2900qo1<729q/5:<54cd8 12>2>ih7)7<9;06`==O<=<0bl=;:07f2>o3=<0;66g;5783>>o3=>0;66a75883>>{e:h<<6<4;:183!?0:3>ij6*;4884gf=#1:31>8j7;I672>hf;=0:9h94i576>5<5<26:ml;%;0=?42l11C8984n`17>43b02c?984?::k713<722c?9:4?::m;1<<722wi>l;i:087>5<7s-3<>7:mf:&70<<0kj1/5>7524f;?M23>2dj?94>5d;8m1322900e9;9:188m1302900c5;6:188yg4f=k0:694?:1y'=24=h55G4548jd5328?nm6g;5483>>o3=?0;66g;5683>>i?=00;66sm237g>4<3290;w)782;6ab>"3<00?:6`n35821`d6=44i575>5<5<:583>5}#1>818oh4$56:>2ed3-3857?l309K010::k0f56=931b?o>>:088k743k3;17o<=5c83>1<729q/5:<54cd8 12>21>:7)7<9;3`74=O<=<0bl=;:07fa>o3=<0;66g;5783>>o3=>0;66a75883>>{t;hln6=4={_1bb`=::;?i69;:;|q0f56=838pR>l?0:?160d=<<<0q~=m0083>7}Y;k::70<=5c8712=z{;8?o7>52z\161e<5;8>n76:9:~f742k3;197>50z&:37<3jo1/89757838 <5>28i8=6F;479me62=93:17d::7;29L17b32c?954?::m;1<<722wi>?;::087>5<7s-3<>7:mf:&70<<0kj1/5>751b12?M23>2dj?94>5g28m1322900e9;9:188m1302900c5;6:188yg45=:0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm2377>4<2290;w)782;6ab>"3<00<5<5+92;95f563A>?:6`n35821c46=44i575>5<5<26:ml;%;0=?7d;81C8984n`17>43a;2c?984?::k713<722c?9:4?::m;1<<722wi>?:6:087>5<7s-3<>7:mf:&70<<0kj1/5>751b12?M23>2dj?94>5g68m1322900e9;9:188m1302900c5;6:188yg45>o3=?0;66g;5683>>o3=10;66a75883>>{e9=8i6<49:384!?0:3>>>6F66b9me62=94<:7?5;c121d<72=0;6=u+96090g`<,=>265?=;%;0=?4b811C8984n`17>43a?2c?984?::k713<72A>:i65f44594?=h0<31<75rb236f?6=<3:1j55f44794?=n<<<1<75f44594?=h0<31<75rs46e>5<5sW??j63<14c9000vP<14589672j3>>96s|3223>5<5sW98<=52307a>1313ty>:54?:3y]13><5:;>n7::7:p74312909wS=>579>743f21?27p}<14`94?4|5:;>m7::5:?050d=0<30qpl>43:95?0=:3=p(49=:571?M?1k2dj?94>5g;8m02a2800e>>:9;39?l564<<7>54;294~">?;0?nk5+45;9<44<,0926?k?8:J703=ii:>1=8hn;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`0507=83>1<7>t$851>1da3->?576>2:J703=ii:>1=8hm;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q60c<72;qU99h4=2364?22>2wx?=;6:181[57=016?<;?:574?xu49=h1<7;49<;188;4}r11bc<72;qU??hi;<1214<3=?1v887:181[310278=8?54458yv56544789672932>56srb0611?7=>381;v*6738717=O1?i0bl=;:07eg>o26:2.2?44=e1:8L1213gk887?:fe9j003=831b88850;9j001=831d48750;9~f673=3:187>50z&:37<3jo1/89758008L1213gk887?:fd9j003=831b88850;9j001=831d48750;9~w02a2909wS;;f:?0512=<<<0q~=?5983>7}Y;9?370=>458712=z{:;8j7>52z\056`<5:;?97::5:p77`b2909wS==fd9>74222=?=7p}:6983>7}Y=?201>?;5;663>{t;89n6=4={_127`=:;8>?65;6;|q0513=838p1>?;4;661>;49=?14874}|`2074=93<1>79t$851>1353A3=o6`n35821c`m6<44i2263?7=3`9:?>4>::k06cb=931b9;651;9l74572800n>?<8;290?6=8r.2;?4;bg9'01?=0880(4=6:3g3<>N3:51723?l22=3:17d::6;29?l22?3:17b6:9;29?xd49:31<7:50;2x <152=hm7):;9;:26>N3:51722?l22=3:17d::6;29?l22?3:17b6:9;29?xu2>:6s|3174>5<5sW9;9:52301;>1303ty8=>=50;0xZ674;278=>754478yv55nm0;6?uQ33dg?856;00?9;5rs44;>5<5sW?=463<12;9001v3<12:9003<5:;8576:9:~f675i3;187>50z&:37<3jo1/89757ga8 <5>2;o;46F;479me62=9?:97d::5;29?l22>3:17d::7;29?j>213:17pl>40d95?0=:3=p(49=:571?M?1k2dj?94>6118m02a2800e>>:6;39?l56:10:66g<2ga95?=n=?21=75`3002>4<54;294~">?;0?nk5+45;9<44<,0926?k?8:J703=ii:>1=;>;;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`057`=83>1<7>t$851>1da3->?576>2:J703=ii:>1=;>:;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q60c<72;qU99h4=231a?22>2wx?=;9:181[57=?16?<;49;l188;4}r11bf<72;qU??hl;<126c<3=?1v887:181[310278=?h54458yv56:80;6?uQ3002?856:l03945rs231b?6=:r78=?k544789675n32>56srb2a2=?7=;3:16d6l2B?8;5aa26953613`>>97>5;h662?6=3f2>57>5;|`211>=93?1=7;t$851>1353A3=o6`n358225151;9j7g`22800c>l=1;39?g5ei>0;684?:1y'=24=M26m21b88850;9j001=831b88650;9l<0?=831v>l=5;296~X4j;?01>ln7;661>{t;j;>6=4={_1`50=:;kk<69;9;|q0f16=838pR>l;0:?0fd1=<<=0q~=mf483>7}Y;kl>70=ma6871==z{:h9=7>52z\0f77<5:hj;76:9:~f432m3;197?55z&:37<3=;1C5;m4n`17>40712c8n?:51;9j7f762800e>m>0;39?l5d9;0:66aij6*;4884bc=#1:31?o?k;I672>hf;=0::=o4i576>5<5<5<94?:3y]7g43349i5h4;549~w6e693:1>vPm3>>:6s|3b33>5<5sW9h==523c;f>1303ty8o<<50;0xZ6e6:278n4k544:8yv5e1m0;6?uQ3c;g?85e1l03945r}c3603<62<0:68u+9609004<@0::k0f`g=931d?o?i:088f6d>?3:197>50z&:37<3jo1/89758138 <5>2:h:h6F;479me62=9?:h7d::5;29L17b32c?9;4?::k712<722c?954?::m;1<<722wx?o?j:181[5e9l16?o78:576?xu4k821<7;4j0=18884}r1a70<72;qU?o=:;<1a=2<3=>1v>lja;296~X4jlk01>l67;66<>{t;k;m6=4={_1a5c=:;k3<65;6;|a5663280>6<4:{%;46?22:2B2:n5aa269536c3`8::l4>::k153d=931b><8l:088m771l3;17b>d58181<7;50;2x <152=hm7):;9;:0a>">;00:o>?4H565?kg4<3;=52z\153g<5;:3>7::5:p640e2909wS<>6c9>65>52=?=7p}=17a94?4|V;;=o63=0909001vP=0908976?:32>56srb0131?7==3;19v*6738717=O1?i0bl=;:043b>o59?l1=75f2053>4<4290>6=4?{%;46?2en2.?84473d9'=6?=9j9:7E:;6:lb71<6>8:0e9;::188m1312900e9;8:188m13?2900c5;6:188yv46>o0;6?uQ204e?8470:0?985rs3344?6=:rT9=:>4=32;7?22>2wx><9>:181[46?816>=6<:574?xu59>81<7;581918864}r03<6<72;qU>=6<;<03<60<62k4$81:>4e492B?8;5aa26953753`>>97>5;h662?6=3`>>;7>5;h6657>5;|q1525=838pR??83:?14=2=<7583>7}Y:8=?7052z\1523<5;:387::7:p64112909wS<>779>65>32=?37p}=09694?4|V;:3863=0969<0?hf;=0::<=4i32;5?7=3`8;4?4>::k14=5=931b>=6;:088k760m3;17o0<729q/5:<54cd8 12>2>l;7)7<9;3`74=O<=<0bl=;:0420>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|21:2>5<5sW8;4<5221:6>1323ty9<5<50;0xZ76?:279<5;54448yv470:0;6?uQ21:0?8470<0?9:5rs32;0?6=:rT9<5:4=32;1?2202wx>=9j:181[47?l16>=6::97:?x{e9===6<4::086!?0:3>>>6F66b9me62=9?;>7d;=8;39?l3303;17d==4b82>>o4:131=75`3413>4<55;294~">?;0?nk5+45;930e<,0926>=ld:J703=ii:>1=;?9;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty>>54?:3y]17><5:?8=7::5:p11>=838pR8:7;<1674<3=?1v><;c;296~X4:=i01>;<1;663>{t;;226=4={_11<<=:;<9:69;7;|q0166=838pR>;<0:?0167=0<30qpl>46795?3=93?p(49=:571?M?1k2dj?94>6058m04?2800e8:6:088m643k3;17d==8982>>i4=;o1=75m340e>5<2290;w)782;6ab>"3<00<9n5+92;976ec3A>?:6`n358224>6=44i575>5<5<>552340e>1323ty>844?:3y]11?<5:?9j7::6:p772d2909wS==4b9>704a2=?<7p}<29:94?4|V:83463<53d900>>h4?:3y]704b349>>k47589~yg73?:0:684>:4y'=24=<<80D48l;oc00?71901b9?651;9j11g=931b??:l:088m64?>3;17b=:2982>>d4=;31<7;50;2x <152=hm7):;9;56g>">;008?nj4H565?kg4<3;==l5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{<836=4={_71<>;4=;3188;4}r77e?6=:rT>8l52340:>1313ty8>9m50;0xZ643k2789?754458yv550?0;6?uQ33:5?852:00?955rs271212wvn<:82;391?7==r.2;?4;539K=3eb:k66=<622c>8n4>::k061e=931b??6::088k635>3;17o=:2683>0<729q/5:<54cd8 12>2>?h7)7<9;10ga=O<=<0bl=;:042g>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|53:94?4|V<8370=:268710=z{<>h6=4={_77g>;4=;=18884}r110f<72;qU??:l;<1662<3=>1v><75;296~X4:1?01>;=7;66<>{t;<8=6=4={_1663=:;<8<65;6;|a5116280>6<4:{%;46?22:2B2:n5aa269537c3`?9o7?5;h77::k06=2=931d?8<;:088f635=3:197>50z&:37<3jo1/897574a8 <5>2:9hh6F;479me62=9?;n7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu2:j0;6?uQ53a89635=3>>96s|55:94?4|V<>370=:248713=z{:8?o7>52z\061e<5:?997::7:p77>32909wS==859>70422=?37p}<53694?4|V:?9863<5379<0?51;795?3|,0=969;=;I;5g>hf;=0::4<26<44i207g?7=3`994>4>::m0174=931i?8<<:186>5<7s-3<>7:mf:&70<<0=j1/5>7532ag?M23>2dj?94>6328m1322900e9;9:188m1302900e9;7:188k=3>2900q~;=c;296~X2:j16?8<<:576?xu2<00;6?uQ55;89635;3>>:6s|336`>5<5sW998n523400>1303ty8>5=50;0xZ64?;2789?=544:8yv52:;0;6?uQ3401?852::03945r}c372c<62<0:68u+9609004<@0h6<44i20;6?7=3f9>>=4>::`0177=83?1<7>t$851>1da3->?579:c:&:7<<4;jn0D9:9;oc00?71:;1b88;50;9j000=831b88950;9j00>=831d48750;9~w04d2909wS;=c:?0177=<:575?xu4:=i1<7;4=;;18894}r11<7<72;qU??6=;<1664<3=11v>;=0;296~X4=;:01>;=1;:6=>{zj8>=i7?55;391~">?;0?9?5G97a8jd5328<9?6g:2b82>>o2=k4?:483>5}#1>818oh4$56:>23d3-3857==k4;549~w02d2909wS;;c:?014`=<<<0q~==4b83>7}Y;;>h70=:1g8712=z{:83=7>52z\06=7<5:?:j7::8:p707b2909wS=:1d9>707a21?27psm154g>4<2280>w)782;666>N>>j1em>:51706?l3503;17d;;e;39?l5565dl2B?8;5aa26953413`>>97>5;h662?6=@=;n76g;5683>>o3=10;66a75883>>{t=;21<75<5sW??i63<50f9000=i4;569~w64?83:1>vP<29289636l3>>46s|343`>5<5sW9>=n52343g>=3>3twi=98l:086>4<2s-3<>7::2:J:2f=ii:>1=;<8;h71>7?5;h110f<622c8>:h51;9l707f2800n>;>b;291?6=8r.2;?4;bg9'01?=?N3:5170;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}:2983>7}Y=;201>;>b;661>{t=<81<79m4=272f?22?2wx??9i:181[55?o16?8?m:57;?xu4=8k1<7;4=8h14874}|`203d=93?1=7;t$851>1353A3=o6`n358227?4<290>6=4?{%;46?2en2.?84485b9'=6?=;:io7E:;6:lb71<6>;k0e9;::188m1312900e9;8:188m13?2900c5;6:188yv3503:1>vP:299>707>2=?>7p}:5283>7}Y=<901>;>9;662>{t;;>h6=4={_110f=:;<;269;8;|q062c=838pR><8e:?014?=<<20q~=:1983>7}Y;<;370=:188;1<=zuk;?:44>:482>0}#1>8188<4H84`?kg4<3;=>o5f53a95?=n==o1=75f336`>4<vP:4d9>70752=?=7p}<25a94?4|V:8?o63<5009001=?4;599~w637n3:1>vP<51d89636:32>56srb065o2:j0:66g:5382>>o4:=i1=75f335b>4<26:;l;%;0=?54km1C8984n`17>405m2c?984?::k713<722c?9:4?::k71=<722e3944?::p17e=838pR8:o4=273a?2202wx?8>m:181[528k16?8>j:97:?x{e9=<<6<4::086!?0:3>>>6F66b9me62=9?8m7d;=c;39?l32;3;17d==4b82>>o4:>31=75`3424>4<55;294~">?;0?nk5+45;930e<,0926>=ld:J703=ii:>1=;=?;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty>>n4?:3y]17e<5:?;m7::5:p105=838pR8;<;<164d<3=?1v><;c;296~X4:=i01>;?a;663>{t;;=26=4={_113<=:;<:j69;7;|q0151=838pR>;?7:?015g=0<30qpl=7co<4;3574=n<6g;5483>>o3=?0;66g;5683>M26m21b88650;9l<0?=831vn<;80;391?7==r.2;?4;539K=3e9?9:088m6edn3;17d<:6082>>i4k:h1=75m3b1a>5<2290;w)782;6ab>"3<003=95+92;97dc13A>?:6`n35822626=4G40g8?l22>3:17d::7;29?l2203:17b6:9;29?xu5<8=1<7;4k:h188;4}r0753<72;qU>9?9;<1`7g<3=?1v>mlf;296~X4kjl01>m{t:<<:6=4={_0624=:;j9i69;7;|q0g6d=838pR>m56395?2=93>p(49=:571?M?1k2dj?94>6278m4gf?3;17d?60782>>o6k::1=75`3b1`>4<54;294~">?;0?nk5+45;9<4><,0926>oj6:J703=ii:>1=;=9;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q2ed1=838pR7}Y90:=70=l3b8713=z{8i8<7>52z\2g66<5:i8o7::7:p7f5d2909wS=l3b9>7f5d21?27psm3b1g>4<2290;w)782;6ab>"3<00?:6`n35822616=44i575>5<581=7:51;6x <152=?97E79c:lb71<6>:20e8:m:088m6gal3;17d=l2g82>>i4k:o1=75m3b1f>5<3290;w)782;6ab>"3<00?:6`n358226?6=4G40g8?l22>3:17d::7;29?j>213:17p}:4c83>7}Y==h01>m{t;hlo6=4={_1bba=:;j9n69;9;|q0g7`=838pR>m=f:?0g6c=<<=0q~=l3d83>7}Y;j9n70=l3d8;1<=zuk9ho>4>:483>5}#1>818oh4$56:>2cd3-3857=ne79K0105<7s-3<>7:mf:&70<<0mj1/5>753`g5?M23>2dj?94>62`8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=lb082>0<729q/5:<54cd8 12>2>oh7)7<9;1ba3=O<=<0bl=;:040g>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm214`>4<4290;w)782;6ab>"3<003<85+92;95f563A>?:6`n358226b6=44i575>5<265;<;%;0=?7d;81C8984n`17>404m2c?984?::k713<722e3944?::a71`e28086=4?{%;46?2en2.?8447079'=6?=;:io7E:;6:lb71<6>:l0e9;::188m1312900c5;6:188yg53mh0:6>4?:1y'=24=>o3=?0;66a75883>>{e;=o=6<4<:183!?0:3>ij6*;488;06=#1:31?>mk;I672>hf;=0::9?4i576>5<5<4>:582>1}#1>8188<4H84`?kg4<3;=8?5f53f95?=n;;2<6<44i2045?7=3f?8i7?5;c17a2<72=0;6=u+96090g`<,=>265><;%;0=?54km1C8984n`17>403;2c?984?:I62a>=n<<<1<75f44594?=h0<31<75rs40g>5<5sW?9h63<4d590030349?i:4;579~w64093:1>vP<2638962b?3>>;6s|52g94?4|V<9n70=;e68;1<=zuk9?i>4>:583>5}#1>818oh4$56:>2b73-3857=6<4:{%;46?22:2B2:n5aa26953223`?9h7?5;h77e?7=3`998n4>::k06=`=931d?8:?:088f63393:197>50z&:37<3jo1/897574a8 <5>2:9hh6F;479me62=9?>=7d::5;29?l22>3:1D9?j;:k712<722c?954?::m;1<<722wx9?j50;0xZ04c349>8<4;549~w02f2909wS;;a:?0117=<<<0q~==4b83>7}Y;;>h70=:408712=z{:83j7>52z\06=`<5:??=7::8:p70272909wS=:419>702621?27psm155a>4<2280>w)782;666>N>>j1em>:51764?l35l3;17d;;c;39?l5565dl2B?8;5aa269532?3`>>97>5;h662?6=@=;n76g;5683>>o3=10;66a75883>>{t=;n1<75<5sW??o63<52d9000?k4;569~w64?m3:1>vP<29g89634n3>>46s|341f>5<5sW9>?h52341e>=3>3twi=99n:086>4<2s-3<>7::2:J:2f=ii:>1=;:6;h71e?7=3`??i7?5;h110f<622c8>5j51;9l705d2800n>;N3:5176b?l22=3:1D9?j;:k713<722c?9:4?::k71=<722e3944?::p17g=838pR8j54448yv555j4=270`?2202wx?8=l:181[52;j16?8=k:97:?x{e9==26<4::086!?0:3>>>6F66b9me62=9?>i7d;=a;39?l32:3;17d==4b82>>o4:1i1=75`341b>4<55;294~">?;0?nk5+45;930e<,0926>=ld:J703=ii:>1=;:l;h661?6=3`>>:7>5H53f?>o3=>0;66g;5983>>i?=00;66s|53c94?4|V<8j70=:3c8710=z{;4=:h18884}r110f<72;qU??:l;<167g<3=>1v><7c;296~X4:1i01>;{t;<9j6=4={_167d=:;<9i65;6;|a511?280>6<4:{%;46?22:2B2:n5aa269532c3`?9m7?5;h767?7=3`998n4>::k06=d=931d?8=7:088f63413:197>50z&:37<3jo1/897574a8 <5>2:9hh6F;479me62=9?>n7d::5;29?l22>3:1D9?j;:k712<722c?954?::m;1<<722wx9?o50;0xZ04f349>?44;549~w0342909wS;:3:?016?=<<<0q~==4b83>7}Y;;>h70=:388712=z{:83n7>52z\06=d<5:?857::8:p705?2909wS=:399>705>21?27psm154b>4<2280>w)782;666>N>>j1em>:5176e?l55?90:66g:3d82>>o4:=i1=75f3352>4<26:;l;%;0=?54km1C8984n`17>40282c?984?::k713<722c?9:4?::k71=<722e3944?::p77172909wS==719>70732=?>7p}:3d83>7}Y=:o01>;>4;662>{t;;>h6=4={_110f=:;<;?69;8;|q0627=838pR><81:?0142=<<20q~=:1283>7}Y;<;870=:158;1<=zuk;?:84>:482>0}#1>8188<4H84`?kg4<3;=9<5f53c95?=n==21=75f336`>4<5<>l523422>1323ty>854?:3y]11><5:?;=7::6:p772d2909wS==4b9>70662=?<7p}<26594?4|V:8<;63<513900><=4?:3y]7067349><<47589~yg73>=0:684>:4y'=24=<<80D48l;oc00?71=:1b9?o51;9j11?=931b??:l:088m640>3;17b=;fd82>>d4">;008?nj4H565?kg4<3;=995f44794?=n<<<1<7F;1d98m1302900e9;7:188k=3>2900q~;=a;296~X2:h16?9hi:576?xu2<00;6?uQ55;8962an3>>:6s|336`>5<5sW998n5235de>1303ty8>:850;0xZ640>2788kh544:8yv53nl0;6?uQ35df?853no03945r}c3726<62<0:68u+9609004<@0h6<44i2041?7=3f9?j44>::`00cg=83?1<7>t$851>1da3->?579:c:&:7<<4;jn0D9:9;oc00?71=?1b88;50;9j000=831b88950;9j00>=831d48750;9~w04f2909wS;=a:?00cg=<;4:i9;296~X4:ia;:6=>{zj8>=>7?55;391~">?;0?9?5G97a8jd5328<>;6g:2`82>>o25}#1>818oh4$56:>23d3-3857=7}Y;;>h70=;f58712=z{:8<87>52z\0622<5:>m87::8:p71`42909wS=;f29>71`321?27psm1542>4<2280>w)782;666>N>>j1em>:5177:?l35l3;17d;;8;39?l5565dl2B?8;5aa269533f3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q66a<72;qU9?j4=26fa?22=2wx99650;0xZ02?349?ih4;579~w643k3:1>vP<25a8962bm3>>;6s|3350>5<5sW99;>5235gf>13?3ty88hj50;0xZ62bl2788hk584;8yxd62800e><;c;39?l55?;0:66a<4d:95?=e;=o26=4::183!?0:3>ij6*;48841f=#1:31?>mk;I672>hf;=0::8m4i576>5<5<5<52z\66a=:;=o269;:;|q60<<72;qU9974=26f=?22>2wx??:l:181[5581<7;40<624e492B?8;5aa269533b3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q15g`=838pR??mf:?1456=<c183>7}Y:8i;7052z\15f7<5;:;<7::7:p64e52909wS<>c39>65672=?37p}=01294?4|V;:;<63=0129<0?k?51;795?3|,0=969;=;I;5g>hf;=0::8h4i336b?7=3`8::=4>::k1537=931b><8=:088k4`an3;17o?ifg83>0<729q/5:<54cd8 12>21;>7)7<9;3`74=O<=<0bl=;:0454>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|207e>5<5sW8:9k521gde>1323ty9=;>50;0xZ771827:jkh54448yv46>80;6?uQ2042?87ano0?9:5rs3356?6=:rT9=;<4=0deb?2202wx=khi:181[7ano16=khi:97:?x{e:9:>6<4<:183!?0:3>ij6*;488;40=#1:31=n=>;I672>hf;=0::;?4i576>5<5<:483>5}#1>818oh4$56:>2`>3-3857?l309K0104<3s-3<>7::2:J:2f=ii:>1=;8<;h1523<622c8:;;51;9j73032800c8=<:088f65d;3:187>50z&:37<3jo1/89757g58 <5>2;o;46F;479me62=9?3:17d::7;29?j>213:17p}<67494?4|V:<=:63<3b190034;579~w601<3:1>vP<6768965d;3>>;6s|52194?4|V<9870=:582>1}#1>8188<4H84`?kg4<3;=:85f52795?=n;hli6<44i2ce`?7=3f8>:?4>::`0g`7=83>1<7>t$851>1da3->?579i7:&:7<<4il<0D9:9;oc00?71>?1b88;50;9j000=831b88950;9l<0?=831v8=::181[34=278oh?54478yv5fnk0;6?uQ3`da?85dm80?9;5rs2ce`?6=:rT8mkj4=2af5?22?2wx>88=:181[42>;16?nk>:97:?x{e9:226<4::086!?0:3>>>6F66b9me62=9?<<7d<73882>>o5=l>1=75f26g;>4<492.2?44=5ea8L1213gk887?9699j003=83B?=h54i575>5<5<5=6;<0;0c<3=<1v?;j4;296~X5=l>01?6;f;662>{t:>o36=4={_04a==::1>m69;8;|q13f3=838pR?9l5:?1<1`=<<20q~<9f`83>7}Y:?lj70<74g8;1<=zuk839=4>:483>5}#1>818oh4$56:>22c3-3857<:db9K010?=m:080>5<7s-3<>7:mf:&70<751b12?M23>2dj?94>67c8m1322900e9;9:188k=3>2900qo<=3b82>0<729q/5:<54cd8 12>2><37)7<9;3`74=O<=<0bl=;:045f>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm231:>4<4290;w)782;6ab>"3<003<85+92;95f563A>?:6`n358223e6=44i575>5<26:87;%;0=?7d;81C8984n`17>401l2c?984?::k713<722c?9:4?::k71=<722e3944?::a675128086=4?{%;46?2en2.?8447049'=6?=9j9:7E:;6:lb71<6>?o0e9;::18K04c<3`>>:7>5;n:6=?6=3th9>>951;794?6|,0=969li;%67=?1102.2?44>c238L1213gk887?96g9j003=831b88850;9j001=831b88650;9l<0?=831vn?<<5;397?6=8r.2;?4;bg9'01?=09?0(4=6:0a05>N3:51753?l22=3:17d::6;29?j>213:17pl=22:95?3=83:p(49=:5`e?!2313==46*63882g67<@=>=7co<4;3534=n<6gb>2B?8;5aa26953153`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0g<7=93?1<7>t$851>1da3->?579;e:&:7<<4il<0D9:9;oc00?71?:1b88;50;9j000=831b88950;9j00>=831d48750;9~f6e0<3;197>50z&:37<3jo1/897579f8 <5>2:kn:6F;479me62=9?=?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4k=21=7;50;2x <152=hm7):;9;57a>">;008mh84H565?kg4<3;=;85f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;8?>7?55;294~">?;0?nk5+45;931=;99;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th84;<51;794?6|,0=969li;%67=?1?02.2?44=e1:8L1213gk887?9769j003=831b88850;9j001=831b88650;9l<0?=831vn>6:f;391?6=8r.2;?4;bg9'01?=?0;0(4=6:3g3<>N3:5175;?l22=3:17d::6;29?l22?3:17d::8;29L17b32e3944?::a51e3280<6?47{%;46?22:2B2:n5aa269531>3`939k4>::k0<0?=931b?58?:088m6bck3;17d==2`82>>o4:=<1=75`3940>4<54;294~">?;0?nk5+45;9<4><,0926?k?8:J703=ii:>1=;9n;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`0<32=83?1<7>t$851>1da3->?576?f:J703=ii:>1=;9m;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty848h50;0xZ6>2n2784;=54478yv5?=00;6?uQ397:?85?>:0?9:5rs2:54?6=:rT84;>4=2:50?22=2wx?ijl:181[5clj16?58;:575?xu4:;k1<7;40?>18894}r1103<72;qU??:9;<1;21<3=11v>693;296~X40?901>693;:6=>{t;1ij6*;4884a2=#1:31>h>7;I672>hf;=0:::m4i576>5<5<213:17pl>4b495?3=93?p(49=:571?M?1k2dj?94>66f8m652<3;17d==6982>>o4;8h1=75f3271>4<26:;l;%;0=?4b811C8984n`17>400m2c?984?::k713<722c?9:4?::k71=<722e3944?::p76332909wS=<559>7=0f2=?>7p}<27:94?4|V:8=463<87c9000vP<340896>1i3>>46s|394b>5<5sW93:l52394b>=3>3twi=9m=:086>4<2s-3<>7::2:J:2f=ii:>1=;9i;h1;34<622c84;j51;9j76322800e>k<0;39?j5?=<0:66l<84794?3=83:p(49=:5`e?!23132:86*63881a5><@=>=7co<4;35<5=n<m16?5;::575?xu4;;406:5;296~X406:5;:6=>{zj:2>h7?55;294~">?;0?nk5+45;93`1<,0926?k?8:J703=ii:>1=;6>;h661?6=3`>>:7>5;h663?6=3`>>47>5H53f?>i?=00;66sm397f>4<4290;w)782;6ab>"3<003>85+92;96`6?3A>?:6`n35822=46=4G40g8?l22>3:17b6:9;29?xd4kh21=7;50;2x <152=hm7):;9;5e=>">;008mh84H565?kg4<3;=4>5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:3>n7?55;294~">?;0?nk5+45;93<`<,0926?k?8:J703=ii:>1=;6;;h661?6=3`>>:7>5H53f?>o3=>0;66g;5983>>i?=00;66sm3842>4<2290;w)782;6ab>"3<00<5<5+92;96`6?3A>?:6`n35822=36=44i575>5<5<0965u+9609004<@0::k0``1=931b??3;17b=66682>>d41?=1<7:50;2x <152=hm7):;9;:2<>">;009i=64H565?kg4<3;=4:5f44794?=n<<<1<75f44594?=h0<31<75rb2;552z\0=37<5:3=;7::5:p7<3e2909wS=65c9>7<002=?<7p}<97094?4|V:3=>63<97:9003vP<23c896?103>>;6s|3365>5<5sW998;52384;>13?3ty85;950;0xZ6?1?2785;9584;8yv5>>10;6?u23844>1313492:547589~yg5>>m0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;6E:>e:9l<0?=831vn<:ie;391?7==r.2;?4;539K=3e;=:088m65293;17d=<3782>>i41?o1=75m384f>5<2290;w)782;6ab>"3<00<9n5+92;96`6?3A>?:6`n35822=d6=44i575>5<5<=:2;296~X4;<801>79e;662>{t;:?:6=4={_1014=:;0=<6:?0=3c=<<20q~=66d83>7}Y;0:482>0}#1>8188<4H84`?kg4<3;=4n5f3345>4<87?5;h111f<622c8?8=51;9l7<0a2800n>79f;291?6=8r.2;?4;bg9'01?=?N3:517:g?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<27494?4|V:8=:63<97d9003vP<24a896?1n3>>;6s|3270>5<5sW989>52384e>13?3ty85;h50;0xZ6?1n2785;h584;8yxd61o0e>=:8;39?l55=80:66g<34g95?=n;:>;6<44o2;61?7=3k92984?:483>5}#1>818oh4$56:>23d3-3857;7:181[54=116?4;::576?xu4:<;1<7;41;j;<1:10<3=>1v>=;0;296~X4;=:01>7:5;66<>{t;0?>6=4={_1:10=:;0?>65;6;|a7<3a280>6=4?{%;46?2en2.?8448e69'=6?=:l:37E:;6:lb71<6>0:0e9;::188m1312900e9;8:188m13?290C851;194?6|,0=969li;%67=?>5=2.2?44=e1:8L1213gk887?9909j003=831b88850;9l<0?=831vn>7n7;391?6=8r.2;?4;bg9'01?=?0l0(4=6:3g3<>N3:517;1?l22=3:17d::6;29L17b32c?9:4?::k71=<722e3944?::a76=4?{%;46?2en2.?8448909'=6?=:l:37E:;6:lb71<6>090e9;::188m1312900e9;8:188m13?2900c5;6:188yg728l0:6:4=:9y'=24=<<80D48l;oc00?711=1b?4ok:088m6?f?3;17d=6ad82>>o4llk1=75f330b>4<602.2?44=e1:8L1213gk887?9949j003=831b88850;9j001=831d48750;9~f6?e<3:197>50z&:37<3jo1/897581d8L1213gk887?9979j003=831b88850;9j001=831b88650;9l<0?=831v>7nd;296~X41hn01>7m3;661>{t;0k<6=4={_1:e2=:;0h869;8;|q0=dc=838pR>7ne:?0=g2=<7}Y;moj70=6b58713=z{:89m7>52z\067g<5:3i87::7:p77212909wS==479>77:483>5}#1>818oh4$56:>2ec3-3857=h0<31<75rb0724?7==3;19v*6738717=O1?i0bl=;:04:<>o4;;;1=75f3271>4<=7?5;h1072<622e85oo51;9a76=4?{%;46?2en2.?84485b9'=6?=:l:37E:;6:lb71<6>030e9;::188m1312900e9;8:188m13?2900c5;6:188yv54:80;6?uQ3202?85>jh0?985rs2166?6=:rT8?8<4=2;ae?22>2wx?>;>:181[54=816?4ln:574?xu4;:=1<7;41kk18864}r1:fd<72;qU?4ln;<1:fd0<62=:4;39?l55>>0:66g<34195?=h;0hi6<44b2;af?6==3:17c702B?8;5aa26953?e3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q0633=838pR><95:?0=gd=<7}Y;:??70=6bc8713=z{:8=;7>52z\0631<5:3in7::7:p76342909wS=<529>7hf;=0::4m4i216::k070c=931b?>:>:088k6?f93;17o=6a083>0<729q/5:<54cd8 12>2>?h7)7<9;0f4==O<=<0bl=;:04:`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|327;>5<5sW98955238c2>1323ty8>8m50;0xZ642k2785l?54448yv54=l0;6?uQ327f?85>i80?9:5rs2175?6=:rT8?9?4=2;b5?2202wx?4o>:181[5>i816?4o>:97:?x{e;0ki6<4::183!?0:3>ij6*;4884a2=#1:31>h>7;I672>hf;=0::4k4i576>5<5<213:17pl<9`a95?5=83:p(49=:5`e?!23132996*63881a5><@=>=7co<4;35=c=n<7c702B?8;5aa26953g73`>>97>5;h662?6=3`>>;7>5;h66>{e;8;<6<4::183!?0:3>ij6*;4884=c=#1:31>h>7;I672>hf;=0::l?4i576>5<5<213:17pl<10395?3=83:p(49=:5`e?!2313=2j6*63881a5><@=>=7co<4;35e7=n<>o3=?0;66g;5683>>o3=10;6E:>e:9l<0?=831vn>??5;391?6=8r.2;?4;bg9'01?=09=0(4=6:3g3<>N3:517c7?l22=3:17d::6;29?l22?3:17d::8;29L17b32e3944?::a75`a280>6=4?{%;46?2en2.?84489g9'=6?=:l:37E:;6:lb71<6>h?0e9;::188m1312900e9;8:188m13?290C8n2.2?44=e1:8L1213gk887?9a79j003=831b88850;9j001=831b88650;J75`=26:7i;%;0=?4b811C8984n`17>40f?2c?984?::k713<722c?9:4?::k71=<72A>:i65`84;94?=zj::no7?55;294~">?;0?nk5+45;93<`<,0926?k?8:J703=ii:>1=;o7;h661?6=3`>>:7>5;h663?6=3`>>47>5H53f?>i?=00;66sm13dg>4<2280>w)782;666>N>>j1em>:517c:?l47:00:66g=03:95?=n:8i96<44i33`7?7=3f8;>>4>::`1475=83?1<7>t$851>1da3->?576<2:&:7<<6k:;0D9:9;oc00?71ih1b88;50;9j000=831b88950;9j00>=831d48750;9~w76513:1>vP=03;89765;3>>96s|210;>5<5sW8;>5522100>1313ty9=n<50;0xZ77d:279212wvn<=<<:088m76e93;17d?i2d82>>i58;>1=75m2107>5<2290;w)782;6ab>"3<00?:6`n35822de6=44i575>5<5<=3;296~X58;901?>=4;662>{t:9h:6=4={_03f4=::98?69;8;|q2b7c=838pR7}Y:98?70:781>2}#1>8188<4H84`?kg4<3;=mi5f1g11>4<7?5;h0361<622c9=ol51;9j5c512800c?>=2;39?g47:?0;684?:1y'=24=M26m21b88850;9j001=831b88650;9l<0?=831vn?>=7;297?6=8r.2;?4;bg9'01?=09?0D9:9;oc00?71io1b88;50;9j000=831d48750;9~w4`4:3:1>vP>f2089765>3>>96s|2041>5<5sW8::?522105>1313ty90?985rs0d02?6=:rT:j>84=3213?22>2wx>=<=:181[47:;16>=<9:97:?xu58;=1<71=7;51;7x <152=?97E79c:lb71<6>k:0e?>=9;39?l47:10:66g=1cd95?=n:8i86<44o3233?7=3k8;<:4?:483>5}#1>818oh4$56:>=553-3857?l309K010=<6:181[47:016>=>8:576?xu58;21<7;589=18884}r02fc<72;qU>1v??l3;296~X59j901?>?7;66<>{t:9:<6=4={_0342=::9:<65;6;|a57`2280>6<4:{%;46?22:2B2:n5aa26953d53`;mj=4>::k1451=931b>=oj:088m4`5m3;17b>d589k1<7;50;2x <152=hm7):;9;5e<>">;00:o>?4H565?kg4<3;=n>5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{8lm<7>52z\2bc6<5;:;m7::5:p65602909wS656f2=?=7p}=0`g94?4|V;:ji63=01c9001h4?:3y]5c4b348;vP=01c89767i32>56srb00e7?7=>381;v*6738717=O1?i0bl=;:04a0>o6n:81=75f207e>4<851;9l65612800n?>>0;291?6=8r.2;?4;bg9'01?=?h?0(4=6:0a05>N3:517`6?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=00394?5=83:p(49=:5`e?!23132;96F;479me62=9?h=7d::5;29?l22>3:17b6:9;29?xu6n:81<7;588:188;4}r021c<72;qU><;i;<0355<3=?1v?>?a;296~X589k01?>>0;66<>{t:8h36=4={_02f==::9;:69;:;|q2b60=838pR7}Y:9:=7052z?1446=<<=01?>>1;:6=>{zj:3h?7?55;294~">?;0?nk5+45;93<`<,0926?k?8:J703=ii:>1=;l8;h661?6=3`>>:7>5H53f?>o3=>0;66g;5983>>i?=00;66sm38a:>4<2290;w)782;6ab>"3<00<5<5+92;96`6?3A>?:6`n35822g>6=44i575>5<5<0965u+9609004<@0::k0``d=931b??3;17b=6cg82>>d41jl1<7:50;2x <152=hm7):;9;:2<>">;009i=64H565?kg4<3;=nl5f44794?=n<<<1<75f44594?=h0<31<75rb2;g4?6==3:152z\0=f?<5:3hj7::5:p77vP<23c896?c83>>;6s|3365>5<5sW998;5238f3>13?3ty85nh50;0xZ6?dn2785nh584;8yv5>l90;6?u238ae>1313492h=47589~yg5>l<0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;6E:>e:9l<0?=831vn<;>8;391?7==r.2;?4;539K=3e;=:088m65293;17d=<3982>>i41m<1=75m38f5>5<2290;w)782;6ab>"3<00<9n5+92;96`6?3A>?:6`n35822gc6=44i575>5<5<<=;<1:`3<3=<1v>=:2;296~X4;<801>7k6;662>{t;:?:6=4={_1014=:;0n=69;8;|q076>=838pR>=<8:?0=a0=<<20q~=6d783>7}Y;0n=70=6d78;1<=zuk;>=44>:482>0}#1>8188<4H84`?kg4<3;=nk5f3347>4<87?5;h112d<622c8?8=51;9l77k7;291?6=8r.2;?4;bg9'01?=?N3:517a3?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<27694?4|V:8=863<9e59003vP<27c896?c?3>>;6s|3270>5<5sW989>5238f4>13?3ty85i950;0xZ6?c?2785i9584;8yxd6=881=7;51;7x <152=?97E79c:lb71<6>j;0e>=:8;39?l55>>0:66g<34g95?=n;:>96<44o2;a`?7=3k92ni4?:483>5}#1>818oh4$56:>23d3-3857;7:181[54=116?4lk:576?xu4:?=1<7;41kn18884}r101`<72;qU?>;j;<1:fa<3=>1v>=;2;296~X4;=801>7md;66<>{t;0ho6=4={_1:fa=:;0ho65;6;|a76=4?{%;46?2en2.?8448e69'=6?=:l:37E:;6:lb71<6>j90e9;::188m1312900e9;8:188m13?290C85=2.2?44=e1:8L1213gk887?9c59j003=831b88850;9l<0?=831vn>7kf;391?6=8r.2;?4;bg9'01?=?0l0(4=6:3g3<>N3:517a6?l22=3:17d::6;29L17b32c?9:4?::k71=<722e3944?::a76=4?{%;46?2en2.?8448909'=6?=:l:37E:;6:lb71<6>j<0e9;::188m1312900e9;8:188m13?2900c5;6:188yg729l0:6:4=:9y'=24=<<80D48l;oc00?71k>1b?4k::088m6?cn3;17d=6e782>>o4lli1=75f330b>4<602.2?44=e1:8L1213gk887?9c99j003=831b88850;9j001=831d48750;9~f6?bk3:197>50z&:37<3jo1/897581d8L1213gk887?9c89j003=831b88850;9j001=831b88650;9l<0?=831v>7j5;296~X41l?01>7jb;661>{t;0nm6=4={_1:`c=:;0oi69;8;|q0=`0=838pR>7j6:?0=`e=<7}Y;moh70=6eb8713=z{:89m7>52z\067g<5:3no7::7:p77212909wS==479>77:483>5}#1>818oh4$56:>2ec3-3857=h0<31<75rb0714?7==3;19v*6738717=O1?i0bl=;:04`f>o4;;91=75f3271>4<=7?5;h107<<622e85k<51;9a7<`5290>6=4?{%;46?2en2.?84485b9'=6?=:l:37E:;6:lb71<6>ji0e9;::188m1312900e9;8:188m13?2900c5;6:188yv54::0;6?uQ3200?85>n;0?985rs2166?6=:rT8?8<4=2;e6?22>2wx?>;>:181[54=816?4h=:574?xu4;:31<7;41o818864}r1:b7<72;qU?4h=;<1:b70<62=:4;39?l55>k0:66g<34195?=h;0l86<44b2;e7?6==3:17c702B?8;5aa26953eb3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q0635=838pR><93:?0=c5=<7}Y;:??70=6f28713=z{:8=n7>52z\063d<5:3m?7::7:p76342909wS=<529>7<`42=?37p}<9g194?4|V:3m?63<9g19<0?hf;=0::nh4i216::k070c=931b?>:<:088k6?c13;17o=6d883>0<729q/5:<54cd8 12>2>?h7)7<9;0f4==O<=<0bl=;:04g4>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|327;>5<5sW98955238f:>1323ty8>;o50;0xZ641i2785i754448yv54=l0;6?uQ327f?85>l00?9:5rs2177?6=:rT8?9=4=2;g=?2202wx?4j6:181[5>l016?4j6:97:?x{e;0o86<4::183!?0:3>ij6*;4884a2=#1:31>h>7;I672>hf;=0::i?4i576>5<5<213:17pl<9d695?5=83:p(49=:5`e?!23132996*63881a5><@=>=7co<4;35`7=n<o4i821=75f3375>4<5}#1>818oh4$56:>=753-3857:i65f44494?=n<<=1<75`84;94?=z{:k:47>52z\0e4><5:3m97::5:p77312909wS==579>7<`22=?=7p}:5e83>7}Y=7i5;663>{t;0l>6=4={_1:b0=:;0l>65;6;|a7d6f280>6=4?{%;46?2en2.?8448909'=6?=:l:37E:;6:lb71<6>m?0e9;::188m1312900e9;8:18K04c<3`>>47>5;n:6=?6=3th8m<=51;794?6|,0=969li;%67=?1b?2.2?44=e1:8L1213gk887?9d79j003=831b88850;9j001=831b88650;9l<0?=831vn>o>a;391?6=8r.2;?4;bg9'01?=?m<0(4=6:3g3<>N3:517f4?l22=3:17d::6;29?l22?3:17d::8;29L17b32e3944?::a5040280>6<4:{%;46?22:2B2:n5aa26953b?3`989<4>::k076g=931b?>;j:088m653<3;17b=n1b82>>d4i8i1<7;50;2x <152=hm7):;9;56g>">;009i=64H565?kg4<3;=h45f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:9>=7>52z\0707<5:k:o7::5:p765f2909wS=<3`9>7d7d2=?=7p}<34g94?4|V:9>i63vP56srb071o4:?81=75f3277>4<6=4?{%;46?2en2.?84485e9'=6?=:l:37E:;6:lb71<6>mh0e9;::188m1312900e9;8:188m13?2900c5;6:188yv55>;0;6?uQ3341?85f9m0?985rs2160?6=:rT8?8:4=2c2`?22>2wx??8l:181[55>j16?l?k:574?xu4;<91<7;4i8n18864}r1b5a<72;qU?l?k;<1b5a0<62<9b;39?l54=j0:66g<33d95?=h;0l<6<44b2;e3?6==3:17c702B?8;5aa26953bc3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q070>=838pR>=:8:?0=c1=<7}Y;;o7>52z\070e<5:3m;7::7:p764a2909wS=<2g9>7<`02=?37p}<9g594?4|V:3m;63<9g59<0?hf;=0::ik4i2c0::k61a<622e8mml0e9;::18K04c<3`>>:7>5;h663?6=3f2>57>5;|q0e6>=838pR>o<8:?0e4`=<7}Y;;?<70=n1g8713=z{;4i8l18894}r1b5c<72;qU?l?i;<1b5c0<729q/5:<54cd8 12>2>o<7)7<9;0f4==O<=<0bl=;:04f4>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm3`1:>4<2290;w)782;6ab>"3<00?:6`n35822`76=44i575>5<5l80e>=:1;39?l54;k0:66g<34g95?=n;:>>6<44o2c0e?7=3k9j?l4?:483>5}#1>818oh4$56:>23d3-3857;>:181[54=816?l=n:576?xu4;:h1<7;4i:k18884}r101`<72;qU?>;j;<1b7d<3=>1v>=;5;296~X4;=?01>o{t;h9j6=4={_1b7d=:;h9j65;6;|a504a280>6<4:{%;46?22:2B2:n5aa26953c33`99:<4>::k0702=931b??8k:088m652;3;17b=n3c82>>d4i:h1<7;50;2x <152=hm7):;9;56`>">;009i=64H565?kg4<3;=i85f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:8==7>52z\0637<5:k8n7::5:p76332909wS=<559>7d5e2=?=7p}<27f94?4|V:8=h634?:3y]7634349j?o4;599~w6g4j3:1>vP56srb071f?7==3;19v*6738717=O1?i0bl=;:04f2>o4;<21=75f334`>4<o7?5;h1115<622e8m?>51;9a7d47290>6=4?{%;46?2en2.?84485b9'=6?=:l:37E:;6:lb71<6>l=0e9;::188m1312900e9;8:188m13?2900c5;6:188yv54=10;6?uQ327;?85f:90?985rs205g?6=:rT8>;m4=2c14?22>2wx?>;l:181[54=j16?l;4i;:18864}r1b65<72;qU?l0<729q/5:<54cd8 12>2>n=7)7<9;0f4==O<=<0bl=;:04f<>o3=<0;66g;5783>>o3=>0;6E:>e:9j00>=831d48750;9~f434;3;197?55z&:37<3=;1C5;m4n`17>40b12c8?8?51;9j765d2800e>=:e;39?l54ij6*;48841f=#1:31>h>7;I672>hf;=0::ho4i576>5<5<5<4;549~w654k3:1>vP<32a896g2;3>>:6s|327f>5<5sW989h523`70>1303ty8?9850;0xZ653>278m8=544:8yv5f=:0;6?uQ3`70?85f=:03945r}c3671<62<0:68u+9609004<@0::k0705=931d?l;;:088f6g2<3:197>50z&:37<3jo1/897574f8 <5>2;o;46F;479me62=9?oh7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4:?:1<7;4i<>188;4}r1011<72;qU?>;;;<1b11<3=?1v><9e;296~X4:?o01>o:4;663>{t;:?86=4={_1016=:;h??69;7;|q0e02=838pR>o:4:?0e02=0<30qpl>52795?3=93?p(49=:571?M?1k2dj?94>6df8m65203;17d==6e82>>o4;4<97?5;c1b10<72<0;6=u+96090g`<,=>26:;l;%;0=?4b811C8984n`17>40bm2c?984?::k713<722c?9:4?::k71=<722e3944?::p763?2909wS=<599>7d322=?>7p}<27f94?4|V:8=h63vP<243896g2=3>>46s|3`76>5<5sW9j98523`76>=3>3twi?l8i:086>5<7s-3<>7:mf:&70<<0l?1/5>752d2;?M23>2dj?94>6dd8m1322900e9;9:188m130290C857>5;|`216?=93?1=7;t$851>1353A3=o6`n35822c6=7?5;h107a<622c8?8k51;9j76202800c>o80;39?g5f?90;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;:?:6=4={_1014=:;h=;69;:;|q076b=838pR>=7}Y;:?n70=n718712=z{:9?;7>52z\0711<5:k<<7::8:p7d172909wS=n719>7d1721?27psm141b>4<2280>w)782;666>N>>j1em>:517d1?l55=o0:66g<34695?=n;;::`0e27=83?1<7>t$851>1da3->?579:d:&:7<<5m920D9:9;oc00?71n:1b88;50;9j000=831b88950;9j00>=831d48750;9~w642n3:1>vP<24d896g093>>96s|3277>5<5sW9899523`52>1313ty8>;h50;0xZ641n278m:?54458yv54=:0;6?uQ3270?85f?80?955rs2c45?6=:rT8m:?4=2c45?>212wvn<;=931b??8j:088m652k3;17d==5b82>>i4i>81=75m3`51>5<2290;w)782;6ab>"3<00<9n5+92;96`6?3A>?:6`n35822c36=44i575>5<5<;7;<1b37<3=<1v><9e;296~X4:?o01>o82;662>{t;:?h6=4={_101f=:;h=969;8;|q060e=838pR><:c:?0e24=<<20q~=n7383>7}Y;h=970=n738;1<=zuk9<:i4>:483>5}#1>818oh4$56:>2b13-3857:i65f44:94?=h0<31<75rb06:e?7==3;19v*6738717=O1?i0bl=;:04e3>o4;<;1=75f3211>4<i7?5;h107c<622e8;;k51;9a720b290>6=4?{%;46?2en2.?84485b9'=6?=:l:37E:;6:lb71<6>o20e9;::188m1312900e9;8:188m13?2900c5;6:188yv54=80;6?uQ3272?850>l0?985rs2106?6=:rT8?><4=255a?22>2wx?>;j:181[54=l16?:8j:574?xu4;:l1<7;4??o18864}r142`<72;qU?:8j;<142`0<62=:4;39?l55=;0:66g<34195?=h;>7c702B?8;5aa26953`f3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q060c=838pR><:e:?033`=<7}Y;:??70=86g8713=z{:8>>7>52z\0604<5:==j7::7:p76342909wS=<529>720a2=?37p}<77d94?4|V:==j63<77d9<0?hf;=0::kl4i216::k070e=931b??88:088k61083;17o=87183>0<729q/5:<54cd8 12>2>?h7)7<9;0f4==O<=<0bl=;:04eg>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|327;>5<5sW9895523653>1323ty8>;h50;0xZ641n278;:>54448yv54=j0;6?uQ327`?850?90?9:5rs2053?6=:rT8>;94=2544?2202wx?:9?:181[50?916?:9?:97:?x{e;8i86<4::183!?0:3>ij6*;4884f2=#1:31>h>7;I672>hf;=0::kj4i576>5<5<213:17pl<0d095?3=83:p(49=:5`e?!2313=i;6*63881a5><@=>=7co<4;35b`=n<>o3=?0;6E:>e:9j001=831b88650;9l<0?=831vn>>ke;391?6=8r.2;?4;bg9'01?=?k=0(4=6:3g3<>N3:51623?l22=3:17d::6;29L17b32c?9:4?::k71=<722e3944?::a75b1280>6=4?{%;46?2en2.?8448b69'=6?=:l:37E:;6:lb71<6?9;0e9;::188m1312900e9;8:188m13?2900c5;6:188yg57jl0:684?:1y'=24=6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e;8n?6<4::183!?0:3>ij6*;4884=4=#1:31>h>7;I672>hf;=0:;==4i576>5<5<213:17pl>42395?3=93?p(49=:571?M?1k2dj?94>7168m67d?3;17d;4$81:>7c702B?8;5aa26952623`>>97>5H53f?>o3=?0;66g;5683>>o3=10;66a75883>>{t;8i<6=4={_12g2=:;8i?69;:;|q67c<72;qU9>h4=23`0?22>2wx?>ll:181[54jj16?;49j>18864}r12g1<72;qU?0<62>i48l?1=75m31g6>5<2290;w)782;6ab>"3<003==5+92;96`6?3A>?:6`n35823516=4G40g8?l22>3:17d::7;29?l2203:17b6:9;29?xu48l21<7;48l?188;4}r70b?6=:rT>?k5231g6>1313ty8?om50;0xZ65ek278212wvn>>k7;391?6=8r.2;?4;bg9'01?=?0;0(4=6:3g3<>N3:5162;?l22=3:17d::6;29?l22?3:17d::8;29L17b32e3944?::a75da280>6=4?{%;46?2en2.?8448909'=6?=:l:37E:;6:lb71<6?930e9;::188m1312900e9;8:188m13?290C8hf;=0:;=o4i22bg?7=3`?8j7?5;h134c<622c8?oh51;9l75g>2800n>>n9;291?6=8r.2;?4;bg9'01?=09o0(4=6:3g3<>N3:5162a?l22=3:1D9?j;:k713<722c?9:4?::k71=<722e3944?::p75gd2909wS=?ab9>75g>2=?>7p}:3g83>7}Y=:l01>>n9;662>{t;9:m6=4={_134c=:;9k269;8;|q07g`=838pR>=mf:?04d?=<<20q~=?a883>7}Y;9k270=?a88;1<=zuk;?:482>0}#1>8188<4H84`?kg4<3;<4<::m04d3=931i?=o::186>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>71f8m132290C8>;7>5;h6657>5;|q04d>=838pR>>n8:?04d3=<;48h?18894}r10fc<72;qU?>li;<13e0<3=11v>>n5;296~X48h?01>>n5;:6=>{zj8;2n7?54;390~">?;0?9?5G97a8jd5328=;i6g:3e82>>o4>?>1=75f3744>4<7c702B?8;5aa269526a3`>>97>5H53f?>o3=?0;66g;5683>>i?=00;66s|52f94?4|V<9o70=?d58710=z{:<=87>52z\0232<5::o87::6:p73002909wS=9669>75b32=?<7p}:9083>7}Y=0;01>>k4;:6=>{zj::o?7?55;294~">?;0?nk5+45;93<7<,0926?k?8:J703=ii:>1=:??;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th826:7>;%;0=?4b811C8984n`17>416:2c?984?::k713<722c?9:4?::k71=<72A>:i65`84;94?=zj8;2o7?54;390~">?;0?9?5G97a8jd5328=:?6g:3282>>o4>?91=75f32`e>4<6<44b22ae?6=<3:17c702B?8;5aa26952733`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty>?>4?:3y]165<5::im7::5:p73042909wS=9629>75df2=?=7p}<3cd94?4|V:9ij63<0cc900152z\6=0=:;9hj65;6;|a75d>280>6=4?{%;46?2en2.?8448909'=6?=:l:37E:;6:lb71<6?8?0e9;::188m1312900e9;8:188m13?2900c5;6:188yg57j<0:684?:1y'=24=>o3=?0;6E:>e:9j001=831b88650;9l<0?=831vn>>nd;391?6=8r.2;?4;bg9'01?=?0;0(4=6:3g3<>N3:51634?l22=3:17d::6;29L17b32c?9:4?::k71=<722e3944?::a5153280>6<4:{%;46?22:2B2:n5aa269527?3`9:ho4>::k67a<622c8=im51;9j74ba2800c>?k8;39?g56m;0;684?:1y'=24=M26m21b88850;9j001=831b88650;9l<0?=831v>?kb;296~X49mh01>?j2;661>{t=:n1<7;49l814874}|`26cg=93?1=7;t$851>1353A3=o6`n358234g>b;39?g479k0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t:9826=4={_036<=::9;i69;:;|q147>=838pR?>=8:?144d=<<<0q~<>c083>7}Y:8i:7052z\15f5<5;::n7::8:p657e2909wS657e21?27psm13da>4<2280>w)782;666>N>>j1em>:5163`?l7an90:66g=00`95?=n:9h;6<44i0d1a?7=3f8;=n4>::`144e=83?1<7>t$851>1da3->?579i8:&:7<<6k:;0D9:9;oc00?709m1b88;50;9j000=831b88950;9j00>=831d48750;9~w4`a83:1>vP>fg289766k3>>96s|213a>5<5sW8;=o52213`>1313ty950;0xZ76e8279<212wvn<e:k2b64=931b><8>:088m766k3;17d<>b`82>>o6n:<1=75`213b>4<55;294~">?;0?nk5+45;93d3<,09261=:?i;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th97=2B?8;5aa26952473`>>97>5;h662?6=3f2>57>5;|q2b64=838pR6083>7}Y:8<:7052z\144e<5;:9<7::8:p64df2909wS<>b`9>65462=?>7p}>f2494?4|V8l8:63=0339000=47589~w76593:1>v3=0329001<5;:9=76:9:~f44a?3;197?55z&:37<3=;1C5;m4n`17>41592c9ij6*;488;77=#1:31=n=>;I672>hf;=0:;?<4i576>5<5<5<44?:3y]654>348;=>4;549~w76503:1>vP=03:89766;3>>:6s|20a3>5<5sW8:o=522130>1303ty9=n=50;0xZ77d;279<<=544:8yv479:0;6?uQ2130?8479:03945r}c31b=<62<0:68u+9609004<@0::k2b7c=931d>=?;:088f766<3:197>50z&:37<3jo1/89757g:8 <5>28i8=6F;479me62=9>8?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu6no:1<7;588>188;4}r0356<72;qU>=?<;<0351<3=?1v?>nf;296~X58hl01?>>4;663>{t9o8n6=4={_3e6`=::9;?69;7;|q1442=838pR?>>4:?1442=0<30qpl>2g495?0=:3=p(49=:571?M?1k2dj?94>7378m4`4:3;17d<>6182>>o588>1=75f20`:>4<c238L1213gk887?8279j003=831b88850;9j001=831b88650;9l<0?=831vn?>>9;297?6=8r.2;?4;bg9'01?=09?0D9:9;oc00?70:>1b88;50;9j000=831d48750;9~w4`4:3:1>vP>f208976603>>96s|2043>5<5sW8::=52213;>1313ty9<<:50;0xZ766<279<<6544:8yv46j00;6?uQ20`:?847900?985rs0d02?6=:rT:j>84=322=?22>2wx>=?=:181[479;16>=?7:97:?xu58831<7">;00:o>?4H565?kg4<3;<>55f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj898>7?55;391~">?;0?9?5G97a8jd5328=956g=18`95?=n:83h6<44i33:`?7=3`8:5h4>::m1670=931i>?<9:186>5<7s-3<>7:mf:&70<751b12?M23>2dj?94>73c8m1322900e9;9:188m1302900e9;7:188k=3>2900q~<>9c83>7}Y:83i70<=278710=z{;;2o7>52z\159e9>67412=?<7p}=18g94?4|V;;2i63=234900>;4?:3y]67413489>;47589~yg74;:0:684>:4y'=24=<<80D48l;oc00?70:k1b>:088m77f:3;17d<>a282>>o59h>1=75`2304>4<55;294~">?;0?nk5+45;9<6c<,09261=:>:7>5;h663?6=3`>>47>5;n:6=?6=3ty9=l?50;0xZ77f9279>?954478yv46i;0;6?uQ20c1?845:>0?9;5rs33b7?6=:rT9=l=4=3013?22?2wx>?<8:57;?xu5:;=1<7;5:;=14874}|`2766=93>1=7:t$851>1353A3=o6`n358237b?851;9l67452800n?<=8;290?6=8r.2;?4;bg9'01?=?o<0(4=6:0a05>N3:5160f?l22=3:17d::6;29?l22?3:17b6:9;29?xu5:;=1<7;5:;2188;4}r0160<72;qU>?<:;<016=<3=?1v?<=6;296~X5:;<01?<=8;663>{t:;896=4={_0167=::;8365;6;|a564b280>6<4:{%;46?22:2B2:n5aa269524a3`8:4o4>::k15=e=931b><6k:088m77?m3;17b<=1282>>d5:891<7;50;2x <152=hm7):;9;:0a>">;00:o>?4H565?kg4<3;52z\15=d<5;8:?7::5:p64>d2909wS<>8b9>67742=?=7p}=19f94?4|V;;3h63=2019001b3489=>4;599~w746;3:1>vP=20189746;32>56srb011b?7==3;19v*6738717=O1?i0bl=;:0505>o590;1=75f20;1>4<<:51;9a6773290>6=4?{%;46?2en2.?84473d9'=6?=9j9:7E:;6:lb71<6?:80e9;::188m1312900e9;8:188m13?2900c5;6:188yv46180;6?uQ20;2?8459=0?985rs33:6?6=:rT9=4<4=3020?22>2wx><7<:181[461:16>??;:574?xu590>1<7;5:8>18864}r0151<72;qU>??;;<01511<62=q/5:<54408L<0d3gk887?8329j67732800e?<>2;39?l459:0:66a=21d95?=e:;;>6=4;:183!?0:3>ij6*;4884b3=#1:31=n=>;I672>hf;=0:;>:4i576>5<5<??;;<0150<3=<1v?<>2;296~X5:8801?<>5;662>{t:;;86=4={_0156=::;;>69;8;|q165`=838pR?33c95?3=93?p(49=:571?M?1k2dj?94>7278m772>3;17d<>5682>>o59<21=75f207:>4<265=j;%;0=?7d;81C8984n`17>414>2c?984?::k713<722c?9:4?::k71=<722e3944?::p64312909wS<>579>67672=?>7p}=14594?4|V;;>;63=2129000vP=14;8974783>>46s|2323>5<5sW89<=522323>=3>3twi=>4<2s-3<>7::2:J:2f=ii:>1=:=8;h023c<622c9=5>51;9j64>62800e??72;39?j45880:66l=21394?3=83:p(49=:5`e?!231328i6*63882g67<@=>=7co<4;347==n<<6?:181[460916>?>>:575?xu591;1<7;5:9;18894}r02<7<72;qU><6=;<0144<3=11v?{zj89947?54;390~">?;0?9?5G97a8jd5328=856g=21395?=n:8lm6<44i3034?7=3f8:jn4>::`1654=83>1<7>t$851>1da3->?579i6:&:7<<6k:;0D9:9;oc00?70;h1b88;50;9j000=831b88950;9l<0?=831v?{t:8lm6=4={_02bc=::;:969;9;|q1656=838pR?fb83>7}Y:8lh70<=038;1<=zuk;?<:4>:482>0}#1>8188<4H84`?kg4<3;4<=lc;291?6=8r.2;?4;bg9'01?=09:0(4=6:3g3<>N3:5161`?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<67794?4|V:<=963<3ba9003vP<6718965dk3>>;6s|3745>5<5sW9=:;5232a`>13?3ty8<=h50;0xZ667n278?nm584;8yxd48h81=7;50;2x <152=hm7):;9;5:5>">;009i=64H565?kg4<3;;:7?55;391~">?;0?9?5G97a8jd5328=8i6g<67695?=n;?<86<44i2451?7=3`9=:;4>::m045b=931i?=>j:186>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>72d8m1322900e9;9:188m1302900e9;7:188k=3>2900q~=96583>7}Y;?52z\0235<5::;i7::6:p73022909wS=9649>756b2=?<7p}<67494?4|V:<=:63<01g900>0:684?:1y'=24=>o3=?0;66g;5683>M26m21b88650;9l<0?=831vn<:6f;391?7==r.2;?4;539K=3e;=:088m65293;17d=<3282>>i4?121=75m36:;>5<2290;w)782;6ab>"3<00<9n5+92;96`6?3A>?:6`n35823146=44i575>5<5<?k;<14<=<3=<1v>=:2;296~X4;<801>978;662>{t;:?:6=4={_1014=:;>2369;8;|q0765=838pR>=<3:?03=>=<<20q~=88983>7}Y;>2370=8898;1<=zuk;?m<4>:482>0}#1>8188<4H84`?kg4<3;<8>5f327;>4<>7?5;h101f<622c8>;o51;9l72>c2800n>97d;291?6=8r.2;?4;bg9'01?=?N3:51667?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<34:94?4|V:9>463<79f9003vP<34a8961?l3>>;6s|334b>5<5sW99:l5236:g>13?3ty8;5j50;0xZ61?l278;5j584;8yxd6<0o1=7852;5x <152=?97E79c:lb71<6?=?0e>978;39?l500k0:66g<79f95?=n;:?>6<44i2g13?7=3f9<;44>::`032?=83?1<7>t$851>1da3->?576>5:&:7<<5m920D9:9;oc00?705<5<5}#1>818oh4$56:>=623A>?:6`n35823116=44i575>5<97b;296~X4?1h01>989;662>{t;>2o6=4={_14=269;7;|q0703=838pR>=:5:?032g=<7}Y;l8<70=87`8713=z{:=<57>52z\032?<5:=<576:9:p721f2909w0=8788712=:;>=j65;6;|a72>7280>6=4?{%;46?2en2.?8448e69'=6?=:l:37E:;6:lb71<6?=20e9;::188m1312900e9;8:188m13?290C85=2.2?44=e1:8L1213gk887?8489j003=831b88850;9l<0?=831vn>9n3;391?6=8r.2;?4;bg9'01?=?m<0(4=6:3g3<>N3:5166b?l22=3:17d::6;29?l22?3:1D9?j;:k71=<722e3944?::a51g3280>6<4:{%;46?22:2B2:n5aa269522e3`98=h4>::k0704=931b?>;>:088m654<3;17b=8a582>>d4?h>1<7;50;2x <152=hm7):;9;56g>">;009i=64H565?kg4<3;<8n5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:9:i7>52z\074c<5:=j87::5:p76352909wS=<539>72g32=?=7p}<34394?4|V:9>=63<7`69001vP<7`68961f<32>56srb06b1?7==3;19v*6738717=O1?i0bl=;:057`>o4:4<87?5;h1016<622e8;l;51;9a72g2290>6=4?{%;46?2en2.?84485e9'=6?=:l:37E:;6:lb71<6?=o0e9;::188m1312900e9;8:188m13?2900c5;6:188yv55=k0;6?uQ337a?850i<0?985rs2160?6=:rT8?8:4=25b1?22>2wx??;;:181[55==16?:o::574?xu4;<91<7;4?h?18864}r14e0<72;qU?:o:;<14e00<62<:3;39?l54=j0:66g<27`95?=h;>k26<44b25b=?6==3:17c702B?8;5aa26952373`>>97>5;h662?6=3`>>;7>5;h6657>5;|q070>=838pR>=:8:?03d?=<7}Y;;?870=8a88713=z{:9>o7>52z\070e<5:=j57::7:p770e2909wS==6c9>72g>2=?37p}<7`;94?4|V:=j563<7`;9<0?hf;=0:;8?4i25b0?7=3`9::k03d?=931b?>;::088m6c503;17b=89482>>d4?0?1<7;50;2x <152=hm7):;9;:21>">;009i=64H565?kg4<3;<9?5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:=2:7>53;294~">?;0?nk5+45;9<53<@=>=7co<4;3416=n<;4?0?18864}r1010<72;qU?>;:;<14=3<3=<1v>k=8;296~X4m;201>966;662>{t;>3>6=4={_14=0=:;>3>65;6;|q03<0=838p1>965;663>;4?0<14874}|`03t$851>1da3->?579j7:&:7<<5m920D9:9;oc00?70==1b88;50;9j000=831b88950;9j00>=83B?=h54o97:>5<:283>5}#1>818oh4$56:>=423-38575<7s-3<>7:mf:&70<<0l?1/5>752d2;?M23>2dj?94>7448m1322900e9;9:188m130290C857>5;|`20d?=93?1=7;t$851>1353A3=o6`n35823019l0;39?g50k90;684?:1y'=24=46g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{t;:;m6=4={_105c=:;>i;69;:;|q0704=838pR>=:2:?03f6=<<<0q~=<5083>7}Y;:?:70=8c18712=z{:9897>52z\0763<5:=h<7::8:p72e72909wS=8c19>72e721?27psm15ca>4<2280>w)782;666>N>>j1em>:5167:?l54=10:66g<24695?=n;:?h6<44i205g?7=3f9::`03f3=83?1<7>t$851>1da3->?579:c:&:7<<5m920D9:9;oc00?70=h1b88;50;9j000=831b88950;9j00>=831d48750;9~w65203:1>vP<34:8961d=3>>96s|3377>5<5sW99995236a6>1313ty8?8m50;0xZ652k278;n;54458yv55>j0;6?uQ334`?850k<0?955rs25`1?6=:rT8;n;4=25`1?>212wvn<:n8;392?4=?r.2;?4;539K=3e>o4m;31=75`36`2>4<55;294~">?;0?nk5+45;9<43<,0926?k?8:J703=ii:>1=:;l;h661?6=3`>>:7>5H53f?>o3=>0;66g;5983>>i?=00;66sm36`1>5<4290;w)782;6ab>"3<003<85G4548jd5328=>h6g;5483>>o3=?0;66a75883>>{t;>i;6=4={_14g5=:;>h:69;:;|q03f5=838pR>9l3:?03g7=<<<0q~=8c483>7}Y;>i>70=8b0871==z{:9>97>52z\0703<5:=i>7::5:p7`4>2909wS=j289>72d52=?=7p}<7c394?4|V:=i=63<7c39<0?72d62=?<70=8b38;1<=zuk9:483>5}#1>818oh4$56:>2c03-3857=h0<31<75rb25a=?7=;3:17c702B?8;5aa269523a3`>>97>5;h662?6=3f2>57>5;|`03a>=93?1<7>t$851>1da3->?579k6:&:7<<5m920D9:9;oc00?70>91b88;50;9j000=831b88950;J75`=5<:482>0}#1>8188<4H84`?kg4<3;<:<5f327;>4<97?5;h101f<622c8>;j51;9l72b>2800n>9k9;291?6=8r.2;?4;bg9'01?=?N3:51641?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<34:94?4|V:9>463<7e;9003vP<34a8961c13>>;6s|334g>5<5sW99:i5236f:>13?3ty8;i750;0xZ61c1278;i7584;8yxd6<:9;39?l54==0:66g<24495?=n;:?86<44o25ge?7=3k95}#1>818oh4$56:>23c3-38571<7;4?mk18884}r1113<72;qU??;9;<14`d<3=>1v>=:3;296~X4;<901>9ka;66<>{t;>nj6=4={_14`d=:;>nj65;6;|a51ga280>6<4:{%;46?22:2B2:n5aa26952023`9::k03a?=931b?>;::088m6c5i3;17b=8dc82>>d4?mh1<7;50;2x <152=hm7):;9;:20>">;009i=64H565?kg4<3;<:;5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:=om7>52z\03ag<5:=on7::5:p72b>2909wS=8d89>72be2=?=7p}<34794?4|V:9>963<7e`9001l4?:3y]7`4f349vP<7e`8961cj32>56srb25g5?7==3:17c702B?8;5aa26952003`>>97>5;h662?6=3`>>;7>5;h66>{e;>n96<4<:183!?0:3>ij6*;488;60=#1:31>h>7;I672>hf;=0:;;64i576>5<5<:483>5}#1>818oh4$56:>2b13-3857:i65f44:94?=h0<31<75rb06a5?7==3;19v*6738717=O1?i0bl=;:055e>o4;<21=75f3375>4<o7?5;h112`<622e8;k>51;9a72`7290>6=4?{%;46?2en2.?84485b9'=6?=:l:37E:;6:lb71<6??h0e9;::188m1312900e9;8:188m13?2900c5;6:188yv54=10;6?uQ327;?850n90?985rs2062?6=:rT8>884=25e4?22>2wx?>;l:181[54=j16?:h?:574?xu4:?o1<7;4?o:18864}r14b5<72;qU?:h?;<14b50<62=:4;39?l55=>0:66g<34195?=h;>l:6<44b25e5?6==3:17c702B?8;5aa269520c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q060>=838pR><:8:?03c7=<7}Y;:??70=8f08713=z{:8>;7>52z\0601<5:=m=7::7:p76342909wS=<529>72`62=?37p}<7g394?4|V:=m=63<7g39<0?hf;=0:;;k4i25e5?7=3`9::k0703=931b?h0<729q/5:<54cd8 12>21;?7)7<9;0f4==O<=<0bl=;:055b>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|36d2>5<5sW91323ty8;k>50;0xZ61a8278;k<54448yv54=<0;6?uQ3276?850n;0?9:5rs2g1f?6=:rT8i?l4=25e6?2202wx?:h=:181[50n;16?:h=:97:?x{e;>o36<4::183!?0:3>ij6*;4884a2=#1:31>h>7;I672>hf;=0:;:>4i576>5<5<213:17pl<7d;95?5=83:p(49=:5`e?!23132996*63881a5><@=>=7co<4;3434=n<7c702B?8;5aa26952153`>>97>5;h662?6=3`>>;7>5H53f?>o3=10;66a75883>>{e9=h>6<4::086!?0:3>>>6F66b9me62=9>=87d==6g82>>o4;4<?7?5;n1;42<622h84=950;794?6|,0=969li;%67=?12l2.2?44=e1:8L1213gk887?8759j003=831b88850;9j001=831b88650;9l<0?=831v><9f;296~X4:?l01>6?7;661>{t;:?h6=4={_101f=:;1:<69;9;|q060>=838pR><:8:?0<51=<<=0q~=<5283>7}Y;:?870=706871==z{:2;;7>52z\0<51<5:2;;76:9:~f6>703;187>50z&:37<3jo1/89757ga8 <5>2;o;46F;479me62=9>=>7d::5;29?l22>3:17d::7;29?j>213:17pl>4c495?3=93?p(49=:571?M?1k2dj?94>7648m6>7?3;17d=70982>>o4;4<265?;;%;0=?4b811C8984n`17>410?2c?984?::k713<722c?9:4?::k71=<722e3944?::p7=602909wS=7069>7=6>2=?>7p}<81:94?4|V:2;463<81;9000vP713>>46s|392:>5<5sW93<452392:>=3>3twi?:hi:086>5<7s-3<>7:mf:&70<<0m>1/5>752d2;?M23>2dj?94>76:8m1322900e9;9:188m1302900e9;7:18K04c<3f2>57>5;|`0<56=9391<7>t$851>1da3->?576=5:&:7<<5m920D9:9;oc00?70?01b88;50;9j000=831d48750;9~f6>6l3;197>50z&:37<3jo1/89757e48 <5>2;o;46F;479me62=9>=j7d::5;29?l22>3:17d::7;29L17b32c?954?::m;1<<722wi=9l7:086>4<2s-3<>7::2:J:2f=ii:>1=:9m;h101=<622c8>8651;9j763d2800e><:2;39?j5?9l0:66l<80g94?3=83:p(49=:5`e?!2313=>o6*63881a5><@=>=7co<4;343f=n<;408o18894}r1117<72;qU??;=;<1;5`<3=11v>6>e;296~X408o01>6>e;:6=>{zj8>i57?55;391~">?;0?9?5G97a8jd5328=4>::m0<4`=931i?5?i:186>5<7s-3<>7:mf:&70<<0=m1/5>752d2;?M23>2dj?94>76g8m1322900e9;9:188m1302900e9;7:188k=3>2900q~==5783>7}Y;;?=70=71g8710=z{:9>87>52z\0702<5:2:j7::6:p773>2909wS==589>7=7a2=?<7p}<34194?4|V:9>?63<80d900>:4y'=24=<<80D48l;oc00?70?o1b?5?i:088m6>6m3;17d=<5482>>o4m;n1=75`3903>4<55;294~">?;0?nk5+45;9<42<,0926?k?8:J703=ii:>1=:6?;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty846n2784?>54478yv5?9l0;6?uQ393f?85?:90?9;5rs2161?6=:rT8?8;4=2:14?22?2wx?h;40;:14874}|`0<40=93?1<7>t$851>1da3->?579j7:&:7<<5m920D9:9;oc00?70081b88;50;9j000=831b88950;9j00>=83B?=h54o97:>5<:283>5}#1>818oh4$56:>=423-38575<7s-3<>7:mf:&70<<0l?1/5>752d2;?M23>2dj?94>7918m1322900e9;9:188m130290C857>5;|`20ge=93?1=7;t$851>1353A3=o6`n35823=247?5;h111<<622c8?8m51;9j77342800c>6<5;39?g5?;<0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;:?36=4={_101==:;19>69;:;|q060?=838pR><:9:?0<63=<<<0q~=<5b83>7}Y;:?h70=7348712=z{:8>?7>52z\0605<5:2897::8:p7=522909wS=7349>7=5221?27psm15`g>4<2280>w)782;666>N>>j1em>:516:5?l55=<0:66g<34695?=n;;?j6<44i2167?7=3f93?;4>::`0<60=83?1<7>t$851>1da3->?579:d:&:7<<5m920D9:9;oc00?700>1b88;50;9j000=831b88950;9j00>=831d48750;9~w642=3:1>vP<247896>4>3>>96s|3277>5<5sW9899523915>1313ty8>8o50;0xZ642i2784>854458yv54=:0;6?uQ3270?85?;?0?955rs2:02?6=:rT84>84=2:02?>212wvn<:me;391?7==r.2;?4;539K=3e>i40:=1=75m3914>5<2290;w)782;6ab>"3<003=95+92;96`6?3A>?:6`n35823=?6=44i575>5<5<6<5;296~X40:?01>6<7;662>{t;:?>6=4={_1010=:;19<69;8;|q0a7c=838pR>k=e:?0<61=<<20q~=73683>7}Y;19<70=7368;1<=zuk93>i4>:483>5}#1>818oh4$56:>2c03-3857=h0<31<75rb2:1a?7=;3:17c702B?8;5aa26952>e3`>>97>5;h662?6=3f2>57>5;|`061`=9391<7>t$851>1da3->?576=5:&:7<<5m920D9:9;oc00?700j1b88;50;9j000=831d48750;9~f6b1l3;187>50z&:37<3jo1/897579`8 <5>2:n=o6F;479me62=9>2o7d::5;29?l22>3:17d::7;29?j>213:17pl>44d95?2=93>p(49=:571?M?1k2dj?94>79g8m04a2800e>896;39?l51>>0:66a<3b:95?=e;:i26=4;:183!?0:3>ij6*;4884b3=#1:31>h>7;I672>hf;=0:;5h4i576>5>96s|3745>5<5sW9=:;5232a:>1313ty8:;950;0xZ601?278?n754458yv54k10;6?uQ32a;?854k003945r}c1;0g<62<0;6=u+96090g`<,=>26:j9;%;0=?4b811C8984n`17>41>82c?984?::k713<722c?9:4?:I62a>=n<<21<75`84;94?=zj8>h<7?55;391~">?;0?9?5G97a8jd5328=2=6g<24c95?=n;:?36<44i206f?7=3`989>4>::m0<1e=931i?5:l:186>5<7s-3<>7:mf:&70<<0=m1/5>752d2;?M23>2dj?94>7808m1322900e9;9:188m1302900e9;7:188k=3>2900q~==5`83>7}Y;;?j70=74b8710=z{:9>47>52z\070><5:2?o7::6:p773e2909wS==5c9>7=2d2=?<7p}<34194?4|V:9>?63<85a900>>o3=?0;66g;5683>>i?=00;66sm15a2>4<2280>w)782;666>N>>j1em>:516;7?l5?6<44i2g1b?7=3f938h4>::`0<1c=83?1<7>t$851>1da3->?576>4:&:7<<5m920D9:9;oc00?701<1b88;50;9j000=831b88950;9j00>=831d48750;9~w6>3k3:1>vP<85a896>3m3>>96s|396g>5<5sW938i52396f>1313ty8?8;50;0xZ652=27849k54458yv5b:o0;6?uQ3d0e?85?212wvn>6;4;391?6=8r.2;?4;bg9'01?=?l=0(4=6:3g3<>N3:516;5?l22=3:17d::6;29?l22?3:17d::8;29L17b32e3944?::a7=2228086=4?{%;46?2en2.?8447249'=6?=:l:37E:;6:lb71<6?0=0e9;::188m1312900c5;6:188yg55m>0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm39:7>4<2290;w)782;6ab>"3<00?:6`n358236=44i575>5<=:8;39?l55=k0:66g<34a95?=n;;?>6<44o2:;1?7=3k93484?:483>5}#1>818oh4$56:>23d3-3857;7:181[54=116?56::576?xu4:;401?18884}r101f<72;qU?>;l;<1;<0<3=>1v><:5;296~X4:675;66<>{t;12>6=4={_1;<0=:;12>65;6;|a51ee280>6<4:{%;46?22:2B2:n5aa26952?d3`999>4>::k0702=931b??;k:088m652;3;17b=78782>>d401<1<7;50;2x <152=hm7):;9;56`>">;009i=64H565?kg4<3;<5i5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:8>?7>52z\0605<5:23:7::5:p76332909wS=<559>7=>12=?=7p}<24f94?4|V:8>h63<89490014?:3y]763434934;4;599~w6>?>3:1>vP<894896>?>32>56srb06`g?7==3;19v*6738717=O1?i0bl=;:05:a>o401<1=75f39:6>4<97?5;h1f74<622e845951;9a7=>0290>6=4?{%;46?2en2.?8447159'=6?=:l:37E:;6:lb71<6?0l0e9;::188m1312900e9;8:188m13?2900c5;6:188yv5?0?0;6?uQ39:5?85?0>0?985rs2:;1?6=:rT845;4=2:;3?22>2wx?>;::181[54=<16?568:574?xu4m:;1<7;401=18864}r1;<2<72;qU?568;<1;<20<729q/5:<54cd8 12>2>3j7)7<9;0f4==O<=<0bl=;:05b4>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm395f>4<4290;w)782;6ab>"3<003>85+92;96`6?3A>?:6`n35823d76=44i575>5">;009i=64H565?kg4<3;7c702B?8;5aa26952g43`>>97>5;h662?6=3`>>;7>5H53f?>o3=10;66a75883>>{e9=in6<4::086!?0:3>>>6F66b9me62=9>k?7d=<5982>>o4:4<:7?5;n1;=f<622h844m50;794?6|,0=969li;%67=?12k2.2?44=e1:8L1213gk887?8a49j003=831b88850;9j001=831b88650;9l<0?=831v>=:8;296~X4;<201>66c;661>{t;;?o6=4={_111a=:;13h69;9;|q070e=838pR>=:c:?0<7}Y;;?=70=79b871==z{:22o7>52z\0<41f>2c8>8<51;9j76332800e><:e;39?l54=:0:66a<88f95?=e;13o6=4::183!?0:3>ij6*;48841a=#1:31>h>7;I672>hf;=0:;l94i576>5<5<5<vP<346896>>l3>>:6s|337f>5<5sW999h5239;g>1303ty8?8=50;0xZ652;27844j544:8yv5?1m0;6?uQ39;g?85?1m03945r}c37`5<62<0:68u+9609004<@0::k0a64=931d?57j:088f6>>m3:197>50z&:37<3jo1/89758068 <5>2;o;46F;479me62=9>k27d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu400n1<7;400o188;4}r1;=f<72;qU?57l;<1;=`<3=?1v>=:5;296~X4;66e;663>{t;l996=4={_1f77=:;13n69;7;|q0<66e:?0<<@=>=7co<4;34ed=n<4?:1y'=24=>o3=?0;66a75883>>{e;;o?6<4;:183!?0:3>ij6*;4884gf=#1:31>h>7;I672>hf;=0:;lm4i576>5<5<26:j9;%;0=?4b811C8984n`17>41fl2c?984?::k713<722c?9:4?:I62a>=n<<21<75`84;94?=zj8>o>7?55;391~">?;0?9?5G97a8jd5328=ji6g<34:95?=n;;?n6<44i216g?7=3`999:4>::m05<7s-3<>7:mf:&70<<0=j1/5>752d2;?M23>2dj?94>7`d8m1322900e9;9:188m1302900e9;7:188k=3>2900q~=<5983>7}Y;:?370=7b28710=z{:8>i7>52z\060c<5:2i?7::6:p763d2909wS=<5b9>7=d42=?<7p}<24594?4|V:8>;63<8c1900>4?:3y]7=d43493n>47589~yg73l:0:684>:4y'=24=<<80D48l;oc00?70j91b??8i:088m652<3;17d==5g82>>o4;<91=75`39`7>4<55;294~">?;0?nk5+45;930b<,0926?k?8:J703=ii:>1=:l>;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty8>;h50;0xZ641n2784o:54478yv54==0;6?uQ3277?85?j=0?9;5rs206b?6=:rT8>8h4=2:a0?22?2wx?>;<:181[54=:16?5l;:57;?xu40k>1<7;40k>14874}|`20a2=93?1=7;t$851>1353A3=o6`n35823g46m5;39?g5?j<0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;1h?6=4={_1;f1=:;1h>69;:;|q06m3:?07}Y;:?>70=7b48712=z{:o8?7>52z\0a65<5:2i97::8:p7=d22909wS=7b49>7=d221?27psm39ca>4<2290;w)782;6ab>"3<00?:6`n35823g26=44i575>5<5">;009i=64H565?kg4<3;?;0?nk5+45;93fe<,0926?k?8:J703=ii:>1=:l9;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`0t$851>1da3->?579k6:&:7<<5m920D9:9;oc00?70j>1b88;50;9j000=831b88950;J75`=5<:482>0}#1>8188<4H84`?kg4<3;4<j7?5;h101f<622c8>8651;9l7=ef2800n>6la;291?6=8r.2;?4;bg9'01?=?N3:516`:?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<34:94?4|V:9>463<8bc9003vP<34a896>di3>>;6s|337;>5<5sW99955239ab>13?3ty84no50;0xZ6>di2784no584;8yxd6<9e;39?l54==0:66g<27295?=n;:?86<44o2:`f?7=3k93oo4?:483>5}#1>818oh4$56:>23c3-3857l16?5mm:576?xu4;<>1<7;40jh18884}r1125<72;qU??8?;<1;gg<3=>1v>=:3;296~X4;<901>6lb;66<>{t;1ii6=4={_1;gg=:;1ii65;6;|a51b>280>6<4:{%;46?22:2B2:n5aa26952dd3`93oo4>::k0;::088m6c4<3;17b=7cb82>>d40ji1<7;50;2x <152=hm7):;9;:20>">;009i=64H565?kg4<3;52z\07=ed2=?=7p}<34794?4|V:9>963<8ba9001dk3:1>vP<8ba896>dk32>56srb20f6?7=<3:17c702B?8;5aa26952db3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th84h>51;794?6|,0=969li;%67=?1c>2.2?44=e1:8L1213gk887?8bg9j003=831b88850;9j001=83B?=h54i57;>5<::k060?=931d?5k>:088f6>b93:197>50z&:37<3jo1/897574a8 <5>2;o;46F;479me62=9>i:7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4;<21<7;40l;188;4}r1125<72;qU??8?;<1;a4<3=?1v>=:c;296~X4;6j1;663>{t;;?26=4={_111<=:;1o:69;7;|q0<`7=838pR>6j1:?0<`7=0<30qpl>4ef95?3=93?p(49=:571?M?1k2dj?94>7b08m641l3;17d=<5582>>o4:?;1=75f3270>4<7?5;c1;a7<72<0;6=u+96090g`<,=>26:;k;%;0=?4b811C8984n`17>41d;2c?984?::k713<722c?9:4?::k71=<722e3944?::p770c2909wS==6e9>7=c52=?>7p}<34694?4|V:9>863<8d09000vP<341896>b:3>>46s|39g1>5<5sW93i?5239g1>=3>3twi=9jj:086>4<2s-3<>7::2:J:2f=ii:>1=:m;;h1;a7<622c84h?51;9j76322800e>k<5;39?j5?m:0:66l<8d194?3=83:p(49=:5`e?!23132:86*63881a5><@=>=7co<4;34g0=n<:181[5?m816?5k<:575?xu4;;40l918894}r1f70<72;qU?h=:;<1;a6<3=11v>6j3;296~X40l901>6j3;:6=>{zj:8n=7?54;294~">?;0?nk5+45;93fe<,0926?k?8:J703=ii:>1=:m9;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`0t$851>1da3->?579k6:&:7<<5m920D9:9;oc00?70k>1b88;50;9j000=831b88950;J75`=5<:482>0}#1>8188<4H84`?kg4<3;4<8o51;9l7=`?2800n>6i8;291?6=8r.2;?4;bg9'01?=?N3:516a:?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<34:94?4|V:9>463<8g:9003vP<34a896>a03>>;6s|337b>5<5sW999l5239d;>13?3ty84k650;0xZ6>a02784k6584;8yxd6<9c;39?l54==0:66g<27095?=n;:?86<44o2:e=?7=3k93j44?:483>5}#1>818oh4$56:>23c3-3857j16?5h6:576?xu4;<>1<7;40o318884}r1127<72;qU??8=;<1;b<<3=>1v>=:3;296~X4;<901>6i9;66<>{t;1l26=4={_1;b<=:;1l265;6;|a51c3280>6<4:{%;46?22:2B2:n5aa26952ed3`93j44>::k0=931b?>;::088m6c4>3;17b=7f`82>>d40ok1<7;50;2x <152=hm7):;9;:20>">;009i=64H565?kg4<3;52z\07=`f2=?=7p}<34794?4|V:9>963<8gc9001ai3:1>vP<8gc896>ai32>56srb2:fg?7==3:17c702B?8;5aa26952eb3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`25=0=93>1=7:t$851>1353A3=o6`n35823f`::m607<622h8=no50;694?6|,0=969li;%67=?1a>2.2?44=e1:8L1213gk887?8d19j003=83B?=h54i575>5<5<52z\67c=:;8ij69;:;|q07ge=838pR>=mc:?05fg=<<<0q~=7}Y;:hm70=>c`8712=z{<>96=4={_776>;49jk14874}|`05``=93?1<7>t$851>1da3->?57961:&:7<<5m920D9:9;oc00?70l81b88;50;9j000=831b88950;9j00>=83B?=h54o97:>5<:483>5}#1>818oh4$56:>2d03-38575<7s-3<>7:mf:&70<<0181/5>752d2;?M23>2dj?94>7e18m1322900e9;9:188m1302900e9;7:188k=3>2900qo==a082>6<729q/5:<54cd8 12>218>7)7<9;0f4==O<=<0bl=;:05g0>o3=<0;6E:>e:9j000=831d48750;9~f64f:3;197>50z&:37<3jo1/89757c68 <5>2;o;46F;479me62=9>n>7d::5;29?l22>3:17d::7;29?l2203:1D9?j;:m;1<<722wi=9:9:086>4<2s-3<>7::2:J:2f=ii:>1=:j9;h11e6<622c8>l?51;9j10>=931b??o=:088k64f83;17o==a983>0<729q/5:<54cd8 12>21;>7)7<9;0f4==O<=<0bl=;:05g3>o3=<0;6E:>e:9j000=831b88950;9j00>=831d48750;9~w64f;3:1>vP<2`18964f03>>96s|33c2>5<5sW99m<5233c;>1313ty>954?:3y]10><5:8j47::7:p77g52909wS==a39>77g?2=?37p}<2`294?4|V:8j<63<2`:9<0?h>51;694?6|,0=969li;%67=?1dk2.2?44=e1:8L1213gk887?8d99j003=831b88850;9j001=831d48750;9~f425n3;187?54z&:37<3=;1C5;m4n`17>41c12c>?<4>::k023>=931b?;86:088k67fj3;17o=>ac83>1<729q/5:<54cd8 12>2>l<7)7<9;0f4==O<=<0bl=;:05ge>o3=<0;6E:>e:9j000=831b88950;9l<0?=831v8=>:181[349278=ll54478yv51>10;6?uQ374;?856ik0?9;5rs245=?6=:rT8:;74=23bf?22?2wx?ij6*;4884`3=#1:31>h>7;I672>hf;=0:;il4i576>5<5213:17pl>4d595?3=93?p(49=:571?M?1k2dj?94>7ea8m65203;17d==6382>>o4;4<26:;l;%;0=?4b811C8984n`17>41cl2c?984?::k713<722c?9:4?::k71=<722e3944?::p763?2909wS=<599>7<6a2=?>7p}<27094?4|V:8=>63<91d9000vP<24`896?7n3>>46s|382e>5<5sW92=3>3twi=9k7:086>4<2s-3<>7::2:J:2f=ii:>1=:jj;h112g<622c8?8:51;9j77042800e>=:3;39?j5>990:66l<90294?3=83:p(49=:5`e?!2313=>h6*63881a5><@=>=7co<4;34`c=n<;l4=2;24?22=2wx?>;;:181[54==16?4??:575?xu4:?91<7;418:18894}r1016<72;qU?>;<;<1:55<3=11v>7>0;296~X418:01>7>0;:6=>{zj8>n57?55;391~">?;0?9?5G97a8jd5328=n<6g<90295?=n;0:m6<44i2161?7=3`9n?:4>::m0=47=931i?4?>:186>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>7d38m1322900e9;9:188m1302900e9;7:188k=3>2900q~=61183>7}Y;0;;70=6108710=z{:3;j7>52z\0=5`<5:3:=7::6:p76322909wS=<549>7<762=?<7p}6g;5483>>o3=?0;66g;5683>>i?=00;66sm3802>4<2290;w)782;6ab>"3<00?:6`n35823`56=44i575>5<50e>=:8;39?l55>:0:66g<34a95?=n;;?o6<44o2;16?7=3k92>?4?:483>5}#1>818oh4$56:>23d3-3857;7:181[54=116?4<=:576?xu4:?91<7;41;818884}r101f<72;qU?>;l;<1:67<3=>1v><:d;296~X4:7=2;66<>{t;0896=4={_1:67=:;08965;6;|a51cd280>6<4:{%;46?22:2B2:n5aa26952c13`99:l4>::k0702=931b??8;:088m652;3;17b=62282>>d41;91<7;50;2x <152=hm7):;9;56`>">;009i=64H565?kg4<3;52z\063g<5:39?7::5:p76332909wS=<559>7<442=?=7p}<27694?4|V:8=863<93190014?:3y]76343492>>4;599~w6?5;3:1>vP<931896?5;32>56srb06f`?7==3;19v*6738717=O1?i0bl=;:05f<>o41;91=75f3801>4<97?5;h1f7=<622e85?:51;9a7<43290>6=4?{%;46?2en2.?8447159'=6?=:l:37E:;6:lb71<6?l30e9;::188m1312900e9;8:188m13?2900c5;6:188yv5>::0;6?uQ3800?85>:=0?985rs2;16?6=:rT85?<4=2;10?22>2wx?>;::181[54=<16?4<;:574?xu4m:21<7;41;>18864}r1:61<72;qU?4<;;<1:611<729q/5:<54cd8 12>2>ih7)7<9;0f4==O<=<0bl=;:05fe>o3=<0;66g;5783>>o3=>0;66a75883>>{e;0936<4::183!?0:3>ij6*;4884`3=#1:31>h>7;I672>hf;=0:;hl4i576>5<5213:17pl>4g295?3=93?p(49=:571?M?1k2dj?94>7da8m65203;17d==6582>>o4;4<26:;l;%;0=?4b811C8984n`17>41bl2c?984?::k713<722c?9:4?::k71=<722e3944?::p763?2909wS=<599>7<5>2=?>7p}<27694?4|V:8=863<92;9000vP<24g896?413>>46s|381:>5<5sW92?452381:>=3>3twi=9h>:086>4<2s-3<>7::2:J:2f=ii:>1=:kj;h1122<622c8?8:51;9j77022800e>=:3;39?j5>;h0:66l<92c94?3=83:p(49=:5`e?!2313=>h6*63881a5><@=>=7co<4;34ac=n<;94=2;0e?22=2wx?>;;:181[54==16?4=n:575?xu4:??1<7;41:k18894}r1016<72;qU?>;<;<1:7d<3=11v>77{zj8>m>7?55;391~">?;0?9?5G97a8jd5328=m<6g<92c95?=n;0926<44i2161?7=3`9n?44>::m0=6d=931i?4=m:186>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>7g38m1322900e9;9:188m1302900e9;7:188k=3>2900q~=63`83>7}Y;09j70=63c8710=z{:3857>52z\0=6?<5:38n7::6:p76322909wS=<549>7<5e2=?<7p}6g;5483>>o3=?0;66g;5683>>i?=00;66sm386e>4<2290;w)782;6ab>"3<00?:6`n35823c56=44i575>5<0e>=:8;39?l55><0:66g<34a95?=n;;?m6<44o2;64?7=3k929=4?:483>5}#1>818oh4$56:>23d3-3857;7:181[54=116?4;?:576?xu4:??1<7;41<:18884}r101f<72;qU?>;l;<1:15<3=>1v><:f;296~X4:7:0;66<>{t;0?;6=4={_1:15=:;0?;65;6;|a51`1280>6<4:{%;46?22:2B2:n5aa26952`13`999n4>::k0702=931b??89:088m652;3;17b=65082>>d41<;1<7;50;2x <152=hm7):;9;56`>">;009i=64H565?kg4<3;o7>52z\060e<5:3>=7::5:p76332909wS=<559>7<362=?=7p}<27494?4|V:8=:63<94390014?:3y]763434929<4;599~w6?293:1>vP<943896?2932>56srb06e3?7==3;19v*6738717=O1?i0bl=;:05e<>o41<;1=75f3873>4<97?5;h1f7d<622e858<51;9a7<35290>6=4?{%;46?2en2.?8447159'=6?=:l:37E:;6:lb71<6?o30e9;::188m1312900e9;8:188m13?2900c5;6:188yv5>=80;6?uQ3872?85>=;0?985rs2;64?6=:rT858>4=2;66?22>2wx?>;::181[54=<16?4;=:574?xu4m:k1<7;41<818864}r1:17<72;qU?4;=;<1:171<729q/5:<54cd8 12>2>ih7)7<9;0f4==O<=<0bl=;:05ee>o3=<0;66g;5783>>o3=>0;66a75883>>{e;0296<4::183!?0:3>ij6*;4884`3=#1:31>h>7;I672>hf;=0:;kl4i576>5<5213:17pl>51095?3=93?p(49=:571?M?1k2dj?94>7ga8m65203;17d==6782>>o4;4<26:;l;%;0=?4b811C8984n`17>41al2c?984?::k713<722c?9:4?::k71=<722e3944?::p763?2909wS=<599>7<>42=?>7p}<27494?4|V:8=:63<99190004;569~w64183:1>vP<272896??;3>>46s|38:0>5<5sW924>5238:0>=3>3twi=8><:086>4<2s-3<>7::2:J:2f=ii:>1=:hj;h1114<622c8?8:51;9j770?2800e>=:3;39?j5>0=0:66l<99694?3=83:p(49=:5`e?!2313=>h6*63881a5><@=>=7co<4;34bc=n<8?4=2;;0?22=2wx?>;;:181[54==16?46;:575?xu4:?21<7;411>18894}r1016<72;qU?>;<;<1:<1<3=11v>774;296~X411>01>774;:6=>{zj8?;87?55;391~">?;0?9?5G97a8jd53282;<6g<99695?=n;0286<44i2161?7=3`9n?n4>::m0==3=931i?46::186>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>8138m1322900e9;9:188m1302900e9;7:188k=3>2900q~=68583>7}Y;02?70=6848710=z{:33?7>52z\0==5<5:3397::6:p76322909wS=<549>7<>22=?<7p}234924847589~yg55lk0:694?:1y'=24=6g;5483>>o3=?0;66g;5683>>i?=00;66sm38;:>4<2290;w)782;6ab>"3<00?:6`n3582<556=44i575>5<0e><98;39?l54=10:66g<27;95?=n;:?86<44o2;:`?7=3k925i4?:483>5}#1>818oh4$56:>23c3-3857116?47k:576?xu4;<21<7;410n18884}r112<<72;qU??86;<1:=a<3=>1v>=:3;296~X4;<901>76d;66<>{t;03o6=4={_1:=a=:;03o65;6;|a506>280>6<4:{%;46?22:2B2:n5aa2695=613`925i4>::k0=;::088m6c4l3;17b=69d82>>d410o1<7;50;2x <152=hm7):;9;:20>">;009i=64H565?kg4<3;3<:5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:32h7>52z\0=7963<98g9001m3:1>vP<98g896?>m32>56srb20g=?7=<3:17c702B?8;5aa2695=6?3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th8>i651;694?6|,0=969li;%67=?1dk2.2?44=e1:8L1213gk887?7089j003=831b88850;9j001=831d48750;9~f64c?3;187>50z&:37<3jo1/89757ba8 <5>2;o;46F;479me62=91:j7d::5;29?l22>3:17d::7;29?j>213:17pl<2e495?2=83:p(49=:5`e?!2313=ho6*63881a5><@=>=7co<4;3;4g=n<?;0?nk5+45;93fe<,0926?k?8:J703=ii:>1=5>l;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`06a2=93>1<7>t$851>1da3->?579lc:&:7<<5m920D9:9;oc00?7?8m1b88;50;9j000=831b88950;9l<0?=831vn>N3:5192f?l22=3:17d::6;29?l22?3:17b6:9;29?xd4:m81=7:50;2x <152=hm7):;9;5`g>">;009i=64H565?kg4<3;37c702B?8;5aa2695=773`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th8>ho51;694?6|,0=969li;%67=?1dk2.2?44=e1:8L1213gk887?7109j003=831b88850;9j001=831d48750;9~f64b13;187>50z&:37<3jo1/89757ba8 <5>2;o;46F;479me62=91;97d::5;29?l22>3:17d::7;29?j>213:17pl<2d:95?2=83:p(49=:5`e?!2313=ho6*63881a5><@=>=7co<4;3;56=n<?;0?nk5+45;93fe<,0926?k?8:J703=ii:>1=5?;;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`06ag=93>1<7>t$851>1da3->?579lc:&:7<<5m920D9:9;oc00?7?9<1b88;50;9j000=831b88950;9l<0?=831vn>9=c;391?6=8r.2;?4;bg9'01?=?0l0(4=6:3g3<>N3:51935?l22=3:17d::6;29L17b32c?9:4?::k71=<722e3944?::a7250280>6=4?{%;46?2en2.?8448909'=6?=:l:37E:;6:lb71<608=0e9;::188m1312900e9;8:188m13?2900c5;6:188yg731;0:6:4=:9y'=24=<<80D48l;oc00?7?911b?:=8:088m615k3;17d=83`82>>o4lm;1=75f330b>4<602.2?44=e1:8L1213gk887?7189j003=831b88850;9j001=831d48750;9~f613i3:197>50z&:37<3jo1/897581d8L1213gk887?71`9j003=831b88850;9j001=831b88650;9l<0?=831v>9<7;296~X4?:=01>9;9;661>{t;>8h6=4={_146f=:;>>269;8;|q036g=838pR>97}Y;mn:70=84`8713=z{:89m7>52z\067g<5:=?m7::7:p77212909wS==479>722f2=?37p}<75;94?4|V:=?563<75;9<0?722>2=?=70=84`8;1<=zuk9<994>:483>5}#1>818oh4$56:>2ec3-3857b:k710<722c?9;4?::k712<722c?954?:I62a>=h0<31<75rb06:1?7==3;19v*6738717=O1?i0bl=;:0:2g>o4;8k1=75f3271>4<=7?5;h1075<622e8;8;51;9a7232290>6=4?{%;46?2en2.?84485b9'=6?=:l:37E:;6:lb71<608n0e9;::188m1312900e9;8:188m13?2900c5;6:188yv549h0;6?uQ323b?850=<0?985rs2166?6=:rT8?8<4=2561?22>2wx?>;>:181[54=816?:;::574?xu4;::1<7;4?0<622800e>=:4;39?l55=90:66g<34195?=h;>?=6<44b2562?6==3:17c702B?8;5aa2695=7a3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q063?=838pR><99:?0300=<7}Y;:??70=8578713=z{:8><7>52z\0606<5:=>:7::7:p76342909wS=<529>72312=?37p}<74494?4|V:=>:63<7449<0?hf;=0:4?>4i2562?7=3`9<984>::k077?=931b9n<51;9l72432800n>9=4;291?6=8r.2;?4;bg9'01?=08>0(4=6:3g3<>N3:51902?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<74494?4|V:=>:63<736900394;579~w65513:1>vP<33;89615<3>>;6s|5b094?4|V52z\0372<5:=9876:9:~f61483;1?7>50z&:37<3jo1/89758178 <5>2;o;46F;479me62=91897d::5;29?l22>3:17b6:9;29?xd4?:?1=7;50;2x <152=hm7):;9;5`<>">;009i=64H565?kg4<3;3>>5f44794?=n<<<1<75f44594?N39l10e9;7:188k=3>2900qo7<4;396?6=8r.2;?4;589'=6?=98N3:51907?l22<3:17b9"3j=0?9h5G4548jd53282996g;5583>>i0;o0;66smc2`95?5=83:p(49=:5`e?!23132996*6388a35=O<=<0bl=;:0:12>o3=<0;66g;5783>>i?=00;66smc2f95?5=83:p(49=:5`e?!23132996*6388a35=O<=<0bl=;:0:13>o3=<0;66g;5783>>i?=00;66smc2d95?5=83:p(49=:5`e?!23132996*6388a35=O<=<0bl=;:0:1<>o3=<0;66g;5783>>i?=00;66smc0f95?5=83:p(49=:5`e?!23132996*6388a35=O<=<0bl=;:0:1=>o3=<0;66g;5783>>i?=00;66smc0d95?5=83:p(49=:5`e?!23132996*6388a35=O<=<0bl=;:0:1e>o3=<0;66g;5783>>i?=00;66smc3395?5=83:p(49=:5`e?!23132996*6388a35=O<=<0bl=;:0:1f>o3=<0;66g;5783>>i?=00;66smc3195?5=83:p(49=:5`e?!23132996*6388a35=O<=<0bl=;:0:1g>o3=<0;66g;5783>>i?=00;66smc3795?5=83:p(49=:5`e?!23132996*6388a35=O<=<0bl=;:0:1`>o3=<0;66g;5783>>i?=00;66sm3617>4<4290;w)782;6ab>"3<003<;5+92;96`6?3A>?:6`n3582<7c6=44i575>5<::k023g=931d8kj51;9a75ee290>6=4?{%;46?2en2.?84473d9'=6?=:l:37E:;6:lb71<60::0e9;::188m1312900e9;8:188m13?2900c5;6:188yv54880;6?uQ3222?857kk0?985rs21`2?6=:rT8?n84=22`f?22>2wx?=68:181[570>16?=mm:574?xu4>?k1<7;48jh18864}r6e`?6=:rT?ji5231aa>=3>3twi>kok:086>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>8238m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>21837)7<9;0f4==O<=<0bl=;:0:06>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2g`;>4<2290;w)782;6ab>"3<003>55+92;96`6?3A>?:6`n3582<656=44i575>5<5<265<7;%;0=?4b811C8984n`17>4>4<2c?984?::k713<722c?9:4?::k71=<722e3944?::a5157280>6<4:{%;46?22:2B2:n5aa2695=523`9:nk4>::k603<622c8:;:51;9j167=931d?3:197>50z&:37<3jo1/89758028 <5>2;o;46F;479me62=919=7d::5;29L17b32c?9;4?::k712<722c?954?::m;1<<722wx?3>>:6s|3747>5<5sW9=:95230`5>1303ty>?<4?:3y]167<5:;i:7::8:p74d12909wS=>b79>74d121?27psm10;g>4<2280>w)782;666>N>>j1em>:51914?l51>:0:66g<67795?=n;?26:h>;%;0=?4b811C8984n`17>4>402c?984?::k713<722c?9:4?::k71=<722e3944?::p73042909wS=9629>74`22=?>7p}<67794?4|V:<=963<1g790003:1>vP<6748967a=3>>46s|5ca94?4|Vf48;1<=zuk9:j94>:483>5}#1>818oh4$56:>2?63-38574<2s-3<>7::2:J:2f=ii:>1=5=n;h1523<622c8:;;51;9j73032800e>893;39?j3em3;17o=>f283>0<729q/5:<54cd8 12>21997)7<9;0f4==O<=<0bl=;:0:0f>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|3745>5<5sW9=:;5230d0>1323ty8:;;50;0xZ601=278=k=54448yv51>=0;6?uQ3747?856n:0?9:5rs2457?6=:rT8:;=4=23e7?2202wx9ok50;0xZ0db349:j>47589~yg56n;0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e98k;6<4::086!?0:3>>>6F66b9me62=919o7d=96782>>o4>?91=75f3746>4<5}#1>818oh4$56:>=553-3857?16?:576?xu4>?91<7;49o;18884}r1520<72;qU?;8:;<12b4<3=>1v>894;296~X4>?>01>?i1;66<>{t=kl1<726:7>;%;0=?4b811C8984n`17>4>4n2c?984?::k713<722c?9:4?::k71=<722e3944?::a54g6280>6<4:{%;46?22:2B2:n5aa2695=273`9=:84>::k0230=931b?;8;:088m601;3;17b;l0;39?g56k80;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;?<>6=4={_1520=:;8i:69;:;|q0230=838pR>896:?05f7=<<<0q~=96583>7}Y;?c08712=z{:<=?7>52z\0235<5:;h=7::8:p1f6=838pR8m?;<12g4c182>0<729q/5:<54cd8 12>2>3:7)7<9;0f4==O<=<0bl=;:0:76>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1571>4<2280>w)782;666>N>>j1em>:51960?l55i:0:66g<2`395?=n=j;1=75f33c1>4<265?:;%;0=?4b811C8984n`17>4>3<2c?984?::k713<722c?9:4?::k71=<722e3944?::p77g42909wS==a29>76652=?>7p}<2`394?4|V:8j=63<310900052z\6g4=:;::969;8;|q06d4=838pR>7}Y;:::70=<038;1<=zuk8noh4>:483>5}#1>818oh4$56:>=403-3857hj6:086>5<7s-3<>7:mf:&70<1/5>752d2;?M23>2dj?94>8548m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>218<7)7<9;0f4==O<=<0bl=;:0:73>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2dg4>4<2290;w)782;6ab>"3<003>:5+92;96`6?3A>?:6`n3582<1>6=44i575>5<5<265<8;%;0=?4b811C8984n`17>4>312c?984?::k713<722c?9:4?::k71=<722e3944?::a6ce4280>6=4?{%;46?2en2.?8447299'=6?=:l:37E:;6:lb71<60=k0e9;::188m1312900e9;8:188m13?2900c5;6:188yg578:0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:lo26<4::183!?0:3>ij6*;488;62=#1:31>h>7;I672>hf;=0:49m4i576>5<5<5<:483>5}#1>818oh4$56:>=4?3-38579:086>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>85g8m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>218<7)7<9;0f4==O<=<0bl=;:0:7b>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2ga4>4<2290;w)782;6ab>"3<003>55+92;96`6?3A>?:6`n3582<066=44i575>5<5<265<7;%;0=?4b811C8984n`17>4>292c?984?::k713<722c?9:4?::k71=<722e3944?::a6`ce280>6=4?{%;46?2en2.?8447269'=6?=:l:37E:;6:lb71<60<80e9;::188m1312900e9;8:188m13?2900c5;6:188yg4ak10:684?:1y'=24=?6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e;9:36<4::183!?0:3>ij6*;488;6==#1:31>h>7;I672>hf;=0:48:4i576>5<5<5<:483>5}#1>818oh4$56:>=403-38575<7s-3<>7o=3:&:7<<5m920D9:9;oc00?7?=?1b88;50;9j000=831b5?850;9l36`=831vn>89c;390?6=8r.2;?4;bg9'01?=?ji0(4=6:3g3<>N3:51974?l22=3:17d::6;29?l22?3:17b6:9;29?xd4>?n1=7:50;2x <152=hm7):;9;5`g>">;009i=64H565?kg4<3;3955f44794?=n<<<1<75f44594?=h0<31<75rb2440?7=<3:1h>7;I672>hf;=0:4874i576>5<5<9m6=44}c1530<62=0;6=u+96090g`<,=>26:ml;%;0=?4b811C8984n`17>4>2i2c?984?::k713<722c?9:4?::m;1<<722wi?;99:087>5<7s-3<>7:mf:&70<<0kj1/5>752d2;?M23>2dj?94>84`8m1322900e9;9:188m1302900c5;6:188yg51?80:694?:1y'=24=i;90(4=6:3g3<>N3:5197`?l22=3:17d::6;29?l?5>3:17b9>81=7:50;2x <152=hm7):;9;5`g>">;009i=64H565?kg4<3;39i5f44794?=n<<<1<75f44594?=h0<31<75rb2447?7=<3:17c702B?8;5aa2695=3b3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th8:;k51;694?6|,0=96l<<;%;0=?4b811C8984n`17>4>2n2c?984?::k713<722c2>;4?::m47c<722wi?;8i:087>5<7s-3<>7:mf:&70<<0kj1/5>752d2;?M23>2dj?94>8728m1322900e9;9:188m1302900c5;6:188yg51?90:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm10c1>4<2280>w)782;666>N>>j1em>:51941?l50<<0:66g<75495?=n;>><6<44i25726:h?;%;0=?4b811C8984n`17>4>1;2c?984?::k713<722c?9:4?::k71=<722e3944?::p72222909wS=8449>72262=?>7p}<75494?4|V:=?:63<7539000vP<75:8961393>>46s|5b`94?4|V4>:482>0}#1>8188<4H84`?kg4<3;3:95f113g>4<51;9l1fe=931ii8:50;794?6|,0=969li;%67=?>6=2.2?44m719K010>:6s|113e>5<5sW;;=k52e469001=4?:3y]554734o>87::8:p1fe=838pR8ml;212wvnh;<:086>5<7s-3<>7:mf:&70<<0i:1/5>75b628L1213gk887?7679j003=831b88850;9j001=831b88650;9l<0?=831vn>i2km0:66lj4683>0<729q/5:<54cd8 12>21;>7)7<9;`44>N3:5194;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}>00794?4|V8::963j468710=z{8:::7>52z\2440<5l><69;9;|q2441=838pR<>>7:?f02<3=>1v<>>8;296~X688201h:8:57;?xu2km0;6?uQ5bf89`2021?27psme5495?3=83:p(49=:5`e?!2313=j?6*6388a35=O<=<0bl=;:0:5=>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm10c6>4<2280>w)782;666>N>>j1em>:5194b?l778m0:66g>01g95?=n99:m6<44i0224?7=3f?hj7?5;cg0e?6==3:1g173A>?:6`n3582<3d6=44i575>5<5<k;j:181[778l16i>o54448yv778o0;6?uQ112e?8c4i3>>;6s|1133>5<5sW;;==52e2c900>52z\6gc=:m:k14874}|`f7<<62<0;6=u+96090g`<,=>26:o<;%;0=?d082B?8;5aa2695=0d3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`25d0=93?1=7;t$851>1353A3=o6`n3582<3b6=4?{%;46?2en2.?8447149'=6?=j>:0D9:9;oc00?7?>l1b88;50;9j000=831b88950;9j00>=831d48750;9~w467=3:1>vP>01789`4c2=?>7p}>01494?4|V8:;:63j2e8713=z{8:;;7>52z\2451<5l8o69;8;|q245>=838pR<>?8:?f6a<3=11v8j?:181[3c827n>i47589~ygc5k3;197>50z&:37<3jo1/89757`18 <5>2k=;7E:;6:lb71<60?l0e9;::188m1312900e9;8:188m13?2900c5;6:188yg76i>0:684>:4y'=24=<<80D48l;oc00?7??91bjkj51;9jbcc=931bjkh51;9j55672800c8j>:088f`47290>6=4?{%;46?2en2.?8447149'=6?=j>:0D9:9;oc00?7??81b88;50;9j000=831b88950;9j00>=831d48750;9~wc`c2909wShid:?f65<3=<1vkhj:181[`am27n>=4;579~wc`a2909wShif:?f65<3=>1v<>?0;296~X689:01ho3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm10c;>4<2280>w)782;666>N>>j1em>:51950?l`a=3;17dhi6;39?l`a?3;17dhi8;39?j3c:3;17ok>3;291?6=8r.2;?4;bg9'01?=08?0(4=6:c53?M23>2dj?94>8668m1322900e9;9:188m1302900e9;7:188k=3>2900q~hi5;296~Xan<16i<=54478yv`a>3:1>vPif79>a45=<<<0q~hi7;296~Xan>16i<=54458yv`a03:1>vPif99>a45=<<20q~;k2;296~X2l;16i<=584;8yxdb9;0:684?:1y'=24=7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd69h31=7;51;7x <152=?97E79c:lb71<60><0ekkk:088mccb2800ekki:088mc`72800c8j<:088f`61290>6=4?{%;46?2en2.?8447149'=6?=j>:0D9:9;oc00?7??>1b88;50;9j000=831b88950;9j00>=831d48750;9~wccc2909wShjd:?f43<3=<1vkkj:181[`bm27n<;4;579~wcca2909wShjf:?f43<3=>1vkh?:181[`a827n<;4;599~w0b42909wS;k3:?f432dj?94>86:8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?>a`82>0<626=2.2?44m719K0102wxjh950;0xZcc034nm57::7:pb`>=838pRkk7;6=4?{%;46?2en2.?8448a29'=6?=j>:0D9:9;oc00?7??k1b88;50;9j000=831b88950;9j00>=831d48750;9~f47fj3;197?55z&:37<3=;1C5;m4n`17>4>0k2cmhi4>::ke``<622cmhk4>::kea5<622e>h84>::`gaf<72<0;6=u+96090g`<,=>265?:;%;0=?d082B?8;5aa2695=1c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|qe`a<72;qUjij4=eg`>1323tymhh4?:3y]bac<5moh69;9;|qe`c<72;qUjih4=eg`>1303tymi=4?:3y]b`6<5moh69;7;|q6`0<72;qU9i;4=eg`>=3>3twihhl51;794?6|,0=969li;%67=?1f;2.2?44m719K0104<2s-3<>7::2:J:2f=ii:>1=59i;hdg1?7=3`lo:7?5;hdg3?7=3`lo47?5;n7g2?7=3knoj7>55;294~">?;0?nk5+45;9<43<,0926o9?;I672>hf;=0:45>4i576>5<5<5<52z\e`0=:lml188;4}rdg2?6=:rTmh;52ded900052z\e`2=:lml18894}rdg52z\6`3=:lml14874}|`g``<62<0;6=u+96090g`<,=>26:o<;%;0=?d082B?8;5aa2695=>63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`25db=93?1=7;t$851>1353A3=o6`n3582<=44<4<5<2290;w)782;6ab>"3<003=85+92;9f26<@=>=7co<4;3;<6=n<5<5sWlhh63kd38710=z{oin6=4={_d`a>;cl;0?9;5rsgae>5<5sWlhj63kd38712=z{on;6=4={_dg4>;cl;0?955rs4f4>5<5sW?o;63kd38;1<=zukno=7?55;294~">?;0?nk5+45;93d5<,0926o9?;I672>hf;=0:45:4i576>5<5<5<:482>0}#1>8188<4H84`?kg4<3;3485ffb795?=nnj<1=75ffb595?=nnj21=75`5e:95?=elj?1<7;50;2x <152=hm7):;9;:21>">;00i;=5G4548jd532823:6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{tnj?1<7>96s|fb494?4|Voi=70jl5;662>{tnj=1<7>;6s|fb:94?4|Voi370jl5;66<>{t=m21<756srbea7>4<2290;w)782;6ab>"3<005+92;9f26<@=>=7co<4;3;<2=n<oajm0:66gibd82>>oajo0:66gic182>>i2l00:66lkb983>0<729q/5:<54cd8 12>21;>7)7<9;`44>N3:519::?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}ibe83>7}Ynkn01il7:576?xuajl0;6?uQfcg89ad?2=?=7p}ibg83>7}Ynkl01il7:574?xuak90;6?uQfb289ad?2=?37p}:d883>7}Y=m301il7:97:?x{elk=1=7;50;2x <152=hm7):;9;5b7>">;00i;=5G4548jd532823m6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e98h;6<4::086!?0:3>>>6F66b9me62=912i7dhm5;39?l`e>3;17dhm7;39?l`e03;17b;ka;39?gbfj3:197>50z&:37<3jo1/89758078 <5>2k=;7E:;6:lb71<601i0e9;::188m1312900e9;8:188m13?2900c5;6:188yv`e=3:1>vPib49>`dd=<vPib69>`dd=<<=0q~hm8;296~Xaj116hll544:8yv3ci3:1>vP:d`9>`dd=0<30qplka`82>0<729q/5:<54cd8 12>2>k87)7<9;`44>N3:519:g?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>1c395?3=93?p(49=:571?M?1k2dj?94>89g8mcgc2800ekoj:088mcga2800ekl?:088k0be2800ni7k:186>5<7s-3<>7:mf:&70<75b628L1213gk887?78g9j003=831b88850;9j001=831b88650;9l<0?=831vkok:181[`fl27o5i4;549~wcgb2909wShne:?g=a<3=?1vkoi:181[`fn27o5i4;569~wcd72909wShm0:?g=a<3=11v8jm:181[3cj27o5i47589~ygb>k3;197>50z&:37<3jo1/89757`18 <5>2k=;7E:;6:lb71<600:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg76j;0:684>:4y'=24=<<80D48l;oc00?7?181bjl=51;9jbd2=931bjl;51;9jbd0=931d9im51;9a`<7=83?1<7>t$851>1da3->?576>5:&:7<4>>:2c?984?::k713<722c?9:4?::k71=<722e3944?::pbd5=838pRko<;212wvni7?:086>5<7s-3<>7:mf:&70<<0i:1/5>75b628L1213gk887?7929j003=831b88850;9j001=831b88650;9l<0?=831vn::ke=d<622cm5o4>::m6`a<622ho494?:483>5}#1>818oh4$56:>=723-3857l80:J703=ii:>1=57:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tym554?:3y]b<><5m2?69;:;|qe=<<72;qUj474=e:7>1313tym5l4?:3y]b13?3ty>hi4?:3y]1ab<5m2?65;6;|a`=5=93?1<7>t$851>1da3->?579n3:&:7<4>>>2c?984?::k713<722c?9:4?::k71=<722e3944?::a54d3280>6<4:{%;46?22:2B2:n5aa2695=?03`l2<7?5;hd:5?7=3`l2>7?5;hd:7?7=3f?oi7?5;cf43?6==3:1g173A>?:6`n3582<<>6=44i575>5<5<52z\e=4=:l>=18884}rd:6?6=:rTm5?52d65900152z\e=6=:l>=18864}r7ga?6=:rT>hh52d659<0?:483>5}#1>818oh4$56:>2g43-3857l80:J703=ii:>1=576;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:=o;51;795?3|,0=969;=;I;5g>hf;=0:44o4ig:;>4<4<4<ij6*;488;50=#1:31n:>4H565?kg4<3;35o5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{o236=4={_d;<>;c>h0?985rsg::>5<5sWl3563k6`8713=z{o2j6=4={_d;e>;c>h0?9:5rsg:a>5<5sWl3n63k6`871==z{;c>h03945r}cf5=?7==3:1g173A>?:6`n3582<6=44i575>5<5<o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|f9294?4|Vo2;70j:c;661>{tn1;1<7>:6s|f9094?4|Vo2970j:c;663>{tn191<7>46s|5d294?4|V{zjm?i6<4::183!?0:3>ij6*;4884e6=#1:31n:>4H565?kg4<3;35k5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8;i;7?55;391~">?;0?9?5G97a8jd53282j<6gi7982>>oa?00:66gi7`82>>oa?k0:66a:e082>>dc=90;684?:1y'=24=3:17d::7;29?l2203:17b6:9;29?xua?10;6?uQf6:89a372=?>7p}i7883>7}Yn>301i;?:575?xua?h0;6?uQf6c89a372=?<7p}i7c83>7}Yn>h01i;?:57;?xu2m80;6?uQ5d389a3721?27psmd5d95?3=83:p(49=:5`e?!2313=j?6*6388a35=O<=<0bl=;:0:b6>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm10`;>4<2280>w)782;666>N>>j1em>:519c0?l`083;17dh81;39?l`0:3;17dh83;39?j3b:3;17oj;3;291?6=8r.2;?4;bg9'01?=08?0(4=6:c53?M23>2dj?94>8`68m1322900e9;9:188m1302900e9;7:188k=3>2900q~h80;296~Xa?916h9=54478yv`093:1>vPi709>`15=<<<0q~h82;296~Xa?;16h9=54458yv`0;3:1>vPi729>`15=<<20q~;j2;296~X2m;16h9=584;8yxdc<;0:684?:1y'=24=7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd69kk1=7;51;7x <152=?97E79c:lb71<60h<0ek87:088mc0>2800ek8n:088mc0e2800c8k;:088fa51290>6=4?{%;46?2en2.?8447149'=6?=j>:0D9:9;oc00?7?i>1b88;50;9j000=831b88950;9j00>=831d48750;9~wc0?2909wSh98:?g73<3=<1vk86:181[`1127o?;4;579~wc0f2909wSh9a:?g73<3=>1vk8m:181[`1j27o?;4;599~w0c32909wS;j4:?g732dj?94>8`:8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?>bc82>0<626=2.2?44m719K01050;0xZc0734n957::5:pb37=838pRk8>;2wxj;<50;0xZc0534n957::7:pb35=838pRk8<;6=4?{%;46?2en2.?8448a29'=6?=j>:0D9:9;oc00?7?ik1b88;50;9j000=831b88950;9j00>=831d48750;9~f47ek3;197?55z&:37<3=;1C5;m4n`17>4>fk2cm954>::ke1<<622cm9l4>::ke1g<622e>i;4>::`g5g<72<0;6=u+96090g`<,=>265?:;%;0=?d082B?8;5aa2695=gc3`>>97>5;h662?6=3`>>;7>5;h6657>5;|qe1=<72;qUj864=e3a>1323tym944?:3y]b0?<5m;i69;9;|qe1d<72;qUj8o4=e3a>1303tym9o4?:3y]b0d<5m;i69;7;|q6a3<72;qU9h84=e3a>=3>3twih4<2s-3<>7::2:J:2f=ii:>1=5oi;hd755;294~">?;0?nk5+45;9<43<,0926o9?;I672>hf;=0:4o>4i576>5<5<5<52z\e0==:l9l188;4}rd7=?6=:rTm8452d1d900052z\e0d=:l9l18894}rd7f?6=:rTm8o52d1d900>52z\6a2=:l9l14874}|`g4`<62<0;6=u+96090g`<,=>26:o<;%;0=?d082B?8;5aa2695=d63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`25gc=93?1=7;t$851>1353A3=o6`n3582;6<44ig62>4<96<44ig60>4<5<2290;w)782;6ab>"3<003=85+92;9f26<@=>=7co<4;3;f6=n<5<5sWl?<63k038710=z{o>:6=4={_d75>;c8;0?9;5rsg61>5<5sWl?>63k038712=z{o>86=4={_d77>;c8;0?955rs4g;>5<5sW?n463k038;1<=zukn;=7?55;294~">?;0?nk5+45;93d5<,0926o9?;I672>hf;=0:4o:4i576>5<5<5<:482>0}#1>8188<4H84`?kg4<3;3n85ff2:95?=nn:31=75ff2c95?=nn:h1=75`5d;95?=eko?1<7;50;2x <152=hm7):;9;:21>">;00i;=5G4548jd53282i:6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{tn:21<7>96s|f2;94?4|Vo9270mi5;662>{tn:k1<7>;6s|f2`94?4|Vo9i70mi5;66<>{t=l31<756srbbd7>4<2290;w)782;6ab>"3<005+92;9f26<@=>=7co<4;3;f2=n<oa;90:66gi3082>>oa;;0:66gi3282>>i2mh0:66lle983>0<729q/5:<54cd8 12>21;>7)7<9;`44>N3:519`:?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}i3183>7}Yn::01nk7:576?xua;80;6?uQf2389fc?2=?=7p}i3383>7}Yn:801nk7:574?xua;:0;6?uQf2189fc?2=?37p}:e`83>7}Y=lk01nk7:97:?x{ekl=1=7;50;2x <152=hm7):;9;5b7>">;00i;=5G4548jd53282im6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e98i:6<4::086!?0:3>>>6F66b9me62=91hi7dh=5;39?l`5>3;17dh=7;39?l`503;17b;jb;39?gecj3:197>50z&:37<3jo1/89758078 <5>2k=;7E:;6:lb71<60ki0e9;::188m1312900e9;8:188m13?2900c5;6:188yv`5=3:1>vPi249>gad=<vPi269>gad=<<=0q~h=8;296~Xa:116oil544:8yv3bj3:1>vP:ec9>gad=0<30qplld`82>0<729q/5:<54cd8 12>2>k87)7<9;`44>N3:519`g?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>1b095?3=93?p(49=:571?M?1k2dj?94>8cg8mc7c2800ek?j:088mc7a2800ek5<7s-3<>7:mf:&70<75b628L1213gk887?7bg9j003=831b88850;9j001=831b88650;9l<0?=831vk?k:181[`6l27hoh4;549~wc7b2909wSh>e:?`g`<3=?1vk?i:181[`6n27hoh4;569~wc472909wSh=0:?`g`<3=11v8kl:181[3bk27hoh47589~ygedl3;197>50z&:37<3jo1/89757`18 <5>2k=;7E:;6:lb71<60j:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg76k:0:684>:4y'=24=<<80D48l;oc00?7?k81bj=o51;9jb5d=931bj=m51;9jb5b=931d9hj51;9agf6=83?1<7>t$851>1da3->?576>5:&:7<4>d:2c?984?::k713<722c?9:4?::k71=<722e3944?::pb5g=838pRk>n;l;212wvnnli:086>5<7s-3<>7:mf:&70<<0i:1/5>75b628L1213gk887?7c29j003=831b88850;9j001=831b88650;9l<0?=831vn=ok:088m76e93;17d<>7b82>>o59>31=75`5g295?=e:92m6=4::183!?0:3>ij6*;488;4`=#1:31=n=>;I672>hf;=0:4n;4i576>5<5<5<5}#1>818oh4$56:>=353A>?:6`n35826=44i575>5<=6l;<03nd;296~X58hn01?>7f;663>{t:9h:6=4={_03f4=::92m69;7;|q152e=838pR??8c:?14<6=<7883>7}Y:8=270;581l14874}r03=5<72;q6>=6i:576?8471903945r}c1`e2<62<0;6=u+96090g`<,=>26:km;%;0=?5fm?1C8984n`17>4>d?2c?984?::k713<722c?9:4?::k71=<722e3944?::a5fgd280?6=4?{%;46?2en2.?8448c39'=6?=9j9:7E:;6:lb71<60j20e9;::188m1312900e9;8:188k=3>2900qo?l9582>1<729q/5:<54cd8 12>2>i97)7<9;3`74=O<=<0bl=;:0:`=>o3=<0;66g;5783>>o3=>0;66a75883>>{e9j=h6<4;:183!?0:3>ij6*;4884g7=#1:31=n=>;I672>hf;=0:4no4i576>5<5<26:m=;%;0=?7d;81C8984n`17>4>dj2c?984?::k713<722c?9:4?::m;1<<722wi=noj:087>5<7s-3<>7:mf:&70<<0i91/5>751b12?M23>2dj?94>8ba8m1322900e9;9:188m1302900c5;6:188yg7dim0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm1b`4>4<3290;w)782;6ab>"3<00?:6`n35826=44i575>5<5<:583>5}#1>818oh4$56:>2g73-3857?l309K0102900qo?lb582>1<729q/5:<54cd8 12>2>k;7)7<9;3`74=O<=<0bl=;:0:g5>o3=<0;66g;5783>>o3=>0;66a75883>>{e9jh86<4;:183!?0:3>ij6*;4884g7=#1:31=n=>;I672>hf;=0:4i<4i576>5<5<26:m=;%;0=?7d;81C8984n`17>4>c;2c?984?::k713<722c?9:4?::m;1<<722wi=nl>:087>5<7s-3<>7:mf:&70<<0k;1/5>751b12?M23>2dj?94>8e68m1322900e9;9:188m1302900c5;6:188yg7dj90:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm1bce>4<3290;w)782;6ab>"3<00?:6`n35826=44i575>5<5<:583>5}#1>818oh4$56:>2g73-3857?l309K0102900qo?l9g82>1<729q/5:<54cd8 12>2>k;7)7<9;3`74=O<=<0bl=;:0:g=>o3=<0;66g;5783>>o3=>0;66a75883>>{e9j3n6<4;:183!?0:3>ij6*;4884e5=#1:31=n=>;I672>hf;=0:4io4i576>5<5<26:o?;%;0=?7d;81C8984n`17>4>cj2c?984?::k713<722c?9:4?::m;1<<722wi=n7l:087>5<7s-3<>7:mf:&70<<0i91/5>751b12?M23>2dj?94>8ea8m1322900e9;9:188m1302900c5;6:188yg7d1k0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm1b;b>4<3290;w)782;6ab>"3<00?:6`n35826=44i575>5<5<:583>5}#1>818oh4$56:>2e53-3857?l309K0102900qo?l9682>1<729q/5:<54cd8 12>2>i97)7<9;3`74=O<=<0bl=;:0:f5>o3=<0;66g;5783>>o3=>0;66a75883>>{e9j=n6<4;:183!?0:3>ij6*;4884e5=#1:31=n=>;I672>hf;=0:4h<4i576>5<5<26:o?;%;0=?7d;81C8984n`17>4>b;2c?984?::k713<722c?9:4?::m;1<<722wi=n68:087>5<7s-3<>7:mf:&70<<0i91/5>751b12?M23>2dj?94>8d68m1322900e9;9:188m1302900c5;6:188yg7d0?0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm1b:6>4<3290;w)782;6ab>"3<00?:6`n3582<`06=44i575>5<5<:583>5}#1>818oh4$56:>2g73-3857?l309K0104280?6=4?{%;46?2en2.?8448c39'=6?=9j9:7E:;6:lb71<60l20e9;::188m1312900e9;8:188k=3>2900qo?l8382>1<729q/5:<54cd8 12>2>i97)7<9;3`74=O<=<0bl=;:0:f=>o3=<0;66g;5783>>o3=>0;66a75883>>{e9j2:6<4;:183!?0:3>ij6*;4884g7=#1:31=n=>;I672>hf;=0:4ho4i576>5<5<26:m=;%;0=?7d;81C8984n`17>4>bj2c?984?::k713<722c?9:4?::m;1<<722wi=n9i:087>5<7s-3<>7:mf:&70<<0k;1/5>751b12?M23>2dj?94>8da8m1322900e9;9:188m1302900c5;6:188yg46mo0:6>4?:1y'=24=>o3=?0;66a75883>>{e:8o:6<4<:183!?0:3>ij6*;488;40=#1:31=n=>;I672>hf;=0:4hk4i576>5<5<4>:283>5}#1>818oh4$56:>=623-3857?l309K0105<7s-3<>7:mf:&70<<0i91/5>751b12?M23>2dj?94>8g28m1322900e9;9:188m1302900c5;6:188yg7d><0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm1b47>4<3290;w)782;6ab>"3<00?:6`n35826=44i575>5<5<4>:583>5}#1>818oh4$56:>2g73-3857?l309K0100e9;::188m1312900e9;8:188k=3>2900qo?l6082>1<729q/5:<54cd8 12>2>k;7)7<9;3`74=O<=<0bl=;:0:e1>o3=<0;66g;5783>>o3=>0;66a75883>>{e9jij6*;4884e5=#1:31=n=>;I672>hf;=0:4k84i576>5<5<26:o?;%;0=?7d;81C8984n`17>4>a?2c?984?::k713<722c?9:4?::m;1<<722wi=n8k:087>5<7s-3<>7:mf:&70<<0i91/5>751b12?M23>2dj?94>8g:8m1322900e9;9:188m1302900c5;6:188yg7d>j0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm1b4a>4<3290;w)782;6ab>"3<00?:6`n35826=44i575>5<5<:583>5}#1>818oh4$56:>2e53-3857?l309K010280?6=4?{%;46?2en2.?8448c39'=6?=9j9:7E:;6:lb71<60oi0e9;::188m1312900e9;8:188k=3>2900qo?l6982>1<729q/5:<54cd8 12>2>i97)7<9;3`74=O<=<0bl=;:0:e`>o3=<0;66g;5783>>o3=>0;66a75883>>{e9j<<6<4;:183!?0:3>ij6*;4884g7=#1:31=n=>;I672>hf;=0:4kk4i576>5<5<26::6;%;0=?76>m1C8984n`17>4>an2c?984?::k713<722c?9:4?::k71=<722e3944?::a617e280>6=4?{%;46?2en2.?8448489'=6?=98>o3=?0;66g;5683>>o3=10;66a75883>>{e;ho<6<4::183!?0:3>ij6*;48840<=#1:31?lk9;I672>hf;=0:5=<4i576>5<5<5<:483>5}#1>818oh4$56:>22>3-3857?>6e9K0109?j:086>5<7s-3<>7:mf:&70<<0<01/5>75104g?M23>2dj?94>9168m1322900e9;9:188m1302900e9;7:188k=3>2900qo<;1e82>0<729q/5:<54cd8 12>2>>27)7<9;322a=O<=<0bl=;:0;31>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1461>4<2280>w)782;666>N>>j1em>:51825?l55ih0:66g86<44i207=?7=3f9jo94>::`0ef2=83?1<7>t$851>1da3->?576>b:&:7<<5m920D9:9;oc00?7>8>1b88;50;J75`=5<5<vP>:6s|3360>5<5sW998>523`a7>1303ty8>9750;0xZ6431278mn:544:8yv5fk=0;6?uQ3`a7?85fk=03945r}c12`f<62<0;6=u+96090g`<,=>26:l<;%;0=?4b811C8984n`17>4?702c?984?::k713<722c?9:4?::k71=<722e3944?::a603?280>6=4?{%;46?2en2.?84484`9'=6?=98>o3=?0;66g;5683>>o3=10;66a75883>>{e9:>>6F66b9me62=90:i7d=nfd82>>o61<;1=75f3`db>4<o7?5;c061f<72=0;6=u+96090g`<,=>26:h8;%;0=?76>m1C8984n`17>4?7k2c?984?::k713<722c?9:4?::m;1<<722wx?lhj:181[5fnl16>8;l:576?xu61<;1<7;5=1v?;:c;296~X5={zj89>57?54;390~">?;0?9?5G97a8jd53283;h6g::`10`7=83>1<7>t$851>1da3->?579i7:&:7<<69?n0D9:9;oc00?7>8l1b88;50;9j000=831b88950;9l<0?=831v>oie;296~X4ioo01?:j1;661>{t9hl?6=4={_3bb1=::=o:69;9;|q0ecg=838pR>oia:?10`7=<<=0q~<;e083>7}Y:=o:70<;e08;1<=zuk;8:h4>:582>1}#1>8188<4H84`?kg4<3;24<<7?5;h1bbd<622e998l51;9a603e290?6=4?{%;46?2en2.?8448f69'=6?=982900q~=nfd83>7}Y;hln70<:5c8710=z{83><7>52z\2=06<5;?>n7::6:p7d`f2909wS=nf`9>603e2=?<7p}=54`94?4|V;?>n63=54`9<0?hf;=0:54>::k0ecg=931d>9k?:088f72b83:187>50z&:37<3jo1/89757g58 <5>28;=h6F;479me62=90;97d::5;29?l22>3:17d::7;29?j>213:17p}4?:3y]5d`4348?i=4;579~w6gai3:1>vP>;6s|25g3>5<5sW8?i=5225g3>=3>3twi=>8k:087>4<3s-3<>7::2:J:2f=ii:>1=4?<;h1bb`<622c:59h51;9j7d`f2800c?;:a;39?g42=h0;694?:1y'=24=>o3=?0;66g;5683>>i?=00;66s|3`df>5<5sW9jjh52247b>1323ty:59h50;0xZ4?3n27998o54448yv5fnh0;6?uQ3`db?842=h0?9:5rs376e?6=:rT998o4=376e?>212wvn<=9c;390?7=5:k0ecc=931b=4:j:088m6gai3;17b<:5882>>d5=<31<7:50;2x <152=hm7):;9;5e3>">;00:=;j4H565?kg4<3;2=;5f44794?=n<<<1<75f44594?=h0<31<75rs2cea?6=:rT8mkk4=376=?22=2wx=4:j:181[7>8;6:575?xu4iok1<7;5=<318894}r061<<72;qU>8;6;<061<1<62=q/5:<54408L<0d3gk887?6169j7d`b2800e<7;d;39?l5fnh0:66a=54595?=e:ij6*;4884b2=#1:31=<8k;I672>hf;=0:5<64i576>5<5<{t;hlj6=4={_1bbd=::37595?2=93>p(49=:571?M?1k2dj?94>90;8m6gam3;17d?64b82>>o4iok1=75`246`>4<54;294~">?;0?nk5+45;93c1<,09261=4?n;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q0ecc=838pR>oie:?111e=<7}Y90>h70<:4b8713=z{:kmm7>52z\0ecg<5;??o7::7:p602d2909wS<:4b9>602d21?27psm127g>4<3280?w)782;666>N>>j1em>:5183a?l5fnl0:66g>95`95?=n;hlj6<44o3775?7=3k8>8<4?:583>5}#1>818oh4$56:>2`03-3857?>6e9K010c:k710<722c?9;4?::k712<722e3944?::p7d`b2909wS=nfd9>60262=?>7p}>95`94?4|V83?n63=55390008<4;569~w73393:1>vP=55389733932>56srb016g?7=<3;18v*6738717=O1?i0bl=;:0;2`>o4ioo1=75f186b>4<50;694?6|,0=969li;%67=?1a?2.2?44>17f8L1213gk887?61d9j003=831b88850;9j001=831d48750;9~w6gam3:1>vP>96s|186b>5<5sW;28l522463>1313ty8mko50;0xZ6gai27999>54458yv42<90;6?uQ2463?842<903945r}c1`=c<62<0;6=u+96090g`<,=>26:;:;%;0=?5fm?1C8984n`17>4?6n2c?984?::k713<722c?9:4?::k71=<72A>:i65`84;94?=zj:i2>7?55;294~">?;0?nk5+45;9303<,0926>oj6:J703=ii:>1=4>:7>5;h663?6=3`>>47>5H53f?>i?=00;66sm3b6:>4<2290;w)782;6ab>"3<00<985+92;97dc13A>?:6`n3582=776=44i575>5<5oie;39?l7>>80:66g471l2B?8;5aa2695<443`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8mkk50;0xZ6gam27998>54478yv7>>80;6?uQ1842?842=90?9;5rs2cee?6=:rT8mko4=3764?22?2wx>8;?:181[42=916>8;?:97:?x{e9:?<6<4;:087!?0:3>>>6F66b9me62=908?7d=nfd82>>o6j9>1=75f3`db>4<o97?5;c07`0<72=0;6=u+96090g`<,=>26:h8;%;0=?76>m1C8984n`17>4?5=2c?984?::k713<722c?9:4?::m;1<<722wx?lhj:181[5fnl16>9j::576?xu6j9>1<7;51v?:k5;296~X5{zj89=57?54;390~">?;0?9?5G97a8jd532839:6g8k4>::`111`=83>1<7>t$851>1da3->?579i7:&:7<<69?n0D9:9;oc00?7>:>1b88;50;9j000=831b88950;9l<0?=831v>oie;296~X4ioo01?;;f;661>{t90<;6=4={_3:25=::<>m69;9;|q0ecg=838pR>oia:?111`=<<=0q~<:4g83>7}Y:<>m70<:4g8;1<=zuk;89;4>:582>1}#1>8188<4H84`?kg4<3;2>55f3`df>4<2900q~=nfd83>7}Y;hln70<;d58710=z{8h;?7>52z\2f55<5;>o87::6:p7d`f2909wS=nf`9>61b32=?<7p}=4e694?4|V;>o863=4e69<0?hf;=0:5?o4i2cea?7=3`;29h4>::k0ecg=931d>8:k:088f733l3:187>50z&:37<3jo1/89757g58 <5>28;=h6F;479me62=908i7d::5;29?l22>3:17d::7;29?j>213:17p}8i4;579~w6gai3:1>vP>;6s|246g>5<5sW8>8i52246g>=3>3twi=>;::087>4<3s-3<>7::2:J:2f=ii:>1=4>o3=?0;66g;5683>>i?=00;66s|3`df>5<5sW9jjh5225f1>1323ty:n=?50;0xZ4d792798i<54448yv5fnh0;6?uQ3`db?843l;0?9:5rs36g6?6=:rT98i<4=36g6?>212wvn<=96;390?7=>d5==h1<7:50;2x <152=hm7):;9;5e3>">;00:=;j4H565?kg4<3;2>k5f44794?=n<<<1<75f44594?=h0<31<75rs2cea?6=:rT8mkk4=377f?22=2wx=4;k:181[7>=m16>8:m:575?xu4iok1<7;5==h18894}r060g<72;qU>8:m;<060g1<62=q/5:<54408L<0d3gk887?6319j7d`b2800eij6*;4884b2=#1:31=<8k;I672>hf;=0:5>?4i576>5<5<{t;hlj6=4={_1bbd=::=n;69;8;|q10a6=838pR?:k0:?10a6=0<30qpl>37795?2=93>p(49=:571?M?1k2dj?94>9208m6gam3;17d?65b82>>o4iok1=75`246b>4<54;294~">?;0?nk5+45;93c1<,09261=4=<;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q0ecc=838pR>oie:?111g=<7}Y90?h70<:4`8713=z{:kmm7>52z\0ecg<5;??m7::7:p602f2909wS<:4`9>602f21?27psm1270>4<3280?w)782;666>N>>j1em>:51817?l5fnl0:66g>agd95?=n;hlj6<44o36`b?7=3k8?ok4?:583>5}#1>818oh4$56:>2`03-3857?>6e9K01061ea2=?>7p}>agd94?4|V8kmj63=4bd9000vP=4bd8972dn32>56srb0150?7=<3;18v*6738717=O1?i0bl=;:0;02>o4ioo1=75f187a>4<17f8L1213gk887?6369j003=831b88850;9j001=831d48750;9~w6gam3:1>vP>96s|187a>5<5sW;29o52246:>1313ty8mko50;0xZ6gai27999754458yv42<00;6?uQ246:?842<003945r}c3017<62=0:69u+9609004<@0::m10fc=931i>9mj:187>5<7s-3<>7:mf:&70<<0n>1/5>75104g?M23>2dj?94>92;8m1322900e9;9:188m1302900c5;6:188yv5fnl0;6?uQ3`df?843kl0?985rs0cea?6=:rT:mkk4=36`a?22>2wx?lhn:181[5fnh16>9mj:574?xu5;51=7:t$851>1353A3=o6`n3582=6gN3:5181a?l22=3:17d::6;29?l22?3:17b6:9;29?xu4ioo1<7;5==2188;4}r3:1d<72;qU=4;n;<060=<3=?1v>oia;296~X4iok01?;;8;663>{t:<>36=4={_060==::<>365;6;|a5636280?6<4;{%;46?22:2B2:n5aa2695<5d3`9jjh4>::k2ecb=931b?lhn:088k72dl3;17o<;ce83>1<729q/5:<54cd8 12>2>l<7)7<9;322a=O<=<0bl=;:0;0`>o3=<0;66g;5783>>o3=>0;66a75883>>{t;hln6=4={_1bb`=::=io69;:;|q2ecb=838pR7}Y;hlj70<;ce8712=z{;>hh7>52z\10fb<5;>hh76:9:~f451:3;187?54z&:37<3=;1C5;m4n`17>4?4m2c8mkk51;9j5<3>2800e>oia;39?j42<>0:66l=55594?2=83:p(49=:5`e?!2313=m;6*6388253b<@=>=7co<4;3:7c=n<52z\0ecc<5;??;7::5:p5<3>2909wS?6589>60202=?=7p}8:4?:3y]6020348>8:47589~yg74=90:694>:5y'=24=<<80D48l;oc00?7><91b?lhj:088m4gak3;17d=nf`82>>i55<3290;w)782;6ab>"3<00?:6`n3582=176=44i575>5<5<vP>aga8972dk3>>:6s|3`db>5<5sW9jjl5225a`>1303ty98nm50;0xZ72dk2798nm584;8yxd6;?;1=7:51;6x <152=?97E79c:lb71<61=80e>oie;39?l7>=10:66g=6<44b3772?6=<3:1471l2B?8;5aa2695<243`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8mkk50;0xZ6gam27999854478yv7>=10;6?uQ187;?8428:9:181[428:9:97:?x{e9:>m6<4;:087!?0:3>>>6F66b9me62=90>?7d=nfd82>>o6ioh1=75f3`db>4<hn7?5;c07gg<72=0;6=u+96090g`<,=>26:h8;%;0=?76>m1C8984n`17>4?3=2c?984?::k713<722c?9:4?::m;1<<722wx?lhj:181[5fnl16>9mm:576?xu6ioh1<7;51v?:lb;296~X5{zj89=<7?54;390~">?;0?9?5G97a8jd53283?:6g884>::`1113=83>1<7>t$851>1da3->?579i7:&:7<<69?n0D9:9;oc00?7><>1b88;50;9j000=831b88950;9l<0?=831v>oie;296~X4ioo01?;;5;661>{t90?<6=4={_3:12=::<>>69;9;|q0ecg=838pR>oia:?1113=<<=0q~<:4483>7}Y:<>>70<:448;1<=zuk;88h4>:582>1}#1>8188<4H84`?kg4<3;2855f3`df>4<2900q~=nfd83>7}Y;hln70<;c`8710=z{8kmm7>52z\2ecg<5;>hm7::6:p7d`f2909wS=nf`9>61ef2=?<7p}=4bc94?4|V;>hm63=4bc9<0?hf;=0:59o4i2cea?7=3`;29;4>::k0ecg=931d>8:;:088f733<3:187>50z&:37<3jo1/89757g58 <5>28;=h6F;479me62=90>i7d::5;29?l22>3:17d::7;29?j>213:17p}894;579~w6gai3:1>vP>;6s|2467>5<5sW8>89522467>=3>3twi=>:k:087>4<3s-3<>7::2:J:2f=ii:>1=4:l;h1bb`<622c:mk751;9j7d`f2800c?:l9;39?g43k00;694?:1y'=24=>o3=?0;66g;5683>>i?=00;66s|3`df>5<5sW9jjh5225a:>1323ty:mk750;0xZ4ga12798n754448yv5fnh0;6?uQ3`db?843k00?9:5rs36`=?6=:rT98n74=36`=?>212wvn<=:e;390?7=>d5==91<7:50;2x <152=hm7):;9;5e3>">;00:=;j4H565?kg4<3;28k5f44794?=n<<<1<75f44594?=h0<31<75rs2cea?6=:rT8mkk4=3777?22=2wx=4;::181[7>=<16>8:<:575?xu4iok1<7;5==918894}r0606<72;qU>8:<;<06061<62=q/5:<54408L<0d3gk887?6519j7d`b2800eij6*;4884b2=#1:31=<8k;I672>hf;=0:58?4i576>5<5<{t;hlj6=4={_1bbd=::=i369;8;|q10f>=838pR?:l8:?10f>=0<30qpl>36395?2=93>p(49=:571?M?1k2dj?94>9408m6gam3;17d?65282>>o4iok1=75`247f>4<i7>54;294~">?;0?nk5+45;93c1<,09261=4;<;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q0ecc=838pR>oie:?110c=<7}Y90?870<:5d8713=z{:kmm7>52z\0ecg<5;?>i7::7:p603b2909wS<:5d9>603b21?27psm127a>4<3280?w)782;666>N>>j1em>:51877?l5fnl0:66g>ag495?=n;hlj6<44o36f7?7=3k8?i>4?:583>5}#1>818oh4$56:>2`03-3857?>6e9K01061c42=?>7p}>ag494?4|V8km:63=4d190004;569~w72b;3:1>vP=4d18972b;32>56srb0144?7=<3;18v*6738717=O1?i0bl=;:0;62>o4ioo1=75f1871>4<17f8L1213gk887?6569j003=831b88850;9j001=831d48750;9~w6gam3:1>vP>96s|1871>5<5sW;29?52247g>1313ty8mko50;0xZ6gai27998j54458yv42=m0;6?uQ247g?842=m03945r}c301d<62=0:69u+9609004<@0::m10`4=931i>9k=:187>5<7s-3<>7:mf:&70<<0n>1/5>75104g?M23>2dj?94>94;8m1322900e9;9:188m1302900c5;6:188yv5fnl0;6?uQ3`df?843m;0?985rs0ce1?6=:rT:mk;4=36f6?22>2wx?lhn:181[5fnh16>9k=:574?xu5;51=7:t$851>1353A3=o6`n3582=0gN3:5187a?l22=3:17d::6;29?l22?3:17b6:9;29?xu519<1<7;51ko188;4}r0b62<72;qU>l<8;<0:f`<3=?1v?7mc;296~X51ki01?7me;663>{t:0ho6=4={_0:fa=::0hn65;6;|a56>d280?6<4;{%;46?22:2B2:n5aa2695<3d3`8==>4>::k1<5`=931b>:m=:088k71d;3;17o<8c583>1<729q/5:<54cd8 12>21?;7)7<9;06`f=O<=<0bl=;:0;6`>o3=<0;66g;5783>>o3=>0;66a75883>>{t:?;86=4={_0556=::>i?69;:;|q1<5`=838pR?6?f:?13f2=<<<0q~<8c383>7}Y:>i970<8c58712=z{;=h?7>52z\13f5<5;=h876:9:~f71><3;187>50z&:37<3jo1/89758558 <5>2;==j6F;479me62=90?n7d::5;29?l22>3:17d::7;29?j>213:17pl=e7d95?5=83:p(49=:5`e?!231329n6*63881a5><@=>=7co<4;3:1c=n<4g592B?8;5aa2695<073`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th:nh751;694?6|,0=969li;%67=?>3?2.2?44>bb68L1213gk887?6609j003=831b88850;9j001=831d48750;9~f43fj3;197?55z&:37<3=;1C5;m4n`17>4?1:2c8:;>51;9j2de=931b??>d4>?;1<7;50;2x <152=hm7):;9;:20>">;009i=64H565?kg4<3;2:>5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:<=<7>52z\0236<5:<==7::5:p2de=838pR;ol;<1524<3=?1v><=0;296~X4:;:01>891;663>{t;;<26=4={_112<=:;?<:69;7;|q0a72=838pR>k=4:?0237=0<30qpl=78295?3=83:p(49=:5`e?!2313=286*6388133`<@=>=7co<4;3:21=n<711n2B?8;5aa2695<023`>>97>5;h662?6=3`>>;7>5;h6657>5;|`13<5=93?1<7>t$851>1da3->?57964:&:7<<5??l0D9:9;oc00?7>>?1b88;50;9j000=831b88950;9j00>=831d48750;9~f4g3=3;197>50z&:37<3jo1/89757868 <5>28k9=6F;479me62=90<<7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6i=>1=7;50;2x <152=hm7):;9;5:0>">;00:m??4H565?kg4<3;2:55f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8k?>7?55;294~">?;0?nk5+45;93<2<,09261=486;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:nh651;794?6|,0=969li;%67=?1><2.2?44>bb68L1213gk887?66`9j003=831b88850;9j001=831b88650;9l<0?=831vn0(4=6:0``0>N3:5184a?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>bd795?3=83:p(49=:5`e?!2313=286*63882ff2<@=>=7co<4;3:2f=n<7ed=2B?8;5aa2695<0c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`17d1=93?1<7>t$851>1da3->?579i9:&:7<<5:?80D9:9;oc00?7>>l1b88;50;9j000=831b88950;9j00>=831d48750;9~f430<3;187?54z&:37<3=;1C5;m4n`17>4?1n2c8o:;51;9j7f132800e>mj2;39?j5d?:0:66l6*63880e`0<@=>=7co<4;3:35=n<52z\0g23<5:i<47::5:p7f132909wS=l759>7f1?2=?=7p}634?:3y]7f14349h;547589~yg75n90:684>:4y'=24=<<80D48l;oc00?7>?81b>=>?:088m76513;17d<>c282>>o58;21=75`1gdg>4<55;294~">?;0?nk5+45;93c`<,09261=49=;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty9<=>50;0xZ767827:jkj54478yv47:00;6?uQ210:?87anm0?9;5rs33`7?6=:rT9=n=4=0de`?22?2wx>=<7:181[47:116=khk:57;?xu6non1<7;6non14874}|`20f>=93>1=7:t$851>1353A3=o6`n3582=258?51;9l7=162800n>681;290?6=8r.2;?4;bg9'01?=0890(4=6:3g3<>N3:51857?l22=3:1D9?j;:k713<722c?9:4?::m;1<<722wx?59?:181[5??916?59>:576?xu4;<91<7;40>;18884}r1114<72;qU??;>;<1;34<3=>1v>681;296~X40>;01>681;:6=>{zj:9?;0?nk5+45;93d?<,0926?k?8:J703=ii:>1=49:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8?lh51;794?6|,0=969li;%67=?1f12.2?44=e1:8L1213gk887?6779j003=831b88850;9j001=831b88650;9l<0?=831vn>=n7;391?6=8r.2;?4;bg9'01?=?h30(4=6:3g3<>N3:51854?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>f3:95?2=83:p(49=:5`e?!2313=2i6*63882g67<@=>=7co<4;3:3==n<?;0?nk5+45;93g3<,0926?k?8:J703=ii:>1=496;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`10a`=93?1<7>t$851>1da3->?57960:&:7<<69?n0D9:9;oc00?7>?h1b88;50;9j000=831b88950;9j00>=831d48750;9~f72cm3;197>50z&:37<3jo1/89757828 <5>28;=h6F;479me62=90=i7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd5">;00:=;j4H565?kg4<3;2;n5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;>o=7?55;294~">?;0?nk5+45;93<6<,09261=49k;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th98n851;794?6|,0=969li;%67=?1>82.2?44>17f8L1213gk887?67d9j003=831b88850;9j001=831b88650;9l<0?=831vn?:l5;391?6=8r.2;?4;bg9'01?=?0:0(4=6:035`>N3:5185e?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=54795?3=83:p(49=:5`e?!2313=o96*6388253b<@=>=7co<4;3:<5=n<471l2B?8;5aa2695<>63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1105=93?1<7>t$851>1da3->?579k5:&:7<<69?n0D9:9;oc00?7>0;1b88;50;9j000=831b88950;9j00>=831d48750;9~f732:3;197>50z&:37<3jo1/89757e78 <5>28;=h6F;479me62=90287d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd5=<;1=7;50;2x <152=hm7):;9;5g1>">;00:=;j4H565?kg4<3;2495f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:92>7?55;294~">?;0?nk5+45;93d?<,0926?k?8:J703=ii:>1=46:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:=n851;695?2|,0=969;=;I;5g>hf;=0:5584i33af?7=3`8:n44>::k15gg=931d9k:51;9a6566290?6=4?{%;46?2en2.?8447409'=6?=9j9:7E:;6:lb71<611=0e9;::188m1312900e9;8:188k=3>2900q~<>bc83>7}Y:8hi7052z\15g?<5;:;=7::6:p64df2909wS<>b`9>65662=?<7p}:f583>7}Y=o>01?>?1;:6=>{zj8??o7?55;391~">?;0?9?5G97a8jd53283346g4<5<>>6F66b9me62=902j7d=l3c82>>o4k:n1=75f3b1e>4<j44>::m0g64=931i?n=9:186>5<7s-3<>7:mf:&70<753`g5?M23>2dj?94>99`8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=l3683>1<729q/5:<54cd8 12>21;97E:;6:lb71<611i0e9;::188m1312900e9;8:188k=3>2900q~=l3c83>7}Y;j9i70=l378713=z{:i8h7>52z\0g6b<5:i8:7::7:p7f5a2909wS=l3g9>7f512=?37p}2909wS;i9:?0g61=<<=0q~=l3383>7}Y;j9970=l378;1<=z{:i8;7>52z?0g60=<m<7;:6=>{zj8;h47?55;391~">?;0?9?5G97a8jd532833h6g=23;95?=n:8kn6<44i33a5?7=3`8;hh4>::m6bd<622h:jk<50;794?6|,0=969li;%67=?1cn2.2?44>c238L1213gk887?68d9j003=831b88850;9j001=831b88650;9l<0?=831v?<=9;296~X5:;301{t:8kn6=4={_02e`=:9ol969;9;|q15g7=838pR??m1:?2bc4=<<=0q~7}Y:9nn70?if3871==z{;6no814874}|`05cg=93?1<7>t$851>1da3->?57961:&:7<<5m920D9:9;oc00?7>0o1b88;50;9j000=831b88950;9j00>=831d48750;9~f66dm3;197>50z&:37<3jo1/89757838 <5>2;o;46F;479me62=903;7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6<<91=7;51;7x <152=?97E79c:lb71<610;0e>=95;39?l54>>0:66g<05095?=n;9>:6<44o2150?7=3k98:;4?:483>5}#1>818oh4$56:>=723-38578::181[54><16?>89:576?xu4;?=1<7;4;?<18884}r1307<72;qU?=:=;<1023<3=>1v>>;1;296~X48=;01>=96;66<>{t;:6<4:{%;46?22:2B2:n5aa26954>::k1052=931b>>l<:088m75e:3;17b<;0382>>d5<9?1<7;50;2x <152=hm7):;9;5e5>">;009>;<4H565?kg4<3;2595f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{;>;?7>52z\1055<5;>;97::5:p61632909wS<;059>61622=?=7p}=3c194?4|V;9i?63=4179001vP=41089727=32>56srb06e=?7==3;19v*6738717=O1?i0bl=;:0;:1>o41<31=75f3876>4<::`0=00=83?1<7>t$851>1da3->?576>4:&:7<<5m920D9:9;oc00?7>1?1b88;50;J75`=5<5<34929;4;549~w6?2=3:1>vP<947896?2>3>>:6s|320a>5<5sW98>o523875>1303ty>o?4?:3y]1f4<5:3>:7::8:p7<312909wS=6579>7<3121?27psm142a>4<2280>w)782;666>N>>j1em>:518;4?l5>i<0:66g<9`395?=n;:8h6<44i4a1>4<7?5;c1:e7<72<0;6=u+96090g`<,=>265?;;%;0=?4b811C8984n`17>4?>02c?984?:I62a>=n<<<1<75f44594?=n<<21<75`84;94?=z{:3j97>52z\0=d3<5:3j>7::5:p777>52z\6g7=:;0k969;7;|q0=d4=838pR>7n2:?0=d4=0<30qpl>50195?3=93?p(49=:571?M?1k2dj?94>98;8m6?d93;17d=6be82>>o4;;n1=75f5b095?=h;0hn6<44b2;aa?6==3:17c702B?8;5aa2695>97>5H53f?>o3=?0;66g;5683>>o3=10;66a75883>>{t;0i:6=4={_1:g4=:;0hn69;:;|q0=gb=838pR>7md:?0=gc=<<<0q~=<2e83>7}Y;:8o70=6bd8712=z{;41ko18864}r1:f`<72;qU?4lj;<1:f`0<627k9;39?l54:l0:66g:c382>>i41mk1=75m38fb>5<2290;w)782;6ab>"3<003=95+92;96`6?3A>?:6`n3582=6=4G40g8?l22>3:17d::7;29?l2203:17b6:9;29?xu41mn1<7;41mk188;4}r1:`<<72;qU?4j6;<1:`d<3=?1v>==e;296~X4;;o01>7ka;663>{t=j81<7lh0?955rs2;ge?6=:rT85io4=2;ge?>212wvn?m=8;391?6=8r.2;?4;bg9'01?=?kh0(4=6:3`1a>N3:518;g?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<1c295?3=83:p(49=:5`e?!2313=2=6*63881a5><@=>=7co<4;3:=`=n<7c702B?8;5aa2695>97>5;h662?6=3`>>;7>5;h6657>5;|`25f?=93>1=7:t$851>1353A3=o6`n3582=d65<7s-3<>7:mf:&70<752d2;?M23>2dj?94>9`38m132290C8>;7>5;n:6=?6=3ty8=lk50;0xZ67fm278=l854478yv51>00;6?uQ374:?856i?0?9;5rs2456g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e;;9;6<4::183!?0:3>ij6*;488;42=#1:31>h>7;I672>hf;=0:5l=4i576>5<5<5<:482>0}#1>8188<4H84`?kg4<3;2m95f3312>4<::m067c=931i??5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>9`78m1322900e9;9:188m1302900e9;7:188k=3>2900q~==3083>7}Y;;9:70==2g8710=z{?:86=4={_437>;4:;l18884}r104c<72;qU?>>i;<116c<3=>1v>=>2;296~X4;8801><=f;66<>{t;;8n6=4={_116`=:;;8m65;6;|a6ad2280?6=4?{%;46?2en2.?8448cb9'=6?=:hh:7E:;6:lb71<61h<0e9;::188m1312900e9;8:188k=3>2900qo0<729q/5:<54cd8 12>2>om7)7<9;0;e`=O<=<0bl=;:0;b3>o3=<0;66g;5783>>o3=>0;6E:>e:9j00>=831d48750;9~f42313;197?55z&:37<3=;1C5;m4n`17>4?f02c8>l=51;9j77g62800e8;7:088m64f:3;17b==ac82>>d4:hi1<7;50;2x <152=hm7):;9;:0a>">;009i=64H565?kg4<3;2m45f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:8j?7>52z\06d5<5:8jo7::5:p77g62909wS==a09>77gd2=?=7p}:5983>7}Y=<201>{t;;k96=4={_11e7=:;;kh69;7;|q06dd=838pR>3c795?3=93?p(49=:571?M?1k2dj?94>9`c8m7d713;17d>o5im?1=75f24f5>4<265=l;%;0=?4fl11C8984n`17>4?fj2c?984?::k713<722c?9:4?::k71=<722e3944?::p6g6>2909wS6d`22=?>7p}=b1194?4|V;h;?63=ag790003:1>vP=5e4897ga=3>>46s|2`d5>5<5sW8jj;522`d6>=3>3twi?>o6:086>5<7s-3<>7:mf:&70<<0?h1/5>752d2;?M23>2dj?94>9`a8m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>21837)7<9;0f4==O<=<0bl=;:0;b`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm312:>4<2290;w)782;6ab>"3<003>55+92;96`6?3A>?:6`n3582=dc6=44i575>5<5<265<8;%;0=?4b811C8984n`17>4?fn2c?984?::k713<722c?9:4?::k71=<722e3944?::a6cef280>6=4?{%;46?2en2.?8447299'=6?=:l:37E:;6:lb71<61k:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg578h0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:lim6<4::183!?0:3>ij6*;488;62=#1:31>h>7;I672>hf;=0:5o<4i576>5<5<5<:483>5}#1>818oh4$56:>=4?3-3857m:086>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>9c68m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>218<7)7<9;0f4==O<=<0bl=;:0;a1>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2ga`>4<2290;w)782;6ab>"3<003>55+92;96`6?3A>?:6`n3582=g06=44i575>5<5<265<7;%;0=?4b811C8984n`17>4?e?2c?984?::k713<722c?9:4?::k71=<722e3944?::a6`b6280>6=4?{%;46?2en2.?8447269'=6?=:l:37E:;6:lb71<61k20e9;::188m1312900e9;8:188m13?2900c5;6:188yg4ail0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:oon6<4::183!?0:3>ij6*;488;6==#1:31>h>7;I672>hf;=0:5oo4i576>5<5<5<:483>5}#1>818oh4$56:>=403-3857koi:086>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>9ca8m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>21837)7<9;0f4==O<=<0bl=;:0;a`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2df0>4<2290;w)782;6ab>"3<003>:5+92;96`6?3A>?:6`n3582=gc6=44i575>5<5<265<7;%;0=?4b811C8984n`17>4?en2c?984?::k713<722c?9:4?::k71=<722e3944?::a6c`7280>6=4?{%;46?2en2.?8447299'=6?=:l:37E:;6:lb71<61j:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg4bl=0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:oh:6<4::183!?0:3>ij6*;488;6==#1:31>h>7;I672>hf;=0:5n<4i576>5<5<5<:483>5}#1>818oh4$56:>=4?3-3857hj::086>5<7s-3<>7:mf:&70<1/5>752d2;?M23>2dj?94>9b68m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>21837)7<9;0f4==O<=<0bl=;:0;`1>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2gd1>4<2290;w)782;6ab>"3<003>55+92;96`6?3A>?:6`n3582=f06=44i575>5<5<265<8;%;0=?4b811C8984n`17>4?d?2c?984?::k713<722c?9:4?::k71=<722e3944?::a6cd4280>6=4?{%;46?2en2.?8447299'=6?=:l:37E:;6:lb71<61j20e9;::188m1312900e9;8:188m13?2900c5;6:188yg4an:0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:ln<6<4::183!?0:3>ij6*;488;62=#1:31>h>7;I672>hf;=0:5no4i576>5<5<5<:483>5}#1>818oh4$56:>=4?3-3857kh;:086>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>9ba8m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>218<7)7<9;0f4==O<=<0bl=;:0;``>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2g`6>4<2290;w)782;6ab>"3<003>55+92;96`6?3A>?:6`n3582=fc6=44i575>5<5<265<7;%;0=?4b811C8984n`17>4?dn2c?984?::k713<722c?9:4?::k71=<722e3944?::a6`bf280>6=4?{%;46?2en2.?8447269'=6?=:l:37E:;6:lb71<61m:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg4aj?0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:ol=6<4::183!?0:3>ij6*;488;6==#1:31>h>7;I672>hf;=0:5i<4i576>5<5<5<:483>5}#1>818oh4$56:>=403-3857kl8:086>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>9e68m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>21837)7<9;0f4==O<=<0bl=;:0;g1>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2df`>4<2290;w)782;6ab>"3<003>:5+92;96`6?3A>?:6`n3582=a06=44i575>5<5<265<7;%;0=?4b811C8984n`17>4?c?2c?984?::k713<722c?9:4?::k71=<722e3944?::a6c`>280>6=4?{%;46?2en2.?8447299'=6?=:l:37E:;6:lb71<61m20e9;::188m1312900e9;8:188m13?2900c5;6:188yg4blm0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:ohj6<4::183!?0:3>ij6*;488;6==#1:31>h>7;I672>hf;=0:5io4i576>5<5<5<:483>5}#1>818oh4$56:>=4?3-3857hjj:086>5<7s-3<>7:mf:&70<1/5>752d2;?M23>2dj?94>9ea8m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>21837)7<9;0f4==O<=<0bl=;:0;g`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2gda>4<2290;w)782;6ab>"3<003>55+92;96`6?3A>?:6`n3582=ac6=44i575>5<5<265<8;%;0=?4b811C8984n`17>4?cn2c?984?::k713<722c?9:4?::k71=<722e3944?::a6cdd280>6=4?{%;46?2en2.?8447299'=6?=:l:37E:;6:lb71<61l:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg4anj0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:lo;6<4::183!?0:3>ij6*;488;62=#1:31>h>7;I672>hf;=0:5h<4i576>5<5<5<:483>5}#1>818oh4$56:>=4?3-3857khk:086>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>9d68m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>218<7)7<9;0f4==O<=<0bl=;:0;f1>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2g`f>4<2290;w)782;6ab>"3<003>55+92;96`6?3A>?:6`n3582=`06=44i575>5<5<265<7;%;0=?4b811C8984n`17>4?b?2c?984?::k713<722c?9:4?::k71=<722e3944?::a6`c5280>6=4?{%;46?2en2.?8447269'=6?=:l:37E:;6:lb71<61l20e9;::188m1312900e9;8:188m13?2900c5;6:188yg4ajo0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:olm6<4::183!?0:3>ij6*;488;6==#1:31>h>7;I672>hf;=0:5ho4i576>5<5<5<4>:483>5}#1>818oh4$56:>=403-3857km?:086>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>9da8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=?0182>0<729q/5:<54cd8 12>21837)7<9;0f4==O<=<0bl=;:0;f`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2dg6>4<2290;w)782;6ab>"3<003>:5+92;96`6?3A>?:6`n3582=`c6=44i575>5<5<265<7;%;0=?4b811C8984n`17>4?bn2c?984?::k713<722c?9:4?::k71=<722e3944?::a7566280>6=4?{%;46?2en2.?8447299'=6?=:l:37E:;6:lb71<61o:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg4ak;0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;9:96<4::183!?0:3>ij6*;488;6==#1:31>h>7;I672>hf;=0:5k<4i576>5<5<5<:483>5}#1>818oh4$56:>=4?3-3857;:086>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>9g68m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>21837)7<9;0f4==O<=<0bl=;:0;e1>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm3126>4<2290;w)782;6ab>"3<003>55+92;96`6?3A>?:6`n3582=c06=44i575>5<5<::k04=1=931d9>l51;9a75cc290>6=4?{%;46?2en2.?84473b9'=6?=:l:37E:;6:lb71<61o20e9;::188m1312900e9;8:188m13?2900c5;6:188yv54880;6?uQ3222?857mm0?985rs20b`?6=:rT8>lj4=22f`?22>2wx??:?:181[55<916?=kk:574?xu481=1<7;48ln18864}r70f?6=:rT>?o5231gg>=3>3twi?5;9:086>5<7s-3<>7:mf:&70<<0752d2;?M23>2dj?94>9g;8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=65`82>0<729q/5:<54cd8 12>2>>=7)7<9;0f4==O<=<0bl=;:0;ee>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm38c5>4<2290;w)782;6ab>"3<00<8;5+92;96`6?3A>?:6`n3582=cd6=44i575>5<5<26::9;%;0=?4b811C8984n`17>4?ak2c?984?::k713<722c?9:4?::k71=<722e3944?::a76=4?{%;46?2en2.?8448479'=6?=:l:37E:;6:lb71<61on0e9;::188m1312900e9;8:188m13?2900c5;6:188yg50?j0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;>336<4::183!?0:3>ij6*;488403=#1:31>h>7;I672>hf;=0:5kh4i576>5<5<5<:483>5}#1>818oh4$56:>2213-38575<7s-3<>7:mf:&70<<0752d2;?M23>2dj?94>a138m1322900e9;9:188m1302900e9;7:188k=3>2900qo=8f282>0<729q/5:<54cd8 12>2>>=7)7<9;0f4==O<=<0bl=;:0c36>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm392b>4<2290;w)782;6ab>"3<00<8;5+92;96`6?3A>?:6`n3582e556=44i575>5<5<26::9;%;0=?4b811C8984n`17>4g7<2c?984?::k713<722c?9:4?::k71=<722e3944?::a7=5?280>6=4?{%;46?2en2.?8448479'=6?=:l:37E:;6:lb71<6i9?0e9;::188m1312900e9;8:188m13?2900c5;6:188yg5?>o3=?0;66g;5683>>o3=10;66a75883>>{e;1236<4::183!?0:3>ij6*;488403=#1:31>h>7;I672>hf;=0:m=94i576>5<5<5<:483>5}#1>818oh4$56:>2213-38575<7s-3<>7:mf:&70<<0752d2;?M23>2dj?94>a1;8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=:3282>0<729q/5:<54cd8 12>2>k97)7<9;10ga=O<=<0bl=;:0c3e>o3=<0;66g;5783>>o3=>0;6E:>e:9j00>=831d48750;9~f7cb>3;197>50z&:37<3jo1/89758358 <5>2;o;46F;479me62=9h:i7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd51?i1=7;50;2x <152=hm7):;9;541>">;0094lk4H565?kg4<3;j?;0?nk5+45;9323<,0926?6ne:J703=ii:>1=l>k;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th95;651;794?6|,0=969li;%67=?10=2.2?44=8`g8L1213gk887?n0d9j003=831b88850;9j001=831b88650;9l<0?=831vn?796;391?6=8r.2;?4;bg9'01?=?>=0(4=6:3:ba>N3:51`2e?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=97695?3=83:p(49=:5`e?!2313=<;6*63881=7co<4;3b55=n<7>fm2B?8;5aa2695d763`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1=36=93?1<7>t$851>1da3->?57987:&:7<<50ho0D9:9;oc00?7f9;1b88;50;9j000=831b88950;9j00>=831d48750;9~f7?2m3;197>50z&:37<3jo1/89757658 <5>2;2ji6F;479me62=9h;87d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd51<81=7;50;2x <152=hm7):;9;541>">;0094lk4H565?kg4<3;j=95f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;3><7?55;294~">?;0?nk5+45;9323<,0926?6ne:J703=ii:>1=l?:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th959k51;794?6|,0=969li;%67=?10=2.2?44=8`g8L1213gk887?n179j003=831b88850;9j001=831b88650;9l<0?=831vn?7;c;391?6=8r.2;?4;bg9'01?=?>?0(4=6:3:ba>N3:51`34?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=95c95?3=83:p(49=:5`e?!2313=<96*63881=7co<4;3b5==n<7>fm2B?8;5aa2695d7>3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1=10=93?1<7>t$851>1da3->?57985:&:7<<50ho0D9:9;oc00?7f9h1b88;50;9j000=831b88950;9j00>=831d48750;9~f7?3<3;197>50z&:37<3jo1/89757678 <5>2;2ji6F;479me62=9h;i7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd51=81=7;50;2x <152=hm7):;9;541>">;0094lk4H565?kg4<3;j=n5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;3??7?55;294~">?;0?nk5+45;9321<,0926?6ne:J703=ii:>1=l?k;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th95>h51;794?6|,0=969li;%67=?10?2.2?44=8`g8L1213gk887?n1d9j003=831b88850;9j001=831b88650;9l<0?=831vn?7:4;391?6=8r.2;?4;bg9'01?=?>?0(4=6:3:ba>N3:51`3e?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=94595?3=83:p(49=:5`e?!2313=<96*63881=7co<4;3b65=n<7>fm2B?8;5aa2695d463`>>97>5;h662?6=3`>>;7>5;h6657>5;|`205g=93>1=7:t$851>1353A3=o6`n3582e74<51;9l75>?2800n>>79;290?6=8r.2;?4;bg9'01?=0=:0(4=6:3g3<>N3:51`00?l22=3:17d::6;29?l22?3:17b6:9;29?xu4;9;1<7;4813188;4}r13<2<72;qU?=68;<13<<<3=?1v>><2;296~X48:801>>79;663>{t;9236=4={_13<==:;92265;6;|a74d2280>6=4?{%;46?2en2.?8448a99'=6?=:l:37E:;6:lb71<6i;>0e9;::188m1312900e9;8:188m13?2900c5;6:188yg561k0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;8326<4::183!?0:3>ij6*;4884e==#1:31>h>7;I672>hf;=0:m?84i576>5<5<5<:483>5}#1>818oh4$56:>2g?3-38575<7s-3<>7:mf:&70<<0i11/5>752d2;?M23>2dj?94>a3:8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=>9282>0<729q/5:<54cd8 12>2>k37)7<9;0f4==O<=<0bl=;:0c1=>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm31``>4<2290;w)782;6ab>"3<00?:6`n3582e7g6=44i575>5<5<26::9;%;0=?4b811C8984n`17>4g5j2c?984?::k713<722c?9:4?::k71=<722e3944?::a7=c3280>6=4?{%;46?2en2.?8448479'=6?=:l:37E:;6:lb71<6i;i0e9;::188m1312900e9;8:188m13?2900c5;6:188yg5?nk0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;0;96<4::183!?0:3>ij6*;488403=#1:31>h>7;I672>hf;=0:m?k4i576>5<5<5<84>:483>5}#1>818oh4$56:>2213-38575<7s-3<>7:mf:&70<<0752d2;?M23>2dj?94>a228m1322900e9;9:188m1302900e9;7:188k=3>2900qo=65282>0<729q/5:<54cd8 12>2>>=7)7<9;0f4==O<=<0bl=;:0c05>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm38:5>4<2290;w)782;6ab>"3<00<8;5+92;96`6?3A>?:6`n3582e646=44i575>5<5<26::9;%;0=?4b811C8984n`17>4g4;2c?984?::k713<722c?9:4?::k71=<722e3944?::a56d3280?6<4;{%;46?22:2B2:n5aa2695d533`8i<44>::k1ea3=931b>o><:088k7ga;3;17o1<729q/5:<54cd8 12>219=7)7<9;0b`==O<=<0bl=;:0c01>o3=<0;66g;5783>>o3=>0;66a75883>>{t:k:26=4={_0a4<=::hl?69;:;|q1ea3=838pR?ok5:?1ec2=<<<0q~7}Y:k:87052z\1ec5<5;km876:9:~f45bj3;197?55z&:37<3=;1C5;m4n`17>4g4>2c98<851;9j60b12800e>oie;39?l5dl=0:66a=d1g95?=e:m:m6=4::183!?0:3>ij6*;4884bc=#1:31>nm:;I672>hf;=0:m>94i576>5<5<5<3:1>vP=5e4897b7n3>>:6s|3`df>5<5sW9jjh522e2e>1303ty8oi:50;0xZ6ec<279h=h544:8yv4c8l0;6?uQ2e2f?84c8o03945r}c0:1g<62<0;6=u+96090g`<,=>26:9:;%;0=?4?il1C8984n`17>4g402c?984?::k713<722c?9:4?::k71=<722e3944?::a740a280>6=4?{%;46?2en2.?8448859'=6?=:l:37E:;6:lb71<6i:30e9;::188m1312900e9;8:188m13?2900c5;6:188yg56>m0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;8ij6*;4884<1=#1:31>h>7;I672>hf;=0:m>l4i576>5<5<5<:483>5}#1>818oh4$56:>2>33-38575<7s-3<>7:mf:&70<<00=1/5>752d2;?M23>2dj?94>a2f8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=>6482>0<729q/5:<54cd8 12>2>2?7)7<9;0f4==O<=<0bl=;:0c0a>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm3040>4<2290;w)782;6ab>"3<00<495+92;96`6?3A>?:6`n3582e6`6=44i575>5<5<26:o;;%;0=?4b8>1C8984n`17>4g382c?984?::k713<722c?9:4?::k71=<722e3944?::a70?1280>6=4?{%;46?2en2.?8448e99'=6?=:l:37E:;6:lb71<6i=;0e9;::188m1312900e9;8:188m13?2900c5;6:188yg521:0:684?:1y'=24=6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e9j8=6<4::183!?0:3>ij6*;4884ef=#1:31=ol9;I672>hf;=0:m9=4i576>5<5<5<4>:483>5}#1>818oh4$56:>2gd3-3857?n129K010?j:086>4<2s-3<>7::2:J:2f=ii:>1=l::;h0625<622c:j>o51;9j7fea2800e>mk4;39?j46?m0:66l=16g94?3=83:p(49=:5`e?!2313=m<6*63882g67<@=>=7co<4;3b03=n<4=334a?22=2wx=k=n:181[7a;h16><9j:575?xu4kjl1<7;59>o18894}r1``1<72;qU?nj;;<023`<3=11v??8d;296~X59>n01??8e;:6=>{zj;ij:7?55;294~">?;0?nk5+45;93d><,0926?m=d:J703=ii:>1=l:8;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:ok=51;794?6|,0=969li;%67=?10m2.2?44>c238L1213gk887?n499j003=831b88850;9j001=831b88650;9l<0?=831vn?7:d;391?6=8r.2;?4;bg9'01?=?>?0(4=6:3:ba>N3:51`6:?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<2`;95?3=83:p(49=:5`e?!2313=2>6*63881a5><@=>=7co<4;3b0d=n<65dl2B?8;5aa2695d2e3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2112=93?1=7;t$851>1353A3=o6`n3582e1e36<44i20;0?7=3`995=4>::k237g=931d?o?m:088f6d6k3:197>50z&:37<3jo1/89758338 <5>2:kn:6F;479me62=9h>o7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xuf<10;6?uQa5:896d6k3>>96s|33:7>5<5sW9949523c3`>1313ty8>4>50;0xZ64>8278n212wvn=931b?okk:088m6d413;17d=med82>>i20?0:66lo6*63880f4b<@=>=7co<4;3b0c=n<64=2`b4?22=2wx?okk:181[5emm16?oo?:575?xu4j:31<7;4jh:18894}r1aa`<72;qU?okj;<1ae5<3=11v869:181[3?>278nl>584;8yxd6<<<1=7;51;7x <152=?97E79c:lb71<6i<:0e8=n:088md2?2800e<9=a;39?l548j0:66a<37:95?=e;:<26=4::183!?0:3>ij6*;488;0<=#1:31>h>7;I672>hf;=0:m8?4i576>5<5<5<52z\67d=:;:<269;:;|qb0=<72;qUm964=215=?22>2wx=:86:574?xu4;9i1<7;4;?318864}r102=<72;qU?>87;<102<0<62=931b?>8<:088m645l3;17d?82`82>>i4:0>1=75m33;6>5<2290;w)782;6ab>"3<003??5+92;96`6?3A>?:6`n3582e056=44i575>5<5<1323ty8?;=50;0xZ651;278>4;54448yv55:m0;6?uQ330g?8551<0?9:5rs051e?6=:rT:;?o4=20:1?2202wx??7;:181[551=16??7::97:?x{e9:kj6<48:38;!?0:3>>>6F66b9me62=9h??7d<:6382>>o5i:31=75f61695?=n;hln6<44i2f72?7=3`9jjk4>::m1e62=931i>l=9:187>5<7s-3<>7:mf:&70<7529cf?M23>2dj?94>a478m1322900e9;9:188m1302900c5;6:188yg4f;>0;684?:1y'=24=2dj?94>a448m1322900e9;9:188m1302900e9;7:188k=3>2900q~<:6383>7}Y:<<97052z\1e6?<5;k8:7::6:p252=838pR;>;;<0b72<3=<1v>oie;296~X4ioo01?o<7;662>{t;m>=6=4={_1g03=::h9<69;8;|q0ec`=838pR>oif:?1e61=<<20q~7}Y:h9?7052z?1e60=<<=01?o<7;:6=>{zj:hjn7?55;294~">?;0?nk5+45;93=g<,0926>l>d:J703=ii:>1=l;8;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8=8h51;694?6|,0=969li;%67=?1ak2.2?44=e1:8L1213gk887?n599j003=831b88850;9j001=831d48750;9~f672=3;187>50z&:37<3jo1/89757ga8 <5>2;o;46F;479me62=9h?27d::5;29?l22>3:17d::7;29?j>213:17pl<15;95?2=83:p(49=:5`e?!2313=mo6*63881a5><@=>=7co<4;3b1d=n<?;0?nk5+45;93ce<,0926?k?8:J703=ii:>1=l;m;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`057?=93?1<7>t$851>1da3->?579j3:&:7<<5m920D9:9;oc00?7f=j1b88;50;9j000=831b88950;9j00>=831d48750;9~f42593;197?55z&:37<3=;1C5;m4n`17>4g2l2c8?=?51;9j25g=931b?=68:088m664:3;17b=>2982>>d49;21<7;50;2x <152=hm7):;9;:0`>">;009i=64H565?kg4<3;j9h5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:9;=7>52z\0757<5:;947::5:p25g=838pR;>n;<126=<3=?1v>>77;296~X481=01>?=8;663>{t;9996=4={_1377=:;88369;7;|q057>=838pR>?=8:?057>=0<30qpl<14:95?3=83:p(49=:5`e?!2313=n>6*63881a5><@=>=7co<4;3b1c=n<:4y'=24=<<80D48l;oc00?7f>91b:=l51;9j76662800e>>77;39?l57;;0:66a<14595?=e;8?<6=4::183!?0:3>ij6*;488;7a=#1:31>h>7;I672>hf;=0:m;?4i576>5<5<5<52z\54g=:;8?<69;:;|q0757=838pR>=?1:?0501=<<<0q~=?8683>7}Y;92<70=>568712=z{::8>7>52z\0464<5:;>;7::8:p74302909wS=>569>743021?27psm306`>4<2290;w)782;6ab>"3<00?:6`n3582e346=44i575>5l:088m65793;17d=?8682>>o48:81=75`306a>4<55;294~">?;0?nk5+45;9<6b<,0926?k?8:J703=ii:>1=l8;;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty=742e2=?=7p}<09594?4|V::3;63<15`9001vP<15`89673j32>56srb2374?7==3:17c702B?8;5aa2695d023`>>97>5;h662?6=@=;n76g;5683>>o3=10;66a75883>>{e9=8=6<4::086!?0:3>>>6F66b9me62=9h<=7d=<0082>>o18m0:66g<09595?=n;9996<44o230b?7=3k9:?k4?:483>5}#1>818oh4$56:>=5c3-3857>>:181[548816?<=i:576?xu18m0;6?uQ61f89674n3>>:6s|31:4>5<5sW9;4:52301e>1303ty8<><50;0xZ664:278=>h544:8yv56;o0;6?uQ301e?856;o03945r}c1271<62<0;6=u+96090g`<,=>26:k<;%;0=?4b811C8984n`17>4g102c?984?::k713<72A>:i65f44594?=n<<21<75`84;94?=zj8>9?7?55;391~">?;0?9?5G97a8jd5328k=56g<31395?=n>9o1=75f31:4>4<7?5;n1276<622h8=>=50;794?6|,0=969li;%67=?>4l2.2?44=e1:8L1213gk887?n6`9j003=831b88850;9j001=831b88650;9l<0?=831v>=?1;296~X4;9;01>?<3;661>{t>9o1<7;49:914874}|`25fe=93?1=7;t$851>1353A3=o6`n3582e3di:088f77d=3:197>50z&:37<3jo1/897585g8 <5>28i8=6F;479me62=9h3:17d::7;29?l2203:17b6:9;29?xu4j9;1<7;59j?188;4}r1`gc<72;qU?nmi;<02g0<3=?1v>mk4;296~X4km>01??l5;663>{t;k:;6=4={_1a45=::8i>69;7;|q54c<72;qU:=h4=33`1?>212wvn<==7;391?7==r.2;?4;539K=3eoie;39?j46k=0:66l=1b694?3=83:p(49=:5`e?!2313=mj6*63882g67<@=>=7co<4;3b2`=n<4=33`0?22=2wx:=h50;0xZ36a348:o94;579~w6gan3:1>vP>;6s|3`df>5<5sW9jjh5220a7>13?3ty9=n:50;0xZ77d<279=n:584;8yxd69jn1=7;51;7x <152=?97E79c:lb71<6i?l0e>l?0;39?l5dko0:66g4<55;294~">?;0?nk5+45;9<1c<,09261=l9?;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty8n=>50;0xZ6d78279=lj54478yv5dko0;6?uQ3bae?846im0?9;5rs2ag0?6=:rT8oi:4=33b`?22?2wx?o>>:181[5e8816>56srb0110?7==3;19v*6738717=O1?i0bl=;:0c45>o5=?:1=75f60295?=n;hln6<44i2ceb?7=3f8:mn4>::`15de=83?1<7>t$851>1da3->?579if:&:7<<6k:;0D9:9;oc00?7f?;1b88;50;9j000=831b88950;9j00>=831d48750;9~w73183:1>vP=5728977fk3>>96s|60294?4|V?;;70<>ab8713=z{:kmi7>52z\0ecc<5;;jo7::7:p7d`a2909wS=nfg9>64gd2=?37p}=1`a94?4|V;;jo63=1`a9<0?hf;=0:m:=4i3754?7=3`<;j7?5;h1bb`<622c8mkh51;9l640b2800n??9e;291?6=8r.2;?4;bg9'01?=?on0(4=6:0a05>N3:51`57?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=57294?4|V;?=<63=17g900352z\54c=::8oie:?153c=<<=0q~=nfg83>7}Y;hlm70<>6d871==z{;;=i7>52z\153c<5;;=i76:9:~f444n3;197?55z&:37<3=;1C5;m4n`17>4g0=2c99;;51;9j245=931bn;751;9j7d`b2800co89:088fg01290>6=4?{%;46?2en2.?8448fe9'=6?=i=n0D9:9;oc00?7f??1b88;50;9j000=831b88950;9j00>=831d48750;9~w731=3:1>vP=57789g012=?>7p}91283>7}Y>8901o89:575?xue>00;6?uQb7;89g012=?<7p};e>?03945r}c0b6c<62<0;6=u+96090g`<,=>26:6<;%;0=?4?il1C8984n`17>4g0?2c?984?::k713<722c?9:4?::k71=<722e3944?::a54?1280?6<4;{%;46?22:2B2:n5aa2695d1?3`99?i4>::k0627=931b??9?:088k03c2800n>7i6;290?6=8r.2;?4;bg9'01?=?o=0(4=6:3g3<>N3:51`5:?l22=3:17d::6;29?l22?3:17b6:9;29?xu4::n1<7;41o<188;4}r1134<72;qU??9>;<1:b3<3=?1v><80;296~X4:>:01>7i6;663>{t=n?03945r}c37g0<62<0:68u+9609004<@04>::k0gc5=931d?58::088f6>1=3:197>50z&:37<3jo1/89757g28 <5>2;o;46F;479me62=9h=i7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4:1>1<7;40??188;4}r1`a2<72;qU?nk8;<1;20<3=?1v><;3;296~X4:=901>695;663>{t;jl86=4={_1`b6=:;1<>69;7;|q0<33=838pR>695:?0<33=0<30qpl>4gf95?3=93?p(49=:571?M?1k2dj?94>a6a8m64?<3;17d=le682>>o4:=91=75f3bdf>4<26:h?;%;0=?4b811C8984n`17>4g0l2c?984?::k713<722c?9:4?::k71=<722e3944?::p77>32909wS==859>7<0>2=?>7p}4?:3y]77243492:44;569~w6eam3:1>vP>46s|384:>5<5sW92:452384:>=3>3twi=8>i:086>4<2s-3<>7::2:J:2f=ii:>1=l9j;h11<1<622c8oh951;9j77242800e>j?1;39?j5>j<0:66l<9c794?3=83:p(49=:5`e?!2313=m<6*63881a5><@=>=7co<4;3b3c=n<5:4=2;a1?22=2wx?nk8:181[5dm>16?4l::575?xu4:=91<7;41k?18894}r1g44<72;qU?i>>;<1:f0<3=11v>7m5;296~X41k?01>7m5;:6=>{zj8?:;7?55;391~">?;0?9?5G97a8jd5328k3<6g<29695?=n;jo<6<44i2077?7=3`9o::m0=a7=931i?4j>:186>5<7s-3<>7:mf:&70<<0n91/5>752d2;?M23>2dj?94>a938m1322900e9;9:188m1302900e9;7:188k=3>2900q~==8583>7}Y;;2?70=6d08710=z{:in;7>52z\0g`1<5:3o=7::6:p77242909wS==429>763<9e3900>:4y'=24=<<80D48l;oc00?7f0;1b??6;:088m6eb?3;17d==4282>>o4l991=75`38gg>4<55;294~">?;0?nk5+45;93c6<,0926?k?8:J703=ii:>1=l6<;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty8>5:50;0xZ64?<2785hj54478yv5dm>0;6?uQ3bg4?85>mm0?9;5rs2077?6=:rT8>9=4=2;f`?22?2wx?i><:181[5c8:16?4kk:57;?xu41ln1<7;41ln14874}|`201353A3=o6`n3582e=29=51;9j7fcf2800c>985;39?g50?<0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;;2?6=4={_11<1=:;>=>69;:;|q0g`1=838pR>mj7:?0323=<<<0q~==4283>7}Y;;>870=8748712=z{:inm7>52z\0g`g<5:=<97::8:p72122909wS=8749>721221?27psm383g>4<3290;w)782;6ab>"3<00?:6`n3582e=06=44i575>5<5<4>:482>0}#1>8188<4H84`?kg4<3;j4:5f33:7>4<9;b;291?6=8r.2;?4;bg9'01?=?o:0(4=6:3g3<>N3:51`:;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<29694?4|V:83863<75`9003vP<25189613j3>>;6s|3bg;>5<5sW9hi552366a>13?3ty8;9l50;0xZ613j278;9l584;8yxd51?n1=7=50;2x <152=hm7):;9;:1e>">;0094lk4H565?kg4<3;j445f44794?=n<<<1<75`84;94?=zj;3<47?53;294~">?;0?nk5+45;9<7d<,0926?6ne:J703=ii:>1=l6n;h661?6=3`>>:7>5;n:6=?6=3th95:j51;194?6|,0=969li;%67=?>5j2.2?44=8`g8L1213gk887?n8c9j003=831b88850;9l<0?=831vn?78e;397?6=8r.2;?4;bg9'01?=0;h0(4=6:3:ba>N3:51`:`?l22=3:17d::6;29?j>213:17pl=96d95?5=83:p(49=:5`e?!231329n6*63881=7co<4;3b7>fm2B?8;5aa2695d>b3`>>97>5;h662?6=3f2>57>5;|`1==7=9391<7>t$851>1da3->?576=b:&:7<<50ho0D9:9;oc00?7f0o1b88;50;9j000=831d48750;9~f7??:3;1?7>50z&:37<3jo1/897583`8 <5>2;2ji6F;479me62=9h3;7d::5;29?l22>3:17b6:9;29?xd5>kh1=7=50;2x <152=hm7):;9;:1e>">;0099im4H565?kg4<3;j5<5f44794?=n<<<1<75`84;94?=zj;33?7?53;294~">?;0?nk5+45;9<7d<,0926?6ne:J703=ii:>1=l7=;h661?6=3`>>:7>5;n:6=?6=3th9:n<51;194?6|,0=969li;%67=?>5j2.2?44=5ea8L1213gk887?n929j003=831b88850;9l<0?=831vn?774;397?6=8r.2;?4;bg9'01?=0;h0(4=6:3:ba>N3:51`;7?l22=3:17d::6;29?j>213:17pl>29d95?3=93?p(49=:571?M?1k2dj?94>a878m415i3;17d=m0582>>of<10:66go3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|160b>5<5sW;<>l52f419003?7::6:pe1>=838pRl:7;=:181[5e8;16j8=544:8yv`2;3:1>vPi529>b05=0<30qpl=6b195?5=83:p(49=:5`e?!231329n6*638811ae<@=>=7co<4;3b=2=n<7>fm2B?8;5aa2695d??3`>>97>5;h662?6=3f2>57>5;|`12f2=9391<7>t$851>1da3->?576=b:&:7<<5=mi0D9:9;oc00?7f101b88;50;9j000=831d48750;9~f7?1n3;1?7>50z&:37<3jo1/897583`8 <5>2;2ji6F;479me62=9h3j7d::5;29?l22>3:17b6:9;29?xd5>j?1=7=50;2x <152=hm7):;9;:1f>">;0099im4H565?kg4<3;j5o5f44794?=n<<<1<75`84;94?=zj;3<<7?53;294~">?;0?nk5+45;9<7d<,0926?6ne:J703=ii:>1=l7l;h661?6=3`>>:7>5;n:6=?6=3th9:n851;194?6|,0=969li;%67=?>5j2.2?44=5ea8L1213gk887?n9e9j003=831b88850;9l<0?=831vn?781;397?6=8r.2;?4;bg9'01?=0;h0(4=6:3:ba>N3:51`;f?l22=3:17d::6;29?j>213:17pl=6b595?5=83:p(49=:5`e?!231329n6*638811ae<@=>=7co<4;3b=c=n<7>fm2B?8;5aa2695dg73`>>97>5;h662?6=3f2>57>5;|`272`=93?1=7;t$851>1353A3=o6`n3582ed7h55G4548jd5328kj>6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{t;hlm6=4={_1bbc=::h3369;:;|q1311=838pR?9;7:?1e<>=<<<0q~=nfd83>7}Y;hln7052z\0f52<5;k247::8:p60d52909wS<:b39>6d??21?27psm125f>4<2280>w)782;666>N>>j1em>:51`c0?l5fno0:66g=75495?=n;hln6<44i2`30?7=3f8>n<4>::`1e<1=83?1<7>t$851>1da3->?579i1:&:7<<5=m20D9:9;oc00?7fi=1b88;50;9j000=831b88950;9j00>=831d48750;9~w6gan3:1>vP?3>>96s|2665>5<5sW8<8;522`;4>1313ty8mkk50;0xZ6gam279m4954458yv5e8=0;6?uQ3c27?84f1>0?955rs37a5?6=:rT99o?4=3c:3?>212wvn<=8d;391?7==r.2;?4;539K=3e::;:088m6gam3;17d=m0582>>i5=hl1=75m2`;2>5<2290;w)782;6ab>"3<00?:6`n3582ed06=44i575>5<5<01?o61;662>{t;hln6=4={_1bb`=::h3:69;8;|q0f52=838pR>l?4:?1e<7=<<20q~<:ag83>7}Y::482>0}#1>8188<4H84`?kg4<3;jm:5f3`de>4<N3:51`c;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}4?:3y]6224348j5=4;579~w6gam3:1>vP83>>;6s|3c27>5<5sW9i<9522`;3>13?3ty99lk50;0xZ73fm279m4>584;8yxd6;>h1=7;51;7x <152=?97E79c:lb71<6ih30e>oif;39?l40<;0:66g5}#1>818oh4$56:>2`63-3857<:d99K010l6i:576?xu5?=81<7;5i1l18884}r1bb`<72;qU?lhj;<0b1v>l?4;296~X4j9>01?o7f;66<>{t:6<4:{%;46?22:2B2:n5aa2695dge3`9jjk4>::k1317=931b?lhj:088m6d7<3;17b<:ab82>>d5i1o1<7;50;2x <152=hm7):;9;5e5>">;0099i64H565?kg4<3;jmn5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:kmj7>52z\0ec`<5;k3i7::5:p62262909wS<8409>6d>b2=?=7p}vP=5`a897g?m32>56srb014=?7==3;19v*6738717=O1?i0bl=;:0cb`>o4iol1=75f2663>4<c290>6=4?{%;46?2en2.?8448f09'=6?=:4=3c;`?22>2wx?lhj:181[5fnl16>l6k:574?xu4j9>1<7;5i1n18864}r06eg<72;qU>8om;<0b0<6273c02B?8;5aa2695dd73`>>97>5;h662?6=3`>>;7>5;h6657>5;|q0ec`=838pR>oif:?1e=e=<7}Y:>9m7052z\0ecc<5;k3o7::7:p7g632909wS=m059>6d>d2=?37p}=5`c94?4|V;?jm63=a9a9<0?5j2.2?44=5ea8L1213gk887?nb09j003=831b88850;9l<0?=831vn?783;397?6=8r.2;?4;bg9'01?=0;h0(4=6:3:ba>N3:51``1?l22=3:17d::6;29?j>213:17pl=6b;95?5=83:p(49=:5`e?!231329n6*638811ae<@=>=7co<4;3bf6=n<7>fm2B?8;5aa2695dd33`>>97>5;h662?6=3f2>57>5;|`12fg=9391<7>t$851>1da3->?576=b:&:7<<5=mi0D9:9;oc00?7fj<1b88;50;9j000=831d48750;9~f7?0=3;1?7>50z&:37<3jo1/897583`8 <5>2;2ji6F;479me62=9hh=7d::5;29?l22>3:17b6:9;29?xd5>ki1=7=50;2x <152=hm7):;9;:1f>">;0099im4H565?kg4<3;jn:5f44794?=n<<<1<75`84;94?=zj;3<:7?53;294~">?;0?nk5+45;9<7d<,0926?6ne:J703=ii:>1=ll7;h661?6=3`>>:7>5;n:6=?6=3th9:oj51;194?6|,0=969li;%67=?>5j2.2?44=5ea8L1213gk887?nb89j003=831b88850;9l<0?=831vn?787;397?6=8r.2;?4;bg9'01?=0;h0(4=6:3:ba>N3:51``b?l22=3:17d::6;29?j>213:17pl=6cg95?5=83:p(49=:5`e?!231329n6*638811ae<@=>=7co<4;3bfg=n<7>fm2B?8;5aa2695ddd3`>>97>5;h662?6=3f2>57>5;|`12g`=9391<7>t$851>1da3->?576=b:&:7<<5=mi0D9:9;oc00?7fjm1b88;50;9j000=831d48750;9~f7?0i3;1?7>50z&:37<3jo1/897583`8 <5>2;2ji6F;479me62=9hhn7d::5;29?l22>3:17b6:9;29?xd5>j:1=7=50;2x <152=hm7):;9;:1f>">;0099im4H565?kg4<3;jnk5f44794?=n<<<1<75`84;94?=zj;3?;0?nk5+45;9<7d<,0926?6ne:J703=ii:>1=lm?;h661?6=3`>>:7>5;n:6=?6=3th:=4<51;695?2|,0=969;=;I;5g>hf;=0:mn?4i2123?7=3`99>i4>::k0735=931d98>51;9a70?6290?6=4?{%;46?2en2.?8448f79'=6?=:l:37E:;6:lb71<6ij80e9;::188m1312900e9;8:188k=3>2900q~=<1683>7}Y;:;<70=:908710=z{:89h7>52z\067b<5:?2=7::6:p76042909wS=<629>70?62=?<7p}:5183>7}Y=<:01>;61;:6=>{zj89?<7?55;391~">?;0?9?5G97a8jd5328kh?6g:3482>>o2=<0:66g5}#1>818oh4$56:>2`63-3857<=639K010;50;0xZ0523488554;549~w0322909wS;:5:?17<>=<<<0q~=nfe83>7}Y;hlo70<<998712=z{:kmn7>52z\0ecd<5;9247::8:p66?02909wS<<969>66??21?27psm12a6>4<3280?w)782;666>N>>j1em>:51`a6?l4ei10:66g=be295?=n:k336<44o3`ba?7=3k8imk4?:583>5}#1>818oh4$56:>=7?3-38576gga2=?>7p}=be294?4|V;ho<63=b`d9000vP=b`g897dfn32>56srb070g?7==3;19v*6738717=O1?i0bl=;:0c`3>o4iki1=75f3``g>4<6=4?{%;46?2en2.?8448789'=6?=:l:37E:;6:lb71<6ij20e9;::188m1312900e9;8:188m13?2900c5;6:188yv5fjj0;6?uQ3```?85fj10?985rs2ca`?6=:rT8moj4=2ca2wx??;4ik218864}r1bf=<72;qU?ll7;<1bf=0<62>i6k;o1=75m1b0e>5<2290;w)782;6ab>"3<003=k5+92;95f4>3A>?:6`n3582efg6=44i575>5<5<i>521b0e>1323ty8o?h50;0xZ6e5n27:o?h54448yv5fnj0;6?uQ3`d`?87d:o0?9:5rs2cee?6=:rT8mko4=0a1b?2202wx=nij6*;488;6g=#1:31>8jl;I672>hf;=0:mnl4i576>5<5<:283>5}#1>818oh4$56:>=4e3-3857<7ad9K0105<7s-3<>7:mf:&70<<0m=1/5>752d2;?M23>2dj?94>abf8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?>7b82>1<62=q/5:<54408L<0d3gk887?ncd9j524f2800el:7:088m7>7n3;17b;<4;39?g4?1<0;694?:1y'=24=hn5G4548jd5328khj6g;5483>>o3=?0;66g;5683>>i?=00;66s|160b>5<5sW;<>l5229;6>1323tyj854?:3y]e1><5;2297::6:p6=6a2909wS<70g9>6=?22=?<7p}:3583>7}Y=:>01?665;:6=>{zj8;<<7?55;391~">?;0?9?5G97a8jd5328ko<6g<31`95?=n;;<26<44i201`?7=3`98:>4>::m64=<622h89nl50;794?6|,0=969li;%67=?1a82.2?44=e1:8L1213gk887?nd09j003=831b88850;9j001=831b88650;9l<0?=831v>=?b;296~X4;9h01>;lb;661>{t;;<26=4={_112<=:;<=d:?01fd=<<=0q~=<6283>7}Y;:<870=:cc871==z{<:36=4={_73<>;4=jh14874}|`0g7c=9391<7>t$851>1da3->?576;3:&:7<<4j8n0D9:9;oc00?7fl;1b88;50;9j000=831d48750;9~f430n3;187?54z&:37<3=;1C5;m4n`17>4gc;2c8h:;51;9je1>=931b=:3;17o=k7683>1<729q/5:<54cd8 12>21;37)7<9;1g35=O<=<0bl=;:0cg0>o3=<0;66g;5783>>o3=>0;66a75883>>{t;m=>6=4={_1g30=:;m=<69;:;|qb0=<72;qUm964=2f43?22>2wx=:<1<7;4l>=14874}|`27ab=93?1=7;t$851>1353A3=o6`n3582ea3l4>::k1f23=931d>n?j:088f7e6n3:197>50z&:37<3jo1/89758078 <5>2;i;j6F;479me62=9hn=7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu5jm:1<7;5k8l188;4}rc71313ty:;?o50;0xZ415i279o212wvn<=m2;391?7==r.2;?4;539K=3e=7co<4;3b`==n<lj8:575?xuf<10;6?uQa5:897gc?3>>;6s|2cd:>5<5sW8ij4522`f4>13?3ty9mi850;0xZ7gc>279mi9584;8yxd6=>o1=7:51;6x <152=?97E79c:lb71<6im30e<9=a;39?l55180:66gn4982>>i4l?31=75m3e4b>5<3290;w)782;6ab>"3<003?;5+92;97dc13A>?:6`n3582eag6=44i575>5<5<l4?:3y]524f349o:l4;549~w64>93:1>vP<283896b1i3>>:6s|a5:94?4|Vh>370=k6`8712=z{:n=57>52z\0`3?<5:n=m76:9:~f430j3;187?54z&:37<3=;1C5;m4n`17>4gcj2c8h?651;9je1>=931b=:1<729q/5:<54cd8 12>21>:7)7<9;1ba3=O<=<0bl=;:0cgg>o3=<0;66g;5783>>o3=>0;66a75883>>{t;m836=4={_1g6==:;jn=69;:;|qb0=<72;qUm964=2ag2?22>2wx=:;4km<14874}|`2054=93>1=7:t$851>1353A3=o6`n3582eab::m1`g4=931i>il<:187>5<7s-3<>7:mf:&70<752e3b?M23>2dj?94>aeg8m1322900e9;9:188m1302900c5;6:188yv70:h0;6?uQ160b?84cj:0?985rs3a5g?6=:rT9o;m4=3fa7?22>2wxm9650;0xZd2?348on>4;569~w7be:3:1>vP=dc0897be;32>56srb01gf?7==3;19v*6738717=O1?i0bl=;:0cgb>o5k;91=75f2`f6>4<6=4?{%;46?2en2.?84485`9'=6?=:k8n7E:;6:lb71<6il:0e9;::188m1312900e9;8:188m13?2900c5;6:188yv4d::0;6?uQ2b00?84enj0?985rs3cg1?6=:rT9mi;4=3`eg?22>2wx>n<9:181[4d:?16>ohl:574?xu5k;:1<7;5joi18864}r0abg<72;qU>ohm;<0abf1<729q/5:<54cd8 12>2>lh7)7<9;10ga=O<=<0bl=;:0cf5>o3=<0;66g;5783>>o3=>0;66a75883>>{e;=ni6<4;:183!?0:3>ij6*;4884bf=#1:31?>mk;I672>hf;=0:mh<4i576>5<5<i4>::m0617=931i??:=:187>5<7s-3<>7:mf:&70<<0n?1/5>752d2;?M23>2dj?94>ad68m1322900e9;9:188m1302900c5;6:188yv54>:0;6?uQ3240?855<;0?985rs213a?6=:rT8?=k4=2076?22>2wx??;4:=814874}|`2gc7=93>1<7>t$851>1da3->?579:f:&:7<<6k:;0D9:9;oc00?7fm<1b88;50;9j000=831b88950;9l<0?=831vn<==7co<4;3ba2=n<vP=34289753m3>>;6s|2271>5<5sW889?52226f>13?3ty9?9j50;0xZ753l279?9k584;8yxd5:j>1=7;50;2x <152=hm7):;9;:3`>">;009>;<4H565?kg4<3;ji55f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;ii87?55;294~">?;0?nk5+45;93d><,0926?m=d:J703=ii:>1=lk6;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:?nm51;695?2|,0=969;=;I;5g>hf;=0:mho4i3`b::k1f<`=931d>oll:088f7del3:187>50z&:37<3jo1/897580:8 <5>2;h8:6F;479me62=9hoi7d::5;29?l22>3:17d::7;29?j>213:17p}=b`:94?4|V;hj463=bcf9003n3:1>vP=b8d897del3>>;6s|2c``>5<5sW8inn522c`g>=3>3twi>nl9:086>5<7s-3<>7:mf:&70<<0i11/5>752b0g?M23>2dj?94>ada8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?1<62=q/5:<54408L<0d3gk887?nee9j6gg?2800e?lk0;39?l4ei90:66a=bcg95?=e:khm6=4;:183!?0:3>ij6*;488;5==#1:31>o=9;I672>hf;=0:mhk4i576>5<5<oo7;<0afc<3=<1v?lk0;296~X5jm:01?lmf;662>{t:kk;6=4={_0ae5=::khm69;8;|q1fgc=838pR?lme:?1fg`=0<30qpl=cc:95?3=83:p(49=:5`e?!2313=j46*63881g7b<@=>=7co<4;3bac=n<o5jh21=75f2cf3>4<602.2?44=b248L1213gk887?nf09j003=831b88850;9j001=831d48750;9~w7df03:1>vP=b`:897dd93>>96s|2cf3>5<5sW8ih=522ca2>1313ty9nl?50;0xZ7df9279nn?54458yv4ek90;6?uQ2ca3?84ek803945r}c0`fd<62<0;6=u+96090g`<,=>26:o7;%;0=?4d:m1C8984n`17>4ga:2c?984?::k713<722c?9:4?::k71=<722e3944?::a6fdd280>6=4?{%;46?2en2.?8448ac9'=6?=:j8o7E:;6:lb71<6io90e9;::188m1312900e9;8:188m13?2900c5;6:188yg4djl0:684?:1y'=24=i5G4548jd5328km86g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e9:im6<4;:087!?0:3>>>6F66b9me62=9hl>7d>o5jm:1=75f2cc1>4<7?5;c0ag6<72=0;6=u+96090g`<,=>265:?;%;0=?4e;?1C8984n`17>4ga>2c?984?::k713<722c?9:4?::m;1<<722wx>oo7:181[4ei116>om<:576?xu5jm:1<7;5jj918884}r0ae7<72;qU>oo=;<0ag6<3=>1v?ll2;296~X5jj801?ll3;:6=>{zj;ih<7?55;294~">?;0?nk5+45;93dd<,0926?m=d:J703=ii:>1=lh8;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:?i>51;695?2|,0=969;=;I;5g>hf;=0:mk64i3`b::k1fd5=931d>om;:088f7dd=3:187>50z&:37<3jo1/89758528 <5>2;h8:6F;479me62=9hl27d::5;29?l22>3:17d::7;29?j>213:17p}=b`:94?4|V;hj463=bb79003vP=b`1897dd=3>>;6s|2ca7>5<5sW8io9522ca6>=3>3twi>nm=:086>5<7s-3<>7:mf:&70<<0ik1/5>752b0g?M23>2dj?94>agc8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?1<62=q/5:<54408L<0d3gk887?nfc9j6gg32800e?lk0;39?l4ei10:66a=bb495?=e:ki<6=4;:183!?0:3>ij6*;488;46=#1:31>o=9;I672>hf;=0:mkm4i576>5<5<oo;;<0ag2<3=<1v?lk0;296~X5jm:01?ll7;662>{t:kk36=4={_0ae==::ki<69;8;|q1ff0=838pR?ll6:?1ff1=0<30qpl=cb695?3=83:p(49=:5`e?!2313=jn6*63881g7b<@=>=7co<4;3bba=n<7c702B?8;5aa2695d`b3`>>97>5;h662?6=3`>>;7>5H53f?>o3=10;66a75883>>{e;8o?6<4::183!?0:3>ij6*;488405=#1:31>h>7;I672>hf;=0:mkh4i576>5<5<213:17pl<0c695?3=83:p(49=:5`e?!2313=?<6*63881a5><@=>=7co<4;3a45=n<7c702B?8;5aa2695g663`>>97>5;h662?6=3`>>;7>5;h6657>5;|`212g=93?1=7;t$851>1353A3=o6`n3582f54::k237g=931d?nj=:088f6ec;3:197>50z&:37<3jo1/89757b78 <5>2:kn:6F;479me62=9k:87d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4l;21<7;4km9188;4}r1`77<72;qU?n==;<1``6<3=?1vl:7:181[g30278oi=54458yv70:h0;6?uQ160b?85dl:0?955rs2ag6?6=:rT8oi<4=2ag7?>212wvn>j51;9j77162800e><80;39?j36=3;17o=85183>0<729q/5:<54cd8 12>21:o7)7<9;0f4==O<=<0bl=;:0`31>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|6`394?4|V?k:70=8518710=z{:88h7>52z\066b<5:=><7::6:p77162909wS==709>72372=?<7p}<26294?4|V:8<<63<742900>52z\650=:;>?;65;6;|a6fd5280>6=4?{%;46?2en2.?8448ac9'=6?=:j8o7E:;6:lb71<6j9<0e9;::188m1312900e9;8:188m13?2900c5;6:188yg4dj90:684?:1y'=24=i5G4548jd5328h;;6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{ej=?1=7;50;2x <152=hm7):;9;5ae>">;00j8i5G4548jd5328h;46g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e:jkn6<4::183!?0:3>ij6*;4884eg=#1:31>nhf;=0:n=74i576>5<5<5<:483>5}#1>818oh4$56:>2ge3-3857non:086>5<7s-3<>7:mf:&70<<0ik1/5>752b0g?M23>2dj?94>b1`8m1322900e9;9:188m1302900e9;7:188k=3>2900qo0<729q/5:<54cd8 12>2>ki7)7<9;0`6a=O<=<0bl=;:0`3g>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1514>4<2280>w)782;666>N>>j1em>:51c2g?l3><3;17d=<0b82>>o4:;n1=75f3240>4<265>k;%;0=?4b811C8984n`17>4d7m2c?984?::k713<722c?9:4?::k71=<722e3944?::p1<2=838pR87;;<116f<3=<1v>=?c;296~X4;9i01><=c;662>{t;;8o6=4={_116a=:;;8h69;8;|q0735=838pR>=93:?067e=<<20q~==2c83>7}Y;;8i70==2b8;1<=zuk;8hn4>:482>0}#1>8188<4H84`?kg4<3;i4<N3:51c33?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=5e494?4|V;?o:63=c159003>4?:3y]6f44348h<:4;579~w7d0=3:1>vP=b67897e7?3>>;6s|2b05>5<5sW8h>;522b24>13?3ty9o=850;0xZ7e7>279o=9584;8yxd6;m31=7;51;7x <152=?97E79c:lb71<6j8;0e?;k6;39?l4d::0:66g=c3495?=n:j8;6<44o3`e2?7=3k8ij54?:483>5}#1>818oh4$56:>=543-38572:k710<722c?9;4?::k712<722c?954?::m;1<<722wx>8j9:181[42l?16>oh7:576?xu5k;91<7;5jo218884}r0`63<72;qU>n<9;<0ab=<3=>1v?m=0;296~X5k;:01?li8;66<>{t:kl=6=4={_0ab3=::kl365;6;|a7f56280>6=4?{%;46?2en2.?8448b`9'=6?=;ho=7E:;6:lb71<6j890e9;::188m1312900e9;8:188m13?2900c5;6:188yg731>0:684>:4y'=24=<<80D48l;oc00?7e9=1b?:8k:088m611=3;17d==2e82>>o4;?91=75`3674>4<55;294~">?;0?nk5+45;9<5b<,0926?k?8:J703=ii:>1=o?:;h661?6=3`>>:7>5H53f?>o3=>0;66g;5983>>i?=00;66s|364g>5<5sW9<:i523644>1323ty8;;;50;0xZ611=278;;954448yv55:m0;6?uQ330g?850>>0?9:5rs2157?6=:rT8?;=4=2553?2202wx?:;8:181[50=>16?:88:97:?x{e9<9=6<4::086!?0:3>>>6F66b9me62=9k;=7d=n6g82>>o4i?=1=75f330g>4<7l2.2?44=e1:8L1213gk887?m169j003=831b88850;J75`=5<o97;296~X4i?=01>o99;662>{t;;8o6=4={_116a=:;h<269;8;|q0735=838pR>=93:?0e3?=<<20q~=n5883>7}Y;h?270=n688;1<=zuk;>?=4>:482>0}#1>8188<4H84`?kg4<3;i=55f3`71>4<o;c;291?6=8r.2;?4;bg9'01?=09n0(4=6:3g3<>N3:51c3:?l22=3:17d::6;29L17b32c?9:4?::k71=<722e3944?::p7d352909wS=n539>7d2d2=?>7p}i4?:3y]774c349j8n4;569~w651;3:1>vP<371896g3k3>>46s|3`1`>5<5sW9j?n523`6`>=3>3twi=8<6:086>4<2s-3<>7::2:J:2f=ii:>1=o?n;h1b7<<622c8m?o51;9j774c2800e>=93;39?j5f9l0:66l<@=>=7co<4;3a5g=n<2wx??;4i;i18864}r1b5`<72;qU?l?j;<1b6f0<62o?7;39?l55:m0:66g<37195?=h;0l?6<44b2c3=?6==3:17c702B?8;5aa2695g7c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q0e4g=838pR>o>a:?0e5?=<7}Y;h:<70=n088713=z{:89h7>52z\067b<5:k;57::7:p76042909wS=<629>7d6>2=?37p}<9g694?4|V:3m863hf;=0:n::k0f`b=931d?o76:088f6d>i3:187>50z&:37<3jo1/89757g48 <5>2:h:h6F;479me62=9k;m7d::5;29?l22>3:17d::7;29?j>213:17p}vPi3>>;6s|3c;:>5<5sW9i54523c;b>=3>3twi=>78:087>4<3s-3<>7::2:J:2f=ii:>1=o::k237g=931d>57i:088f7>f83:187>50z&:37<3jo1/897580:8 <5>2;?oo6F;479me62=9k8:7d::5;29?l22>3:17d::7;29?j>213:17p}=6gg94?4|V;52z\b0==::1k;69;9;|q237g=838pR<9=a:?17}Y:13m70<7a18;1<=zuk;>9l4>:582>1}#1>8188<4H84`?kg4<3;i>?5f3c3e>4<2900q~=m1g83>7}Y;k;m70=m948710=z{:h8:7>52z\0f60<5:h297::6:p7gce2909wS=mec9>7g?22=?<7p}hf;=0:n?:4i2`12?7=3`k?47?5;h346d<622e8n><51;9a7g54290?6=4?{%;46?2en2.?8447199'=6?=;k;o7E:;6:lb71<6j;?0e9;::188m1312900e9;8:188k=3>2900q~=m2783>7}Y;k8=70=m328710=z{h>36=4={_c7<>;4j:918884}r346d<72;qU=:1v>l<2;296~X4j:801>l<3;:6=>{zj8>>m7?54;390~">?;0?9?5G97a8jd5328h9:6g<02095?=ni=21=75f160b>4<265?7;%;0=?4b811C8984n`17>4d5?2c?984?::k713<722c?9:4?::m;1<<722wx?===:181[57;;16?>l::576?xuf<10;6?uQa5:8965e=3>>:6s|160b>5<5sW;<>l5232`6>1303ty8?o:50;0xZ65e<278?o;584;8yxd6;hl1=7:51;6x <152=?97E79c:lb71<6j;20e?7n1;39?lg303;17d?82`82>>i5i=n1=75m2`6f>5<3290;w)782;6ab>"3<003=55+92;96=gb3A>?:6`n3582f7?6=44i575>5<5<7}Y9>8j7052z\1e1b<5;k?i76:9:~f45fl3;187?54z&:37<3=;1C5;m4n`17>4d5i2c95l?51;9j7d`b2800e>oif;39?j4f<=0:66l=a5594?2=83:p(49=:5`e?!2313=m;6*63881=7co<4;3a6g=n<52z\1=d7<5;k?;7::5:p7d`b2909wS=nfd9>6d202=?=7p}10:694>:5y'=24=<<80D48l;oc00?7e:j1b?o?j:088m6d3=3;17d=mf`82>>i4jki1=75m3c`g>5<3290;w)782;6ab>"3<00?:6`n3582f7b6=44i575>5<5<vP>:6s|3cdb>5<5sW9ijl523c`g>1303ty8nom50;0xZ6dek278noj584;8yxd6:m31=7;51;7x <152=?97E79c:lb71<6j;o0e>o6k;;1=75`1c`b>4<55;294~">?;0?nk5+45;9<1?<,09261=o>:7>5;h663?6=3`>>47>5;n:6=?6=3ty:m5o50;0xZ4g?i27:nol54478yv70:h0;6?uQ160b?87ejk0?9;5rs`6;>5<5sWk?463>bc`9001<4?:3y]5f4634;ino4;599~w4dei3:1>vP>bcc894dej32>56srb00`e?7==3;19v*6738717=O1?i0bl=;:0`04>o60l21=75f160b>4<36<44i0c4a?7=3f;j=:4>::`2e4>=83?1<7>t$851>1da3->?576;9:&:7<<6i890D9:9;oc00?7e;81b88;50;9j000=831b88950;9j00>=831d48750;9~w4>b03:1>vP>8d:894g603>>96s|160b>5<5sW;<>l521`3;>1313tyj854?:3y]e1><58k:47::7:p5d1b2909wS?n7d9>5d7?2=?37p}>a0594?4|V8k:;63>a0:9<0?hf;=0:n><4i2`15?7=3`9i8<4>::k0fc0=931d?ol=:088f6de;3:187>50z&:37<3jo1/89757g48 <5>2:h:h6F;479me62=9k987d::5;29?l22>3:17d::7;29?j>213:17p}4;579~w6da>3:1>vP>;6s|3c`1>5<5sW9in?523c`0>=3>3twi=>kl:086>4<2s-3<>7::2:J:2f=ii:>1=o=;;h0g=931b>n8l:088k7b2i3;17o0<729q/5:<54cd8 12>21>27)7<9;0g5d=O<=<0bl=;:0`01>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|2e:`>5<5sW8o4n522e7a>1323ty:;?o50;0xZ415i279h8l54448yvg303:1>vPn499>6a3e2=?<7p}=c7a94?4|V;i=o63=d4`900>:4y'=24=<<80D48l;oc00?7e;?1b>nm8:088m415i3;17do;8;39?l4dm90:66a=cga95?=e:jlo6=4::183!?0:3>ij6*;4884bc=#1:31>nm:;I672>hf;=0:n>94i576>5<5<5<vP>73c897eal3>>:6s|a5:94?4|Vh>37052z\1g`6<5;imh7::8:p6f`d2909wS6f`c21?27psm1441>4<3280?w)782;666>N>>j1em>:51c1;?l5e:<0:66g5}#1>818oh4$56:>2`13-3857=m1e9K0107gga2=?>7p}vP56srb0675?7==3;19v*6738717=O1?i0bl=;:0`0e>o4=j:1=75f334:>4<9951;9a772?290>6=4?{%;46?2en2.?8448f19'=6?=:l:37E:;6:lb71<6j:h0e9;::188m1312900e9;8:188m13?2900c5;6:188yv52k90;6?uQ34a3?855<10?985rs205=?6=:rT8>;74=2072wx??;4:=218864}r1102<72;qU??:8;<110=1<62=q/5:<54408L<0d3gk887?m3b9j6gg22800e?lk0;39?l4ei10:66a=bb:95?=e:ki26=4;:183!?0:3>ij6*;488;46=#1:31>o=9;I672>hf;=0:n>j4i576>5<5<oo:;<0ag<<3=<1v?lk0;296~X5jm:01?ll9;662>{t:kk36=4={_0ae==::ki269;8;|q1ff>=838pR?ll8:?1ff?=0<30qpl<17395?3=83:p(49=:5`e?!2313=?;6*63881a5><@=>=7co<4;3a7`=n<7c702B?8;5aa2695g5a3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`27d>=93?1=7;t$851>1353A3=o6`n3582f16::k237g=931d>l50z&:37<3jo1/89757gg8 <5>2;2ji6F;479me62=9k>:7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu51h:1<7;5i;n188;4}rc71313ty95oh50;0xZ7?en279m?j54458yv70:h0;6?uQ160b?84f:m0?955rs3c1g?6=:rT9m?m4=3c1`?>212wvn<=7e;391?7==r.2;?4;539K=3e0:66l=82:94?3=83:p(49=:5`e?!2313=mi6*638811ae<@=>=7co<4;3a06=n<3:1>vP=7b4897>403>>;6s|160b>5<5sW;<>l52291;>13?3ty94>950;0xZ7>4?2794>6584;8yxd6=0e>l=4;39?l5d980:66g5}#1>818oh4$56:>2`23-3857=m1e9K010;4j0i18884}r1a7=<72;qU?o=7;<1a=f<3=>1v>ljd;296~X4jln01>l6c;66<>{t;k3i6=4={_1a=g=:;k3h65;6;|a5020280>6<4:{%;46?22:2B2:n5aa2695g213`9h=:4>::k0g40=931b?o:>:088m6da>3;17b=m2182>>d4jh<1<7;50;2x <152=hm7):;9;:0b>">;008n52z\0g41<5:hj:7::5:p7f712909wS=l179>7gg12=?=7p}vP32>56srb00eb?7=<3;18v*6738717=O1?i0bl=;:0`7<>o59<;1=75fa5:95?=n9>8j6<44o320b?7=3k8;8=4?:583>5}#1>818oh4$56:>=2e3-3857?l309K010509>65272=?>7p}n4983>7}Yi=201?>;0;662>{t9>8j6=4={_346d=::9>;69;8;|q146`=838pR?>57795?3=93?p(49=:571?M?1k2dj?94>b5c8m6d593;17d=l1782>>o4j=;1=75f3cd5>4<26:h:;%;0=?5e9m1C8984n`17>4d3j2c?984?::k713<722c?9:4?::k71=<722e3944?::p7g462909wS=m209>7gd22=?>7p}3:1>vP>46s|3c`7>5<5sW9in9523c`6>=3>3twi=>ji:087>4<3s-3<>7::2:J:2f=ii:>1=o:l;h0`=c<622cj854>::k237g=931d>n8k:088f7e1m3:187>50z&:37<3jo1/897585`8 <5>2;i9h6F;479me62=9k>o7d::5;29?l22>3:17d::7;29?j>213:17p}=c8d94?4|V;i2j63=c7g900352z\b0==::j7}Y:j4>:582>1}#1>8188<4H84`?kg4<3;i8h5f2cc5>4<2900q~7}Y:kk=7052z\1fa6<5;hhn7::6:p6gg?2909wS6gee2=?<7p}=bbc94?4|V;hhm63=bb`9<0?hf;=0:n8>4i3`b3?7=3`8ih=4>::k1fd>=931d>oml:088f7ddl3:187>50z&:37<3jo1/89758118 <5>2;h8:6F;479me62=9k?:7d::5;29?l22>3:17d::7;29?j>213:17p}=b`594?4|V;hj;63=bbf9003vP=b`:897ddl3>>;6s|2ca`>5<5sW8ion522cag>=3>3twi=4<2s-3<>7::2:J:2f=ii:>1=o;=;h1a04<622c8nk851;9j7g232800e>li9;39?j06<3;17o=m2e83>0<729q/5:<54cd8 12>2>?h7)7<9;1a5a=O<=<0bl=;:0`67>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|3c62>5<5sW9i8<523c0g>1323ty8nk850;0xZ6da>278n?j54448yv5e<=0;6?uQ3c67?85e:m0?9:5rs2`e=?6=:rT8nk74=2`1`?2202wx:<:50;0xZ373349i>i47589~yg72=;0:684>:4y'=24=<<80D48l;oc00?7e==1b:<:51;9j7f712800e>l;0;39?l5en<0:66aij6*;488;51=#1:31?o?k;I672>hf;=0:n8;4i576>5<5<5<52z\551=:;k8h69;:;|q0g40=838pR>m>6:?0f7e=<<<0q~=m4183>7}Y;k>;70=m2b8712=z{:hm97>52z\0fc3<5:h9o7::8:p7g4d2909wS=m2b9>7g4d21?27psm10f2>4<3280?w)782;666>N>>j1em>:51c75?l548m0:66g<31595?=n;:826<44o735>4<54;294~">?;0?nk5+45;9<44<,0926?k?8:J703=ii:>1=o;8;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q075b=838pR>=?d:?0575=<7}Y;::<70=>228713=z{:9957>52z\077?<5:;9?7::7:p240=838pR;?9;<12660<62>i49;81=75m3001>5<2290;w)782;6ab>"3<00<;45+92;96`6?3A>?:6`n3582f0?6=44i575>5<5<278=?<54448yv54>:0;6?uQ3240?856:;0?9:5rs201`?6=:rT8>?j4=2316?2202wx?<<=:181[56:;16?<<=:97:?x{e98n96<4::086!?0:3>>>6F66b9me62=9k?j7d=96882>>o4>?=1=75f3745>4<5}#1>818oh4$56:>=713-3857016??=1<7;49jl18884}r1523<72;qU?;89;<12gc<3=>1v>898;296~X4>?201>?lf;66<>{t>8=1<7265::;%;0=?7d;81C8984n`17>4d2k2c?984?::k713<722c?9:4?::k71=<722e3944?::a65b3280>6=4?{%;46?2en2.?8448e79'=6?=9j9:7E:;6:lb71<6ji6g;5483>>o3=?0;66g;5683>>o3=10;6E:>e:9l<0?=831vn>;=a;391?6=8r.2;?4;bg9'01?=?k;0(4=6:21``>N3:51c7e?l22=3:17d::6;29?l22?3:17d::8;29L17b32e3944?::a7f27280>6=4?{%;46?2en2.?8448e79'=6?=;ho=7E:;6:lb71<6j?:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg5d;o0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e9:3;6<48:28:!?0:3>>>6F66b9me62=9k<97d<74082>>o5>oh1=75fa5:95?=n9>8j6<44i35f::m1<6e=931i>5=k:186>5<7s-3<>7:mf:&70<7524f`?M23>2dj?94>b718m1322900e9;9:188m1302900e9;7:18K04c<3f2>57>5;|`1<6c=8391<7>t$851>1da3->?576=5:J703=ii:>1=o8;;h661?6=3`>>:7>5;n:6=?6=3th949>50;194?6|,0=969li;%67=?>7=2B?8;5aa2695g023`>>97>5;h662?6=3f2>57>5;|q1<17=838pR?6;1:?1<6b=<<<0q~<9fc83>7}Y:?li70<73e871==z{h>36=4={_c7<>;50:o188;4}r346d<72;qU=:{t:>i>6=4={_04g0=::1>;69;9;|q1<6e=838pR?67}::19o69;:;<0;7`212wvn>o;e;390?6=8r.2;?4;bg9'01?=?oi0(4=6:3g3<>N3:51c45?l22=3:17d::6;29?l22?3:17b6:9;29?xd4i=n1=7;50;2x <152=hm7):;9;5:b>">;009i=64H565?kg4<3;i::5f44794?=n<<<1<75f44594?=n<<21<7F;1d98k=3>2900qo=n6c82>1<729q/5:<54cd8 12>2>lh7)7<9;0f4==O<=<0bl=;:0`5<>o3=<0;66g;5783>>o3=>0;66a75883>>{e;hij6*;4884=c=#1:31>h>7;I672>hf;=0:n;74i576>5<5<213:17pl<77;95?2=83:p(49=:5`e?!2313=mo6*63881a5><@=>=7co<4;3a2d=n<?;0?nk5+45;93<`<,0926?k?8:J703=ii:>1=o8m;h661?6=3`>>:7>5;h663?6=3`>>47>5H53f?>i?=00;66sm36:0>4<3290;w)782;6ab>"3<00?:6`n3582f3e6=44i575>5<5<:483>5}#1>818oh4$56:>2?a3-3857=h0<31<75rb25:b?7=<3:17c702B?8;5aa2695g0b3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th8;4k51;794?6|,0=969li;%67=?1>n2.2?44=e1:8L1213gk887?m6g9j003=831b88850;9j001=831b88650;J75`=26:hl;%;0=?4b811C8984n`17>4d082c?984?::k713<722c?9:4?::m;1<<722wi?:ln:086>5<7s-3<>7:mf:&70<<01o1/5>752d2;?M23>2dj?94>b638m1322900e9;9:188m1302900e9;7:18K04c<3f2>57>5;|`03a2=93>1<7>t$851>1da3->?579ic:&:7<<5m920D9:9;oc00?7e?;1b88;50;9j000=831b88950;9l<0?=831vn>9k3;391?6=8r.2;?4;bg9'01?=?0l0(4=6:3g3<>N3:51c50?l22=3:17d::6;29?l22?3:17d::8;29L17b32e3944?::a72ce280?6=4?{%;46?2en2.?8448fb9'=6?=:l:37E:;6:lb71<6j>>0e9;::188m1312900e9;8:188k=3>2900qo=8e`82>0<729q/5:<54cd8 12>2>3m7)7<9;0f4==O<=<0bl=;:0`41>o3=<0;66g;5783>>o3=>0;66g;5983>M26m21d48750;9~f6>7:3;187>50z&:37<3jo1/89757ga8 <5>2;o;46F;479me62=9k==7d::5;29?l22>3:17d::7;29?j>213:17pl<81395?3=83:p(49=:5`e?!2313=2j6*63881a5><@=>=7co<4;3a32=n<>o3=?0;66g;5683>>i?=00;66sm393;>4<2290;w)782;6ab>"3<00<5k5+92;96`6?3A>?:6`n3582f2?6=44i575>5<5">;009i=64H565?kg4<3;i;l5f44794?=n<<<1<75f44594?=h0<31<75rb2:1b?7==3:17c702B?8;5aa2695g1e3`>>97>5;h662?6=3`>>;7>5;h66>{e;1><6<4;:183!?0:3>ij6*;4884bf=#1:31>h>7;I672>hf;=0:n:m4i576>5<5<26:7i;%;0=?4b811C8984n`17>4d0l2c?984?::k713<722c?9:4?::k71=<72A>:i65`84;94?=zj:23<7?54;294~">?;0?nk5+45;93ce<,0926?k?8:J703=ii:>1=o9j;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`0<2`=93?1<7>t$851>1da3->?5796f:&:7<<5m920D9:9;oc00?7e?o1b88;50;9j000=831b88950;9j00>=83B?=h54o97:>5<:583>5}#1>818oh4$56:>2`d3-38576=4?{%;46?2en2.?84489g9'=6?=:l:37E:;6:lb71<6j1;0e9;::188m1312900e9;8:188m13?290C8fl3;197>50z&:37<3jo1/897578d8 <5>2;o;46F;479me62=9k287d::5;29?l22>3:17d::7;29?l2203:1D9?j;:m;1<<722wi?5m::087>5<7s-3<>7:mf:&70<<0nj1/5>752d2;?M23>2dj?94>b968m1322900e9;9:188m1302900c5;6:188yg5?k=0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;6E:>e:9l<0?=831vn>6kc;390?6=8r.2;?4;bg9'01?=?oi0(4=6:3g3<>N3:51c:5?l22=3:17d::6;29?l22?3:17b6:9;29?xd40mh1=7;50;2x <152=hm7):;9;5:b>">;009i=64H565?kg4<3;i4:5f44794?=n<<<1<75f44594?=n<<21<7F;1d98k=3>2900qo=7f282>1<729q/5:<54cd8 12>2>lh7)7<9;0f4==O<=<0bl=;:0`;<>o3=<0;66g;5783>>o3=>0;66a75883>>{e;1l96<4::183!?0:3>ij6*;4884=c=#1:31>h>7;I672>hf;=0:n574i576>5<5<213:17pl<91c95?2=83:p(49=:5`e?!2313=mo6*63881a5><@=>=7co<4;3a?;0?nk5+45;93<`<,0926?k?8:J703=ii:>1=o6m;h661?6=3`>>:7>5;h663?6=3`>>47>5H53f?>i?=00;66sm383`>4<3290;w)782;6ab>"3<00?:6`n3582f=e6=44i575>5<5<:483>5}#1>818oh4$56:>2?a3-38575<7s-3<>7:mf:&70<<0nj1/5>752d2;?M23>2dj?94>b9g8m1322900e9;9:188m1302900c5;6:188yg5>;:0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;6E:>e:9l<0?=831vn>7;b;390?6=8r.2;?4;bg9'01?=?oi0(4=6:3g3<>N3:51c;3?l22=3:17d::6;29?l22?3:17b6:9;29?xd41=k1=7;50;2x <152=hm7):;9;5:b>">;009i=64H565?kg4<3;i5<5f44794?=n<<<1<75f44594?=n<<21<7F;1d98k=3>2900qo=67d82>1<729q/5:<54cd8 12>2>lh7)7<9;0f4==O<=<0bl=;:0`:6>o3=<0;66g;5783>>o3=>0;66a75883>>{e;0=o6<4::183!?0:3>ij6*;4884=c=#1:31>h>7;I672>hf;=0:n4=4i576>5<5<213:17pl<98795?2=83:p(49=:5`e?!2313=mo6*63881a5><@=>=7co<4;3a=1=n<?;0?nk5+45;93<`<,0926?k?8:J703=ii:>1=o7:;h661?6=3`>>:7>5;h663?6=3`>>47>5H53f?>i?=00;66sm10f0>4<3280?w)782;666>N>>j1em>:51c;5?l5f??0:66g<34595?=n;:?m6<44o716>4<54;294~">?;0?nk5+45;93ce<,0926?k?8:J703=ii:>1=o78;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q0e20=838pR>o86:?037`=<7}Y;:?<70=82g8713=z{:9>j7>52z\070`<5:=9j7::7:p263=838pR;=:;<146cd<32mq/5:<54408L<0d3gk887?m999j72432800e;=::088m652>3;17d=n9782>>o4;4<9=d;290?6=8r.2;?4;bg9'01?=0820(4=6:3g3<>N3:51c;:?l22=3:17d::6;29?l22?3:17b6:9;29?xd4?;o1<7:50;2x <152=hm7):;9;:26>N3:51c;b?l22=3:17d::6;29?l22?3:17b6:9;29?xd4?;31<7=50;2x <152=hm7):;9;:31>N3:51c;a?l22=3:17d::6;29?j>213:17pl<73c94?3=83:p(49=:5`e?!23132;j6F;479me62=9k3h7d::5;29?l22>3:1D9?j;:k712<722c?954?::m;1<<722wx?:<;:181[50:=16?:>96s|3275>5<5sW989;52360f>1313ty8m4850;0xZ6g>>278;?k54458yv54=<0;6?uQ3276?850:00?985rs2g11?6=:rT8i?;4=251=?22>2wx?lm9:181[5fk?16?:;4?;k18894}r1024<72;qU?>8>;<146d<3=11v>9=d;296~X4?;n01>9=d;:6=>{t;>8n6=4={<146a<3=<16?:278;?o584;8yv50:00;6?u2360:>=3>349<>l4;549~yg76l=0:694>:5y'=24=<<80D48l;oc00?7e1m1b?l7::088m652?3;17d=<5g82>>i1;?0:66l<@=>=7co<4;3a=`=n<52z\0e<3<5:k=47::5:p76302909wS=<569>7d0?2=?=7p}<34d94?4|V:9>j6352z\573=:;h<365;6;|a54b2280?6<4;{%;46?22:2B2:n5aa2695g?a3`9j594>::k0701=931b?>;i:088k3502800n>o;b;290?6=8r.2;?4;bg9'01?=?oi0(4=6:3g3<>N3:51cc3?l22=3:17d::6;29?l22?3:17b6:9;29?xu4i0>1<7;4i=h188;4}r1012<72;qU?>;8;<1b0g<3=?1v>=:f;296~X4;o;b;663>{t>:=1<7::m57=<622h8m?l50;694?6|,0=969li;%67=?1ak2.2?44=e1:8L1213gk887?ma39j003=831b88850;9j001=831d48750;9~w6g>;3:1>vP>96s|3274>5<5sW989:523`0a>1313ty8?8h50;0xZ652n278m?l54458yv0403:1>vP9399>7d4e21?27psm10f4>4<3280?w)782;666>N>>j1em>:51cc0?l5f1;0:66g<34595?=n;:?m6<44o71:>4<54;294~">?;0?nk5+45;93ce<,0926?k?8:J703=ii:>1=oo;;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q0e<4=838pR>o62:?0e5>=<7}Y;:?<70=n098713=z{:9>j7>52z\070`<5:k;47::7:p26?=838pR;=6;<1b4=d982>1<62=q/5:<54408L<0d3gk887?ma49j63`c2800e>oie;39?l5fno0:66a93`82>>d50:<1<7:50;2x <152=hm7):;9;:7g>">;0099im4H565?kg4<3;im;5f44794?=n<<<1<75f44594?=h0<31<75rs34e`?6=:rT9:kj4=3:02?22=2wx?lhj:181[5fnl16>5=9:575?xu4iol1<7;50:<18894}r40e?6=:rT=?l522915>=3>3twi=>6k:086>4<2s-3<>7::2:J:2f=ii:>1=oo8;h05bg<622c=?l4>::kb0=<622c:;?o51;9l6=542800n?6<3;291?6=8r.2;?4;bg9'01?=09:0(4=6:37gg>N3:51cc;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=6g`94?4|V;52z\57d=::19869;9;|qb0=<72;qUm964=3:07?22?2wx=:5=<:57;?xu50:91<7;50:914874}|`25a?=93>1=7:t$851>1353A3=o6`n3582fd?:187>5<7s-3<>7:mf:&70<751663?M23>2dj?94>b`c8m1322900e9;9:188m1302900c5;6:188yv5dl=0;6?uQ3bf7?87?=80?985rs2cea?6=:rT8mkk4=0:65?22>2wx?nmi:181[5dko16=5;>:574?xu1;k0;6?uQ62`894>2932>56srb00aa?7==3;19v*6738717=O1?i0bl=;:0`bf>o5=?91=75f62`95?=ni=21=75f160b>4<<7?5;c3;15<72<0;6=u+96090g`<,=>265>?;%;0=?70<91C8984n`17>4dfk2c?984?::k713<722c?9:4?::k71=<722e3944?::p60042909wS<:629>5=372=?>7p}93c83>7}Y>:h01<6:0;662>{ti=21<7>>6F66b9me62=9kko7d=<1682>>o4;9n1=75f3230>4<7c702B?8;5aa2695ggb3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8?<950;0xZ656?278>?854478yv548m0;6?uQ322g?855:?0?9;5rs2127?6=:rT8?<=4=2012?22?2wx:>m50;0xZ35d3499>;47589~yg55:<0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e9=i86<4::086!?0:3>>>6F66b9me62=9kh;7d=k8d82>>o4l>?1=75f3360>4<50;794?6|,0=969li;%67=?1a92.2?44=e1:8L1213gk887?mb09j003=831b88850;9j001=831b88650;9l<0?=831v>j7e;296~X4l1o01>690;661>{t;m=>6=4={_1g30=:;1<;69;9;|q0615=838pR><;3:?0<36=<<=0q~==8583>7}Y;;2?70=761871==z{:2=<7>52z\0<36<5:2=<76:9:~f42aj3;197?55z&:37<3=;1C5;m4n`17>4de:2c8h4751;9j7a122800e><;3;39?l550=0:66a<97095?=e;0<96=4::183!?0:3>ij6*;4884b4=#1:31>h>7;I672>hf;=0:no=4i576>5<5<5<3492:?4;549~w6b0=3:1>vP>:6s|3360>5<5sW998>523841>1303ty8>5:50;0xZ64?<2785;<544:8yv5>>;0;6?uQ3841?85>>;03945r}c364a<62<0:68u+9609004<@04>::k06=2=931d?4oj:088f6?fm3:197>50z&:37<3jo1/89757g38 <5>2;o;46F;479me62=9kh>7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4l0i1<7;41ho188;4}r1g30<72;qU?i9:;<1:e`<3=?1v><;3;296~X4:=901>7ne;663>{t;;2?6=4={_11<1=:;0kn69;7;|q0=dc=838pR>7ne:?0=dc=0<30qpl>50795?3=93?p(49=:571?M?1k2dj?94>bc48m6b>l3;17d=k7482>>o4:=91=75f33:7>4<26:h>;%;0=?4b811C8984n`17>4de?2c?984?::k713<722c?9:4?::k71=<722e3944?::p7a?c2909wS=k9e9>77p}4?:3y]77243492ol4;569~w64?<3:1>vP<296896?di3>>46s|38ab>5<5sW92ol5238ab>=3>3twi=8?k:086>4<2s-3<>7::2:J:2f=ii:>1=ol7;h1g=`<622c8h:;51;9j77242800e><74;39?j5>m?0:66l<9d494?3=83:p(49=:5`e?!2313=m=6*63881a5><@=>=7co<4;3af<=n<;41l<18894}r11<1<72;qU??6;;<1:a3<3=11v>7j6;296~X41l<01>7j6;:6=>{zj8>3h7?55;391~">?;0?9?5G97a8jd5328him6g6<44i2077?7=3`99494>::m036g=931i?:=n:186>5<7s-3<>7:mf:&70<<0n81/5>752d2;?M23>2dj?94>bc`8m1322900e9;9:188m1302900e9;7:188k=3>2900q~=k8283>7}Y;m2870=83`8710=z{:n<97>52z\0`23<5:=8m7::6:p77242909wS==429>725f2=?<7p}<29694?4|V:83863<72c900>00:694>:5y'=24=<<80D48l;oc00?7ejj1b?o<9:088md2?2800e<9=a;39?j5em;0:66l=7co<4;3afa=n<52z\0f70<5:hn?7::5:pe1>=838pRl:7;<1aa6<3=?1v<9=a;296~X6?;k01>lj3;663>{t;ko96=4={_1aa7=:;ko865;6;|a56ee280?6<4;{%;46?22:2B2:n5aa2695gdb3`8i5h4>::k1fa6=931b>oo7:088k7dei3;17o1<729q/5:<54cd8 12>21:87)7<9;0a73=O<=<0bl=;:0`ab>o3=<0;66g;5783>>o3=>0;66a75883>>{t:k3n6=4={_0a=`=::khi69;:;|q1fa6=838pR?lk0:?1fgd=<<<0q~7}Y:kk37052z\1fgg<5;hin76:9:~f45di3;187?54z&:37<3=;1C5;m4n`17>4dd82c9n4j51;9j6gb72800e?ln8;39?j4ej10:66l=bc;94?2=83:p(49=:5`e?!23132;?6*63881f60<@=>=7co<4;3ag4=n<52z\1f6gd>2=?=7p}=b`:94?4|V;hj463=bc;9001:5y'=24=<<80D48l;oc00?7ek;1b>o7l:088m7dc83;17d>i5jk<1=75m2c`4>5<3290;w)782;6ab>"3<003<>5+92;96g513A>?:6`n3582ff56=44i575>5<5<vP=be2897de?3>>:6s|2cc;>5<5sW8im5522c`4>1303ty9no850;0xZ7de>279no9584;8yxd6;j21=7:51;6x <152=?97E79c:lb71<6jj>0e?l6b;39?l4el90:66g=b`:95?=h:kh?6<44b3`a1?6=<3:17d4>2B?8;5aa2695ge23`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty9n4l50;0xZ7d>j279no;54478yv4el90;6?uQ2cf3?84ej<0?9;5rs3`bol;:181[4ej=16>ol::97:?x{e9:i<6<4;:087!?0:3>>>6F66b9me62=9ki=7d>o5jm:1=75f2cc;>4<7?5;c0af6<72=0;6=u+96090g`<,=>265><;%;0=?4e;?1C8984n`17>4dd?2c?984?::k713<722c?9:4?::m;1<<722wx>o7n:181[4e1h16>ol<:576?xu5jm:1<7;5jk918884}r0ae=<72;qU>oo7;<0af6<3=>1v?lm2;296~X5jk801?lm3;:6=>{zj89h:7?54;390~">?;0?9?5G97a8jd5328hh46g=b8;95?=n:kn;6<44i3`b::`1fg7=83>1<7>t$851>1da3->?576?3:&:7<<5j:<0D9:9;oc00?7ek01b88;50;9j000=831b88950;9l<0?=831v?l69;296~X5j0301?lm1;661>{t:kn;6=4={_0a`5=::kh:69;9;|q1fd>=838pR?ln8:?1fg7=<<=0q~7}Y:kh;704>:482>0}#1>8188<4H84`?kg4<3;iol5f247e>4<N3:51caa?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}=54d94?4|V;?>j63>9129003vP>;6s|3bae>5<5sW9hok521823>13?3ty:4kh50;0xZ4>an27:5=>584;8yxd6:m81=7;51;7x <152=?97E79c:lb71<6jji0e?;94;39?l5fnl0:66g5}#1>818oh4$56:>2`c3-3857?n879K01088;:181[42>=16=lo>:576?xu4ioo1<7;6ih;18884}r1``1<72;qU?nj;;<3be4<3=>1v>mlf;296~X4kjl01{t9hk;6=4={_3be5=:9hk:65;6;|a57b0280>6<4:{%;46?22:2B2:n5aa2695geb3`;joi4>::k2e`2=931bm9651;9j524f2800c>o3=?0;66g;5683>>o3=10;66a75883>>{t9hio6=4={_3bga=:9k8o69;:;|q2e`2=838pR;6j;n18864}r3a6f<72;qU=o0<62>i61131=75m18:b>5<2290;w)782;6ab>"3<003<=5+92;95<6a3A>?:6`n3582fa76=44i575>5<5<{ti=21<70h0?9:5rs051e?6=:rT:;?o4=0;;e?2202wx=466:181[7>0016=46n:97:?x{e9=?i6<47:28b!?0:3>>>6F66b9me62=9kn97d;=f;39?l54k?0:66g<67c95?=n;?<36<44i245=?7=3`9=::4>::k0230=931d?>l9:088f65e>3:197>50z&:37<3jo1/89758268 <5>2;o;46F;479me62=9kn87d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4;k=1<7:50;2x <152=hm7):;9;:2<>N3:51cf7?l22=3:17d::6;29?l22?3:17b6:9;29?xd4;kh1<7=50;2x <152=hm7):;9;:11>N3:51cf6?l22=3:17d::6;29?j>213:17p}:2g83>7}Y=;l01>=m6;662>{t;:i=6=4={_10g3=:;:h=69;8;|q023g=838pR>89a:?07g1=<7}Y;?<370=52z\023?<5:9i;7::7:p73002909wS=9669>76de2=?>7p}<67494?4|V:<=:63<3c`9000v3<3c49003<5:9i;76:9:p76de2909w0=::k0701=931b?>;i:088k35b2800n>996;290?6=8r.2;?4;bg9'01?=?oi0(4=6:3g3<>N3:51cf4?l22=3:17d::6;29?l22?3:17b6:9;29?xu4i>=1<7;4??<188;4}r1012<72;qU?>;8;<1423<3=?1v>=:f;296~X4;996;663>{t>:o1<7?03945r}c31`g<62>0965u+9609004<@06<44i0`a3?7=3`;h><4>::k2e=g=931b=:>o3=?0;66g;5683>>o3=10;66a75883>>{e9ki96=4;:183!?0:3>ij6*;488;0g=O<=<0bl=;:0`ge>o3=<0;66g;5783>>o3=>0;66a75883>>{t9j;>6=4={_3`50=:9ki:69;9;|q2fg1=838pR7}Y9j8:70?mc0871==z{8k3m7>52z\2e=g<58hh>7::5:p524f2909wS?82`9>5ge52=?=7p}n4983>7}Yi=201{t9ki:6=4={_3ag4=:9ki:65;6;|q2ff4=838p1;6jj814874}|`26ag=93=1>76t$851>1353A3=o6`n3582fadf2800el:7:088m415i3;17b?mbe82>>d6jkn1<7;50;2x <152=hm7):;9;:16>">;00:no84H565?kg4<3;ihn5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8hii7>54;294~">?;0?nk5+45;9<1d<@=>=7co<4;3a`a=n<52z\2g43<58hih7::6:p5gd02909wS?mb69>5gdc2=?<7p}>c3394?4|V8i9=63>bcf900>f34;inh4;549~wd2?2909wSo;8:?2fgc=<<<0q~?82`83>7}Y9>8j70?mbd8712=z{8hih7>52z\2fgb<58hih76:9:p5gdb2909w0?mbe8710=:9khn65;6;|a57ed280<6?47{%;46?22:2B2:n5aa2695gbb3`;j;?4>::k2e42=931b=l9j:088m4>b03;17d?82`82>>of<10:66a>a0g95?=e9h;n6=4::183!?0:3>ij6*;488;65=#1:31=l?<;I672>hf;=0:nih4i576>5<5<5<5}#1>818oh4$56:>=2e3A>?:6`n3582f`66=44i575>5<5<vP>a06894g6m3>>;6s|1`5f>5<5sW;j;h521`3f>13?3ty:4h650;0xZ4>b027:m5<5sWk?463>a0d9001v3>a0g9003<58k:j76:9:~f44dj3;1;7<58z&:37<3=;1C5;m4n`17>4db92c:m:<51;9j5d732800e>o6?;k1=75`1`3b>4<55;294~">?;0?nk5+45;9<74<,09263:J703=ii:>1=ok=;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:m3j2B?8;5aa2695gc43`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty:m:<50;0xZ4g0:27:m>:6s|160b>5<5sW;<>l521`3a>1303ty:m13234;j=o47589~yg72:<0:6o4;:dy'=24=<<80D48l;oc00?7em=1b?l?k:088m6?a?3;17d=<5482>>o4m=;1=75f3`g1>4<h7?5;h1024<622c8m=:2;39?j5f880:66l<@=>=7co<4;3aa0=n<55;294~">?;0?nk5+45;9<5`<@=>=7co<4;3aa2=n<nl0;694?:1y'=24=2dj?94>bd:8m1322900e9;9:188m1302900c5;6:188yv5f9m0;6?uQ3`3g?85f880?9:5rs2;e3?6=:rT85k94=2c35?2202wx?>;::181[54=<16?l>=:576?xu4m=;1<7;4i9818884}r1ba7<72;qU?lk=;<1b46<3=?1v>=:d;296~X4;o?3;663>{t;:<:6=4={_1024=:;h:869;7;|q0e4e=838pR>o>c:?0=cc=<7}Y;:8?70=6fd8713=z{:9>>7>52z\0704<5:3mi7::7:p7d662909wS=n009>7d6621?27p}7}:;h::69;9;<1:b`o?2;296~;4i9814874=2c37?22=2wvn<;=c;39f?2=mr.2;?4;539K=3e>o4il91=75f327g>4<o=4;39?g5f:=0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;h8>6=4<:183!?0:3>ij6*;488;40=O<=<0bl=;:0`ff>o3=<0;66g;5783>>i?=00;66sm3`05>5<2290;w)782;6ab>"3<003>o3=?0;6E:>e:9j001=831b88650;9l<0?=831vn>o=3;290?6=8r.2;?4;bg9'01?=0880D9:9;oc00?7emm1b88;50;9j000=831b88950;9l<0?=831v>oo=4;663>{t;h8;6=4={_1b65=:;h8?69;7;|q0703=838pR>=:5:?0e73=<7}Y;l>970=n248713=z{:kn?7>52z\0e`5<5:k9:7::6:p763c2909wS=<5e9>7d412=?<7p}<37394?4|V:9==63>4;549~w655=3:1>vP<337896g5;3>>:6s|3271>5<5sW989?523`00>1303ty8m?:50;0xZ6g5<278m?:584;8yv5f:?0;6?u23`07>132349j>;47589~w6g5;3:1>v3::k0e03=931b?>;::088m6c3;3;17d=ne582>>o4;4<?7?5;h1063<622c8?8<51;9l7d232800n>o;4;291?6=8r.2;?4;bg9'01?=08?0(4=6:3g3<>N3:51cge?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl3:17b6:9;29?xd4i=<1<7;50;2x <152=hm7):;9;:3b>N3:51cd2?l22=3:17d::6;29L17b32c?9:4?::k71=<722e3944?::a7d3?290?6=4?{%;46?2en2.?8447139K0107d232=?<7p}963vP>:6s|3`g7>5<5sW9ji9523`65>1313ty8?8j50;0xZ652l278m9854458yv54>80;6?uQ3242?85f<9:181[54:?16?l;7:575?xu4;<81<7;4i<218894}r1b01<72;qU?l:;;<1b01o;6;296~;4i=>188;4=2c72?>212wx?l;7:18185f<=0?9;523`7;>=3>3ty8m9;50;0x96g3=32>563651;`90?c|,0=969;=;I;5g>hf;=0:nk=4i2c45?7=3`9j;?4>::k0703=931b?h:;:088m6gb=3;17d=<5e82>>o4;?;1=75f3`53>4<6=4?{%;46?2en2.?8447149'=6?=:l:37E:;6:lb71<6jo>0e9;::188m1312900e9;8:188m13?2900c5;6:188yg5f>;0;6>4?:1y'=24=2dj?94>bg78m1322900e9;9:188k=3>2900qo=n6283>0<729q/5:<54cd8 12>21:m7E:;6:lb71<6jo<0e9;::188m131290C8>47>5;n:6=?6=3th8m:;50;694?6|,0=969li;%67=?>6:2B?8;5aa2695g`03`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8m:?50;0xZ6g09278m;?54458yv5f?;0;6?uQ3`51?85f>80?955rs2161?6=:rT8?8;4=2c56?22=2wx?h:;:181[5b<=16?l8=:575?xu4il?1<7;4i?918884}r101a<72;qU?>;k;<1b26<3=>1v>=91;296~X4;?;01>o93;66<>{t;h=;6=4={_1b35=:;h=>69;:;|q0771=838pR>==7:?0e23=<<<0q~=<5383>7}Y;:?970=n748712=z{:k==7>52z\0e37<5:k==76:9:p7d042909w0=n608710=:;h<865;6;|q0e23=838p1>o91;662>;4i>?14874}r1b27<72;q6?l8=:97:?85f>:0?985r}c37=<<62k0?6hu+9609004<@0::k0a70=931b?lm8:088m652l3;17d=<6082>>o4??o1=75f323`>4<>7?5;n141c<622h8;8h50;794?6|,0=969li;%67=?>6=2.2?44=e1:8L1213gk887?mf89j003=831b88850;9j001=831b88650;9l<0?=831vn>990;297?6=8r.2;?4;bg9'01?=09?0D9:9;oc00?7enh1b88;50;9j000=831d48750;9~f61193:197>50z&:37<3jo1/897581d8L1213gk887?mfc9j003=831b88850;J75`=5<265?=;I672>hf;=0:nkm4i576>5<5<1v>980;296~X4?>:01>9:f;66<>{t;:?>6=4={_1010=:;><;69;:;|q0a70=838pR>k=6:?0336=<<<0q~=nc683>7}Y;hi<70=8608713=z{:9>h7>52z\070b<5:===7::7:p76062909wS=<609>72062=?37p}<77g94?4|V:==i63<76190034;579~w652:3:1>vP<34089610;3>>;6s|367e>5<5sW9<9k52367e>=3>3ty8;;?50;0x9612n3>>963<7739<0?4?:3y>723a2=?=70=8728;1<=z{:==<7>52z?0336=0<301>991;661>{zj:88=7?55;294~">?;0?nk5+45;9316<,0926?k?8:J703=ii:>1=ohk;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:>n?51;596?>|,0=969;=;I;5g>hf;=0:nkk4i376b?7=3`9jjh4>::k0gf`=931b=4>>:088md2?2800e<9=a;39?j7?n;0:66l>8g094?3=83:p(49=:5`e?!231329>6*63882<`2<@=>=7co<4;3abc=n<2wx?lhj:181[5fnl16=5h=:574?xu4kjl1<7;60o818864}r3:44<72;qU=4>>;<3;b6<3=<1vl:7:181[g3027:4k=54448yv70:h0;6?uQ160b?87?n:0?9:5rs0:e6?6=:rT:4k<4=0:e6?>212wx=5h<:18187?n;0?985219d0>=3>3twi=?j?:084>77::2:J:2f=ii:>1=n>>;h0621<622c8mkk51;9j7fea2800e>i6i0>1=75m1`;7>5<2290;w)782;6ab>"3<003>?5+92;95d>13A>?:6`n3582g546=44i575>5<5<265?7;I672>hf;=0:o==4i576>5<5<88;;<3b=1<3=?1v>oie;296~X4ioo01{t;jim6=4={_1`gc=:9h3?69;7;|q2ed4=838pR;6i0?18894}r3b=1<72;qU=l7;;<3b=1188;4=0c:1?>212wvn>=71;390?6=8r.2;?4;bg9'01?=?N3:51b27?l22=3:17d::6;29?l22?3:17b6:9;29?xd4;1:1=7;50;2x <152=hm7):;9;5g7>">;009i=64H565?kg4<3;h<85f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj8;>>7?55;294~">?;0?nk5+45;93hf;=0:o=84i576>5<5<5<:483>5}#1>818oh4$56:>2b>3-3857l80:J703=ii:>1=n>8;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:=im51;795?3|,0=969;=;I;5g>hf;=0:o=64i2aef?7=3`99?l4>::k0615=931b?nk8:088k32b2800n>7>8;291?6=8r.2;?4;bg9'01?=?<30(4=6:3g3<>N3:51b2:?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}vP<251896?603>>;6s|3bg4>5<5sW9hi:52383;>13?3ty=8h4?:3y]21c<5:3:476:9:~f6?6<3;197>50z&:37<3jo1/897578d8 <5>2;o;46F;479me62=9j:j7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd69mn1=7;51;7x <152=?97E79c:lb71<6k9h0e>o>o4:1o1=75`65d95?=e;h>86=4::183!?0:3>ij6*;488;51=#1:31>h>7;I672>hf;=0:o=m4i576>5213:17p}52z\6<`=:;h>869;9;|q066g=838pR><7}Y;;2n70=n42871==z{?>m6=4={_47b>;4i=914874}|`25ac=93?1=7;t$851>1353A3=o6`n3582g5bo7?5;h7;a?7=3`99?l4>::k06=`=931d:8>51;9a7d07290>6=4?{%;46?2en2.?8447159'=6?=:l:37E:;6:lb71<6k9o0e9;::18K04c<3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty8m8m50;0xZ6g2k278m;>54478yv3?m3:1>vP:8d9>7d072=?=7p}<22c94?4|V:88m63a349j:=4;599~w3372909wS8:0:?0e36=0<30qpl>1ed95?3=93?p(49=:571?M?1k2dj?94>c1d8m612i3;17d;7e;39?l55;h0:66g<26095?=h><;1=75m367f>5<2290;w)782;6ab>"3<003=95+92;96`6?3A>?:6`n3582g466=4G40g8?l22>3:17d::7;29?l2203:17b6:9;29?xu4?;4?4h52367f>1313ty8>>o50;0xZ644i278;8k54458yv55?;0;6?uQ3351?850=l0?955rs772>5<5sW<>=63<74g9<0?51;795?3|,0=969;=;I;5g>hf;=0:o:=51;9l204=931i?:9m:186>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>c008m1322900e9;9:188m1302900e9;7:188k=3>2900q~=87483>7}Y;>=>70=87c8710=z{<2n6=4={_7;a>;4?>h18884}r117d<72;qU??=n;<143g<3=>1v><83;296~X4:>901>98b;66<>{t><81<73:6<44i4:f>4<4>::`03<1=83?1<7>t$851>1da3->?576>4:&:7<<5m920D9:9;oc00?7d9=1b88;50;J75`=5<5<b2909wS;7e:?03<1=<<<0q~==3`83>7}Y;;9j70=8968712=z{:8<87>52z\0622<5:=2;7::8:p205=838pR;;<;<14=2e382>0<62>i1==0:66l<7c194?3=83:p(49=:5`e?!23132:86*63881a5><@=>=7co<4;3`53=n<5<5sW?3i63<7c190004;569~w640=3:1>vP<2678961e;3>>46s|64694?4|V???70=8b28;1<=zuk;:i>4>:482>0}#1>8188<4H84`?kg4<3;h=:5f36a:>4<::m510<622h8;i>50;794?6|,0=969li;%67=?>6<2.2?44=e1:8L1213gk887?l199j003=83B?=h54i575>5<5<54448yv55;h0;6?uQ331b?850l90?9:5rs2042?6=:rT8>:84=25g4?2202wx:8;50;0xZ332349:4y'=24=<<80D48l;oc00?7d901b?:k?:088m0>b2800e><0:66a95782>>d4?l=1<7;50;2x <152=hm7):;9;:20>">;009i=64H565?kg4<3;h=l5f44794?N39l10e9;9:188m1302900e9;7:188k=3>2900q~=8e183>7}Y;>o;70=8e68710=z{<2n6=4={_7;a>;4?l=18884}r117d<72;qU??=n;<14a2<3=>1v><87;296~X4:>=01>9j7;66<>{t><<1<703945r}c32a0<62<0:68u+9609004<@0l<6<44i4:f>4<::`03cc=83?1<7>t$851>1da3->?576>4:&:7<<5m920D9:9;oc00?7d9j1b88;50;J75`=5<5<b2909wS;7e:?03cc=<<<0q~==3`83>7}Y;;9j70=8fd8712=z{:8<47>52z\062><5:=mi7::8:p201=838pR;;8;<14b`e782>0<62>i1=10:66l<80794?3=83:p(49=:5`e?!23132:86*63881a5><@=>=7co<4;3`5`=n<5<5sW?3i63<8079000vP<26;896>6=3>>46s|64:94?4|V??370=7148;1<=zuk;:i:4>:482>0}#1>8188<4H84`?kg4<3;h=k5f3906>4<::m51<<622h84?m50;794?6|,0=969li;%67=?>6<2.2?44=e1:8L1213gk887?l219j003=83B?=h54i575>5<5<:o4=2:1g?2202wx:8750;0xZ33>3493>n47589~yg76m10:684>:4y'=24=<<80D48l;oc00?7d:81b?5=l:088m0>b2800e><>d40=91<7;50;2x <152=hm7):;9;:20>">;009i=64H565?kg4<3;h>?5f44794?N39l10e9;9:188m1302900e9;7:188k=3>2900q~=73b83>7}Y;19h70=7428710=z{<2n6=4={_7;a>;40=918884}r117d<72;qU??=n;<1;06<3=>1v><8b;296~X4:>h01>6;3;66<>{t>6<44i4:f>4<::`0<2g=83?1<7>t$851>1da3->?576>4:&:7<<5m920D9:9;oc00?7d:=1b88;50;J75`=5<5<b2909wS;7e:?0<2g=<<<0q~==3`83>7}Y;;9j70=77`8712=z{:852z\062b<5:2e`82>0<62d2800e86j:088m644i3;17d==7d82>>i1=j0:66l<88194?3=83:p(49=:5`e?!23132:86*63881a5><@=>=7co<4;3`63=n<5<5sW?3i63<88190004;569~w640m3:1>vP<26g896>>;3>>46s|64a94?4|V??h70=7928;1<=zuk;:io4>:482>0}#1>8188<4H84`?kg4<3;h>:5f39c0>4<::m51a<622h84lo50;794?6|,0=969li;%67=?>6<2.2?44=e1:8L1213gk887?l299j003=83B?=h54i575>5<5<:h4=2:be?2202wx:8j50;0xZ33c3493ml47589~yg76mj0:684>:4y'=24=<<80D48l;oc00?7d:01b?5ln:088m0>b2800e><>d40j;1<7;50;2x <152=hm7):;9;:20>">;009i=64H565?kg4<3;h>l5f44794?N39l10e9;9:188m1302900e9;7:188k=3>2900q~=7b`83>7}Y;1hj70=7c08710=z{<2n6=4={_7;a>;40j;18884}r117d<72;qU??=n;<1;g4<3=>1v><70;296~X4:1:01>6l1;66<>{t>4<::`0=83?1<7>t$851>1da3->?576>4:&:7<<5m920D9:9;oc00?7d:j1b88;50;J75`=5<5<b2909wS;7e:?0=<<<0q~==3`83>7}Y;;9j70=7d98712=z{:83=7>52z\06=7<5:2o47::8:p20`=838pR;;i;<1;`=ed82>0<62>i1>90:66l<8df94?3=83:p(49=:5`e?!23132:86*63881a5><@=>=7co<4;3`6`=n<5<5sW?3i63<8df9000vP<290896>bl3>>46s|67294?4|V?<;70=7ee8;1<=zuk;:ik4>:482>0}#1>8188<4H84`?kg4<3;h>k5f39de>4<4>::m524<622h85=850;794?6|,0=969li;%67=?>6<2.2?44=e1:8L1213gk887?l319j003=83B?=h54i575>5<5<8?0?9:5rs20;7?6=:rT8>5=4=2;32?2202wx:;?50;0xZ3063492<;47589~yg76n80:684>:4y'=24=<<80D48l;oc00?7d;81b?4<6:088m0>b2800e><>d41::1<7;50;2x <152=hm7):;9;:20>">;009i=64H565?kg4<3;h??5f44794?N39l10e9;9:188m1302900e9;7:188k=3>2900q~=62883>7}Y;08270=6318710=z{<2n6=4={_7;a>;41::18884}r117d<72;qU??=n;<1:75<3=>1v><75;296~X4:1?01>7<0;66<>{t>?91<7;903945r}c32b7<62<0:68u+9609004<@0;6<44i4:f>4<::`0=11=83?1<7>t$851>1da3->?576>4:&:7<<5m920D9:9;oc00?7d;=1b88;50;J75`=5<5<b2909wS;7e:?0=11=<<<0q~==3`83>7}Y;;9j70=6468712=z{:83:7>52z\06=0<5:3?;7::8:p232=838pR;8;;<1:02f282>0<62>i1><0:66l<96c94?3=83:p(49=:5`e?!23132:86*63881a5><@=>=7co<4;3`73=n<?:0;6?uQ3850?85>?h0?985rs4:f>5<5sW?3i63<96c9000vP<29:896?0i3>>46s|67794?4|V?<>70=67`8;1<=zuk;:j94>:482>0}#1>8188<4H84`?kg4<3;h?:5f38:b>4<::m523<622h855k50;794?6|,0=969li;%67=?>6<2.2?44=e1:8L1213gk887?l399j003=83B?=h54i575>5<5<0l0?9:5rs20;=?6=:rT8>574=2;;a?2202wx:;850;0xZ30134924h47589~yg75lm0:684>:4y'=24=<<80D48l;oc00?7d;01b>8j9:088m4d5m3;17d?mbb82>>o6jj:1=75`1b04>4<55;294~">?;0?nk5+45;9<43<,09261=n=n;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty99i850;0xZ73c>27:o?654478yv7e:l0;6?uQ1c0f?87d:10?9;5rs0`ag?6=:rT:nom4=0a1;6k;214874}|`26fc=93?1=7;t$851>1353A3=o6`n3582g6d5G4548jd5328i8o6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{t:69;:;|q2==d=838pR<77b:?2e=3=<<<0q~?n1883>7}Y9h;270?n848712=z{8k:h7>52z\2e4b<58k397::8:p5d>32909wS?n859>5d>221?27psm153f>4<2280>w)782;666>N>>j1em>:51b1g?l54880:66g:5782>>o481=1=75f334b>4<265=<;%;0=?4b811C8984n`17>4e4m2c?984?::k713<722c?9:4?::k71=<722e3944?::p76662909wS=<009>747d2=?>7p}:5783>7}Y=<<01>?>c;662>{t;92<6=4={_13<2=:;8;h69;8;|q063g=838pR><9a:?054e=<<20q~=>1b83>7}Y;8;h70=>1b8;1<=zuk;?=i4>:482>0}#1>8188<4H84`?kg4<3;h?k5f3222>4<::m0540=931i?5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>c528m1322900e9;9:188m1302900e9;7:188k=3>2900q~=<0083>7}Y;:::70=>178710=z{;498<18884}r13<2<72;qU?=68;<1253<3=>1v><97;296~X4:?=01>?>6;66<>{t;8;=6=4={_1253=:;8;=65;6;|a517d280>6<4:{%;46?22:2B2:n5aa2695f263`98<<4>::k613<622c8<5951;9j773d2800c>?>0;39?g56990;684?:1y'=24=6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{t;:::6=4={_1044=:;8;;69;:;|q613<72;qU9884=2324?22>2wx?=68:181[570>16?;498:18864}r1255<72;qU?0<62>i499k1=75m302b>5<2290;w)782;6ab>"3<003?>5+92;96`6?3A>?:6`n3582g126=44i575>5<5<>>;<124d<3=<1v8;9:181[32>278==o54448yv570>0;6?uQ31:4?8568h0?9:5rs2065?6=:rT8>8?4=233e?2202wx?<>n:181[568h16?<>n:97:?x{e9=;26<4::086!?0:3>>>6F66b9me62=9j>>7d=<0082>>o2=?0:66g<09595?=n;;?>6<44o2330?7=3k9:<94?:483>5}#1>818oh4$56:>=543-3857>>:181[548816?<>;:576?xu2=?0;6?uQ54489677<3>>:6s|31:4>5<5sW9;4:523027>1303ty8>8;50;0xZ642=278==:544:8yv568=0;6?uQ3027?8568=03945r}c375=<62<0:68u+9609004<@04<6=4?{%;46?2en2.?8447329'=6?=:l:37E:;6:lb71<6k=20e9;::188m1312900e9;8:188m13?2900c5;6:188yv54880;6?uQ3222?857nl0?985rs475>5<5sW?>:63<0gg90000349;jh4;569~w642<3:1>vP<2468966am3>>46s|31df>5<5sW9;jh5231df>=3>3twi=9?8:086>4<2s-3<>7::2:J:2f=ii:>1=n:6;h1044<622c>9;4>::k04=1=931b??;<:088k66a03;17o=?f983>0<729q/5:<54cd8 12>21987)7<9;0f4==O<=<0bl=;:0a7e>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|3222>5<5sW98<<5231d;>1323ty>9;4?:3y]100<5::m47::6:p75>02909wS=?869>75`?2=?<7p}<24194?4|V:8>?63<0g:900>:4y'=24=<<80D48l;oc00?7d>>:088m0312800e>>77;39?l55=;0:66a<0g095?=e;9l96=4::183!?0:3>ij6*;488;76=#1:31>h>7;I672>hf;=0:o9m4i576>5<5<5<7}Y;92<70=?f38712=z{:8>>7>52z\0604<5::m>7::8:p75`52909wS=?f39>75`521?27psm1536>4<2280>w)782;666>N>>j1em>:51b6g?l54880:66g:5782>>o481=1=75f3373>4<265=<;%;0=?4b811C8984n`17>4e3m2c?984?::k713<722c?9:4?::k71=<722e3944?::p76662909wS=<009>75ce2=?>7p}:5783>7}Y=<<01>>jb;662>{t;92<6=4={_13<2=:;9oi69;8;|q0606=838pR><:0:?04`d=<<20q~=?e`83>7}Y;9oj70=?ec8;1<=zuk;?>h4>:482>0}#1>8188<4H84`?kg4<3;h8k5f3222>4<7?5;h1040<622c8<5951;9l74172800n>?81;291?6=8r.2;?4;bg9'01?=0:o0(4=6:3g3<>N3:51b73?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<31394?4|V:9;=63<1639003vP<3178967093>>;6s|31:4>5<5sW9;4:523052>13?3ty8=:>50;0xZ6708278=:?584;8yxd6=?k1=7;51;7x <152=?97E79c:lb71<6k<;0e>l=9;39?l3a?3;17d=m3082>>o4jl>1=75`3cg6>4<55;294~">?;0?nk5+45;93f7<,0926>l>d:J703=ii:>1=n;=;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty8n?750;0xZ6d51278nh854478yv3a?3:1>vP:f69>7gc12=?=7p}vP32>56srb03`e?7=<3;18v*6738717=O1?i0bl=;:0a67>o4km>1=75f3c27>4<5}#1>818oh4$56:>=363-3857<7ad9K0106d5?2=?>7p}=0<30qpl<@=>=7co<4;3`10=n<6gb>2B?8;5aa2695f313`>>97>5;h662?6=3`>>;7>5;h6657>5;|`205?=93>1=7:t$851>1353A3=o6`n3582g01?j51;9l75512800n>;60;290?6=8r.2;?4;bg9'01?=?o<0(4=6:3g3<>N3:51b7;?l22=3:17d::6;29?l22?3:17b6:9;29?xu4;?91<7;4=0:188;4}r104f<72;qU?>>l;<16=5<3=?1v><=d;296~X4:;n01>;60;663>{t;99=6=4={_1373=:;<3;65;6;|a760e280>6=4?{%;46?2en2.?8447459'=6?=:l:37E:;6:lb71<6k<30e9;::188m1312900e9;8:188m13?2900c5;6:188yg520:0:684?:1y'=24=m6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e9<>86<4::086!?0:3>>>6F66b9me62=9j?i7do;8;39?l550=0:66g<28295?=n9>8j6<44o2`2=?7=3k9i=l4?:483>5}#1>818oh4$56:>=553-3857=ne79K010vP<296896d6i3>>:6s|33;3>5<5sW995=523c3b>1303ty:;?o50;0xZ415i278n26:9n;%;0=?7d;81C8984n`17>4e2l2c?984?::k713<722c?9:4?::k71=<722e3944?::a56`e280>6<4:{%;46?22:2B2:n5aa2695f3b3`8o4n4>::k1`=3=931bm9651;9j524f2800c?jn4;39?g4ci<0;684?:1y'=24=j6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{t:m2h6=4={_0g69;:;|q1`=3=838pR?j75:?1`d3=<<<0q~o;8;296~Xf<116>io::574?xu6?;k1<7;5lh?18864}r0ge1<72;qU>io;;<0ge00<62d2800e?j74;39?lg303;17d?82`82>>i5lh81=75m2ec0>5<2290;w)782;6ab>"3<003=85+92;96a7f3A>?:6`n3582g376=44i575>5<5<i6l;<0ge6<3=<1v?j74;296~X5l1>01?jn3;662>{ti=21<7io=:181[4ci;16>io<:97:?x{e9:l26<4::086!?0:3>>>6F66b9me62=9j<97d>o5l191=75fa5:95?=n9>8j6<44o3fb4?7=3k8om<4?:483>5}#1>818oh4$56:>=723-3857i6l:181[4c0j16>io>:576?xu5l191<7;5lh;18884}rc71303ty:;?o50;0xZ415i279hl?544:8yv4ci90;6?uQ2ec3?84ci803945r}c31`f<62=0:69u+9609004<@04<3j2.2?44>bc48L1213gk887?l649j003=831b88850;9j001=831d48750;9~w4g?i3:1>vP>a9c894e5;3>>96s|a5:94?4|Vh>370?l228713=z{8=9m7>52z\237g<58i9?7::7:p5f452909wS?l239>5f4421?27psm13ag>4<3280?w)782;666>N>>j1em>:51b45?l7?m10:66gn4982>>o6?;k1=75`1`5e>4<54;294~">?;0?nk5+45;9<1d<,09263:J703=ii:>1=n88;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q2<`>=838pR<6j8:?2e=6=<;6i1:18894}r3b3c<72;qU=l9i;<3b<50<729q/5:<54cd8 12>2>hn7)7<9;10ga=O<=<0bl=;:0a5<>o3=<0;66g;5783>>o3=>0;66g;5983>M26m21d48750;9~f47a=3;197?55z&:37<3=;1C5;m4n`17>4e112c8>5951;9j771d2800e><7a;39?l55?80:66a96982>>d4=8=1<7;50;2x <152=hm7):;9;:15>">;008?nj4H565?kg4<3;h:l5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:83;7>52z\06=1<5:?:;7::5:p771d2909wS==7b9>70702=?=7p}<29c94?4|V:83m63<5059001=:4;599~w30?2909wS898:?0141=0<30qpl<50795?3=83:p(49=:5`e?!2313=ii6*638807fb<@=>=7co<4;3`2g=n<o4=kn1=75f3240>4<::`01dc=83?1<7>t$851>1da3->?579i0:&:7<<5m920D9:9;oc00?7d>m1b88;50;9j000=831b88950;9j00>=831d48750;9~w63el3:1>vP<5cf8963fm3>>96s|3240>5<5sW98:>5234cf>1313ty8>?j50;0xZ645l2789lk54458yv549>0;6?uQ3234?852il0?955rs74:>5<5sW<=563<5`g9<0?hf;=0:o;k4i74:>4<;94>::m0`fc=931i?8ok:186>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>c7d8m1322900e9;9:188m1302900e9;7:188k=3>2900q~899;296~X1>016?8ok:576?xu4=k;1<7;4=hn18884}r1003<72;qU?>:9;<16ea<3=>1v89;:181[30<2789lj544:8yv5ckl0;6?uQ3eaf?852im03945r}c32b2<62<0:68u+9609004<@0i4>::k0741=931d:;o51;9a70d4290>6=4?{%;46?2en2.?8448f19'=6?=:l:37E:;6:lb71<6k>;0e9;::188m1312900e9;8:188m13?2900c5;6:188yv52jl0;6?uQ34`f?852j:0?985rs2157?6=:rT8?;=4=27a7?22>2wx??;4=k918864}r45e?6=:rT=:l5234`0>=3>3twi=86=:086>4<2s-3<>7::2:J:2f=ii:>1=n9=;h45e?7=3`9>n;4>::k0711=931b9::51;9l7aea2800n>;m2;291?6=8r.2;?4;bg9'01?=08>0(4=6:3g3<>N3:51b50?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}96`83>7}Y>?k01>;m2;661>{t;=;7:?01g4=<<=0q~;84;296~X2?=16?8l=:57;?xu4ljl1<7;4=k814874}|`25c>=93?1=7;t$851>1353A3=o6`n3582g22?j51;9j76702800c;8m:088f63??3:197>50z&:37<3jo1/89757g28 <5>2;o;46F;479me62=9j=>7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4=kl1<7;4=1=188;4}r1026<72;qU?>8<;<16<2<3=?1v><=d;296~X4:;n01>;77;663>{t;:;<6=4={_1052=:;<2<69;7;|q52g<72;qU:;l4=27;3?>212wvn<;70;391?7==r.2;?4;539K=3e0<729q/5:<54cd8 12>21;?7)7<9;0f4==O<=<0bl=;:0a43>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|67`94?4|V?52z\01=`<5:?3:7::6:p765a2909wS=<3g9>70>12=?<7p}:7583>7}Y=>>01>;76;66<>{t;mi<6=4={_1gg2=:;<2=65;6;|a56`?280>6<4:{%;46?22:2B2:n5aa2695f1?3`8o4?4>::k1`=e=931bm9651;9j524f2800c?j6e;39?g4c1o0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t:m296=4={_0g<7=::m3m69;:;|q1`=e=838pR?j7c:?1`<`=<<<0q~o;8;296~Xf<116>i7i:574?xu6?;k1<7;5l0l18864}r0g=`<72;qU>i7j;<0g=c0<6262800e?j7c;39?lg303;17d?82`82>>i5l0i1=75m2e;g>5<2290;w)782;6ab>"3<003<=5+92;96a7f3A>?:6`n3582g2d6=44i575>5<5<i6>;<0g=a<3=<1v?j7c;296~X5l1i01?j6d;662>{ti=21<7i7l:181[4c1j16>i7k:97:?x{e9:l=6<4::086!?0:3>>>6F66b9me62=9j=h7d>o5l1i1=75fa5:95?=n9>8j6<44o3f:e?7=3k8o5o4?:483>5}#1>818oh4$56:>=673-3857i6?:181[4c0916>i7m:576?xu5l1i1<7;5l0h18884}rc71303ty:;?o50;0xZ415i279h4l544:8yv4c1h0;6?uQ2e;b?84c1k03945r}c30b0<62<0:68u+9609004<@0290>6=4?{%;46?2en2.?8447019'=6?=:m;j7E:;6:lb71<6k>l0e9;::188m1312900e9;8:188m13?2900c5;6:188yv4c?o0;6?uQ2e5e?84c100?985rs3f;g?6=:rT9h5m4=3f:=?22>2wxm9650;0xZd2?348o544;569~w415i3:1>vP>73c897b>13>>46s|2e;;>5<5sW8o55522e;:>=3>3twi=>h;:086>4<2s-3<>7::2:J:2f=ii:>1=n6?;h0g3`<622c9h5m51;9je1>=931b=:>3;17o0<729q/5:<54cd8 12>21:;7)7<9;0g5d=O<=<0bl=;:0a;5>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|2e5f>5<5sW8o;h522e;4>1323ty9h5m50;0xZ7b?k279h4954448yvg303:1>vPn499>6a?02=?<7p}>73c94?4|V8=9m63=d85900>:4y'=24=<<80D48l;oc00?7d0;1b>i9k:088m7b?k3;17do;8;39?l70:h0:66a=d8695?=e:m3>6=4::183!?0:3>ij6*;488;45=#1:31>i?n;I672>hf;=0:o5=4i576>5<5<5<vP=d9a897b>=3>>:6s|a5:94?4|Vh>37052z\237g<5;n297::8:p6a?32909wS6a?221?27psm1522>4<2280>w)782;666>N>>j1em>:51b:7?l4c0k0:66g=d9a95?=ni=21=75f160b>4<265>?;%;0=?4c9h1C8984n`17>4e?=2c?984?::k713<722c?9:4?::k71=<722e3944?::p6a>e2909wS6ad62=?>7p}=d9a94?4|V;n3o63=dc3900052z\b0==::mh:69;8;|q237g=838pR<9=a:?1`g7=<<20q~7}Y:mh;70:482>0}#1>8188<4H84`?kg4<3;h4;5f2e:b>4<l4>::m1`dc=931i>ioi:186>5<7s-3<>7:mf:&70<752e3b?M23>2dj?94>c958m1322900e9;9:188m1302900e9;7:188k=3>2900q~7}Y:m2j7052z\1`=e<5;njj7::6:pe1>=838pRl:7;<0gec<3=>1v<9=a;296~X6?;k01?jnf;66<>{t:mkn6=4={_0ge`=::mkm65;6;|a56`a280>6<4:{%;46?22:2B2:n5aa2695f>?3`8o444>::k1`=e=931bm9651;9j524f2800c?jnc;39?g4cim0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t:m226=4={_0g<<=::mko69;:;|q1`=e=838pR?j7c:?1`db=<<<0q~o;8;296~Xf<116>iok:574?xu6?;k1<7;5lhn18864}r0gef<72;qU>iol;<0gea0<62?2800e?j7c;39?lg303;17d?82`82>>i5lhk1=75m2eca>5<2290;w)782;6ab>"3<003<=5+92;96a7f3A>?:6`n3582g=d6=44i575>5<5<i67;<0geg<3=<1v?j7c;296~X5l1i01?jnb;662>{ti=21<7ion:181[4cih16>iom:97:?x{e9:lo6<4::086!?0:3>>>6F66b9me62=9j2h7d>o5l1=1=75fa5:95?=n9>8j6<44o3fb5}#1>818oh4$56:>=533-3857i6l:181[4c0j16>io6:576?xu5l1=1<7;5lh318884}rc71303ty:;?o50;0xZ415i279hl7544:8yv4ci10;6?uQ2ec;?84ci003945r}c30bf<62<0:68u+9609004<@06=4?{%;46?2en2.?8447359'=6?=:m;j7E:;6:lb71<6k1l0e9;::188m1312900e9;8:188m13?2900c5;6:188yv4c0j0;6?uQ2e:`?84ci>0?985rs3f;2?6=:rT9h584=3fb3?22>2wxm9650;0xZd2?348om:4;569~w415i3:1>vP>73c897bf?3>>46s|2ec5>5<5sW8om;522ec4>=3>3twi=>h=:086>4<2s-3<>7::2:J:2f=ii:>1=n7?;h0g=931b=::3;17o0<729q/5:<54cd8 12>21;>7)7<9;0g5d=O<=<0bl=;:0a:5>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|2e:`>5<5sW8o4n522e;0>1323ty9h:m50;0xZ7b0k279h4=54448yvg303:1>vPn499>6a?42=?<7p}>73c94?4|V8=9m63=d81900>47589~yg76n00:684>:4y'=24=<<80D48l;oc00?7d1;1b?89a;39?l54k?0:66a96b82>>d49m:1<7;50;2x <152=hm7):;9;:7<>">;009i=64H565?kg4<3;h5>5f44794?N39l10e9;9:188m1302900e9;7:188k=3>2900q~=>d283>7}Y;8n870=>d18710=z{?;<6=4={_423>;49m:18884}r152d<72;qU?;8n;<12`5<3=>1v>=l6;296~X4;j<01>?k0;66<>{t>?i1<726:8l;%;0=?4b811C8984n`17>4e><2c?984?::k713<722c?9:4?::k71=<722e3944?::a7d6b280>6=4?{%;46?2en2.?84489g9'=6?=:l:37E:;6:lb71<6k0?0e9;::188m1312900e9;8:188m13?290C8:51;794?6|,0=969li;%67=?1382.2?44=e1:8L1213gk887?l979j003=831b88850;9j001=831b88650;J75`=26:7i;%;0=?4b811C8984n`17>4e>?2c?984?::k713<722c?9:4?::k71=<722e3944?::a54`f280>6<4:{%;46?22:2B2:n5aa2695f??3`93o=4>::k0e=3=931b?>;8:088m652n3;17b89f;39?g5?k:0;684?:1y'=24=M26m21b88850;9j001=831b88650;9l<0?=831v>6l0;296~X40j:01>6l3;661>{t;h2>6=4={_1b<0=:;1i869;9;|q0701=838pR>=:7:?07}Y;:?m70=7c2871==z{?;40j914874}|`20a3=93?1=7;t$851>1353A3=o6`n3582gi4>::k0735=931d?5l8:088f6>d:3:197>50z&:37<3jo1/897581f8 <5>2;o;46F;479me62=9j3i7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu40j31<7;40j8188;4}r45b?6=:rT=:k5239a1>1313ty8>?j50;0xZ645l2784n<54458yv54>:0;6?uQ3240?85?k;0?955rs2:a3?6=:rT84o94=2:`6?>212wvn>i1?90:66l<8ec94?3=83:p(49=:5`e?!23132;j6*63881a5><@=>=7co<4;3`=a=n<0;6?uQ39f4?85?lh0?985rs2c;2?6=:rT8m584=2:ge?22>2wx?>;8:181[54=>16?5jn:574?xu4;;40mk18864}r444?6=:rT=;=5239fb>=3>3twi=9jn:086>4<2s-3<>7::2:J:2f=ii:>1=n7j;h1;a5<622c=;=4>::k067b=931b?>8<:088k6>dm3;17o=7d883>0<729q/5:<54cd8 12>21:o7)7<9;0f4==O<=<0bl=;:0a:b>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|39g3>5<5sW93i=5239f:>1323ty=;=4?:3y]226<5:2o57::6:p774c2909wS==2e9>7=b>2=?<7p}<37194?4|V:9=?63<8e;900>:4y'=24=<<80D48l;oc00?7di91b?5h8:088m6>a93;17d==2e82>>o4;?91=75`39g6>4<55;294~">?;0?nk5+45;9<5b<,0926?k?8:J703=ii:>1=no>;h661?6=3`>>:7>5H53f?>o3=>0;66g;5983>>i?=00;66s|39d4>5<5sW93j:5239gf>1323ty84k?50;0xZ6>a92784hk54448yv55:m0;6?uQ330g?85?ml0?9:5rs2157?6=:rT8?;=4=2:fa?2202wx?5k::181[5?m<16?5kj:97:?x{e98lh6<4::086!?0:3>>>6F66b9me62=9jk97d=60482>>o4i121=75f3274>4<j7?5;n446?7=3k92<54?:483>5}#1>818oh4$56:>=6a3-3857:i65f44494?=n<<=1<75f44:94?=h0<31<75rs2;31?6=:rT85=;4=2;37:575?xu4;<=1<7;419218894}r101c<72;qU?>;i;<1:4=<3=11v;9=:181[00:2785=6584;8yxd60e>7?e;39?l00:3;17d==2e82>>o4;?91=75`39d`>4<55;294~">?;0?nk5+45;9<5b<,0926?k?8:J703=ii:>1=no:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty85=k50;0xZ6?7m2785=954478yv00:3:1>vP9739>7<602=?=7p}<23f94?4|V:89h63<91590014?:3y]76043492<:4;599~w6>ak3:1>vP<8ga896?7?32>56srb03e`?7==3;19v*6738717=O1?i0bl=;:0ab2>o418=1=75f3`::>4<;7?5;h101c<622e=;>4>::`0=4g=83?1<7>t$851>1da3->?576?f:&:7<<5m920D9:9;oc00?7di>1b88;50;J75`=5<5<vP>:6s|3274>5<5sW989:52383b>1303ty8?8h50;0xZ652n2785vP9729>7<7f21?27psm15gb>4<2280>w)782;666>N>>j1em>:51bc;?l5>:80:66g97282>>o4:;n1=75f3240>4<265>k;%;0=?4b811C8984n`17>4ef12c?984?::k713<722c?9:4?::k71=<722e3944?::p7<462909wS=6209>7<7>2=?>7p}97283>7}Y>>901>7>9;662>{t;;8o6=4={_116a=:;0;269;8;|q0735=838pR>=93:?0=4?=<<20q~=61283>7}Y;0;870=6188;1<=zuk;:jh4>:482>0}#1>8188<4H84`?kg4<3;hml5f380e>4<5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>c``8m132290C8>;7>5;h6657>5;|q0=7`=838pR>7=f:?0=64=<7}Y;h2j70=6338713=z{:9>;7>52z\0701<5:38>7::7:p763a2909wS=<5g9>7<552=?37p}97583>7}Y>>>01>7<2;:6=>{zj8>ni7?55;391~">?;0?9?5G97a8jd5328ijo6g<92:95?=n>>>1=75f330g>4<?50;794?6|,0=969li;%67=?>7l2.2?44=e1:8L1213gk887?lae9j003=831b88850;9j001=831b88650;9l<0?=831v>7<8;296~X41:201>7<1;661>{t>>>1<7;80?9;5rs201`?6=:rT8>?j4=2;05?22?2wx?>8<:181[54>:16?4=>:57;?xu41;<1<7;41:;14874}|`2656=93?1=7;t$851>1353A3=o6`n3582gdc50z&:37<3jo1/897581d8 <5>2;o;46F;479me62=9jkm7d::5;29L17b32c?9;4?::k712<722c?954?::m;1<<722wx?4:9:181[5>;41=318884}r1012<72;qU?>;8;<1:0<<3=>1v>=:f;296~X4;7;9;66<>{t>><1<7<003945r}c37b6<62<0:68u+9609004<@0m6<44i755>4<j51;9a7<2?290>6=4?{%;46?2en2.?84470e9'=6?=:l:37E:;6:lb71<6kk;0e9;::188m1312900e9;8:188m13?2900c5;6:188yv5><10?985rs755>5<5sW<<:63<95:9000i4?:3y]774c3492854;569~w651;3:1>vP<371896?303>>46s|381g>5<5sW92?i52386;>=3>3twi=?>>:086>4<2s-3<>7::2:J:2f=ii:>1=nl=;h1:3<<622c8m5j51;9j76302800e>=:f;39?j00?3;17o=67b83>0<729q/5:<54cd8 12>21:m7)7<9;0f4==O<=<0bl=;:0aa7>o3=<0;6E:>e:9j000=831b88950;9j00>=831d48750;9~w6?013:1>vP<96;896?0k3>>96s|3`:g>5<5sW9j4i52385`>1313ty8?8950;0xZ652?2785:m54458yv54=o0;6?uQ327e?85>?j0?955rs754>5<5sW<<;63<96a9<0?51;795?3|,0=969;=;I;5g>hf;=0:oo:4i2;;6?7=3`<<;7?5;h116a<622c8?;=51;9l7<172800n>78b;291?6=8r.2;?4;bg9'01?=09n0(4=6:3g3<>N3:51b`6?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<99094?4|V:33>63<96`900352z\532=:;0=i69;9;|q067b=838pR><=d:?0=2d=<<=0q~=<6283>7}Y;:<870=67c871==z{:3<<7>52z\0=26<5:34ee>2c854?51;9j7d>b2800e>=:7;39?l54=o0:66a97982>>d41091<7;50;2x <152=hm7):;9;:3b>">;009i=64H565?kg4<3;hn:5f44794?N39l10e9;9:188m1302900e9;7:188k=3>2900q~=69083>7}Y;03:70=6928710=z{:k3i7>52z\0e=c<5:32?7::6:p76302909wS=<569>7j63<981900>52z\53==:;03865;6;|a5062280>6<4:{%;46?22:2B2:n5aa2695fd?3`92544>::k53=<622c8>?j51;9j76042800c>777;39?g5>1;0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;0326=4={_1:=<=:;03969;:;|q53=<72;qU::64=2;:6?22>2wx??;410818864}r1:<2<72;qU?468;<1:=70<729q/5:<54cd8 12>2>3:7)7<9;0f4==O<=<0bl=;:0aae>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm32:7>4<2290;w)782;6ab>"3<00<:85+92;96`6?3A>?:6`n3582ggd6=44i575>5<5<4<=51;9a7f54290>6=4?{%;46?2en2.?8448fe9'=6?=;ho=7E:;6:lb71<6kkn0e9;::188m1312900e9;8:188m13?2900c5;6:188yv34=3:1>vP:349>7f542=?>7p}4;569~w6gaj3:1>vP>46s|3b10>5<5sW9h?>523b10>=3>3twi?5<7s-3<>7o=3:&:7<<5m920D9:9;oc00?7djl1b88;50;9j000=831b5?850;9l36`=831vn>?j0;397?6=8r.2;?4;bg9'01?=0;h0(4=6:3g3<>N3:51b`e?l22=3:17d::6;29?j>213:17pl<1d395?3=83:p(49=:5`e?!2313=hj6*63881a5><@=>=7co<4;3`g5=n<4H565?kg4<3;ho<5f44794?=n<<<1<75f93494?=h?:l1<75rb037g173A>?:6`n3582gf46=44i575>5<5<26:j7;%;0=?d082B?8;5aa2695fe43`>>97>5;h662?6=3`>>;7>5;h6657>5;|`02=6=93>1<7>t$851>d443-38576280?6=4?{%;46?2en2.?8448cb9'=6?=:l:37E:;6:lb71<6kj?0e9;::188m1312900e9;8:188k=3>2900qo=98382>1<729q/5:<54cd8 12>2>ih7)7<9;0f4==O<=<0bl=;:0a`2>o3=<0;66g;5783>>o3=>0;66a75883>>{e;?=o6<4;:183!?0:3k9?6*63881a5><@=>=7co<4;3`g2=n<?;0?nk5+45;93fe<,0926?k?8:J703=ii:>1=nm7;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`022`=93>1<7>t$851>1da3->?579lc:&:7<<5m920D9:9;oc00?7dk01b88;50;9j000=831b88950;9l<0?=831vn>88a;390?6=8r.2;?4n229'=6?=:l:37E:;6:lb71<6kjk0e9;::188m1312900e4<9:188k25a2900qo=97c82>1<729q/5:<54cd8 12>2>ih7)7<9;0f4==O<=<0bl=;:0a`f>o3=<0;66g;5783>>o3=>0;66a75883>>{e;?=h6<4;:183!?0:3>ij6*;4884gf=#1:31>h>7;I672>hf;=0:onm4i576>5<5<1=nmk;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`022>=93>1<7>t$851>1da3->?579lc:&:7<<5m920D9:9;oc00?7dkl1b88;50;9j000=831b88950;9l<0?=831vn>889;390?6=8r.2;?4;bg9'01?=?ji0(4=6:3g3<>N3:51bae?l22=3:17d::6;29?l22?3:17b6:9;29?xd4;><1=7:50;2x <152h887)7<9;0f4==O<=<0bl=;:0ag4>o3=<0;66g;5783>>o>:?0;66a83g83>>{e;:=<6<4::183!?0:3>ij6*;4884=1=#1:31>h>7;I672>hf;=0:oi?4i576>5<5<5<:583>5}#1>818oh4$56:>23b3-3857c238L1213gk887?ld29j003=831b88850;9j=70=831d;>h50;9~f743?3;197>50z&:37<3jo1/89757d`8 <5>28i8=6F;479me62=9jn?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6:991=7:51;6x <152=?97E79c:lb71<6km?0e>oif;39?l46>:0:66g131=75m236;>5<3290;w)782;6ab>"3<00?:6`n3582ga06=44i575>5<5<vP=1718974303>>:6s|3c23>5<5sW9i<=52236;>1303ty=444?:3y]2=?<5;8?476:9:~f744n3;187>50z&:37751b12?M23>2dj?94>ce58m1322900e9;9:188m<412900c:=i:188yg45<90:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:;>:6<4::183!?0:3>ij6*;48840d=#1:31=n=>;I672>hf;=0:oi74i576>5<5<5<:583>5}#1>81m?=4$81:>7c702B?8;5aa2695fbf3`>>97>5;h662?6=3`39:7>5;n50b?6=3th:>=:51;695?2|,0=969;=;I;5g>hf;=0:oil4i22;1?7=3`988i4>::k04=2=931d:5m51;9a76?1290?6=4?{%;46?2en2.?8447379'=6?=:l:37E:;6:lb71<6kmi0e9;::188m1312900e9;8:188k=3>2900q~=?8483>7}Y;92>70=<978710=z{:9?h7>52z\071b<5:92:7::6:p75>32909wS=?859>76?12=?<7p}98b83>7}Y>1i01>=66;:6=>{zj:92;7?55;294~">?;0?nk5+45;932g<,0926?k?8:J703=ii:>1=njk;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:i8?51;694?6|,0=96l<<;%;0=?7d;81C8984n`17>4ecm2c?984?::k713<722c2>;4?::m47c<722wi=h;=:086>5<7s-3<>7:mf:&70<<0091/5>751b12?M23>2dj?94>ced8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?j5282>0<729q/5:<54cd8 12>2>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1d64>4<3290;w)782;c17>">;00:o>?4H565?kg4<3;hi<5f44794?=n<<<1<75f93494?=h?:l1<75rb0g7f?7==3:14e492B?8;5aa2695fc53`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2a1e=93?1<7>t$851>1da3->?57983:&:7<<6k:;0D9:9;oc00?7dm:1b88;50;9j000=831b88950;9j00>=831d48750;9~f4c2<3;187>50z&:37751b12?M23>2dj?94>cd68m1322900e9;9:188m<412900c:=i:188yg7b=<0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e9l?=6<4::183!?0:3>ij6*;488423=#1:31=n=>;I672>hf;=0:oh84i576>5<5<5<4>:583>5}#1>81m?=4$81:>7c702B?8;5aa2695fc03`>>97>5;h662?6=3`39:7>5;n50b?6=3th:>=;51;695?2|,0=969;=;I;5g>hf;=0:oh64i22;1?7=3`988k4>::k04=2=931d:4:51;9a76g3290?6=4?{%;46?2en2.?8447379'=6?=:l:37E:;6:lb71<6kl30e9;::188m1312900e9;8:188k=3>2900q~=?8483>7}Y;92>70=52z\071`<5:9j87::6:p75>32909wS=?859>76g32=?<7p}99583>7}Y>0>01>=n4;:6=>{zj:9j97?54;294~">?;0?nk5+45;93=`<,0926?k?8:J703=ii:>1=nkn;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`07f4=93>1<7>t$851>d443-38576=4?{%;46?2en2.?8448739'=6?=:l:37E:;6:lb71<6kli0e9;::188m1312900e9;8:188m13?2900c5;6:188yg54k<0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;j>>6<4;:183!?0:3k9?6*63880e`0<@=>=7co<4;3`a`=n<?;0?nk5+45;9310<,0926>oj6:J703=ii:>1=nki;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:>=851;695?2|,0=969;=;I;5g>hf;=0:ok>4i2af6?7=3`9inh4>::k0ecc=931d:4751;9a7f20290?6=4?{%;46?2en2.?8448f69'=6?=;ho=7E:;6:lb71<6ko;0e9;::188m1312900e9;8:188k=3>2900q~=le383>7}Y;jo970=l468710=z{:hii7>52z\0fgc<5:i?;7::6:p7d`b2909wS=nfd9>7f202=?<7p}99883>7}Y>0301>m;7;:6=>{zj;:on7?54;294~">?;0j>>5+92;95f563A>?:6`n3582gc46=44i575>5<5<:482>0}#1>8188<4H84`?kg4<3;hj>5f21aa>4<=jl:186>5<7s-3<>7:mf:&70<<0nk1/5>751b12?M23>2dj?94>cg68m1322900e9;9:188m1302900e9;7:188k=3>2900q~7}Y:9ii7052z\14fe<5;:oo7::6:p64g?2909wS<>a99>65bd2=?<7p}=1`;94?4|V;;j563=0ea900>52z\5=d=::9nh65;6;|af3e=93>1<7>t$851>d443-3857o;d:J703=ii:>1=nh:;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`265>=93>1=7:t$851>1353A3=o6`n3582gc04<4<ij6*;4884b3=#1:31m9j4H565?kg4<3;hj:5f44794?=n<<<1<75f44594?=h0<31<75rs`5e>5<5sWk;e>m0?9;5rs`:3>5<5sWk3<63m6e8712=z{?3h6=4={_4:g>;e>m03945r}c314<<62<0:68u+9609004<@06<44ic11>4<::`a2`<72<0;6=u+96090g`<,=>26:h?;%;0=?g3l2B?8;5aa2695f`>3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q1133=838pR?;95:?a2`<3=<1vo==:181[d4:27i:h4;579~w6ec<3:1>vP;e>l03945r}c1`30<62=0;6=u+9609e75<,0926>oj6:J703=ii:>1=nhn;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`265g=93?1=7;t$851>1353A3=o6`n3582gcd9i7?5;h1bbd<622c8mkl51;9j7d`d2800c;7j:088f6e0>3:197>50z&:37<3jo1/89757gc8 <5>2:kn:6F;479me62=9jlh7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu5<;o1<7;4k><188;4}r1bbd<72;qU?lhn;<1`33<3=?1v>oib;296~X4ioh01>m86;663>{t;hlh6=4={_1bbf=:;j==69;7;|q5=`<72;qU:4k4=2a42?>212wvn>m87;391?6=8r.2;?4;bg9'01?=?=o0(4=6:2cf2>N3:51bdg?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<1c395?2=83:p(49=:`00?!?4138n<55G4548jd5328imi6g;5483>>o3=?0;66g62783>>i0;o0;66sm132a>4<2280>w)782;666>N>>j1em>:51bde?l34;3;17d=96882>>o4>?91=75f3744>4<4$81:>7c702B?8;5aa2695a673`>>97>5;h662?6=3`>>;7>5;h6657>5;|q676<72;qU9>=4=23a6?22=2wx?;86:181[51>016??91<7;49k818894}r1522<72;qU?;88;<12f7<3=11v;o?:181[0f8278=o<584;8yxd49k91=7;50;2x <152=hm7):;9;566>">;009i=64H565?kg4<3;o<<5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj;n:;7?54;294~">?;0j>>5+92;96fe23A>?:6`n3582`546=44i575>5<5<:483>5}#1>818oh4$56:>2233-3857l:086>4<2s-3<>7::2:J:2f=ii:>1=i>;;h0g56<622c9ohh51;9j6fe>2800e?mla;39?j0f<3;17o0<729q/5:<54cd8 12>21;;7)7<9;0`g0=O<=<0bl=;:0f31>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|2e30>5<5sW8o=>522e3:>1323ty9ohh50;0xZ7ebn279h<754448yv4dk00;6?uQ2ba:?84c900?9:5rs3a`e?6=:rT9ono4=3f2=?2202wx:l:50;0xZ3g3348o=447589~yg5dkj0:694?:1y'=24=i;90(4=6:2cf2>N3:51e25?l22=3:17d::6;29?l?5>3:17b9">;008mh84H565?kg4<3;o<:5f44794?=n<<<1<75f44594?=h0<31<75rb2a`a?7==3:16gb>2B?8;5aa2695a6?3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0g1c=93>1<7>t$851>d443-3857=ne79K0102900qo=l5182>0<729q/5:<54cd8 12>2>2o7)7<9;1ba3=O<=<0bl=;:0f3f>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm3ba;>4<3290;w)782;c17>">;008mh84H565?kg4<3;o4$81:>6gb>2B?8;5aa2695a6c3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th8ono51;794?6|,0=969li;%67=?1?l2.2?44m92;390?6=8r.2;?4n229'=6?=;ho=7E:;6:lb71<6l9l0e9;::188m1312900e4<9:188k25a2900qo=l6282>1<729q/5:<54cd8 12>2>n;7)7<9;1ba3=O<=<0bl=;:0f24>o3=<0;66g;5783>>o3=>0;66a75883>>{e;jij6*;4884hf;=0:h5<5<5<:583>5}#1>81m?=4$81:>6gb>2B?8;5aa2695a753`>>97>5;h662?6=3`39:7>5;n50b?6=3th8o8h51;694?6|,0=969li;%67=?1c82.2?4450z&:37<3jo1/897579f8 <5>2:kn:6F;479me62=9m;?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4ko3=<0;66g;5783>>o>:?0;66a83g83>>{e;j?i6<4;:183!?0:3>ij6*;4884`5=#1:31?lk9;I672>hf;=0:h<84i576>5<5<26:6k;%;0=?5fm?1C8984n`17>4b6?2c?984?::k713<722c?9:4?::k71=<722e3944?::a7f31280?6=4?{%;46?g5;2.2?44h50;9~f6e2?3;187>50z&:37<3jo1/89757e28 <5>2:kn:6F;479me62=9m;27d::5;29?l22>3:17d::7;29?j>213:17pl=7co<4;3g5d=n<hf;=0:h5<5<9m6=44}c1`16<62=0;6=u+96090g`<,=>26:j?;%;0=?5fm?1C8984n`17>4b6k2c?984?::k713<722c?9:4?::m;1<<722wi?n;;:086>5<7s-3<>7:mf:&70<<00m1/5>753`g5?M23>2dj?94>d0f8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=l6b82>1<729q/5:<5a318 <5>2:kn:6F;479me62=9m;n7d::5;29?l22>3:17d7=6;29?j14n3:17pl=7co<4;3g5c=n<?;0?9?5G97a8jd5328n9<6g::m5f2<622h8o;k50;794?6|,0=969li;%67=?>682.2?44m9b;296~X4k?h01>m9e;661>{t;ki=6=4={_1ag3=:;joie:?0g3c=<<=0q~=nfg83>7}Y;hlm70=l6d871==z{?h<6=4={_4a3>;4k?o14874}|`0g31=93>1<7>t$851>d443-3857=ne79K0102900qo?=0d82>0<62ll5;39?l5fnl0:66gk31=75m3b4:>5<2290;w)782;6ab>"3<003==5+92;97dc13A>?:6`n3582`736=44i575>5<5<ll5;296~X4jj?01>m99;662>{t;hln6=4={_1bb`=:;j<269;8;|q0ec`=838pR>oif:?0g3?=<<20q~8m9;296~X1j016?n86:97:?x{e98?86<4;:183!?0:3k9?6*6388a35=O<=<0bl=;:0f12>o3=<0;66g;5783>>o>:?0;66a83g83>>{e98??6<4::183!?0:3>ij6*;488411=#1:31n:>4H565?kg4<3;o>:5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj88;j7?55;391~">?;0?9?5G97a8jd5328n946g>14c95?=n998i6<44i021e?7=3`;;>54>::m5fg<622h:=8;50;794?6|,0=969li;%67=?1an2.2?44m719K010;691v<>=8;296~X68;201{t>kh1<71=i>:7>5;h;12?6=3f=8j7>5;|`2646=93>1=7:t$851>1353A3=o6`n3582`7d51;9l2ge=931i>?:;:187>5<7s-3<>7:mf:&70<<0n>1/5>751b12?M23>2dj?94>d3a8m1322900e9;9:188m1302900c5;6:188yv46k:0;6?uQ20a0?845<=0?985rs2`35?6=:rT8n=?4=3070?22>2wx?o>?:181[5e8916>?:;:574?xu1jj0;6?uQ6ca89743<32>56srb3071?7==3:14e492B?8;5aa2695a4c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1454=93>1<7>t$851>d443-3857?l309K0106<4:{%;46?22:2B2:n5aa2695a4a3`8:n54>::k6b1<622c9=om51;9j654?2800c;lj:088f767;3:197>50z&:37<3jo1/89757c28 <5>28i8=6F;479me62=9m9;7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu59k21<7;5899188;4}r7e0?6=:rT>j9522120>1313ty9=om50;0xZ77ek279<==54458yv47:10;6?uQ210;?8478:0?955rs7`f>5<5sW<<51;695?2|,0=969;=;I;5g>hf;=0:h>?4i0deb?7=3`8::>4>::k147>=931d:oh51;9a6563290?6=4?{%;46?2en2.?8447029'=6?=9j9:7E:;6:lb71<6l:80e9;::188m1312900e9;8:188k=3>2900q~?ifg83>7}Y9olm7052z\1535<5;:;87::6:p654?2909wS65632=?<7p}9bg83>7}Y>kl01?>?4;:6=>{zj;kjn7?54;294~">?;0j>>5+92;960b?3A>?:6`n3582`656=44i575>5<5<:483>5}#1>818oh4$56:>2?73-3857<:d99K010lok:086>5<7s-3<>7:mf:&70<<0i;1/5>7524f;?M23>2dj?94>d278m1322900e9;9:188m1302900e9;7:188k=3>2900qo1<729q/5:<5a318 <5>2;?o46F;479me62=9m9=7d::5;29?l22>3:17d7=6;29?j14n3:17pl=a7f95?3=83:p(49=:5`e?!2313=2<6*638811a><@=>=7co<4;3g72=n<73c02B?8;5aa2695a5?3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1e3>=93>1<7>t$851>d443-3857<:d99K010280>6=4?{%;46?2en2.?8448919'=6?=:h0:684?:1y'=24=h55G4548jd5328n8n6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{e:h<@=>=7co<4;3g7f=n<?;0?nk5+45;93<6<,0926?;k8:J703=ii:>1=i=k;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th9m;851;794?6|,0=969li;%67=?1f:2.2?44=5e:8L1213gk887?k3d9j003=831b88850;9j001=831b88650;9l<0?=831vn?o90;390?6=8r.2;?4n229'=6?=:0<729q/5:<54cd8 12>2>3;7)7<9;06`==O<=<0bl=;:0f74>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2`41>4<2290;w)782;6ab>"3<00?:6`n3582`176=44i575>5<5<1=i:=;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`1e0b=93?1<7>t$851>1da3->?57960:&:7<<5=m20D9:9;oc00?7c<:1b88;50;9j000=831b88950;9j00>=831d48750;9~f7g2m3;197>50z&:37<3jo1/89757`08 <5>2;?o46F;479me62=9m>?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4kh31=7:50;2x <152h887)7<9;1ba3=O<=<0bl=;:0f71>o3=<0;66g;5783>>o>:?0;66a83g83>>{e9;;86<4;:087!?0:3>>>6F66b9me62=9m>=7d=la982>>o4ion1=75f251f>4<6gb>2B?8;5aa2695a203`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8ol650;0xZ6ef0278olo54478yv5fnm0;6?uQ3`dg?85dih0?9;5rs360a?6=:rT98>k4=2abe?22?2wx:nm50;0xZ3ed349hml47589~yg5dik0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;ji?6<4;:183!?0:3k9?6*63880e`0<@=>=7co<4;3g0<=n<?;0?9?5G97a8jd5328n?m6g265?l;%;0=?5fm?1C8984n`17>4b3j2c?984?::k713<722c?9:4?::m;1<<722wx?nm<:181[5dk:16?nm::576?xu4ion1<7;4kj?18884}r0704<72;qU>9:>;<1`g0<3=>1v;mj:181[0dm278on;584;8yxd4kj<1=7;50;2x <152=hm7):;9;5;`>">;008mh84H565?kg4<3;o8n5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:iin7?54;294~">?;0j>>5+92;97dc13A>?:6`n3582`1b6=44i575>5<5<:582>1}#1>8188<4H84`?kg4<3;o8h5f3b`b>4<::`0gge=83>1<7>t$851>1da3->?576>c:&:7<<4il<0D9:9;oc00?7cmma;296~X4kkk01>mmc;661>{t;hlo6=4={_1bba=:;jhh69;9;|q1016=838pR?:;0:?0gge=<<=0q~8k0;296~X1l916?nll:97:?x{e;jho6<4::183!?0:3>ij6*;4884hf;=0:h8>4i576>5<5<5<:583>5}#1>81m?=4$81:>6gb>2B?8;5aa2695a363`>>97>5;h662?6=3`39:7>5;n50b?6=3th:><851;695?2|,0=969;=;I;5g>hf;=0:h8<4i2aa5?7=3`9jji4>::k106`=931d:i<51;9a7fd4290?6=4?{%;46?2en2.?84471b9'=6?=;ho=7E:;6:lb71<6l<90e9;::188m1312900e9;8:188k=3>2900q~=lb083>7}Y;jh:70=lb28710=z{:kmh7>52z\0ecb<5:ii?7::6:p615a2909wS<;3g9>7fd42=?<7p}9d383>7}Y>m801>mm3;:6=>{zj:ii87?55;294~">?;0?nk5+45;93=b<,0926>oj6:J703=ii:>1=i;;;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th9m:<51;694?6|,0=96l<<;%;0=?42l11C8984n`17>4b2=2c?984?::k713<722c2>;4?::m47c<722wi=??8:087>4<3s-3<>7::2:J:2f=ii:>1=i;9;h1bbc<622c9;>951;9j7d`b2800c;j;:088f7g0;3:187>50z&:37<3jo1/89757g48 <5>2;?o46F;479me62=9m?<7d::5;29?l22>3:17d::7;29?j>213:17p}4;579~w6gam3:1>vP>;6s|6e694?4|V?n?70:483>5}#1>818oh4$56:>2g53-3857<:d99K010l8i:087>5<7s-3<>7o=3:&:7<<5=m20D9:9;oc00?7c=01b88;50;9j000=831b5?850;9l36`=831vn<<>8;390?7=:=9:088m6gam3;17b8k6;39?g4f?90;694?:1y'=24=h55G4548jd5328n>n6g;5483>>o3=?0;66g;5683>>i?=00;66s|3`de>5<5sW9jjk522`53>1323ty9;>850;0xZ714>279m:>54448yv5fnl0;6?uQ3`df?84f?90?9:5rs7f5>5<5sW1<62=q/5:<54408L<0d3gk887?k5d9j7d`a2800e?9>d5i1k1<7:50;2x <152=hm7):;9;5e2>">;0099i64H565?kg4<3;o9k5f44794?=n<<<1<75f44594?=h0<31<75rs2ceb?6=:rT8mkh4=3c;e?22=2wx>:=j:181[40;l16>l6n:575?xu4ioo1<7;5i1k18894}r4g=3>3twi>l6m:086>5<7s-3<>7:mf:&70<<0i;1/5>7524f;?M23>2dj?94>d728m1322900e9;9:188m1302900e9;7:188k=3>2900qo1<729q/5:<5a318 <5>2;?o46F;479me62=9m<:7d::5;29?l22>3:17d7=6;29?j14n3:17pl>20c95?2=93>p(49=:571?M?1k2dj?94>d708m6gan3;17d<83e82>>o4ioo1=75`6ec95?=e:h2<6=4;:183!?0:3>ij6*;4884b3=#1:31>8j7;I672>hf;=0:h;=4i576>5<5<{t;hln6=4={_1bb`=::h2<69;8;|q5`d<72;qU:io4=3c;3?>212wvn?o78;391?6=8r.2;?4;bg9'01?=?h80(4=6:37g<>N3:51e47?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl=a9195?2=83:p(49=:`00?!?4138>h55G4548jd5328n=96g;5483>>o3=?0;66g62783>>i0;o0;66sm133a>4<3280?w)782;666>N>>j1em>:51e45?l5fno0:66g=72a95?=n;hln6<44o7f`>4<54;294~">?;0?nk5+45;93c0<,0926?;k8:J703=ii:>1=i88;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q0ec`=838pR>oif:?1e=2=<7}Y:>9h7052z\0ecc<5;k387::7:p2ae=838pR;jl;<0b<10<729q/5:<54cd8 12>2>k97)7<9;06`==O<=<0bl=;:0f5<>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm2`:3>4<3290;w)782;c17>">;0099i64H565?kg4<3;o:45f44794?=n<<<1<75f93494?=h?:l1<75rb002g?7=<3;18v*6738717=O1?i0bl=;:0f5e>o4iol1=75f261a>4<5}#1>818oh4$56:>2`13-3857<:d99K0106d>62=?>7p}=72`94?4|V;=8n63=a9390006*638811a><@=>=7co<4;3g2f=n<8j7;I672>hf;=0:h;j4i576>5<5<9m6=44}c315a<62=0:69u+9609004<@0::m5a5<622h9m:750;694?6|,0=969li;%67=?1a>2.2?44=5e:8L1213gk887?k6g9j003=831b88850;9j001=831d48750;9~w6gan3:1>vP>96s|261:>5<5sW81313ty8mkk50;0xZ6gam279m:754458yv0b83:1>vP9e19>6d1>21?27psm2`5b>4<2290;w)782;6ab>"3<00?:6`n3582`266=44i575>5<5<1=i9>;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`264c=93>1=7:t$851>1353A3=o6`n3582`24l99:187>5<7s-3<>7:mf:&70<<0n?1/5>7524f;?M23>2dj?94>d618m1322900e9;9:188m1302900c5;6:188yv5fno0;6?uQ3`de?84f??0?985rs35064=3c42?22>2wx?lhj:181[5fnl16>l99:574?xu1m;0;6?uQ6d0897g0>32>56srb3c43?7==3:173c02B?8;5aa2695a133`>>97>5;h662?6=3`>>;7>5;h6657>5;|`031b=93>1<7>t$851>d443-38576<4:{%;46?22:2B2:n5aa2695a113`9<8n4>::k066g=931b??=k:088m643>3;17b8j4;39?g50>o3=?0;66g;5683>>o3=10;66a75883>>{t;>>h6=4={_140f=:;>>n69;:;|q066g=838pR><7}Y;;9o70=84d8712=z{:8?:7>52z\0610<5:=?i7::8:p2`2=838pR;k;;<140`1<729q/5:<54cd8 12>2>nn7)7<9;0f4==O<=<0bl=;:0f4<>o3=<0;66g;5783>>o3=>0;66a75883>>{e;>;=6<4;:183!?0:3k9?6*63881a5><@=>=7co<4;3g3<=n<?;0?nk5+45;931><,0926?k?8:J703=ii:>1=i9n;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8;4b0j2c?984?::k713<722c2>;4?::m47c<722wi?:?<:086>5<7s-3<>7:mf:&70<<0<11/5>752d2;?M23>2dj?94>d6a8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=80b82>1<729q/5:<5a318 <5>2;o;46F;479me62=9m=o7d::5;29?l22>3:17d7=6;29?j14n3:17pl<71g95?3=83:p(49=:5`e?!2313=?46*63881a5><@=>=7co<4;3g3`=n<h>7;I672>hf;=0:h:h4i576>5<5<9m6=44}c144<<62<0;6=u+96090g`<,=>26::7;%;0=?4b811C8984n`17>4b?82c?984?::k713<722c?9:4?::k71=<722e3944?::a7265280?6=4?{%;46?g5;2.2?44=e1:8L1213gk887?k809j003=831b88850;9j=70=831d;>h50;9~f617<3;197>50z&:37<3jo1/897575:8 <5>2;o;46F;479me62=9m297d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4>o91=7:50;2x <152h887)7<9;0f4==O<=<0bl=;:0f;7>o3=<0;66g;5783>>o>:?0;66a83g83>>{e;?l>6<4::183!?0:3>ij6*;48840==#1:31>h>7;I672>hf;=0:h5:4i576>5<5<5<:583>5}#1>81m?=4$81:>7c702B?8;5aa2695a>23`>>97>5;h662?6=3`39:7>5;n50b?6=3th8:ok51;794?6|,0=969li;%67=?1302.2?44=e1:8L1213gk887?k879j003=831b88850;9j001=831b88650;9l<0?=831vn>875;390?6=8r.2;?4n229'=6?=:l:37E:;6:lb71<6l1=0e9;::188m1312900e4<9:188k25a2900qo=98682>0<729q/5:<54cd8 12>2>>37)7<9;0f4==O<=<0bl=;:0f;<>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm3603>4<3290;w)782;c17>">;009i=64H565?kg4<3;o445f44794?=n<<<1<75f93494?=h?:l1<75rb0014?7=<3;18v*6738717=O1?i0bl=;:0f;e>o4?8l1=75f333e>4<<4?:583>5}#1>818oh4$56:>=743-385772462=?>7p}<20d94?4|V:8:j63<7339000<4;569~w3`12909wS8i6:?0377=0<30qpl<73095?3=83:p(49=:5`e?!2313=?46*63881a5><@=>=7co<4;3gh>7;I672>hf;=0:h5j4i576>5<5<9m6=44}c3164<62=0:69u+9609004<@0;j6<44i202a?7=3`99?l4>::m5b=<622h8;6;2.2?44=e1:8L1213gk887?k8g9j003=831b88850;9j001=831d48750;9~w616i3:1>vP<70c89616k3>>96s|333f>5<5sW99=h52363`>1313ty8>>o50;0xZ644i278;vP9f99>727d21?27psm363g>4<2290;w)782;6ab>"3<00<855+92;96`6?3A>?:6`n3582`<66=44i575>5<5<1=i7>;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`2674=93>1=7:t$851>1353A3=o6`n3582`<4>o51;9l2cg=931i?;hj:187>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>d818m1322900e9;9:188m1302900c5;6:188yv51nj0;6?uQ37d`?851nl0?985rs202=?6=:rT8><74=24ea?22>2wx??=n:181[55;h16?;hj:574?xu1nh0;6?uQ6gc8960am32>56srb24eb?7==3:17c702B?8;5aa2695a?33`>>97>5;h662?6=3`>>;7>5;h6657>5;|`02c>=93>1<7>t$851>d443-3857::k064>=931b??=n:088k3`d2800n>8i9;290?6=8r.2;?4;bg9'01?=0890(4=6:3g3<>N3:51e;4?l22=3:17d::6;29?l22?3:17b6:9;29?xu4>o=1<7;4>o3188;4}r115=<72;qU???7;<15b<<3=?1v><8i9;663>{t>oi1<726::7;%;0=?4b811C8984n`17>4b>02c?984?::k713<722c?9:4?::k71=<722e3944?::a73cb280?6=4?{%;46?g5;2.2?44=e1:8L1213gk887?k989j003=831b88850;9j=70=831d;>h50;9~f445<3;187?54z&:37<3=;1C5;m4n`17>4b>i2c8:hj51;9j77712800e><1<729q/5:<54cd8 12>21;87)7<9;0f4==O<=<0bl=;:0f:f>o3=<0;66g;5783>>o3=>0;66a75883>>{t;?oo6=4={_15aa=:;?om69;:;|q0640=838pR><>6:?02``=<<<0q~==3`83>7}Y;;9j70=9eg8712=z{?ln6=4={_4ea>;4>ll14874}|`02c6=93?1<7>t$851>1da3->?579;8:&:7<<5m920D9:9;oc00?7c1j1b88;50;9j000=831b88950;9j00>=831d48750;9~f60b13;187>50z&:37752d2;?M23>2dj?94>d8f8m1322900e9;9:188m<412900c:=i:188yg75:<0:694>:5y'=24=<<80D48l;oc00?7c1l1b?;k7:088m646=3;17d==3`82>>i0890:66l<6dc94?2=83:p(49=:5`e?!23132:?6*63881a5><@=>=7co<4;3g=c=n<52z\02`><5:73cf2=?=7p}<22c94?4|V:88m63<6dc900152z\445=:;?oj65;6;|a73ce280>6=4?{%;46?2en2.?8448499'=6?=:l:37E:;6:lb71<6lh:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg51m=0:694?:1y'=24=i;90(4=6:3g3<>N3:51ec2?l22=3:17d::6;29?l?5>3:17b98j3;39?l559=0:66g<22c95?=h?981=75m37g6>5<3290;w)782;6ab>"3<003=>5+92;96`6?3A>?:6`n3582`d56=44i575>5<5<4?:3y]73c4349=i84;549~w646<3:1>vP<2068960b=3>>:6s|331b>5<5sW99?l5237g6>1303ty<3;197>50z&:37<3jo1/897575:8 <5>2;o;46F;479me62=9mk?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4>ml1=7:50;2x <152h887)7<9;0f4==O<=<0bl=;:0fb1>o3=<0;66g;5783>>o>:?0;66a83g83>>{e9;8<6<4;:087!?0:3>>>6F66b9me62=9mk=7d=9dd82>>o4:891=75f331b>4<:?6<44b24f4?6=<3:17c702B?8;5aa2695ag03`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8:ik50;0xZ60cm278:h>54478yv559:0;6?uQ3330?851m90?9;5rs200e?6=:rT8>>o4=24f4?22?2wx;=:50;0xZ263349=i=47589~yg51m80:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;?nj6<4;:183!?0:3k9?6*63881a5><@=>=7co<4;3ge<=n<?;0?9?5G97a8jd5328njm6g<6e;95?=n;;;96<44i200e?7=3f=;:7?5;c15`g<72=0;6=u+96090g`<,=>265?<;%;0=?4b811C8984n`17>4bfj2c?984?::k713<722c?9:4?::m;1<<722wx?;j6:181[51l016?;jm:576?xu4:881<7;4>mh18884}r117d<72;qU??=n;<15`g<3=>1v:>9:181[17>278:il584;8yxd4>mi1=7;50;2x <152=hm7):;9;57<>">;009i=64H565?kg4<3;omn5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:?;0j>>5+92;96`6?3A>?:6`n3582`db6=44i575>5<5<44>:582>1}#1>8188<4H84`?kg4<3;omh5f37f7>4<::`02a0=83>1<7>t$851>1da3->?576>3:&:7<<5m920D9:9;oc00?7cio1b88;50;9j000=831b88950;9l<0?=831v>8k4;296~X4>m>01>8k6;661>{t;;;:6=4={_1154=:;?n=69;9;|q066g=838pR><ij6*;48840==#1:31>h>7;I672>hf;=0:ho>4i576>5<5<5<:583>5}#1>81m?=4$81:>7c702B?8;5aa2695ad63`>>97>5;h662?6=3`39:7>5;n50b?6=3th:>?o51;695?2|,0=969;=;I;5g>hf;=0:ho<4i24`b?7=3`99==4>::k066g=931d;=o51;9a73b6290?6=4?{%;46?2en2.?8447129'=6?=:l:37E:;6:lb71<6lk90e9;::188m1312900e9;8:188k=3>2900q~=9cg83>7}Y;?im70=9d08710=z{:8:<7>52z\0646<5:73b62=?<7p}80`83>7}Y?9k01>8k1;:6=>{zj:7?55;294~">?;0?nk5+45;931><,0926?k?8:J703=ii:>1=il;;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8:nl51;694?6|,0=96l<<;%;0=?4b811C8984n`17>4be=2c?984?::k713<722c2>;4?::m47c<722wi=?4<3s-3<>7::2:J:2f=ii:>1=il9;h15gd<622c8>=h51;9j775f2800c:>l:088f60dk3:187>50z&:37<3jo1/89758018 <5>2;o;46F;479me62=9mh<7d::5;29?l22>3:17d::7;29?j>213:17p}<6bc94?4|V:vP<22c8960dk3>>;6s|71a94?4|V>:h70=9cb8;1<=zuk9=oi4>:483>5}#1>818oh4$56:>22?3-38575<7s-3<>7o=3:&:7<<5m920D9:9;oc00?7cj01b88;50;9j000=831b5?850;9l36`=831vn<<=c;390?7=j:088m644i3;17b9?e;39?g51k>0;694?:1y'=24=>o3=?0;66g;5683>>i?=00;66s|37a6>5<5sW9=o85237a4>1323ty8>=k50;0xZ647m278:n954448yv55;h0;6?uQ331b?851k>0?9:5rs62f>5<5sW=;i63<6b59<0?8l1;390?6=8r.2;?4n229'=6?=:l:37E:;6:lb71<6lkn0e9;::188m1312900e4<9:188k25a2900qo?=2e82>1<62=q/5:<54408L<0d3gk887?kbd9j73e72800e>>d4>j81<7:50;2x <152=hm7):;9;:27>">;009i=64H565?kg4<3;onk5f44794?=n<<<1<75f44594?=h0<31<75rs24`4?6=:rT8:n>4=24`6?22=2wx??>k:181[558m16?;m=:575?xu4::k1<7;4>j818894}r524?6=:rT<==5237a1>=3>3twi?;m<:086>5<7s-3<>7:mf:&70<<0<11/5>752d2;?M23>2dj?94>db28m1322900e9;9:188m1302900e9;7:188k=3>2900qo=9b682>1<729q/5:<5a318 <5>2;o;46F;479me62=9mi:7d::5;29?l22>3:17d7=6;29?j14n3:17pl>23g95?2=93>p(49=:571?M?1k2dj?94>db08m60e>3;17d==0c82>>o4::k1=75`70095?=e;?h36=4;:183!?0:3>ij6*;488;56=#1:31>h>7;I672>hf;=0:hn=4i576>5<5<8m8;662>{t;;9j6=4={_117d=:;?h369;8;|q457<72;qU;<<4=24a212wvn>8m9;391?6=8r.2;?4;bg9'01?=?=20(4=6:3g3<>N3:51ea7?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<6c095?2=83:p(49=:`00?!?4138n<55G4548jd5328nh96g;5483>>o3=?0;66g62783>>i0;o0;66sm130e>4<3280?w)782;666>N>>j1em>:51ea5?l51j80:66g<21c95?=n;;9j6<44o637>4<54;294~">?;0?nk5+45;9<45<,0926?k?8:J703=ii:>1=im8;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q02g7=838pR>8m1:?02g5=<7}Y;;:j70=9b28713=z{:88m7>52z\066g<5:0<729q/5:<54cd8 12>2>>37)7<9;0f4==O<=<0bl=;:0f`<>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm37cg>4<3290;w)782;c17>">;009i=64H565?kg4<3;oo45f44794?=n<<<1<75f93494?=h?:l1<75rb0004?7=<3;18v*6738717=O1?i0bl=;:0f`e>o4>hi1=75f332:>4<5}#1>818oh4$56:>=743-385773gb2=?>7p}<21;94?4|V:8;563<6`g90006:?02dc=0<30qpl<6`d95?3=83:p(49=:5`e?!2313=?46*63881a5><@=>=7co<4;3ggf=n<h>7;I672>hf;=0:hnj4i576>5<5<9m6=44}c3174<62=0:69u+9609004<@0::m45=<622h8:l750;694?6|,0=969li;%67=?>6;2.2?44=e1:8L1213gk887?kcg9j003=831b88850;9j001=831d48750;9~w60f?3:1>vP<6`58960f13>>96s|332;>5<5sW99<55237c:>1313ty8>>o50;0xZ644i278:l754458yv1603:1>vP8199>73g>21?27psm37cb>4<2290;w)782;6ab>"3<00<855+92;96`6?3A>?:6`n3582`a66=44i575>5<5<1=ij>;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`2664=93>1=7:t$851>1353A3=o6`n3582`a47?5;h1142<622c8>>o51;9l34g=931i?;o;:187>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94>de18m1322900e9;9:188m1302900c5;6:188yv51i;0;6?uQ37c1?851i=0?985rs2033?6=:rT8>=94=24b0?22>2wx??=n:181[55;h16?;o;:574?xu09h0;6?uQ70c8960f<32>56srb24b1?7==3:17c702B?8;5aa2695ab33`>>97>5;h662?6=3`>>;7>5;h6657>5;|`021<7>t$851>d443-3857::k0650=931b??=n:088k27d2800n>86f;290?6=8r.2;?4;bg9'01?=0890(4=6:3g3<>N3:51ef4?l22=3:17d::6;29?l22?3:17b6:9;29?xu4>0n1<7;4>0l188;4}r1143<72;qU??>9;<15=c<3=?1v><86f;663>{t?8i1<726::7;%;0=?4b811C8984n`17>4bc02c?984?::k713<722c?9:4?::k71=<722e3944?::a73?>280?6=4?{%;46?g5;2.2?44=e1:8L1213gk887?kd89j003=831b88850;9j=70=831d;>h50;9~f444<3;187?54z&:37<3=;1C5;m4n`17>4bci2c8:4651;9j77622800e><1<729q/5:<54cd8 12>21;87)7<9;0f4==O<=<0bl=;:0fgf>o3=<0;66g;5783>>o3=>0;66a75883>>{t;?336=4={_15===:;?3j69;:;|q0653=838pR>7}Y;;9j70=99`8712=z{>;n6=4={_52a>;4>0k14874}|`02t$851>1da3->?579;8:&:7<<5m920D9:9;oc00?7clj1b88;50;9j000=831b88950;9j00>=831d48750;9~f60><3;187>50z&:37752d2;?M23>2dj?94>def8m1322900e9;9:188m<412900c:=i:188yg75;<0:694>:5y'=24=<<80D48l;oc00?7cll1b?;7<:088m647<3;17d==3`82>>i0:90:66l<68794?2=83:p(49=:5`e?!23132:?6*63881a5><@=>=7co<4;3g`c=n<52z\02<5<5:<297::5:p77632909wS==059>73?22=?=7p}<22c94?4|V:88m63<687900152z\465=:;?3>65;6;|a73?1280>6=4?{%;46?2en2.?8448499'=6?=:l:37E:;6:lb71<6ll:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg510o0:694?:1y'=24=i;90(4=6:3g3<>N3:51eg2?l22=3:17d::6;29?l?5>3:17b987e;39?l558:0:66g<22c95?=h?;81=75m37;3>5<3290;w)782;6ab>"3<003=>5+92;96`6?3A>?:6`n3582``56=44i575>5<5<b349=5=4;549~w647;3:1>vP<2118960>83>>:6s|331b>5<5sW99?l5237;3>1303ty<>?4?:3y]374<5:<2<76:9:~f60>93;197>50z&:37<3jo1/897575:8 <5>2;o;46F;479me62=9mo?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4>1k1=7:50;2x <152h887)7<9;0f4==O<=<0bl=;:0ff1>o3=<0;66g;5783>>o>:?0;66a83g83>>{e9;9<6<4;:087!?0:3>>>6F66b9me62=9mo=7d=98882>>o4:981=75f331b>4<8?6<44b24;f?6=<3:17c702B?8;5aa2695ac03`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8:5750;0xZ60?1278:5l54478yv558;0;6?uQ3321?8510k0?9;5rs200e?6=:rT8>>o4=24;f?22?2wx;?:50;0xZ243349=4o47589~yg510j0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e9l>36<4;:183!?0:3k9?6*63882g67<@=>=7co<4;3ga<=n<?;0?nk5+45;9335<,09261=ikn;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:i9o51;794?6|,0=969li;%67=?1092.2?44>c238L1213gk887?kec9j003=831b88850;9j001=831b88650;9l<0?=831vn0<729q/5:<54cd8 12>2>3>7)7<9;3`74=O<=<0bl=;:0ff`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1d73>4<2290;w)782;6ab>"3<00<:o5+92;95f563A>?:6`n3582``c6=44i575>5<5<1=iki;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`2bc3=93>1<7>t$851>1da3->?579j1:&:7<<6k:;0D9:9;oc00?7cn91b88;50;9j000=831b88950;9l<0?=831vn<<<8;392?4=?r.2;?4;539K=3e=931b>=jj:088m77e;3;17d<>b182>>o5:;h1=75`73`95?=e9ol=6=4::183!?0:3>ij6*;488;4c=#1:31=n=>;I672>hf;=0:hk<4i576>5<5<5<5}#1>818oh4$56:>=423A>?:6`n3582`c56=44i575>5<=<7;<3eb3<3=<1v?>ke;296~X58mo01{t:8h86=4={_02f6=:9ol=69;7;|q15g6=838pR??m0:?2bc1=<7}Y:;8i70?if68713=z{>8i6=4={_51f>;6no<14874}r3eb2<72;q6=kh9:575?87an>03945r}c12ag<62=0;6=u+9609e75<,0926?k?8:J703=ii:>1=ih;;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`266?=93>1=7:t$851>1353A3=o6`n3582`c35<7s-3<>7:mf:&70<752d2;?M23>2dj?94>dg48m1322900e9;9:188m1302900c5;6:188yv54jo0;6?uQ32`e?856mj0?985rs2452wx?=>i:181[578o16?56srb23f`?7=<3:17c702B?8;5aa2695a`03`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th9i5o51;094?6|,0=969li;%67=?>2<2.2?44=e1:8L1213gk887?kf99j003=831d48750;9~f7c3k3;1>7>50z&:37<3jo1/89758468 <5>2;o;46F;479me62=9ml27d::5;29?j>213:17pl<00c95?4=83:p(49=:5`e?!23132>86*63881a5><@=>=7co<4;3gbd=n<?;0?nk5+45;9<02<,0926?k?8:J703=ii:>1=ihm;h661?6=3f2>57>5;|`044c=9381<7>t$851>1da3->?576:4:&:7<<5m920D9:9;oc00?7cnj1b88;50;9l<0?=831vn>>=0;396?6=8r.2;?4;bg9'01?=0<>0(4=6:3g3<>N3:51edg?l22=3:17b6:9;29?xd48;81=7<50;2x <152=hm7):;9;:60>">;009i=64H565?kg4<3;ojh5f44794?=h0<31<75rb2210?7=:3:17c702B?8;5aa2695a`a3`>>97>5;n:6=?6=3th82<2.2?44=e1:8L1213gk887?j019j003=831d48750;9~f66503;1>7>50z&:37<3jo1/89758468 <5>2;o;46F;479me62=9l::7d::5;29?j>213:17pl=31c95?4=83:p(49=:5`e?!23132>86*63881634<@=>=7co<4;3f47=n<7?52;294~">?;0?nk5+45;9<02<,0926?<92:J703=ii:>1=h><;h661?6=3f2>57>5;|`16`1=9381<7>t$851>1da3->?576:4:&:7<<5:?80D9:9;oc00?7b8=1b88;50;9l<0?=831vn?<84;396?6=8r.2;?4;bg9'01?=0<>0(4=6:3056>N3:51d26?l22=3:17b6:9;29?xd5:><1=7<50;2x <152=hm7):;9;:60>">;009>;<4H565?kg4<3;n<;5f44794?=h0<31<75rb0g24?7=:3:14e492B?8;5aa2695`603`>>97>5;n:6=?6=3th:oim51;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j099j003=831d48750;9~f4bbk3;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l:27d::5;29?j>213:17pl>db:95?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f4d=n<?;0?nk5+45;9<02<,09261=h>m;h661?6=3f2>57>5;|`2`=7=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b8j1b88;50;9l<0?=831vn0(4=6:0a05>N3:51d2g?l22=3:17b6:9;29?xd6l>h1=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n4e492B?8;5aa2695`6a3`>>97>5;n:6=?6=3th:h:951;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j119j003=831d48750;9~f4b0=3;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l;:7d::5;29?j>213:17pl>d6195?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f57=n<?;0?nk5+45;9<02<,09261=h?<;h661?6=3f2>57>5;|`2`3`=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b9=1b88;50;9l<0?=831vn0(4=6:0a05>N3:51d36?l22=3:17b6:9;29?xd6l?h1=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n=;5f44794?=h0<31<75rb0f53?7=:3:14e492B?8;5aa2695`703`>>97>5;n:6=?6=3th:h;;51;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j199j003=831d48750;9~f4b1;3;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l;27d::5;29?j>213:17pl>d7395?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f5d=n<j7?52;294~">?;0?nk5+45;9<02<,09261=h?m;h661?6=3f2>57>5;|`2`0b=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b9j1b88;50;9l<0?=831vn0(4=6:0a05>N3:51d3g?l22=3:17b6:9;29?xd6l<31=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n=h5f44794?=h0<31<75rb0f63?7=:3:14e492B?8;5aa2695`7a3`>>97>5;n:6=?6=3th:h8;51;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j219j003=831d48750;9~f4b>93;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l8:7d::5;29?j>213:17pl>d9d95?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f67=n<?;0?nk5+45;9<02<,09261=h<<;h661?6=3f2>57>5;|`2`=d=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b:=1b88;50;9l<0?=831vn0(4=6:0a05>N3:51d06?l22=3:17b6:9;29?xd6l1=1=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n>;5f44794?=h0<31<75rb0f;1?7=:3:14e492B?8;5aa2695`403`>>97>5;n:6=?6=3th:h:h51;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j299j003=831d48750;9~f4b113;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l827d::5;29?j>213:17pl>e`f95?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f6d=n<?;0?nk5+45;9<02<,09261=h57>5;|`2ag`=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b:j1b88;50;9l<0?=831vn0(4=6:0a05>N3:51d0g?l22=3:17b6:9;29?xd6mkh1=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n>h5f44794?=h0<31<75rb0ga=?7=:3:14e492B?8;5aa2695`4a3`>>97>5;n:6=?6=3th:io951;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j319j003=831d48750;9~f4ce=3;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l9:7d::5;29?j>213:17pl>ec195?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f77=n<?;0?nk5+45;9<02<,09261=h=<;h661?6=3f2>57>5;|`2ad`=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b;=1b88;50;9l<0?=831vn0(4=6:0a05>N3:51d16?l22=3:17b6:9;29?xd6mm31=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n?;5f44794?=h0<31<75rb0gf`?7=:3:14e492B?8;5aa2695`503`>>97>5;n:6=?6=3th:ihl51;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j399j003=831d48750;9~f4cb13;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l927d::5;29?j>213:17pl>ed595?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f7d=n<?;0?nk5+45;9<02<,09261=h=m;h661?6=3f2>57>5;|`2a`5=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b;j1b88;50;9l<0?=831vn0(4=6:0a05>N3:51d1g?l22=3:17b6:9;29?xd6mml1=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n?h5f44794?=h0<31<75rb0gg`?7=:3:14e492B?8;5aa2695`5a3`>>97>5;n:6=?6=3th:i:l51;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j419j003=831d48750;9~f4c013;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l>:7d::5;29?j>213:17pl>e6595?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f07=n<?;0?nk5+45;9<02<,09261=h:<;h661?6=3f2>57>5;|`2a25=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b<=1b88;50;9l<0?=831vn0(4=6:0a05>N3:51d66?l22=3:17b6:9;29?xd6m1n1=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n8;5f44794?=h0<31<75rb0g;f?7=:3:14e492B?8;5aa2695`203`>>97>5;n:6=?6=3th:i5751;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j499j003=831d48750;9~f4c??3;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l>27d::5;29?j>213:17pl>e9795?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f0d=n<?;0?nk5+45;9<02<,09261=h:m;h661?6=3f2>57>5;|`2a=7=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b0(4=6:0a05>N3:51d6g?l22=3:17b6:9;29?xd6m>n1=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n8h5f44794?=h0<31<75rb0d3=?7=:3:14e492B?8;5aa2695`2a3`>>97>5;n:6=?6=3th:j=951;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j519j003=831d48750;9~f4`6j3;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l?:7d::5;29?j>213:17pl>f0;95?4=83:p(49=:5`e?!23132>86*63882g67<@=>=7co<4;3f17=n<?;0?nk5+45;9<02<,09261=h;<;h661?6=3f2>57>5;|`2b43=9381<7>t$851>1da3->?576:4:&:7<<6k:;0D9:9;oc00?7b==1b88;50;9l<0?=831vn3;396?6=8r.2;?4;bg9'01?=0<>0(4=6:0a05>N3:51d76?l22=3:17b6:9;29?xd6n8;1=7<50;2x <152=hm7):;9;:60>">;00:o>?4H565?kg4<3;n9;5f44794?=h0<31<75rb0d3b?7=:3:14e492B?8;5aa2695`303`>>97>5;n:6=?6=3th:j=j51;094?6|,0=969li;%67=?>2<2.2?44>c238L1213gk887?j599j003=831d48750;9~f4`7j3;1>7>50z&:37<3jo1/89758468 <5>28i8=6F;479me62=9l?27d::5;29?j>213:17pl>a2695?4=83:p(49=:5`e?!23132>86*63882e77<@=>=7co<4;3f1d=n<?;0?nk5+45;9<02<,09261=h;m;h661?6=3f2>57>5;|`1f04=9381<7>t$851>1da3->?576:4:&:7<<5j:<0D9:9;oc00?7b=j1b88;50;9l<0?=831vn?l:0;396?6=8r.2;?4;bg9'01?=0<>0(4=6:3`02>N3:51d7g?l22=3:17b6:9;29?xd5j=o1=7<50;2x <152=hm7):;9;:60>">;009n>84H565?kg4<3;n9h5f44794?=h0<31<75rb3`7g?7=:3:17d4>2B?8;5aa2695`3a3`>>97>5;n:6=?6=3th9n9o51;094?6|,0=969li;%67=?>2<2.2?44=b248L1213gk887?j619j003=831d48750;9~f7d303;1>7>50z&:37<3jo1/89758468 <5>2;h8:6F;479me62=9l<:7d::5;29?j>213:17pl=b7695?4=83:p(49=:5`e?!23132>86*63881f60<@=>=7co<4;3f27=n<7?52;294~">?;0?nk5+45;9<02<,0926?l<6:J703=ii:>1=h8<;h661?6=3f2>57>5;|`1f36=9381<7>t$851>1da3->?576:4:&:7<<5j:<0D9:9;oc00?7b>=1b88;50;9l<0?=831vn?l:e;396?6=8r.2;?4;bg9'01?=0<>0(4=6:3`02>N3:51d46?l22=3:17b6:9;29?xd5j">;009n>84H565?kg4<3;n:;5f44794?=h0<31<75rb3`6e?7=:3:17d4>2B?8;5aa2695`003`>>97>5;n:6=?6=3th9n8651;094?6|,0=969li;%67=?>2<2.2?44=b248L1213gk887?j699j003=831d48750;9~f7d2>3;1>7>50z&:37<3jo1/89758468 <5>2;h8:6F;479me62=9l<27d::5;29?j>213:17pl=b4695?4=83:p(49=:5`e?!23132>86*63881f60<@=>=7co<4;3f2d=n<?;0?nk5+45;9<02<,0926?m=d:J703=ii:>1=h8m;h661?6=3f2>57>5;|`1g1b=9381<7>t$851>1da3->?576:4:&:7<<5k;n0D9:9;oc00?7b>j1b88;50;9l<0?=831vn?m;f;396?6=8r.2;?4;bg9'01?=0<>0(4=6:3a1`>N3:51d4g?l22=3:17b6:9;29?xd5k<;1=7<50;2x <152=hm7):;9;:60>">;009o?j4H565?kg4<3;n:h5f44794?=h0<31<75rb3a67?7=:3:17e5l2B?8;5aa2695`0a3`>>97>5;n:6=?6=3th9o8;51;094?6|,0=969li;%67=?>2<2.2?44=c3f8L1213gk887?j719j003=831d48750;9~f7e2?3;1>7>50z&:37<3jo1/89758468 <5>2;i9h6F;479me62=9l=:7d::5;29?j>213:17pl=c4;95?4=83:p(49=:5`e?!23132>86*63881g7b<@=>=7co<4;3f37=n<n7?52;294~">?;0?nk5+45;9<02<,0926?m=d:J703=ii:>1=h9<;h661?6=3f2>57>5;|`1g6`=9381<7>t$851>1da3->?576:4:&:7<<5k;n0D9:9;oc00?7b?=1b88;50;9l<0?=831vn?m;1;396?6=8r.2;?4;bg9'01?=0<>0(4=6:3a1`>N3:51d56?l22=3:17b6:9;29?xd5k=91=7<50;2x <152=hm7):;9;:60>">;009o?j4H565?kg4<3;n;;5f44794?=h0<31<75rb3a71?7=:3:17e5l2B?8;5aa2695`103`>>97>5;n:6=?6=3th9o9951;094?6|,0=969li;%67=?>2<2.2?44=c3f8L1213gk887?j799j003=831d48750;9~f7e313;1>7>50z&:37<3jo1/89758468 <5>2;i9h6F;479me62=9l=27d::5;29?j>213:17pl=d2:95?4=83:p(49=:5`e?!23132>86*63881`4g<@=>=7co<4;3f3d=n<?;0?nk5+45;9<02<,0926?j>a:J703=ii:>1=h9m;h661?6=3f2>57>5;|`1`6e=9381<7>t$851>1da3->?576:4:&:7<<5l8k0D9:9;oc00?7b?j1b88;50;9l<0?=831vn?j0(4=6:3f2e>N3:51d5g?l22=3:17b6:9;29?xd5l=:1=7<50;2x <152=hm7):;9;:60>">;009h7b6i2B?8;5aa2695`1a3`>>97>5;n:6=?6=3th9h9:51;094?6|,0=969li;%67=?>2<2.2?44=d0c8L1213gk887?j819j003=831d48750;9~f7b3>3;1>7>50z&:37<3jo1/89758468 <5>2;n:m6F;479me62=9l2:7d::5;29?j>213:17pl=d5:95?4=83:p(49=:5`e?!23132>86*63881`4g<@=>=7co<4;3f<7=n<?;0?nk5+45;9<02<,0926?j>a:J703=ii:>1=h6<;h661?6=3f2>57>5;|`1`7c=9381<7>t$851>1da3->?576:4:&:7<<5l8k0D9:9;oc00?7b0=1b88;50;9l<0?=831vn?j<0;396?6=8r.2;?4;bg9'01?=0<>0(4=6:3f2e>N3:51d:6?l22=3:17b6:9;29?xd5l:81=7<50;2x <152=hm7):;9;:60>">;009h7b6i2B?8;5aa2695`>03`>>97>5;n:6=?6=3th9h>851;094?6|,0=969li;%67=?>2<2.2?44=d0c8L1213gk887?j899j003=831d48750;9~f701j3;1>7>50z&:37<3jo1/89758468 <5>2;?oo6F;479me62=9l227d::5;29?j>213:17pl=79095?4=83:p(49=:5`e?!23132>86*6388133`<@=>=7co<4;3f?;0?nk5+45;9<73<,0926<898:J703=ii:>1=h6m;h661?6=3`>>:7>5;n:6=?6=3th2h;4>:383>5}#1>818874$81:>471l2.?n94;5d9K010t$851>d423-3857?>6e9m=66==91/8o:584;8L1213gk887?j8e9j002=831b?ko50;J7e`=9m6=44}cgfe?7=>3:14$851>d463-3=:7795:&72db3`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3tho><4>:783>5}#<=318?64$81:>g173-3<>7o=1:&:23<>><1/8;o5859K010t$851>13>3-3857?>6e9'0g2=<5<7s-3<>7o=5:&:7<<69?n0b4=?:428 1d321?27E:;6:lb71<6m0;0e9;;:188m6`f290C8lk4;n50b?6=3thnio4>:783>5}#<=318?64$81:>g173-3<>7o=1:&:23<>><1/8;o5859K010t$56:>14?3-3857l80:&:370D9:9;oc00?7b1:1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s-3<>7::9:&:7<<69?n0(9l;:57f?M23>2dj?94>e868m1332900c:=i:188yg?cl3;1?7>50z&:3775104g?k?483?;7):m4;:6=>N3:51d;6?l22<3:17d=ia;29L1gb32et$56:>14?3-3857l80:&:370D9:9;oc00?7b1?1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=8:&:7<7):9a;:7?M23>2dj?94>e858m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg?cn3;1>7>50z&:37<3=01/5>75104g?!2e<3>>i6F;479me62=9l337d::4;29?j14n3:17pl6e182>6<729q/5:<5a378 <5>28;=h6`631864>"3j=03945G4548jd5328o256g;5583>>o4nh0;6E:ne:9l36`=831vnhkk:085>5<7s->?57:=8:&:7<7):9a;:7?M23>2dj?94>e8c8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188ygb303;1:7>50z&70<<3:11/5>75b628 <152h8:7)796;;51>"3>h0386F;479me62=9l3i7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl6e382>7<729q/5:<544;8 <5>28;=h6*;b5871`=O<=<0bl=;:0g:g>o3==0;66a83g83>>{e1l91=7=50;2x <152h8>7)7<9;322a=i1::19=5+4c69<0?<@=>=7co<4;3f=a=n<<>1<75f3gc94?N3il10c:=i:188ygcbn3;1:7>50z&70<<3:11/5>75b628 <152h8:7)796;;51>"3>h0386F;479me62=9l3n7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plk6382>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:0g:b>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e1l?1=7<50;2x <152=?27)7<9;322a=#188k4H565?kg4<3;nm=5f44694?=h?:l1<75rb8g5>4<4290;w)782;c11>">;00:=;j4n813>06<,=h?65;6;I672>hf;=0:il?4i577>5<3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:0gb6>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{el?l1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb8d`>4<5290;w)782;66=>">;00:=;j4$5`7>13b3A>?:6`n3582ad25<?;0j>85+92;9540c3g38<7;?;%6a0?>212B?8;5aa2695`g23`>>87>5;h1ee?6=@=kn76a83g83>>{emoo1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=4<1290;w):;9;61<>">;00i;=5+9609e77<,0<=648:;%65e?>33A>?:6`n3582ad15<5<5<?;0?945+92;9540c3->i87::e:J703=ii:>1=ho7;h660?6=3f=8j7>5;|`b45<62:0;6=u+9609e73<,0926=h?:l1<75rbdde>4<1290;w):;9;61<>">;00i;=5+9609e77<,0<=648:;%65e?>33A>?:6`n3582adg5<5<5<55+92;9f26<,0=96l<>;%;52??1=2.?:l474:J703=ii:>1=hom;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`:b<<62;0;6=u+960900?<,09264cfk2c?994?::m47c<722wi5ko51;194?6|,0=96l<:;%;0=?76>m1e5>>5519'0g2=0<30D9:9;oc00?7bim1b88:50;9j7cg=83B?mh54o61e>5<55+92;9f26<,0=96l<>;%;52??1=2.?:l474:J703=ii:>1=hoj;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`g3f<62?0;6=u+45;907><,0926o9?;%;46?g592.2:;46649'03g=0=1C8984n`17>4cfn2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wim=<51;094?6|,0=969;6;%;0=?76>m1/8o:544g8L1213gk887?jb19j002=831d;>h50;9~fd6428086=4?{%;46?g5=2.2?44>17f8j<572<:0(9l;:97:?M23>2dj?94>ec38m1332900e>hn:18K0dc<3f=8j7>5;|`e45<62?0;6=u+45;907><,0926o9?;%;46?g592.2:;46649'03g=0=1C8984n`17>4ce:2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wihi951;494?6|,=>269<7;%;0=?d082.2;?4n209'=30=1??0(98n:968L1213gk887?jb29j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fd6b28096=4?{%;46?2212.2?44>17f8 1d32=?n7E:;6:lb71<6mk>0e9;;:188k25a2900qoo?f;397?6=8r.2;?4n249'=6?=9856F;479me62=9lh>7d::4;29?l5ai3:1D9oj;:m47c<722wiik:51;494?6|,=>269<7;%;0=?d082.2;?4n209'=30=1??0(98n:968L1213gk887?jb79j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~fa>>280=6=4?{%67=?2502.2?44m719'=24=i;;0(489:846?!21i32?7E:;6:lb71<6mk=0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qoo?5;396?6=8r.2;?4;589'=6?=98N3:51d`;?l22<3:17b94?:1y'=24=i;?0(4=6:035`>h>;90><6*;b58;1<=O<=<0bl=;:0ga=>o3==0;66gM2fm21d;>h50;9~fc66280=6=4?{%67=?2502.2?44m719'=24=i;;0(489:846?!21i32?7E:;6:lb71<6mkk0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qojj4;392?6=8r.?844;299'=6?=j>:0(49=:`02?!?1>33=96*;6`8;0>N3:51d`a?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdf810:6?4?:1y'=24=<<30(4=6:035`>"3j=0?9h5G4548jd5328oio6g;5583>>i0;o0;66sma1;95?5=83:p(49=:`06?!?413;::i5a922915=#14874H565?kg4<3;nni5f44694?=n;ok1<7F;ad98k25a2900qoh?2;392?6=8r.?844;299'=6?=j>:0(49=:`02?!?1>33=96*;6`8;0>N3:51d`f?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdcn80:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sma1`95?4=83:p(49=:57:?!?413;::i5+4c6900c<@=>=7co<4;3fg5=n<<>1<75`72d94?=zjh:h6<4<:183!?0:3k996*6388253b4$5`7>=3>3A>?:6`n3582af756g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smdgg95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>=2<@=>=7co<4;3fg6=n:1n1<75f27794?=n:>k1<75f8e294?=n:>56*6388253b<,=h?69;j;I672>hf;=0:in:4i577>5<9m6=44}cc26?7=;3:1i876:9:J703=ii:>1=hm:;h660?6=3`9mm7>5H5cf?>i0;o0;66smega95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>=2<@=>=7co<4;3fg3=n:1n1<75f27794?=n:>k1<75f8e294?=n:946*6388a35=#1>81m??4$845><023->=m76;;I672>hf;=0:in94i3:g>5<6=44i35b>5<5<>87>5;n50b?6=3thj=84>:283>5}#1>81m?;4$81:>471l2d2?=4:0:&7f14cd12c?994?::k0bd<72A>ji65`72d94?=zjllo6<49:183!2313>946*6388a35=#1>81m??4$845><023->=m76;;I672>hf;=0:ino4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7795:&72d5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th2m84>:383>5}#1>818874$81:>471l2.?n94;5d9K010t$851>d423-3857?>6e9m=66==91/8o:584;8L1213gk887?jce9j002=831b?ko50;J7e`=9m6=44}cgga?7=>3:14$851>d463-3=:7795:&72d5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3tho<:4>:783>5}#<=318?64$81:>g173-3<>7o=1:&:23<>><1/8;o5859K010t$851>13>3-3857?>6e9'0g2=<5<7s-3<>7o=5:&:7<<69?n0b4=?:428 1d321?27E:;6:lb71<6mm;0e9;;:188m6`f290C8lk4;n50b?6=3thnhi4>:783>5}#<=318?64$81:>g173-3<>7o=1:&:23<>><1/8;o5859K010t$56:>14?3-3857l80:&:370D9:9;oc00?7bl:1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s-3<>7::9:&:7<<69?n0(9l;:57f?M23>2dj?94>ee68m1332900c:=i:188yg?f83;1?7>50z&:3775104g?k?483?;7):m4;:6=>N3:51df6?l22<3:17d=ia;29L1gb32et$56:>14?3-3857l80:&:370D9:9;oc00?7bl?1b>5j50;9j633=831b>:o50;9j8h50;9l5<7s->?57:=8:&:7<7):9a;:7?M23>2dj?94>ee58m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg?>k3;1>7>50z&:37<3=01/5>75104g?!2e<3>>i6F;479me62=9ln37d::4;29?j14n3:17pl69e82>6<729q/5:<5a378 <5>28;=h6`631864>"3j=03945G4548jd5328oo56g;5583>>o4nh0;6E:ne:9l36`=831vnhjm:085>5<7s->?57:=8:&:7<7):9a;:7?M23>2dj?94>eec8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188ygeb83;1:7>50z&70<<3:11/5>75b628 <152h8:7)796;;51>"3>h0386F;479me62=9lni7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17pl69882>7<729q/5:<544;8 <5>28;=h6*;b5871`=O<=<0bl=;:0ggg>o3==0;66a83g83>>{e10k1=7=50;2x <152h8>7)7<9;322a=i1::19=5+4c69<0?<@=>=7co<4;3f`a=n<<>1<75f3gc94?N3il10c:=i:188ygcci3;1:7>50z&70<<3:11/5>75b628 <152h8:7)796;;51>"3>h0386F;479me62=9lnn7d<7d;29?l41=3:17d<8a;29?l>c83:17d<:f;29?j>c13:17plld282>3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:0ggb>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e10<1=7<50;2x <152=?27)7<9;322a=#188k4H565?kg4<3;ni=5f44694?=h?:l1<75rb8;4>4<4290;w)782;c11>">;00:=;j4n813>06<,=h?65;6;I672>hf;=0:ih?4i577>5<3<729q/897543:8 <5>2k=;7)782;c15>">>?02:85+47c9<1=O<=<0bl=;:0gf6>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{ekj<1=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb8a3>4<5290;w)782;66=>">;00:=;j4$5`7>13b3A>?:6`n3582a`25<?;0j>85+92;9540c3g38<7;?;%6a0?>212B?8;5aa2695`c23`>>87>5;h1ee?6=@=kn76a83g83>>{en931=7850;2x 12>2=837)7<9;`44>">?;0j><5+9749=33<,=4<1290;w):;9;61<>">;00i;=5+9609e77<,0<=648:;%65e?>33A>?:6`n3582a`15<5<5<?;0?945+92;9540c3->i87::e:J703=ii:>1=hk7;h660?6=3f=8j7>5;|`:f`<62:0;6=u+9609e73<,0926=h?:l1<75rbg2;>4<1290;w):;9;61<>">;00i;=5+9609e77<,0<=648:;%65e?>33A>?:6`n3582a`g5<5<5<55+92;9f26<,0=96l<>;%;52??1=2.?:l474:J703=ii:>1=hkm;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`:fd<62;0;6=u+960900?<,09264cbk2c?994?::m47c<722wi5ol51;194?6|,0=96l<:;%;0=?76>m1e5>>5519'0g2=0<30D9:9;oc00?7bmm1b88:50;9j7cg=83B?mh54o61e>5<55+92;9f26<,0=96l<>;%;52??1=2.?:l474:J703=ii:>1=hkj;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`f77<62?0;6=u+45;907><,0926o9?;%;46?g592.2:;46649'03g=0=1C8984n`17>4cbn2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi5o951;094?6|,0=969;6;%;0=?76>m1/8o:544g8L1213gk887?jf19j002=831d;>h50;9~f17f8j<572<:0(9l;:97:?M23>2dj?94>eg38m1332900e>hn:18K0dc<3f=8j7>5;|`e43<62?0;6=u+45;907><,0926o9?;%;46?g592.2:;46649'03g=0=1C8984n`17>4ca:2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wii?;51;494?6|,=>269<7;%;0=?d082.2;?4n209'=30=1??0(98n:968L1213gk887?jf29j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f17f8 1d32=?n7E:;6:lb71<6mo>0e9;;:188k25a2900qo7m5;397?6=8r.2;?4n249'=6?=9856F;479me62=9ll>7d::4;29?l5ai3:1D9oj;:m47c<722wij=;51;494?6|,=>269<7;%;0=?d082.2;?4n209'=30=1??0(98n:968L1213gk887?jf79j6=b=831b>;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f`7?280=6=4?{%67=?2502.2?44m719'=24=i;;0(489:846?!21i32?7E:;6:lb71<6mo=0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo7m1;396?6=8r.2;?4;589'=6?=98N3:51dd;?l22<3:17b9j;0:6>4?:1y'=24=i;?0(4=6:035`>h>;90><6*;b58;1<=O<=<0bl=;:0ge=>o3==0;66gM2fm21d;>h50;9~f``e280=6=4?{%67=?2502.2?44m719'=24=i;;0(489:846?!21i32?7E:;6:lb71<6mok0e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qojn2;392?6=8r.?844;299'=6?=j>:0(49=:`02?!?1>33=96*;6`8;0>N3:51dda?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd>il0:6?4?:1y'=24=<<30(4=6:035`>"3j=0?9h5G4548jd5328omo6g;5583>>i0;o0;66sm9`d95?5=83:p(49=:`06?!?413;::i5a922915=#14874H565?kg4<3;nji5f44694?=n;ok1<7F;ad98k25a2900qokje;392?6=8r.?844;299'=6?=j>:0(49=:`02?!?1>33=96*;6`8;0>N3:51ddf?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xdc>80:6;4?:1y'01?=<;20(4=6:c53?!?0:3k9=6*6678:20=#>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm9``95?4=83:p(49=:57:?!?413;::i5+4c6900c<@=>=7co<4;3e45=n<<>1<75`72d94?=zj0kh6<4<:183!?0:3k996*6388253b4$5`7>=3>3A>?:6`n3582b5756g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66smd3295?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>=2<@=>=7co<4;3e46=n:1n1<75f27794?=n:>k1<75f8e294?=n:>56*6388253b<,=h?69;j;I672>hf;=0:j=:4i577>5<9m6=44}c;b=?7=;3:1i876:9:J703=ii:>1=k>:;h660?6=3`9mm7>5H5cf?>i0;o0;66smee:95?0=83:p(9:6:50;?!?413h<<6*6738b64=#1?<15;;4$54b>=2<@=>=7co<4;3e43=n:1n1<75f27794?=n:>k1<75f8e294?=n:6<49:183!2313>946*6388a35=#1>81m??4$845><023->=m76;;I672>hf;=0:j=94i3:g>5<6=44i35b>5<5<>87>5;n50b?6=3th2594>:283>5}#1>81m?;4$81:>471l2d2?=4:0:&7f14`712c?994?::k0bd<72A>ji65`72d94?=zjln>6<49:183!2313>946*6388a35=#1>81m??4$845><023->=m76;;I672>hf;=0:j=o4i3:g>5<6=44i35b>5<5<3:14$851>d463-3=:7795:&72d5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:o?o51;`7>5<7s->?576j5:&:7<<6k;30(98n:59'03d=;>1/8;m5269'03b=98;0(98j:`68 10a2=90(99?:510?!2093827):82;0;?!20;3>?7):84;31?!20=38=7):86;71?!20?3>i7):88;1:?!2013hj7):8a;1;?!20j3>;7):8c;1a?!20l3?<7):8e;73?!20n39m7):70;65?!2?939n7):72;604>"30:0?o6*;858752=#<1?1955+49490a=#<1=1945+49:900=#<131?;5+49c91g=#<1h1855+49a9gc=#<1n1?n5+49g911=#<1l18h5+48290d=#<0;1=11<,=386974$5;7>7d<,=3>65=4$5;5>7b<,=3<64l4$5;;>03<,=326n64$5;b>00<,=3o6n74$5;f>05<,=3m68o4$5c3>6b<,=k:69:;;%6b6?743->j?7=n;%6b0?27i2.?m84;f:&7e3<692.?m:4>259'0d>=kl1/8l75189'0dg=02.?:?48;%657?1<,="3>?0<7):97;58 10?2>1/8;757:&:7`<33-k:;76j8:&:370}?j3;957o8:00b>dg=ugk887?i0b9j63e=831b5:h50;9j052=831b59=50;9j63b=831b55>50;9j053=831b59:50;9j5=?=83.3oi4>799m799m799m50;&;ga<6?11e4nm55:9j5=`=83.3oi4>799m21b=5k50;&;ga<6?11e4nm57:9j5=b=83.3oi4>799m799m50;9j5d`=831b=lk50;9j5db=831b=lm50;9j5dd=831b=lo50;9j5d3=831b=l:50;9j6g`=831b>ok50;9j6ge=831b>ol50;9j6gg=831b>o750;9j6g>=831b>o950;9j6g0=831b>o;50;9j6g2=831b>o=50;9j6g7=831b>o>50;9j6d`=831b>lk50;9j6db=831b>lm50;9j6dd=831b>lo50;9j6d?=831b>l650;9j6f3=831b>n:50;9j6f5=83.3oi4=a29mn<50;&;ga<5i:1e4nm51:9j6f7=83.3oi4=a29mn>50;&;ga<5i:1e4nm53:9j6gb=83.3oi4=a29mo<50;&;ga<5i:1e4nm55:9j6d1=83.3oi4=a29m21b>l850;&;ga<5i:1e4nm57:9j762=831b?>=50;9j764=831b?>?50;&;ga<4:l1e4nm50:9j755=831b?=<50;9j756=831b>kh50;9j6cc=831b>kj50;9j6ce=831b>kl50;9j6cg=831b>k750;9j6c>=831b>k950;9j6c3=831b>k:50;9j6c5=831b>k<50;9j6c7=831b>k>50;9j6``=831b>hk50;9j6`b=831b>hm50;9j75?=831b?=650;9j751=831b?=850;9j753=831b?=:50;9j757=831b>k850;9j6`d=831b>ho50;9j76c=831b?>j50;9j76e=831b?>l50;9l73g=831d?;750;9l731=831d?;850;9l733=831d?;:50;9l735=831d?;<50;9l737=831d?;>50;9l70`=831d?8k50;9l70e=831d?8l50;9l70g=831d?8750;9l70>=831d?8950;9l700=831d?8;50;9l702=831d?8=50;9l726=831d?;h50;9l73c=83.3oi4<4d9m=83.3oi4<4d9m21d?8?50;&;ga<4=831d?4950;9l7g2=831d?o=50;9l7g4=831d?o?50;9l7g6=831d?lh50;9l7de=831d?l?50;9l7<0=831d?4;50;9l7`g=831d?h750;9l7`>=831d?h950;9~f6b0m3;1n94?:1y'01?=0l>0(4=6:2f4<>"3ik087):nc;18 10e28=0(98l:218 10c2?n0(98j:0d8 10a2=;87):80;4;?!209382?6*;738:5>"3?:0:<;5+4669577<,==>6<<9;%642?cc3-><;7?>3:&73=<0:2.?;44;089'02g=12.?;o48;%64g?1<,==o6:5+46g93>"3?o0<7):70;58 1>62>1/85<57:&7<6<03->38794$5:6>2=#<1<1;6*;8684?!2?03=0(966:69'0=g=?2.?4o48;%6;g?1<,=2o6:5+49g93>"30o0<7):60;58 1?62>1/84<57:&7=6<03->28794$5;6>2=#<0<1;6*;9684?!2>03=0(976:69'0"31o0<7):n0;58 1g62>1/8l<57:&7e6<03->j8794$5c6>2=#2.2;?47e59K010ac=lo0n>7k<:|lb71<6n9n0e?8l:188m<1a2900e9>;:188m<242900e?8k:188m<>72900e9>::188m<232900e<66:18'0b5ml:198m4>?290/4nj51868j=ed2810e<68:18'0b5ml:398m4>1290/4nj51868j=ed2:10e<7>:18'0b5ml:598m4?7290/4nj51868j=ed2<10e<6i:18'0b5ml:798m4>b290/4nj51868j=ed2>10e<6k:18'0b5ml:998m4>d290/4nj51868j=ed2010e<6m:18'0b5ml:`98m4>f290/4nj51868j=ed2k10e<6::188m4>32900e0b5ml:198m4g?290/4nj51c68j=ed2810e0b5ml:398m4g1290/4nj51c68j=ed2:10e:18'0b5ml:598m4d7290/4nj51c68j=ed2<10e0b5ml:798m4gb290/4nj51c68j=ed2>10e0b5ml:998m4gd290/4nj51c68j=ed2010e0b5ml:`98m4gf290/4nj51c68j=ed2k10e2900e?l7:188m7d02900e?l9:188m7d22900e?l;:188m7d42900e?l>:188m7d72900e?oi:188m7gb2900e?ok:188m7gd2900e?om:188m7gf2900e?o6:188m7g?2900e?m::188m7e32900e?m<:188m7e52900e?m>:188m7e72900e?lk:18'=;:188m6542900e>==:188m6562900e>><:188m6652900e>>?:188m7`a2900e?hj:188m7`c2900e?hl:188m7`e2900e?hn:188m7`>2900e?h7:188m7`02900e?h::188m7`32900e?h<:188m7`52900e?h>:188m7`72900e?ki:188m7cb2900e?kk:188m7cd2900e>>6:188m66?2900e>>8:188m6612900e>>::188m6632900e>>>:188m7`12900e?km:188m7cf2900e>=j:188m65c2900e>=l:188m65e2900c>8n:188k60>2900c>88:188k6012900c>8::188k6032900c>8<:188k6052900c>8>:188k6072900c>;i:188k63b2900c>;l:188k63e2900c>;n:188k63>2900c>;7:188k6302900c>;9:188k6322900c>;;:188k6342900c>9?:188k60a2900c>8j:188k60c2900c>8l:188k60e2900c>87:18';=:18'k?:188k6ba2900c>jj:188k6bc2900c>oj:188k6gc2900c>om:188k6gf2900c>o6:188k6g?2900c>o8:188k6g12900c>o::188k6g32900c>o<:188k6g52900c>o?:188k6?a2900c>7j:188k6?c2900c>7l:188k6?e2900c>7n:188k6?>2900c>77:188k6?02900c>l;:188k6d42900c>l=:188k6d62900c>l?:188k6ga2900c>ol:18'79:18'kn:188k6c>2900c>k7:188k6c02900qo=k7e82>g2=83:p(9:6:9g7?!?4139o;55+4``97>"3ij087):9b;00?!21k38m7):9d;41?!21m38>7):9f;da?!2083;>86*;70811a=#<>81h55+4619555<,==?6<<:7l;;%643?e13-><47km;%64=?42m2.?;l4l;%64f?1<,==h6:5+46f93>"3?l0<7):8f;58 1>72>1/85?57:&7<7<03->3?794$5:7>2=#<1?1;6*;8784?!2??3=0(967:69'0=?=?2.?4l48;%6;f?1<,=2h6:5+49f93>"30l0<7):7f;58 1?72>1/84?57:&7=7<03->2?794$5;7>2=#<0?1;6*;9784?!2>?3=0(977:69'0"31l0<7):6f;58 1g72>1/8l?57:&7e7<03->j?794$5c7>2=#;6>5+a0:9e40<,h;26l?9;%;46?>b<2B?8;5U24292~>d21n1hh4kf;g1>`5=ugk887?i0d9j63e=831b5:h50;9j052=831b59=50;9j63b=831b55>50;9j053=831b59:50;9j5=?=83.3oi4>959m959m959m50;&;ga<61=1e4nm55:9j5=`=83.3oi4>959m21b=5k50;&;ga<61=1e4nm57:9j5=b=83.3oi4>959m959mb59mb59mb59m50;&;ga<6j=1e4nm55:9j5d`=83.3oi4>b59m21b=lk50;&;ga<6j=1e4nm57:9j5db=83.3oi4>b59mb59mok50;9j6ge=831b>ol50;9j6gg=831b>o750;9j6g>=831b>o950;9j6g0=831b>o;50;9j6g2=831b>o=50;9j6g7=831b>o>50;9j6d`=831b>lk50;9j6db=831b>lm50;9j6dd=831b>lo50;9j6d?=831b>l650;9j6f3=831b>n:50;9j6f5=831b>n<50;9j6f7=831b>n>50;9j6gb=83.3oi4=e09mo<50;&;ga<5m81e4nm51:9j6d1=83.3oi4=e09ml850;&;ga<5m81e4nm53:9j762=831b?>=50;9j764=831b?>?50;9j755=831b?=<50;9j756=831b>kh50;9j6cc=831b>kj50;9j6ce=831b>kl50;9j6cg=831b>k750;9j6c>=831b>k950;9j6c3=831b>k:50;9j6c5=831b>k<50;9j6c7=831b>k>50;9j6``=831b>hk50;9j6`b=831b>hm50;9j75?=831b?=650;9j751=831b?=850;9j753=831b?=:50;9j757=831b>k850;9j6`d=831b>ho50;9j76c=831b?>j50;9j76e=831b?>l50;9l73g=831d?;750;9l731=831d?;850;9l733=831d?;:50;9l735=831d?;<50;9l737=831d?;>50;9l70`=831d?8k50;9l70e=831d?8l50;9l70g=831d?8750;9l70>=831d?8950;9l700=831d?8;50;9l702=831d?8=50;9l726=831d?;h50;9l73c=831d?;j50;9l73e=831d?;l50;9l73>=83.3oi4<8b9m=831d?4950;9l7g2=831d?o=50;9l7g4=831d?o?50;9l7g6=831d?lh50;9l7de=83.3oi4=831d?h950;9~f6b0n3;1n94?:1y'01?=0l>0(4=6:2f4<>"3ik087):nc;18 10e2;:0(98l:238 10c2mn0(98j:338 10a28nm7):80;4f?!20939mn6*;738754=#<>91ol5+46696<0<,==>6;94$555>"3?m0<7):8e;58 11a2>1/85>57:&7<4<03->3>794$5:0>2=#<1>1;6*;8484?!2?>3=0(968:69'0=>=?2.?4448;%6;e?1<,=2i6:5+49a93>"30m0<7):7e;58 1>a2>1/84>57:&7=4<03->2>794$5;0>2=#<0>1;6*;9484?!2>>3=0(978:69'0<>=?2.?5448;%6:e?1<,=3i6:5+48a93>"31m0<7):6e;58 1?a2>1/8l>57:&7e4<03->j>794$5c0>2=#1;6*;a484?!2f>3=0(9o8:69'0d>=?2.?m448;%6be?1<,09m6>5+95297>"f910j=;5+a0;9e40<,0=965k;;I672>\5=90=w5m58e8ga?ba2l81i>4rn`17>4`7n2c9:n4?::k:3c<722c?<94?::k:06<722c9:i4?::k:<5<722c?<84?::k:01<722c:444?:%:``?7><2d3on4?;:k2<=<72-2hh7?64:l;gf<632c:4:4?:%:``?7><2d3on4=;:k2<3<72-2hh7?64:l;gf<432c:5<4?:%:``?7><2d3on4;;:k2=5<72-2hh7?64:l;gf<232c:4k4?:%:``?7><2d3on49;:k2<`<72-2hh7?64:l;gf<032c:4i4?:%:``?7><2d3on47;:k232c:4o4?:%:``?7><2d3on4n;:k232c:mo4?:%:``?7e<2d3on4n;:k2ed<72-2hh7?m4:l;gf4?::k1g7<722c9o<4?::k1g5<722c9ni4?:%:``?4b92d3on4?;:k1f7<72-2hh74?::k047<722c8<=4?::k1bc<722c9jh4?::k1ba<722c9jn4?::k1bg<722c9jl4?::k1b<<722c9j54?::k1b2<722c9j84?::k1b1<722c9j>4?::k1b7<722c9j<4?::k1b5<722c9ik4?::k1a`<722c9ii4?::k1af<722c8<44?::k04=<722c8<:4?::k043<722c8<84?::k041<722c8<<4?::k1b3<722c9io4?::k1ad<722c8?h4?::k07a<722c8?n4?::k07g<722e8:l4?::m02<<722e8::4?::m023<722e8:84?::m021<722e8:>4?::m027<722e8:<4?::m025<722e89k4?::m01`<722e89n4?::m01g<722e89l4?::m01<<722e8954?::m012<722e89;4?::m010<722e8994?::m016<722e8;=4?::m02c<722e8:h4?::m02a<722e8:n4?::m02g<722e8:54?:%:``?5?k2d3on4?;:m01a<72-2hh7=7c:l;gf<632e89?4?:%:``?5?k2d3on4=;:m014<72-2hh7=7c:l;gf<432e8i=4?::m0`c<722e8hh4?::m0`a<722e8mh4?::m0ea<722e8mo4?::m0ed<722e8m44?::m0e=<722e8m:4?::m0e3<722e8m84?::m0e1<722e8m>4?::m0e7<722e8m=4?::m0=c<722e85h4?::m0=a<722e85n4?::m0=g<722e85l4?::m0=<<722e8554?::m0=2<722e8n94?::m0f6<722e8n?4?::m0f4<722e8n=4?::m0ec<722e8mn4?:%:``?5c82d3on4?;:m0e4<72-2hh7=k0:l;gf<632e85;4?:%:``?5c82d3on4=;:m0=0<72-2hh7=k0:l;gf<432e8il4?::m0a<<722e8i54?::m0a2<722wi?i6?:08a0?6=8r.?8447e59'=6?=;m=37):nb;18 1gd2:1/8;l5259'03e=;=1/8;j5349'03c=9<1/8;h57g9'026=:020(99>:01b?!20:3>:86*;728`g>"3?=0i46*;7481<1i;5+4659<`=#<>21=?=4$55:>30<,==j6l5+46`93>"3?j0<7):8d;58 11b2>1/8:h57:&7<5<03->3=794$5:1>2=#<191;6*;8584?!2?=3=0(969:69'0=1=?2.?4548;%6;=?1<,=2j6:5+49`93>"30j0<7):7d;58 1>b2>1/85h57:&7=5<03->2=794$5;1>2=#<091;6*;9584?!2>=3=0(979:69'0<1=?2.?5548;%6:=?1<,=3j6:5+48`93>"31j0<7):6d;58 1?b2>1/84h57:&7e5<03->j=794$5c1>2=#"><9087)o>8;c22>"f900j=;5+9609<`2<@=>=7W<:0;4x1=k??;h05g?6=3`35;h630?6=3`3??7>5;h05`?6=3`33<7>5;h631?6=3`3?87>5;h3;=?6=,1io6<7;;o:`g?6<3`;347>5$9ag>4?33g2ho7?4;h3;3?6=,1io6<7;;o:`g?4<3`;3:7>5$9ag>4?33g2ho7=4;h3:5?6=,1io6<7;;o:`g?2<3`;2<7>5$9ag>4?33g2ho7;4;h3;b?6=,1io6<7;;o:`g?0<3`;3i7>5$9ag>4?33g2ho794;h3;`?6=,1io6<7;;o:`g?><3`;3o7>5$9ag>4?33g2ho774;h3;f?6=,1io6<7;;o:`g?g<3`;3m7>5$9ag>4?33g2ho7l4;h3;1?6=3`;387>5;h3b=?6=,1io65$9ag>4d33g2ho7?4;h3b3?6=,1io65$9ag>4d33g2ho7=4;h3a5?6=,1io65$9ag>4d33g2ho7;4;h3bb?6=,1io65$9ag>4d33g2ho794;h3b`?6=,1io6<3`;jo7>5$9ag>4d33g2ho774;h3bf?6=,1io65$9ag>4d33g2ho7l4;h3b1?6=3`;j87>5;h0ab?6=3`8ii7>5;h0ag?6=3`8in7>5;h0ae?6=3`8i57>5;h0a5;h0a2?6=3`8i97>5;h0a0?6=3`8i?7>5;h0a5?6=3`8i<7>5;h0bb?6=3`8ji7>5;h0b`?6=3`8jo7>5;h0bf?6=3`8jm7>5;h0b=?6=3`8j47>5;h0`1?6=3`8h87>5;h0`7?6=3`8h>7>5;h0`5?6=3`8h<7>5;h0a`?6=,1io6?k>;o:`g?6<3`8i>7>5$9ag>7c63g2ho7?4;h0b3?6=,1io6?k>;o:`g?4<3`8j:7>5$9ag>7c63g2ho7=4;h100?6=3`98?7>5;h106?6=3`98=7>5;h137?6=3`9;>7>5;h134?6=3`8mj7>5;h0ea?6=3`8mh7>5;h0eg?6=3`8mn7>5;h0ee?6=3`8m57>5;h0e5;h0e1?6=3`8m87>5;h0e7?6=3`8m>7>5;h0e5?6=3`8m<7>5;h0fb?6=3`8ni7>5;h0f`?6=3`8no7>5;h13=?6=3`9;47>5;h133?6=3`9;:7>5;h131?6=3`9;87>5;h135?6=3`8m:7>5;h0ff?6=3`8nm7>5;h10a?6=3`98h7>5;h10g?6=3`98n7>5;n15e?6=3f9=57>5;n153?6=3f9=:7>5;n151?6=3f9=87>5;n157?6=3f9=>7>5;n155?6=3f9=<7>5;n16b?6=3f9>i7>5;n16g?6=3f9>n7>5;n16e?6=3f9>57>5;n16;7>5;n162?6=3f9>97>5;n160?6=3f9>?7>5;n144?6=3f9=j7>5;n15a?6=3f9=h7>5;n15g?6=3f9=n7>5;n156l;o:`g?6<3f9>h7>5$9ag>6>d3g2ho7?4;n166?6=,1io6>6l;o:`g?4<3f9>=7>5$9ag>6>d3g2ho7=4;n1f4?6=3f9oj7>5;n1ga?6=3f9oh7>5;n1ba?6=3f9jh7>5;n1bf?6=3f9jm7>5;n1b=?6=3f9j47>5;n1b3?6=3f9j:7>5;n1b1?6=3f9j87>5;n1b7?6=3f9j>7>5;n1b4?6=3f92j7>5;n1:a?6=3f92h7>5;n1:g?6=3f92n7>5;n1:e?6=3f9257>5;n1:5;n1a0?6=3f9i?7>5;n1a6?6=3f9i=7>5;n1a4?6=3f9jj7>5;n1bg?6=,1io6>j?;o:`g?6<3f9j=7>5$9ag>6b73g2ho7?4;n1:2?6=,1io6>j?;o:`g?4<3f9297>5$9ag>6b73g2ho7=4;n1fe?6=3f9n57>5;n1f5;|`0`2d=93h?6=4?{%67=?>b<2.2?44"3?<0"3?>0<86*;798fe>"3?00m56*;7`824>"3?k0<7):8c;58 11c2>1/8:k57:&73c<03->3<794$5:2>2=#<181;6*;8284?!2?<3=0(96::69'0=0=?2.?4:48;%6;"30k0<7):7c;58 1>c2>1/85k57:&72<794$5;2>2=#<081;6*;9284?!2><3=0(97::69'0<0=?2.?5:48;%6:"31k0<7):6c;58 1?c2>1/84k57:&7=c<03->j<794$5c2>2=#">;o087)7;0;18 d7?2h;=7)o>9;c22>">?;03i95G4548^7372?q3o76k:eg9`c5<5<5<6=44i867>5<5<#0jn1=4:4n9a`>4=5<#0jn1=4:4n9a`>6=5<#0jn1=4:4n9a`>0=5<#0jn1=4:4n9a`>2=5<#0jn1=4:4n9a`><=5<#0jn1=4:4n9a`>g=6=44i0:7>5<5<#0jn1=o:4n9a`>4=5<#0jn1=o:4n9a`>6=5<#0jn1=o:4n9a`>0=5<#0jn1=o:4n9a`>2=5<#0jn1=o:4n9a`><=5<#0jn1=o:4n9a`>g=6=44i0c7>5<5<5<5<5<5<5<5<5<5<5<5<6=44i3a7>5<5<5<5<#0jn1>h?4n9a`>4=5<#0jn1>h?4n9a`>6=5<5<5<5<5<5<5<5<6=44i3d7>5<5<5<5<5<5<5<6=44i227>5<5<5<5<5<5<5<6=44o247>5<5<5<5<5<5<5<5<5<5<5<5<5<#0jn1?5m4n9a`>4=5<#0jn1?5m4n9a`>6=5<5<5<5<5<5<6=44o2c7>5<5<5<5<5<5<5<5<5<5<5<#0jn1?i>4n9a`>4=5<#0jn1?i>4n9a`>6=5<5<:c694?6|,=>265k;;%;0=?5c?11/8ll53:&7ef<43->=n7?;;%65g?4d3->=h78l;%65a?7f3->=j7?>b:&735<082.?;<481:&737486:&731<0i2.?;84>1e9'020=9930(998:808 11?2o80(996:6a8 11f2k1/8:l57:&73f<03->2=#<>l1;6*;8184?!2?93=0(96=:69'0=5=?2.?4948;%6;1?1<,=2=6:5+49593>"3010<7):79;58 1>f2>1/85l57:&73h794$5:f>2=#<1l1;6*;9184?!2>93=0(97=:69'0<5=?2.?5948;%6:1?1<,=3=6:5+48593>"3110<7):69;58 1?f2>1/84l57:&7=f<03->2h794$5;f>2=#<0l1;6*;a184?!2f93=0(9o=:69'0d5=?2.?m948;%6b1?1<,=k=6:5+4`593>"3i10<7):n9;58 1gf2>1/5>h53:&:05<43-k:47o>6:&b5<<78t8b8;`?bb2ml1i?4j3;me62=9o;97d<9c;29?l?0n3:17d:?4;29?l?3;3:17d<9d;29?l??83:17d:?5;29?l?3<3:17d?79;29 =ec283?7c6lc;28?l7?03:1(5mk:0;7?k>dk3;07d?77;29 =ec283?7c6lc;08?l7?>3:1(5mk:0;7?k>dk3907d?61;29 =ec283?7c6lc;68?l7>83:1(5mk:0;7?k>dk3?07d?7f;29 =ec283?7c6lc;48?l7?m3:1(5mk:0;7?k>dk3=07d?7d;29 =ec283?7c6lc;:8?l7?k3:1(5mk:0;7?k>dk3307d?7b;29 =ec283?7c6lc;c8?l7?i3:1(5mk:0;7?k>dk3h07d?75;29?l7?<3:17d?n9;29 =ec28h?7c6lc;28?l7f03:1(5mk:0`7?k>dk3;07d?n7;29 =ec28h?7c6lc;08?l7f>3:1(5mk:0`7?k>dk3907d?m1;29 =ec28h?7c6lc;68?l7e83:1(5mk:0`7?k>dk3?07d?nf;29 =ec28h?7c6lc;48?l7fm3:1(5mk:0`7?k>dk3=07d?nd;29 =ec28h?7c6lc;:8?l7fk3:1(5mk:0`7?k>dk3307d?nb;29 =ec28h?7c6lc;c8?l7fi3:1(5mk:0`7?k>dk3h07d?n5;29?l7f<3:17ddk3;07d3:1(5mk:3g2?k>dk3907d=<4;29?l54;3:17d=<2;29?l5493:17d=?3;29?l57:3:17d=?0;29?l4an3:17d3:17d=?5;29?l57<3:17d=?1;29?l4a>3:17d3:17b=95;29?j51<3:17b=93;29?j51:3:17b=91;29?j5183:17b=:f;29?j52m3:17b=:c;29?j52j3:17b=:a;29?j5213:17b=:8;29?j52?3:17b=:6;29?j52=3:17b=:4;29?j52;3:17b=80;29?j51n3:17b=9e;29?j51l3:17b=9c;29?j51j3:17b=98;29 =ec2:2h7c6lc;28?j52l3:1(5mk:2:`?k>dk3;07b=:2;29 =ec2:2h7c6lc;08?j5293:1(5mk:2:`?k>dk3907b=j0;29?j5cn3:17b=ke;29?j5cl3:17b=ne;29?j5fl3:17b=nb;29?j5fi3:17b=n9;29?j5f03:17b=n7;29?j5f>3:17b=n5;29?j5f<3:17b=n3;29?j5f:3:17b=n0;29?j5>n3:17b=6e;29?j5>l3:17b=6c;29?j5>j3:17b=6a;29?j5>13:17b=68;29?j5>?3:17b=m4;29?j5e;3:17b=m2;29?j5e93:17b=m0;29?j5fn3:17b=nc;29 =ec2:n;7c6lc;28?j5f93:1(5mk:2f3?k>dk3;07b=66;29 =ec2:n;7c6lc;08?j5>=3:1(5mk:2f3?k>dk3907b=ja;29?j5b13:17b=j8;29?j5b?3:17pl">;008h:64$5ca>6=#"3>j09m6*;6e854>"3>l0::6*;6g8765=#<>:14:5+463957b<,==96i?4$550>g6<,==?6l=4$556>a3<,===6n94$554>`><,==36i:4$55:>2d<,==j6i5+46`93>"3?j0<7):8d;58 11b2>1/8:h57:&7<5<03->3=794$5:1>2=#<191;6*;8584?!2?=3=0(969:69'0=1=?2.?4548;%6;=?1<,=2j6:5+49`93>"30j0<7):7d;58 1>b2>1/85h57:&7=5<03->2=794$5;1>2=#<091;6*;9584?!2>=3=0(979:69'0<1=?2.?5548;%6:=?1<,=3j6:5+48`93>"31j0<7):6d;58 1?b2>1/84h57:&7e5<03->j=794$5c1>2=#"><9087)o>8;c22>"f900j=;5+9609<`2<@=>=7W<:0;4x1=k?<;h05g?6=3`35;h630?6=3`3??7>5;h05`?6=3`33<7>5;h631?6=3`3?87>5;h3;=?6=,1io6<7;;o:`g?6<3`;347>5$9ag>4?33g2ho7?4;h3;3?6=,1io6<7;;o:`g?4<3`;3:7>5$9ag>4?33g2ho7=4;h3:5?6=,1io6<7;;o:`g?2<3`;2<7>5$9ag>4?33g2ho7;4;h3;b?6=,1io6<7;;o:`g?0<3`;3i7>5$9ag>4?33g2ho794;h3;`?6=,1io6<7;;o:`g?><3`;3o7>5$9ag>4?33g2ho774;h3;f?6=,1io6<7;;o:`g?g<3`;3m7>5$9ag>4?33g2ho7l4;h3;1?6=3`;387>5;h3b=?6=,1io65$9ag>4d33g2ho7?4;h3b3?6=,1io65$9ag>4d33g2ho7=4;h3a5?6=,1io65$9ag>4d33g2ho7;4;h3bb?6=,1io65$9ag>4d33g2ho794;h3b`?6=,1io6<3`;jo7>5$9ag>4d33g2ho774;h3bf?6=,1io65$9ag>4d33g2ho7l4;h3b1?6=3`;j87>5;h0ab?6=3`8ii7>5;h0ag?6=3`8in7>5;h0ae?6=3`8i57>5;h0a5;h0a2?6=3`8i97>5;h0a0?6=3`8i?7>5;h0a5?6=3`8i<7>5;h0bb?6=3`8ji7>5;h0b`?6=3`8jo7>5;h0bf?6=3`8jm7>5;h0b=?6=3`8j47>5;h0`1?6=3`8h87>5;h0`7?6=3`8h>7>5;h0`5?6=3`8h<7>5;h0a`?6=,1io6?k>;o:`g?6<3`8i>7>5$9ag>7c63g2ho7?4;h0b3?6=,1io6?k>;o:`g?4<3`8j:7>5$9ag>7c63g2ho7=4;h100?6=3`98?7>5;h106?6=3`98=7>5;h137?6=3`9;>7>5;h134?6=3`8mj7>5;h0ea?6=3`8mh7>5;h0eg?6=3`8mn7>5;h0ee?6=3`8m57>5;h0e5;h0e1?6=3`8m87>5;h0e7?6=3`8m>7>5;h0e5?6=3`8m<7>5;h0fb?6=3`8ni7>5;h0f`?6=3`8no7>5;h13=?6=3`9;47>5;h133?6=3`9;:7>5;h131?6=3`9;87>5;h135?6=3`8m:7>5;h0ff?6=3`8nm7>5;h10a?6=3`98h7>5;h10g?6=3`98n7>5;n15e?6=3f9=57>5;n153?6=3f9=:7>5;n151?6=3f9=87>5;n157?6=3f9=>7>5;n155?6=3f9=<7>5;n16b?6=3f9>i7>5;n16g?6=3f9>n7>5;n16e?6=3f9>57>5;n16;7>5;n162?6=3f9>97>5;n160?6=3f9>?7>5;n144?6=3f9=j7>5;n15a?6=3f9=h7>5;n15g?6=3f9=n7>5;n156l;o:`g?6<3f9>h7>5$9ag>6>d3g2ho7?4;n166?6=,1io6>6l;o:`g?4<3f9>=7>5$9ag>6>d3g2ho7=4;n1f4?6=3f9oj7>5;n1ga?6=3f9oh7>5;n1ba?6=3f9jh7>5;n1bf?6=3f9jm7>5;n1b=?6=3f9j47>5;n1b3?6=3f9j:7>5;n1b1?6=3f9j87>5;n1b7?6=3f9j>7>5;n1b4?6=3f92j7>5;n1:a?6=3f92h7>5;n1:g?6=3f92n7>5;n1:e?6=3f9257>5;n1:5;n1a0?6=3f9i?7>5;n1a6?6=3f9i=7>5;n1a4?6=3f9jj7>5;n1bg?6=,1io6>j?;o:`g?6<3f9j=7>5$9ag>6b73g2ho7?4;n1:2?6=,1io6>j?;o:`g?4<3f9297>5$9ag>6b73g2ho7=4;n1fe?6=3f9n57>5;n1f5;|`0`2g=93h?6=4?{%67=?>b<2.2?44d:&72a<1j2.?:h4>c:&72c0g9'027=98l0(99=:026?!20;3o27):84;d4?!20=3;996*;77824d=#<>=1==k4$55;>fb<,==26"3?m0<7):8e;58 11a2>1/85>57:&7<4<03->3>794$5:0>2=#<1>1;6*;8484?!2?>3=0(968:69'0=>=?2.?4448;%6;e?1<,=2i6:5+49a93>"30m0<7):7e;58 1>a2>1/84>57:&7=4<03->2>794$5;0>2=#<0>1;6*;9484?!2>>3=0(978:69'0<>=?2.?5448;%6:e?1<,=3i6:5+48a93>"31m0<7):6e;58 1?a2>1/8l>57:&7e4<03->j>794$5c0>2=#1;6*;a484?!2f>3=0(9o8:69'0d>=?2.?m448;%6be?1<,09m6>5+95297>"f910j=;5+a0;9e40<,0=965k;;I672>\5=90=w5m58e8ga?ba2l81i>4rn`17>4`6<2c9:n4?::k:3c<722c?<94?::k:06<722c9:i4?::k:<5<722c?<84?::k:01<722c:444?:%:``?7><2d3on4?;:k2<=<72-2hh7?64:l;gf<632c:4:4?:%:``?7><2d3on4=;:k2<3<72-2hh7?64:l;gf<432c:5<4?:%:``?7><2d3on4;;:k2=5<72-2hh7?64:l;gf<232c:4k4?:%:``?7><2d3on49;:k2<`<72-2hh7?64:l;gf<032c:4i4?:%:``?7><2d3on47;:k232c:4o4?:%:``?7><2d3on4n;:k232c:mo4?:%:``?7e<2d3on4n;:k2ed<72-2hh7?m4:l;gf4?::k1g7<722c9o<4?::k1g5<722c9ni4?:%:``?4b92d3on4?;:k1f7<72-2hh74?::k047<722c8<=4?::k1bc<722c9jh4?::k1ba<722c9jn4?::k1bg<722c9jl4?::k1b<<722c9j54?::k1b2<722c9j84?::k1b1<722c9j>4?::k1b7<722c9j<4?::k1b5<722c9ik4?::k1a`<722c9ii4?::k1af<722c8<44?::k04=<722c8<:4?::k043<722c8<84?::k041<722c8<<4?::k1b3<722c9io4?::k1ad<722c8?h4?::k07a<722c8?n4?::k07g<722e8:l4?::m02<<722e8::4?::m023<722e8:84?::m021<722e8:>4?::m027<722e8:<4?::m025<722e89k4?::m01`<722e89n4?::m01g<722e89l4?::m01<<722e8954?::m012<722e89;4?::m010<722e8994?::m016<722e8;=4?::m02c<722e8:h4?::m02a<722e8:n4?::m02g<722e8:54?:%:``?5?k2d3on4?;:m01a<72-2hh7=7c:l;gf<632e89?4?:%:``?5?k2d3on4=;:m014<72-2hh7=7c:l;gf<432e8i=4?::m0`c<722e8hh4?::m0`a<722e8mh4?::m0ea<722e8mo4?::m0ed<722e8m44?::m0e=<722e8m:4?::m0e3<722e8m84?::m0e1<722e8m>4?::m0e7<722e8m=4?::m0=c<722e85h4?::m0=a<722e85n4?::m0=g<722e85l4?::m0=<<722e8554?::m0=2<722e8n94?::m0f6<722e8n?4?::m0f4<722e8n=4?::m0ec<722e8mn4?:%:``?5c82d3on4?;:m0e4<72-2hh7=k0:l;gf<632e85;4?:%:``?5c82d3on4=;:m0=0<72-2hh7=k0:l;gf<432e8il4?::m0a<<722e8i54?::m0a2<722wi>>9>:08a0?6=8r.?8447e39'=6?=::=;7):nb;18 1gd2:1/8;l57:&72f<03->=h794$54f>2=#"3?10<7):89;58 11f2>1/8:l57:&73f<03->2=#<>l1;6*;8184?!2?93=0(96=:69'0=5=?2.?4948;%6;1?1<,=2=6:5+49593>"3010<7):79;58 1>f2>1/85l57:&73h794$5:f>2=#<1l1;6*;9184?!2>93=0(97=:69'0<5=?2.?5948;%6:1?1<,=3=6:5+48593>"3110<7):69;58 1?f2>1/84l57:&7=f<03->2h794$5;f>2=#<0l1;6*;a184?!2f93=0(9o=:69'0d5=?2.?m948;%6b1?1<,=k=6:5+4`593>"3i10<7):n9;58 1gf2>1/5>h53:&:05<43-k:47o>6:&b5<<78t948:2?g22h<1m54n9;me62=9o;>7d<9c;29?l?0n3:17d:?4;29L1gb32c28>4?::k12a<722c24=4?::k740<72A>ji65f95694?=n9131<7*7ce82=2=i0ji1<65f19:94?"?km0:5:5a8ba95>=n91=1<7*7ce82=2=i0ji1>65f19494?"?km0:5:5a8ba97>=n90;1<7*7ce82=2=i0ji1865f18294?"?km0:5:5a8ba91>=n91l1<7*7ce82=2=i0ji1:65f19g94?"?km0:5:5a8ba93>=n91n1<7*7ce82=2=i0ji1465f19a94?"?km0:5:5a8ba9=>=n91h1<7*7ce82=2=i0ji1m65f19c94?"?km0:5:5a8ba9f>=n91?1<7*7ce82=2=i0ji1o65f19694?"?km0:5:5a8ba9`>=n9h31<7*7ce82f2=i0ji1<65f1`:94?"?km0:n:5a8ba95>=n9h=1<7*7ce82f2=i0ji1>65f1`494?"?km0:n:5a8ba97>=n9k;1<7*7ce82f2=i0ji1865f1c294?"?km0:n:5a8ba91>=n9hl1<7*7ce82f2=i0ji1:65f1`g94?"?km0:n:5a8ba93>=n9hn1<7*7ce82f2=i0ji1465f1`a94?"?km0:n:5a8ba9=>=n9hh1<7*7ce82f2=i0ji1m65f1`c94?"?km0:n:5a8ba9f>=n9h?1<7*7ce82f2=i0ji1o65f1`694?"?km0:n:5a8ba9`>=n:kl1<75f2cg94?=n:ki1<75f2c`94?=n:kk1<75f2c;94?=n:k21<75f2c594?=n:k<1<75f2c794?=n:k>1<75f2c194?=n:k;1<75f2c294?=n:hl1<75f2`g94?=n:hn1<75f2`a94?=n:hh1<75f2`c94?=n:h31<75f2`:94?=n:j?1<75f2b694?=n:j91<75f2b094?=n:j;1<75f2b294?=n:kn1<75f2c094?=n:h=1<75f2`494?"?km09o;5a8ba94>=n;:>1<75f32194?=n;:81<75f32394?=n;991<75f31094?=n;9:1<75f2gd94?=n:oo1<75f2gf94?=n:oi1<75f2g`94?=n:ok1<75f2g;94?=n:o21<75f2g594?=n:o?1<75f2g694?=n:o91<75f2g094?=n:o;1<75f2g294?=n:ll1<75f2dg94?=n:ln1<75f2da94?=n;931<75f31:94?=n;9=1<75f31494?=n;9?1<75f31694?=n;9;1<75f2g494?=n:lh1<75f2dc94?=n;:o1<75f32f94?=n;:i1<75f32`94?=h;?k1<75`37;94?=h;?=1<75`37494?=h;??1<75`37694?=h;?91<75`37094?=h;?;1<75`37294?=h;1<75`34194?=h;>:1<75`37d94?=h;?o1<75`37f94?=h;?i1<75`37`94?=h;?21<75`34f94?=h;<81<75`34394?"?km08;<5a8ba94>=h;l:1<75`3ed94?=h;mo1<75`3ef94?=h;ho1<75`3`f94?=h;hh1<75`3`c94?=h;h31<75`3`:94?=h;h=1<75`3`494?=h;h?1<75`3`694?=h;h91<75`3`094?=h;h:1<75`38d94?=h;0o1<75`38f94?=h;0i1<75`38`94?=h;0k1<75`38;94?=h;021<75`38594?=h;k>1<75`3c194?=h;k81<75`3c394?=h;k:1<75`3`d94?=h;hi1<75`3`394?=h;0<1<75`38794?"?km08n85a8ba94>=h;lk1<75`3d;94?=h;l21<75`3d594?=zj;9<>7?5b583>5}#<=314h<4$81:>75082.?mo4<;%6bg?5<,="3>m0<7):9e;58 10a2>1/8:>57:&734<03-><>794$550>2=#<>>1;6*;7484?!20>3=0(998:69'02>=?2.?;448;%64e?1<,==i6:5+46a93>"3?m0<7):8e;58 11a2>1/85>57:&7<4<03->3>794$5:0>2=#<1>1;6*;8484?!2?>3=0(968:69'0=>=?2.?4448;%6;e?1<,=2i6:5+49a93>"30m0<7):7e;58 1>a2>1/84>57:&7=4<03->2>794$5;0>2=#<0>1;6*;9484?!2>>3=0(978:69'0<>=?2.?5448;%6:e?1<,=3i6:5+48a93>"31m0<7):6e;58 1?a2>1/8l>57:&7e4<03->j>794$5c0>2=#1;6*;a484?!2f>3=0(9o8:69'0d>=?2.?m448;%6be?1<,09m6>5+95297>"f910j=;5+a0;9e40<,0=965k=;I672>\5=90=w4;5978b1?g12h21m44rn`17>4`6>2c9:n4?::k:3c<722c?<94?:I6ba>=n1=91<75f27f94?=n11:1<75f41794?N3il10e4:;:188m4>>290/4nj51858j=ed2910e<67:18'0290/4nj51858j=ed2;10e<69:18'a290/4nj51858j=ed2?10e<6j:18'c290/4nj51858j=ed2110e<6l:18'e290/4nj51858j=ed2h10e<6n:18'2290/4nj51858j=ed2j10e<6;:18'290/4nj51c58j=ed2910e2900e?o7:188m7e22900e?m;:188m7e42900e?m=:188m7e62900e?m?:188m7dc2900e?l=:188m7g02900e?o9:18'=<:188m6552900e>=>:188m6642900e>>=:188m6672900e?hi:188m7`b2900e?hk:188m7`d2900e?hm:188m7`f2900e?h6:188m7`?2900e?h8:188m7`22900e?h;:188m7`42900e?h=:188m7`62900e?h?:188m7ca2900e?kj:188m7cc2900e?kl:188m66>2900e>>7:188m6602900e>>9:188m6622900e>>;:188m6662900e?h9:188m7ce2900e?kn:188m65b2900e>=k:188m65d2900e>=m:188k60f2900c>86:188k6002900c>89:188k6022900c>8;:188k6042900c>8=:188k6062900c>8?:188k63a2900c>;j:188k63d2900c>;m:188k63f2900c>;6:188k63?2900c>;8:188k6312900c>;::188k6332900c>;<:188k6172900c>8i:188k60b2900c>8k:188k60d2900c>8m:188k60?2900c>;k:188k6352900c>;>:18';0b5ml:198k6c72900c>ji:188k6bb2900c>jk:188k6gb2900c>ok:188k6ge2900c>on:188k6g>2900c>o7:188k6g02900c>o9:188k6g22900c>o;:188k6g42900c>o=:188k6g72900c>7i:188k6?b2900c>7k:188k6?d2900c>7m:188k6?f2900c>76:188k6??2900c>78:188k6d32900c>l<:188k6d52900c>l>:188k6d72900c>oi:188k6gd2900c>o>:188k6?12900c>7::18'k6:188k6c?2900c>k8:188yg44?:0:6o:50;2x 12>21o97)7<9;0035=#"3?;0<7):83;58 1132>1/8:;57:&733<03-><;794$55;>2=#<>31;6*;7`84?!20j3=0(99l:69'02b=?2.?;h48;%64b?1<,=2;6:5+49393>"30;0<7):73;58 1>32>1/85;57:&7<3<03->3;794$5:;>2=#<131;6*;8`84?!2?j3=0(96l:69'0=b=?2.?4h48;%6;b?1<,=3;6:5+48393>"31;0<7):63;58 1?32>1/84;57:&7=3<03->2;794$5;;>2=#<031;6*;9`84?!2>j3=0(97l:69'0"3i;0<7):n3;58 1g32>1/8l;57:&7e3<03->j;794$5c;>2=#=i8<0(l?6:`35?!?0:32n>6F;479Y606=>r3>6485a48b2?g?2h31qco<4;3e52=n:?i1<75f96d94?=n<9>1<7F;ad98m<242900e?8k:188m<>72900e9>::18K0dc<3`3?87>5;h3;=?6=,1io6<78;o:`g?6<3`;347>5$9ag>4?03g2ho7?4;h3;3?6=,1io6<78;o:`g?4<3`;3:7>5$9ag>4?03g2ho7=4;h3:5?6=,1io6<78;o:`g?2<3`;2<7>5$9ag>4?03g2ho7;4;h3;b?6=,1io6<78;o:`g?0<3`;3i7>5$9ag>4?03g2ho794;h3;`?6=,1io6<78;o:`g?><3`;3o7>5$9ag>4?03g2ho774;h3;f?6=,1io6<78;o:`g?g<3`;3m7>5$9ag>4?03g2ho7l4;h3;1?6=,1io6<78;o:`g?e<3`;387>5$9ag>4?03g2ho7j4;h3b=?6=,1io65$9ag>4d03g2ho7?4;h3b3?6=,1io65$9ag>4d03g2ho7=4;h3a5?6=,1io65$9ag>4d03g2ho7;4;h3bb?6=,1io65$9ag>4d03g2ho794;h3b`?6=,1io6<3`;jo7>5$9ag>4d03g2ho774;h3bf?6=,1io65$9ag>4d03g2ho7l4;h3b1?6=,1io65$9ag>4d03g2ho7j4;h0ab?6=3`8ii7>5;h0ag?6=3`8in7>5;h0ae?6=3`8i57>5;h0a5;h0a2?6=3`8i97>5;h0a0?6=3`8i?7>5;h0a5?6=3`8i<7>5;h0bb?6=3`8ji7>5;h0b`?6=3`8jo7>5;h0bf?6=3`8jm7>5;h0b=?6=3`8j47>5;h0`1?6=3`8h87>5;h0`7?6=3`8h>7>5;h0`5?6=3`8h<7>5;h0a`?6=3`8i>7>5;h0b3?6=3`8j:7>5$9ag>7e13g2ho7>4;h100?6=3`98?7>5;h106?6=3`98=7>5;h137?6=3`9;>7>5;h134?6=3`8mj7>5;h0ea?6=3`8mh7>5;h0eg?6=3`8mn7>5;h0ee?6=3`8m57>5;h0e5;h0e1?6=3`8m87>5;h0e7?6=3`8m>7>5;h0e5?6=3`8m<7>5;h0fb?6=3`8ni7>5;h0f`?6=3`8no7>5;h13=?6=3`9;47>5;h133?6=3`9;:7>5;h131?6=3`9;87>5;h135?6=3`8m:7>5;h0ff?6=3`8nm7>5;h10a?6=3`98h7>5;h10g?6=3`98n7>5;n15e?6=3f9=57>5;n153?6=3f9=:7>5;n151?6=3f9=87>5;n157?6=3f9=>7>5;n155?6=3f9=<7>5;n16b?6=3f9>i7>5;n16g?6=3f9>n7>5;n16e?6=3f9>57>5;n16;7>5;n162?6=3f9>97>5;n160?6=3f9>?7>5;n144?6=3f9=j7>5;n15a?6=3f9=h7>5;n15g?6=3f9=n7>5;n15h7>5;n166?6=3f9>=7>5$9ag>6163g2ho7>4;n1f4?6=3f9oj7>5;n1ga?6=3f9oh7>5;n1ba?6=3f9jh7>5;n1bf?6=3f9jm7>5;n1b=?6=3f9j47>5;n1b3?6=3f9j:7>5;n1b1?6=3f9j87>5;n1b7?6=3f9j>7>5;n1b4?6=3f92j7>5;n1:a?6=3f92h7>5;n1:g?6=3f92n7>5;n1:e?6=3f9257>5;n1:5;n1a0?6=3f9i?7>5;n1a6?6=3f9i=7>5;n1a4?6=3f9jj7>5;n1bg?6=3f9j=7>5;n1:2?6=3f9297>5$9ag>6d23g2ho7>4;n1fe?6=3f9n57>5;n1f5;|`1722=93h?6=4?{%67=?>b:2.2?44=3628 1ge2:1/8lm53:&72g<03->=o794$54g>2=#:69'024=?2.?;>48;%640?1<,==>6:5+46493>"3?>0<7):88;58 11>2>1/8:o57:&73g<03->2=#<>o1;6*;7g84?!2?83=0(96>:69'0=4=?2.?4>48;%6;0?1<,=2>6:5+49493>"30>0<7):78;58 1>>2>1/85o57:&73o794$5:g>2=#<1o1;6*;8g84?!2>83=0(97>:69'0<4=?2.?5>48;%6:0?1<,=3>6:5+48493>"31>0<7):68;58 1?>2>1/84o57:&7=g<03->2o794$5;g>2=#<0o1;6*;9g84?!2f83=0(9o>:69'0d4=?2.?m>48;%6b0?1<,=k>6:5+4`493>"3i>0<7):n8;58 1g>2>1/8lo57:&:7c<43-3?<7=4$`3;>d713-k:57o>6:&:373}>=33=6l;5a78b2tdj?94>f0:8m70d2900e49i:188m163290C8lk4;h;77?6=3`8=h7>5;h;;4?6=3`>;97>5H5cf?>o><=0;66g>8883>!>dl3;2;6`7cb83?>o6010;6)6ld;3:3>h?kj0:76g>8683>!>dl3;2;6`7cb81?>o60?0;6)6ld;3:3>h?kj0876g>9083>!>dl3;2;6`7cb87?>o6190;6)6ld;3:3>h?kj0>76g>8g83>!>dl3;2;6`7cb85?>o60l0;6)6ld;3:3>h?kj0<76g>8e83>!>dl3;2;6`7cb8;?>o60j0;6)6ld;3:3>h?kj0276g>8c83>!>dl3;2;6`7cb8b?>o60h0;6)6ld;3:3>h?kj0i76g>8483>!>dl3;2;6`7cb8`?>o60=0;6)6ld;3:3>h?kj0o76g>a883>!>dl3;i;6`7cb83?>o6i10;6)6ld;3a3>h?kj0:76g>a683>!>dl3;i;6`7cb81?>o6i?0;6)6ld;3a3>h?kj0876g>b083>!>dl3;i;6`7cb87?>o6j90;6)6ld;3a3>h?kj0>76g>ag83>!>dl3;i;6`7cb85?>o6il0;6)6ld;3a3>h?kj0<76g>ae83>!>dl3;i;6`7cb8;?>o6ij0;6)6ld;3a3>h?kj0276g>ac83>!>dl3;i;6`7cb8b?>o6ih0;6)6ld;3a3>h?kj0i76g>a483>!>dl3;i;6`7cb8`?>o6i=0;6)6ld;3a3>h?kj0o76g=bg83>>o5jl0;66g=bb83>>o5jk0;66g=b`83>>o5j00;66g=b983>>o5j>0;66g=b783>>o5j<0;66g=b583>>o5j:0;66g=b083>>o5j90;66g=ag83>>o5il0;66g=ae83>>o5ij0;66g=ac83>>o5ih0;66g=a883>>o5i10;66g=c483>>o5k=0;66g=c283>>o5k;0;66g=c083>>o5k90;66g=be83>>o5j;0;66g=a683>>o5i?0;6)6ld;0`2>h?kj0;76g<3583>>o4;:0;66g<3383>>o4;80;66g<0283>>o48;0;66g<0183>>o5no0;66g=fd83>>o5nm0;66g=fb83>>o5nk0;66g=f`83>>o5n00;66g=f983>>o5n>0;66g=f483>>o5n=0;66g=f283>>o5n;0;66g=f083>>o5n90;66g=eg83>>o5ml0;66g=ee83>>o5mj0;66g<0883>>o4810;66g<0683>>o48?0;66g<0483>>o48=0;66g<0083>>o5n?0;66g=ec83>>o5mh0;66g<3d83>>o4;m0;66g<3b83>>o4;k0;66a<6`83>>i4>00;66a<6683>>i4>?0;66a<6483>>i4>=0;66a<6283>>i4>;0;66a<6083>>i4>90;66a<5g83>>i4=l0;66a<5b83>>i4=k0;66a<5`83>>i4=00;66a<5983>>i4=>0;66a<5783>>i4=<0;66a<5583>>i4=:0;66a<7183>>i4>o0;66a<6d83>>i4>m0;66a<6b83>>i4>k0;66a<6983>>i4=m0;66a<5383>>i4=80;6)6ld;145>h?kj0;76a>i4lo0;66a>i4lm0;66a>i4im0;66a>i4ih0;66a>i4i10;66a>i4i?0;66a>i4i=0;66a>i4i;0;66a>i41o0;66a<9d83>>i41m0;66a<9b83>>i41k0;66a<9`83>>i4100;66a<9983>>i41>0;66a>i4j:0;66a>i4j80;66a>i4io0;66a>i4i80;66a<9783>>i41<0;6)6ld;1a1>h?kj0;76a>i4m00;66a>i4m>0;66sm2256>4>9?;%6bf?5<,=kh6>5+47`93>"3>j0<7):9d;58 10b2>1/8;h57:&735<03-><=794$551>2=#<>91;6*;7584?!20=3=0(999:69'021=?2.?;548;%64=?1<,==j6:5+46`93>"3?j0<7):8d;58 11b2>1/8:h57:&7<5<03->3=794$5:1>2=#<191;6*;8584?!2?=3=0(969:69'0=1=?2.?4548;%6;=?1<,=2j6:5+49`93>"30j0<7):7d;58 1>b2>1/85h57:&7=5<03->2=794$5;1>2=#<091;6*;9584?!2>=3=0(979:69'0<1=?2.?5548;%6:=?1<,=3j6:5+48`93>"31j0<7):6d;58 1?b2>1/84h57:&7e5<03->j=794$5c1>2=#"><9087)o>8;c22>"f900j=;5+9609<`4<@=>=7W<:0;4x=0<>>3k>6l85a98b=?{ii:>1=k?6;h05g?6=3`35;h630?6=@=kn76g64283>>o5>m0;66g68183>>o38<0;6E:ne:9j=12=831b=5750;&;ga<61>1e4nm50:9j5=>=83.3oi4>969m1e4nm52:9j5=0=83.3oi4>969m1e4nm54:9j5<6=83.3oi4>969m1e4nm56:9j5=c=83.3oi4>969m1e4nm58:9j5=e=83.3oi4>969m1e4nm5a:9j5=g=83.3oi4>969m1e4nm5c:9j5=2=83.3oi4>969m1e4nm50:9j5d>=83.3oi4>b69m1e4nm52:9j5d0=83.3oi4>b69m1e4nm54:9j5g6=83.3oi4>b69m1e4nm56:9j5dc=83.3oi4>b69m1e4nm58:9j5de=83.3oi4>b69m1e4nm5a:9j5dg=83.3oi4>b69m1e4nm5c:9j5d2=83.3oi4>b69moh50;9j6gc=831b>om50;9j6gd=831b>oo50;9j6g?=831b>o650;9j6g1=831b>o850;9j6g3=831b>o:50;9j6g5=831b>o?50;9j6g6=831b>lh50;9j6dc=831b>lj50;9j6de=831b>ll50;9j6dg=831b>l750;9j6d>=831b>n;50;9j6f2=831b>n=50;9j6f4=831b>n?50;9j6f6=831b>oj50;9j6g4=831b>l950;9j6d0=83.3oi4=c79m:50;9j765=831b?><50;9j767=831b?==50;9j754=831b?=>50;9j6c`=831b>kk50;9j6cb=831b>km50;9j6cd=831b>ko50;9j6c?=831b>k650;9j6c1=831b>k;50;9j6c2=831b>k=50;9j6c4=831b>k?50;9j6c6=831b>hh50;9j6`c=831b>hj50;9j6`e=831b?=750;9j75>=831b?=950;9j750=831b?=;50;9j752=831b?=?50;9j6c0=831b>hl50;9j6`g=831b?>k50;9j76b=831b?>m50;9j76d=831d?;o50;9l73?=831d?;950;9l730=831d?;;50;9l732=831d?;=50;9l734=831d?;?50;9l736=831d?8h50;9l70c=831d?8m50;9l70d=831d?8o50;9l70?=831d?8650;9l701=831d?8850;9l703=831d?8:50;9l705=831d?:>50;9l73`=831d?;k50;9l73b=831d?;m50;9l73d=831d?;650;9l70b=831d?8<50;9l707=83.3oi4<709m50;9l7a`=831d?ik50;9l7ab=831d?lk50;9l7db=831d?ll50;9l7dg=831d?l750;9l7d>=831d?l950;9l7d0=831d?l;50;9l7d2=831d?l=50;9l7d4=831d?l>50;9l7<`=831d?4k50;9l750;9l7d`=831d?lm50;9l7d7=831d?4850;9l7<3=83.3oi42;9<<6*;ac80?!2fk390(98m:69'03e=?2.?:i48;%65a?1<,="3?80<7):82;58 1142>1/8::57:&730<03-><:794$554>2=#<>21;6*;7884?!20i3=0(99m:69'02e=?2.?;i48;%64a?1<,==m6:5+49293>"3080<7):72;58 1>42>1/85:57:&7<0<03->3:794$5:4>2=#<121;6*;8884?!2?i3=0(96m:69'0=e=?2.?4i48;%6;a?1<,=2m6:5+48293>"3180<7):62;58 1?42>1/84:57:&7=0<03->2:794$5;4>2=#<021;6*;9884?!2>i3=0(97m:69'0"3i80<7):n2;58 1g42>1/8l:57:&7e0<03->j:794$5c4>2=#2P99=49{879=3>o>?o0;66g;0583>M2fm21b59=50;9j63b=831b55>50;9j053=83B?mh54i867>5<5<#0jn1=494n9a`>4=5<#0jn1=494n9a`>6=5<#0jn1=494n9a`>0=5<#0jn1=494n9a`>2=5<#0jn1=494n9a`><=5<#0jn1=494n9a`>g=6=4+8bf95<15<#0jn1=494n9a`>a=5<#0jn1=o94n9a`>4=5<#0jn1=o94n9a`>6=5<#0jn1=o94n9a`>0=5<#0jn1=o94n9a`>2=5<#0jn1=o94n9a`><=5<#0jn1=o94n9a`>g=6=4+8bf95g15<#0jn1=o94n9a`>a=5<5<5<5<5<5<5<5<5<5<5<6=44i3a7>5<5<5<5<5<#0jn1>n84n9a`>5=5<5<5<5<5<5<5<5<6=44i3d7>5<5<5<5<5<5<5<6=44i227>5<5<5<5<5<5<5<6=44o247>5<5<5<5<5<5<5<5<5<5<5<5<5<5<#0jn1?:?4n9a`>5=5<5<5<5<5<5<6=44o2c7>5<5<5<5<5<5<5<5<5<5<5<5<#0jn1?o;4n9a`>5=5<5<:c694?6|,=>265k=;%;0=?44?91/8ll53:&7ef<43->=n794$54`>2=#"3??0<7):87;58 11?2>1/8:757:&73d<03->2=#<>n1;6*;7d84?!20n3=0(96?:69'0=7=?2.?4?48;%6;7?1<,=2?6:5+49793>"30?0<7):77;58 1>?2>1/85757:&73n794$5:`>2=#<1n1;6*;8d84?!2?n3=0(97?:69'0<7=?2.?5?48;%6:7?1<,=3?6:5+48793>"31?0<7):67;58 1??2>1/84757:&7=d<03->2n794$5;`>2=#<0n1;6*;9d84?!2>n3=0(9o?:69'0d7=?2.?m?48;%6b7?1<,=k?6:5+4`793>"3i?0<7):n7;58 1g?2>1/8l757:&7ed<03-38j7=4$863>6=#i821m<84$`3:>d713-3<>76j2:J703=]:<:1:v7::849e03k36l75}oc00?7a9k1b>;m50;9j=2`=831b8=:50;J7e`=86=44i34g>5<5dk3:07d?78;29 =ec283<7c6lc;38?l7??3:1(5mk:0;4?k>dk3807d?76;29 =ec283<7c6lc;18?l7>93:1(5mk:0;4?k>dk3>07d?60;29 =ec283<7c6lc;78?l7?n3:1(5mk:0;4?k>dk3<07d?7e;29 =ec283<7c6lc;58?l7?l3:1(5mk:0;4?k>dk3207d?7c;29 =ec283<7c6lc;;8?l7?j3:1(5mk:0;4?k>dk3k07d?7a;29 =ec283<7c6lc;`8?l7?=3:1(5mk:0;4?k>dk3i07d?74;29 =ec283<7c6lc;f8?l7f13:1(5mk:0`4?k>dk3:07d?n8;29 =ec28h<7c6lc;38?l7f?3:1(5mk:0`4?k>dk3807d?n6;29 =ec28h<7c6lc;18?l7e93:1(5mk:0`4?k>dk3>07d?m0;29 =ec28h<7c6lc;78?l7fn3:1(5mk:0`4?k>dk3<07d?ne;29 =ec28h<7c6lc;58?l7fl3:1(5mk:0`4?k>dk3207d?nc;29 =ec28h<7c6lc;;8?l7fj3:1(5mk:0`4?k>dk3k07d?na;29 =ec28h<7c6lc;`8?l7f=3:1(5mk:0`4?k>dk3i07d?n4;29 =ec28h<7c6lc;f8?l4en3:17d3:17d3:17b=:5;29?j52<3:17b=:3;29?j5083:17b=9f;29?j51m3:17b=9d;29?j51k3:17b=9b;29?j5103:17b=:d;29?j52:3:17b=:1;29 =ec2:=:7c6lc;28?j5b83:17b=kf;29?j5cm3:17b=kd;29?j5fm3:17b=nd;29?j5fj3:17b=na;29?j5f13:17b=n8;29?j5f?3:17b=n6;29?j5f=3:17b=n4;29?j5f;3:17b=n2;29?j5f83:17b=6f;29?j5>m3:17b=6d;29?j5>k3:17b=6b;29?j5>i3:17b=69;29?j5>03:17b=67;29?j5e<3:17b=m3;29?j5e:3:17b=m1;29?j5e83:17b=nf;29?j5fk3:17b=n1;29?j5>>3:17b=65;29 =ec2:h>7c6lc;28?j5bi3:17b=j9;29?j5b03:17b=j7;29?xd5;>21=7l;:183!23132n>6*63881726<,=ki6>5+4`a97>"3>k0<7):9c;58 10c2>1/8;k57:&72c<03-><<794$552>2=#<>81;6*;7284?!20<3=0(99::69'020=?2.?;:48;%64"3?k0<7):8c;58 11c2>1/8:k57:&73c<03->3<794$5:2>2=#<181;6*;8284?!2?<3=0(96::69'0=0=?2.?4:48;%6;"30k0<7):7c;58 1>c2>1/85k57:&72<794$5;2>2=#<081;6*;9284?!2><3=0(97::69'0<0=?2.?5:48;%6:"31k0<7):6c;58 1?c2>1/84k57:&7=c<03->j<794$5c2>2=#">;o087)7;0;18 d7?2h;=7)o>9;c22>">?;03i?5G4548^7372?q29779:`79e35<?2d3on4>;:k2<2<72-2hh7?67:l;gf<532c:4;4?:%:``?7>?2d3on4<;:k2=4<72-2hh7?67:l;gf<332c:5=4?:%:``?7>?2d3on4:;:k2?2d3on48;:k2?2d3on46;:k2?2d3on4m;:k2<0<72-2hh7?67:l;gf?2d3on4k;:k2e<<72-2hh7?m7:l;gf<732c:m54?:%:``?7e?2d3on4>;:k2e2<72-2hh7?m7:l;gf<532c:m;4?:%:``?7e?2d3on4<;:k2f4<72-2hh7?m7:l;gf<332c:n=4?:%:``?7e?2d3on4:;:k2ec<72-2hh7?m7:l;gf<132c:mh4?:%:``?7e?2d3on48;:k2ea<72-2hh7?m7:l;gf4?::k1f4<722c9n=4?::k1ec<722c9mh4?::k1ea<722c9mn4?::k1eg<722c9ml4?::k1e<<722c9m54?::k1g0<722c9o94?::k1g6<722c9o?4?::k1g4<722c9o=4?::k1fa<722c9n?4?::k1e2<722c9m;4?:%:``?4d>2d3on4?;:k071<722c8?>4?::k077<722c8?<4?::k046<722c84?::m035<722e8:k4?::m02`<722e8:i4?::m02f<722e8:o4?::m02=<722e89i4?::m017<722e89<4?:%:``?5092d3on4?;:m0a5<722e8hk4?::m0``<722e8hi4?::m0e`<722e8mi4?::m0eg<722e8ml4?::m0e<<722e8m54?::m0e2<722e8m;4?::m0e0<722e8m94?::m0e6<722e8m?4?::m0e5<722e85k4?::m0=`<722e85i4?::m0=f<722e85o4?::m0=d<722e8544?::m0==<722e85:4?::m0f1<722e8n>4?::m0f7<722e8n<4?::m0f5<722e8mk4?::m0ef<722e8m<4?::m0=3<722e8584?:%:``?5e=2d3on4?;:m0ad<722e8i44?::m0a=<722e8i:4?::a661f280i87>50z&70<75225:?!2fj390(9ol:29'03d=?2.?:n48;%65`?1<,="3?90<7):81;58 1152>1/8:=57:&731<03-><9794$555>2=#<>=1;6*;7984?!2013=0(99n:69'02d=?2.?;n48;%64`?1<,==n6:5+46d93>"3090<7):71;58 1>52>1/85=57:&7<1<03->39794$5:5>2=#<1=1;6*;8984?!2?13=0(96n:69'0=d=?2.?4n48;%6;`?1<,=2n6:5+49d93>"3190<7):61;58 1?52>1/84=57:&7=1<03->29794$5;5>2=#<0=1;6*;9984?!2>13=0(97n:69'0"3i90<7):n1;58 1g52>1/8l=57:&7e1<03->j9794$5c5>2=#2.j=44n179'=24=0l>0D9:9;[064?0|0j03h7jj:ed9a7:51g3g?l41k3:17d78f;29?l27<3:17d7;3;29?l41l3:17d770;29?l27=3:17d7;4;29?l7?13:1(5mk:0;7?k>dk3:07d?78;29 =ec283?7c6lc;38?l7??3:1(5mk:0;7?k>dk3807d?76;29 =ec283?7c6lc;18?l7>93:1(5mk:0;7?k>dk3>07d?60;29 =ec283?7c6lc;78?l7?n3:1(5mk:0;7?k>dk3<07d?7e;29 =ec283?7c6lc;58?l7?l3:1(5mk:0;7?k>dk3207d?7c;29 =ec283?7c6lc;;8?l7?j3:1(5mk:0;7?k>dk3k07d?7a;29 =ec283?7c6lc;`8?l7?=3:17d?74;29?l7f13:1(5mk:0`7?k>dk3:07d?n8;29 =ec28h?7c6lc;38?l7f?3:1(5mk:0`7?k>dk3807d?n6;29 =ec28h?7c6lc;18?l7e93:1(5mk:0`7?k>dk3>07d?m0;29 =ec28h?7c6lc;78?l7fn3:1(5mk:0`7?k>dk3<07d?ne;29 =ec28h?7c6lc;58?l7fl3:1(5mk:0`7?k>dk3207d?nc;29 =ec28h?7c6lc;;8?l7fj3:1(5mk:0`7?k>dk3k07d?na;29 =ec28h?7c6lc;`8?l7f=3:17d?n4;29?l4en3:17d3:17ddk3:07ddk3807d3:17b=:5;29?j52<3:17b=:3;29?j5083:17b=9f;29?j51m3:17b=9d;29?j51k3:17b=9b;29?j5103:1(5mk:2:`?k>dk3:07b=:d;29 =ec2:2h7c6lc;38?j52:3:1(5mk:2:`?k>dk3807b=:1;29 =ec2:2h7c6lc;18?j5b83:17b=kf;29?j5cm3:17b=kd;29?j5fm3:17b=nd;29?j5fj3:17b=na;29?j5f13:17b=n8;29?j5f?3:17b=n6;29?j5f=3:17b=n4;29?j5f;3:17b=n2;29?j5f83:17b=6f;29?j5>m3:17b=6d;29?j5>k3:17b=6b;29?j5>i3:17b=69;29?j5>03:17b=67;29?j5e<3:17b=m3;29?j5e:3:17b=m1;29?j5e83:17b=nf;29?j5fk3:1(5mk:2f3?k>dk3:07b=n1;29 =ec2:n;7c6lc;38?j5>>3:1(5mk:2f3?k>dk3807b=65;29 =ec2:n;7c6lc;18?j5bi3:17b=j9;29?j5b03:17b=j7;29?xd5;>h1=7l;:183!23132n86*6388172?<,=ki6>5+4`a97>"3>k0<7):9c;58 10c2>1/8;k57:&72c<03-><<794$552>2=#<>81;6*;7284?!20<3=0(99::69'020=?2.?;:48;%64"3?k0<7):8c;58 11c2>1/8:k57:&73c<03->3<794$5:2>2=#<181;6*;8284?!2?<3=0(96::69'0=0=?2.?4:48;%6;"30k0<7):7c;58 1>c2>1/85k57:&72<794$5;2>2=#<081;6*;9284?!2><3=0(97::69'0<0=?2.?5:48;%6:"31k0<7):6c;58 1?c2>1/84k57:&7=c<03->j<794$5c2>2=#">;o087)7;0;18 d7?2h;=7)o>9;c22>">?;03i95G4548^7372?q3o76k:eg9`c5<5<5<6=44i867>5<5<#0jn1=4:4n9a`>4=5<#0jn1=4:4n9a`>6=5<#0jn1=4:4n9a`>0=5<#0jn1=4:4n9a`>2=5<#0jn1=4:4n9a`><=5<#0jn1=4:4n9a`>g=6=44i0:7>5<5<#0jn1=o:4n9a`>4=5<#0jn1=o:4n9a`>6=5<#0jn1=o:4n9a`>0=5<#0jn1=o:4n9a`>2=5<#0jn1=o:4n9a`><=5<#0jn1=o:4n9a`>g=6=44i0c7>5<5<5<5<5<5<5<5<5<5<5<5<6=44i3a7>5<5<5<5<#0jn1>h?4n9a`>4=5<#0jn1>h?4n9a`>6=5<5<5<5<5<5<5<5<6=44i3d7>5<5<5<5<5<5<5<6=44i227>5<5<5<5<5<5<5<6=44o247>5<5<5<5<5<5<5<5<5<5<5<5<5<#0jn1?5m4n9a`>4=5<#0jn1?5m4n9a`>6=5<5<5<5<5<5<6=44o2c7>5<5<5<5<5<5<5<5<5<5<5<#0jn1?i>4n9a`>4=5<#0jn1?i>4n9a`>6=5<5<:c694?6|,=>265k<;%;0=?5ck<1/8ll56:&7ef<13->=n794$54`>2=#"3??0<7):87;58 11?2>1/8:757:&73d<03->2=#<>n1;6*;7d84?!20n3=0(96?:69'0=7=?2.?4?48;%6;7?1<,=2?6:5+49793>"30?0<7):77;58 1>?2>1/85757:&73n794$5:`>2=#<1n1;6*;8d84?!2?n3=0(97?:69'0<7=?2.?5?48;%6:7?1<,=3?6:5+48793>"31?0<7):67;58 1??2>1/84757:&7=d<03->2n794$5;`>2=#<0n1;6*;9d84?!2>n3=0(9o?:69'0d7=?2.?m?48;%6b7?1<,=k?6:5+4`793>"3i?0<7):n7;58 1g?2>1/8l757:&7ed<03->=>794$540>2=#1;6*;6484?!21>3=0(988:69'03>=?2.?:448;%;0b?0<,0>;6;5+a0:9e40<,h;26l?9;%;1`?7dm2.2;?47e29K01039827<5e08`6?c32j91i84rn`17>4`6n2c9:n4?::k:3c<722c?<94?::k:06<722c9:i4?::k:<5<722c?<84?::k:01<722c:444?:%:``?7f82d3on4?;:k2<=<72-2hh7?n0:l;gf<632c:4:4?:%:``?7f82d3on4=;:k2<3<72-2hh7?n0:l;gf<432c:5<4?:%:``?7f82d3on4;;:k2=5<72-2hh7?n0:l;gf<232c:4k4?:%:``?7f82d3on49;:k2<`<72-2hh7?n0:l;gf<032c:4i4?:%:``?7f82d3on47;:k232c9n94?:%:``?4cn2d3on4n;:k1f6<72-2hh70:9j6de=83.3oi4=dg9mdk3;876g=a883>!>dl38oj6`7cb820>=n:h21<7*7ce81`c=i0ji1=854i3a6>5<#0jn1>ih4n9a`>40<3`8h87>5$9ag>7ba3g2ho7?8;:k1g6<72-2hh7n<50;&;ga<5lo1e4nm51898m7e6290/4nj52ed8j=ed28k07do5jm0;6)6ld;0gb>h?kj0:o65f2c094?"?km09hk5a8ba95a=4?:%:``?55;2d3on4?;:k047<72-2hh7==3:l;gf<632c8<=4?:%:``?55;2d3on4=;:k1bc<72-2hh7==3:l;gf<432c9jh4?:%:``?55;2d3on4;;:k1ba<72-2hh7==3:l;gf<232c9jn4?:%:``?55;2d3on49;:k1bg<72-2hh7==3:l;gf<032c9jl4?:%:``?55;2d3on47;:k1b<<72-2hh7==3:l;gf<>32c9j54?:%:``?55;2d3on4n;:k1b2<72-2hh7==3:l;gf4?:%:``?55;2d3on4j;:k1b7<72-2hh7==3:l;gf0:9j6c6=83.3oi4<229mdk3;876g=ee83>!>dl399?6`7cb820>=n:li1<7*7ce8066=i0ji1=854i22:>5<#0jn1??=4n9a`>40<3`9;47>5$9ag>6443g2ho7?8;:k042<72-2hh7==3:l;gf<6021b?=850;&;ga<4::1e4nm51898m662290/4nj53318j=ed28k07d=?4;29 =ec2:887c6lc;3a?>o4880;6)6ld;117>h?kj0:o65f2g494?"?km08>>5a8ba95a=<<;o:`g?7a32c8?h4?:%:``?53:2d3on4?;:k07a<72-2hh7=;2:l;gf<632c8?n4?:%:``?53:2d3on4=;:k07g<72-2hh7=;2:l;gf<432e8:l4?:%:``?5?i2d3on4?;:m02<<72-2hh7=7a:l;gf<632e8::4?:%:``?5?i2d3on4=;:m023<72-2hh7=7a:l;gf<432e8:84?:%:``?5?i2d3on4;;:m021<72-2hh7=7a:l;gf<232e8:>4?:%:``?5?i2d3on49;:m027<72-2hh7=7a:l;gf<032e8:<4?:%:``?5?i2d3on47;:m025<72-2hh7=7a:l;gf<>32e89k4?:%:``?5?i2d3on4n;:m01`<72-2hh7=7a:l;gf0:9l701=83.3oi4<8`9m;9:18'dk3;876a<5583>!>dl393m6`7cb820>=h;<91<7*7ce805<#0jn1?5o4n9a`>40<3f9=j7>5$9ag>6>f3g2ho7?8;:m02`<72-2hh7=7a:l;gf<6021d?;j50;&;ga<40h1e4nm51898k60d290/4nj539c8j=ed28k07b=9b;29 =ec2:2j7c6lc;3a?>i4>10;6)6ld;1;e>h?kj0:o65`34f94?"?km084l5a8ba95a=6n;o:`g?7a32e8i=4?::m0`c<722e8hh4?::m0`a<722e8mh4?:%:``?5dm2d3on4?;:m0ea<72-2hh7=le:l;gf<632e8mo4?:%:``?5dm2d3on4=;:m0ed<72-2hh7=le:l;gf<432e8m44?:%:``?5dm2d3on4;;:m0e=<72-2hh7=le:l;gf<232e8m:4?:%:``?5dm2d3on49;:m0e3<72-2hh7=le:l;gf<032e8m84?:%:``?5dm2d3on47;:m0e1<72-2hh7=le:l;gf<>32e8m>4?:%:``?5dm2d3on4n;:m0e7<72-2hh7=le:l;gf0:9l77n:18'13:1(5mk:2af?k>dk3;876a<9983>!>dl39hi6`7cb820>=h;0=1<7*7ce80g`=i0ji1=854o2`7>5<#0jn1?nk4n9a`>40<3f9i?7>5$9ag>6eb3g2ho7?8;:m0f7<72-2hh7=le:l;gf<6021d?o?50;&;ga<4kl1e4nm51898k6d7290/4nj53bg8j=ed28k07b=nf;29 =ec2:in7c6lc;3a?>i4ij0;6)6ld;1`a>h?kj0:o65`3`394?"?km08oh5a8ba95a=mj;o:`g?7a32e8il4?::m0a<<722e8i54?::m0a2<722wi>9<9:086>5<7s-3<>7:mf:&70<<0k01/5>75104g?M23>2dj?94>f328m1322900e9;9:188m1302900e9;7:188k=3>2900qo<;2682>0<729q/5:<54cd8 12>2>ii7)7<9;322a=O<=<0bl=;:0d15>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm250;>4<3290;w)782;c17>">;00:=;j4H565?kg4<3;m>?5f44794?=n<<<1<75f93494?=h?:l1<75rb3616?7==3:1471l2B?8;5aa2695c443`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1075=93?1<7>t$851>1da3->?579lb:&:7<<69?n0D9:9;oc00?7a:=1b88;50;9j000=831b88950;9j00>=831d48750;9~f725<3;187>50z&:3775104g?M23>2dj?94>f378m1322900e9;9:188m<412900c:=i:188yg43:00:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e:=8j6<4::183!?0:3>ij6*;4884gg=#1:31=<8k;I672>hf;=0:j?94i576>5<5<5<o4>:583>5}#1>81m?=4$81:>471l2B?8;5aa2695c4?3`>>97>5;h662?6=3`39:7>5;n50b?6=3th8mh751;794?6|,0=969li;%67=?1d12.2?44oja;391?6=8r.2;?4;bg9'01?=?jh0(4=6:2cf2>N3:51g0b?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>o3=?0;66g62783>>i0;o0;66sm1267>4<2280>w)782;666>N>>j1em>:51g0`?l44:<0:66g=33595?=n::8?6<44i3117?7=3f88oi4>::`17fc=83?1<7>t$851>1da3->?576?0:&:7<<5:?80D9:9;oc00?7a:m1b88;50;9j000=831b88950;9j00>=831d48750;9~w755=3:1>vP=3378975dm3>>96s|2204>5<5sW88>:5222af>1313ty9??:50;0xZ755<279?nk54458yv44::0;6?uQ2200?844kl0?955rs31``?6=:rT9?nj4=31`a?>212wvn?=lf;390?6=8r.2;?4n229'=6?=:;<97E:;6:lb71<6n;o0e9;::188m1312900e4<9:188k25a2900qol?1;391?6=8r.2;?4;bg9'01?=?<20(4=6:`6g?M23>2dj?94>f3d8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?=3e82>0<627::5:pf77=838pRo<>;<`36?22>2wxn9m50;0xZg2d34h;>7::7:pf3?=838pRo86;<`36?2202wxn=?50;0xZg6634h;>76:9:~fg64280?6=4?{%;46?g5;2.2?44n4e9K0106<4:{%;46?22:2B2:n5aa2695c543`8;>l4>::k147>=931b>=<6:088m764m3;17b>d58=>1<7;50;2x <152=hm7):;9;:22>">;00:o>?4H565?kg4<3;m?95f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{;:9m7>52z\147g<5;:?87::5:p654?2909wS65232=?=7p}=03;94?4|V;:9563=0569001vP=05689763<32>56srb3271?7=<3:1;I672>hf;=0:j>;4i576>5<5<9m6=44}c3bf=<62:0;6=u+96090g`<,=>2654`4>2c?984?::k713<722e3944?::a5ddf28086=4?{%;46?2en2.?84472`9'=6?=9hh97E:;6:lb71<6n:=0e9;::188m1312900c5;6:188yg7fjj0:694?:1y'=24=i;90(4=6:0ca6>N3:51g1;?l22=3:17d::6;29?l?5>3:17b9">;00:5=h4H565?kg4<3;m?45f44794?=n<<<1<75`84;94?=zj83:;7?53;294~">?;0?nk5+45;9<7g<,0926<7?f:J703=ii:>1=k=n;h661?6=3`>>:7>5;n:6=?6=3th:5<751;694?6|,0=96l<<;%;0=?7>8o1C8984n`17>4`4j2c?984?::k713<722c2>;4?::m47c<722wi=>j9:086>4<2s-3<>7::2:J:2f=ii:>1=k=l;h0a75<622c9n>?51;9j6gb32800e?lk3;39?j4elh0:66l=bec94?3=83:p(49=:5`e?!23132:96*63881f7c<@=>=7co<4;3e7a=n<>4=3`ge?22=2wx>o=>:181[4e;816>ojn:575?xu5jm>1<7;5jmk18894}r0a`6<72;qU>oj<;<0a`d<3=11v?lka;296~X5jmk01?lka;:6=>{zj89o;7?54;390~">?;0?9?5G97a8jd5328l8i6g=b2295?=n:kn?6<44i3`1b?7=3f8ihn4>::`1fae=83>1<7>t$851>1da3->?576>d:&:7<<5j;o0D9:9;oc00?7a;o1b88;50;9j000=831b88950;9l<0?=831v?l<0;296~X5j::01?lkc;661>{t:kn?6=4={_0a`1=::knh69;9;|q1f7`=838pR?l=f:?1fae=<<=0q~7}Y:knh70:583>5}#1>81m?=4$81:>7d5m2B?8;5aa2695c273`>>97>5;h662?6=3`39:7>5;n50b?6=3th:?h751;795?3|,0=969;=;I;5g>hf;=0:j9?4i3a`e?7=3`8ho44>::k1gfd=931b>nm7:088k7eb=3;17o0<729q/5:<54cd8 12>219n7)7<9;0`g0=O<=<0bl=;:0d76>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|2bab>5<5sW8hol522bg5>1323ty9on750;0xZ7ed1279oh854448yv4dkk0;6?uQ2baa?84dm?0?9:5rs3a`nk::181[4dm<16>nk9:97:?x{e:jo<6<4;:183!?0:3k9?6*63881gf3<@=>=7co<4;3e06=n<?;0?9?5G97a8jd5328l?86g:3482>>o4ioh1=75f3bae>4<>7l:57;?xu5;0h1<7;5;0i14874}|`171<7>t$851>d443-3857<=639K0106=4?{%;46?2en2.?8448ag9'=6?=9hh97E:;6:lb71<6n==0e9;::188m1312900e9;8:188m13?2900c5;6:188yg7fk10:694?:1y'=24=i;90(4=6:0ca6>N3:51g6;?l22=3:17d::6;29?l?5>3:17b91=7;50;2x <152=hm7):;9;5bb>">;00:5=h4H565?kg4<3;m845f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj83997?54;294~">?;0j>>5+92;95<6a3A>?:6`n3582b1g6=44i575>5<5<:483>5}#1>818oh4$56:>2d03-38575<7s-3<>7:mf:&70<<0l;1/5>752d2;?M23>2dj?94>f5a8m1322900e9;9:188m1302900c5;6:188yg551h0:694?:1y'=24=i;90(4=6:3g3<>N3:51g6g?l22=3:17d::6;29?l?5>3:17b9n7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xde9l0:694?:1y'=24=i;90(4=6:`6g?M23>2dj?94>f5d8m1322900e9;9:188m<412900c:=i:188ygd6i3;197>50z&:37<3jo1/897577;8 <5>2h>o7E:;6:lb71<6n<:0e9;::188m1312900e9;8:188m13?2900c5;6:188ygd6j3;187>50z&:3775a5f8L1213gk887?i509j003=831b88850;9j=70=831d;>h50;9~fg70280>6=4?{%;46?2en2.?8448689'=6?=i=n0D9:9;oc00?7a=;1b88;50;9j000=831b88950;9j00>=831d48750;9~fg7?280?6=4?{%;46?g5;2.2?44n4e9K010t$851>1da3->?57999:&:7<4`2<2c?984?::k713<722c?9:4?::k71=<722e3944?::af43=93>1<7>t$851>d443-3857o;d:J703=ii:>1=k;:;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`a54<62<0;6=u+96090g`<,=>26:86;%;0=?g3l2B?8;5aa2695c313`>>97>5;h662?6=3`>>;7>5;h6657>5;|`a57<62=0;6=u+9609e75<,0926l:k;I672>hf;=0:j894i576>5<5<9m6=44}c`3a?7==3:1d2c3A>?:6`n3582b0>6=44i575>5<5<4<2290;w)782;6ab>"3<00<:45+92;9e1b<@=>=7co<4;3e1d=n<4<3290;w)782;c17>">;00j8i5G4548jd5328l>n6g;5483>>o3=?0;66g62783>>i0;o0;66smb1:95?3=83:p(49=:5`e?!2313==56*6388b0a=O<=<0bl=;:0d6g>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smb1;95?2=83:p(49=:`00?!?413k?h6F;479me62=9o?o7d::5;29?l22>3:17d7=6;29?j14n3:17plm0482>0<729q/5:<54cd8 12>2><27)7<9;c7`>N3:51g7f?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17plm0782>1<729q/5:<5a318 <5>2h>o7E:;6:lb71<6n2dj?94>f728m1322900e9;9:188m1302900e9;7:188k=3>2900qooie;390?6=8r.2;?4n229'=6?=i=n0D9:9;oc00?7a>81b88;50;9j000=831b5?850;9l36`=831vnlhn:086>5<7s-3<>7:mf:&70<<0>01/5>75a5f8L1213gk887?i639j003=831b88850;9j001=831b88650;9l<0?=831vnlhm:087>5<7s-3<>7o=3:&:7<4`1;2c?984?::k713<722c2>;4?::m47c<722wimk951;794?6|,0=969li;%67=?1112.2?44n4e9K010>97>5;h662?6=3`39:7>5;n50b?6=3thjj94>:483>5}#1>818oh4$56:>20>3-3857o;d:J703=ii:>1=k89;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3thjj84>:583>5}#1>81m?=4$81:>d2c3A>?:6`n3582b316=44i575>5<5<?;0?nk5+45;933?<,0926l:k;I672>hf;=0:j;64i576>5<5<5<7?54;294~">?;0j>>5+92;9e1b<@=>=7co<4;3e2<=n<ij6*;48842<=#1:31m9j4H565?kg4<3;m:l5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zjhom6<4;:183!?0:3k9?6*6388b0a=O<=<0bl=;:0d5f>o3=<0;66g;5783>>o>:?0;66a83g83>>{e9o3=6<4::183!?0:3>ij6*;4884=2=#1:31=n=>;I672>hf;=0:j;m4i576>5<5<5<:483>5}#1>818oh4$56:>2gb3-3857?l309K0105<7s-3<>7o=3:&:7<<6k:;0D9:9;oc00?7a>l1b88;50;9j000=831b5?850;9l36`=831vn>=8d;391?6=8r.2;?4;bg9'01?=?m=0(4=6:3g3<>N3:51g4e?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<36g95?3=83:p(49=:5`e?!2313=nh6*63881a5><@=>=7co<4;3e35=n<h>7;I672>hf;=0:j:?4i576>5<5<9m6=44}c304a<62<0:68u+9609004<@0::k14=o;:088f76f=3:197>50z&:37<3jo1/897582g8 <5>28i8=6F;479me62=9o=87d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu58k:1<7;58h?188;4}r03ec<72;qU>=oi;<03e0<3=?1v?>ne;296~X58ho01?>n5;663>{t:93o6=4={_03=a=::9k>69;7;|q14d2=838pR?>n4:?14d3=0<30qpl=0`495?2=83:p(49=:`00?!?413;h?<5G4548jd5328l<86g;5483>>o3=?0;66g62783>>i0;o0;66sm122`>4<2280>w)782;666>N>>j1em>:51g56?l47j90:66g=0`d95?=n:9kn6<44i32:`?7=3f8;m<4>::`14d4=83?1<7>t$851>1da3->?579i0:&:7<<6k:;0D9:9;oc00?7a??1b88;50;9j000=831b88950;9j00>=831d48750;9~w76e83:1>vP=0c28976f:3>>96s|21ce>5<5sW8;mk5221c1>1313ty9212wvn?>n3;390?6=8r.2;?4n229'=6?=9j9:7E:;6:lb71<6n>=0e9;::188m1312900e4<9:188k25a2900qo0<729q/5:<54cd8 12>2>?27)7<9;3`74=O<=<0bl=;:0d4<>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm21c;>4<2290;w)782;6ab>"3<00<945+92;95f563A>?:6`n3582b2?6=44i575>5<5<1=k9n;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`14<3=93?1<7>t$851>1da3->?579n6:&:7<<6k:;0D9:9;oc00?7a?k1b88;50;9j000=831b88950;9j00>=831d48750;9~f76>>3;1?7>50z&:37<3jo1/89758178 <5>28i8=6F;479me62=9o=h7d::5;29?l22>3:17b6:9;29?xd580=1=7:50;2x <152h887)7<9;3`74=O<=<0bl=;:0d4`>o3=<0;66g;5783>>o>:?0;66a83g83>>{e:93i6<4::183!?0:3>ij6*;4884a2=#1:31=n=>;I672>hf;=0:j:k4i576>5<5<5<:583>5}#1>81m?=4$81:>4e492B?8;5aa2695c1a3`>>97>5;h662?6=3`39:7>5;n50b?6=3th:9;851;795?3|,0=969;=;I;5g>hf;=0:j5>4i2a23?7=3`9h=;4>::k0f17=931b?oh9:088k6de?3;17o=mb983>0<729q/5:<54cd8 12>21::7)7<9;1a5a=O<=<0bl=;:0d;5>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|3b34>5<5sW9h=:523c`;>1323ty8o<850;0xZ6e6>278no654448yv5e<80;6?uQ3c62?85ej10?9:5rs2`e2?6=:rT8nk84=2`a16?ol7:97:?x{e;kh26<4;:183!?0:3k9?6*63880f4b<@=>=7co<4;3e<7=n<?;0?nk5+45;93g1<,0926?ml5:J703=ii:>1=k6<;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th9oho51;194?6|,0=969li;%67=?>7=2.2?44=cb78L1213gk887?i859j003=831b88850;9l<0?=831vn?mjb;390?6=8r.2;?4n229'=6?=:ji>7E:;6:lb71<6n1?0e9;::188m1312900e4<9:188k25a2900qo?:5982>0<62mlf;39?l5dl=0:66g6d6l2B?8;5aa2695c>03`>>97>5;h662?6=3`>>;7>5;h6657>5;|q0g7`=838pR>m=f:?0f=c=<7}Y;jim70=m8d8713=z{:io87>52z\0ga2<5:h3i7::7:p7g672909wS=m019>7g>b2=?37p}4`?02c?984?::k713<722c2>;4?::m47c<722wi?>76:086>5<7s-3<>7:mf:&70<<01h1/5>752d2;?M23>2dj?94>f9;8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=<9`82>1<729q/5:<54cd8 12>2>?n7)7<9;0f4==O<=<0bl=;:0d;e>o3=<0;66g;5783>>o3=>0;66a75883>>{e;:3i6<4;:183!?0:3k9?6*63881a5><@=>=7co<4;3e?;0?nk5+45;93a1<,0926?k?8:J703=ii:>1=k6l;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th89n751;794?6|,0=969li;%67=?1cj2.2?44=e1:8L1213gk887?i8e9j003=831b88850;9j001=831b88650;9l<0?=831vn>;la;390?6=8r.2;?4n229'=6?=:l:37E:;6:lb71<6n1o0e9;::188m1312900e4<9:188k25a2900qo?ncg82>0<729q/5:<54cd8 12>2>>87)7<9;3bf7=O<=<0bl=;:0d;b>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm1`f2>4<3290;w)782;c17>">;00:mo<4H565?kg4<3;m5=5f44794?=n<<<1<75f93494?=h?:l1<75rb0;1g?7==3:14?7n2B?8;5aa2695c?63`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2=7c=93>1<7>t$851>d443-3857?60g9K0106<4:{%;46?22:2B2:n5aa2695c?43`989=4>::k04=2=931b?=69:088m66?=3;17b=>d4;hi1<7;50;2x <152=hm7):;9;56f>">;009i=64H565?kg4<3;m595f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:9><7>52z\0706<5:9jo7::5:p75>32909wS=?859>76gd2=?=7p}<09494?4|V::3:63<3`a900123498mn4;599~w65fk3:1>vP<3`a8965fk32>56srb066=?7==3;19v*6738717=O1?i0bl=;:0d:1>o4;<:1=75f31:5>4<6=4?{%;46?2en2.?8447019'=6?=:l:37E:;6:lb71<6n0<0e9;::188m1312900e9;8:188m13?2900c5;6:188yv54=90;6?uQ3273?854im0?985rs22;2?6=:rT8<584=21b`?22>2wx?=6;:181[570=16?>ok:574?xu481?1<7;4;hn18864}r10ea<72;qU?>ok;<10ea1<729q/5:<5a318 <5>2;o;46F;479me62=9o3<7d::5;29?l22>3:17d7=6;29?j14n3:17pl>38795?3=93?p(49=:571?M?1k2dj?94>f8:8m70aj3;17d=m0382>>o4j9;1=75f3c23>4<265>?;%;0=?42lj1C8984n`17>4`>12c?984?::k713<722c?9:4?::k71=<722e3944?::p63`e2909wS<9fc9>6=??2=?>7p}63=88:9000vP>03>>46s|29;4>5<5sW835:5229;;>=3>3twi>576:087>5<7s-3<>7o=3:&:7<<5=mi0D9:9;oc00?7a1h1b88;50;9j000=831b5?850;9l36`=831vn<;8c;391?7==r.2;?4;539K=3e>i4kmo1=75m3bfe>5<2290;w)782;6ab>"3<003<=5+92;97dc13A>?:6`n3582b6=44i575>5<5<oic;296~X4ioi01>mkf;662>{t;hlj6=4={_1bbd=:;jnm69;8;|q0ecb=838pR>oid:?0ga`=<<20q~=ldd83>7}Y;jnn70=ldg8;1<=zuk9hi=4>:583>5}#1>81m?=4$81:>6gb>2B?8;5aa2695c?c3`>>97>5;h662?6=3`39:7>5;n50b?6=3th:i8k51;794?6|,0=969li;%67=?1292.2?44>c238L1213gk887?i9d9j003=831b88850;9j001=831b88650;9l<0?=831vn5682>0<729q/5:<54cd8 12>2>o=7)7<9;`44>N3:51gc3?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>2c695?3=93?p(49=:571?M?1k2dj?94>f`38m465>3;17d?91`82>>o68;=1=75f1106>4<47?5;c321=<72<0;6=u+96090g`<,=>265==;%;0=?d082B?8;5aa2695cg53`>>97>5;h662?6=3`>>;7>5;h6657>5;|q2470=838pR<>=6:?250>=<7}Y9?;j70?>598713=z{8:9;7>52z\2471<58;>47::7:p55422909wS??249>543?2=?37p}>14:94?4|V8;>463>14:9<0?>97>5;h662?6=3`39:7>5;n50b?6=3th:=>j51;694?6|,0=969li;%67=?1dk2.2?44m719K010:0D9:9;oc00?7ai<1b88;50;9j000=831b88950;9l<0?=831vn:0D9:9;oc00?7ai?1b88;50;9j000=831b5?850;9l36`=831vn2dj?94>f`58m1322900e9;9:188m1302900c5;6:188yg76<<0:694?:1y'=24=3:17d::7;29?j>213:17pl>15495?2=83:p(49=:`00?!?413h<<6F;479me62=9ok27d::5;29?l22>3:17d7=6;29?j14n3:17pl>15f95?2=83:p(49=:5`e?!2313=ho6*6388a35=O<=<0bl=;:0dbe>o3=<0;66g;5783>>o3=>0;66a75883>>{e98>n6<4;:183!?0:3>ij6*;4884gf=#1:31n:>4H565?kg4<3;mmo5f44794?=n<<<1<75f44594?=h0<31<75rb037b?7=<3:14H565?kg4<3;mmn5f44794?=n<<<1<75f93494?=h?:l1<75rb2a`4?7==3:16gb>2B?8;5aa2695cgc3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`212?=93>1=7:t$851>1353A3=o6`n3582bdcml1;290?6=8r.2;?4;bg9'01?=0880(4=6:2cf2>N3:51gce?l22=3:17d::6;29?l22?3:17b6:9;29?xu4ioi1<7;4kj;188;4}r1bbg<72;qU?lhm;<1`g4<3=?1v?;85;296~X5=>?01>ml1;663>{t;ji:6=4={_1`g4=:;ji:65;6;|a7fe5280?6=4?{%;46?g5;2.2?44h50;9~f6ee?3;197>50z&:37<3jo1/89757b:8 <5>2:kn:6F;479me62=9oh:7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd6=>21=7:51;6x <152=?97E79c:lb71<6nk80e>oic;39?l5fnk0:66g=56695?=h;jh36<44b2aa6gb>2B?8;5aa2695cd43`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8mkm50;0xZ6gak278oo654478yv5fnk0;6?uQ3`da?85dj10?9;5rs3740?6=:rT99::4=2aa=7co<4;3ef1=n<?;0?nk5+45;93f><,0926>oj6:J703=ii:>1=kl:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:9:951;695?2|,0=969;=;I;5g>hf;=0:jo84i2ceg?7=3`9jjo4>::k1125=931d?noi:088f6efn3:187>50z&:37<3jo1/89758008 <5>2:kn:6F;479me62=9oh<7d::5;29?l22>3:17d::7;29?j>213:17p}vP=561896efn3>>;6s|3bce>5<5sW9hmk523bce>=3>3twi?nl?:087>5<7s-3<>7o=3:&:7<<4il<0D9:9;oc00?7aj11b88;50;9j000=831b5?850;9l36`=831vn:0(4=6:0a05>N3:51g`:?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl>e4;95?2=83:p(49=:`00?!?413;h?<5G4548jd5328lim6g;5483>>o3=?0;66g62783>>i0;o0;66sm12;0>4<2280>w)782;666>N>>j1em>:51g`a?l41nk0:66g=6gf95?=n;hlm6<44i2cea?7=3f838i4>::`1<1b=83?1<7>t$851>1da3->?579i4:&:7<<5=mi0D9:9;oc00?7ajj1b88;50;9j000=831b88950;9j00>=831d48750;9~w70aj3:1>vP=6g`897>3l3>>96s|27dg>5<5sW8=ji52296g>1313ty8mkh50;0xZ6gan27949j54458yv5fnl0;6?uQ3`df?84?212wvn?6;e;390?6=8r.2;?4n229'=6?=:0<729q/5:<54cd8 12>2>2o7)7<9;1ba3=O<=<0bl=;:0daa>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm3b;g>4<3290;w)782;c17>">;008mh84H565?kg4<3;mnk5f44794?=n<<<1<75f93494?=h?:l1<75rb2a;b?7==3:16gb>2B?8;5aa2695ce73`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0g<6=93>1<7>t$851>d443-3857=ne79K0106=4?{%;46?2en2.?84489b9'=6?=9j9:7E:;6:lb71<6nj80e9;::188m1312900e9;8:188m13?2900c5;6:188yg7anj0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e9oln6<4;:183!?0:3k9?6*63882g67<@=>=7co<4;3eg1=n<n7?55;294~">?;0?nk5+45;93=1<,09261=km:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th:i8m51;694?6|,0=96l<<;%;0=?7d;81C8984n`17>4`d>2c?984?::k713<722c2>;4?::m47c<722wi=9=::086>4<2s-3<>7::2:J:2f=ii:>1=km8;h1521<622c8:;;51;9j73012800e>893;39?j56n10:66l<1g:94?3=83:p(49=:5`e?!2313=m=6*63881a5><@=>=7co<4;3eg==n<<16??<1<7;49o218894}r1526<72;qU?;8<;<12b=<3=11v>?i8;296~X49o201>?i8;:6=>{zj:;m57?54;294~">?;0j>>5+92;96`6?3A>?:6`n3582bf?6=44i575>5<5<:482>0}#1>8188<4H84`?kg4<3;mol5f3746>4<2800n>>l9;291?6=8r.2;?4;bg9'01?=0:80(4=6:3g3<>N3:51gaa?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<67794?4|V:<=963<0b;90034?:3y]7304349;o44;579~w601<3:1>vP<6768966d13>>;6s|3745>5<5sW9=:;5231a:>13?3ty8o3=<0;66g;5783>>o>:?0;66a83g83>>{e9<:<6<4::086!?0:3>>>6F66b9me62=9oio7d=<5b82>>o4:?;1=75f3277>4<<7?5;n1:=g<622h854l50;794?6|,0=969li;%67=?12k2.2?44=e1:8L1213gk887?icd9j003=831b88850;9j001=831b88650;9l<0?=831v>=:c;296~X4;76b;661>{t;;<:6=4={_1124=:;03i69;9;|q0702=838pR>=:4:?0=7}Y;;?;70=69c871==z{:32n7>52z\0=k3;187>50z&:37752d2;?M23>2dj?94>fbd8m1322900e9;9:188m<412900c:=i:188yg56i10:6>4?:1y'=24=>o3=?0;66a75883>>{e;8k26<4::183!?0:3>ij6*;488433=#1:31>h>7;I672>hf;=0:ji?4i576>5<5<5<:583>5}#1>81m?=4$81:>7c702B?8;5aa2695cb53`>>97>5;h662?6=3`39:7>5;n50b?6=3th:?o951;795?3|,0=969;=;I;5g>hf;=0:ji=4i3`3::k1f5g=931b>o<;:088k7d7<3;17o0<729q/5:<54cd8 12>2>lm7)7<9;0b`==O<=<0bl=;:0dg0>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|2c2;>5<5sW8i<5522c27>1323ty9n=l50;0xZ7d7j279n=:54448yv4e8h0;6?uQ2c2b?84e8=0?9:5rs3`10?6=:rT9n?:4=3`30?2202wx>o>;:181[4e8=16>o>;:97:?x{e9:h36<4::086!?0:3>>>6F66b9me62=9on>7d>o5j9k1=75f2c07>4<{t:k:j6=4={_0a4d=::k:>69;9;|q1f72=838pR?l=4:?1f53=<<=0q~7}Y:k:i7052z\1f53<5;h;976:9:~f7d7>3;187>50z&:37752`f;?M23>2dj?94>fe58m1322900e9;9:188m<412900c:=i:188yg5di<0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;jk=6<4;:183!?0:3k9?6*63880e`0<@=>=7co<4;3e`<=n<ij6*;4884===#1:31n:>4H565?kg4<3;mhl5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zjl?96<4;:183!?0:3k9?6*6388a35=O<=<0bl=;:0dgf>o3=<0;66g;5783>>o>:?0;66a83g83>>{em=>1=7;50;2x <152=hm7):;9;5:<>">;00i;=5G4548jd5328loo6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{em=?1=7:50;2x <152h887)7<9;`44>N3:51gfg?l22=3:17d::6;29?l?5>3:17b90:684?:1y'=24=3:17d::7;29?l2203:17b6:9;29?xdb;10:694?:1y'=24=i;90(4=6:c53?M23>2dj?94>fed8m1322900e9;9:188m<412900c:=i:188ygc5i3;197>50z&:37<3jo1/897578:8 <5>2k=;7E:;6:lb71<6nl:0e9;::188m1312900e9;8:188m13?2900c5;6:188ygc5j3;187>50z&:3775b628L1213gk887?ie09j003=831b88850;9j=70=831d;>h50;9~f`7c280>6=4?{%;46?2en2.?8448999'=6?=j>:0D9:9;oc00?7am;1b88;50;9j000=831b88950;9j00>=831d48750;9~f`7b280?6=4?{%;46?g5;2.2?44m719K010t$851>1da3->?57968:&:7<4`b<2c?984?::k713<722c?9:4?::k71=<722e3944?::aa47=93>1<7>t$851>d443-3857l80:J703=ii:>1=kk:;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`f46<62<0;6=u+96090g`<,=>26:77;%;0=?d082B?8;5aa2695cc13`>>97>5;h662?6=3`>>;7>5;h6657>5;|`f41<62=0;6=u+9609e75<,0926o9?;I672>hf;=0:jh94i576>5<5<9m6=44}cfe2?7==3:1g173A>?:6`n3582b`>6=44i575>5<5<4H565?kg4<3;mi45f44794?=n<<<1<75f93494?=h?:l1<75rbeg:>4<2290;w)782;6ab>"3<00<555+92;9f26<@=>=7co<4;3ead=n<4<3290;w)782;c17>">;00i;=5G4548jd5328lnn6g;5483>>o3=?0;66g62783>>i0;o0;66smdea95?3=83:p(49=:5`e?!2313=246*6388a35=O<=<0bl=;:0dfg>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smdef95?2=83:p(49=:`00?!?413h<<6F;479me62=9ooo7d::5;29?l22>3:17d7=6;29?j14n3:17plkcg82>0<729q/5:<54cd8 12>2>337)7<9;`44>N3:51ggf?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17plkd182>1<729q/5:<5a318 <5>2k=;7E:;6:lb71<6nll0e9;::188m1312900e4<9:188k25a2900qojl2;391?6=8r.2;?4;bg9'01?=?020(4=6:c53?M23>2dj?94>fg28m1322900e9;9:188m1302900e9;7:188k=3>2900qojl3;390?6=8r.2;?4n229'=6?=j>:0D9:9;oc00?7an81b88;50;9j000=831b5?850;9l36`=831vnil::086>5<7s-3<>7:mf:&70<<0111/5>75b628L1213gk887?if39j003=831b88850;9j001=831b88650;9l<0?=831vnil9:087>5<7s-3<>7o=3:&:7<4`a;2c?984?::k713<722c2>;4?::m47c<722wihl651;794?6|,0=969li;%67=?1>02.2?44m719K010>97>5;h662?6=3`39:7>5;n50b?6=3tho5l4>:483>5}#1>818oh4$56:>2??3-3857l80:J703=ii:>1=kh9;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3tho5o4>:583>5}#1>81m?=4$81:>g173A>?:6`n3582bc16=44i575>5<5<?;0?nk5+45;93<><,0926o9?;I672>hf;=0:jk64i576>5<5<5<?;0j>>5+92;9f26<@=>=7co<4;3eb<=n<ij6*;4884===#1:31n:>4H565?kg4<3;mjl5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zjm296<4;:183!?0:3k9?6*6388a35=O<=<0bl=;:0def>o3=<0;66g;5783>>o>:?0;66a83g83>>{el>>1=7;50;2x <152=hm7):;9;5:<>">;00i;=5G4548jd5328lmo6g;5483>>o3=?0;66g;5683>>o3=10;66a75883>>{el>?1=7:50;2x <152h887)7<9;`44>N3:51gdg?l22=3:17d::6;29?l?5>3:17b9>0:684?:1y'=24=3:17d::7;29?l2203:17b6:9;29?xdc>10:694?:1y'=24=i;90(4=6:c53?M23>2dj?94>fgd8m1322900e9;9:188m<412900c:=i:188ygb213;197>50z&:37<3jo1/897578:8 <5>2k=;7E:;6:lb71<589:0e9;::188m1312900e9;8:188m13?2900c5;6:188ygb2i3;187>50z&:3775b628L1213gk887h50;9~fa2c280>6=4?{%;46?2en2.?8448999'=6?=j>:0D9:9;oc00?478;1b88;50;9j000=831b88950;9j00>=831d48750;9~fa2b280?6=4?{%;46?g5;2.2?44m719K010?3:k710<722c?9;4?::k:63<722et$851>1da3->?57968:&:7<767<2c?984?::k713<722c?9:4?::k71=<722e3944?::a`17=93>1<7>t$851>d443-3857l80:J703=ii:>1>=>:;h661?6=3`>>:7>5;h;12?6=3f=8j7>5;|`g76<62<0;6=u+96090g`<,=>26:77;%;0=?d082B?8;5aa26965613`>>97>5;h662?6=3`>>;7>5;h6657>5;|`g71<62=0;6=u+9609e75<,0926o9?;I672>hf;=09<=94i576>5<5<9m6=44}cf12?7==3:1g173A>?:6`n358145>6=44i575>5<5<4H565?kg4<38;<45f44794?=n<<<1<75f93494?=h?:l1<75rbe3;>4<2290;w)782;6ab>"3<00<555+92;9f26<@=>=7co<4;034d=n<4<3290;w)782;c17>">;00i;=5G4548jd532;:;n6g;5483>>o3=?0;66g62783>>i0;o0;66smd1a95?3=83:p(49=:5`e?!2313=246*6388a35=O<=<0bl=;:323g>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66smd1f95?2=83:p(49=:`00?!?413h<<6F;479me62=:9:o7d::5;29?l22>3:17d7=6;29?j14n3:17pllfg82>0<729q/5:<54cd8 12>2>337)7<9;`44>N3:5212f?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17plk0182>1<729q/5:<5a318 <5>2k=;7E:;6:lb71<589l0e9;::188m1312900e4<9:188k25a2900qomi2;391?6=8r.2;?4;bg9'01?=?020(4=6:c53?M23>2dj?94=0028m1322900e9;9:188m1302900e9;7:188k=3>2900qomi3;390?6=8r.2;?4n229'=6?=j>:0D9:9;oc00?47981b88;50;9j000=831b5?850;9l36`=831vnnk::086>5<7s-3<>7:mf:&70<<0111/5>75b628L1213gk8875<7s-3<>7o=3:&:7<766;2c?984?::k713<722c2>;4?::m47c<722wioi651;794?6|,0=969li;%67=?1>02.2?44m719K010>4:k710<722c?9;4?::k712<722c?954?::m;1<<722wioi751;694?6|,0=96l<<;%;0=?d082B?8;5aa26965723`>>97>5;h662?6=3`39:7>5;n50b?6=3thhoo4>:483>5}#1>818oh4$56:>2??3-3857l80:J703=ii:>1>=?9;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3thhon4>:583>5}#1>81m?=4$81:>g173A>?:6`n35814416=44i575>5<5<?;0?nk5+45;93<><,0926o9?;I672>hf;=09<<64i576>5<5<5<?;0j>>5+92;9f26<@=>=7co<4;035<=n<?;0?nk5+45;93<`<,0926?k?8:J703=ii:>1>=?n;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8?l?51;694?6|,0=96l<<;%;0=?4b811C8984n`17>766j2c?984?::k713<722c2>;4?::m47c<722wi?>6m:086>5<7s-3<>7:mf:&70<<01o1/5>752d2;?M23>2dj?94=00a8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=<8b82>1<729q/5:<5a318 <5>2;o;46F;479me62=:9;o7d::5;29?l22>3:17d7=6;29?j14n3:17pl<1`395?3=83:p(49=:5`e?!2313=i46*63881a5><@=>=7co<4;035`=n<h>7;I672>hf;=09<5<5<9m6=44}c3ba5<62<0;6=u+96090g`<,=>26:hn;%;0=?7fj;1C8984n`17>76582c?984?::k713<722c?9:4?::k71=<722e3944?::a5dc6280?6=4?{%;46?g5;2.2?44>ac08L1213gk887h50;9~f4?4l3;197>50z&:37<3jo1/89757gc8 <5>283;j6F;479me62=:9897d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd61:o1=7:50;2x <152h887)7<9;3:4c=O<=<0bl=;:3217>o3=<0;66g;5783>>o>:?0;66a83g83>>{e;93n6<4::183!?0:3>ij6*;4884<0=#1:31>h>7;I672>hf;=095<5<5<:583>5}#1>818oh4$56:>=633-3857=5:k710<722c?9;4?::k712<722e3944?::a75g7280?6=4?{%;46?g5;2.2?44=e1:8L1213gk887h50;9~f45cm3;197?55z&:37<3=;1C5;m4n`17>765?2c9ni=51;9j6gb22800e?lk4;39?l4e?<0:66a=c3;95?=e:j8j6=4::183!?0:3>ij6*;488;53=#1:31>ohf;=095<5<5<4?:3y]6gb4348h>l4;549~w7dc=3:1>vP=be7897e5i3>>:6s|2cf7>5<5sW8ih9522b0b>1303ty9n:;50;0xZ7d0=279o?o544:8yv4d:00;6?uQ2b0:?84d:h03945r}c0`6g<62:0;6=u+96090g`<,=>265;<;%;0=?4e:l1C8984n`17>76512c?984?::k713<722e3944?::a6f4d280?6=4?{%;46?g5;2.2?44=b3g8L1213gk887h50;9~f65e93;1?7>50z&:37<3jo1/89758378 <5>2;o;46F;479me62=:98i7d::5;29?l22>3:17b6:9;29?xd4;k81=7;50;2x <152=hm7):;9;56f>">;009i=64H565?kg4<38;>n5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:9i?7?54;294~">?;0j>>5+92;96`6?3A>?:6`n358147b6=44i575>5<5<:482>0}#1>8188<4H84`?kg4<38;>h5f1``a>4<51;9l5g552800nN3:5210e?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}>ac`94?4|V8kin63>b2190034;579~w4gc?3:1>vP>ae5894d4;3>>;6s|1ca3>5<5sW;io=521c10>13?3ty:n><50;0xZ4d4:27:n>=584;8yxd6j:>1=7:50;2x <152h887)7<9;3bf7=O<=<0bl=;:3204>o3=<0;66g;5783>>o>:?0;66a83g83>>{e9;i26<4::086!?0:3>>>6F66b9me62=:99:7d?61982>>o61:91=75f1817>4<50;794?6|,0=969li;%67=?1an2.2?44>91d8L1213gk8878;296~X618201<760;661>{t90986=4={_3:76=:903;69;9;|q2=62=838pR<7<4:?2=<6=<<=0q~?n1e83>7}Y9h;o70?691871==z{833j7>52z\2==`<5832<76:9:~f4?>93;187>50z&:3775182e?M23>2dj?94=0218m1322900e9;9:188m<412900c:=i:188yg74;00:684>:4y'=24=<<80D48l;oc00?47;=1b?lhj:088m771l3;17d=nfg82>>o4j9:1=75`237e>4<j7>55;294~">?;0?nk5+45;93c7<,09261>==:;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty8mkk50;0xZ6gam279>8h54478yv46>m0;6?uQ204g?845=o0?9;5rs2ceb?6=:rT8mkh4=306b?22?2wx?o>?:181[5e8916>?;i:57;?xu5:;5:1353A3=o6`n358146090;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;hlm6=4={_1bbc=::;<;69;:;|q0f56=838pR>l?0:?1636=<<<0q~<>b483>7}Y:8h>70<=618712=z{:kmi7>52z\0ecc<5;8=<7::8:p67072909wS<=619>670721?27psm2342>4<3290;w)782;c17>">;00:o>?4H565?kg4<38;?55f44794?=n<<<1<75f93494?=h?:l1<75rb0103?7==3;19v*6738717=O1?i0bl=;:320=>o4ioo1=75f204`>4<8951;9a6730290>6=4?{%;46?2en2.?8448f09'=6?=9j9:7E:;6:lb71<58:k0e9;::188m1312900e9;8:188m13?2900c5;6:188yv5fnl0;6?uQ3`df?845=>0?985rs335g?6=:rT9=;m4=3063?22>2wx?lhi:181[5fno16>?;8:574?xu4j9:1<7;5:<=18864}r0112<72;qU>?;8;<01120<62l?0;39?l46j=0:66g4$81:>4e492B?8;5aa269655d3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q0ec`=838pR>oif:?160>=<7}Y;k:;70<=598713=z{;;i87>52z\15g2<5;8>47::7:p7d`b2909wS=nfd9>673?2=?37p}=24:94?4|V;8>463=24:9<0?8751;694?6|,0=96l<<;%;0=?7d;81C8984n`17>764l2c?984?::k713<722c2>;4?::m47c<722wi=>=::086>4<2s-3<>7::2:J:2f=ii:>1>==j;h1bb`<622c9=;l51;9j7d`a2800e>l?0;39?j45=90:66l=24294?3=83:p(49=:5`e?!2313=m=6*63882g67<@=>=7co<4;037c=n<<8m:181[46>k16>?;?:575?xu4iol1<7;5:<:18894}r1a45<72;qU?o>?;<0115<3=11v?<:0;296~X5:<:01?<:0;:6=>{zj898:7?55;391~">?;0?9?5G97a8jd532;:?<6g::m1607=931i>?;>:186>5<7s-3<>7:mf:&70<<0n91/5>751b12?M23>2dj?94=0538m1322900e9;9:188m1302900e9;7:188k=3>2900q~=nfg83>7}Y;hlm70<=508710=z{:h;<7>52z\0f56<5;8>=7::6:p64d42909wS<>b29>67362=?<7p}N3:52161?l22=3:17d::6;29?l?5>3:17b9">;00:mo<4H565?kg4<38;8>5f44794?=n<<<1<75`84;94?=zj8kij7?54;294~">?;0?nk5+45;93g0<,09261>=:;;h661?6=3`>>:7>5;h663?6=3f2>57>5;|`2ef6=93>1<7>t$851>d443-3857?nb39K010;5:k710<722c?9;4?::k:63<722e9j0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm183g>4<3290;w)782;c17>">;00:5=h4H565?kg4<38;855f44794?=n<<<1<75f93494?=h?:l1<75rb060=?7=<3;18v*6738717=O1?i0bl=;:327=>o4::n1=75f3307>4<>=50;694?6|,0=969li;%67=?>602.2?44=e1:8L1213gk887vP<22f89644;3>>96s|3307>5<5sW99>9523310>1313ty8>?o50;0xZ645i278>>=54458yv55;;0;6?uQ3311?855;:03945r}c377d<62<0:68u+9609004<@0i4>::k066b=931d??=<:088f644<3:197>50z&:37<3jo1/89758078 <5>2;o;46F;479me62=:9>h7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4:;>1<7;4::>188;4}r116d<72;qU??<=d;296~X4:;n01><<4;663>{t;;9o6=4={_117a=:;;9?69;7;|q0665=838pR><<3:?0662=0<30qpl<22795?2=83:p(49=:`00?!?4138n<55G4548jd532;:?h6g;5483>>o3=?0;66g62783>>i0;o0;66sm2cg3>4<2290;w)782;6ab>"3<00?:6`n358141c6=44i575>5<5<6<44i3`g0?7=3`8h>=4>::k1g70=931d>ok=:088f7db:3:197>50z&:37<3jo1/897574c8 <5>2;h9i6F;479me62=:9?;7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu5j>?1<7;5jl8188;4}r0a`1<72;qU>oj;;<0aa7<3=?1v?m=0;296~X5k;:01?lj2;663>{t:j8=6=4={_0`63=::ko969;7;|q1f`4=838pR?lj2:?1f`4=0<30qpl=bd195?2=83:p(49=:`00?!?4138i>h5G4548jd532;:>=6g;5483>>o3=?0;66g62783>>i0;o0;66sm30d`>4<4290;w)782;6ab>"3<003<;5+92;96`6?3A>?:6`n35814046=44i575>5<::k0461=931d?50z&:37<3jo1/897582a8 <5>2;o;46F;479me62=:9??7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu48:81<7;49on188;4}r13<2<72;qU?=68;<12ba<3=?1v>j71;296~X4l1;01>?id;663>{t;99<6=4={_1372=:;8lo69;7;|q05cb=838pR>?id:?05cb=0<30qpl<1gg95?2=83:p(49=:`00?!?4138n<55G4548jd532;:>96g;5483>>o3=?0;66g62783>>i0;o0;66sm35a2>4<>290;w)782;;0g>"3<002?n5+92;976ec3->=m7;4H565?kg4<38;9;5f29f94?=n:??1<75f24d94?=n9:l1<75f15394?=n9=k1<75f15`94?=h0m31<75`8ec94?=zj:?=i7?56;294~"3<00?>?5+92;976ec3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1>=;8;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`00g3=9331<7>t$851><5d3->?577:8:k15<7s->?57:=2:&:7<<4;jn0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94=04;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg53j?0:644?:1y'=24=1:i0(9:6:81`?!?41398oi5+47c91>N3:5217b?l4?l3:17d<95;29?l42n3:17d?ci3:17pl<57195?0=83:p(9:6:501?!?41398oi5+9609e77<,0<=6=7co<4;031g=n:1n1<75f27794?=n:>k1<75f8e294?=n:ho7?59;294~">?;02?n5+45;9=6e<,0926>=ld:&72d<23A>?:6`n358140e5<5<:6=44i06b>5<i6=44o9f:>5<=ld:&:37762l2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?9mi:08:>5<7s-3<>77;j1/5>7532ag?!21i3?0D9:9;oc00?47=l1b>5j50;9j633=831b>8h50;9j56`=831b=9?50;9j51g=831b=9l50;9l50z&70<<3:;1/5>7532ag?!?0:3k9=6*66782`5=#c83:17d<:f;29?j>c13:17pl<4e295??=83:p(49=:81`?!231338o6*638807fb<,=>o5><0;66g=5g83>>o6;o0;66g>4083>>o64c83>>i?l00;66a7d`83>>{e;<=o6<49:183!2313>9>6*638807fb<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=09<;?4i3:g>5<6=44i35b>5<5<264=l;%;0=?54km1/8;o55:J703=ii:>1>=8=;h0;`?6=3`8=97>5;h06b?6=3`;8j7>5;h375?6=3`;?m7>5;h37f?6=3f2o57>5;n:ge?6=3th89:k51;494?6|,=>269<=;%;0=?54km1/5:<5a338 <0128n;7):9a;18L1213gk887;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f62c:3;157>50z&:37<>;j1/897592a8 <5>2:9hh6*;6`86?M23>2dj?94=0768m7>c2900e?8::188m73a2900e<=i:188m4262900e<:n:188m42e2900c5j6:188k=bf2900qo=:7g82>3<729q/89754308 <5>2:9hh6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:3251>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;=n86<46:183!?0:338o6*;488:7f=#1:31?>mk;%65e?3<@=>=7co<4;0323=n:1n1<75f27794?=n:3:1mk;%;46?g592.2:;4>d19'03g=;2B?8;5aa26965003`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th88i:51;;94?6|,0=964=l;%67=??4k2.2?44<3bf8 10f2<1C8984n`17>76102c94i4?::k120<722c99k4?::k27c<722c:8<4?::k20d<722c:8o4?::m;`<<722e3hl4?::a70>6280=6=4?{%67=?25:2.2?44<3bf8 <152h8:7)796;3g4>"3>h087E:;6:lb71<58?30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=;b682><<729q/5:<592a8 12>209h7)7<9;10ga=#c13:17b6ka;29?xd4=?>1=7850;2x 12>2=897)7<9;10ga=#1>81m??4$845>4b73->=m7=4H565?kg4<38;:o5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb26am4$81:>65dl2.?:l4:;I672>hf;=09<;m4i3:g>5<6=44i37e>5<5<j6=44i06a>5<5<:84>:783>5}#<=318?<4$81:>65dl2.2;?4n209'=30=9m:0(98n:29K0109d:k128026=4?{%;46??4k2.?84463b9'=6?=;:io7):9a;78L1213gk887;;50;9j60`=831b=>h50;9j517=831b=9o50;9j51d=831d4i750;9l;96;392?6=8r.?844;239'=6?=;:io7)782;c15>">>?0:h=5+47c97>N3:5214e?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4">;008?nj4$54b>0=O<=<0bl=;:3244>o50m0;66g=6483>>o5=o0;66g>3g83>>o6<80;66g>4`83>>o6>i?lh0;66sm3444>4<1290;w):;9;616>">;008?nj4$851>d463-3=:7?k0:&72d<43A>?:6`n35814275<5<5<:883>5}#1>815>m4$56:><5d3-3857=5;h051?6=3`8>j7>5;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;n:g=?6=3f2om7>5;|`013>=93<1<7>t$56:>1453-3857=5j50;9j633=831b>:o50;9j8h50;9l:mc;39=?6=8r.2;?463b9'01?=1:i0(4=6:21``>"3>h0>7E:;6:lb71<58>>0e?6k:188m7022900e?;i:188m45a2900e<:>:188m42f2900e<:m:188k=b>2900c5jn:188yg52>00:6;4?:1y'01?=<;80(4=6:21``>">?;0j><5+97495a6<,=5G4548jd532;:<96g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm35`g>4<>290;w)782;;0g>"3<002?n5+92;976ec3->=m7;4H565?kg4<38;;;5f29f94?=n:??1<75f24d94?=n9:l1<75f15394?=n9=k1<75f15`94?=h0m31<75`8ec94?=zj:?=m7?56;294~"3<00?>?5+92;976ec3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1>=98;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`00gc=9331<7>t$851><5d3->?57788:k15<7s->?57:=2:&:7<<4;jn0(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94=06;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg53jo0:644?:1y'=24=1:i0(9:6:81`?!?41398oi5+47c91>N3:5215b?l4?l3:17d<95;29?l42n3:17d?ci3:17pl<57a95?0=83:p(9:6:501?!?41398oi5+9609e77<,0<=6=7co<4;033g=n:1n1<75f27794?=n:>k1<75f8e294?=n:h<7?59;294~">?;02?n5+45;9=6e<,0926>=ld:&72d<23A>?:6`n358142e5<5<:6=44i06b>5<i6=44o9f:>5<=ld:&:37760l2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi?9m=:08:>5<7s-3<>77;j1/5>7532ag?!21i3?0D9:9;oc00?47?l1b>5j50;9j633=831b>8h50;9j56`=831b=9?50;9j51g=831b=9l50;9l50z&70<<3:;1/5>7532ag?!?0:3k9=6*66782`5=#c83:17d<:f;29?j>c13:17pl<4b195??=83:p(49=:81`?!231338o6*638807fb<,=>o5><0;66g=5g83>>o6;o0;66g>4083>>o64c83>>i?l00;66a7d`83>>{e;<=;6<49:183!2313>9>6*638807fb<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=09<5?4i3:g>5<6=44i35b>5<5<264=l;%;0=?54km1/8;o55:J703=ii:>1>=6=;h0;`?6=3`8=97>5;h06b?6=3`;8j7>5;h375?6=3`;?m7>5;h37f?6=3f2o57>5;n:ge?6=3th89:?51;494?6|,=>269<=;%;0=?54km1/5:<5a338 <0128n;7):9a;18L1213gk887;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f62d=3;157>50z&:37<>;j1/897592a8 <5>2:9hh6*;6`86?M23>2dj?94=0968m7>c2900e?8::188m73a2900e<=i:188m4262900e<:n:188m42e2900c5j6:188k=bf2900qo=:7382>3<729q/89754308 <5>2:9hh6*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:32;1>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e;=i=6<46:183!?0:338o6*;488:7f=#1:31?>mk;%65e?3<@=>=7co<4;03<3=n:1n1<75f27794?=n:3:1mk;%;46?g592.2:;4>d19'03g=;2B?8;5aa26965>03`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th88n951;;94?6|,0=964=l;%67=??4k2.2?44<3bf8 10f2<1C8984n`17>76?02c94i4?::k120<722c99k4?::k27c<722c:8<4?::k20d<722c:8o4?::m;`<<722e3hl4?::a7013280=6=4?{%67=?25:2.2?44<3bf8 <152h8:7)796;3g4>"3>h087E:;6:lb71<58130e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo=;c982><<729q/5:<592a8 12>209h7)7<9;10ga=#c13:17b6ka;29?xd4=>?1=7850;2x 12>2=897)7<9;10ga=#1>81m??4$845>4b73->=m7=4H565?kg4<38;4o5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb26`=?7=13:1m4$81:>65dl2.?:l4:;I672>hf;=09<5m4i3:g>5<6=44i37e>5<5<j6=44i06a>5<5<;;4>:783>5}#<=318?<4$81:>65dl2.2;?4n209'=30=9m:0(98n:29K0107d:k1;;50;9j60`=831b=>h50;9j517=831b=9o50;9j51d=831d4i750;9l;87;392?6=8r.?844;239'=6?=;:io7)782;c15>">>?0:h=5+47c97>N3:521:e?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd4">;008?nj4$54b>0=O<=<0bl=;:32:4>o50m0;66g=6483>>o5=o0;66g>3g83>>o6<80;66g>4`83>>o6>i?lh0;66sm345;>4<1290;w):;9;616>">;008?nj4$851>d463-3=:7?k0:&72d<43A>?:6`n35814<75<5<5<:883>5}#1>815>m4$56:><5d3-3857=5;h051?6=3`8>j7>5;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;n:g=?6=3f2om7>5;|`012g=93<1<7>t$56:>1453-3857=5j50;9j633=831b>:o50;9j8h50;9l:le;39=?6=8r.2;?463b9'01?=1:i0(4=6:21``>"3>h0>7E:;6:lb71<580>0e?6k:188m7022900e?;i:188m45a2900e<:>:188m42f2900e<:m:188k=b>2900c5jn:188yg52?k0:6;4?:1y'01?=<;80(4=6:21``>">?;0j><5+97495a6<,=5G4548jd532;:296g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1745>4<>290;w)782;;0g>"3<002?n5+92;953043->=m7;4H565?kg4<38;5;5f29f94?=n:??1<75f24d94?=n9:l1<75f15394?=n9=k1<75f15`94?=h0m31<75`8ec94?=zj8<=;7?56;294~"3<00?>?5+92;953043-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1>=78;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2237=9331<7>t$851><5d3->?57768:k15<7s->?57:=2:&:7<<6>3;o<6*;6`80?M23>2dj?94=08;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg71=j0:644?:1y'=24=1:i0(9:6:81`?!?413;=945+47c91>N3:521;b?l4?l3:17d<95;29?l42n3:17d?ci3:17pl>64f95?0=83:p(9:6:501?!?413;=945+9609e77<,0<=6=7co<4;03=g=n:1n1<75f27794?=n:>k1<75f8e294?=n:;7?59;294~">?;02?n5+45;9=6e<,0926<8:4:&72d<23A>?:6`n358145<5<:6=44i06b>5<i6=44o9f:>5<76>l2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=;;=:08:>5<7s-3<>77;j1/5>75176e?!21i3?0D9:9;oc00?471l1b>5j50;9j633=831b>8h50;9j56`=831b=9?50;9j51g=831b=9l50;9l50z&70<<3:;1/5>75176e?!?0:3k9=6*66782`5=#c83:17d<:f;29?j>c13:17pl>65f95??=83:p(49=:81`?!231338o6*6388221g<,=>o5><0;66g=5g83>>o6;o0;66g>4083>>o64c83>>i?l00;66a7d`83>>{e9?>n6<49:183!2313>9>6*6388221g<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=095<6=44i35b>5<5<264=l;%;0=?71<<1/8;o55:J703=ii:>1>=o=;h0;`?6=3`8=97>5;h06b?6=3`;8j7>5;h375?6=3`;?m7>5;h37f?6=3f2o57>5;n:ge?6=3th::9751;494?6|,=>269<=;%;0=?71<<1/5:<5a338 <0128n;7):9a;18L1213gk887;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f403;3;157>50z&:37<>;j1/897592a8 <5>282dj?94=0`68m7>c2900e?8::188m73a2900e<=i:188m4262900e<:n:188m42e2900c5j6:188k=bf2900qo?94582>3<729q/89754308 <5>284$54b>6=O<=<0bl=;:32b1>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9?996<46:183!?0:338o6*;488:7f=#1:31=;=7co<4;03e3=n:1n1<75f27794?=n:3:1d19'03g=;2B?8;5aa26965g03`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th::?j51;;94?6|,0=964=l;%67=??4k2.2?44>63c8 10f2<1C8984n`17>76f02c94i4?::k120<722c99k4?::k27c<722c:8<4?::k20d<722c:8o4?::m;`<<722e3hl4?::a534b280=6=4?{%67=?25:2.2?44>63c8 <152h8:7)796;3g4>"3>h087E:;6:lb71<58h30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo?92982><<729q/5:<592a8 12>209h7)7<9;3560=#c13:17b6ka;29?xd6>;31=7850;2x 12>2=897)7<9;3560=#1>81m??4$845>4b73->=m7=4H565?kg4<38;mo5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb0417?7=13:1m4$81:>40582.?:l4:;I672>hf;=095<6=44i37e>5<5<j6=44i06a>5<5<94>:783>5}#<=318?<4$81:>40582.2;?4n209'=30=9m:0(98n:29K010nd:k1;;50;9j60`=831b=>h50;9j517=831b=9o50;9j51d=831d4i750;9lf;392?6=8r.?844;239'=6?=9?;i7)782;c15>">>?0:h=5+47c97>N3:521ce?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6>831=7750;2x <15209h7):;9;;0g>">;00::<84$54b>0=O<=<0bl=;:32a4>o50m0;66g=6483>>o5=o0;66g>3g83>>o6<80;66g>4`83>>o6>i?lh0;66sm173b>4<1290;w):;9;616>">;00::<84$851>d463-3=:7?k0:&72d<43A>?:6`n35814g75<5<5<:883>5}#1>815>m4$56:><5d3-3857?9109'03g==2B?8;5aa26965d53`83h7>5;h051?6=3`8>j7>5;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;n:g=?6=3f2om7>5;|`2243=93<1<7>t$56:>1453-3857?9109'=24=i;;0(489:0f3?!21i390D9:9;oc00?47j:1b>5j50;9j633=831b>:o50;9j8h50;9l"3>h0>7E:;6:lb71<58k>0e?6k:188m7022900e?;i:188m45a2900e<:>:188m42f2900e<:m:188k=b>2900c5jn:188yg71990:6;4?:1y'01?=<;80(4=6:043g>">?;0j><5+97495a6<,=5G4548jd532;:i96g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm14db>4<>290;w)782;;0g>"3<002?n5+92;950`03->=m7;4H565?kg4<38;n;5f29f94?=n:??1<75f24d94?=n9:l1<75f15394?=n9=k1<75f15`94?=h0m31<75`8ec94?=zj8?mn7?56;294~"3<00?>?5+92;950`03-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1>=l8;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`21c3=9331<7>t$851><5d3->?577m8:k15<7s->?57:=2:&:7<<6=o80(49=:`02?!?1>3;o<6*;6`80?M23>2dj?94=0c;8m7>c2900e?8::188m71f2900e5j?:188m73a2900c5j6:188yg72n90:644?:1y'=24=1:i0(9:6:81`?!?413;>ii5+47c91>N3:521`b?l4?l3:17d<95;29?l42n3:17d?ci3:17pl>5g395?0=83:p(9:6:501?!?413;>ii5+9609e77<,0<=6=7co<4;03fg=n:1n1<75f27794?=n:>k1<75f8e294?=n:?;02?n5+45;9=6e<,0926<;j8:&72d<23A>?:6`n35814ge5<5<:6=44i06b>5<i6=44o9f:>5<76el2c94i4?::k120<722c9;l4?::k;`5<722c99k4?::m;`<<722wi=8k9:08:>5<7s-3<>77;j1/5>7514g0?!21i3?0D9:9;oc00?47jl1b>5j50;9j633=831b>8h50;9j56`=831b=9?50;9j51g=831b=9l50;9l50z&70<<3:;1/5>7514g0?!?0:3k9=6*66782`5=#c83:17d<:f;29?j>c13:17pl>5d395??=83:p(49=:81`?!231338o6*638821ac<,=>o5><0;66g=5g83>>o6;o0;66g>4083>>o64c83>>i?l00;66a7d`83>>{e99>6*638821ac<,0=96l<>;%;52?7c82.?:l4<;I672>hf;=095<6=44i35b>5<5<264=l;%;0=?72l01/8;o55:J703=ii:>1>=m=;h0;`?6=3`8=97>5;h06b?6=3`;8j7>5;h375?6=3`;?m7>5;h37f?6=3f2o57>5;n:ge?6=3th:9ij51;494?6|,=>269<=;%;0=?72l01/5:<5a338 <0128n;7):9a;18L1213gk887;;50;9j62g=831b4i>50;9j60`=831d4i750;9~f43c?3;157>50z&:37<>;j1/897592a8 <5>28?o86*;6`86?M23>2dj?94=0b68m7>c2900e?8::188m73a2900e<=i:188m4262900e<:n:188m42e2900c5j6:188k=bf2900qo?:d982>3<729q/89754308 <5>28?o86*6738b64=#1?<1=i>4$54b>6=O<=<0bl=;:32`1>o50m0;66g=6483>>o5?h0;66g7d183>>o5=o0;66a7d883>>{e9=7co<4;03g3=n:1n1<75f27794?=n:3:1d19'03g=;2B?8;5aa26965e03`83h7>5;h051?6=3`85;h:g4?6=3`8>j7>5;n:g=?6=3th:9nj51;;94?6|,0=964=l;%67=??4k2.2?44>5bc8 10f2<1C8984n`17>76d02c94i4?::k120<722c99k4?::k27c<722c:8<4?::k20d<722c:8o4?::m;`<<722e3hl4?::a50eb280=6=4?{%67=?25:2.2?44>5bc8 <152h8:7)796;3g4>"3>h087E:;6:lb71<58j30e?6k:188m7022900e?9n:188m=b72900e?;i:188k=b>2900qo?:c982><<729q/5:<592a8 12>209h7)7<9;36g0=#c13:17b6ka;29?xd6=j31=7850;2x 12>2=897)7<9;36g0=#1>81m??4$845>4b73->=m7=4H565?kg4<38;oo5f29f94?=n:??1<75f26c94?=n0m:1<75f24d94?=h0m31<75rb07`7?7=13:1m4$81:>43d82.?:l4:;I672>hf;=095<6=44i37e>5<5<j6=44i06a>5<5<o94>:783>5}#<=318?<4$81:>43d82.2;?4n209'=30=9m:0(98n:29K010ld:k1;;50;9j60`=831b=>h50;9j517=831b=9o50;9j51d=831d4i750;9l">>?0:h=5+47c97>N3:521ae?l4?l3:17d<95;29?l40i3:17d6k0;29?l42n3:17b6k9;29?xd6=k31=7750;2x <15209h7):;9;;0g>">;00:9o84$54b>0=O<=<0bl=;:32g4>o50m0;66g=6483>>o5=o0;66g>3g83>>o6<80;66g>4`83>>o6>i?lh0;66sm14`b>4<1290;w):;9;616>">;00:9o84$851>d463-3=:7?k0:&72d<43A>?:6`n35814a75<5<5<n94>:883>5}#1>815>m4$56:><5d3-3857?:b09'03g==2B?8;5aa26965b53`83h7>5;h051?6=3`8>j7>5;h30b?6=3`;?=7>5;h37e?6=3`;?n7>5;n:g=?6=3f2om7>5;|`21g3=93<1<7>t$56:>1453-3857?:b09'=24=i;;0(489:0f3?!21i390D9:9;oc00?47l:1b>5j50;9j633=831b>:o50;9j8h50;9l"3>h0>7E:;6:lb71<58m>0e?6k:188m7022900e?;i:188m45a2900e<:>:188m42f2900e<:m:188k=b>2900c5jn:188yg72j90:6;4?:1y'01?=<;80(4=6:07bg>">?;0j><5+97495a6<,=5G4548jd532;:o96g=8e83>>o5><0;66g=7`83>>o?l90;66g=5g83>>i?l00;66sm1042>4<>290;w)782;;0g>"3<002?n5+92;9543b3->=m7;4H565?kg4<38;h;5f29f94?=n:??1<75f24d94?=n9:l1<75f15394?=n9=k1<75f15`94?=h0m31<75`8ec94?=zj8;=>7?56;294~"3<00?>?5+92;9543b3-3<>7o=1:&:23<6l91/8;o53:J703=ii:>1>=j8;h0;`?6=3`8=97>5;h04e?6=3`2o<7>5;h06b?6=3f2o57>5;|`2ef0=9381<7>t$851>1da3->?576:4:&:7<<6ik80D9:9;oc00?47l11b88;50;9l<0?=831vn<7=3;396?6=8r.2;?4;bg9'01?=0<>0(4=6:0;3b>N3:521f:?l22=3:17b6:9;29?xd6im:1=7<50;2x <152=hm7):;9;:60>">;00:mo<4H565?kg4<38;hl5f44794?=h0<31<75rb0;1`?7=:3:14?7n2B?8;5aa26965be3`>>97>5;n:6=?6=3th:89651;795?3|,0=969;=;I;5g>hf;=09::k0610=931b??:<:088k64fi3;17o=nc383>0<729q/5:<54cd8 12>2>>h7)7<9;0f4==O<=<0bl=;:32g`>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|322e>5<5sW981323ty8?<<50;0xZ656:278mn<54448yv559=4=2c`6?2202wx??on:181[55ih16?lm=:97:?x{e98=o6<4;:087!?0:3>>>6F66b9me62=:9nn7d=nf`82>>o4k;l1=75f3`d`>4<6<44b2age?6=<3:16gb>2B?8;5aa26965ba3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3ty8mko50;0xZ6gai278oio54478yv5d:o0;6?uQ3b0e?85dlh0?9;5rs2ceg?6=:rT8mkm4=2age?22?2wx9>;50;0xZ052349hhl47589~yg760;0:694>:5y'=24=<<80D48l;oc00?47m91b8kj51;9j730?2800e>899;39?j34l3;17o=?cb83>1<729q/5:<54cd8 12>2>l<7)7<9;0f4==O<=<0bl=;:32f5>o3=<0;66g;5783>>o3=>0;66a75883>>{t2wx?;86:181[51>016?=ml:574?xu2;m0;6?uQ52f8966dk32>56srb0626?7=<3;18v*6738717=O1?i0bl=;:32f6>o2;m0:66g<67195?=n=:91=75`31f1>4<54;294~">?;0?nk5+45;9<07<,0926?k?8:J703=ii:>1>=k<;h661?6=3`>>:7>5;h663?6=3f2>57>5;|q67a<72;qU9>j4=22g4?22=2wx?;8<:181[51>:16?=j?:575?xu2;:0;6?uQ5218966c83>>;6s|31f1>5<5sW9;h?5231f3>=3>3twi=9??:087>4<3s-3<>7::2:J:2f=ii:>1>=k;;h70`?7=3`9=:94>::k0231=931d?=m9:088f66d<3:187>50z&:37<3jo1/89757g58 <5>2;o;46F;479me62=:9o>7d::5;29?l22>3:17d::7;29?j>213:17p}:3e83>7}Y=:n01>>l4;661>{t;?897:?04f2=<<=0q~=?c783>7}Y;9i=70=?c58;1<=zuk9j=94>:283>5}#1>818oh4$56:>=623-3857j6:k710<722c?9;4?::m;1<<722wi?5<7s-3<>7:mf:&70<752d2;?M23>2dj?94=0d58m1322900e9;9:188k=3>2900qo?>8582>1<62=q/5:<54408L<0d3gk8870;694?:1y'=24=>o3=?0;66g;5683>>i?=00;66s|4gf94?4|V=lo70=>b68710=z{:<=47>52z\023><5:;i;7::6:p730>2909wS=9689>74d02=?<7p}:3g83>7}Y=:l01>?m7;:6=>{zj8>?;7?55;391~">?;0?9?5G97a8jd532;:nm6g<23295?=n;;8<6<44i207=?7=3`99?l4>::m06d1=931i??o::186>5<7s-3<>7:mf:&70<752d2;?M23>2dj?94=0d`8m1322900e9;9:188m1302900e9;7:188k=3>2900q~==2183>7}Y;;8;70==a48710=z{:89;7>52z\0671<5:8j97::6:p772>2909wS==489>77g22=?<7p}<22c94?4|V:88m63<2`7900>4?:1y'=24=>o3=?0;66a75883>>{e9:336<4::086!?0:3>>>6F66b9me62=:9oo7d;<5;39?l5fnk0:66g5}#1>818oh4$56:>2`63-3857<:d99K010je:k710<722c?9;4?::k712<722c?954?::m;1<<722wx9>;50;0xZ0523483mn4;549~w6gaj3:1>vPfk3>>:6s|3c27>5<5sW9i<95229c`>1303ty8mkj50;0xZ6gal2794lm544:8yv4?ik0;6?uQ29ca?84?ij03945r}c1``=<62:0;6=u+96090g`<,=>265<:;%;0=?5fm?1C8984n`17>76bn2c?984?::k713<722e3944?::a70e4280>6=4?{%;46?2en2.?8448bc9'=6?=:l:37E:;6:lb71<58o:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg520=0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;6<4::183!?0:3>ij6*;4884=4=#1:31>h>7;I672>hf;=095<5<5<m=4>:483>5}#1>818oh4$56:>2?63-3857i3:k710<722c?9;4?::k712<722c?954?::m;1<<722wi?87m:086>5<7s-3<>7:mf:&70<<0181/5>752d2;?M23>2dj?94=0g68m1322900e9;9:188m1302900e9;7:188k=3>2900qo?<8c82>0<62l?3;39?l5e890:66gk4$81:>73ck2B?8;5aa26965`13`>>97>5;h662?6=3`>>;7>5;h6657>5;|q0f54=838pR>l?2:?1<62=<7}Y;k:870<7358713=z{:h;<7>52z\0f56<5;2887::7:p7g662909wS=m009>6=532=?37p}=6gf94?4|V;8;4;391?6=8r.2;?4;bg9'01?=?120(4=6:3g3<>N3:521d;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<65295?3=83:p(49=:5`e?!2313=2=6*63881a5><@=>=7co<4;03b<=n<7c702B?8;5aa26965`f3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`026>=93?1<7>t$851>1da3->?57961:&:7<<5m920D9:9;oc00?47nk1b88;50;9j000=831b88950;9j00>=831d48750;9~f604<3;197>50z&:37<3jo1/89757838 <5>2;o;46F;479me62=:9lh7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4>::1=7;50;2x <152=hm7):;9;5:5>">;009i=64H565?kg4<38;ji5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:<957?55;294~">?;0?nk5+45;93<7<,0926?k?8:J703=ii:>1>=hj;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8:?;51;794?6|,0=969li;%67=?1>92.2?44=e1:8L1213gk8878=1;391?6=8r.2;?4;bg9'01?=?0;0(4=6:3g3<>N3:52023?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<60f95?3=83:p(49=:5`e?!2313=2=6*63881a5><@=>=7co<4;0244=n<7c702B?8;5aa26964653`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0243=93?1<7>t$851>1da3->?57961:&:7<<5m920D9:9;oc00?468:1b88;50;9j000=831b88950;9j00>=831d48750;9~f60693;197>50z&:37<3jo1/89757838 <5>2;o;46F;479me62=:8:?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4>9n1=7;50;2x <152=hm7):;9;5:5>">;009i=64H565?kg4<38:<85f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:<;57?55;294~">?;0?nk5+45;93<7<,0926?k?8:J703=ii:>1><>9;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8:=;51;794?6|,0=969li;%67=?1>92.2?44=e1:8L1213gk887<>069j003=831b88850;9j001=831b88650;9l<0?=831vn>8?1;391?6=8r.2;?4;bg9'01?=?0;0(4=6:3g3<>N3:5202;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<5gf95?3=83:p(49=:5`e?!2313=2=6*63881a5><@=>=7co<4;024<=n<7c702B?8;5aa269646f3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`01c3=93?1<7>t$851>1da3->?57961:&:7<<5m920D9:9;oc00?468k1b88;50;9j000=831b88950;9j00>=831d48750;9~f63a93;197>50z&:37<3jo1/89757838 <5>2;o;46F;479me62=:8:h7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4=ln1=7;50;2x <152=hm7):;9;5:5>">;009i=64H565?kg4<38:?;0?nk5+45;93<7<,0926?k?8:J703=ii:>1><>j;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th89h;51;794?6|,0=969li;%67=?1>92.2?44=e1:8L1213gk887<>0g9j003=831b88850;9j001=831b88650;9l<0?=831vn>;j1;391?6=8r.2;?4;bg9'01?=?0;0(4=6:3g3<>N3:52033?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<5ef95?3=83:p(49=:5`e?!2313=2=6*63881a5><@=>=7co<4;0254=n<7c702B?8;5aa26964753`>>97>5;h662?6=3`>>;7>5;h6657>5;|`01a3=93?1<7>t$851>1da3->?57961:&:7<<5m920D9:9;oc00?469:1b88;50;9j000=831b88950;9j00>=831d48750;9~f63c93;197>50z&:37<3jo1/89757838 <5>2;o;46F;479me62=:8;?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4=jn1=7;50;2x <152=hm7):;9;5:5>">;009i=64H565?kg4<38:=85f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:?j57?55;294~">?;0?nk5+45;93=><,0926?k?8:J703=ii:>1>>:7>5;h663?6=3`>>47>5;n:6=?6=3th89o:51;794?6|,0=969li;%67=?1?02.2?44=e1:8L1213gk887<>169j003=831b88850;9j001=831b88650;9l<0?=831vn>;nf;391?6=8r.2;?4;bg9'01?=?120(4=6:3g3<>N3:5203;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<59f95?3=83:p(49=:5`e?!2313=346*63881a5><@=>=7co<4;025<=n<6d6l2B?8;5aa269647f3`>>97>5;h662?6=3f2>57>5;|`0g4b=9391<7>t$851>1da3->?576?5:&:7<<4j8n0D9:9;oc00?469k1b88;50;9j000=831d48750;9~f6e6j3;1?7>50z&:37<3jo1/89758178 <5>2:h:h6F;479me62=:8;h7d::5;29?l22>3:17b6:9;29?xd4;991=7:50;2x <152=hm7):;9;554>">;009i=64H565?kg4<38:=i5f44794?=n<<<1<75f44594?=h0<31<75rb00fo6n;l1=75f3`df>4<c238L1213gk887<>1g9j003=831b88850;9j001=831d48750;9~w4`5n3:1>vP>f3d8977?=3>>96s|3`df>5<5sW9jjh5220:6>1313ty8n=?50;0xZ6d79279=5;54458yv7a;=0;6?uQ1g17?8460<03945r}c31a0<62=0:69u+9609004<@0::m2b7`=931i><;l:187>5<7s-3<>7:mf:&70<<0n?1/5>751b12?M23>2dj?94=1338m1322900e9;9:188m1302900c5;6:188yv42>90;6?uQ2443?846=j0?985rs2a`b?6=:rT8onh4=336g?22>2wx?nj;:181[5dl=16><;l:574?xu6n;l1<7;591=7:t$851>1353A3=o6`n35815744>::mee7<622hmm=4?:583>5}#1>818oh4$56:>=7>3-3857l80:J703=ii:>1><<<;h661?6=3`>>:7>5;h663?6=3f2>57>5;|qe5f<72;qUj1323ty8mkk50;0xZ6gam27mm=4;579~w6d7;3:1>vP7}Ynh801ko?:97:?x{e9;226<4;:087!?0:3>>>6F66b9me62=:88?7dh>c;39?l5e8:0:66go3=<0;66g;5783>>o3=>0;66a75883>>{tn8i1<7>96s|3c20>5<5sW9i<>52f3f9000212wvn<<76;390?7=:0D9:9;oc00?46:>1b88;50;9j000=831b88950;9l<0?=831vk?n:181[`6i27m=94;549~w6ga;3:1>vP;a9=03945r}c31<0<62=0:69u+9609004<@04<7>54;294~">?;0?nk5+45;9<4><,0926o9?;I672>hf;=09=?74i576>5<5<4?:3y]7g6434l:>7::6:p7d`b2909wS=nfd9>b44=<<=0q~h>b;296~Xa9k16j<<584;8yxd6==31=7;51;7x <152=?97E79c:lb71<59;k0e>j72;39?l42l?0:66g5}#1>818oh4$56:>2`b3-3857=m1e9K010;4j=l18884}r1a74<72;qU?o=>;<1a0c<3=>1v>lj4;296~X4jl>01>l;f;66<>{t;k886=4={_1a66=:;k>m65;6;|a65b>28086=4?{%;46?2en2.?8447079'=6?=9j9:7E:;6:lb71<59;i0e9;::188m1312900c5;6:188yg73810:684>:4y'=24=<<80D48l;oc00?46:m1b?>?<:088m657l3;17d=<0882>>o4;9=1=75`3116>4<55;294~">?;0?nk5+45;9<43<,0926?k?8:J703=ii:>1><>:7>5;h663?6=3`>>47>5;n:6=?6=3ty8?<=50;0xZ656;278<>=54478yv548m0;6?uQ322g?857;:0?9;5rs213=?6=:rT8?=74=2207?22?2wx?>>8:181[548>16?==<:57;?xu48:?1<7;48:914874}|`0g7>=9391<7>t$851>1da3->?576?5:&:7<<4j8n0D9:9;oc00?46:o1b88;50;9j000=831d48750;9~f6e5>3;1?7>50z&:37<3jo1/89758178 <5>2:h:h6F;479me62=:89;7d::5;29?l22>3:17b6:9;29?xd4k;>1=7=50;2x <152=hm7):;9;:31>">;008n?;0?nk5+45;9<15<,0926?k?8:J703=ii:>1><==;h661?6=3`>>:7>5;n:6=?6=3th:=5k51;695?2|,0=969;=;I;5g>hf;=09=>=4i2ceg?7=3`9jjl4>::k0ecd=931d99l51;9a7f53290?6=4?{%;46?2en2.?84471e9'=6?=;ho=7E:;6:lb71<59:>0e9;::188m1312900e9;8:188k=3>2900q~=nfb83>7}Y;hlh70=l358710=z{:kmm7>52z\0ecg<5:i887::6:p7d`e2909wS=nfc9>7f532=?<7p}:4c83>7}Y==h01>m<4;:6=>{zj8;<87?54;390~">?;0?9?5G97a8jd532;;896g<29c95?=n;;=h6<44i207g?7=3f?9m7?5;c17b0<72=0;6=u+96090g`<,=>265=9;%;0=?54km1C8984n`17>774>2c?984?::k713<722c?9:4?::m;1<<722wx??6n:181[550h16?9h::576?xu4:>i1<7;41v8<1=7;50;2x <152=hm7):;9;:0<>">;009i=64H565?kg4<38:?:5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:;<87?55;294~">?;0?nk5+45;93f0<,0926?k?8:J703=ii:>1><=7;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8;>651;194?6|,0=969li;%67=?>5=2.2?44=e1:8L1213gk887<>389j003=831b88850;9l<0?=831vn<=83;390?7=>o3=?0;66g;5683>>i?=00;66s|52794?4|V<9>70=ldb8710=z{:kmn7>52z\0ecd<5:ioo7::6:p7d`c2909wS=nfe9>7fbd2=?<7p}=57294?4|V;?=<63hf;=09=>m4i2a1b?7=3`9jjo4>::k0ece=931d9>>51;9a7f5>290?6=4?{%;46?2en2.?8448f79'=6?=;ho=7E:;6:lb71<59:n0e9;::188m1312900e9;8:188k=3>2900q~=l2g83>7}Y;j8m70=l388710=z{:kmn7>52z\0ecd<5:i857::6:p7d`d2909wS=nfb9>7f5>2=?<7p}:3183>7}Y=::01>m<9;:6=>{zj89?n7?54;390~">?;0?9?5G97a8jd532;;8i6g=57795?=nj?31=75f3bae>4<:;7?5;c`53?6=<3:1d2c3A>?:6`n358156`6=44i575>5<5<:84?:3y]600234h=;7::5:pf3?=838pRo86;<`53?22>2wx?nmi:181[5dko16n;954458yv439>0;6?uQ2534?8d1?32>56srb3c:e?7==3:173c02B?8;5aa26964273`>>97>5;h662?6=3`>>;7>5;h6657>5;|`1e<5=93?1<7>t$851>1da3->?579;a:&:7<<5=m20D9:9;oc00?46<81b88;50;9j000=831b88950;9j00>=831d48750;9~f7g0k3;197>50z&:37<3jo1/897575c8 <5>2;?o46F;479me62=:8>97d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd5i<>1=7;50;2x <152=hm7):;9;57e>">;0099i64H565?kg4<38:8>5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj88nh7?55;391~">?;0?9?5G97a8jd532;;?86g::m2b6g=931i>?=k:186>5<7s-3<>7:mf:&70<<0n81/5>751b12?M23>2dj?94=1578m1322900e9;9:188m1302900e9;7:188k=3>2900q~=m0083>7}Y;k::70<=3e8710=z{:kmi7>52z\0ecc<5;88h7::6:p7g672909wS=m019>675c2=?<7p}4?:1y'=24=>o3=?0;66a75883>>{e9<>j6<4::086!?0:3>>>6F66b9me62=:8><7d=m1g82>>o4k9l1=75f3c14>4<792.2?44499j003=831b88850;9j001=831b88650;9l<0?=831v>l>f;296~X4j8l01>ln8;661>{t;j:m6=4={_1`4c=:;kk369;9;|q0f61=838pR>l<7:?0fd>=<<=0q~=meb83>7}Y;koh70=ma9871==z{:h987>52z\0f72<5:hj476:9:~f433j3;197?55z&:37<3=;1C5;m4n`17>77312c8o<:51;9j7g?62800e>lij6*;488;7c=#1:31?o?k;I672>hf;=09=9o4i576>5<5<5<93:1>vP>:6s|3c1f>5<5sW9i?h523cc7>1303ty8nk=50;0xZ6da;278nl:544:8yv5e:<0;6?uQ3c06?85ei=03945r}c3600<62<0:68u+9609004<@0::k0fc?=931d?o?j:088f6df:3:197>50z&:37<3jo1/89757g78 <5>2:h:h6F;479me62=:8>h7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4j;;1<7;4jh8188;4}r1a65<72;qU?ol;4;296~X4j=>01>ln2;663>{t;kl26=4={_1ab<=:;kk969;7;|q0f4c=838pR>l>e:?0fd4=0<30qpl>31195?3=93?p(49=:571?M?1k2dj?94=15f8m771>3;17d<>6682>>o59?21=75f204:>4<265=j;%;0=?7d;81C8984n`17>773m2c?984?::k713<722c?9:4?::k71=<722e3944?::p64012909wS<>679>651a2=?>7p}=17594?4|V;;=;63=06d9000vP=17;89760n3>>46s|21:2>5<5sW8;4<52215e>=3>3twi=>ol:086>4<2s-3<>7::2:J:2f=ii:>1><:i;h0:=`<622c8h9851;9j7d`b2800e>oif;39?j4f<:0:66l=a5394?3=83:p(49=:5`e?!23132?46*63881=7co<4;0215=n<l:>:575?xu4ioo1<7;5i=;18894}r1bbc<72;qU?lhi;<0b04<3=11v?o;3;296~X5i=901?o;1;:6=>{zj88n:7?54;390~">?;0?9?5G97a8jd532;;>=6g::`161g=83>1<7>t$851>1da3->?576<6:&:7<<6k:;0D9:9;oc00?46=;1b88;50;9j000=831b88950;9l<0?=831v>oif;296~X4iol01?<;a;661>{t;k:;6=4={_1a45=::;>j69;9;|q0ecc=838pR>oie:?161g=<<=0q~?i3083>7}Y9o9:70<=4`8;1<=zuk9?ik4>:283>5}#1>818oh4$56:>=623-3857=4<3s-3<>7::2:J:2f=ii:>1><;;;h11:m51;9j772d2800c850z&:37<3jo1/89758538 <5>2:9hh6F;479me62=:8?>7d::5;29?l22>3:17d::7;29?j>213:17p}<29c94?4|V:83m63<4d69003vP<25a8962b<3>>;6s|53f94?4|V<8o70=;e58;1<=zuk9:>;4>:483>5}#1>818oh4$56:>2343-38575<7s-3<>7:mf:&70<<0k?1/5>752d2;?M23>2dj?94=1458m1322900e9;9:188m1302900e9;7:188k=3>2900qo?>7d82>0<62599j12g=931b?>m9:088m662j3;17d=96`82>>i2;>0:66l<0c094?3=83:p(49=:5`e?!231328h6*63881a5><@=>=7co<4;021<=n<5<5sW?vP<04`8966e:3>>;6s|374b>5<5sW9=:l5231`1>13?3ty>?:4?:3y]161<5::i>76:9:~f45?n3;197?55z&:37<3=;1C5;m4n`17>772i2c9:kl51;9j63`c2800e>oif;39?l5fnl0:66a=82;95?=e:19j6=4::183!?0:3>ij6*;4884b6=#1:31>8jl;I672>hf;=09=8l4i576>5<5<5<vP=6gf897>4i3>>:6s|3`de>5<5sW9jjk52291b>1303ty8mkk50;0xZ6gam2794>o544:8yv4?;00;6?uQ291:?84?;h03945r}c300d<62<0:68u+9609004<@04<6=4?{%;46?2en2.?8448fg9'=6?=:hh:7E:;6:lb71<59vP:349>6g4d2=?>7p}n4;569~w6edn3:1>vP>46s|2535>5<5sW8?=;522c0`>=3>3twi?5;8:086>5<7s-3<>7:mf:&70<<01o1/5>752d2;?M23>2dj?94=14g8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=76682>0<729q/5:<54cd8 12>2>io7)7<9;0f4==O<=<0bl=;:336b>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm15a4>4<2280>w)782;666>N>>j1em>:52043?l54=80:66g<32395?=n;:?36<44i2064?7=3f93;=4>::`0<3c=83?1<7>t$851>1da3->?579:c:&:7<<5m920D9:9;oc00?46>81b88;50;9j000=831b88950;9j00>=831d48750;9~w65293:1>vP<343896>1m3>>96s|3212>5<5sW98?<52394f>1313ty8?8650;0xZ65202784;k54458yv55=90;6?uQ3373?85?>l0?955rs2:44?6=:rT84:>4=2:5a?>212wvn>6:a;391?6=8r.2;?4;bg9'01?=?0l0(4=6:3g3<>N3:52041?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<97695?3=83:p(49=:5`e?!2313=346*63881a5><@=>=7co<4;0226=n<7c702B?8;5aa26964033`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0=0e=93?1<7>t$851>1da3->?5796f:&:7<<5m920D9:9;oc00?46><1b88;50;9j000=831b88950;9j00>=831d48750;9~f6?e83;197>50z&:37<3jo1/897579:8 <5>2;o;46F;479me62=:8<=7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd41k<1=7;50;2x <152=hm7):;9;5f3>">;009i=64H565?kg4<38:::5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:3j47?55;294~">?;0?nk5+45;93<`<,0926?k?8:J703=ii:>1><87;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8=92.2?44=e1:8L1213gk887<>689j003=831b88850;9j001=831b88650;9l<0?=831vn>?>8;391?6=8r.2;?4;bg9'01?=?0;0(4=6:3g3<>N3:5204b?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<10095?3=83:p(49=:5`e?!2313=2=6*63881a5><@=>=7co<4;022g=n<7c702B?8;5aa269640d3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`204g=93?1=7;t$851>1353A3=o6`n358153b>7?5;h77b?7=3`?=47?5;h11b2<622e8==651;9a7461290>6=4?{%;46?2en2.?84485b9'=6?=:l:37E:;6:lb71<59?o0e9;::188m1312900e9;8:188m13?2900c5;6:188yv57=;0;6?uQ3171?8568?0?985rs46e>5<5sW??j63<114900052z\62==:;8:=69;8;|q06c1=838pR>0983>7}Y;8:370=>078;1<=zuk9:<=4>:483>5}#1>818oh4$56:>2?63-38575<7s-3<>7:mf:&70<<0181/5>752d2;?M23>2dj?94=1628m1322900e9;9:188m1302900e9;7:188k=3>2900qo=?f582>0<729q/5:<54cd8 12>2>3:7)7<9;0f4==O<=<0bl=;:3345>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm31gf>4<2290;w)782;6ab>"3<00<5<5+92;96`6?3A>?:6`n35815246=44i575>5<5<54>::k147?=931d=k==:088f76703:197>50z&:37<3jo1/89757gd8 <5>28i8=6F;479me62=:8=?7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu6no:1<7;5892188;4}r0226<72;qU><8<;<034=<3=?1v?>=8;296~X58;201?>?8;663>{t:9826=4={_036<=::9:369;7;|q2b64=838pR=0<30qpl<9ba95?3=83:p(49=:5`e?!2313=346*63881a5><@=>=7co<4;0230=n<7c702B?8;5aa26964113`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0=f2=93?1<7>t$851>1da3->?5796f:&:7<<5m920D9:9;oc00?46?>1b88;50;9j000=831b88950;9j00>=831d48750;9~f6?b03;197>50z&:37<3jo1/897579:8 <5>2;o;46F;479me62=:8=37d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd41lo1=7;50;2x <152=hm7):;9;5f3>">;009i=64H565?kg4<38:;45f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:3n<7?55;294~">?;0?nk5+45;93<`<,0926?k?8:J703=ii:>1><9n;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8m<851;794?6|,0=969li;%67=?1?02.2?44=e1:8L1213gk887<>7c9j003=831b88850;9j001=831b88650;9l<0?=831vn>o<6;391?6=8r.2;?4;bg9'01?=?120(4=6:3g3<>N3:5205`?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<@=>=7co<4;023a=n<7c702B?8;5aa269641b3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0e3e=93?1<7>t$851>1da3->?579j7:&:7<<5m920D9:9;oc00?46?o1b88;50;9j000=831b88950;9j00>=831d48750;9~f611i3;197>50z&:37<3jo1/89757d58 <5>2;o;46F;479me62=:82;7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd691?1=7:51;6x <152=?97E79c:lb71<591;0e9hk:088m60113;17d=96982>>i2<80:66l<0d194?2=83:p(49=:5`e?!2313=m;6*63881a5><@=>=7co<4;02<7=n<;48l9188;4}r152<<72;qU?;86;<13a6<3=?1v>898;296~X4>?201>>j3;663>{t==;1<74<5}#1>818oh4$56:>2`13-3857899;296~X4>?301>>k8;662>{t;?<36=4={_152==:;9n369;8;|q66`<72;qU9?k4=22g212wvn<:?e;390?7=>m8;39?g57j?0;694?:1y'=24=>o3=?0;66g;5683>>i?=00;66s|32`e>5<5sW98nk5231`5>1323ty8:;=50;0xZ601;278vP:329>75d12=?<7p}<0c:94?4|V::i463<0c49<0?hf;=09=594i21ab?7=3`9=:;4>::k66c<622e851;9a75gb290?6=4?{%;46?2en2.?8447349'=6?=:l:37E:;6:lb71<59120e9;::188m1312900e9;8:188k=3>2900q~=7}Y;:hm70=?ad8710=z{:<=:7>52z\0230<5::ji7::6:p17`=838pR81v>>m0;296~X48k:01>>ne;:6=>{zj8>8>7?54;390~">?;0?9?5G97a8jd532;;356g<67695?=n;?<>6<44i2457?7=3f9:h>4>::`05a7=83>1<7>t$851>1da3->?579i7:&:7<<5m920D9:9;oc00?460h1b88;50;9j000=831b88950;9l<0?=831v>894;296~X4>?>01>?k1;661>{t;?<>6=4={_1520=:;8n:69;9;|q0235=838pR>893:?05a7=<<=0q~=>d283>7}Y;8n870=>d08;1<=zuk;9il4>:482>0}#1>8188<4H84`?kg4<38:4o5f1gd3>4<?b;291?6=8r.2;?4;bg9'01?=09:0(4=6:0a05>N3:520:`?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}>fg294?4|V8lm<63=01`900344?:3y]654>348;vP=1ca89767j3>>;6s|210;>5<5sW8;>552212a>13?3ty:j>850;0xZ4`4>279<=l584;8yxd6;:;1=7;51;7x <152=?97E79c:lb71<591n0e??67;39?l46110:66g=18;95?=n:83j6<44o3011?7=3k89>>4?:483>5}#1>818oh4$56:>=5b3-3857?l309K010<78:181[461>16>?<<:576?xu59021<7;5:;918884}r02=<<72;qU><76;<0166<3=>1v??6a;296~X590k01?<=3;66<>{t:;8>6=4={_0160=::;8865;6;|a564c280>6<4:{%;46?22:2B2:n5aa26964>a3`8:4:4>::k15=>=931b><66:088m77?i3;17b<=1382>>d5:8:1<7;50;2x <152=hm7):;9;:0a>">;00:o>?4H565?kg4<38:5=5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{;;3;7>52z\15=1<5;8:<7::5:p64>?2909wS<>899>67772=?=7p}=19;94?4|V;;3563=2029001f3489==4;599~w746:3:1>vP=20089746832>56srb011=?7==3;19v*6738717=O1?i0bl=;:33:5>o59<81=75f2070>4<87?5;h0210<622e9=kh51;9a64`c290>6=4?{%;46?2en2.?84473d9'=6?=9j9:7E:;6:lb71<59080e9;::188m1312900e9;8:188m13?2900c5;6:188yv46=;0;6?uQ2071?846nm0?985rs3367?6=:rT9=8=4=33e`?22>2wx><;;:181[46==16>;59on18864}r02bc<72;qU>7`82>1<62=q/5:<54408L<0d3gk887<>929j73042800e>896;39?l51><0:66a:3082>>d49k31<7:50;2x <152=hm7):;9;5e3>">;009i=64H565?kg4<38:595f44794?=n<<<1<75f44594?=h0<31<75rs2457?6=:rT8:;=4=23a=?22=2wx?;89:181[51>?16???1<7;49k318894}r705?6=:rT>?<5230`:>=3>3twi?:6;:086>5<7s-3<>7:mf:&70<<0m>1/5>752d2;?M23>2dj?94=1878m1322900e9;9:188m1302900e9;7:188k=3>2900qo?;a182>0<62979j773c2800e>=:4;39?l55=:0:66g<34195?=h;>2i6<44b25;=?6==3:17c702B?8;5aa26964?03`>>97>5;h662?6=3`>>;7>5;h6657>5;|q060b=838pR><:d:?03=?=<7}Y;:??70=8888713=z{:8>?7>52z\0605<5:=357::7:p76342909wS=<529>72>>2=?37p}<79`94?4|V:=3n63<79;9<0?i2.2?44=e1:8L1213gk887<>999j003=831b88850;9j001=831b88650;9l<0?=831vn>9n0;391?6=8r.2;?4;bg9'01?=?l=0(4=6:3g3<>N3:520;:?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<78;95?3=83:p(49=:5`e?!2313=2m6*63881a5><@=>=7co<4;02=d=n<7c702B?8;5aa26964?e3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`20dg=93?1=7;t$851>1353A3=o6`n35815m7?5;h1011<622c8>8;51;9j76342800c>9l3;39?g50k80;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;;?j6=4={_111d=:;>i:69;:;|q0702=838pR>=:4:?03f7=<<<0q~==5483>7}Y;;?>70=8c08712=z{:9>?7>52z\0705<5:=h=7::8:p72e42909wS=8c29>72e621?27psm36`6>4<2290;w)782;6ab>"3<00<5l5+92;96`6?3A>?:6`n358156=44i575>5<5<26:k8;%;0=?4b811C8984n`17>77>n2c?984?::k713<722c?9:4?::k71=<722e3944?::a72ec280>6=4?{%;46?2en2.?84489`9'=6?=:l:37E:;6:lb71<59h:0e9;::188m1312900e9;8:188m13?2900c5;6:188yg50mj0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;>o?6<4::183!?0:3>ij6*;4884=d=#1:31>h>7;I672>hf;=09=l<4i576>5<5<5<4>:483>5}#1>818oh4$56:>2c03-38575<7s-3<>7:mf:&70<<01h1/5>752d2;?M23>2dj?94=1`68m1322900e9;9:188m1302900e9;7:188k=3>2900qo=71`82>0<729q/5:<54cd8 12>2>o<7)7<9;0f4==O<=<0bl=;:33b1>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm3931>4<2290;w)782;6ab>"3<00<5l5+92;96`6?3A>?:6`n35815d06=44i575>5<5<26:k8;%;0=?4b811C8984n`17>77f?2c?984?::k713<722c?9:4?::k71=<722e3944?::a7=4>280>6=4?{%;46?2en2.?84489`9'=6?=:l:37E:;6:lb71<59h20e9;::188m1312900e9;8:188m13?2900c5;6:188yg76?10:694>:5y'=24=<<80D48l;oc00?46i01b?;8::088m601<3;17d=96282>>i2:o0:66l<3bc94?2=83:p(49=:5`e?!23132?=6*63881a5><@=>=7co<4;02ed=n<52z\0233<5:9hm7::5:p73032909wS=9659>76ef2=?=7p}<67194?4|V:<=?63<3bc900152z\66c=:;:ij65;6;|a513c280>6<4:{%;46?22:2B2:n5aa26964ge3`9=::4>::k023g=931b?;86:088m60103;17b=>d4;j:1<7;50;2x <152=hm7):;9;:34>">;009i=64H565?kg4<38:mn5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:<=;7>52z\0231<5:9h<7::5:p730f2909wS=96`9>76e72=?=7p}<67;94?4|V:<=563<3b29001vP<3cd8965d832>56srb2:77c702B?8;5aa26964gc3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0<16=93?1<7>t$851>1da3->?5796a:&:7<<5m920D9:9;oc00?46il1b88;50;9j000=831b88950;9j00>=831d48750;9~f6>?93;197>50z&:37<3jo1/89757d58 <5>2;o;46F;479me62=:8km7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd40>h1=7;50;2x <152=hm7):;9;5f3>">;009i=64H565?kg4<38:n=5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:2247?55;294~">?;0?nk5+45;93`1<,0926?k?8:J703=ii:>1>;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th844>51;794?6|,0=969li;%67=?1>i2.2?44=e1:8L1213gk887<>b39j003=831b88850;9j001=831b88650;9l<0?=831vn>6nf;391?6=8r.2;?4;bg9'01?=?l=0(4=6:3g3<>N3:520`0?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<8`595?3=83:p(49=:5`e?!2313=2m6*63881a5><@=>=7co<4;02f1=n<7c702B?8;5aa26964d23`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0t$851>1da3->?5796a:&:7<<5m920D9:9;oc00?46j?1b88;50;9j000=831b88950;9j00>=831d48750;9~f6>cl3;197>50z&:37<3jo1/89757d58 <5>2;o;46F;479me62=:8h<7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd40m?1=7;50;2x <152=hm7):;9;5:e>">;009i=64H565?kg4<38:n55f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:2m87?55;294~">?;0?nk5+45;93`1<,0926?k?8:J703=ii:>1>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8=h651;194?6|,0=969li;%67=?>2;2.2?44=e1:8L1213gk887<>b`9j003=831b88850;9l<0?=831vn<::c;391?7==r.2;?4;539K=3e3;17d=96482>>i4;ki1=75m32`g>5<2290;w)782;6ab>"3<003<=5+92;96`6?3A>?:6`n35815ge6=44i575>5<5<894;296~X4>?>01>=md;662>{t;?<=6=4={_1523=:;:ho69;8;|q0233=838pR>895:?07gb=<<20q~=7}Y;:hh70=:483>5}#1>818oh4$56:>2353-3857m:086>5<7s-3<>7:mf:&70<<0m>1/5>752d2;?M23>2dj?94=1cg8m1322900e9;9:188m1302900e9;7:188k=3>2900qo=60282>0<729q/5:<54cd8 12>2>3j7)7<9;0f4==O<=<0bl=;:33ab>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm383f>4<2290;w)782;6ab>"3<00?:6`n35815f66=44i575>5<5<26:7n;%;0=?4b811C8984n`17>77d92c?984?::k713<722c?9:4?::k71=<722e3944?::a7<52280>6=4?{%;46?2en2.?8448e69'=6?=:l:37E:;6:lb71<59j80e9;::188m1312900e9;8:188m13?2900c5;6:188yg5>:m0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;0>h6<4::183!?0:3>ij6*;4884a2=#1:31>h>7;I672>hf;=09=n:4i576>5<5<5<:483>5}#1>818oh4$56:>2?f3-38575<7s-3<>7:mf:&70<<0m>1/5>752d2;?M23>2dj?94=1b48m1322900e9;9:188m1302900e9;7:188k=3>2900qo=67682>0<729q/5:<54cd8 12>2>3j7)7<9;0f4==O<=<0bl=;:33`3>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66sm38;5>4<2290;w)782;6ab>"3<00?:6`n35815f>6=44i575>5<5<26:7n;%;0=?4b811C8984n`17>77d12c?984?::k713<722c?9:4?::k71=<722e3944?::a77ea280?6=4?{%;46?2en2.?8448cb9'=6?=:l:37E:;6:lb71<59jk0e9;::188m1312900e9;8:188k=3>2900qo==f082>1<729q/5:<54cd8 12>2>ih7)7<9;0f4==O<=<0bl=;:33`f>o3=<0;66g;5783>>o3=>0;66a75883>>{e;;om6<4;:183!?0:3>ij6*;4884gf=#1:31>h>7;I672>hf;=09=nm4i576>5<5<26:ml;%;0=?4b811C8984n`17>77dl2c?984?::k713<722c?9:4?::m;1<<722wi??km:087>5<7s-3<>7:mf:&70<<0kj1/5>752d2;?M23>2dj?94=1bg8m1322900e9;9:188m1302900c5;6:188yg55km0:694?:1y'=24=>o3=?0;66g;5683>>i?=00;66sm361`>4<2290;w)782;6ab>"3<00<455+92;96`6?3A>?:6`n35815a66=44i575>5<5<26:k8;%;0=?4b811C8984n`17>77c92c?984?::k713<722c?9:4?::k71=<722e3944?::a7256280>6=4?{%;46?2en2.?84489c9'=6?=:l:37E:;6:lb71<59m80e9;::188m1312900e9;8:188m13?2900c5;6:188yg76000:684>:4y'=24=<<80D48l;oc00?46l:1b8kj51;9j76da2800e>898;39?l51>00:66a:4782>>d49kh1<7;50;2x <152=hm7):;9;:34>">;009i=64H565?kg4<38:h95f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{=lo6=4={_6e`>;49kh188;4}r10fc<72;qU?>li;<12fg<3=?1v>898;296~X4>?201>?mb;663>{t;?<26=4={_152<=:;8hi69;7;|q603<72;qU9984=23af?>212wvn>?md;390?6=8r.2;?4;bg9'01?=?oi0(4=6:3g3<>N3:520f6?l22=3:17d::6;29?l22?3:17b6:9;29?xd69o:1=7;51;7x <152=?97E79c:lb71<59m<0e>9;2;39?l3dj3;17d=<6282>>o4;?;1=75`67095?=e;>9m6=4::183!?0:3>ij6*;4884g2=#1:31>h>7;I672>hf;=09=i94i576>5<5<5<7}Y;:<870=83g8712=z{:9==7>52z\0737<5:=8j7::8:p234=838pR;8=;<147c0<62d99j615c2800e>oia;39?l5fnk0:66g6gb>2B?8;5aa26964b>3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q106b=838pR?:7}Y;hlj70=la18713=z{:kmn7>52z\0ecd<5:ij<7::7:p7d`d2909wS=nfb9>7fg72=?37p}63hf;=09=io4i360e?7=3`9jjl4>::k0ecd=931b?lhl:088k6e>=3;17o=l9283>0<729q/5:<54cd8 12>2>lj7)7<9;1ba3=O<=<0bl=;:33gf>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|251b>5<5sW8??l523b;0>1323ty8mko50;0xZ6gai278o4=54448yv5fnk0;6?uQ3`da?85d1:0?9:5rs2ceg?6=:rT8mkm4=2a:7?2202wx?n7::181[5d1<16?n7<:97:?x{e9<=86<4::086!?0:3>>>6F66b9me62=:8nh7d=neb82>>o4iok1=75f3`da>4<de9j003=831b88850;9j001=831b88650;9l<0?=831v>ojc;296~X4ili01>m;a;661>{t;hlj6=4={_1bbd=:;j>j69;9;|q0ecd=838pR>oib:?0g1g=<<=0q~=nfb83>7}Y;hlh70=l4`871==z{:i?o7>52z\0g1e<5:i?m76:9:~f435>3;197?55z&:37<3=;1C5;m4n`17>77cm2c>j84>::k0=c3=931b?i7i:088m02c2800c>o?d;39?g5f8k0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t=o?1<72wx?i7i:181[5c1o16?l>m:574?xu2>46s|3`2g>5<5sW9j=3>3twi=84<2s-3<>7::2:J:2f=ii:>1>::k0`d6=931b99j51;9l7d552800n>o<0;291?6=8r.2;?4;bg9'01?=08>0(4=6:3g3<>N3:520g2?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}:f783>7}Y=o<01>o<0;661>{t;h;m6=4={_1b5c=:;h9;69;9;|q0`d6=838pR>jn0:?0e66=<<=0q~;;d;296~X2;4i::14874}|`25f1=93>1=7:t$851>1353A3=o6`n35815`45<7s-3<>7:mf:&70<753c3g?M23>2dj?94=1d18m1322900e9;9:188m1302900c5;6:188yv5e:j0;6?uQ3c0`?85e:o0?985rs2`1e?6=:rT8n?o4=2`1b?22>2wx?o0;6?uQ5g5896d5n32>56srb23:`?7==3:17c702B?8;5aa26964c33`>>97>5;h662?6=3`>>;7>5;h6657>5;|`20cg=93?1=7;t$851>1353A3=o6`n35815`37:9;39?g5>=>0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;079f:?0=01=<<<0q~=<5483>7}Y;:?>70=6568712=z{:o8n7>52z\0a6d<5:3>;7::8:p7<3>2909wS=6589>7<3021?27psm142`>4<2280>w)782;666>N>>j1em>:520g4?l5>jh0:66g<9c`95?=n;:?>6<44i2g0a?7=3f92m84>::`0=d5=83?1<7>t$851>1da3->?576>4:&:7<<5m920D9:9;oc00?46m11b88;50;9j000=831b88950;9j00>=831d48750;9~w6?ei3:1>vP<9cc896?f;3>>96s|38`a>5<5sW92no5238c0>1313ty8?8;50;0xZ652=2785l=54458yv5b;l0;6?uQ3d1f?85>i:0?955rs2;b1?6=:rT85l;4=2;b7?>212wvn<;>4;391?7==r.2;?4;539K=3e>i41j;1=75m38`e>5<2290;w)782;6ab>"3<003=95+92;96`6?3A>?:6`n35815`g6=44i575>5<5<7k7;296~X41m=01>7mf;662>{t;:?>6=4={_1010=:;0hm69;8;|q0a6`=838pR>k7}Y;0i:70=6bg8;1<=zuk;>=n4>:482>0}#1>8188<4H84`?kg4<38:io5f38d1>4<51;9l77kb;291?6=8r.2;?4;bg9'01?=08>0(4=6:3g3<>N3:520g`?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<9g094?4|V:3m>63<9e`90034?:3y]7<`43492ho4;579~w652=3:1>vP<347896?cj3>>;6s|3d63>5<5sW9n8=5238fa>13?3ty85ij50;0xZ6?cl2785il584;8yxd6<891=7;51;7x <152=?97E79c:lb71<59ln0e9hk:088m601;3;17d=96882>>o4>?21=75`31f`>4<55;294~">?;0?nk5+45;93cb<,0926?k?8:J703=ii:>1>>:7>5;h663?6=3`>>47>5;n:6=?6=3ty?ji4?:3y]0cb<5::om7::5:p73042909wS=9629>75bf2=?=7p}<67;94?4|V:<=563<0ec9001vP<0ea8966ci32>56srb23`1?7=<3:17c702B?8;5aa26964ca3`>>97>5;h662?6=3`>>;7>5;n:6=?6=3th8f19j003=831b88850;9j001=831d48750;9~f66fi3;187>50z&:37<3jo1/89757ga8 <5>2;o;46F;479me62=:8l:7d::5;29?l22>3:17d::7;29?j>213:17pl<0`495?2=83:p(49=:5`e?!2313=mo6*63881a5><@=>=7co<4;02b7=n<?;0?nk5+45;93ce<,0926?k?8:J703=ii:>1>>:7>5;h663?6=3f2>57>5;|`2065=93?1=7;t$851>1353A3=o6`n35815c2::k023>=931d?50z&:37<3jo1/89757gd8 <5>2;o;46F;479me62=:8l>7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu3nm0;6?uQ4gf8967c=3>>96s|3744>5<5sW9=::5230f6>1313ty8:;750;0xZ6011278=i;54458yv51>10;6?uQ374;?856l<0?955rs23g3?6=:rT8=i94=23g1?>212wvn<:?f;391?7==r.2;?4;539K=3e897;39?j57k;0:66l<0b294?3=83:p(49=:5`e?!2313=mh6*63881a5><@=>=7co<4;02b2=n<5<5sW>mh63<0b29003349;o=4;579~w60103:1>vP<67:8966d83>>;6s|3744>5<5sW9=::5231a3>13?3ty8584;8yxd6<;n1=7;51;7x <152=?97E79c:lb71<59o20e8=n:088m3622800e>=?7;39?l54:m0:66a<14g95?=e;8?h6=4::183!?0:3>ij6*;4884b==#1:31>h>7;I672>hf;=09=k74i576>5<5<5<52z\67d=:;8?h69;:;|q540<72;qU:=;4=236g?22>2wx?>>8:181[548>16?<;l:574?xu4;;n1<7;490<62f`9j16g=931b:=951;9j76602800e>==c;39?j56==0:66l<14094?3=83:p(49=:5`e?!2313=m46*63881a5><@=>=7co<4;02bg=n<5<5sW?8m63<140900352z\542=:;8?969;9;|q0751=838pR>=?7:?0504=<<=0q~=<2b83>7}Y;:8h70=>53871==z{:;>87>52z\0502<5:;>>76:9:~f425?3;197?55z&:37<3=;1C5;m4n`17>77ak2c>?l4>::k54=<622c8?=951;9j764e2800c>?;8;39?g56>o3=?0;66g;5683>>o3=10;66a75883>>{t=:k1<75<5sW<;463<1549000vP<33`89673>3>>46s|306;>5<5sW9:85523065>=3>3twi=9<;:086>4<2s-3<>7::2:J:2f=ii:>1>?N3:520de?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}:3`83>7}Y=:k01>?{t>931<7;49:k14874}|`25<5=93>1=7:t$851>1353A3=o6`n3581656:>51;9l104=931i?8>l:187>5<7s-3<>7:mf:&70<7532ag?M23>2dj?94=2138m1322900e9;9:188m1302900c5;6:188yv550>0;6?uQ33:4?8528j0?985rs2045?6=:rT8>:?4=273g?22>2wx??9?:181[55?916?8>l:574?xu2=;0;6?uQ54089637k32>56srb03:0?7=<3;18v*6738717=O1?i0bl=;:3036>o4:1=1=75f3353>4<<54?:583>5}#1>818oh4$56:>=513-3857=02909wS==869>706?2=?>7p}<26294?4|V:8<<63<51:9000<54;569~w0342909wS;:3:?015>=0<30qpl>19f95?2=93>p(49=:571?M?1k2dj?94=2168m64??3;17d==7182>>o4:>;1=75`55c95?=e;=lh6=4;:183!?0:3>ij6*;4884b2=#1:31?>mk;I672>hf;=09>=;4i576>5<5<<80;296~X4:>:01>:ic;662>{t;;=:6=4={_1134=:;=lh69;8;|q60d<72;qU99o4=26eg?>212wvn0;694?:1y'=24=>o3=?0;66g;5683>>i?=00;66s|3353>5<5sW99;=5235d4>1323ty8>5950;0xZ64??2788k954448yv55?80;6?uQ3352?853n>0?9:5rs46`>5<5sW??o63<4g59<0?hf;=09>=64i2044?7=3`994:4>::k0627=931d99651;9a71`6290?6=4?{%;46?2en2.?8448f79'=6?=;:io7E:;6:lb71<5:930e9;::188m1312900e9;8:188k=3>2900q~==7183>7}Y;;=;70=;f08710=z{:83;7>52z\06=1<5:>m=7::6:p77162909wS==709>71`62=?<7p}:4983>7}Y==201>:i1;:6=>{zj8;3o7?54;390~">?;0?9?5G97a8jd532;8;m6g<29595?=n;;=:6<44i2044?7=3f??57?5;c17ag<72=0;6=u+96090g`<,=>26:h8;%;0=?54km1C8984n`17>747j2c?984?::k713<722c?9:4?::m;1<<722wx??68:181[550>16?9km:576?xu4:>;1<7;41v8:6:181[3312788hl584;8yxd69021=7:51;6x <152=?97E79c:lb71<5:9i0e><80;39?l55;m0:66g<26395?=h=?:1=75m38de>5<3290;w)782;6ab>"3<003?;5+92;96`6?3A>?:6`n358165b6=44i575>5<5<vP<22f896?an3>>:6s|3352>5<5sW99;<5238de>1303ty>:=4?:3y]136<5:3mj76:9:~f47>?3;187?54z&:37<3=;1C5;m4n`17>747m2c8>>j51;9j77172800e><81;39?j32n3;17o=6fc83>1<729q/5:<54cd8 12>2>l<7)7<9;0f4==O<=<0bl=;:303b>o3=<0;66g;5783>>o3=>0;66a75883>>{t;;9o6=4={_117a=:;0li69;:;|q0626=838pR><80:?0=cd=<<<0q~==7083>7}Y;;=:70=6fc8712=z{;41oh14874}|`251353A3=o6`n3581646n7?5;h106c<622c8>?j51;9j76042800c86j:088f6?a13:197>50z&:37<3jo1/89757g28 <5>2;o;46F;479me62=:;;:7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4;;41o3188;4}r106c<72;qU?><=d;296~X4:;n01>7i9;663>{t;:<86=4={_1026=:;0l269;7;|q6<`<72;qU95k4=2;e=?>212wvn<<73;391?7==r.2;?4;539K=3e2:k0f52=931b?o>=:088md2?2800e<9=a;39?j`613;17oh>7;291?6=8r.2;?4;bg9'01?=08?0(4=6:c53?M23>2dj?94=2018m1322900e9;9:188m1302900e9;7:188k=3>2900q~=m0583>7}Y;k:?70h>7;661>{t;k:96=4={_1a47=:n8=18884}rc7l4?:3y]524f34l:;7::8:pb4?=838pRk?6;212wvn<;<1;391?7==r.2;?4;539K=3e4:k06=2=931b?nk8:088m643;3;17d=k0782>>i4i:l1=75m3`1g>5<2290;w)782;6ab>"3<00?:6`n35816436=44i575>5<5<mj7;296~X4kl=01>o{t;;>86=4={_1106=:;h9o69;8;|q0`50=838pR>j?6:?0e6b=<<20q~=n3g83>7}Y;h9m70=n3e8;1<=zuk;>?:4>:482>0}#1>8188<4H84`?kg4<389=;5f33:7>4<o:a;291?6=8r.2;?4;bg9'01?=?o:0(4=6:3g3<>N3:52334?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<29694?4|V:83863vP<251896g2i3>>;6s|3e24>5<5sW9o<:523`7b>13?3ty8m8m50;0xZ6g2k278m8o584;8yxd6<021=7;51;7x <152=?97E79c:lb71<5:820e><74;39?l5dm>0:66g<25195?=n;jo26<44o256e?7=3k9<954?:483>5}#1>818oh4$56:>2`73-38579:k710<722c?9;4?::k712<722c?954?::m;1<<722wx??6;:181[550=16?:;7:576?xu4kl=1<7;4?<218884}r1106<72;qU??:<;<141=<3=>1v>mj9;296~X4kl301>9:8;66<>{t;>?j6=4={_141d=:;>?365;6;|a51g5280>6<4:{%;46?22:2B2:n5aa269677f3`99494>::k0g`1=931b??:<:088m6ebj3;17b=89082>>d4?1l1<7;50;2x <152=hm7):;9;5e4>">;009i=64H565?kg4<389=o5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:8387>52z\06=2<5:=3j7::5:p7fc02909wS=le69>72>a2=?=7p}<25194?4|V:8??63<79d900193:1>vP<7838961?n32>56srb06b3?7==3;19v*6738717=O1?i0bl=;:302g>o4:1>1=75f3bg4>4<6=4?{%;46?2en2.?8448f19'=6?=:l:37E:;6:lb71<5:8n0e9;::188m1312900e9;8:188m13?2900c5;6:188yv550=0;6?uQ33:7?850ik0?985rs2af3?6=:rT8oh94=25bf?22>2wx??:<:181[55<:16?:om:574?xu4kli1<7;4?hh18864}r14ea<72;qU?:ok;<14eg0<6232800e>mj7;39?l55<:0:66gi26<44b25`3?6==3:14$81:>7c702B?8;5aa269677a3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q06=2=838pR><74:?03f1=<7}Y;jo<70=8c68713=z{:8??7>52z\0615<5:=h;7::7:p7fcc2909wS=lee9>72e02=?37p}<7b;94?4|V:=h563<7b59<0?51;795?3|,0=969;=;I;5g>hf;=09>?>4i20;0?7=3`9hi:4>::k0615=931b?nkj:088k61b83;17o=8dd83>0<729q/5:<54cd8 12>2>l;7)7<9;0f4==O<=<0bl=;:3015>o3=<0;66g;5783>>o3=>0;66g;5983>>i?=00;66s|33:7>5<5sW99495236ff>1323ty8oh950;0xZ6eb?278;ik54448yv55<:0;6?uQ3360?850ll0?9:5rs2afa?6=:rT8ohk4=25ga?2202wx?:k?:181[50m916?:jj:97:?x{e9=h?6<4::086!?0:3>>>6F66b9me62=:;897d==8582>>o4kl=1=75f3360>4<<74;296~X4:1>01>9i5;661>{t;jo<6=4={_1`a2=:;>l>69;9;|q0615=838pR><;3:?03c3=<<=0q~=leg83>7}Y;jom70=8f4871==z{:=m;7>52z\03c1<5:=m976:9:~f42e?3;197?55z&:37<3=;1C5;m4n`17>745<2c8>5:51;9j7fc02800e><;3;39?l5dn90:66a<81g95?=e;1:h6=4::183!?0:3>ij6*;4884b5=#1:31>h>7;I672>hf;=09>?;4i576>5<5<5<33493vP7k3>>:6s|3360>5<5sW998>52392`>1303ty8ok>50;0xZ6ea82784=m544:8yv5?8l0;6?uQ392f?85?8j03945r}c37fg<62<0:68u+9609004<@04>::k0gc7=931d?5<::088f6>5;3:197>50z&:37<3jo1/89757g28 <5>2;o;46F;479me62=:;8<7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu4:1>1<7;40;9188;4}r1`a2<72;qU?nk8;<1;66<3=?1v><;3;296~X4:=901>6=3;663>{t;jl:6=4={_1`b4=:;18869;7;|q0<73=838pR>6=5:?0<75=0<30qpl>4cd95?3=93?p(49=:571?M?1k2dj?94=23:8m64?<3;17d=le682>>o4:=91=75f3bd1>4<26:h?;%;0=?4b811C8984n`17>74512c?984?::k713<722c?9:4?::k71=<722e3944?::p77>32909wS==859>7=5f2=?>7p}4?:3y]77243493?l4;569~w6ea:3:1>vP4i3>>46s|391`>5<5sW93?n52391b>=3>3twi=9m6:086>4<2s-3<>7::2:J:2f=ii:>1>?mi4;39?j5??<0:66l<86194?3=83:p(49=:5`e?!2313=m<6*63881a5><@=>=7co<4;016g=n<5:4=2:47?22=2wx?nk8:181[5dm>16?59<:575?xu4:=91<7;40>918894}r1`b1<72;qU?nh;;<1;36<3=11v>685;296~X40>?01>683;:6=>{zj8>hh7?55;391~">?;0?9?5G97a8jd532;89o6g<29695?=n;jo<6<44i2077?7=3`9hj84>::m0<=e=931i?56n:186>5<7s-3<>7:mf:&70<<0n91/5>752d2;?M23>2dj?94=23f8m1322900e9;9:188m1302900e9;7:188k=3>2900q~==8583>7}Y;;2?70=78`8710=z{:in;7>52z\0g`1<5:23m7::6:p77242909wS==429>7=>f2=?<7p}d34934l47589~yg73l80:684>:4y'=24=<<80D48l;oc00?45:l1b??6;:088m6eb?3;17d==4282>>o4ko<1=75`39c0>4<55;294~">?;0?nk5+45;93c6<,0926?k?8:J703=ii:>1>?>:7>5;h663?6=3`>>47>5;n:6=?6=3ty8>5:50;0xZ64?<2784l?54478yv5dm>0;6?uQ3bg4?85?i80?9;5rs2077?6=:rT8>9=4=2:b5?22?2wx?nh9:181[5dn?16?5o>:57;?xu40h91<7;40h;14874}|`20a0=93?1=7;t$851>1353A3=o6`n35816669=51;9j7f`02800c>6ma;39?g5?j10;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;;2?6=4={_11<1=:;1h369;:;|q0g`1=838pR>mj7:?0=<<<0q~==4283>7}Y;;>870=7b98712=z{:im;7>52z\0gc1<5:2i47::8:p7=df2909wS=7b`9>7=d?21?27psm15fa>4<2280>w)782;666>N>>j1em>:52311?l550=0:66g86<44i2ae::`0t$851>1da3->?579i0:&:7<<5m920D9:9;oc00?45;:1b88;50;9j000=831b88950;9j00>=831d48750;9~w64?<3:1>vP<296896>dn3>>96s|3bg4>5<5sW9hi:5239ae>1313ty8>9=50;0xZ643;2784nh54458yv5dn10;6?uQ3bd;?85?ko0?955rs2:g5?6=:rT84i?4=2:`b?>212wvn<:j0;391?7==r.2;?4;539K=3e>i40l21=75m39g5>5<2290;w)782;6ab>"3<00?:6`n35816636=44i575>5<5<mj7;296~X4kl=01>6j6;662>{t;;>86=4={_1106=:;1o=69;8;|q0gc?=838pR>mi9:?0<`0=<<20q~=7e983>7}Y;1o370=7e78;1<=zuk;?i;4>:482>0}#1>8188<4H84`?kg4<389?;5f33:7>4<6id;291?6=8r.2;?4;bg9'01?=?o:0(4=6:3g3<>N3:52314?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17p}<29694?4|V:83863<8gf9003vP<251896>al3>>;6s|3bdb>5<5sW9hjl5239dg>13?3ty84kh50;0xZ6>an2784kj584;8yxd6<74;39?l5dm>0:66g<25195?=n;jlh6<44o2;1=?7=3k92>:4?:483>5}#1>818oh4$56:>2`73-3857;41;=18884}r1106<72;qU??:<;<1:62<3=>1v>mic;296~X4koi01>7=7;66<>{t;0826=4={_1:6<=:;08<65;6;|a51`3280>6<4:{%;46?22:2B2:n5aa269675f3`99494>::k0g`1=931b??:<:088m6eal3;17b=64182>>d41:o1<7;50;2x <152=hm7):;9;5e4>">;009i=64H565?kg4<389?o5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=z{:8387>52z\06=2<5:38i7::5:p7fc02909wS=le69>7<5b2=?=7p}<25194?4|V:8??63<92g9001vP<952896?4m32>56srb0735?7==3;19v*6738717=O1?i0bl=;:300g>o4:1>1=75f3bg4>4<6=4?{%;46?2en2.?8448f19'=6?=:l:37E:;6:lb71<5::n0e9;::188m1312900e9;8:188m13?2900c5;6:188yv550=0;6?uQ33:7?85>?80?985rs2af3?6=:rT8oh94=2;45?22>2wx??:<:181[55<:16?49>:574?xu4kol1<7;41>;18864}r1:36<72;qU?49<;<1:340<6232800e>mj7;39?l55<:0:66g4$81:>7c702B?8;5aa269675a3`>>97>5;h662?6=3`>>;7>5;h6657>5;|q06=2=838pR><74:?0==>=<7}Y;jo<70=6898713=z{:8??7>52z\0615<5:3347::7:p7a672909wS=k019>7<>?2=?37p}<99c94?4|V:33m63<99:9<0?mlf;39?l5fnk0:66g4$81:>73ck2B?8;5aa26967253`>>97>5;h662?6=3`>>;7>5H53f?>i?=00;66sm296a>5<3290;w)782;6ab>"3<003>95G4548jd532;8??6g;5483>>o3=?0;66g;5683>>i?=00;66sm24fa>5<3290;w)782;6ab>"3<0038o5G4548jd532;8?86g;5483>>o3=?0;66g;5683>>i?=00;66s|52794?4|V<9>70<7488712=z{:h;87>53z\0f52<5;2?n7::5:?11ad=<<<0q~=ld583>7}Y;jn?70<74c8713=z{:ihj7>52z\0gf`<5;2?n7::7:p7d`e2909wS=nfc9>60be2=?>7p}3j3:1>v3=85;9003<5;2?n76:9:p60be2909w0<7488713=::::k0627=931b??9l:088k634?3;17o=:3483>1<729q/5:<54cd8 12>21;27)7<9;10ga=O<=<0bl=;:3072>o3=<0;66g;5783>>o3=>0;66a75883>>{t;;2<6=4={_11<2=:;<9>69;:;|q0627=838pR><81:?0163=<<<0q~==7b83>7}Y;;=h70=:348712=z{:?8;7>52z\0161<5:?8976:9:~f420<3;187?54z&:37<3=;1C5;m4n`17>743?2c8>5o51;9j77>02800e><81;39?j52:m0:66l<53`94?2=83:p(49=:5`e?!23132:56*638807fb<@=>=7co<4;010==n<52z\06=g<5:?9n7::5:p77>02909wS==869>704e2=?=7p}<26394?4|V:8<=63<53`9001>i4?:3y]704c349>>o47589~yg5f><0:684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{e;h>36<4::183!?0:3>ij6*;4884=c=#1:31>h>7;I672>hf;=09>9o4i576>5<5<5<54>:483>5}#1>818oh4$56:>2?a3-3857::086>5<7s-3<>7:mf:&70<<01o1/5>752d2;?M23>2dj?94=25a8m1322900e9;9:188m1302900e9;7:188k=3>2900qo?:5782>0<62>i4j>>1=75m3c51>5<2290;w)782;6ab>"3<003<=5+92;97g7c3A>?:6`n358161c6=44i575>5<5<l82;662>{t;k2>6=4={_1a<0=:;k=969;8;|qb0=<72;qUm964=2`46?2202wx?o9;:181[5e?=16?o9=:97:?x{e96<4::086!?0:3>>>6F66b9me62=:;>m7d=m5g82>>o6?;k1=75f3c:7>4<36<44o2`5b?7=3k9i:i4?:483>5}#1>818oh4$56:>=673-3857=m1e9K010;4j?n18884}r1a<1<72;qU?o6;;<1a2a<3=>1vl:7:181[g30278n;j544:8yv5e>o0;6?uQ3c4e?85e>m03945r}c3054<62=0:69u+9609004<@04>::m14a6=931i>=mj:187>5<7s-3<>7:mf:&70<751b12?M23>2dj?94=2408m1322900e9;9:188m1302900c5;6:188yv47km0;6?uQ21ag?847kl0?985rs33be?6=:rT9=lo4=32`a?22>2wx>=m<:181[47k:16>=mj:574?xu58m:1<7;58jo14874}|`0335=93?1<7>t$851>1da3->?5796f:&:7<<5m920D9:9;oc00?45=:1b88;50;9j000=831b88950;9j00>=831d48750;9~f6g383;197>50z&:37<3jo1/89757528 <5>2;o;46F;479me62=:;??7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd4i">;009i=64H565?kg4<389985f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:=>n7?55;294~">?;0?nk5+45;9316<,0926?k?8:J703=ii:>1>?;9;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th8;:851;794?6|,0=969li;%67=?1382.2?44=e1:8L1213gk887<=569j003=831b88850;9j001=831b88650;9l<0?=831vn>962;391?6=8r.2;?4;bg9'01?=?=:0(4=6:3g3<>N3:5237;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<7`g95?3=83:p(49=:5`e?!2313=?<6*63881a5><@=>=7co<4;011<=n<4$81:>7c702B?8;5aa269673f3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`03`7=93?1<7>t$851>1da3->?579;0:&:7<<5m920D9:9;oc00?45=k1b88;50;9j000=831b88950;9j00>=831d48750;9~f61a03;197>50z&:37<3jo1/89757528 <5>2;o;46F;479me62=:;?h7d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xd409l1=7;50;2x <152=hm7):;9;574>">;009i=64H565?kg4<3899i5f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:29:7?55;294~">?;0?nk5+45;9316<,0926?k?8:J703=ii:>1>?;j;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th84>j51;794?6|,0=969li;%67=?1382.2?44=e1:8L1213gk887<=5g9j003=831b88850;9j001=831b88650;9l<0?=831vn>686;391?6=8r.2;?4;bg9'01?=?=:0(4=6:3g3<>N3:52343?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<89f95?3=83:p(49=:5`e?!2313=?<6*63881a5><@=>=7co<4;0124=n<4$81:>7c702B?8;5aa26967053`>>97>5;h662?6=3`>>;7>5;h6657>5;|`0t$851>1da3->?579;0:&:7<<5m920D9:9;oc00?45>:1b88;50;9j000=831b88950;9j00>=831d48750;9~f6>c:3;197>50z&:37<3jo1/89757528 <5>2;o;46F;479me62=:;3:17d::7;29?l2203:17b6:9;29?xd40l31=7;50;2x <152=hm7):;9;574>">;009i=64H565?kg4<389:85f44794?=n<<<1<75f44594?=n<<21<75`84;94?=zj:3;<7?55;294~">?;0?nk5+45;9316<,0926?k?8:J703=ii:>1>?89;h661?6=3`>>:7>5;h663?6=3`>>47>5;n:6=?6=3th85?o51;794?6|,0=969li;%67=?1382.2?44=e1:8L1213gk887<=669j003=831b88850;9j001=831b88650;9l<0?=831vn>7;1;391?6=8r.2;?4;bg9'01?=?=:0(4=6:3g3<>N3:5234;?l22=3:17d::6;29?l22?3:17d::8;29?j>213:17pl<96695?3=83:p(49=:5`e?!2313=?<6*63881a5><@=>=7co<4;012<=n<4$81:>7c702B?8;5aa269670f3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`25<7=93>1=7:t$851>1353A3=o6`n358163d5951;9l11c=931i?8??:187>5<7s-3<>7:mf:&70<<0n>1/5>7532ag?M23>2dj?94=27a8m1322900e9;9:188m1302900c5;6:188yv55?80;6?uQ3352?852990?985rs2044?6=:rT8>:>4=2724?22>2wx??68:181[550>16?8??:574?xu256srb2305?7==3:17c702B?8;5aa269670c3`>>97>5;h662?6=3`>>;7>5;h6657>5;|`2030=93?1=7;t$851>1353A3=o6`n358163c5951;9j77>f2800c>;?6;39?g528=0;684?:1y'=24=>o3=?0;66g;5683>>o3=10;66a75883>>{t;;=:6=4={_1134=:;<:?69;:;|q062e=838pR><8c:?0152=<<<0q~==8683>7}Y;;2<70=:058712=z{:83m7>52z\06=g<5:?;87::8:p70612909wS=:079>706321?27psm3`2e>4<2290;w)782;6ab>"3<00<8=5+92;96`6?3A>?:6`n35816266=44i575>5<5<::k070`=931d?5h>:088f6>bn3:197>50z&:37<3jo1/897581d8 <5>2;o;46F;479me62=:;=97d::5;29?l22>3:17d::7;29?l2203:17b6:9;29?xu40li1<7;40ll188;4}r1b<2<72;qU?l68;<1;ac<3=?1v>=:7;296~X4;<=01>6jf;663>{t;:?m6=4={_101c=:;1om69;7;|q06i1:?0<``=0<30qpl=6482>4<729q/5:<54c78 1d42=ij7):m4;66a>"3jh035l5G4548jd532;8>{e0o91=7?50;2x <152=h>7):m3;6`g>"3j=0?9h5+4cc9<=7co<4;0131=h1:21<75rb811>4<6290;w)782;:6<>"3j:0?oo5a3g59<>h>;90:86*;b58;1<=#:183!?0:3>i96*;b287gg=i;o=146*;b5871`=#6<4>:183!?0:32>46*;b287gg=#14874$5`b>=163A>?:6`n3581621==i1::19=5+4c69<0?<,=hj656>;I672>hf;=09>:64i812>5<?;03955+4c190fe14874$5`b>=?d3A>?:6`n358162?==i1::19=5+4cc9<31<@=>=7co<4;013d=,0j=1<75rb8:1>4<6290;w)782;07f>"3j:0?oo5a3g59<>h>;90><6*;b`8;-?k>0;66sm99195?7=83:p(49=:36a?!2e;3>hn6`N3:5235`?.>d?3:17pl68582>4<729q/5:<525`8 1d42=ii7c=i7;:8j<572<:0(9ln:9;3?M23>2dj?94=26f8/=e02900qo775;395?6=8r.2;?4=4c9'0g5=h8:99m=66==91/8oo58818L1213gk887<=7d9(5<7s-3<>7<;b:&7f6<3kk1e?k958:l:75<282.?nl47979K010im7668:J703=ii:>1>?6?;*:`3?6=3th2444>:083>5}#1>81>9l4$5`0>1ee3g9m;764n813>06<,=hj658k;I672>hf;=09>5?4+9a4>5<?;098o5+4c190fd4H565?kg4<3894?5$8b594?=zj02i6<4>:183!?0:38?n6*;b287gg=i;o=146`631864>"3jh03;55G4548jd532;83?6%7c683>>{e11i1=7?50;2x <152;>i7):m3;6`f>h4n>037c7<0;73?!2ei320m0:6<4?:1y'=24=:=h0(9l<:5aa?k5a?320b4=?:428 1df21=o7E:;6:lb71<5:1?0'5m8:188yg??m3;1=7>50z&:37<5>5519'0gg=0180D9:9;oc00?450?1 4n950;9~f<>a280:6=4?{%;46?43j2.?n>4;cc9m7c1=02d2?=4:0:&7fd74??2!3o:4?::a=<6=93;1<7>t$851>72e3->i?7:lb:l0b2??2B?8;5aa26967>?3"2h;7>5;|`:=4<6280;6=u+960961d<,=h869mm;o1e3?>4$5`b>=>>3A>?:6`n35816=?<#1i<6=44}c;`6?7=93:1==i1::19=5+4c69<0?<,=hj6578;I672>hf;=09>5o4i812>5<?;098o5+4c190fd:183!?0:38?n6*;b287gg=i;o=146`631864>"3jh03;o5G4548jd532;83o6%7c683>>{e1j?1=7?50;2x <152;>i7):m3;6`f>h4n>037c7<0;73?!2ei32<56F;479me62=:;2o7&6l7;29?xd>k?0:6<4?:1y'=24=:=h0(9l<:5aa?k5a?320b4=?:428 1df21=<7E:;6:lb71<5:1o0'5m8:188yg?d?3;1=7>50z&:37<5>5519'0gg=0?l0D9:9;oc00?450o1 4n950;9~f280:6=4?{%;46?43j2.?n>4;cc9m7c1=02d2?=4:0:&7fdj1C8984n`17>74>82!3o:4?::a=fg=93;1<7>t$851>=3?3->i?7:lb:l0b2212.?nl47949K010i876:9:&7fd74>:2c2?<4?::a=fe=93;1<7>t$851>=3?3->i?7:lb:l0b2212.?nl47909K010i876:9:&7fd74><2c2?<4?::a=fc=93;1<7>t$851>=3?3->i?7:lb:l0b2212.?nl478b9K010i876:9:&7fd74>>2c2?<4?::a=a6=93;1<7>t$851>=3?3->i?7:lb:l0b2212.?nl47899K010im766d:J703=ii:>1>?77;*:`3?6=3th2i54>:083>5}#1>81>9l4$5`0>1ee3g9m;764n813>06<,=hj65;i;I672>hf;=09>474+9a4>5<?;098o5+4c190fd4H565?kg4<3895l5$8b594?=zj0oj6<4>:183!?0:38?n6*;b287gg=i;o=146`631864>"3jh03:<5G4548jd532;82n6%7c683>>{e1lh1=7?50;2x <152;>i7):m3;6`f>h4n>037c7<0;73?!2ei32=86F;479me62=:;3h7&6l7;29?xd>mj0:6<4?:1y'=24=:=h0(9l<:5aa?k5a?320b4=?:428 1df21<>7E:;6:lb71<5:0n0'5m8:188yg?bm3;1=7>50z&:37<5>5519'0gg=0?<0D9:9;oc00?451l1 4n950;9~f4;cc9m7c1=02d2?=4:0:&7fd74>n2!3o:4?::a=c6=93;1<7>t$851>=3?3->i?7:lb:l0b2212.?nl479g9K010i876:9:&7fd74f92c2?<4?::a=c4=93;1<7>t$851>=3?3->i?7:lb:l0b2212.?nl47a09K010i876:9:&7fd01C8984n`17>74f;2c2?<4?::a=c2=93;1<7>t$851>=3?3->i?7:lb:l0b2212.?nl47759K010i876:9:&7fd74f=2c2?<4?::a=c0=93;1<7>t$851>72e3->i?7:lb:l0b2?82B?8;5aa26967g13"2h;7>5;|`:b2<6280;6=u+960961d<,=h869mm;o1e3?>4$5`b>=?e3A>?:6`n35816d1<#1i<6=44}c;g5?7=93:1==i1::19=5+4cc9<=3<@=>=7co<4;01e==,0j=1<75rb8f1>4<5290;w)782;66=>">;00:=;j4$5`7>13b3A>?:6`n35816d?5<?;098o5+4c190fd>56*6388253b<,=h?69;j;I672>hf;=09>ll4i577>5<9m6=44}c30<5<62;0:6>u+9609004<@01=75`24f6>4<o3=<0;66g;5783>>o>:?0;6E:ne:9l36`=831vl:;:181[g3<27j?i4;549~w73c=3:1>vP=5e789d5c2>9m7p}n3d83>4}:i:n15?84}|`266g=9381=7=t$851>1353A3=o6`n35816dc:6<44o`61>4<o3=<0;6E:>e:9j000=831b5?850;J7e`=9m6=44}rc75?6=:rTj8<52a2d90037>52z\b07=:i:l1;>h4}rc74?6=9r7j?k46279~yg738:0:6?4>:2y'=24=<<80D48l;oc00?45j91bm9o51;9l6ad02800nl:m:187>5<7s-3<>7o=0:&:7<74e92c?984?::k713<722c2>;4?:I6ba>=h?:l1<75rs`6b>5<5sWk?m63n4c8710=z{;ni;7>52z\1`g1<5h>i6:=i;|qb0f<728q6m9l59348yxd5?l0:6=4?:1yKe7b<,0=96?9k;I;5e>N0;l1em>:523`1?xd?mh0:6=4?:1yKe7`<,0=965k6;I;5e>N0;l1em>:523`0?xu3lm0;6k9t=0a04?4?l279?l<529f896b0=383h63;6>0l1>4l4=04b5?4>j27::l<528`8940f;382n63>6`696;6>1k1>4l4=04;f?4>j27::5m528`8940?l382n63>69g96;6>081>4l4=04:7?4>j27::4;528`8940>>382n63>68596;6>0h1>4l4=04:g?4>j27::4j528`8940>m382n63>6`296>>0;057>;48;31>;=4=2226?4>j278<<=528`89666<382n63<00796>>8;0:f>;5n?n1>;=4=3d;`?41;279jnj52718974a0382n63=2`g96;6lok1>4l4=0aaa?4>j27:hi8528`894be:382n63>d1a96;6l9=1>4l4=0f32?4>j27:h=;528`894b7<382n63>d11967<6b:?2`57=:0h01;6koo1>4l4=0ae`?4>j27:okm528`894eaj382n63>cgc96=:0h01;6ko<1>4l4=0f27?4>j27:h<<528`894b69382n63>d0296;6l9h1>4l4=0f34?4>j27:h4<5271894ea<38=?63>c`29635<58i><7<93:?2g=>=:?901;6mh:1>4l4=0gb=?4>j27:il6528`894cf?382n63>e`496;6mh81>4l4=0gb5?4>j27:in>5271894c>m38=?63>ebg96;6mm>1>4l4=0gg7?4>j27:ii<528`894cc9382n63>ee296;6m?<1>4l4=0g51?4>j27:i;:528`894c1;382n63>e7096;6m?i1>4l4=0g5f?4>j27:i;o528`894c11382n63>e7:96;6moi1>4l4=0d31?4>j27:j=:528`894`7;382n63>f1096;6moo1>4l4=0ge`?4>j27:j7<7d:?1417=:1n01?>na;0;`>;58:o1>5j4=0c16?4>j27:m:k529f894g61383h63>a0f96=b<58hh97<6b:?2g77=:1n01;6jj:1>5j4=3`g4?4?l279o?>529f897d4l382n63=b2a96;5j=<1>4l4=3`71?4>j279n9:528`897d3;382n63=b5096;5j:o1>4l4=3`51?41;279n>95271897d0=383h63=c7a96=b<5;i9i7<93:?1g0e=:?901?m<5;0:f>;5k:<1>4l4=3a03?4>j279o>6528`897e41382n63=c2c96;5k::1>4l4=3a05?4>j279o><528`897e4;382n63=c269657<7d:?1`4d=:?901?j;9;057>;5l;81>4l4=3f17?4>j279h?:528`897b5=382n63=d3496=:0h01?j=9;0:f>;5l;k1>4l4=3f2`?4>j279h;5?j;1>5j4=37g`?41;279:=j52718973bl38=?63=63f96;50o=1>;=4=3:`3?41;278>lk527189415k38=?63=1539635<5;:?97::5:?0=:l1;30b>;4h4=26a2?74n2788nm512d8962dn3;8j63<4e2956`<5:>o=7?:k3;30b>;41=>h4=26a3?74n2788o6512d8962e13;8j63<4cc956`<5:>in7?:md;30b>{t:6=47a6y>5f572;<>70=k748120=:;m:36?8:;<1g4<<5><16?i?;:346?85c9o09:8523e01>702349o>>4=649>7a432;<>70=k248120=:;m8=6?8:;<1g62<5><16?i>n:346?85c8k09:8523e2`>702349o7a6b2;<>70=k0g8120=:;m;;6?8:;<1g54<5><16?i?=:346?85c9:09:8523e36>702349o=;4=649>7a702;<>70=k198120=:;m;26?8:;<1g5d<5><16?i?m:346?85c9j09:8523e3g>702349o=h4=649>7a472;<>70=k208120=:;m836?8:;<1`gc<5><16?>=j:346?854:109:8523e:2>7023488544=649>7fc02;<>70=?398120=:;9>86?8:;<130=<5><16?=:6:346?857702349;8n4=649>755>2;<>70=?3`8120=:;99i6?8:;<137f<5><16?==k:346?857;l09:852311e>702349;8=4=649>75262;<>70=?438120=:;9>?6?8:;<1300<5><16?=:9:346?857<>09:8523114>70234999=4=649>77362;<>70==5b8120=:;;<<6?8:;<112d<5><16??8m:346?855>j09:852334g>7023499:h4=649>770a2;<>70==538120=:;;?86?8:;<1111<5><16??;::346?855=?09:8523374>7023499954=649>773>2;<>70==5`8120=:;;?i6?8:;<111a<5><16??;j:346?855=o09:8523343>7023499:<4=649>77052;<>70==628120=:;;<16??89:346?855>109:852334:>702349;;<4=649>75152;<>70=?768120=:;9=36?8:;<133<<5><16?=9n:346?857?k09:852315`>702349;;i4=649>751b2;<>70=?728120=:;9=?6?8:;<1330<5><16?=99:346?8571j09:852317a>70234995<4=649>752c2;<>70=?4d8120=:;9?86?8:;<1311<5><16?=;::346?857=?09:8523174>702349;954=649>753>2;<>70=?5`8120=:;9>m6?8:;<1315<5><16?=;>:346?857=;09:8523``5>702349;9n4=649>753c2;<>70=?5d8120=:;9?m6?8:;<1325<5><16?=8>:346?857>;09:852315e>702349;4=4=649>75>62;<>70=?838120=:;9286?8:;<1145<5><16??>>:346?8558j09:8523334>7023499=l4=649>777e2;<>70==1b8120=:;;;o6?8:;<115`<5><16???i:346?8558;09:8523320>7023499<94=649>77622;<>70==078120=:;;:<6?8:;<114=<5><16??>6:346?8558h09:852332a>7023499776b2;<>70==0g8120=:;;;;6?8:;<1154<5><16???=:346?8559:09:8523337>7023499=84=649>77712;<>70==198120=:;;;26?8:;<100<<5><16?>:n:346?85470234988i4=649>762b2;<>70=<4g8120=:;:?;6?8:;<100=<5><16?=6n:346?8570k09:85231;7>702349;584=649>75?12;<>70=?968120=:;9336?8:;<13=<<5><16?=7n:346?8571k09:85231:`>702349;4i4=649>75>b2;<>70=?8g8120=:;93;6?8:;<13=4<5><16?=7=:346?8571:09:85231:7>702349;484=649>75>12;<>70==918120=:;8lm6?8:;<17`f<5><16?9j6:346?854<909:8523262>70234988?4=649>76242;<>70=<458120=:;:>>6?8:;<1003<5><16?>:8:346?854;o09:8523140>702349;:94=649>750>2;<>70=?6`8120=:;9<16?=8k:346?857>l09:852314e>702349;;=4=649>75022;<>70=?678120=:;9<<6?8:;<132=<5><16?>;>:346?854=;09:852327a>70234989n4=649>763c2;<>70=<5d8120=:;:?m6?8:;<1025<5><16?>8>:346?854>;09:8523270>7023498994=649>76322;<>70=<578120=:;:?<6?8:;<101=<5><16?>;6:346?854=h09:852320:>7023498>l4=649>764e2;<>70=<2b8120=:;:8o6?8:;<106`<5><16?>7023499;<4=649>771d2;<>70==868120=:;;2j6?8:;<11<16??6l:346?8550m09:85233:f>70234994k4=649>77152;<>70==728120=:;;=?6?8:;<1130<5><16??99:346?855?>09:852335;>7023499;44=649>771f2;<>70==7c8120=:;;=o6?8:;<113`<5><16??9i:346?8550909:85233:2>70234994?4=649>77>42;<>70==858120=:;;2>6?8:;<11<3<5><16??67:346?8550009:8523240>7023498=l4=649>767e2;<>70=<218120=:;:8:6?8:;<1067<5><16?><<:346?854:=09:8523206>7023498>;4=649>76402;<>70=<1b8120=:;:;o6?8:;<105`<5><16?>?i:346?8548?09:8523233>7023498=84=649>76712;<>70=<168120=:;:;36?8:;<105<<5><16?>>8:346?8548109:852322:>7023498766e2;<>70=<0b8120=:;::o6?8:;<104`<5><16?>>i:346?8549809:8523231>7023498=>4=649>76732;<>70=;dg8120=:;:9;6?8:;<1074<5><16?>=9:346?854;>09:852321;>7023498?44=649>765f2;<>70=<3c8120=:;:9h6?8:;<107a<5><16?>==:346?854;:09:8523217>7023498?84=649>7a2>2;<>70=k4`8120=:;m?>6?8:;<1g25<5><16?i8<:346?85c>=09:8523e46>702349o:;4=649>7a002;<>70=k698120=:;m>i6?8:;<1g0f<5><16?i:k:346?85c702349o9=4=649>7a362;<>70=k538120=:;m?86?8:;<1g11<5><16?i;9:346?85c=>09:8523e7;>702349o944=649>7a3f2;<>70=k5c8120=:;m?h6?8:;<1g1a<5><16?i;j:346?85c=o09:8523e42>702349o:?4=649>7g6c2;<>70=m138120=:;k;86?8:;<1a51<5><16?o?::346?85e9?09:8523c34>702349i=54=649>7g612;<>70=m068120=:;k:36?8:;<1a4<<5><16?o>n:346?85e8k09:8523c2`>702349i7g6a2;<>70=m118120=:;k;:6?8:;<1`6c<5><16?nj;:346?844=o09:85235f;>70234;<>l4=649>5d?72;<>70?n8g8120=:9h2n6?8:;<3b==<5><16=l78:346?87f1o09:8521`c4>70234;j5<4=649>5d?d2;<>70?n9c8120=:9hk96?8:;<3b<2<5><16=5kj:346?87?mm09:85219g`>70234;3j;4=649>5=`22;<>70?7fd8120=:90:=6?8:;<3;ac<5><16=5hn:346?87?n009:8521822>70234;3i84=649>716>2;<>70=;448120=:;=3=6?8:;<344=<5><16=:>6:346?8709=09:8521600>70234;<=k4=649>52452;<>70?8258120=:9>8>6?8:;<3463<5><16=:<8:346?8708h09:852162a>70234;<526c2;<>70?80d8120=:9>:m6?8:;<3455<5><16=:?>:346?8709<09:8521631>70234;<=>4=649>52712;<>70?8168120=:9>;36?8:;<345<<5><16=:?n:346?8709k09:852163`>70234;<=i4=649>527b2;<>70?8218120=:9>8:6?8:;<1726<5><16?98=:346?853>809:8523543>702349?9k4=649>713b2;<>70=;5e8120=:;=?h6?8:;<171g<5><16?9;n:346?853=009:852357;>702349?9:4=649>71312;<>70=;548120=:;=k>6?8:;<17e1<5><16?9o<:346?853i;09:85235c2>702349?m=4=649>71?a2;<>70=;9d8120=:;=3o6?8:;<17=f<5><16?97m:346?8531h09:85235;:>702349?554=649>71?02;<>70=?868120=:;;8;6?8:;<117d<5><16??=k:346?855<909:8523360>70234998;4=649>772>2;<>70==4b8120=:;;8:6?8:;<1161<5><16??<8:346?855:h09:852330g>70234o;n7<95:?eb7<5><16jk?527789c`72;<>70hjf;051>;aml09:852fdf9633<5ooj6?8:;b`1=:??01kk9:346?8`b=38=963>03`9633<58:9m7<95:?247?=:??01<>=8;051>;68;=1>;;4=0212?41=27:70hk9;051>;al109:852fe59633<5on=6?8:;ba7=:??01kj?:346?8`dn38=963icd8120=:njn1>;;4=gg1>70234ln=7<95:?ea5<5><16jih527789cbb2;<>70hkd;051>;ak;09:852fb39633<5oi;6?8:;bgb=:??01kln:346?8`e138=963ib98120=:nk=1>;;4=g`5>70234li97<95:?egd<5><16jn7527789ce?2;<>70hl7;051>;ak?09:852fb79633<5o3o6?8:;b038=963i948120=:n0>1>;;4=g;0>70234l2>7<95:?e=4<5><16j4>527789cg?2;<>70hn7;051>;ai?09:852f`79633<5ok?6?8:;b2e=:??01k9m:346?8`0i38=963i788120=:n>21>;;4=g56>70234l<87<95:?e36<5><16j:<527789c162;<>70h80;051>;a0m09:852f9a9633<5o2i6?8:;b=>=:??01k8::346?8`1<38=963i628120=:n?81>;;4=g42>70234l=<7<95:?e2a<5><16j;m527789c0e2;<>70h9a;051>;a>009:852f7:9633<5o>>6?8:;4=649>b14=:??01k:>:346?8`3838=963i3e8120=:n:i1>;;4=g1a>70234l8m7<95:?e7<<5><16j>6527789c2c2;<>70h;c;051>;a26?8:;l4=649>b7?=:??01k<7:346?8`5?38=963i278120=:n;?1>;;4=g01>70234l9=7<95:?e65<5><16j70h>d;051>;a;<09:852f269633<5o986?8:;b66=:??01<>>a;051>;68831>;;4=02238=963>0079633<58::>7<95:?2447=:??01<>>0;051>;689l1>;;4=023a?41=27:<=j527789465:38=963>0339633<58:9<7<95:?244`=:??01<>>e;051>;688n1>;;4=023e?41=27:<=7527789467038=963>0159633<58:;:7<95:?2453=:??01<>?2;051>;689;1>;;4=0234?41=27mjk4=649>bcc=:??01khk:346?8`e:38=963ib08120=:nk:1>;;4=gce>70234lji7<95:?eea<5><16j5;527789c>32;<>70h73;051>;a0;09:852f939633<5o2;6?8:;bc>=:??01kh8:346?8`a>38=963if48120=:n9l1>;;4=g2f>70234l;h7<95:?e4f<5><16j=l527789c6f2;<>70?>338120=:n;;4=g7`>70234l>n7<95:?e1d<5><16j87527789c3?2;<>70?>2c8120=:989;6?8:;<3274<5><16=<70234;:>54=649>544c2;<>70?>2d8120=:988=6?8:;<3265<5><16=<<8:346?8769o09:8521007>70234;:>84=649>54442;<>70?>1e8120=:98;n6?8:;<325f<5><16=70234;:=94=649>54722;<>70?>128120=:98;36?8:;<325<<5><16=70234;:<54=649>546c2;<>70?>0d8120=:98:h6?8:;<3243<5><16=<>8:346?8768<09:852102a>70234;:54632;<>70?>028120=:99lo6?8:;<3247<5><16==hl:346?8768809:85211da>70234;:<=4=649>55`f2;<>70??fg8120=:99l26?8:;<33b=<5><16==h=:346?877n>09:85211d2>70234;;j;4=649>55`72;<>70??f48120=:99om6?8:;<33b1<5><16==kk:346?877ml09:85211g`>70234;;i94=649>55c22;<>70??e28120=:99o96?8:;<33a<<5><16==k8:346?877m809:85211g;>70234;;i=4=649>55b>2;<>70??d`8120=:99n36?8:;<33``<5><16==ji:346?877l>09:85211fg>70234;;hn4=649>55b22;<>70??d78120=:99ii6?8:;<33g5<5><16==j;:346?8`7<38=963>0c79633<5llj6?8:;ac>=:??01hh9:346?8ca=38=963je88120=:ml81>;;4=dg;>70234on;7<95:?257e=:??01hk>:346?8cb>38=963>1339633<5lo;6?8:;70kj4;051>;69931>;;4=02ea?41=27:0d49633<58:i87<95:?24g7=:??01<>k3;051>;68m81>;;4=02g5?41=27:0bd9633<58:h57<95:?24f>=:??01<>le;051>;68j=1>;;4=02``?41=27:0cd9633<58:h97<95:?24gc=:??01<>l4;051>;68kn1>;;4=02`6?41=27:0c`9633<58:io7<95:?24g?=:??01<>m8;051>;68kk1>;;4=02a2?41=27n5h4=649>55d02;<>70k6c;051>;b1k09:852e8f9633<5l326?8:;a<0=:??01h78:346?8c?i38=963j958120=:m131>;;4=d:f>70234o3;7<95:?f<=<5><16i5m527789`>c2;<>70k75;051>;b0=09:852e919633<5l2:6?8:;ad5=:??01ho=:346?8cf838=963j9g8120=:mh;1>;;4=d:a>70234o3:7<95:?f=0<5><16i:o527789474038=963j7g8120=:989=6?8:;<3276<5><16=<=8:346?87?1k09:8521954>70234;35l4=649>5=??2;<>70?7968120=:91326?8:;<3;=3<5><16=57::346?87?i:09:85219c1>70234;3594=649>5=g62;<>70?7a18120=:913n6?8:;<3;=a<5><16=57i:346?87?1j09:85219;0>70234;35?4=649>5=112;<>70?7748120=:91=86?8:;<3;37<5><16=59;:346?87??809:8521953>70234;3;h4=649>5=1c2;<>70?77g8120=:91=h6?8:;<3;3g<5><16=596:346?87??109:852195b>70234;3:k4=649>5=0b2;<>70?75c8120=:91?j6?8:;<3;`g<5><16=5jn:346?87?l009:85219f;>70234;3h:4=649>5=b12;<>70?7e28120=:91o96?8:;<3;a4<5><16=5k?:346?87?lo09:85219ff>70234;3hi4=649>5=bd2;<>70?7d48120=:91n?6?8:;<3;fg<5><16=5ln:346?87?j009:85219`;>70234;3n:4=649>5=d12;<>70?7c28120=:91i96?8:;<3;g4<5><16=5m?:346?87?jo09:85219`f>70234;3ni4=649>5=dd2;<>70?7b48120=:91h?6?8:;<3;12<5><16=5;;:346?87?8>09:852192b>70234;3<94=649>5=552;<>70?72g8120=:9>ln6?8:;<3;44<5><16=:hm:346?87?:009:852190`>70234;3>;4=649>52`22;<>70?8f98120=:91886?8:;<34a5<5><16=:jk:346?870mm09:852196`>70234;5=2>2;<>70?8e68120=:9>oj6?8:;<3;03<5><16=:k;:346?870m809:8521963>70234;38>4=649>5=5f2;<>70?7368120=:919o6?8:;<3;5a<5><16=5:i:346?87?:;09:852ab69633<5hi86?8:;ef6=:??01lli:346?8gdk38=963ncc8120=:ijk1>;;4=`a:>70234kh47<95:?bg2<5><16mn8527789de22;<>70ome;051>;fjm09:852a`09633<5hk:6?8:;e;;4=`c4>70234kj:7<95:?be0<5><16ml:527789dg42;<>70o6c;051>;f1k09:852b4f9633<5k?h6?8:;<`6f?41=27i9l4=649>f0?=:??01o;7:346?8d1=38=963m658120=:j?91>;;4=c41>70234h==7<95:?a25<5><16n8h527789g3b2;<>70l:7;051>;e=?09:852a969633<5h286?8:;f66=:??01o;;4=c0a>70234h9m7<95:?a6<<5><16mh:527789dc42;<>70oj2;051>;fm809:852ad29633<5hnm6?8:;e`g=:??01lk6:346?8gb038=963ne68120=:il<1>;;4=`g6>70234koi7<95:?b`a<5><16>702348:in4=649>64ce2;<>70<>e`8120=::8o26?8:;<02a=<5><16>702348:i?4=649>65052;<>70<16>=;j:346?847=m09:852214b>702348;:44=649>650?2;<>70<16>=8;:346?847>:09:852217`>702348;9o4=649>64b02;<>70<>d78120=::8nm6?8:;<02``<5><16>702348:hl4=649>64b>2;<>70<>d98120=::8n>6?8:;<02`1<5><16>702348:h=4=649>64ea2;<>70<>cd8120=::8io6?8:;<02gf<5><16>702348:o;4=649>65e>2;<>70<16>=m::346?87amo09:8521ggf>70234;mii4=649>5ccd2;<>70?iec8120=:9ooj6?8:;<3ea<<5><16=kk7:346?87am>09:8521gg5>702348;>i4=649>654d2;<>70<16><=9:346?846;009:8522016>702348:?94=649>64542;<>70<>338120=::89:6?8:;<0275<5><16><702348:>o4=649>644f2;<>70<>288120=::8836?8:;<0262<5><16><<9:346?846:=09:8522000>702348:>84=649>64272;<>70<>3g8120=::89n6?8:;<027a<5><16><=l:346?846;k09:852200g>702348:>?4=649>645?2;<>70<>208120=::9h=6?8:;<03f0<5><16>=l;:346?847j:09:85221`1>70234;mh44=649>5cb?2;<>70?id68120=:9on=6?8:;<3e`0<5><16=kj;:346?87al:09:8521gf1>70234;mh<4=649>65>12;<>70?i4`8120=:9o>26?8:;<3e0=<5><16=k:8:346?87a70234;m894=649>5c242;<>70<16>==n:346?847;009:852211;>702348;?:4=649>65512;<>70<16>=h;:346?847n:09:85221d1>702348;j<4=649>65`a2;<>70<16>=hm:346?847nh09:85221d:>702348;j54=649>65`72;<>7036?8:;<022a<5><16><8l:346?846>k09:852204b>702348::44=649>640?2;<>70<>668120=::8<=6?8:;<021<<5><16><;7:346?846=>09:8522075>702348:984=649>64332;<>70<>528120=::8?96?8:;<0226<5><16><8=:346?846>809:8522043>702348:9k4=649>65252;<>70<16>?702348;5i4=649>64e42;<>70<>c38120=::8i:6?8:;<02g5<5><16>702348:nl4=649>64d>2;<>70<>b98120=::8h>6?8:;<02f1<5><16>702348:n=4=649>64ga2;<>70<>ad8120=::99n6?8:;<02eg<5><16>702348:m:4=649>64g32;<>70<>a28120=::8k96?8:;<02e4<5><16><7j:346?8461m09:85220;`>702348:5o4=649>64?f2;<>70<>988120=::8336?8:;<02=2<5><16><7;:346?8461:09:85220;1>702348:5<4=649>641d2;<>70<>7c8120=::8=j6?8:;<023<<5><16><6j:346?8460m09:85220:`>702348:4o4=649>64>f2;<>70<>888120=::8236?8:;<02<2<5><16><99:346?846?<09:8522057>702348:;>4=649>64152;<>70<>708120=::8=;6?8:;<022c<5><16><6=:346?8460809:85220:3>702348:;k4=649>6`612;<>70<16>h>>:346?84b8:09:8522ede>702348ojh4=649>6`672;<>70<16>iki:346?84cml09:8522ed3>702348oin4=649>6ace2;<>70<16?o;::346?85e=k09:8523c6g>702349i8l4=649>7g352;<>70=m808120=:;k9:6?8:;<1a3g<5><16?n702349i;84=649>7g1?2;<>70=m718120=:;k<16?o68:346?85e0?09:8523b27>702349h<>4=649>7f652;<>70=l008120=:;j:;6?8:;<1abc<5><16?ohj:346?85enm09:8523b2`>702349h7f6f2;<>70=l088120=:;j:36?8:;<1`42<5><16?n>9:346?85d8<09:8523cd`>702349ijo4=649>7g212;<>70=m488120=:;kn26?8:;<1a`=<5><16?oj8:346?85el?09:8523cf6>702349ih94=649>7gb42;<>70=md38120=:;ko:6?8:;<1aa5<5><16?oji:346?85ell09:8523cfg>702349ihn4=649>7gbe2;<>70=md`8120=:;kn:6?8:;<1a`5<5><16?o7?:346?85e0h09:8523c40>702349i9h4=649>5<5f2;<>70?6388120=:90936?8:;<3:72<5><16=4=9:346?87>;<09:8521864>70234;24?4=649>5<>62;<>70?67g8120=:90=n6?8:;<3:3a<5><16=49l:346?87>?k09:852185b>70234;2;44=649>5<1?2;<>70?6768120=:90==6?8:;<3:31<5><16=49<:346?87>?;09:8521852>70234;2;=4=649>5<0a2;<>70?66d8120=:90<16=48m:346?87>0109:85218:4>70234;24;4=649>5<>22;<>70?6858120=:90286?8:;<3:<5<5><16=49::346?87>>h09:852184:>70234;2oo4=649>570?6c98120=:90i<6?8:;<3:g3<5><16=4m::346?87>k=09:85218a0>70234;2o?4=649>570?6c18120=:90hm6?8:;<3:fa<5><16=4ll:346?87>jk09:85218`b>70234;2n44=649>570?6b68120=:90h=6?8:;<3:f0<5><16=4l;:346?87>l809:85218f3>70234;2ok4=649>570?6ce8120=:90ih6?8:;<3:g<<5><16=4lj:346?87>j:09:85218`1>70234;24o4=649>5<262;<>70?nde8120=:9hnh6?8:;<3b`g<5><16=ljn:346?87fl009:8521`f;>70234;jil4=649>5g422;<>70?m258120=:9k896?8:;<3a64<5><16=o70234;i=i4=649>5g7d2;<>70?m1c8120=:9k;j6?8:;<3a5<<5><16=o?8:346?87e9?09:8521c36>70234;i=94=649>5g742;<>70?m138120=:9k;:6?8:;<3a55<5><16=o>i:346?87e8l09:8521c0a>70234;i>l4=649>5g4>2;<>70?m298120=:9k8<6?8:;<3a63<5><16=o<<:346?87e9109:8521c2g>70234;i5g0b2;<>70?m6e8120=:9k<16=o86:346?87e>109:8521c44>70234;i:;4=649>5g022;<>70?m658120=:9k<86?8:;<3a27<5><16=o8?:346?87e=o09:8521c7f>70234;i9i4=649>5g3d2;<>70?m5c8120=:9k?j6?8:;<3a1<<5><16=o;7:346?87e=>09:8521c57>70234;i;>4=649>5g152;<>70?m708120=:9k=;6?8:;<3a2c<5><16=o8l:346?87e>809:8521c75>70234;i984=649>5g4b2;<>70?ne58120=:i=21>;;4=`6:>70234;j9;4=649>5d362;<>70?n568120=:9h?96?8:;<3b11<5><16=l;::346?87f=:09:8521`41>70234;j:>4=649>5d032;<>70?n648120=:9h<=6?8:;<3b22<5><16=l87:346?87f>009:8521`4b>70234;j:o4=649>5d732;<>70?n808120=:9h=n6?8:;<3b3g<5><16=l?6:346?87f9m09:8521`5;>70234;j;:4=649>5d112;<>70?mf88120=:9kl?6?8:;<3abd<5><16=oh::346?87en>09:8521cd;>70234;ij;4=649>5f622;<>70?l078120=:9j:<6?8:;<3`4=<5><16=n>6:346?87d8h09:8521b2a>70234;h5f6c2;<>70?l0d8120=:9kh<6?8:;<3`61<5><16=n<>:346?87d9l09:8521c``>70234;io=4=649>5f7e2;<>70?l1`8120=:9j;26?8:;<0a`3<5><16>oj8:346?84el109:8522cf3>702348ij44=649>6f6b2;<>70<16>n>n:346?84d8009:8522b2;>702348h<84=649>6gcb2;<>70<16>oh=:346?84en:09:8522cd7>702348ij84=649>6f472;<>70<16>n?m:346?84d::09:8522b05>702348i4k4=649>6g>b2;<>70<16>o6n:346?84e1>09:8522c;5>702348i584=649>6g?32;<>70<16>o7?:346?84e0009:8522c:;>702348i;84=649>6d`a2;<>70<16>o?i:346?84e:909:8522c02>702348i>?4=649>6g442;<>70?m16>lk;:85g?84fm<02;i522`g;><1c348ji;467e9>6dc020=o70<16>o702348i==4=649>6g762;<>70<16>n68:346?84d0109:8522b::>702348h4l4=649>6f>e2;<>70<16>n6>:346?84d0;09:8522b:0>702348h494=649>6f>22;<>70<16>i8k:346?84c?=09:8522e56>702348o;;4=649>6a102;<>70<16>i9m:346?84c>l09:8522e4e>702348o;=4=649>6a162;<>70<16>i>8:346?84c8109:8522e2:>702348o6a6e2;<>70<16>i??:346?84dm909:8522ba`>702348hoi4=649>6feb2;<>70<16>nh::346?84dn?09:8522bd4>702348hj54=649>6f`>2;<>70<16>lmn:346?84fk009:8522`a;>702348jo:4=649>6de12;<>70<16>lj?:346?84fko09:8522`af>702348joi4=649>6ded2;<>70<16>lj::346?840m009:85226gb>7023486=6a2;<>70<8e98120=::1;;6?8:;<0;54<5><16>5?7:346?84?9009:852293b>7023483=o4=649>6=7d2;<>70<71e8120=::1;n6?8:;<0;5c<5><16>5?=:346?84?9:09:8522937>7023483=84=649>6=712;<>70<7168120=::>on6?8:;<04g4<5><16>:ki:346?840n909:85226d4>70234862`>2;<>70<8f`8120=::>li6?8:;<04bf<5><16>:hk:346?840nl09:85226d2>70234862`42;<>70<8f58120=::>l>6?8:;<04b3<5><16>:m8:346?840k109:85226ae>70234862b62;<>70<8d38120=::>n86?8:;<04`1<5><16>:j::346?840l?09:85226a:>70234862ee2;<>70<8cb8120=::>io6?8:;<04g`<5><16>:k8:346?84?;809:8522911>70234862cd2;<>70<8ee8120=::18;6?8:;<0;64<5><16>5<7:346?84?:009:852290b>7023483>o4=649>6=4d2;<>70<72e8120=::18n6?8:;<0;6c<5><16>5<=:346?84?::09:8522907>7023483>84=649>6=412;<>70<7268120=::>lm6?8:;<0;45<5><16>5>8:346?84?8109:852292:>70234836=6e2;<>70<70b8120=::1:o6?8:;<0;4`<5><16>5>>:346?84?8;09:8522920>7023483<94=649>6=622;<>70<7078120=::19;6?8:;<04`2<5><16>:j7:346?840lo09:85226g3>70234862c52;<>70<8e28120=::>o?6?8:;<04a0<5><16>:k9:346?840l009:85226fb>70234862bd2;<>70<8de8120=::>nn6?8:;<040c<5><16>:;?:346?840=k09:8522645>702348<:44=649>620f2;<>70<86c8120=::><16>:8j:346?840=809:8522671>702348<9>4=649>62332;<>70<8548120=::>?=6?8:;<0412<5><16>:;7:346?840=009:852267b>702348<9n4=649>623c2;<>70<85d8120=::>?m6?8:;<0425<5><16>:8>:346?840>;09:8522640>702348<:94=649>62022;<>70<8668120=::><36?8:;<04f<<5><16>:l7:346?840j>09:85226`5>70234862d32;<>70<8b28120=::>h96?8:;<04f4<5><16>:l?:346?840i809:85226c0>70234862g72;<>70<8a48120=::>3m6?8:;<04e1<5><16>4li:346?84>n;09:85228d0>7023482no4=649>6<`62;<>70<16>4h7:346?84f8:09:8522`2;>702348j<44=649>6d6f2;<>70<16>l>j:346?84>n009:85228db>7023482jo4=649>6<`d2;<>70<6fe8120=::0ln6?8:;<0:bc<5><16>l>?:346?84f8809:8522`21>702348j<94=649>6d622;<>70<16>4m>:346?84>kj09:85228f2>7023482h?4=649>670<6d58120=::0n>6?8:;<0:`3<5><16>4j8:346?84>k;09:85228a0>7023482o94=649>670<6c78120=::0i<6?8:;<0:g=<5><16>4m6:346?84>kh09:85228aa>7023482oi4=649>670<6cg8120=::0n;6?8:;<0:b1<5><16>4h::346?84>n?09:8522`0;>702348j>44=649>6d6a2;<>70<16>l<>:346?84f:;09:8522`00>702348j>94=649>6d422;<>70<16>l?<:346?84f9=09:8522`36>702348j=;4=649>6d702;<>70<16>l?l:346?84f9m09:8522`3f>702348j=k4=649>670<6d88120=::0o?6?8:;<0:a<<5><16>4kn:346?84>mk09:85228g`>7023482ii4=649>670<6eg8120=::0nj6?8:;<0:`g<5><16>4jl:346?84>lm09:85228ff>7023482hk4=649>670<6e08120=::0o96?8:;<0:a6<5><16>4k::346?84>m?09:85228g4>7023482i54=649>a`g=:??01i<>:346?8cbj38=963k2d8120=:mli1>;;4=e1a>70234onh7<95:?g0=<5><16ihh527789a052;<>70ki0;051>;c>o09:852egg9633<5mho6?8:;ac7=:??01i9l:346?8`7838=963kd68120=:mo>1>;;4=e::>70234l;=7<95:?ga1<5><16j=<527789a`62;<>70h?3;051>;cnl09:852ega9633<5mk86?8:;aac=:??01i>8:346?8ccl38=963lf`8120=:mmi1>;;4=bgg>70234oon7<95:?`a5<5><16iio527789fb42;<>70kk9;051>;dk?09:852f1;9633<5l>h6?8:;b51=:??01h==:346?8`7>38=963j248120=:n9?1>;;4=d3;>70234omn7<95:?ge7<5><16ihk527789a062;<>70kj3;051>;c:909:852ee:9633<5ji>6?8:;5f4f2;j16?i9k:34g?85c?o09:n523e5e>70c349o4=4=6b9>7a>72;j16?i9l:34g?85c?009:n523e5:>70c349o;l4=6b9>7a1f2;j16>>9;:34`?844?<09:n522255>70d3488;:4=6b9>661?2;j16?im9:34g?853k809:852344f>702349?n84=649>70052;<>70=;b78120=:;<<86?8:;<17gf<5><16?896:346?853ko09:852345`>702349?h=4=649>701c2;<>70=;d08120=:;<=n6?8:;<17`7<5><16?89i:346?853l:09:85234:3>702349?h94=649>70>62;<>70=;b68120=:;<<16?88::346?853j009:8523445>702349?nl4=649>70002;<>70=;bc8120=:;<<36?8:;<17ff<5><16?886:346?853jm09:852344b>702349?nh4=649>700e2;<>70=;bg8120=:;<<16?88k:346?853k;09:852344e>702349?o>4=649>70172;<>70=;c58120=:;<=:6?8:;<17g0<5><16?89=:346?853k?09:8523450>702349?o:4=649>70132;<>70=;c98120=:;<=>6?8:;<17g<<5><16?899:346?853kh09:8523454>702349?oo4=649>701?2;<>70=;ce8120=:;<=j6?8:;<17g`<5><16?89m:346?871>?09:8521744>70234;=:<4=649>53052;<>70?95b8120=:9??o6?8:;<3512<5><16=;;7:346?871=;09:8521770>70234;=8i4=649>532b2;<>70?9498120=:9?>26?8:;<3506<5><16=;:;:346?871;;09:8521710>70234;=>i4=649>534b2;<>70?9298120=:9?826?8:;<3566<5><16=;<;:346?8719l09:852173e>70234;==44=649>537f2;<>70?9158120=:9?;>6?8:;<354c<5><16=;??:346?872nh09:85214da>70234;>j84=649>50`12;<>70?:f18120=:9<16=8kl:346?872m?09:85214g4>70234;>i<4=649>50c52;<>70?:db8120=:9<16=8j7:346?872l;09:85214f0>70234;>oi4=649>50eb2;<>70?:c98120=:9<16=8m;:346?872jl09:85214`e>70234;>n44=649>50df2;<>70?:b58120=:96?8:;<36ec<5><16=8l?:346?876>809:8521041>70234;84=4=5e78yv7d:l0;6?u21b13>71f34;9hk4>c3g8yv7d;90;6?u21b13>=b>34;>;<4>c228yv4cj>0;69lt=31b6?41=279?i:52778975c=38=963=3e49633<5;9h;7<95:?17f>=:??01?=l9;051>;5;j81>;;4=31`7?41=279?:m52778975f938=963=38g9633<5;9hm7<95:?174d=:??01?:?8;051>;5<8;1>;;4=3626?41=2798<=52778975e<38=963=3c79633<5;9i:7<95:?17g?=:??01?=m7;051>;5;k21>;;4=31ae?41=279?ol52778975ek38=963=34;9633<5;9>m7<95:?170d=:??01?==a;051>;5;;h1>;;4=311`?41=279??k527789755n38=963=3209633<5;98<7<95:?1767=:??01?=<3;051>;5;:>1>;;4=3171?41=279?98527789753?38=963=35:9633<5;9?57<95:?171g=:??01?=;b;051>;5;=i1>;;4=3175?41=279?9<527789753;38=963=3569633<5;9mh7<95:?17cc=:??01?=if;051>;5<9:1>;;4=3635?41=279?k652778975a138=963=3gc9633<5;9mn7<95:?17ce=:??01?=md;051>;5;;i1>;;4=3145?41l279?:<527f89750;38=h63=366963b<5;9<97<9d:?1720=:?n01?=87;05`>;5;>21>;j4=314e?41l279?:l527f89427;38on:5rs31b1?6=:r79?l<526c8975f032>56s|22c1>5<>s488m?47d89>66b32;=j70<>m7:35b?844k009;l5222a1>71f3488o>4=7`9~w6b0>3:1>v301>j8d;630>;4l>l18=:4=2f;4?27<278h:l5416896b0k3>;863?01>j9d;661>;6=>l1?i9:;<37g6<4l>?01<:ib;1g30=:9<:o6>j85:?2143=;m=>70?:1e80`23<58>3h7=k749>7d7e2=?370=84g8713=z{:i?87>52z?0`5>=:1n01>m;9;:6=>{t;jo36=4={<1g4={t;j=86=4={<1g4<<50m16=89;:2a47>{t;jl86=4={<1g4<{t;j2o6=4={<1g51<50m16?n7=:97:?xu4koo1<7c127:8kj53bdf?xu4k0k1<7=b>34;>7>c349hm4483g9~w6b7:3:1>v3v379j?3:p7fd22909w0=k2581j=4;:g=>;4i9o188;4}r1`f`<72;q6?i<::3:g?85dk=0>96s|3ba4>5<5s49o>;4=8e9>7fe?2>9m7p}7}:;m8<65j6;<3672<4l9=0q~=l4e83>7}:;m:j6?6k;<1`0`<0;o1v>mj9;296~;4l9k14i74=06:m:1;296~;4l9h1>5j4=2a66?14n2wx?nkn:18185c8k03h45215;g>6ebi2wx?n;::18185c8j094i523b75>25a3ty8ohl50;0x96b7k32o563>4`097fce3ty8o8750;0x96b7l383h637a6c21n270?;a680g`e7a6b2;2o70=l5d847c=z{:inh7>52z?0`5c=0m301<:nc;1`aa=z{:i==7>52z?0`5`=:1n01>m92;50b>{t;jon6=4={<1g4c{t;j<>6=4={<1g55<50m16?n88:61e?xu4kll1<7c127:8o:53bge?xu4k?k1<7=b>34;?n:4o0;6?u23e31>7>c349h;=47589~w6ea93:1>v3in7=lf09~w6e093:1>v376:9:p7f`52909w0=k128;`<=:9=hm6>mi2:p7f1>2909w0=k1481j>5;:g=>;6j>6;0;`>;4k>i14874}r1`b0<72;q6?i?9:9f:?873km08ok;4}r1`3a<72;q6?i?8:3:g?85d?l03945rs2ae2?6=:r78h<958e;8942c939hj;5rs2a4b?6=:r78h<6529f896e?832>56s|3bd4>5<5s49o=547d89>51b12:im;6s|3b:2>5<5s49o=44=8e9>7f>521?27p}7}:;m;j65j6;<37a5<4ko30q~=l8483>7}:;m;i6?6k;<1`<3mia;296~;4l8h14i74=06f2?5dnh1v>m77;296~;4l8i1>5j4=2a;212wx?nhm:18185c9j03h45210f`>6eaj2wx?n66:18185c9m094i523b:b>=3>3ty8okm50;0x96b6l32o563>4dd97f`d3ty8o5l50;0x96b6m383h637a7b21n270?;f580gcb7a472;2o70=l968;1<=z{:imj7>52z?0`76=0m301<;?1;1`bc=z{:i247>52z?0`77=:1n01>m69;:6=>{t;m:;6=4={<1g649:2f34>{t;k;i6=4={<1g6=<5?h16=8:;:2`2f>{t;m836=4:{<1g6=:576?xu4km81<7;6:kn1?nmi;<3;bf<3=<16=?m;:2a`b>;69k31?nmi;<32g1<4kjl01<<8f;1`gc=:9;=o6>mlf:?25f`=;jim70?=d280gf`<5888n7=lcg9>57>b2:ihj63>29697fea34;8n=413234;>:h46edn27:=nj53bae?85d;o0?985210f:>6edn27:>n=53bae?875l;08onh4=00`5?5dko16=?j?:2a`b>;69jk1?nmi;<362c<4kjl01<:6>mlf:?210>=;jim70?=e480gf`<589?n7=lcg9>562f2:ihj63>39c97fea3ty8?;650;0x9654m384449760?3ty8?>k50;1x9654m32o563<37c9003<5:9i?77=6:p76032909w0=<2981=94:p77ge290?ov3<33:960`<5:9>=7<:f:?0704=:=:b;06b>;4;8h4=216`?42n278?8k524d89652n38>j63<372960`<5:9==7<:f:?0734=:=:3;06b>;4;<>1>8h4=2161?42n278?88524d89652?38>j63<34:960`<5:9>57<:f:?070g=:==9;06b>;4;;k1>8h4=211f?42n278??m524d89655l38>j63<33g960`<5:99j7<:f:?0753=:=>a;06b>;4;8h1>8h4=2114?42n278???524d89655:38>j63<331960`<5:9987<:f:?0773=:==6;06b>;4;;=1>8h4=212g?42n278?j63<30d960`<5:9;:7<:f:?0746=:=>5;06b>;4;8<1>8h4=2123?42n278?<6524d89656138>j63<315960`<5:9;47<:f:?075?=:=?a;06b>;4;9h1>8h4=213g?42n278?=j524d89657m38>j63<31d960`<5:9:=7<:f:?0744=:=>3;06b>;4;8>1>8h4=2104?42n278?>?524d89654>38>j63<325960`<5:9847<:f:?076?=:=;4;:h1>8h4=210g?42n278?>j524d89654:38>j63<321960`<5:9887<:f:?0763=:52z?077>=0m301>{t::oi6=4={<00`1<50m16>>6=:97:?xu5;m;1<7c127::>l54468yv44mj0;6?u222f6>7>c34884>47589~w75c:3:1>v3=3e79;6>:l188:4}r00a=<72;q6>>m8:3:g?844?o03945rs31`0?6=:r79?n958e;89407?3>>86s|22g:>5<5s488o54=8e9>66>721?27p}=3b794?4|5;9h476k9:?225?=<<>0q~<7}:::i26?6k;<00<4>k9:181844k;094i52225g>=3>3ty9?n>50;0x975d:32o563>613900266e42;2o70<<7d8;1<=z{;9h=7>52z?17f5=0m301<8?3;660>{t::nh6=4l{<003f<50m16>?o<:61e?844?80?<8522251>1623488;>4;049>66132=:>70<<748740=:::==69>:;<0032<38<16>>97:526?844?h0?<852225a>1623ty9?:m50;;x9750k32o563=3969003<5;93?7::5:?17=4=<;5;1:188;4=314b?22=279?:k544789750l3>>96s|4ec94?4??r79?l?529f896b?9383h63>a`596=b<58kj>7<7d:?2=50=:1n01<7?1;0;`>;4<931>;?4=263=?>a82788=758g389627132m>63<41;951?<5:>;57?kb:?0013=:?;01>:;5;:e4>;4<=?14k?4=2671?>a:27884852738962>>32m<63<48492:76i2:?00<0=9=301?<7a;0:f>;5:1i1>4l4=30;a?4>j279>4>528`8974?>382n63=29:96;5m;>1>;=4=3g4e?41;279i5l5271897c0j382n63=e6f96;5m1?1>4l4=3g;3?4>j279i><5271897c3l38=?63=e2;96;5m=;1>4l4=3g77?4>j279i9;528`897c3?382n63=e5;96;5m?:1>;=4=3g44?41;279i;;528`897c1?382n63=e7;96;5m0i1>4l4=3g:a?4>j278<<>528`897`1l382n63=f6696;5n>21>4l4=3d4=?4>j279j:o528`897`0j382n63=f6a96;5n>;1>4l4=3d46?4>j279j:=528`8974a038=?63=31f9635<5;8m57<6b:?16cd=:0h01?;5:ol1>4l4=3135?4>j279?==528`89757=382n63=31596;5:k;1>4l4=30a7?4>j279>o;528`8974e?382n63=2c;96;5:m;1>;=4=30fi:528`8974c>382n63=2e:96;5:l:1>4l4=30f6?4>j279>h:528`8974c:382n63=27`9635<5;8;5:>;1>4l4=3057?4>j279>;;528`89741?382n63=27;96:>9;057>;4<:<1>;=4=3d3a?41;279j9j52718940413>>863>6179002<58?mj7::4:?21cb=<<>01<96f;6a3>;6?0=1>;=4=0541?41;27:;;l54c589411;38=?63>7539635<58nmn7<6b:?2a5b=:0h01;6m931>4l4=0g33?4>j27:i=;528`894c7;382n63>e13961;057>;6lok1>;=4=0a`3?4>j27:on;528`894ed;382n63>cb396;6km?1>4l4=0ag7?4>j27:oi?528`894edn382n63>cbf96;6kko1>;=4=0a0e?4>j27:o9:5271894e4:38=?63>de596;6ll91>4l4=0ff5?4>j27:hih528`894bcl382n63>de`96;6lk91>4l4=0f`1?4>j27:hn=528`894bd9382n63>dcd96;6lk=1>4l4=0fa1?4>j27:hn75271894be:38=?63>cg696;6mok1>4l4=3fg3?2e?279hnk5271897bek38=?63bn27j?n47d99>e6e=0m=01l=l:9f6?8g4j38<<63n3c8;ac=:i:h14i64=`1a>=b034k8n76k5:?b0<<50m16=l<=:340?87f;<09:>521`00>7?e34;j>84=9c9>5d402;3i70?n2881=g=:9h8i6?7m;<3b6a<51k16=l70434;ih54=629>5ge12;3i70?mc981=g=:9kij6?7m;<3agf<51k16=omj:3;a?87el9095o521cf1>7?e34;ih94=9c9>6g502;3i708jj:3;a?842lo095o5224g3>7?e348>i<4=9c9>60c52;3i70<:e281=g=:::16>;8l:340?841<;095o52277;>7?e348=9n4=9c9>633b2;3i70<96181=g=::?<96?7m;<0521<51k16>;89:3;a?841>1095o52270f>7?e348=?=4=9c9>63552;3i70<93581=g=::?9=6?7m;<057=<51k16>;=n:3;a?841;j095o52271f>7?e348=8=4=9c9>63232;3i70<94781=g=::?>36?7m;<050d<51k16>;:l:3;a?8417?e348=9?4=9c9>63332;3i70<95781=g=::??j6?7m;<043c<51k16>:9k:3;a?840?k095o52265:>7?e348<;:4=9c9>62122;3i70<87281=g=::>=:6?7m;<04<6<5>:16>:9?:340?84?io095o5229`1>7?e3483n>4=9c9>6=d32;3i70<7b481=g=::1h=6?7m;<0;f2<51k16>5l7:3;a?84?j0095o5229`b>7?e3483n=4=9c9>6=d62;3i70==ad81=g=:;;km6?7m;<11f5<51k16??l>:3;a?855j;095o5233`0>7?e3499n94=9c9>77d22;3i70?82b81=g=:9>8o6?7m;<346`<51k16=:7?e34;52542;3i70<>4081=g=::8>96?7m;<0206<51k16><:;:3;a?846<<095o522065>7?e348:8:4=9c9>642?2;3i70?l2`8:06=:9j8j6>=>;<1g3`<><:16?i9j:8:3?85c?l0289523e5g><24349o;i46819>7a1c20>?70=k7g8:06=:;m=m646?;<1g3c<><=16?i6?:860?85c09024=523e:3><23349o;o46429>7a1e202;70=k7c8:01=:;m=h64:<;<1g3f<>0916?i9l:867?85c?0028>523e5:><>7349o;446459>7a1f20>870=k7`8:<5=:;m=j64:;;<0034<><:16>>9>:8:3?844?80289522251><243488;?46819>661520>?70<<728:06=:::=8646?;<0036<><=16>>9;:860?844?=024=522257><233488;846429>6612202;70<<748:01=:::==64:<;<0033<>0916>>99:867?844?>028>522254><>73488;:46459>661?20>870<<798:<5=:::=364:;;<003d<><:16>>9n:8:3?844?h028952225a><243488;o46819>661e20>?70=kc78:06=:;mi=646?;<1gg3<><=16?im9:217?85ck?08?>523ea5>655349oo;4<309>66ea2=?>70=o<:576?85ej00?98523c:e>1323483544;549>7fc72=?>70?j5g8710=:9l?269;:;<0;0`<3=?16?n7k:576?85d190?98521d7`>132349:j44;579>75ef2=?>70=la78713=:;=i:6<:>;<17g4<6:06a?853j<0:8<5235`6>42f349?n84>4c9>71d128>:70=;b7820d=:;=h=6<:m;<17gf<6<816?9ml:06b?853kj0:8o5235ae>426349?ok4>4`9>71ea28>i70=;d18204=:;=n;6<:n;<17`5<6:062?853l80:8l5235f2>42e349?h?4>409>71b528>j70=;d3820g=:;=n86<:>;<17`6<642f349?h94>4c9>71d028>:70=;b6820d=:;=h<6<:m;<17f=<6<816?9l7:06b?853j10:8o5235`:>426349?n44>4`9>71d>28>i70=;b`8204=:;=hj6<:n;<17fd<642e349?nn4>409>71dd28>j70=;bb820g=:;=ho6<:>;<17fa<6426349?nh4>4`9>71db28>i70=;bg827c=:;=hm6<:>;<17fc<6426349?o=4>4`9>71e728>i70=;c3827c=:;=i96<:>;<17g7<6426349?o>4>4`9>71e428>i70=;c5827c=:;=i?6<:>;<17g1<6426349?o84>4`9>71e228>i70=;c7827c=:;=i=6<:>;<17g3<60:?k5235a4>426349?o:4>4`9>71e028>i70=;c9827c=:;=i36<:>;<17g=<6426349?o44>4`9>71e>28>i70=;c`827c=:;=ij6<:>;<17gd<6426349?oo4>4`9>71ee28>i70=;ce827c=:;=io6<:>;<17ga<6426349?oh4>4`9>71eb28>i70?967827c=:9?<=6<:>;<3523<680:?k521742>42634;=:<4>4`9>530628>i70?95b827c=:9??h6<:>;<351f<60:?k521774>42634;=9:4>4`9>533028>i70?953827c=:9??96<:>;<3517<642634;=8i4>4`9>532c28>i70?949827c=:9?>36<:>;<350=<642634;=8>4>4`9>532428>i70?933827c=:9?996<:>;<3577<642634;=>i4>4`9>534c28>i70?929827c=:9?836<:>;<356=<642634;=>>4>4`9>534428>i70?91d827c=:9?;n6<:>;<355`<642634;==44>4`9>537>28>i70?915827c=:9?;?6<:>;<3551<642634;=4`9>536a28>i70?:f`827c=:9;<36bd<642634;>j84>4`9>50`228>i70?:f1827c=:9;<36b5<642634;>io4>4`9>50ce28>i70?:e7827c=:9;<36a3<642634;>i<4>4`9>50c628>i70?:db827c=:9;<36`f<60:?k5214f4>42634;>h:4>4`9>50b028>i70?:d3827c=:9;<36`7<642634;>oi4>4`9>50ec28>i70?:c9827c=:9;<36g=<642634;>o>4>4`9>50e428>i70?:bd827c=:9;<36f`<642634;>n44>4`9>50d>28>i70?:b5827c=:9;<36f1<642634;>mk4>4`9>50ga28>i70?>60827c=:98<:6<:>;<3224<6:06a?xu6?:n1<7?:9z?17d7=0m:01>j71;04e>;5;031>:o4=2af3?40i278<>9526c89660938=:>k01>>89;04e>;48>k1>:o4=224f?40i278<:m526c89660l38k01>>85;04e>;48><1>:o4=22:g?40i278<8l526c89663l38?7<8a:?0402=:>k01>>:5;04e>;48<<1>:o4=2263?40i278<86526c89662138k01>>:1;04e>;48<81>:o4=217=?40i278?9o58e289653j32o<63<35a9=;f;:g4>;4;<:14i>4=217c8278>4>526c8967an38k01>>99;04e>;48?k1>:o4=225f?40i278<;m526c89661l38k01>>95;04e>;48?<1>:o4=2253?40i278<;6526c894g>?38a8d9>77;04e>;4:;:1>:o4=200e?40i278>>j526c89643838k01><;c;04e>;4:;;1>:o4=2010?40i278>?9526c89645i3871f34;:>k4=7`9>544>2;=j70?>2`813d=:98836?9n;<326a<5?h16=<71f34;:>:4=7`9>547a2;=j70?>25813d=:988>6?9n;<3266<5?h16=71f34;:=o4=7`9>54452;=j70?>1`813d=:98;?6?9n;<3250<5?h16=71f34;:=:4=7`9>54762;=j70?>13813d=:98:36?9n;<324a<5?h16=<>j:35b?8768j09;l521025>71f34;:<:4=7`9>54622;=j70?>0c813d=:98:j6?9n;<3241<5?h16=<><:35b?877nm09;l521021>71f34;;jn4=7`9>54662;=j70??fc813d=:98:;6?9n;<33bd<5?h16==hi:35b?877n009;l5211d;>71f34;;j?4=7`9>55`02;=j70??f0813d=:99l=6?9n;<33b5<5?h16==h::35b?877mo09;l5211d7>71f34;;ii4=7`9>55cb2;=j70??eb813d=:99o?6?9n;<33a0<5?h16==k<:35b?877m;09;l5211g:>71f34;;i:4=7`9>55c62;=j70??e9813d=:99o;6?9n;<33`<<5?h16==jn:35b?877l109;l5211ff>71f34;;hk4=7`9>55b02;=j70??de813d=:99nh6?9n;<33`0<5?h16==j9:35b?877kk09;l5211a3>71f34;;h94=7`9>b52=0m:01<>m5;04e>;bnh03h=52eg;9c827nj;47d19>ac3=0m:01hk6:9f3?8cb:32o<63je98;`5=:ml=14i>4=031g?40i27ni<47d19>a`0=0m:01;bm903h=52ed790gg962g<58:m?7<8a:?24ad=:>k01<>j6;04e>;68k>1>:o4=02a5?40i27:0e3962g<58:hm7<8a:?24a6=:>k01<>lf;04e>;68j31>:o4=02`0bf962g<58:h:7<8a:?24fe=:>k01<>mf;04e>;68j?1>:o4=02aa?40i27:0b0962g<58:h?7<8a:?24f7=:>k01<>mb;04e>;68ki1>:o4=02a=?40i27:0c4962g<58:i;7<8a:?256>=:>k01;69:91>:o4=0303?40i27:44=526c894>>:38864962g<582<97<8a:?2<25=:>k01<682;04e>;60>>1>:o4=0:45?40i27:4:>526c894>0m3886f962g<582k01<68b;04e>;60>31>:o4=0:41n3887g962g<582>n7<8a:?2<0g=:>k01<6:7;04e>;60<>1>:o4=0:33?40i27:4=o526c894>7<388209k01<6?1;04e>;6?oh1>:o4=0:1=?40i27:4?m526c894>5>387g7962g<58=m47<8a:?2<75=:>k01<9j0;04e>;6?mn1>:o4=05f`?40i27:49m526c8941a832o<63>85;962g<58=n;7<8a:?23`g=:>k01<6;6;04e>;6?l>1>:o4=05f5?40i27:49>526c894>3;3882c962g<5828;7<8a:?2<6b=:>k01<6>d;04e>;60;81>:o4=050`?>2127nil47d19>`77=0m:01hkm:9f3?8b5m32o<63jeb8;`5=:l:h14i>4=dgg>=b734n?476k0:?fac;bnl03h=52dcf9c827nj<47d19>`2e=0m:01k>?:9f3?8bc?32o<63jf58;`5=:l1314i>4=g22>=b734nn876k0:?e47;bnj03h=52d`19c827nhh47d19>`51=0m:01hjk:9f3?8eai32o<63jdb8;`5=:kln14i>4=dfa>=b734in<76k0:?f`d21n;70ml6;:g4>;a8003h=52e5a9c827m<:47d19>a64=0m:01k>9:9f3?8c5=32o<63i048;`5=:m8214i>4=dda>=b734nj>76k0:?fa`;bl103h=52cb7965j?;c82wx>>o>:181844i803h45222;f>7>c3ty8h:=50;cx96b?932o<63j8f;631>;4l1:18=;4=2f4f?27=278h:m5417896b013>;9634?:3y>7a>62;?m70=ka58;1<=z{:n3=7>54z?0`=7=0m301>?91;661>;48h:15?84=0602?5c081v?=6a;296~;5;031>5j4=31:`?14n2wx>>76:1818441003h4523b63>1313ty8o><50;1x96eb?383h63>57g97f5534;>;l40;6?=t=2af3?>c127:=:?53bg4?85c>m0?9;5215a6>6eb?27:8kj53bg4?8728o08oh94=0723?5dm>16=8?i:2af3>;6<0n1?nk8;<37=6<4kl=0116=8=>:2af3>;6=:=1?nk8;<37==<4kl=01<:n2;1`a2=:9=k<6>mj7:?20de=;jo<70?;b180g`1<58>i87=le69>51d02:in;63>4c`97fc034;?nk46eb?27:8i?53bg4?873l?08oh94=06gf?5dm>16=9k?:2af3>;6mj7:?2150=;jo<7p}<0c394?4|5::847<7d:?04g2=0<30q~<:d683>=3|5::847<:f:?0415=:>;8;06b>;48=31>8h4=227e?42n278<9l524d89663k38>j63<02;960`<5::8m7<:f:?046d=:>;48:n1>8h4=220a?42n278<>h524d89663838>j63<053960`<5::?>7<:f:?0412=:>;5;06b>;48=<1>8h4=2273?42n278>8>524d89642938>j63<24a960`<5:8=;7<:f:?063g=:<9b;06b>;4:?i1>8h4=205`?42n278>;k524d89641n38>j63<240960`<5:8>?7<:f:?0602=:<:5;06b>;4:<<1>8h4=2063?42n278>86524d89642138>j63<24c960`<5:8>n7<:f:?060b=:<:e;06b>;4:8h4=2054?42n278>;?524d89641:38>j63<271960`<5:8=87<:f:?0633=:<96;06b>;4:?21>8h4=205=?42n278>4?524d896ge>38>j63<04a960`<5::>h7<:f:?040c=:>:f;06b>;48?:1>8h4=2255?42n278<;<524d89660n38>j63<092960`<5::3=7<:f:?04=4=:>73;06b>;4:9:1>8h4=2035?42n278>=m524d89646?38>j63<20c960`<5:8:n7<:f:?064e=:<>d;06b>;4:8o1>8h4=202b?42n278>=<524d89647;38>j63<216960`<5:8;97<:f:?0650=:;4:921>8h4=203=?42n278>=o524d89647j38>j63<21f960`<5:8;i7<:f:?065`=:<>0;06b>;4:8;1>8h4=2026?42n278><=524d89646<38>j63<207960`<5:8::7<:f:?064>=:<>9;06b>;481k1>8h4=22;f?42n278<4:524d8966>=38>j63<084960`<5::2;7<:f:?04<>=:>69;06b>;480k1>8h4=22:f?42n278<5m524d8966?l38>j63<09g960`<5::3j7<:f:?04<6=:>61;06b>;48081>8h4=22:7?42n278<5:524d8966?=38>j63<094960`<5:>oo7<:f:?00a?=::k8;06b>;4<931>;>4=2671?4182788485272896d??38>j63;5?lo1>:o4=2a1a?>212wx?==7:180857;103h4523225>7>c349;n94;579~w66c=3:1>v3<05196=b<5::o:76:9:p7524290hw0=?428;`<=:;:;;6?6k;<36e6<48=901<;n4;1306=:96>>;3:?21d0=;9>870?:a680415<58?j47=?429>50g>2::??63>5`c9752434;>m?4<0518966c>3>>96s|31f4>5<5s49;854=8e9>75b021?27p}<05:94?5|5::?476k9:?0743=:1n01>>k7;661>{t;9no6=4={<130<<50m16?=jj:97:?xu48=31<7=t=227=?>c1278?<8529f8966cm3>>96s|31fe>5<5s49;8l4=8e9>75c721?27p}<05c94?2|5::?m76k9:?0741=:1n01<::5;130d=:;9o;69;:;|q04`7=838p1>>;b;0;`>;48l814874}r130g<72:q6?=:m:9f:?85491094i5231g1>1323ty8406975c23ty8<9m50;ax9663k32o563<30;96=b<58?j?7=?4b9>50g32::?o63>5`79752d34;>m;4<05a8943f?39;8n5214c;>663k27:9l75316`?872ih08<9m4=07b6?57u2311:>=b>3498<:4=8e9>75g52=?>7p}<0`194?4|5::8m7<7d:?04d2=0<30q~=?3`83>6}:;99j65j6;<3711<48:k01>>n4;661>{t;9k>6=4={<137g<50m16=9>m:22b1>{t;99i6=4<{<137g>7:3:g?857i?0?985rs22b=?6=:r78<>m529f89427k39;m45rs220g?6=;r78<>m58e;896571383h63<0`c9003755c2;2o70=?ae8;1<=z{::8h7>54z?046b=0m301>=?a;0;`>;6<<>1?==k;<13ea<3=<1v>>m5;296~;48:o1>5j4=22a1?>212wx?==j:187857;l03h452322a>7>c34;?984<02g8966e=3>>96s|31`:>5<5s49;?k4=8e9>75d>21?27p}<02d94?2|5::8j76k9:?075e=:1n01<::5;137c=:;9h269;:;|q04gd=838p1>>;0;0;`>;48ki14874}r1305<72:q6?=:?:9f:?8548m094i5231``>1323ty8752621n270=<0d817}:;9>96?6k;<13fc>;2;290~;48=814i74=213b?4?l27856s|3167>5<3s49;8947d89>76762;2o70?;5580412<5::h?7::5:p75e02909w0=?4481p1>>;5;:g=>;4;881>5j4=0661?57<<16?=mj:576?xu48jl1<7u23165>=b>3498=>4=8e9>75ea2=?>7p}<0e194?4|5::?;7<7d:?04a5=0<30q~=?4683>1}:;9><65j6;<1051<50m16=9;;:2273>;48m9188;4}r13=a<72;q6?==8:3:g?857i90958e;89657=383h63<08g9003<5::2j7::5:?2060=;99<70=>278710=:;88?69;:;|q0375=838p1><:0;0;`>;4?:?14874}r1115<728?p1><:0;:g=>;4:>:1>5j4=3gbb?4>j279i<85447897c71382n63=f6f9003<5;l3h7<6b:?1bfb=:0h01?h=d;661>;5n9o1>4l4=06;7?55=916=8;4?<>188;4=06:2?55=916>kok:576?84amm0?98522daf>13234;?=84<24289437?3999=5234ag>13134;?o:4<2428yv5?==0;6?u23372>7>c34939h47589~w64293:1=8u23372>=b>3499;<4=8e9>6`df2;3i70k77:3;a?84al1095o522g1;>132348m=44=9c9>51>32:8>=63>4g:9773634;>?84<24389437;3999<522g`;>132348mj54;549>6`b>2=?>70?;c980607<58>:n7==509>70`>2=?=70=7668710=z{:3>87>52z?060e=:1n01>790;:6=>{t;;?h6=4>7z?060e=0m301><8c;0;`>;5mj?1>4l4=3g2g?22=279i=k528`897`?<3>>963=f`196;6<1?1??;l;<1:2a<3=<16=9hi:206g>;6=9k1??;l;<367g<4:<:576?8521:0?985232:3>13134;?=n4<24a89605=3>>:6s|38c3>5<5s499::4=8e9>777>02;2o70k6;:575?84ai?095o522gg5>7?e348m8;4;549>6c412;3i70?;8780631<5:3i57::5:?2147=;;<<70?:1380631<58>2o7==669>51`62:8=;63=ed59003<5;lh:7::5:?0450=<;66;661>;6<8n1??88;<10<1<3=<16?;=?:575?xu41ki1<7h0;6<9t=205e?>c1278>5o529f897cd0382n63=e0g9003<5;o:<7<6b:?1b=3=<;5nl=1>4l4=3d73?22=279j?9528`8942??399:l5238f6>13234;>=44<27c89436i399:l5215c2>641i27:8hm5334b?84bm10?98522ga4>132349;<:4;549>517b2:8=m63<39a9000<5:?2n7::5:?0262=<<<0q~=6d983>7}:;;<9b;2952}:;;hm6:3;a?84b9o0?98522d32>7?e348m484;579>6cg?2;3i70369;:;<0e6=<51k16=967:205f>;49;k188;4=2;e5?22=27:9??5334a?872:=08>;l4=06b2?55>k16=9k7:205f>;5ml3188;4=3d`:3>>963<5`29003<5:<847::6:p7<`32909w0==6b817i4:p770d290:;v3<27a9;5m881>4l4=3d;2?22=279jl7528`897`b1382n63=f5;9003<5;l957<6b:?20=?=;;<9c:?217d=;;n?7==6b9>6`cf2=?>70=<958710=::oi269;:;<134<<3=<16?<=k:576?852i<0?9852371`>1313ty8m53;97d7b3ty8>;j50;34855>m03h45233:g>7>c348noo4=9c9>6`462=?>70kkn:3;a?84a7?e34;?4l4<27f896g413>>963>53d9770c34;>?84<27f8942fl399:i5215fg>641l279ihl5447897`di3>>963<01c9003<5:;?57::5:?07d7=<<<01>8;0;662>;4=h3188;4}r1b7f<72;q6??8j:3:g?872;908m>m4}r112`<7282p1><9e;:g=>;4:1o1>5j4=3g`g?4>j279i?<5447897c6<382n63=f959003<5;ljn7<6b:?1b`d=:0h01?h;b;661>;5n;h1>4l4=252e?22>278m8<544789434<399:h52141a>641m27:8o?5334f?873l108>;k4=251g?22=279ihm54478965f?3>>963=fb`9003<5::;n7::5:?0503=<8;4;661>;4=hl188;4}r1b1<<72;q6??8i:3:g?872;?08m874}r112c<7282p1><9f;:g=>;4:1l1>5j4=3g``?4>j279i?=5447897c6=382n63=f959000<5;ljo7<6b:?1b`e=:0h01?h;c;661>;5n;i1>4l4=252b?22>278m;h544789434i399:k5215;`>641n27:8o;5334e?873l:08>;h4=21bb?22=279ihj5447897`dk3>>963<01a9003<5:;>j7::5:?021>=<;m4;661>;40<=188;4}r1412<72;q6??;=:3:g?8731>08;894}r1117<7282p1><:2;:g=>;4:>81>5j4=3ga4?4>j279i<95447897c7i382n63=f9:9003<5;l3i7<6b:?1bfc=:0h01?h=e;661>;5n9l1>4l4=24;=?22>27858l544789611l3>>963>48`9773534;?m<4<2408942e03999?5215ae>642:278?:o5447897cdn3>>963=f`g9003<5;lni7::5:?2040=;;?970=:d08713=:;<2o69;:;|q0322=838p1><:3;0;`>;4?1;14874}r1116<728<:3;:g=>;4:>91>5j4=3ga5?4>j279i<65447897c7j382n63=f9:9000<5;l3j7<6b:?1bf`=:0h01?h=f;661>;5n8:1>4l4=24;a?22>2785l954478961??3>>963>4`49773434;?nn4<2418942dj3999>522df3>132348mmk4;549>6cca2=?>70?;1680605<5:?o97::6:?20d6=;;?87p}<79g94?4|5:8>87<7d:?0343|5:8>876k9:?0622=:1n01?km2;0:f>;5m83188;4=3g3g?4>j279j575447897`>8382n63=fe296863;662>;41j9188;4=25b7?22=27:8l;53377?873ik08>8:4=2:7`?22=279ii?5447897`e83>>963=fg29003<58>:47==559>70b>2=?=7p}<7`c94?4|5:8>97<7d:?03g?=0<30q~==5483>43|5:8>976k9:?0623=:1n01?km3;0:f>;5m8k188;4=3d;=?22>279j4?528`897`c9382n63=f239003<5;l:>7<6b:?02<>=<<<01>7kf;661>;4?kl188;4=06b`?55=<16=9lk:2061>;6kl>:576?84an80?9852153:>642=2789ij54448942fi399985rs25`2?6=:r78>88529f8961c:32>56s|3375>5<6;r78>8858e;89640>383h63=ec696;5n:8188;4=3d27?4>j278:4j544489435;3999;5236f;>13234;?mh4<2448942e93999;5215`:>642>27:8nk53375?84bl:0?98522g`1>132348mj?4;549>70c62=?=7p}<7ef94?4|5:8>;7<7d:?03`?=0<30q~==5683>44|5:8>;76k9:?0621=:1n01?km5;0:f>;5n1k18884=3d:7?4>j279ji=528`897`4;3>>963=f06967::6:?217g=;;?<70=8eg8710=:9=h96><:7:?0<5>=<kh<:576?852m<0?9;5rs25e0?6=:r78>86529f896>7832>56s|337;>5<6;r78>8658e;896400383h63=ec496;5n:>188;4=3d21?4>j278:l954448942e:39995523925>13234;?n84<24:8942e0399955215f4>6420278;>95447897cc=3>>963=fc69003<5;lm87::5:?01`?=<<<0q~=70c83>7}:;;?26?6k;<1;52<:9;2956}:;;?265j6;<113<<50m16>hl8:3;a?84a0k0?9;522g;6>7?e348mh84=9c9>6c522=?>70;408n188;4=06a=?55=016=9ll:206=>;6kl::576?84an<0?985234gg>1313ty84?<50;0x9642i383h63<83g9<0?;5nm<1>4l4=3d02?22=279j<9528`8960e93>>:63<9739003<5:2887::5:?20gb=;;?j70?;c18060g<58>n>7==5`9>6`b02=?>70{t;1926=4={<111g<50m16?5:::97:?xu4:131348m5:4=9c9>6cb02;3i708l4=2:7f?22=27:8n>5337a?873kh08>8l4=06f3?55=k16>hj7:576?84aj>0?98522gd4>132349>j84;579~w6>0:3:1>v3<24f96=b<5:2;5n031>4l4=3dg=?4>j279j>75447897`6i382n63<6b29000<5:3h57::5:?0<=2=<<:d:?20`d=;;?o708j4}r1;<<<72;q6??;j:3:g?85?1<03945rs206a?6=9:q6??;j:9f:?855?l094i522d``>7?e348m;h4;579>6c?f2;3i70m<0?985215;a>642m27844l54478942dn3999h5215f1>642m27:8k>5337f?84blk0?98522g`b>132348mjl4;549>73662=?=7p}<8`294?4|5:8>j7<7d:?045|5:8>j76k9:?062`=:1n01?kmd;0:f>;5n>l188;4=3d:f?4>j279jil528`897`4j3>>963=f0a966><:f:?1aae=<;5noh188;4=2431?22>2wx?5l8:181855>9094i5215f6>6>e?2wx??8?:1827~;4:?:14i74=20;4?4?l279iok528`897`0n3>>:63=f8a96d;0:f>;4>jl18884=0700?55>916?5m6:576?873l108>;>4=06gg?55>916=8>=:2054>;5mmn188;4=3dag?22=279jkm54478960713>>:6377062;2o70?;d`80;5nmn1>4l4=3d0`?22=279j>:63>53d977063493i=4;549>51bc2:8==63>4d0977063496`bb2=?>708?d;662>{t;1o>6=4={<1127<50m16=9ji:2:f1>{t;;<96=4>2z?0634=0m301><72;0;`>;5mj:1>4l4=3d;4?22>279j4k528`897`cm382n63=f2g9003<5;l:j7<6b:?02a?=<<<01>6:f;662>;6=;21??8=;<1;b2<3=<16=9k<:2056>;6klj:576?84anl0?98523732>1313ty84km50;0x9641;383h63>4d797=`d3ty8>;=50;31855>:03h45233:0>7>c348no<4=9c9>6c>62=?>70k13134;>><4<271896?7m3>>963>4d:9770434;?io4<271897cb83>>963=fcd9003<5;lmj7::5:?0243=<<<0q~=61283>7}:;;44|5:8=876k9:?06=2=:1n01?kl2;0:f>;5n1;18884=3db4?4>j279jh>528`897`383>>963=f33969;1121=:;08:69;:;<37af<4:?>01<:i0;1121=::lo:69;:;<0eg5<3=<16?=>?:576?851900?9;5rs2;12?6=:r78>;;529f8942bm392>;5rs2051?6=9;q6??8::9f:?8550<094i522da0>7?e348m4?4;549>6cg62;3i70:69;:;<0e67<51k16?;k7:575?8729808>;;4=2;`=?22>2785>654478942a9399:85215d6>641=279ih<5447897`d93>>963<0139003<5:<:h7::6:p7<5c2909w0==67817v3<2749;5nh81>4l4=3df6?4>j279j9<5447897`5;382n63<6df9000<58>mj7==679>7<96:?2154=;;<=70:575?xu41>:1<753853?xu4:?21<7?={<112=132348mm94=9c9>6cc32;3i706?7m;<15b2<3=?16=9m9:205<>;4i9k18884=2;;6?22=27:9==5334;?8728108>;64=3gf1?22=279jn:544789667<3>>963<63;9000770>2;2o70?:0480==1;4:;3188;4=0670?55>016??7i:575?852kj0?98521563>6411278>9o54448963d=3>>963<6709000<58?8h7==689>732d2=?=70=9fb8713=:;<3969;:;<156`<3=<16?476:576?8728108>;74=06:2?55>016=8om:205=>;5nj?188;4=2231?22=279ih854478963>>3>>:63<5819000<58;<<7==689>51262:8=563<28:9000<5:8257::5:?01f>=<<<01>o=d;662>{t;8=96=4={<1334<50m16?<9<:97:?xu48>;1<7mt=2245?>c1278<;=529f89656i383h63<1619000<5:<=n77=6:?0222=1;<01>881;;12>;4>?o15?84=24;4??5>278::j593489600i339:63<6659=7075152;2o70=>808;1<=z{::<>7>515y>751521n270=?6581m0?98523756>132349=;;4;549>73152=?>70=9728710=:;?:576?8510;0?9852375f>132349=;k4;549>731e2=?>70=97b8710=:;?=369;:;<153<<3=<1v>?72;296~;48>=1>5j4=23;7?>212wx?=98:187857?>03h452314:>7>c3498>=4=8e9>74>42=?=7p}<19694?4|5::<47<7d:?05=3=0<30q~=?7983>1}:;9=365j6;<132d<50m16?><>:3:g?8560<0?9;5rs23;2?6=:r78<:7529f8967??32>56s|315:>5<3s49;;447d89>750e2;2o70=<2381=838p1>>8a;0;`>;491314874}r133d<72=q6?=9n:9f:?857>j094i523200>7>c349:444;579~w67?i3:1>v3<06`96=b<5:;3n76:9:p751e290?w0=?7c8;`<=:;9=b>349;:h4=8e9>76422;2o70=>8e8713=z{:;3i7>52z?042b=:1n01>?7f;:6=>{t;9=o6=4;{<133a1313ty8=4>50;0x9660m383h63<1839<0?751b21n270=?7181?88;296~;48>91>5j4=234=?>212wx?=9<:187857?:03h4523146>7>c3498=n4=8e9>741>2=?=7p}<16c94?4|5::<87<7d:?052d=0<30q~=?7583>1}:;9=?65j6;<1323<50m16?>?k:3:g?856?k0?9;5rs234g?6=:r78<:;529f89670l32>56s|3156>5<3s49;;847d89>75002;2o70=<1d81>86;0;`>;49>l14874}r1333<72=q6?=99:9f:?857>1094i52323e>7>c349:;k4;579~w67aj3:1>v3<08a96=b<5:;mi79l>:576?854j;0?985230d`>1323ty8=;>50;0x9662j383h63<1729<0?753e21n270?;5d8040d<5:;n:7::6:?0537=<<<01>?90;661>;69>o1?=;m;<12=a<3=<1v><6c;296~;4:0;1>5j4=20:b?>212wx??7>:1868551803h4523bf7>7>c349o;?4;579>77?a2=?>70?:7d806<7752c2;2o70=?eb8;1<=z{::?h7>54z?041b=0m301>?83;661>;5m=i188;4=22fa?22=2wx?<>6:181857=3>3ty8<9k50;7x9663m32o563=e2;9=70<5;o>?7:m7:?05=7=<??c;661>{t;8:m6=4={<1316<50m16?:97:?xu48<91<7jt=2267?>c1279i>l5934897c2<3>i;63<1919003<5:nj8f;3be>;4l1:1=lo4=2f4f?7fi278h:m51`c896b013;jm637::5:p74722909w0=?5581>:4;:g=>;5m:n15?84=3g61?2e?278=5;5447896b0m3;jn63j8b;3bf>;4l>i1=ll4=2f4=?7fj278h:o51``8967603>>96s|303a>5<5s49;984=8e9>747c21?27p}<04794?b|5::>976k9:?1a6`=1;<01?k:6;6a3>;491=188;4=2f4a?7fk278h:j51`a896b0n3;jo63j89;3bg>;4l>k1=lm4=232a?22=2wx?<<>:181857=?094i52153e>67592wx?=;9:18g857=?03h4522d62><41348n9:4;b69>74>>2=?>70?;1g80400<5:nj8f;3b`>;4l1:1=lj4=2f4f?7fl278h:m51`f896b013;jh6375302;2o70?;2380566753021n270;4l>o1=lk4=2f4`?7fm278h:h51`g896b?83;ji63j8a;3ba>{t;89n6=4={<131=<50m16=9<::230a>{t;9?36=4k{<131=h:::805?84b=00?n:5230:g>13234;?>84<04:896b0m3;jj63j8b;3bb>;4l>i1=lh4=2f4=?7fn278h:o51`d8yv567>c34;?>54<15c8yv57=00;6iu2317:>=b>348n8:46279>6`3f2=h<70=>8g8710=:9=836>>:9:?0`2c=9k:01>j8d;3a4>;4l>l1=o>4=2f;4?7e8278h:l51c2896b0k3;i<63?:6:p753f290ow0=?5`8;`<=::l>264<9;<0f1g<3j>16?<7>:576?873:k08<8o4=2f4a?7e9278h:j51c3896b0n3;i=63j89;3a5>;4l>k1=o?4}r13b4<72;q6?=:i:3:g?857n:03945rs227b?6=lr78<9h58e;897c4;339:63=e5g90g1<5:;<57::5:?0`2c=9h<01>j8d;3b2>;4l>l1=l84=2f;4?7f>278h:l51`4896b0k3;j:637}:;9?;6?6k;<13b<>:0;29`~;48<:14i74=3g01??5>279i9h54c589670j3>>963j70;3b3>;4l>h1=l94=2f4g?7f?278h:751`5896b0i3;j;63<0gc900375362;2o70=?fg8;1<=z{::>=7>5dz?0407=0m301?k<7;;12>;5m<:18o94=234`?22=278h:k51`:896b0l3;j463<5:n3<7?n8:?0`2d=9h201>j8c;3b<>;4l>31=l64=2f4e?7f0278==>54478yv568:0;6?u23171>7>c349:<847589~w662:3:1ov3<0409=7:m7:?052`=<j8e;3b=>;4l>n1=l74=2f4b?7f1278h5>51`;896b0j3;j563a;1317=z{:ki;7>52z?0eg0=:1n01>om9;:6=>{t;hh=6=46cz?0eg0=0m301>om9;661>;4:l=188;4=20f2?22=278>h:54478964b;3>>963<2d09003<5:8n=7::5:?06`6=<;4:mo188;4=20g`?22=278>im54478964cj3>>963<2e;9003<5:8o47::5:?06a1=<;4:m?188;4=20g0?22=278>i=54478964c:3>>963<2e39003<5:8nm7::5:?06`?=<;4:l?188;4=20ge?22=279jlj5444897`bl3>>:63=fc:9000<5;lm47::6:?1afc=<<<01?kk9;662>;5ml>18884=3gf3?22>279ih65444897`d;3>>:63<0119000<5;on57::6:?1bf0=<<<01>>?6;662>;5mlk18884=3d`3?22>278<=95444897cbj3>>:63=fb:9000<5::;47::6:?1a`e=<<<01?hl9;662>;489318884=3gf`?22>279jno544489667i3>>:63=ebd9000<5;lhn7::6:?045d=<<<01?kk0;662>;5nji18884=223g?22>279ii?5444897`fm3>>:63=fdg9000<5;oo>7::6:?1bd`=<<<01?hjf;662>;5mm918884=3da4?22>279jk>5444897cc<3>>:63=fc39000<5;lm=7::6:?1aa3=<<<01?hm2;662>;5no818884=3gg2?22>279jo=5444897`a;3>>:63=ee59000<5;li87::6:?1bc2=<<<01?kk8;662>;5nk?18884=3de1?22>279iio5444897`e>3>>:63=fg49000<5;oon7::6:?1bg1=<<<01?hi7;662>;5mmi18884=3da=?22>279jk75444897ccl3>>:63=fcc9000<5;lmm7::6:?1aac=<<<01?hmb;662>;5noh18884=3ggb?22>279jom5444897`ak3>>:63=ed29000<5;lih7::6:?1bcb=<<<01?kj1;662>;5nko18884=3dea?22>279ih<5444897`en3>>:63=fgd9000<5;on?7::6:?1bf6=<<<01>>?0;662>;5ml?18884=3d`5?22>278<=?5444897`d:3>>:63<0109000<5;lh87::6:?0452=<<<01?hl5;662>;489?18884=2:62?22=27858o5447896?f>3>>963<9b09003<5:3oi7::5:?032e=<968;661>;4?k>188;4=25gg?22=278;k=5447896>7i3>>963<8339003<5:2847::5:?0<1`=<678;661>;400l188;4=2:a2?22=279ih85444896>dl3>>963<8d69003<5:2mn7::5:?0=44=<7=5;661>;41:i188;4=2;67?22=2785585447896?>n3>>963<2bd9003<5:8m=7::5:?06``=<;4:lh188;4=20``?22=2wx?<8=:181857=j094i523040>=3>3ty8<8m50;1x9662k32o563<33;96=b<5:;=?7::5:p74032909w0=?5e8165;6;|q040b=839p1>>:d;:g=>;4;;k1>5j4=2351?22=2wx?<89:181857=l094i523044>=3>3ty8<8k50;1x9662m32o563<33`96=b<5:;=;7::5:p740?2909w0=?5g81>:f;:g=>;4;;i1>5j4=235=?22=2wx?<8n:181857>9094i52304a>=3>3ty8<;>50;1x9661832o563<33f96=b<5:;=n7::5:p740d2909w0=?6081>91;:g=>;4;;o1>5j4=235`?22=2wx?<8j:181857>;094i52304e>=3>3ty8<;<50;1x9661:32o563<33d96=b<5:;=j7::5:p74?52909w0=?7g81>8f;:g=>;5mh:18884=23:7?22=2wx?<7;:18185709094i5230;6>=3>3ty8<5>50;1x966?832o563=e`39000<5:;297::5:p74?12909w0=?8081>71;:g=>;5mh818884=23:3?22=2wx?<77:1818570;094i5230;:>=3>3ty8<5<50;1x966?:32o563=e`19000<5:;257::5:p74?f2909w0=?8281>73;:g=>;5mh>18884=23:f?22>2wx?;6<:18785589094i52352:>45a349?5;4>3g9>73>22>9m7p}<21294?g|5:8;<76k9:?0`1?=:1n01<::1;1145=:9=286>8k4;663>;4>h=18894=24;3?22>278h:752`48963dl3>>96s|37`b>5<3s499<<4=8e9>716>28>:70=;978204=:;?hh6:=i;|q0657=83kp1>;4l=k1>5j4=0664?558816=96;:2035>;4?8l18894=24g=?22?278:lm54458960em3>>:63c1278h8;529f89423n399647k278:ik54458960e93>>;63<69;9001<5:8=5;661>{t;>:;6=4;{<1152<50m16?9>6:06a?8531?0:8o523621>25a3ty8><950;cx9646?32o563?i7==169>51>12:8:;63<6d19001<5:9?4;662>;4l>31>oj4=2404?22=2wx?:>::1878559h094i52352:>42c349?5;4>4e9>72602>9m7p}<20c94?g|5:8:m76k9:?0`35=:1n01<:;d;115d=:9=2<6><>a:?02`>=<<=01>8l0;663>;4>0918894=253=?22>278h:o52`489604<3>>96s|362b>5<3s499=o4=8e9>716>28>n70=;97820`=:;>:h6:=i;|q064d=83kp1><>b;:g=>;4l?>1>5j4=067g?559k16=967:202f>;4>ln18894=24`1?22?278:46544589617m3>>:63:61e?xu4:8i1<7ot=202g?>c1278h;;529f89423j399=n5215::>646k278:k954458960di3>>;63<68f9001<5:=:?7::6:?0`2g=:k801>8{t;>;?6=4;{<115a<50m16?9>6:072?8531?0:9<523635>25a3ty8>?m7==1e9>51>f2:8:h63<6ga9001<5:9>8;662>;4l>k1>oj4=2474?22=2wx?:?6:1878559l094i52352:>435349?5;4>539>727e2>9m7p}<20g94?1|5:8:i76k9:?0`31=:1n01<:;a;115`=:9;8:6><>e:?034b=<<<01>j8b;0b2>;4>=>18884}r145`<72=q6???i:3:g?853800:9;5235;5>431349<>=483g9~w646n3:1;v3<20d97::6:?0`2d=:h=01>8;8;662>{t;?236=4;{<1147<50m16?9>6:061?8531?0:8?5237:b>25a3ty8>=<50;5x9647:32o563?m7==039>57502:8;>63<69a9000<5:n1}:;;:86?6k;<174<<6<:16?979:060?8510o0==58e;896b3k383h63>45`9776434;9?;4<2118960>93>>:631<79t=2030?>c1278h9j529f89423j399<9521316>647<278:485444896b0k38j:63<5e;900377622;2o70=;088200=:;=3=6<::;<15=<<0;o1v>=;4=24:f?22>278h:m52`58963cl3>>96s|37;`>5<3s499<;4=8e9>716>28>=70=;978203=:;?3n6:=i;|q0650=83=p1>;4l=l1>5j4=067g?558?16=?=<:2032>;4>h:18884=2f4g?4e:2789h?54478yv51i80;69u23324>7>c349?<44>469>71?128><70=9a2847c=z{:8;;7>57z?0651=0m301>j:0;0;`>;6<=i1??>8;<3177<4:9=01>8n5;662>;4l>i1>oj4=27f1?22=2wx?;o9:18785581094i52352:>42?349?5;4>499>73g?2>9m7p}<21:94?1|5:8;476k9:?0`07=:1n01<:;c;114==:9;9:6>j8d;0b2>;4=l3188;4}r15eg<72:q6??>6:3:g?853<<0:?k5237cg>25a3ty8>=750;5x9647132o563?h7==089>57572:8;563<6`d9000<5:n6}:;;:j6?6k;<1700<6<816?;l=:61e?xu4:9k1<79t=203e?>c1278h8=529f89423l399647i278:o:5444896b0l38i>63<5g39003776e2;2o70=;44820d=:;?h<6:=i;|q065d=83=p1>;4l<>1>5j4=067`?558k16=?;4>k318884=2f4`?4el2789k;54478yv51jo0;6>u2332g>7>c349?884>4c9>73e62>9m7p}<21f94?1|5:8;h76k9:?0`00=:1n01<:;e;114a=:9;8o6>j8e;0b2>;4=on188;4}r15g1<72:q6??>j:3:g?853<<0:8i5237a5>25a3ty8>=k50;5x9647m32o563?i7==0d9>574d2:8;i63<6b:9000<5:n6}:;;:m6?6k;<1700<6c1278h86529f89423m399647n278:nj5444896b0m38i>63<617900377772;2o70=;44820c=:;?n;6:=i;|q0646=83=p1><>0;:g=>;4l<31>5j4=067b?559916=?;4>m818884=2f4a?4el278:=754478yv51l:0;6>u23332>7>c349?884>509>73b22>9m7p}<20394?1|5:8:=76k9:?0`0g=:1n01<:;f;1154=:9;826><>1:?02a1=<<<01>j8f;0b2>;4>9n188;4}r15`=<72:q6???=:3:g?853<<0:9?5237fb>25a3ty8><<50;5x9646:32o563?j7==139>574?2:8:>63<6ea9000<5:n6}:;;;86?6k;<1700<6=?16?;ji:61e?xu4:891<79t=2027?>c1278h8m529f894228399=>521304>646;278:h?5444896b0n38i>63<607900377732;2o70=;448207=:;?o?6:=i;|q0642=83=p1><>4;:g=>;4l5j4=0664?559=16=?<9:2020>;4>l<18884=2f4b?4el278:<754478yv51m>0;6>u23336>7>c349?884>429>73c>2>9m7p}<20794?1|5:8:976k9:?0`0c=:1n01<::0;1150=:9;8>6><>5:?02`d=<<<01>j70;0b2>;4>8n188;4}r15af<72:q6???9:3:g?853<<0:895237gf>25a3ty8><850;5x9646>32o563>=7==179>57432:8::63<6g29000<5:n3<76}:;;;36?6k;<1700<6<<16?;h7:61e?xu4:821<79t=202c1278h;?529f894229399=5521300>6460278:ko5444896b?838i>63<63;9003777>2;2o70=;44820<=:;=>>6<:7;<1700<6<>16?9:::065?851nm0<758e;896b1:383h63>4439777>34;?4<4<20;89445:399=45237de>131349o4=4=be9~w650j3:1>v3<35;96=b<5:93<76:9:p76d7290jw0=<48811c=:;:>j6?;i;<100g<5=o16?>:l:37e?85473a34988k4=5g9>76372;?m70=<49811c=:;:h86:=i;|q071?=83kp1>=;9;:g=>;4;=:1>5j4=3gb4?22=279i4;528`896668339:63<03;90g1<58>6`>f2=?>70=<7e8710=:;:=n69;:;|q07=4=838p1>=;a;0;`>;4;1>14874}r100d<72mq6?>:n:9f:?854<8094i522d5a><41348n4n4;b69>6`g62=?>7067:575?8540l0?9:521527>653i278?49544:89666i3>>96s|32:5>5<5s4988o4=8e9>76>d2>9m7p}<35`94?e|5:9?n76k9:?0714=:1n01?k8d;;12>;5m1n18o94=3gb6?22=279i49528`8963>i3>>963<39:9001<5:93i7::8:?2052=;:>i70=<968713=:;9;h69;:;|q07=b=838p1>=;c;0;`>;4;0814874}r100f<72jq6?>:l:9f:?854<:094i522d5e><41348n4h4;b69>6`g42=?>70;6<9>1?>:l;<10=2<3=>16?=?j:576?xu4;091<7=b>3498894=8e9>6`>6208=7078:576?857:90?985rs21:g?6=:r78?9k529f8965f93=8j6s|326f>576222;2o70:?16>hon:5`4?8541m0?9;521526>653m278?l754458965f=3>>:63<0309003<5:?j57::6:p76g52909w0=<4g81=;f;:g=>;4;=<1>5j4=3g;1??5>279i4?54c5897c>k339:63=e``90g1<58>;97=<4g9>76g>2=?=70?=048071`<5:9j97::5:?0472=<;nf;662>{t;:k36=4={<1015<50m16?>oi:97:?xu4;<:1<7mt=2164?>c1278?99529f897c??339:63=e8090g1<5;o2i77=6:?1ade==89;663>;4;h3188;4=2212?22=27:88653273?873=008?8>4=27a0?22>2wx?>9::181854<1094i52325b>=3>3ty8?9650;:x9653032o563<32d96=b<5;o2?7:m7:?1adb==89;662>;4;>=18884=2217>c349:n847589~w66?i3:1?v3<09c9=7<7d:?05g3=<d983>7}:;92i6?6k;<3771<49m20q~=?8c83>6}:;92i65j6;<1017<50m16?u231;7>=b>34989o4=8e9>74c32=?=7p}<1d794?4|5::297<7d:?05`0=0<30q~=?9483>6}:;93>65j6;<101f<50m16?u231;5>=b>34989i4=8e9>74cf2=?>7p}<1dg94?4|5::2;7<7d:?05``=0<30q~=?9683>6}:;93<65j6;<101`<50m16?584;8yv57110;6>u231;;>=b>34989k4=8e9>74`72=?>7p}<1g094?4|5::257<7d:?05c4=0<30q~=?9883>6}:;93265j6;<1025<50m16?1<7u231;b>=b>3498:<4=8e9>74`32=?>7p}<1g494?4|5::2n7<7d:?05cg=0<30q~=?9c83>6}:;93i65j6;<1027<50m16?>530`5?xu481i1<7=t=22;g?>c1278?8=529f8967el3>>96s|30a3>5<5s49;4i4=8e9>74e721?27p}<09f94?5|5::3h76k9:?0702=:1n01>?l0;661>{t;8i96=4={<13<`<50m16?c1278?8;529f8967d;3>>96s|30a7>5<5s49;4k4=8e9>51562:;h86s|31:e>5<4s49;4k47d89>76312;2o70=>c48710=z{:;h47>52z?04<6=:1n01>?l9;:6=>{t;93;6=4<{<13=5;8:3:g?856k00?985rs23`f?6=:r78<4?529f8967dk32>56s|31;2>5<4s49;5<47d89>763?2;2o70=>cb8710=z{:;hh7>52z?04<4=:1n01>?le;:6=>{t;9396=4<{<13=7;6:3:g?856kl0?9;5rs23g0?6=:r78<4=529f8967c<32>56s|31;0>5<4s49;5>47d89>763f2;2o70=>d58710=z{:;2o7>52z?04=2=:1n01>?n3;:6=>{t;92?6=4>3z?04=2=0m301>=73;66<>;4;1=188;4=21;=?22=27:889531:7?854190?985232;f>1323498m;4;579>74g42=?>70=<848710=:;:==64<9;<3141<481>01<76:576?8541h0?9852157;>66?<27:887531:7?xu49h>1<7c1278?5=54458965??3>>:63>445975>234985<4;549>76g12=?>70=69;:;<10<4<3=<16?>6::575?854?>0?9852325;>13234;9<94<09789447=39;4852325g>1303498;h4;569>76?>2=?=70?;59804=3<58>>57=?849>76g72=?>70=<8c8710=z{:;jj7>52z?04=0=:1n01>?m0;:6=>{t;92=6=4>0z?04=0=0m301>=73;661>;4;12188;4=21;a?22=27:889531:5?8541m0?985232cb>1313498;44;549>74d72=?>70=<768712=:;:3>64<9;<10e6<>:?16?>9k:575?854?l0?9552157;>66?>27:887531:5?xu4:0<1<74o572d8yv55190;6:u233;3>=b>349o:h4;549>7a0a2=?>70?:45806<6<58???7==919>77??2=?>70==988713=z{:82>7>52z?05c`=:1n01<:;2;11=7=z{:;mj7>53z?05c`=0m301<:;2;12bc=:9=?n6>?if:p71bc2909w0=;db81:kc;:g=>;45j4}r17`d<72;q6?9j6:3:g?853lk03945rs26g2?6=:r788i758e;8962cm3>>96s|22;f>5<6kr79?4k58e;8975di38;47<8a:?17g3=0m:01?=m6;:g4>;5;k314i>4=31a3?>c8279?oo58e289752138>j63=34c960`<5;9>n7<:f:?177g=0m:01?==b;:g4>;5;;n14i>4=311a?>c8279??h58e289754932o<63=3219>>l:576?844=j0?9852121a>75>m279>n:54478yv54>h0;6lu23263>73a34988<4=5g9>76252;?m70=<42811c=:;:>?6?;i;<1000<5=o16?>:9:37e?854<>099k52321e>73a3498:l47589~w65383:19v3<3529;64;661>;6:?;|q0717=83?p1>=;1;:g=>;5m?i188;4=3g55?4>j278949544789437i3988<5rs2176?6==r78?9<58e;897c1l3>>963=e70967=<439>70?e2=?=7p}<35194?3|5:9??76k9:?1a3c=<;6=8k1?>:<;<16e5<3=?1v>=;4;291~;4;=>14i74=3g50?4>j27:9?953267?84b>o0?985234c6>1313ty8?9;50;7x9653=32o563=e779=70<5;o<97:m7:?01de=<55z?0710=0m301?k97;;12>;5m><18o94=0707?54:2172>{t;:><6=4:{<1002h86:805?84b?>0?n:52141:>653?27:95<53264?xu4;:l1<7:t=210b?>c1279i:654c58942>i398?k5214:3>654n2wx?<9?:18e857>:099k523147>73a349;:44=5g9>750f2;?m70=?6c811c=:;9o099k523153>73a349;:84=5g9>75012;?m70=?66811c=:;9<36?;i;<376`<49>:0q~=?6283>7}:;9<865j6;<1075<50m1v>>94;296~;48?>14i74=2105?4?l2wx?=86:181857>003h4523215>7>c3ty8<;o50;0x9661i32o563<32596=b750e21n270=<398152z?043e=0m301>=<9;0;`>{t;9=n:3:g?xu48?o1<7c1278?>l529f8yv57>o0;6?u2314e>=b>3498?n4=8e9~w66083:1>v3<0629>96;:g=>;4;:91>5j4}r1322<72;q6?=88:9f:?854;=094i5rs2255<68r78?8?58e;8942>93989<5215df>652927:9<>53272?8729108?8?4=0714?54=816=8<8:2165>;6=;o1?>;>;<3676<4;<;01<;<9;1014=:9=3j6>=:1:?20<`=;:?:70?;a580707<58>j57=<509>51?22:9>=63>4b5976363ty8?8<50;33854=;03h45215;2>652:27:8n853271?873nl08?8<4=0724?54=;16=8?7:2166>;6=;:1?>;=;<37=c<4;<801<:n4;1017=:9=k26>=:2:?20<3=;:?970?:2480704<58?9o7=<539>50552:9>>63>52:9763534;?544<3408yv54=k0;68u2327a>=b>34;?5<4<34`8961403>>963>18c9763e3493:o4;549~w652k3:1=hu2327`>=b>34;?4h4<34a89435<3989n52140a>652k27:9>;5327`?872;k08?8m4=06:g?54=j16=9o>:216g>;6;l;<37eg<4;=:c:?20g3=;:?h70?;b98070e<58>io7=<5b9>7=2c2=?<70?;c`8070e<58>hi7=<5b9>51b52:9>o63>4e59763d34;?hn4<34a8942b:3989n5215g4>652k27:8hl5327`?873n908?8m4=06e1?54=j16=8>=:216g>;6=9=1?>;l;<147=<3=?16?58m:574?xu4;c1278;>854478942>93989i5215:`>652l27:9?;5327g?872:j08?8j4=0706?54=m16=8=7:216`>;6<031?>;k;|q070c=83ip1>=:e;:g=>;6<1o1?>;j;<37b=<4;=:e:?214g=;:?n70?:268070c<58?9i7=<5d9>50542:9>i63>52;9763b34;?5l4<34g896?>k339:6s|327e>5<6:r78?8h58e;89615=3>>:63>49g9763a34;:h>4<34d8947c<3989k5210f6>652n27:=i85327e?876l>08?8h4=03gf?54=o16=;69oh1?>;i;<32bf<4;=:f:?2656=;:?m70?=008070`<588;>7=<5g9>51c62:9>j6s|3243>5<5:r78?;>58e;896>1>3>>:6379a;662>;41k<18884=2;g6?22>2785hk5444896g3n3>>:639n0;662>;4?ki18884=25g1?22>278;hm5444896>7;3>>:63<80c9000<5:28=7::6:?0<1>=<<<01>671;662>;400218884=2:bb?22>2784n85444896>cl3>>:63<8g69000<5:3;n7::6:?0=4c=<<<01>7<5;662>;41=i18884=2;4b?22>27854854448961293>>:6s|3242>5<>s498:<47d89>72512=?=70?;8b80737<58?997=<609>504d2:9==63>5209760634;>?54<3738942>1398:<5210d3>65192wx?>8=:180854>;03h4523613>131349<8>4;579~w652;3:1>?u23270>=b>34;?4k4<3418942an3989>521432>652;27:9<753270?872:808?8=4=071;6=:>1?>;<;<367d<4;<901<:6b;1016=:9=k>6>=:3:?20dc=;:?870?;b380705<58>i97=<529>51d>2:9>?63>4cf9763434;?o=4<3418942dj3989>5215ae>652;27:8i=53270?873l108?8=4=06g`?54=:16=9k<:2167>;6;<;<37af<4;<901<:i1;1016=:9=l=6>=:3:?2155=;:?870?:0980705<58>2:7=<529>51e?2:9>?63>4`29763434;?ml4<3418yv54==0;6?c127:85h53277?873k?08?8:4=06eb?54==16=8?>:2160>;6=831?>;;;<3664<4;<>01<;=8;1011=:9<8m6>=:4:?2162=;:??70?:3`80702<58>2n7=<559>51g22:9>863>4`g9763334;?n?4<346896>703>>:63>4c;9763334;?ni4<346896>3l3>>:63>4b`9763334;?ok4<3468942c;398995215f;>652<27:8ij53277?873m:08?8:4=06f;6;;;<37b3<4;<>01<;?3;1011=:9=3=6>=:4:?2151=;:??70?;a180702<58>jm7=<559~w652=3:1>?u23276>=b>34;?5=4<3478942d:398985215;f>652=27:8l=53276?873i108?8;4=06bb?54=<16=9l<:2161>;6;:;<37fd<4;=:5:?20fe=;:?>70?;d180703<58>o87=<549>51b>2:9>963>4eg9763234;?i94<3478942b1398985215gg>652=27:8k<53276?873n>08?8;4=0730?54=<16=8>6:2161>;6<1i1?>;:;<3660<4;=:5:?216>=;:?>70?;9880703<58>mm7=<549>506d2:9>963>5069763234;>=n4<3478yv54=?0;6?c127:84>53275?85??00?985239g`>13234;?4n4<344896>2i3>>963<94a9003<5:3j47::5:?0=f2=<7j0;661>;4?>n188;4=25:=?22=278;o;54478961dl3>>963<7d69003<5:=mn7::5:?0<44=<6=9;661>;40=:188;4=2::4?22=2784l95447896>em3>>963<8e79003<5:3;?7::5:?0=43=<7=d;661>;41=>188;4=2;43?22=27855h5447896g1=3>>963993;661>{t;:?<6=4>2z?0701=0m301>9=5;661>;6<0:1?>;8;<32`6<4;<=016>=:7:?25a0=;:?<70?>d680701<58;on7=<569>54`f2:9>;63>1g`9763034;:jn4<3458947al3989:5210df>652?27:>=>53274?8758808?894=0036?54=>16=9k>:2163>{t;:?36=4=1z?070>=0m301<:60;101==:9=l36>=:8:?215g=;:?370?:138070><58?:m7=<599>50432:9>463>53`9763?34;>?84<34:89434j398955215;`>652027:8l?5327;?873i?08?864=06bf?54=116=9ok:216<>;6;7;<1;4=<3=>16=9l7:216<>;6;7;<37g5<4;<201<:la;101==:9=in6>=:8:?20a4=;:?370?;d68070><58>oo7=<599>51c52:9>463>4d59763?34;?io4<34:8942a8398955215d6>652027:9=<5327;?8728108?864=06`3?54=11v>=:9;297~;4;<314i74=06;b?54=016?:=;:576?xu4;c127:85h5327b?850;80?985rs211=?6=>:63>49`9764>34;:h<4<33;8yv54:h0;69u2320b>=b>348n:n4;579>51432:99m63<87`9000o4?:5y>764e21n270==b:?2071=;:8i7p}<33a94?2|5:99o76k9:?1a3c=<<<01<;?b;106f=:9=8j6>==c:p764c290?w0=<2e8;`<=::l52z?077c=0m301<;>b;106`=z{:99j7>53z?077`=0m301<;=4;106c=:983j6>==f:p7662290?w0=<048;`<=:9=8n6>=?5:?0520=<<<01>?84;661>{t;;3?6=4=1z?0626=:<81;06b>;4:>i1>8h4=20;3?42n278>5o524d8964?j38>j63<29a960`<5:83h7<:f:?06=c=:<7f;06b>;4:>81>8h4=2047?42n278>::524d89640=38>j63<264960`<5:8<;7<:f:?062>=:<89;06b>;4:>k1>8h4=204f?42n278>:j524d89640m38>j63<26d960`<5:83<7<:f:?06=7=:<72;06b>;4:191>8h4=20;0?42n278>5;524d8964?>38>j63<29:960`<5:8357<:f:?2015=;;3?7p}<26294?70s499;=47d89>71`e2=?>70=;e`8713=:;=o=69;9;<17a6<3=<16=98n:2044>;690<1??9?;<3237<4:>:01>;<4;663>;4=;k18894=2736?22>2789<;54448962bn3>>:63<72a9001<58;2?7==719>54?32:8<<63>19f9771734;:4k4<2628947?j399;=5210:`>640827:=4653353?8761>08>:>4=03:5?55?91v><81;2952}:;;=:65j6;<17bg<3=?16?9kn:576?853m?0?985210:0>640927:8;o53352?85?>;0?9:5210;5>640927:=:<53352?876n<08>:?4=26fb?22=27:=4=53352?8761=08>:?4=03;`?55?816=<6i:2045>;691h1??9>;<32;01<81:?2021=;;=:70?;7580627<58;2=7==709>51012:8<=6s|335`>5<6;r78>:m58e;896d7l383h63>1619771d34;:;84<26a89635i3>>:63>1g79771d349o;h4>8`9>7a1c282j70=k7g824>f34;:;94<26a89470>399;n523847>13034;?;:4<26a89421>399;n5rs20;3?6=91q6??68:9f:?85e9;094i5210:0>64??2789>=54478947a=3994:523e5f>4>e349o;i4>8c9>7a1a282i70=k818213034;:5>4<2958947><3994:5210:g>64??27:=5h533:4?8760k08>594=03;g?550>16=998:20;3>;6<>>1??68;<32=4<4:1=01<:96;11<2=z{:83m7>512y>77>f21n270=m1281<7a:?2523=;;2j70=:358713=:98l>6><7a:?0`2c=91i01>j8d;3;g>;4l>l1=5m4=2f;4?7?k278h:l519a896b0k3;3o6377806=g<5:3ho7::7:?2022=;;2j70?;67806=g77>e21n270=m1581<7b:?0`2c=91n01>j8d;3;`>;4l>l1=5j4=2f;4?7?l278h:l519f896b0k3;3h63=<<=0q~==8b83>f}:;;2h65j6;<1a50<50m16=996:20;g>;4l>o1=5k4=2f4`?7?m278h:h519g896b?83;3i63j8a;3;a>;4i8<18894}r1164?l278h:k519d896b0l3;3j63j8c;3;b>;4l>31=5h4=2f4e?7?n278m>854458yv550l0;6nu233:f>=b>349i=:4=8e9>511e2:83i63>1ef977>b349o;h4>919>7a1c283;70=k7g82=5=:;m2;6<7?;<1g3g<61916?i9l:0;3?85c?00:5=523e5b>4?73ty8>5h50;ax964?n32o56354bb2:83j63j70;3:5>;4l>h1=4?4=2f4g?7>9278h:75183896b0i3;2=6s|3351>57g612;2o70?;6180624<58;oj7==739>7a1b282=70=k7e82<3=:;m=m6<69;<1g<5<60?16?i9m:0:5?85c?j0:4;523e5:>4>1349o;l4>879~w640;3:1ov3<2619e180625<5:nj8f;3;3>;4l1:1=594=2f4f?7??278h:m5195896b013;3;63771321n270=m0981<84:?25`7=;;=?70=k7d82<==:;m=o6<67;<1g3c<60116?i6?:0:;?85c?k0:45523e5`>4>?349o;44>899>7a1f28237p}<26794?e|5:8<976k9:?0f5?=:1n01<:93;1130=:98o96><85:?0`2c=91301>j8d;3;=>;4l>l1=574=2f;4?7?1278h:l519;896b0k3;3563<0154z?0621=0m301>l?b;0;`>;6=0q~==7983>1}:;;=365j6;<1a4f<50m16=;4=9818894}r113<<72:q6??96:9f:?873>>08>:74=03f2?55?01v><8a;297~;4:>k14i74=065{t;;=i6=4<{<113g;69l21??9m;|q062b=839p1><8d;:g=>;69l31??9k;<1650<3=>1v><8e;297~;4:>o14i74=065f?55?l16={t;;=m6=4<{<113c;69lh1??9i;|q06=6=83>p1><70;:g=>;4j9o1>5j4=065`?550916={t;;2:6=4;{<11<4i:3:g?873>l08>5?4=03f`?55081v><72;290~;4:1814i74=2`24?4?l27:8;h533:1?876ml08>5<4}r11<6<72=q6??6<:9f:?85e98094i521553>64?;27:=hh533:0?xu4:1>1<7o0?9;5210;3>64?<27:=:?533:7?873?808>5:4=2f5`?22?27:99:533:7?873k<08>5:4=06e`?550=16=8>i:20;0>;6=8=1??6;;<365c<4:1>01<:6d;11<1=:9=386><74:?20f5=;;2?70?;fc806=2<58?;h7==859>50722:83863>50f977>334;?4i4<296896?6<3>>963722a2=?>70?:30806=2<58?8;7==859>51??2:83863>4`0977>334;?m:4<2968942fk399495215`3>64?<27:8o:533:7?873j>08>5:4=06af?550=16=9li:20;0>;601<:k1;11<1=:9=n=6><74:?20ad=;;2?70?;e1806=2<58>n:7==859>51ca2:83863>4g6977>334;><<4<29689437>399495rs20;1?6=;r78>5;58e;89420:399485210d2>64?=2wx??69:1808550?03h4521550>64?>27:=k<533:5?xu4:121<7=t=20;c127:8:;533:;?876n:08>564}r11<<<72:q6??66:9f:?873??08>574=03e0?55001v>=m4;296~;4;?91>:o4=066e?54j=1v>=93;290f}:;:<865j6;<3701<4;?901<:;5;1026=:;69;8;<1527<3=<16=8=k:2157>;4=1k18884=06;4?54>:16=9:<:2157>;69081?>8<;<367f<4;?901><;b;663>;69>:1?>8<;<377c<4;?901<:<7;1026=:9=3<6>=93:?2160=;:<870?:3180735<58?957=<629>50452:9=?63>4539760434;?>=4<37189645=3>>:63>41;976043498:o4;599>54`12:9=?63>1g59760434;:j54<3718942c=398:>5215fb>651;27:8ih53240?873m<08?;=4=06fe?54>:16=9kj:2157>;68<;<3645<4;?901<;?5;1026=:;>;369;:;<1456<3=<16?:>j:576?850800?98523627>132349=j84;549>73db2=?>70=9868710=:;>8969;:;<145a<3=<16?;hi:576?851nh0?985237d3>132349=io4;549>73c12=?>70=9e08710=:;?nh69;:;<15`2<3=<16?;j=:576?851km0?985237a;>132349=o>4;549>73d>2=?>70=9b58710=:;?km69;:;<15ed<3=<16?;o::576?851i90?985237;a>132349=5;4;549>73?62=?>70=98b8710=:;16??=::805?85f9=0?985210d3>651;27:=4o53240?856;80?9;5rs212e?6=:r78?=398=l5rs212f?6=:r78?398=o5rs2114?6=:r78??>58e;8942am398>=5rs2115?6=:r78???58e;894368398><5rs2116?6=:r78??<58e;894360398>?5rs2117?6=:r78??=58e;894358398>>5rs2110?6=:r78??:58e;89435=398>95rs2111?6=:r78??;58e;89435k398>85rs2112?6=:r78??858e;89434:398>;5rs2113?6=:r78??958e;894340398>:5rs212g?6=:r78?1398=n5rs212`?6=:r78?n398=i5rs212a?6=:r78?58e;89434m398==5rs2121?6=:r78?<;58e;89434m398=85rs2122?6=:r78?<858e;89434n398=;5rs2123?6=1r78?<958e;89424j398=:52141e>656?27:8:j53234?8761;08?<94=03ge?549>16=;69o=1?>?8;<32b=<4;8=0q~=<1983>7}:;:;365j6;<150f<3=11v>=>9;296~;4;8314i74=247g?22=2wx?>>8:18;8548>03h452141e>657?27:=i?53224?8738108?=94=061`?548>16=9;6<;=1?>>8;<3761<4;9=0q~=<0983>7}:;::365j6;<367c<4;920q~=<0883>g}:;::265j6;<377g<4;9301<:72;104<=:;88j69;9;<121c<3=?16?<;::575?856<00?9;52301g>1313499>84;549>516?2:9;563<1239003766f21n270?;3b8075g<58>=?b:?021e=<<=01<:8d;104g=:9=2;6>=?b:?061d=<<201=?c;29=~;4;9i14i74=060g?548j16=99k:213g>;4:h3188;4=0662?548j16=9=8:213g>;4;1:188;4=063=?548j16?>8m:575?xu4;9n1<76t=213`?>c127:89<5322g?873;j08?=j4=06;6?548m16=8=j:213`>;69m;1?>>k;<32`d<4;9n01<:?8;104a=z{:9;i7>53z?075c=0m301<:=?e:p766a290>w0=<0g8;`<=:;;9h69;:;<373c<4;9l01<:<8;104c=:9=>36>=?f:p76762908w0=<108;`<=:;;8869;:;<377a<4;8;0q~=<1383>0}:;:;965j6;<117c<3=<16=99i:2126>;6<:21?>?=;<370=<4;880q~=<1283>g}:;:;865j6;<377a<4;8901<:72;1056=:;88j69;8;<121c<3=>16?<;::574?856<00?9:52301g>13034;:hl4<301894270398=>523012>13?3ty8?<:50;1x9656<32o563<25g9003<58>8h7=<159~w62b83:1>v3<4ed962g<5:>n=76:9:p71ba290>w0=;dg8;`<=:;;>n69;9;<1166<3=?16??o=:575?855;80?9;5rs31`g?6=:r79?no529f8975dk32>56s|22ab>5<4s488ol47d89>53502=??70<53z?174d=:1n01?=lc;663>;5;jl1;>h4}r005g<728:p1?=>b;:g=>;5<8;1>8h4=3626?42n2798<=524d8975e<38>j63=3c7960`<5;9i:7<:f:?17g?=:;5;k21>8h4=31ae?42n279?ol524d8975ek38>j63=3cf960`<589?47<<1c9>61732=?=7p}=41a94?4|5;>;47<7d:?271?=:=:h7p}=41:94?2|5;>;476k9:?2263=<<>01?:?d;661>;6;=31>9>7;|q0766=839p1>=<0;:g=>;5m8<18884=06:1?54;91v>=<1;297~;4;:;14i74=3g2f?22>27:8n953212?xu4;:<1<7=t=2102?>c1279i958e;897c6l3>>:63>502976503ty8?>650;1x9654032o563=e0g9000<58?:47=<399~w65413:1?v3<32;953z?076d=0m301?k=1;662>;6=;o1?>=m;|q076e=839p1>=;5m;818884=0707?54;j1v>=27:9>75321g?xu4;:81<7=t=2106?>c1279i<954448942>i398??5rs2107?6=;r78?>=58e;897c603>>:63>48d976543ty8?>:50;1x9654<32o563=e0;9000<58>j87=<359~w654=3:1?v3<32797p}7a2f2;?m70=k54811c=:;m<;6?;i;<1g26<5=o16?i8;:37e?85c><099k523e45>73a349o::4=5g9>7a0?2;?m70=k4c811c=:;m>h6?;i;<1g0a<5=o16?i:j:37e?85c73a349o9<4=5g9>7a352;?m70=k52811c=:;m??6?;i;<1g13<5=o16?i;8:37e?85c=1099k523e7:>73a349o9l4=5g9>7a3e2;?m70=k5b811c=:;m?o6?;i;<1g1`<5=o16?i;i:37e?85c>8099k523e41>73a34;>;h4c127mii4=8e9>b`3=:1n01<>=5;0;`>;al<094i52fbf96=b<5ono6?6k;bf3=:1n01k77:3:g?8`>8383h63ia28121>5j4=g53>7>c34l347<7d:?e25<50m16j;6529f89c272;2o70h<8;0;`>;a<1094i52f3796=b<5o;o6?6k;00f96=b<58:;97<7d:?eba<50m16jlj529f89c>72;2o70hi5;0;`>;a8h094i52f4:96=b<58;;i7<7d:?24`?=:1n01<>m1;0;`>;b0l094i52e6c96=b<58;8?7<7d:?2<02=:1n01o;9:3:g?846>?094i522071>7>c348:9k4=8e9>64da2;2o70<>b981:3:g?8461>094i5220;2>7>c348:;44=8e9>64>02;2o70<>6g817>c3486=772;2o70<8c6814h8:3:g?84>k9094i522`2e>7>c34;3<44;569>52ba2=?=70l<5;663>;6j><188;4=0;g7?22=279m9l544:89470n39o>45229;f>13?348=5i4;549>6<5a2=?>70ki1;0;`>;bl<094i521b0b>7g13488;<4=a79>661f2;k=70ojf;662>{t;m9?6=4:2z?0`1g=0m301;60li1>5j4=ggf>7>c34ln:7<7d:?2470=:1n01kj9:3:g?8`dm383h63idd815j4=g`5>7>c34lh:7<7d:?e=<<50m16j4?529f89cg32;2o70h89;0;`>;a?8094i52f9;96=b<5o<:6?6k;b6?=:1n01k:6:3:g?8`5>383h63i1d815j4=0222?4?l27:<=k529f89466m383h63>01496=b<5oln6?6k;bc0=:1n01k>m:3:g?8`21383h63>10396=b<58:no7<7d:?24g2=:1n01h7;:3:g?8c0n383h63>12496=b<582>;7<7d:?a12<50m16><88:3:g?846=:094i522043>7>c348:o=4=8e9>64d>2;2o70<>ag81<77:3:g?8461;094i52205b>7>c348:454=8e9>64172;2o70<>8181lm;:3:g?840k?094i522932>7>c3486=462;2o70<6bg81l??:3:g?87?8j0?9:5216d7>13134h8;7::7:?2f=b=<;5>h;188;4=3;77?22=27nj94=8e9>aa>=:1n01;5;>81>l84=314e?4f?27i<;4;579~w6b4n3:19=b>34;j4k4=8e9>5=cc2;2o70hjf;0;`>;am>094i521104>7>c34lo;7<7d:?egc<50m16jih529f89cda2;2o70hm7;0;`>;ak>094i52f8c96=b<5o396?6k;b24=:1n01k6n:3:g?8`1:383h63i6`815j4=g1b>7>c34l?m7<7d:?e62<50m16j8:3:g?8`an383h63iag815j4=gd4>7>c34l;o7<7d:?e1d<50m16=;59j;1>5j4=33ae?4?l279=o>529f8977f1383h63=1`196=b<5;;257<7d:?15<5=:1n01??8b;0;`>;59131>5j4=3345?4?l279=5?529f896e7=383h63=d1:96=b<5;khn7<7d:?1<4>=:1n01?9lf;0;`>;5?l=1>5j4=3:1529f897g7;383h63=9ba96=b<5;k:n7<7d:?23c1=<<<01<9j3;663>;e;00?9:521cc0>13234;j<=4;549>63gd2=?>70<65d8710=:ml91>5j4=0a1e?4e:279?:=52`489750i38i>63m088713=z{:n?>7>551y>7a0721n270?n9181554?2;2o70hk8;0;`>;al9094i52fd296=b<5oi;6?6k;b383h63i7c8191>5j4=g:a>7>c34l=?7<7d:?e2g<50m16j9=529f89c5e2;2o70h;b;0;`>;a:1094i52f3296=b<5o986?6k;<335=<50m16==??:3:g?877:9094i52112;>7>c34;;<=4=8e9>bg6=:1n01k6<:3:g?8`a0383h63i0e815j4=0327?4?l27:5j4=030640>2;2o70<>54817>c348:m94=8e9>64?f2;2o70<>9581<9=:3:g?8460;094i523b25>7>c348o<44=8e9>6ded2;2o70<8e8815<6:3:g?84>n;094i522`2;>7>c3482h<4=8e9>6d472;2o70?8f`8713=:9>o=69;8;<`0f?22?27:nl75447894g7>3>>963=6`g9003<5;3=<7::5:?fa`<50m16=n7dc34h;o7::6:p7a24290?ov3:3:g?8`b1383h63>03;96=b<5on26?6k;bf7=:1n01kl6:3:g?8`d1383h63i9b811>5j4=gc4>7>c34l;a<=094i52f2a96=b<5o>h6?6k;<4=8e9>b62=:1n01<>>9;0;`>;688;1>5j4=0215?4?l27:<=7529f894679383h63ib0811>5j4=gd:>7>c34l;i7<7d:?e1f<50m16=:7<7d:?1535=:1n01??l3;0;`>;59ki1>5j4=33a6?4?l279=ll529f8977>j383h63=19`96=b<5;;;5ijn1>5j4=3:2e?4?l279;i?529f8971bj383h63=83c96=b<5;k;57<7d:?1=a4=:1n01?7i4;0;`>;5i;;1>5j4=05e`?22>27:;h7544589g5c2=?<70?mac8710=:9h:369;:;<05f5<3=<16>48=:576?8caj383h63>c3c96f6<5;9<97i:575?xu4l=>1<7:7{<1g21;ak;094i52fcc96=b<5oij6?6k;bd>=:1n01k9k:3:g?8`0=383h63i8e815j4=g4g>7>c34l?97<7d:?e7a<50m16j9j529f89c4f2;2o70h=2;0;`>;a;<094i52113b>7>c34;;=?4=8e9>55452;2o70??0`81bcg=:1n01k>i:3:g?8`2l383h63>10796=b<58:m<7<7d:?fb=<50m16==hj:3:g?877j>094i52e8d96=b<5k<:6?6k;<022g<50m16><;8:3:g?846j:094i5220;`>7>c348:4n4=8e9>64132;2o70=l09815?m:3:g?840l;094i5226g`>7>c3483>o4=8e9>6d6f2;2o70<6d2816?6k;<0b67<50m16=5>?:575?870mj0?9:52b2d9001<58hjh7::5:?2e5g=<;51?>188;4=g26>7>c34;h>l4=c09>66112;k=70<<7c81e2=:j8818884}r1g00<72;2p1>j95;:g=>;6i0=1>5j4=0:e1?4?l27:0g396=b<5ll26?6k;<324<<50m16==l7:3:g?8cf8383h63m63817>c348:;84=8e9>7f6>2;2o70:j<:3:g?840mm094i52290`>7>c348j6>;63>b`d9003<58k;o7::5:?12g2=<;a8?094i521b0b>7e53488;:4=a79>661e2;h970l>5;662>{t;m>=6=4=9z?0`30=0m301;60oo1>5j4=03217814=8e9>640c2;2o70<>58816?6k;<02=`<50m16><6j:3:g?846??094i523b2b>7>c348o6db72;2o70<71e81n?6?6k;<0;6a<50m16>l>l:3:g?84>l<094i522`07>7>c34h??7::6:?2<50=<<<01<9i2;663>;6jk;188;4=0c3a?22=27949954448970e>3>>963=97:9003<589jm7=k479>b51=:1n01;5;>21>l84=314f?4el27i=54;579>6=2b208=70?10;96=b<58:m87<7d:?2577=:1n01<>ma;0;`>;b1?094i52e`096=b<5klj>:3:g?84?9l094i5226f6>7>c3483>h4=8e9>6d6c2;2o70<6d7816?6k;<3;4<<3=?16=:ji:574?87ej:0?98521`33>132348=n54;549>6<0f2=?>70kic;0;`>;a81094i52b0`900010c96=b<58:m97<7d:?257e=:1n01<>mb;0;`>;b1>094i52e`196=b<5k<>6?6k;<1`4f<50m16>lj=:3:g?84?9o094i5226f5>7>c3483?<4=8e9>6=4a2;2o70l<9:3:g?87?8j0?9;5216d7>13034;in84;549>5d752=?>70<9b`8710=::0f4c=<<<0q~=k2`83>4d|5:n?n76k9:?254d=:1n01<>i6;0;`>;68k?1>5j4=02ag?4?l27n554=8e9>a=6=:1n01o;7:3:g?85enm094i522`a6>7>c3483=?4=8e9>62e>2;2o70<733814m=:3:g?84f:0094i522`32>7>c34;52c42=?=70?m788710=:90n=69;:;<05e5<3=<16>4:=:576?8cam383h63jd881j;c;:g=>;698i1>5j4=02e3?4?l27:529f8946el383h63j98815j4=c7:>7>c349ijh4=8e9>6de12;2o70<71281ij6?6k;<0;66<50m16>4hn:3:g?84>k:094i522`31>7>c34;52c12=?=70?m7c8710=:90n369;:;<05e7<3=<16>4:;:576?8can383h63jd`81j;d;:g=>;698n1>5j4=02e5j4=c7b>7>c349ijk4=8e9>6de02;2o70<71581ii6?6k;<0;61<50m16>4hm:3:g?84>k=094i522`30>7>c34;52c>2=?=70?m7e8710=:90nj69;:;<05e1<3=<16>4:9:576?8`78383h63jdc81j;e;:g=>;698o1>5j4=02e=?4?l27:5j4=c7a>7>c349h<=4=8e9>6de?2;2o70<71481ih6?6k;<0;60<50m16>4hl:3:g?84>k<094i522`37>7>c34;3<=4;569>52cd2=?=70?m7g8710=:90nh69;:;<05e3<3=<16>4:7:576?8`79383h63jdb81j;f;:g=>;698l1>5j4=02ee?4?l27:1>5j4=c7`>7>c349h<<4=8e9>6de>2;2o70<71781io6?6k;<0;63<50m16>4hk:3:g?84>k?094i522`36>7>c34;3<>4;569>52ca2=?=70?m808710=:90nn69;:;<05e=<3=<16>4:n:576?8`7:383h63jde81j:0;:g=>;69;:1>5j4=02ef?4?l27:5j4=c7g>7>c349h6def2;2o70<71681in6?6k;<0;62<50m16>4hj:3:g?84>k>094i522`35>7>c34;3<;4;569>52`52=?=70?m828710=:90o;69;:;<05ed<3=<16>4:l:576?8`7;383h63jdd81j:1;:g=>;69;81>5j4=02eg?4?l27:;68j91>5j4=d;f>7>c349h<>4=8e9>7g>f2;2o70<6fg8113234;2i?4;549>6<2b2=?>7p}54442;2o70??fe818;0;`>;60=o18894=0:02?22>27:n595447894?b<3>>963=94290037a3421n270?>2581;60;?18894=0`;=?22=27:5h85447897?2:3>>96s|3e10>554422;2o70?>0181;6j1h188;4=0;f=b>34;:>;4=8e9>54662;2o70??db811>5j4=02`3?4?l279m=:529f897?dl383h63=a0a96=b<5828j7::6:?2<7d=<<=01;61li188;4=3;63?22=2wx?i=9:18f85c=>03h4521004>7>c34;:55bc2;2o70kj5;0;`>;68j21>5j4=3c31?4?l2795nk529f897g6l383h63>8509000<5829i7::7:?2f<7=<;51<3188;4}r1g72<72lq6?i;7:9f:?876:1094i521020>7>c34;;hh4=8e9>a`0=:1n01<>l9;0;`>;5i9<1>5j4=3;`b?4?l279m3=3>>:63>8239001<58h2?7::5:?2=c6=<{t;m936=4j{<1g1<7>c34on;7<7d:?24fg=:1n01?o?7;0;`>;51m:1>5j4=3c2b?4?l27:4965444894>4<3>>;63>b879003<583m>7::5:?1=0b=<d}:;m?j65j6;<326d<50m16=<>::3:g?877m9094i52ed:96=b<58:ho7<7d:?2<1d=<<<01<6>f;663>;6j0=188;4=0;e0?22=2wx?i=n:18b85c=k03h452100a>7>c34;:<;4=8e9>55c62;2o70kj9;0;`>;68jn1>5j4=0:7a?22>27:4>85445894d>13>>963>9g490037a3d21n270?>2e8182;9001<582997::6:?2f;bmh094i5rs2f0g?6=jr78h8j58e;89475m383h63>11:96=b<58:n?7<7d:?24f`=:1n01h67:3:g?87?;j0?9:52190;>13134;i5i4;549>5<`f2=?>70kjb;0;`>{t;m9o6=4m{<1g1`7>c34;;h=4=8e9>a=?=:1n01<6;60;h18884=0`:b?22=27:5km544789`cd2;2o7p}j76k9:?2566=:1n01;68l?1>5j4=02g5?4?l27n4l4=8e9>5=252=?<70?72d8713=:9kk:69;:;<3:b`<3=<16ihj529f8yv5c<90;6ou23e42>=b>34;:?<4=8e9>546d2;2o70??e681493>>:63>b`79003<58k;>7::5:?fac<50m1v>j;1;29f~;4l?814i74=0306?4?l27:==j529f8946b0383h63>0e196=b<5l2o6?6k;<3;0=<3=>16=5=;:575?87ei>0?98521`27>13234om<7<7d:p7g7>290:8v37<:f:?0f45=:l>4;06b>;4j8?1>8h4=2`22?42n278n<9524d896d6038>j63=:l?9;06b>;4j9k1>8h4=2`3f?42n278n=m524d896d7m38>j6351`6x96d7l32o563md18710=:k=:188;4=b62>13134i3<7::6:?a`4<3=<16o9m544789f302=?=70m71;662>;elj0?9852c709003<5j27ii:4;549>g2>=<83>>:63mf38710=:k>o188;4=b5e>13134i2=7::6:?ab1<3=<16o9<544789f242=?=70m62;662>;en<0?9852c569003<5j>>69;9;27ij;4;549>g10=<13234i?47::5:?2616=;hln70lk2;661>;d6gam27ih94;549>g1b=<13234i><7::6:?`=0<3=?16ni9544789f362=?>70?=4280ecc<5kn269;:;9:53`df?8dcj3>>963l548710=:9;>>6>oie:?a``<3=<16o86544789443>39jjh52bd29003<5j?j69;:;<3102<4ioo01ok=:576?8e2k3>>963>25:97d`b34hn87::5:?`1`<3=<16=?:6:2cea>;6:572f2:kmi63>25d97d`b34hn:7::5:?`26<3=<16=?:m:2cea>;6:<:1?lhj;<`f572d2:kmi63>24397d`b34hn57::5:?`22<3=<16o;6544489442:39jjh52bdc9003<5j<269;:;<310a<4ioo01<<:3;1bb`=:jlh188;4=b4a>13234;98h427:>8;53`df?8dbl3>>963l718710=:k>;18884=0062?5fnl16nhk544789f152=?>70m83;662>;6:<=1?lhj;<`fb?22=27h;94;549>g23=<<<01<<:8;1bb`=:jo:188;4=b55>13234i<;7::6:?260?=;hln70li1;661>;d?00?9852c6c9000<5j2n69;9;<`e7?22=27h;o4;549>g2e=<<<01n6i:575?8g193>>963n638710=:i13234k>n7::5:?b1f<3=<16m86544789d3>2=?>70o:5;661>;f=?0?9852a409003<5h?869;:;e2?=<3>>963n768710=:i>9188;4=`57>13234k<<7::5:?b34<3=<16m;j544789d0b2=?>70o9a;661>;f>k0?9852a759003<5h<369;:;e32=<13234;n8>4;549>5`232=?>70?j3b8710=:9l9o69;:;<3f73<3=<16=h=7:576?87b;00?98521d1b>13234;n??4;549>5`542=?>70?j2b8710=:9l8n69;:;<3f6c<3=<16=h=?:576?87b:10?98521d0:>13234;n>?4;549>5`432=?>70?j248710=:9l8=69;:;<3f5`<3=<16=h?i:576?841lm0?985227f`>132348=i>4;549>63c52=?>70<9eb8710=::?oi69;:;<05a3<3=<16>;k::576?841m00?985227g;>132348=ik4;549>63cb2=?>70<9f38710=::?l:69;:;<05b0<3=<16>;h;:576?841n10?985227d4>132348=i=4;549>63ba2=?>70?=d580ecc<588h97=nfd9>57e72:kmi63>2cd97d`b34;9ni4m3>>463>8gf900><588jj7=nfd9>566b2:kmi63>22g97d`b34;8no46gam27:>:h53`df?875?m08mkk4=c3e>131348j8o4;549>541a2:kmi63>57f97d`b34;>:n46gam27:?l753`df?8751;08mkk4=00:4?5fnl16=>o8:2cea>;5lk<188;4=3fa0?22=27:mo?5447894ge83>>963>a`d9003<58kji7::5:?2edb=<;6ihh188;4=0cbe?22=27:ml75447894gf03>>963>91g9003<583;h7::5:?2=5e=<;619k188;4=0;3=?22=27:5=65447894?7?3>>963=88g9003<588n57=nfd9>57?b2:kmi63>38497d`b34;8594939jjh52107a>13134;85?4l3>>963=a`d9003<5;kj47::5:?1ed3=<;5i0l188;4=3c6=?22=278o;l5447896e1>3>>963>32697d`b349h;94;579>67252=?>70m;d;m0?9;52c2d9000<5j;o69;9;27h><4;579>g75=<<<01n<::575?842=10?98522475>13234;8:k46gam27:?8653`df?874>m08mkk4=015g?5fnl16=>8m:2cea>;6;?=1?lhj;<301a<4ioo01<=:c;1bb`=:9:oie:?2701=;hln70?<6880ecc<589>:7=nfd9>560?2:kmi63>34797d`b34;8:;46gam27:?8=53`df?874>=08mkk4=0166?5fnl16=>8<:2cea>;6;<;1?lhj;<3027<4ioo01<=:0;1bb`=:9:<:6>oie:?271`=;hln70?<6180ecc<589?i7=nfd9>563a2:kmi63>35f97d`b34;89h46gam27:?8l53`df?874?908mkk4=016e?5fnl16>9ji:575?843ll0?9;5225f`>131348?h<4;579>61e12=?=70<;c48713=::69;8;<0611<3=>16>8;<:574?842=;0?9:522472>130348on84;549>56ce2:kmi63>3`c97d`b34;8>:46gam27:>>h53`df?874?o08mkk4=014a?5fnl16=>9k:2cea>;6;>i1?lhj;<303g<4ioo01<=8a;1bb`=:9:=26>oie:?272>=;hln70?54b>2:kmi63>2b197d`b34;9h?46gam278o98544789447>39jjh523baf>131349h9=4;579>7fef2=?=70=l658713=:;j<;69;9;<1`1f<3=?16?n;7:575?85d==0?9;52132g>6gam27:>=k53`df?84fij0?98522`cg>13?348j:i4;549>6d0b2=?370l89:57;?84f>80?98522`41>13?348j9i4;549>6d3b2=?370=lac8713=:;ji=69;9;<1`fa<3=?16?nl;:575?8759>08mkk4=3c40?22027:><653`df?84f?80?9552133:>6gam279m5l544:89446i39jjh522`:;>13?34;9=o4>463>20a97d`b348j4?4;599>577c2:kmi63=a6c900><588:i7=nfd9>6d102=?370?l2`82>9<:0:7?844?=0:49522256>4>33488;;4>859>6610282?70<<7982<1=:::=j6<6n;<003g<60h16>nk6:575?8741:08mkk4=2a:g?22>278o5h544489454139jjh52121b>6gam27:?>953`df?874;108mkk4=0101?5fnl16=>=9:2cea>;6:l21?lhj;<31=f<4ioo01<<79;1bb`=:9;2>6>oie:?1e;5i>i188;4=3c60?22=27:>hj53`df?874ij08mkk4=00f2?5fnl16=>6i:2cea>{t;hlm6=4>30y>7g7521n270?n9c8127h8<4;599>g=6=<<201ol>:805?8e2?3>>463l80871==:jk815?84=b4g>13?34i3o7::8:?afa<>:?16o:j544:89f?72=?370ll8;;12>;d?o0?9552c83900><5kij64<9;ffd=1;<01n:::57;?8e>;3>>463mcb8:63=:k==18864=006e?5fno16nnj593489443839jjk52bbg9=70<588?=7=nfg9>ff`=1;<01<<;2;1bbc=:jk915?84=b73>13?34i297::8:?af1<>:?16=?:<:2ceb>;ej<02>;521367>6gan27in;46279>57222:kmj63mb68:63=:9;>=6>oif:?af=<>:?16=?:8:2ceb>;ej002>;52136;>6gan27inl46279>572>2:kmj63>24`97d`a34hin77=6:?261g=;hlm70?=4g80ec`<5khh64<9;<310g<4iol01<<:0;1bbc=:jko15?84=007g?5fno16=?;>:2ceb>;ejo02>;52c7:900><588>>7=nfg9>ff6=1;<01<<;d;1bbc=:9;?86>oif:?ag4<>:?16=?:j:2ceb>;6:<>1?lhi;<``6??5>27h:k4;599>57322:kmj63mc28:63=:k>;18864=0062?5fno16nn:593489f142=?370?=5680ec`<5ki>64<9;8653`de?8dd>339:63l76871==:9;?26>oif:?ag2<>:?16o:o544:89f>b2=?370ll9;;12>;d?j0?9552c9d900><58o8j77=6:?2a17=1;<01;6m:?15?84=0g03??5>27:i>l5934894c5j339:63>e3f9=70<58o8=77=6:?2a77=1;<01;6m;=15?84=34gf??5>279:h?59348970bi339:63=6d69=70<5;;5>o915?84=34e2??5>279:ik59348944fn39jjk5210a7>6gan27:>>k53`de?875j:08mkh4=00a6?5fno16=?l>:2ceb>;6:k:1?lhi;<31<4<4iol01<<70;1bbc=:9;=n6>oif:?262e=;hlm70?>cg80ec`<5;k?n7::7:?252`=;hlm70?:6e80ec`<58?=o7=nfg9>50302:kmj63>3`g97d`a34;8m446gan27:>4753`de?8751>08mkh4=00:2?5fno16=?7::2ceb>;6:0>1?lhi;<0;=`<3=>16=><9:2ceb>;6;;?1?lhi;<3066<4iol01<==1;1bbc=:9:8;6>oif:?274`=;hlm70?<1c80ec`<589:m7=nfg9>57gc2:kmj63>2`a97d`a34;9mo46gan27:>l653`de?875i>08mkh4=00b2?5fno16=?o::2ceb>;6:h>1?lhi;<31e6<4iol01<oif:?26d6=;hlm70?=9g80ec`<5882h7=nfg9>57?e2:kmj63>28:97d`a34;95>46gan27:>5l53`de?8750h08mkh4=00;;6:181?lhi;<30=3<4iol01<=64;1bbc=:9:3:6>oif:?250d=<loi:574?84fi>0?9;522`c;>130348jm94;579>6dg22=?<70l7i:574?84f100?9;522`;1>131348j;o4;579>6d3?2=?=70132348j::4;549>6d042=?>70?;<:576?845<00?98523b57>13234i8n7::5:?`7a<3=<16o>h544789f7c2=?>70m>f;661>;d:80?9852c319003<5j8>69;:;<30ed<4iol01<==7;1bbc=:9:8?6>oif:?274e=;hlm70?<7g80ec`<589561c2:kmj63>36a97d`a34;8;o46gan27:?:653`de?874im08mkh4=03g?:8:576?8758:08mkh4=3074?22?279>9?5445896e3=339:63<7::5:?0gfg=<m94;661>;4k?:188;4=2a6g?22=278o865447896e2<3>>963>21f97d`a34;9;5i?318884=3c5e?22=279m;;5444897g1>3>>963=a739000<5;k=>7::5:?1e0b=<<<01?o:e;661>;4khh188;4=2a`2?22=278ooj5447896ee<3>>963>20597d`a348j;94;549>577?2:kmj63=a639003<588:57=nfg9>6d>e2=?>70?=1`80ec`<5;k347::5:?264d=;hlm70oif:?1e=4=<d;1bbc=::h=j69;:;<315`<4iol01?o87;661>;6k;k1=5m4=3145?7?=279?:<519789750;3;3963=36695=3<5;9<97?75:?1720=91?01?=87;3;1>;5;>21=5;4=314e?7?j279?:l519`8945>;39jjk523b;`>132349h4k4;549>565>2:kmj63>32c97d`a34;8?:46gan27:?>853`de?84f1h0?9:522`;0>130348j;n4;569>6d332=?<70?=ee80ec`<589jo7=nfg9>57c12:kmj63>39d97d`a3ty8n=>50;:g85e9:03h4521`;`>7>c34;3jl4=8e9>fc?=1;<01n?6:805?8e6i339:63l2`8:63=:k:?15?84=b14><4134i8477=6:?`7<<>:?16o>o593489f5d208=70m;d9k02>;52c0a9=70<5j;n64<9;27h>?46279>g72=1;<01n<9:805?8e5?339:63l298:63=:k;315?84=b0a><4134i9o77=6:?`6a<>:?16o?k593489f4a208=70m<0;;12>;d;802>;52c209=70<5j9864<9;27h?;46279>e36=1;<01l;k:805?8g2i339:63n568:63=:i<>15?84=`72><4134k:?16m:;593489d15208=70o9f;;12>;f>j02>;52a7;9=70<5h<=64<9;27j:>46279>5`5b208=70?j358:63=:9l8j64<9;<3f65<>:?16=?oj:2`34>;69j>1?o>?;<317`<4j9:01<l?0:?26g7=;k:;70?=b180f56<5883=7=m019>57>72:h;<63>26g97g6734;9;n46d7827:>4o53c23?8751008n=>4=00:3?5e8916=?79:2`34>;6:0?1?o>?;<31=1<4j9:016>l?0:?2775=;k:;70?<2080f56<589:j7=m019>57c>2:h;<63>2`f97g6734;9mn46d7827:>l753c23?875i108n=>4=00b3?5e8916=?o9:2`34>;6:h?1?o>?;<31e1<4j9:01<l?0:?26d7=;k:;70?=a180f56<5882j7=m019>57?c2:h;<63>28`97g6734;9554;39i<=5213:g>6d7827:>5m53c23?8750k08n=>4=00;e?5e8916=?67:2`34>;6:1=1?o>?;<31<7<4j9:01<=m0;1a45=:9:9?6>l?0:?166e=<;5::=188;4=3004=3073?22>27:>==53c23?845;o02>;521333>6d78279>9;5447894e5i3;3h63=36395=g<5;9<>7?7a:?1725=91k01?=84;3;e>;5;>?1=5o4=3142?7?i279?:9519c8975003;3m63=36c95=e<5;9=;k:;70?<9480f56<589857=m019>565f2:h;<63>32597g6734;8?546d7827:?5l53c23?875mm08n=>4=00f2?5e891v>l?1;2930}:;k;?65j6;<`45??5>27i;?46279>f25=1;<01o9j:805?8d?1339:63m8c8:63=:j1i15?84=c:g><4134h3i77=6:?a:?16n4>593489g13208=70l85;;12>;e??02>;52b659=70<5k=364<9;<`4=??5>27i;l46279>f2d=1;<01o9l:805?8d0l339:63m7g8:63=:j1:15?84=c:2><4134h3>77=6:?a<6<>:?16n5:593489g>2208=70l76;;12>;e0>02>;52b9:9=70<5k2j64<9;<31e`<4j9;01<=?e;1a44=:9;h86>l?1:?26g4=;k::70?=b080f57<588i<7=m009>57>62:h;=63>29297g6634;9;h46d7927:??<53c22?8749m08n=?4=00:e?5e8816=?76:2`35>;6:0=1?o>>;<31=3<4j9;01<<65;1a44=:9;3?6>l?1:?25<3=;k::70?<2780f57<5899<7=m009>567e2:h;=63>30c97g6634;9mi46d7927:>lo53c22?875i008n=?4=00b;6:h<1?o>>;<31e0<4j9;01<l?1:?26d4=;k::70?=a080f57<588j<7=m009>57?a2:h;=63>28f97g6634;95o4039i<<5213;0>6d7927:>5j53c22?8750j08n=?4=00;f?5e8816=?6n:2`35>;6:121?o>>;<31<2<4j9;01<<72;1a44=:9:h;6>l?1:?160b=<69;:;<010`<3=<16=;69jn1?o>>;<0103<>:?16>?:?:576?845<80?98521333>6d79279>9;5444894e5i3;3i63=36395=d<5;9<>7?7b:?1725=91h01?=84;3;f>;5;>?1=5l4=3142?7?j279?:9519`8975003;3n63=36c95=b<5;9=1;<01?:=4;;12>;5<;h15?84=2cff??5>27:?4;53c22?845>802>;52237:><4134899?46279>56>e2:h;=63>2d:97g6634;9ii4c127:?ll53c21?8761<08n=<4=01a4?5e8;16=?6i:2`36>;6k;k1=5h4=3145?7?k279?:<519a89750;3;3o63=36695=e<5;9<97?7c:?1720=91i01?=87;3;g>;5;>21=5m4=314e?7?m279?:l519g8945>=39i6d7:27:>5=53c21?xu4j991<7?7{<1a53;6;hh1?o><;<31=7<4j9901<<60;1a46=:983>6>l?3:?26;5;>91=5j4=3140?7?l279?:;519f89750>3;3h63=36595=b<5;9<47?7d:?172g=91l01?=8b;3;b>;500315?84=01;f?5e8:16=?7l:2`37>;6:131?o><;<31<0<4j990q~=m0583>64|5:h:;76k9:?27g6=;k:?70lo<:576?84fi90?98522`;g>132348j5;4;549>6d1a2=?>7001<=8f;1a41=:9:=n6>l?4:?272b=;k:?70?<7b80f52<589561f2:h;863>36;97g6334;8;54<41348j:n46279>6d0?208=70:?16>l9=:805?84f>o02>;522`::><41348j4;46279>6d>4208=70:?16=n4>b3488;>4>8d9>6613282n70<<7482<`=:::==6<6j;<0032<60l16>>97:0:f?844?h0:5=52225a>4?734;85546d7<2wx?o>::1824~;4j8214i74=00ba?5e8<16=;6:1o1?o>:;<3`6d<60?16>>9>:0:e?844?;0:4k522250>4>a3488;94>8g9>6612282m70<<7782>9n:0;2?844?k0:5<5213:5>6d7=2wx?lh<:1824~;4j9<14i74=00bb?5fn:16=;6:1o1?lh<;<3`6d<60>16>>9>:0;3?844?;0:5=522250>4?73488;94>919>6612283;70<<7782=5=:::=<6<7?;<003=<61916>>9n:0:5?844?k0:4;5213:5>6ga;2wx?lh;:18`85e8>03h4521b0b>4>?3488;<4>909>6615283:70<<7282=4=:::=?6<7>;<0030<61816>>99:0;2?844?>0:5<52225;>4?63488;l4>869>661e282<7p};5;>81=584=3147?7?>279?::519489750=3;3:63=36495=0<5;9<;7?76:?172>=91<01?=8a;3;<>;5;>h1=564}r1bb3<72kq6?o>6:9f:?844?80:4:522251>4>03488;>4>869>6613282<70<<7482<2=:::==6<68;<0032<60>16>>97:0:4?844?h0:4452225a>4>>3ty8mk950;;x96d7i32o563=36395=><5;9<>7?78:?1725=91201?=84;3;<>;5;>?1=564=3142?7?0279?:9519:8975003;346s|3`d;>5<>s49i6616282270<<7382<<=:::=86<66;<0031<60016>>9::0::?844??0:44522254>4>>3488;54>889~w6ga13:1ov3j7<7d:?1727=<9>01?=82;630>;5;>918=:4=3140?27<279?:;541689750>3>;863=3659052<5;9<47:?4:?172g=<9>01?=8b;630>{t;hlj6=4n3z?0f5c=0m301?;;5m54478972e93>>963=4e`9003<5;?8n7::5:?10d`=<;5=:k188;4=36b`?22=2798i754478973413>>963=4``9003<5;>o47::5:?116>=<;53>>963=5279003<5;>j97::5:?1162=<;5==o188;4=3707?22=2798l?54478972c;3>>963=5209003<5;>2h7::5:?1167=<;5=::188;4=36:=?22=2799?h54478972>?3>>963=53g9003<5;>297::5:?117b=<;5=;h188;4=36:5?22=2799?o54478972?n3>>963=53;9003<5;>3h7::5:?117>=<;5==8188;4=3713?22=2798n<54478972d?3>>963=5349003<5;>h<7::5:?1173=<;5=;>188;4=36ag?22=2799?=54478972ei3>>963=5309003<5;>i47::5:?116`=<;5=:o188;4=36b4?22=2799>954478972?i3>>963=53a9003<5;>347::5:?1177=<;4io8188;4=2ce5?22=278mhj5447896gbn3>>963>36097d`f34;9hh439jjl52145g>6gai27:?:953`db?874?<08mko4=2ab3?22>2798>463=40c900><5:kn;7::8:?104`=<<201?:>e;66<>;5<8n18864=3766gai27:?;k53`db?874=108mko4=015`?5fnh16=>8l:2cee>;6;?h1?lhn;<3022<4iok01<=:d;1bbd=:9:?h6>oia:?273g=;hlj70?<5680ecg<589=57=nf`9>56312:kmm63>37:97d`f34;898439jjl521277>6gai27:?;;53`db?874=:08mko4=0150?5fnh16=>;=:2cee>;6;?91?lhn;<3014<4iok01<=92;1bbd=:9:?;6>oia:?2737=;hlj70?<4g80ecg<589=<7=nf`9>562b2:kmm63>34d97d`f34;88i46gai27:?:?53`db?874=k08mko4=0144?5fnh16=>;n:2cee>;5>963=4b49003<5;>h97::5:?1103=<;5=<9188;4=3766?22=27998?54478944cn39jjl52132b>6gai2798?8544789725?3>>963=4309003<5;>9?7::5:?107?=<;4il3188;4=2cfe?22=27:9:m53`db?85dk;02>;523b`:><41349hn=46279>7fg22=?370?>7e80ecg<58;3i7=nf`9>50112:kmm63>56797d`f34;>;>4c12799<759348972e;339:63=50:9=70<5;>i=77=6:?1141=1;<01?:nf;;12>;5=8<15?84=36b`??5>2799<;59348972fj339:63=5069=70<5;>j577=6:?1145=1;<01?:n7;;12>;5=8815?84=36b1??5>2799j=77=6:?115c=1;<01?:6d;;12>;5=9n15?84=36:f??5>2799=m59348972>1339:63=51`9=70<5;>2;77=6:?115g=1;<01?:65;;12>;5=9315?84=36:7??5>2799=659348972>9339:63=5159=70<5;>3j77=6:?1150=1;<01?:7d;;12>;5=9?15?84=36;f??5>2799?>59348972d:339:63=50d9=70<5;>h<77=6:?114c=1;<01?:me;;12>;5=8n15?84=36ag??5>2799i477=6:?114g=1;<01?:m6;;12>;5=9l15?84=36b4??5>2799=:59348972?i339:63=5119=70<5;>3477=6:?10`2=1;<01?:99;;12>;4io:15?84=2cf`??5>27:=o753`da?872?m08mkl4=2a`7?22>278ooo5444896ee93>>:63>36697d`e349h5h4;549>7f?62=?>70=l498710=:;jk<69;:;<3005<4ioh01>m;0;663>;6=?l1?lhm;<314d<4ioh01>m87;661>;6;=;1?lhm;<363f<4ioh01>ml0;661>;6=>31?lhm;<1`f2<3=<16=897:2cef>;4kho188;4=0743?5fnk16?no9:805?8741108mkl4=2ag27:=5k53`da?874?:08mkl4=034=?5fnk16=>:n:2cef>;6=><1?lhm;<3630<4ioh01<;83;1bbg=:9:2j6>oib:p7d`d29085v3==77=6:?1036=1;<01?::f;;12>;5<27988m593489722j339:63=44c9=70<5;>>577=6:?100>=1;<01?::6;;12>;5<27988=593489722:339:63=4439=70<5;>><77=6:?101`=1;<01?:;e;;12>;5<=n15?84=3652798;9593489721>339:63=4779=70<5;>=877=6:?1035=1;<01?:92;;12>;5<<=15?84=367g??5>27989l593489725k339:637=nfb9>57bb2:kmo63>56f97d`d34;8;84m3>>:63=<<<01<oic:?0g21=<<<01<;8c;1bbf=:;ji;69;9;<363<<4ioi01>mm7;662>;6=>21?lhl;<1`e`<3=?16=898:2ceg>;69>n1?lhl;<32<`<4ioi01oic:?2123=;hlh70?:7280ece1c;97d`c34;8;;4132349h5:4;549>7f>d2=?>70=l8`8710=:;j2369;:;<1`<3<3=<16?n6;:576?85d0;0?98523b:3>132349h;h4;549>7f1d2=?>70=l7`8710=:;j=969;:;<1`35<3=<16=>98:2ce`>;6=>81?lhk;<1`g6<3=<16?nln:576?85dj80?98521257>6gal278ol65447896e>n3>>963m85;;12>;4kjn188;4=2a7b?22=278on75447896e1;3>>963n7::5:?0g01=<m:3;661>;4k?n188;4=2a5<=53`dg?8759=08mkj4=0021?5fnm16=??9:2ce`>;6;=;1?lhk;<363f<4ion01<=68;1bba=:;jn369;:;<3036<4ion01<=;a;1bba=:9:2j6>oid:p7a0e2908w0=l2g81m<0;296~;4k;l1>8h4=2a05?>212wx?n6e5n27:>ik53b0e?874?<08o?h4=0746?5d:o16=?ji:2a1b>;4k:218884=076mk5;296~;4km>1>8h4=074f?5dl<1v>mk4;2965}:;jn?65j6;<3b=6<3=<16=5h>:576?875jo08oi:4=00a`?5dl=16=5hl:575?876k=08oi:4=01af?5dl=16=>l>:2ag0>;69jh1?nj;;<32gc<4km>01<<mk4:?26=c=;jn?70?=8580ga2<589i<7=ld59>56ce2:io863>30g97fb334;:on413?34;:h446ec<27:=no53bf7?8758008oi:4=0a1e??0n279?4j5934897ebj339:63>54:97fb334;9i84>963=40`9003<5;>:m7::5:?0e`1=<f;661>;5<8o188;4=362`?22=278ol;54478yv55kj0;6ou235f;>7>c3493:?4;599>772a21?270==a38712=:;0m10?95523`35>13?349j?;4;599>725d2=?37p}<4e794?4|5:>o476k9:?00ad=<6}:9>8j6?6k;<3476<0;o16=:<6:576?xuf<10;6;=t=051e?40i27:;=6526c89417138706962g<58=9?7<8a:?234`=:>k01<9=2;04e>;6?;>1>:o4=0511?40i27:;?8526c89415?3871c962g<58=;n7<8a:?235e=0m:01<9?d;04e>;6?9o1>:o4=053b?40i27:;<>58e289416932o<63>707962g<58=:>76k0:?2345=:>k01<9>6;:g4>;6?8=1>:o4=05270`962g<58=:o7<8a:?234b=:>k01<9>e;04e>;6?;:1>:o4=0515?40i27j8547d89>525d2=?>70=;e08710=:9<>?6l:7;<3713d2?349h>h4;549>501a2h>370?d2?34h?97::6:?0g67=<<<01<=67;c7<>;6=<91m964=066e?g3027:?lh5a5:8944c13k?463>2bc9e1><589no7o;8:?27`g=i=201<=n8;c7<>;6;1o1m964=00eb?g3027:?ih5a5:8945>83k?463>39f9e1><588ii7o;8:?213?=i=201<;6:j21m964=00gf?g3027:>io5a5:8944dk3k?463>2b`9e1><588h=7o;8:?26a6=i=201>;73;662>;6==91m964=01ef?g3027:?ko5a5:8945a13k?463>2ea9e1><588hh7o;8:?27c>=i=201<=i7;c7<>;6;o<1m964=01e1?g3027:?k:5a5:8945a;3k?463>4139e1><58>;<7o;8:?27c`=i=201<=ie;c7<>;6;on1m964=01eg?g3027:?k<5a5:89414l3>>963>2919e1><58?>:7o;8:?2103=i=20q~?>6383>7>|58=9m7<:f:?22d>=<;6>j21>;=4=04a7?22=27::ok54478940d93>>963>6b09003<58;6>j<188;4=04`3?22=27::l754478940fi3>>963>6``9003<58;6>hl188;4=04a4?22=27::o?54478940e:3>>963>6c69003<58;6>k2188;4=04a=?22=27::oo54478940ej3>>963>6ca9003<58;5k8n188;4=01g1?76>;16=:<6:575?84d:10?9;521041>=b>3ty:;?o50;61870:h03h452161`>131349?i<4;599>502328=9m63>4449524f34;?8>4>73c8944?n3;<>l52105`>415i278o?k544489430n3;<>l5212fg>415i27:?o<5160b?872?l0:;?o4=074f?70:h16=9>=:051e>;6=>k1=:?54458945>?3;<>l521470>415i27:88o5160b?874io0:;?o4=00g=?70:h16=?mn:051e>;6;li1=:8j70?56>c28=9m63>2cg9524f34;>:44>73c8944c?3;<>l5213a;>415i27:>il5160b?875lh0:;?o4=00`g?70:h16=?mm:051e>;6:j;1=:;73;663>;6==91=:8j70?=ce8237g<589m47?82`9>56`028=9m63>3g49524f34;8j84>73c8945a<3;<>l5212d0>415i27:8=?5160b?873890:;?o4=01eb?70:h16=>hj:051e>;6;on1=:9o69;9;<31<6<6?;k01<;:6;346d=:96<9=a:p5d>?290=w0?n91811c=:9h2m6?;i;<3b<`<5=o16=l77:37e?87f0>099k5213ae>4g?02wx=l6k:18087f1903h4521b3a>7>c34;jmo4;579~w4g?k3:1?v3>a9d96}:9h2n65j6;<3`5<<50m16=lo6:575?xu6i021<7;t=0c:c127:mh85447894d003>>:63>ad;9003<58kjh7::6:p5dg72908w0?n96811c=:9h3m6?;i;<31`7<6ih:0q~?n9683>7}:9h3<65j6;<3be`<3=?1v27:>i:51`;e?87f1l0?9;521`ce>1313ty:ml=50;1x94gf?38a`0962g<588o?7?na29~w4gf=3:1?v3>a`5976k0:?26a2=9hk>7p}>a`594?4|58kj;76k9:?2127=9hk<7p}>a8094?4|58k2=7<7d:?2e<5=0<30q~?n9583>7}:9h3:6?9n;<31`5<6i0>0q~?n9083>1}:9h3:65j6;<31`3<6i0;01;6j:>18884}r3b=a<72:q6=l7l:37e?87f1k099k5213f2>4g>l2wx=l7n:18687f1j03h4521`a:><4134;jn<4;579>5dd?2=?>70?nbd8713=z{8k257>57z?2e;6ijh188;4=0ca4?22>27:mo65444894gem3>>963>ab490035dg521n270?n9d8710=:9;n86a9594?5|58k3;76k9:?2ede=<<<01{t91o=6=49{<3;a`<5=o16=5kk:37e?87?mj099k5219d5>73a34;3i84=5g9>57e7282n:6s|19ga>5<4s4;3ih47d89>5d1?2;2o70?60`8713=z{82nm7>53z?2<`b=0m301;619318884}r3;a<<72:q6=5kl:9f:?87f??094i52182;>1313ty:4k850;7x94>a>32o563>9519003<583o97::6:?2=10=<{t91lm6=4<{<3;b0<5=o16=5hj:37e?875k:0:4kh4}r3;b0<72;q6=5h::9f:?87>8m0?9;5rs0:ea?6==r7:4kk58e;894>a93>>:63>2b795=`b34;3ji4;579>5<6b2=?=7p}>91094?5|583;:7<8a:?2=57=:>k01<53z?2=50=0m:01<7?1;:g4>;6:j?1=4>;;|q2=50=838p1<7?6;:g=>;6=>;1=4>9;|q2;60o;14874}r3;b7<72;q6=5ki:35b?875k80:4k<4}r3;ac<72=q6=5ki:9f:?875k>0:4hh4=0;0a?22>27:54?54448yv7?nk0;6>u219db>73a34;3j44=5g9>57e5282mn6s|19d;>5<2s4;3jl47d89>5<41208=70<:598713=:90;>69;:;<3:5g<3=?1v<6i7;293~;60o314i74=0;13?22=27:5?6544789732>3>>:63>9079000<583:n7::5:?2=75=<1}:90::65j6;<3;ba<3=<16=?m;:0;35>;6:j;1=4>>;|q2<`3=839p1<6j5;:g=>;619h18884=0c;7?22=2wx=;k7:180871i10?9;52162;>=b>34;9nn4>6d:8yv71i10;6>u217c;>=3>34;=4446279>53e?2=h<7p}>69;94?5|58<3579{t9?<26=4={<35g=<0;o16=:>7:3:g?xu6>o91<7=t=04a7?22>27:;=758e;8944ej3;=j>5rs04a7?6=;r7::o=584;8940><339:63>6e190g153?32>9m70?99g8126=:9?nn6?8<;|q223g=838p1<8k3;50b>;6?931>5j4}r35b`<72:q6=;lj:575?8709=03h45213`b>40am2wx=;lj:180871jl03945217;e><4134;=hh4;b69~w40>n3:1?v3>68d936`<587}:9?nn6:=i;<3451<50m1v<9?1;297~;6>j;18884=052b?>c127:>o751622?xu6>j;1<7=t=04`5?>2127::l?59348940b93>i;6s|17c2>5<4s4;=m<483g9>53g52;<870?9e38126=z{8<3<7>52z?22`7=?:l01<9>f;0;`>{t9>:96=4<{<35g7<3=?16=:<=:9f:?875j10:;=<4}r35g7<72:q6=;m=:97:?871i;02>;5217g1>1d03ty::l<50;1x940f:3=8j63>6`19635<5842909w0?9e3847c=:9>896?6k;|q2355=839p1<8l3;662>;6?;914i74=00a3?708:1v<8l3;297~;6>j914874=04b7??5>27::h=54c58yv71i:0;6>u217c0>25a34;=m94=629>53c32;<87p}>69694?4|586}:9?i?69;9;<3461{t9?i?6=4<{<35g16d7963553c32>9m70?8258153z?22f3=<<<01<9=5;:g=>;6:k?1=:>:;|q22f3=839p1<8l5;:6=>;6>h?15?84=04f1?2e?2wx=;o::180871i<070434;=i;4=629~w40?>3:1>v3>6d7936`<58=997<7d:p52612908w0?9c78713=:9>8=65j6;<31f0<6?9<0q~?9c783>6}:9?i=65;6;<35e3<>:?16=;k9:5`4?xu6>h<1<7=t=04b2?14n27::l952718940b?38=?6s|17:4>5<5s4;=i;483g9>52412;2o7p}>71594?5|5853z?22f1=0<301<8n7;;12>;6>l=18o94}r35e2<72:q6=;o8:61e?8710h09:>5217a:>7043ty::5650;0x940b?3=8j63>73596=b53g>2=?=70?80`8;`<=:9;h>6<8j9:p53g>2908w0?9a88;1<=:9?2j64<9;<35g<<3j>1v<87a;297~;6>1k1;>h4=04;f?41;27::no52718yv71>k0;6?u217a:>25a34;<6`c9000<58=;n76k9:?26g0=9?oj7p}>6`c94?5|58{t9?2i6=4<{<355rs045g?6=:r7::no572d89417j383h6s|17ga>5<4s4;=mo4;579>526d21n270?=b7822`d53ge21?270?98b8:63=:9?ii69l8;|q22=e=839p1<87c;50b>;6>1n1>;=4=04`g?41;2wx=;8k:181871kk07>c3ty::hm50;1x940fk3>>:63>71f96`a9<0?<58<3h77=6:?22fe=6}:9?2o6:=i;<35<`<5>:16=;mk:340?xu6>?o1<7u217cg>13134;<57d0285<4s4;=mi47589>53>b208=70?9ce87f2=z{8<3i7>53z?22=c=?:l01<87f;057>;6>jo1>;=4}r352c<72;q6=;mk:61e?8708l094i5rs04fa?6=;r7::lk544489417n32o563>2c5953cb3ty::lk50;1x940fm32>563>69d9=70<58a2908w0?98g847c=:9?3;6?8<;<35gc<5>:1v<880;296~;6>jo1;>h4=053b?4?l2wx=;ki:180871io0?9;521633>=b>34;9n:4>6dd8yv71io0;6>u217ce>=3>34;=5=46279>53ea2=h<7p}>68294?5|58<2<79{t9?=:6=4={<35gc<0;o16=:??:3:g?xu6>o:1<7=t=04a4?22>27:;584;8940>9339:63>6e290g153?62>9m70?9938126=:9?n:6?8<;|q2224=838p1<8k0;50b>;6?8;1>5j4}r35b4<72:q6=;l>:575?8709;03h45213`;>40a92wx=;l>:180871j803945217;1><4134;=h<4;b69~w40>:3:1?v3>680936`<58<2?7<93:?22a4=:?90q~?97283>7}:9?n:6:=i;<3457<50m1v<8i2;297~;6>k818884=0527?>c127:>o6517d1?xu6>k81<7=t=04a6?>2127::4=59348940c:3>i;6s|17;0>5<4s4;=5>483g9>53?22;<870?9d58126=z{8<<87>52z?22a4=?:l01<9>3;0;`>{t9?l?6=4<{<35f1<3=?16=:?::9f:?875j00::k:4}r35f1<72:q6=;l;:97:?8711<02>;5217f7>1d03ty::4;50;1x940>=3=8j63>6849635<58;>6?6k;|q22c3=839p1<8m5;662>;6?8<14i74=00a=?71n<1v<8m5;297~;6>k?14874=04:2??5>27::i;54c58yv711?0;6>u217;5>25a34;=5:4=629>53b12;<87p}>66594?4|586}:9?h=69;9;<3452{t9?h=6=4<{<35f3038=?63>6e5963553b12>9m70?8168153z?22g1=<<<01<9>8;:g=>;6:kk1=;h8;|q22g1=839p1<8m7;:6=>;6>0215?84=04g3?2e?2wx=;77:18087111070434;=h54=629~w40013:1>v3>6e5936`<58=:47<7d:p53`?2908w0?9b98713=:9>;265j6;<31fd<6>o20q~?9b983>6}:9?h365;6;<35=<<>:?16=;j7:5`4?xu6>031<7=t=04:=?14n27::4o52718940c138=?6s|175b>5<5s4;=h5483g9>527>2;2o7p}>6g;94?5|5853z?22g?=0<301<86a;;12>;6>m318o94}r35=d<72:q6=;7n:61e?8711k09:>5217fb>7043ty:::l50;0x940c13=8j63>70c96=b53df2=?=70?81c8;`<=:9;hi6<8ia:p53df2908w0?9b`8;1<=:9?3i64<9;<35`d<3j>1v<86b;297~;6>0h1;>h4=04:g?41;27::il52718yv71?j0;6?u217fb>25a34;<=o4=8e9~w40aj3:1?v3>6c`9000<58=:o76k9:?26gd=9?li7p}>6c`94?5|58{t9?3h6=4<{<35=f<0;o16=;7k:340?871lj09:>5rs044`?6=:r7::il572d89416k383h6s|17d`>5<4s4;=nn4;579>527c21n270?=bc822ce53dd21?270?99e8:63=:9?nh69l8;|q22;6>0o1>;=4=04g`?41;2wx=;9j:181871lj07>c3ty::kj50;1x940el3>>:63>70g96cf9<0?<58<2i77=6:?22ab=6}:9?3n6:=i;<35e5<5>:16=;ji:340?xu6>>l1<7u217`e>13134;<>=47d89>57dd285<4s4;=nk47589>53g7208=70?9dg87f2=z{852z?22d6=?:l01<8j0;057>{t9?2:6=4={<35`c<0;o16=:27:;??58e;8944ek3;<<=5rs04`4?6=:r7::n>584;8940b83>i;6s|17:1>5<5s4;=i=483g9>52462;2o7p}<59094?05s49?<44=639>71222;<970=;978127=:;=<86?;i;<1727<5=o16?98>:37e?853>9099k52357e>73a349?9h4=5g9>713c2;?m70=;5b811c=:;=?i6?;i;<171d<5=o16?9;6:37e?853=1099k523574>73a349?9;4=5g9>71322;?m70=;a4811c=:;=k?6?;i;<17e6<5=o16?9o=:37e?853i8099k5235c3>73a349?5k4=5g9>71?b2;?m70=;9e811c=:;=3h6?;i;<17=g<5=o16?97n:37e?85310099k5235;;>73a349?5:4=5g9>70>421?270=;c0811c=:;<73a349?on4=5g9>701>2;?m70=;cg811c=:;<=h6?;i;<17`5<5=o16?89k:37e?853l8099k52345f>73a349?h?4=5g9>701a2;?m70=;d2811c=:;<2;6?;i;<17`1<5=o16?86>:37e?853j>099k523447>73a349?n54=5g9>70022;?m70=;b8811c=:;<<=6?;i;<17fd<5=o16?888:37e?853jk099k52344;>73a349?nn4=5g9>700>2;?m70=;be811c=:;<73a349?o=4=5g9>700c2;?m70=;c3811c=:;<73a349?o84=5g9>70152;?m70=;c7811c=:;<=86?;i;<17g2<5=o16?89;:37e?853k1099k523456>73a349?o44=5g9>70112;?m70=;c`811c=:;<=<6?;i;<17gg<5=o16?897:37e?853km099k52345b>73a349?oh4=5g9>701e2;?m7p}<51094?4|5:>;57?ka:?0154=0<30q~=:0183>7}:;=:267}:;=:267}:;=:267}:;=:267}:;=:267}:;=:267}:;=:267}:;=:267}:;=:267}:;=:267}:;=:267}:;=:26;<2;296~;4<931=ij4=2707?>212wx?8=3>3ty89<=50;0x962713;o?63>47c970743ty88h<50;0x962713;o>63<4d19<0?716>21==70=;348713=z{:>3i7>52z?005?=0>?01>:<4;662>{t;=2h6=4={<174<0:2788><54448yv530h0;6?u2352:>=16349??<4;579~w62?13:1>v3<41;9<26<5:>8<7::6:p71>?2909w0=;088;2c=:;=8m69;9;|q00=1=838p1>:?9;:5a>;4<;o18884}r17<3<72;q6?9>6:94g?853:m0?9;5rs26;1?6=:r788=7587a89625k3>>:6s|35:7>5<5s49?<4476c9>714e2=?=7p}<49194?4|5:>;5769a:?007g=<<<0q~=;8083>7}:;=:26587;<176<<3=?1v>:70;296~;4<9314;94=2612wx?99i:1818538003:;523504>1313ty88:k50;0x9627132=963<4cf96=b716>2152z?005?=0?901>:mb;0;`>{t;==i6=4={<174<;16?9ln:3:g?xu4<>k1<7192788o7529f8yv53?00;6?u2352:>=07349?n54=8e9~w62003:1>v3<41;9<0`<5:>i;7<7d:p71?22909w0=;088;=g=:;=n?6?6k;|q00<2=838p1>:?9;::0>;45j4}r17=6<72;q6?9>6:9:e?853l;094i5rs26:6?6=:r788=758948962c9383h6s|35;2>5<5s49?<447819>71b72;2o7p}<48294?4|5:>;5768c:?00f`=:1n0q~=;8e83>7}:;=:2659;;<17gf<50m1v>:72;296~;4<9314;74=26`5?4?l2wx?998:18185380039h5235`5>7>c3ty88:850;0x9627132>h63<4c796=b716>2;8h70=;448143=z{:>=o7>52z?005?=:;h01>:;5;031>{t;=00;6?u2352:>74?349?884=039~w62103:1>v3<41;9671<5:>?97>6?>?;|q0030=838p1>:?9;011>;4<=?1=kh4}r1730<72;q6?9>6:317?853<<095<5s49?<44=339>71222;:h7p}<46094?4|5:>;57<<1:?0013=:9h0q~=;7083>7}:;=:26?=?;<1700<58h1v>:80;296~;4<931>?h4=2671?4712wx?98i:1818538009>h523566>76?3ty88;k50;0x96271389h63<4579651716>2;8?70=;4482b`=z{:>=87>52z?005?=:;901>:;5;3e`>{t;=>h6=4={<1700:16?9=::576?xu4<=h1<7=t=2671?>1:2788>:544789625>382n6s|356b>5<4s49?8847609>71542=?>70=;2481=g=z{:>?57>53z?0013=0?:01>:<2;661>;4<;>1>4l4}r170=<72:q6?9:::97e?853;80?98523500>7?e3ty888:50;1x9623=322n63<4229003<5:>9>7<6b:p71342908w0=;448;=1=:;=8m69;:;<1764<51k1v>::2;297~;4<=?145h4=261a?22=2788?>528`8yv53=80;6>u23566>=>1349?>i4;549>717a2;3i7p}<44294?5|5:>?97670:?007e=<:>e;0:f>{t;=>m6=4<{<1700>963<40a96712221<270=;288710=:;=;i6?7m;|q0011=839p1>:;5;:6a>;4<;2188;4=262e?4>j2wx?9:9:180853<<039i523504>132349?=44=9c9~w63483:19v3<48495ad<5:>2:7?ka:?00<0=9m301>:66;3g<>;6<><1?8=?;|q017c=838p1>:66;3g3>;6<>?1?8=838p1>:66;3g2>;6<>91?8<7;|q0170=838p1>:66;3g1>;6<>81?8<9;|q0172=838p1>:66;3g0>;6<>;1?8<;;|q0174=838p1>:66;3f2>;6<>:1?8<=;|q0176=838p1>:66;3f1>;6:66;3f0>;6:66;3f6>;6:66;3f4>;6=838p1>:66;3ga>;6:66;3g`>;4=8?14874}r164c<72;q6?979:0f`?873>0089=h4}r164g<72;q6?979:0f0?873>1089=l4}r1642<72;q6?979:0f1?873>>089=94}r17ef<72;q6?979:940?8531>094i5rs26bf?6=:r7884858708962>0383h6s|35cb>5<5s49?5;47609>71?>2;2o7p}<4`;94?4|5:>2:7690:?007}:;=3=65;i;<17=g<50m1v>:m4;296~;4<0<144l4=26:g?4?l2wx?9l<:1818531?03595235;g>7>c3ty88o<50;0x962>>323j63<48g96=b71?1212=70=;9g81i<7>52z?00<0=01:01>:n0;0;`>{t;=km6=4={<17=3:3:g?xu40<2788l<529f8yv53im0;6?u235;5>=0>349?m>4=8e9~w62f?3:1>v3<4849<0c<5:>j87<7d:p71g12909w0=;978;1a=:;=k>6?6k;|q105c=831;0;`>;5<8;14i74=312=?22>279?727m2wx>9?;:1878439809;l522531>71f348?=>4=7`9>617321?27p}60383>7}::=;96?6k;<005<727n2wx5=950;0x9726;383h63=30c9<0?617421n270<<1`8713=:9:>36?:>0:?253c=:=;;7p}7f783>7}:::h?6?6k;<004a<0;o1v?=?b;29b~;5;k>1>:o4=31ak01?:?0;04e>;5<9;1>:o4=31e1}:::h?65j6;<300<<5;hk01<=;7;00ed=::::j69;:;|q:46<72;q6>>l::3:g?8448l038k01?=md;04e>;5;kl14874}r00eg<72>l::9f:?845n002>;52222f>1d0348?56202;9jn6s|91:94?4|5;9i:7<7d:?175`=?:l0q~<0}:::h=65j6;<01bg<>:?16>>>i:5`4?874<009?lm4=0173?44ij1v4?=:181844j0094i522231>25a3ty9?lh50;4x975e132o563=3139=70<5;9:>7:m7:?25;5;8:1;>h4}r00ea<72?q6>>l8:9f:?845nm02>;522233>1d0348?56202;9jh63=3`4900052z?17g>=:1n01?=>1;50b>{t::kn6=49{<00f=?hi:805?844980?n:52252g>13034;88;4=3`g8975f>3>>;6s|90494?4|5;9im7<7d:?1745=?:l0q~<3}:::hj65j6;<0046<>:?16>>?<:5`4?8761l09?o>4=0172?44j916>>o9:576?xu>9h0;6?u222`a>7>c3488=9483g9~w75e93:1:v3=3c`9=6?=m1:?17d1=<<<0q~7>e;296~;5;ki1>5j4=3121?14n2wx>>l=:185844jj03h4522224><413488=84;b69>54?b2;9i>63=3`59001<589?97<3:1:v3=34;96=b<5;9>576k9:?16c0=<<<01?;6;:l1>>;9;<001a<3=?1v?=:c;290~;5;<31>:o4=316e?40i279?8l526c89752k32>56s|91694?4|5;9>m7<7d:?16c0=0<30q~<<5683>0}:::?j65j6;<01b3<3=<16>?h8:574?874;o09?894=316g?22>2wx5=750;0x9752j383h63=2g59<0?663e21n270<=f68713=:9:9m6?=:8:?170b=<5j4=30`1?14n2wx>>:k:1821~;5;;k1>:o4=311f?40i279??j526c89755m38k01?=<4;04e>;5;=?1>:o4=3172?40i279?99526c89753038k01?=;c;04e>;5;=;1>:o4=3176?40i279?9=526c89753<3832`9662c3ty9?1gd9667a34;8?h4=30d8974d:3>>96s|91794?4|5;99n7<7d:?16f>=?:l0q~<<2083>0}:::8i65j6;<01ec<>:?16>?m7:5`4?876no09???4=010a?44:81v4>n:181844:m094i5223a:>25a3ty9??<50;7x9755l32o563=2c39=70<5;8h57:m7:?25c`=::8970?<3d8177452z?177c=:1n01?{t::886=49{<006`?l<:805?845kh0?n:5210af>755;27:?>j52200?874<=09??=4}r;24?6=:r79??h529f8974dj3=8j6s|2207>5<1s488>k47d89>67d2208=70<=cc87f2=:98in6?==4:?276b=::8?70?<458177252z?1764=:1n01?{t:;i86=4;{<0077<5?h16>>=?:35b?844:j09;l5223a7>=3>3ty9??950;4x9754:32o563=2c`9=70<5;8hi7:m7:?25fc=::8<70?>8681771<589?87<<269~w<742909w0<<3181;5:k=15?84=30`g?2e?27:=nk52206?8760>09??;4=0170?44:<1v4?8:181844;8094i5223ag>25a3ty9??850;7x9754932o563=2c;9=70<5;8hh7:m7:?2715=::8=70?>868177052z?1765=:1n01?{t::836=49{<0076?lk:805?845ko0?n:521260>755027:?>j5220;?874<;09??64}r;17?6=:r79?>:529f8974c83=8j6s|220:>5<1s488?947d89>67da208=70<=d187f2=:98lm6?==9:?276c=::8270?<438177?52z?1713=:1n01?{t::??6=4k{<0000<5=o16>>:9:37e?844<>099k52226;>73a3488844=5g9>662f2;?m70<<4c811c=:::>h6?;i;<0004<5=o16>>:=:37e?844<:099k522267>73a34;8?k4=3468yv44;00;6nu22266>=b>3489i:4;549>661628k?70<<7382e1=:::=86>9::0c7?844??0:m9522254>4g33488;54>a59>661f28kj70<<7c82ed=z{0:=6=4={<0003<50m16>?kl:61e?xu5;:k1<7;t=3172?>c1279>5o59348974f=3>i;63=2e69=70<5;8no7:m7:p=5d=838p1?=;7;0;`>;5:ln1;>h4}r007g<72>:8:9f:?8450j02>;5223c5>1d03489h;46279>67cc2=h<7p}60d83>7}:::>36?6k;<01a`<0;o1v?=279>l954c58974c0339:63=2dg90g152z?171?=:1n01?{t::9o6=4:{<000<?7?:805?845i10?n:5223fb><413489ik4;b69~w<732909w0<<4`81;5:03188;4=30:6?4>j279>im59348974a83>i;6s|90:94?4|5;9?n7<7d:?16c7=?:l0q~<<3g83>0}:::>i65j6;<01=d<3=<16>?7<:3;a?845ll02>;5223d2>1d03ty2=n4?:3y>662d2;2o70<=f3847c=z{;9?<7>55z?171e=0m301?<6b;661>;5:0>1>4l4=30f4??5>279>k<54c58yv?583:1>v3=35396=b<5;8m?79w0<<408;`<=::;3h69;:;<01=0<51k16>?k=:805?845n:0?n:5rs807>5<5s4888?4=8e9>67`32>9m7p}=32494?3|5;9?>76k9:?16;5:l>15?84=30e0?2e?2wx4kl50;0x9753;383h63=2d;936`662421n270<=968710=::;2?6?7m;<01`7<>:?16>?k6:5`4?xu?nm0;6?u22267>7>c3489il483g9~w75403:18v3=3569{t18?1<7:j572d8yv438?0;6ou222dg>73a3488jh4=5g9>66`a2;?m70<;01811c=::=::6?;i;<00b=<5=o16>>h6:37e?844nh099k5222da>73a3488jn4=5g9>562?2;>;:6s|22d0>5<4s488ji47d89>67?>2=?=70<=758710=z{0;26=4={<00b`<50m16>?9j:61e?xu5;o>1<7:t=31ea?>c1279>4o544489741k339:63=26g90g152z?17c`=:1n01?<8f;50b>{t::l>6=4<{<00bc?7m:575?845??0?985rs802>5<5s48?<=4=8e9>67>72>9m7p}=3g494?2|5;>;<76k9:?16;5:1:18o94}r;11?6=:r798=?529f8974?93=8j6s|22d4>5<3s48?<<47d89>67?c2=?=70<=708:63=::;2:69l8;|q;bf<72;q6>>h7:3:g?845?>0?3>>:63=2719=70<5;8<;7:m7:p;5:>21;>h4}r00ac<72=q6>>h6:9f:?845110?9;522346><413489;54;b69~w=`a2909w0<;5:1<15?84=30b5?2e?279>;959348974013>i;6s|91294?4|5;9mn7<7d:?162g=?:l0q~<0}:::li65j6;<01<=<>:?16>?o=:5`4?845>002>;52235b>1d03ty2<<4?:3y>66`d2;2o70<=7c847c=z{;9m>7>53z?17ce=0m301?;5:>h18o94}r346=<72;;p1<9?8;06b>;6?931>8h4=0520?42n27:;?=524d89416n38>j63>730960`<58=987<:f:?2373=:;6?;=1>8h4=053e?42n27:;=l524d89417k38>j63>71f960`<58=;i7<:f:?235`=:0;06b>;6?8;1>8h4=0521?42n27:;<<524d89416;38>j63>704960`<58=:;7<:f:?234>=:9;06b>;6?8k1>8h4=052f?42n27:;j63>70g960`<58=9<7<:f:?2377=:{t;=:j6=4={<1726<50m16?9=9:61e?xu4c1278?hl54448yv538k0;6?u23541>7>c349??:483g9~w621:3:1>v3<4709:91;:g=>;4;ln18884}r174a<72;q6?98?:3:g?853;0058e;8965bm3>>:6s|352f>5<5s49?9k4=8e9>715f2>9m7p}<44d94?4|5:>>j76k9:?07``=<<<0q~=;0g83>7}:;=?n6?6k;<177g<0;o1v>::e;296~;4<2wx?9??:181853=m094i52351`>25a3ty888j50;0x9622l32o563<3g39000713d2;2o70=;3e847c=z{:>>o7>52z?000e=0m301>=i2;662>{t;=;96=4={<171g<50m16?9=j:61e?xu4<c1278?k=54448yv539:0;6?u2357b>7>c349??k483g9~w622i3:1>v3<44c9;6:=i;|q000?=838p1>::9;:g=>;4;o?18884}r1750<72;q6?9;7:3:g?853<803>>:6s|3535>5<5s49?9:4=8e9>71252>9m7p}<44594?4|5:>>;76k9:?07c1=<<<0q~=;1683>7}:;=?=6?6k;<1706<0;o1v>::6;296~;4<<<14i74=21e2wx?9?7:181853=<094i523567>25a3ty888;50;0x9622=32o563<3g;900071g221n270=:n4;:g=>;4;li188;4=21ga?4>j2wx?9o<:180853i:03h45232gg>1323498hk4=9c9~w62f:3:1?v3<4`096}:;=k:65j6;<10ac<3=<16?>k>:3;a?xu4c1278?k>54478965b:382n6s|35;e>5<4s49?5k47d89>76`62=?>70=2i7>53z?00=i2;661>;4;l>1>4l4}r17=a<72:q6?97k:9f:?854n:0?985232g6>7?e3ty884m50;1x962>k32o563<3g69003<5:9n:7<6b:p71?e2908w0=;9c8;`<=:;:l>69;:;<10a2<51k1v>:6a;297~;4<0k14i74=21e2?22=278?h6528`8yv53100;6>u235;:>=b>3498j:4;549>76c>2;3i7p}<48:94?5|5:>2476k9:?07c>=<=ja;0:f>{t;=3<6=4={<17=2h6:576?xu>:;0;6?u222`g>7>c3488=;483g9~w75e;3:19v3=3cf9>6?=m3:p;5:j<1;>h4}r0065<72>755827:?>j52203?874<;09??>4}r01<7<72;q6>?6n:340?845i<09:>5rs30;e?6=;r79>5o572d8974?k38=?63=2`4963567g22>9m70<<7082e0=:::=96>9;:0c6?844?<0:m8522255>4g23488;:4>a49>661?28k>70<<7`82eg=:::=i6;5:1o1>;=4=30b3?41;2wx>>jj:18a845i?04gf3488;?4>a`9>661428kj70<<7582ed=:::=>6>98:0cb?844?10:ml52225b>4gd3488;o4>ab9~w74?m3:1?v3=29g936`<5;82<7<93:?16d>=:?90q~<g}::;k<6:=i;<0034<6ik16>>9=:0ca?844?:0:mo522257>4ge3488;84>ac9>661128ki70<<7682eg=:::=36>9m:0cg?xu5:0:1<7=t=30:4?14n279>4<52718974f138=?6s|22g3>5661628kh70<<7382ef=:::=86>9::0c`?844??0:mn522254>4gd3488;54>ab9>661f28kn70<<7c82e`=z{;8257>53z?16;5:h318o94}r01=7<72:q6>?7=:61e?8451:09:>5223cb>7043ty9?h?50;`x974f13=8j63=36395db<5;9<>7?nd:?1725=9hn01?=84;3b`>;5;>?1=lj4=3142?7fl279?:951`f8975003;jh63=36c95d`<5;91v?<63;297~;5:091;>h4=30:0?41;279>ll52718yv44m;0;6ou223cb>25a3488;<4>ad9>661528kn70<<7282e`=:::=?6>99:0cf?844?>0:mh52225;>4gb3488;l4>b19>661e28h;7p}=28`94?5|5;82n76:9:?16<2=1;<01?{t:;3?6=4<{<01=1<0;o16>?7::340?845ij09:>5rs31f7?6=jr79>ll572d8975093;jj63=36095d`<5;9;5;><1=lh4=3143?7fn279?:651`d89750i3;i=63=36`95g767?d21?270<=948:63=::;kh69l8;|q16<3=839p1?<65;50b>;5:0<1>;=4=30b`?41;2wx>>k;:18a845ij04d73488;?4>b19>661428h;70<<7582f5=:::=>6>98:0`3?844?10:n=52225b>4g13488;o4>a79~w74>l3:1?v3=28f9<0?<5;82:77=6:?16db=6}::;3=6:=i;<01<1<5>:16>?7i:340?xu5;l?1<7lt=30b`?14n279?:?51c389750:3;i=63=36195g7<5;9<87?m1:?1723=9k;01?=86;3a5>;5;>=1=o?4=3145<4s4895:47589>67>3208=70<=9g87f2=z{;8387>53z?16=2=?:l01?<75;057>;5:h:1>;=4}r00`=<72kq6>?7i:61e?844?80:m;522251>4g13488;>4>a79>661328k=70<<7482e3=:::==6>97:0c5?844?h0:m552225a>4g?3ty9>4650;1x974>032>563=2979=70<5;8j<7:m7:p67>22908w0<=84847c=::;2=6?8<;<01e4<5>:1v?=k9;29f~;5:h:1;>h4=3145?7f?279?:<51`589750;3;j;63=36695d1<5;9<97?n7:?1720=9h=01?=87;3b3>;5;>21=l94=314e?7f1279?:l51`;8yv450?0;6>u223:5>25a3489454=629>67g52;<87p}=3ec94??|5;8j=79;5;>91=l64=3140?7f0279?:;51`:89750>3;j463=36595d><5;9<47?n8:p67>?2909w0<=89847c=::;k86?8<;|q17ad=833p1?;5;>;1=l74=3146?7f1279?:=51`;89750<3;j563=36795d?<5;9<:7?n9:?1721=9h301?=88;3b=>{t:lin6=4<{<0fec<>:?16>hkj:5`4?84bkl03945rs3gbb?6=;r79ilh572d897cei38=?63=eg;96356`cb2>9m70?>d280e206`df208=70;5mj?1>;=4=3d30?41;2wx?l6>:18184bn001303ty9ih:50;1x97cd=339:63=f1690g1<5;on876:9:p6`e22908w0:1v>o7c;296~;5n9>1;>h4=2;6b?22?2wx>hk8:18084bk>02>;522g24>1d0348ni:47589~w7cd?3:1?v3=eb5936`<5;oh47<93:?1b5>=:?90q~=n8g83>7}::o:<6:=i;<1:eg<3=>1v?kj8;297~;5mj215?84=3d3u22da;>25a348no44=629>6c6>2;<87p}6}::li264<9;<0e4<<3j>16>hk6:97:?xu5mj31<7=t=3g`=?14n279ino5271897`7i38=?6s|3`;2>5<5s48m<4483g9>7{t:lij6=4<{<0fgd<0;o16>hmm:340?84a8k09:>5rs2c:6?6=:r79j=o572d8947c?39j5?5rs3gff?6=;r79inl5934897`7j3>i;63=ed`9<0?6`ee2>9m70;69m<1?l7<;|q1a`e=839p1?klc;;12>;5n9i18o94=3gfg?>212wx>hml:18084bkj0704348m<3:1>v3=f1a936`<58;o97=n959~w7cbl3:1?v3=ebf9=70<5;l;h7:m7:?1a`b=0<30q~6}::lio6:=i;<0ff5<5>:16>hki:340?xu4i0?1<7279ihh54c5897cdn32>56s|2d`3>5<4s48nn=483g9>6`d62;<87052z?1a``=?:l0153z?1ag7=1;<01?ki0;6a3>;5mm:14874}r0ff4<72:q6>hl>:61e?84bj;09:>522dd2>7043ty8m:650;0x97ca83=8j63<79290016`d5208=70;5mk91>;=4=3ge6?41;2wx?l96:18184bn801303ty9ii<50;1x97ce;339:63=eg090g1<5;oo>76:9:p6`d42908w0:1v>o8a;296~;5mo81;>h4=25ahj<:18084bj=02>;522dd0>1d0348nh>47589~w7ce<3:1?v3=ec6936`<5;oi97<93:?1ac2=:?90q~=n7c83>7}::ll86:=i;<14`4<3=>1v?kk4;297~;5mk?15?84=3ge0?2e?279ii:584;8yv4bj<0;6>u22d`6>25a348nn;4=629>6``22;<87p}=<<=0q~6}::lh=64<9;<0fb0<3j>16>hj::97:?xu5mk<1<7=t=3ga2?14n279io95271897ca>38=?6s|3`5g>5<5s48nj8483g9>72`a2=?<7p}=ee494?5|5;oi;77=6:?1ac0={t:lh<6=4<{<0ff2<0;o16>hl7:340?84bn>09:>5rs2c4a?6=:r79ik8572d896>6>3>>;6s|2df4>5<4s48nn546279>6``02=h<7053z?1ag>=?:l01?km9;057>;5mo21>;=4}r1b3c<72;q6>hh8:61e?85?:m0?9:5rs3ggi;63=ee:9<0?6`d>2>9m70;40=>18894}r0f`d<72:q6>hlm:805?84bnh0?n:522dfb>=3>3ty9iol50;1x97cej3=8j63=eca9635<5;omn7<93:p7d>52909w0;5moh18o94=3ggf?>212wx>hll:18084bjj0704348njn4=629~w6g?;3:1>v3=eg`936`<5:2287::7:p6`bd2908w0h4=3gaa?41;279ikj52718yv5f0=0;6?u22dd`>25a3493mo4;569~w7ccl3:1?v3=ecg9=70<5;omh7:m7:?1aab=0<30q~6}::lhn6:=i;<0ffc<5>:16>hhj:340?xu4i1?1<7279ikk54c5897ccm32>56s|2d`e>5<4s48nnk483g9>6`e72;<87052z?1acc=?:l0153z?1af6=1;<01?kif;6a3>;5mml14874}r0fg5<72:q6>hm?:61e?84bk809:>522g23>7043ty8m5950;0x97can3=8j63>4d397d>03ty9ih>50;1x97cd9339:63=f1290g1<5;on<76:9:p6`e62908w0:1v>o78;296~;5n9:1;>h4=03eg?5f011v?kj1;297~;5mj815?84=3d35?2e?279ih?584;8yv4bk;0;6>u22da1>25a348no>4=629>6c652;<87p}{t:li86=4<{<0fg6<0;o16>hm;:340?84a8:09:>5rs2c;e?6=:r79j=<572d8947am39j4l5rs3gf7?6=;r79in:5934897`7;3>i;63=ed19<0?6`e32>9m706?8<;|q0e=d=838p1?h?3;50b>;6:9:1?l6m;|q1a`3=839p1?kl6;;12>;5n9?18o94=3gf1?>212wx>hm9:18184bk?07043ty8m5j50;0x97`7=3=8j63>21397d>c3ty9ih850;0x97`7>3>i;63=ed49<0?6c612>9m70?=0380e=c6`7121?270;5m9n1>;=4=3g1=?41;2wx??lj:18184b:=01323ty9i563=e1f9=70<5;o957:m7:p6`6c2908w0:1v>h4=233f?22=2wx>h?l:18084b9j0394522d2f><41348n>l4;b69~w7c7m3:1?v3=e1g936`<5;o;j7<93:?1a7d=:?90q~==c583>7}::l8j6:=i;<1254<3=<1v?k>d;297~;5m8n14874=3g3b??5>279i?l54c58yv4b8o0;6>u22d2e>25a348n==4=629>6`4d2;<87p}<2b794?4|5;o9n796}::l;n65;6;<0f55<>:?16>h5<5s48n>n483g9>747c2=?>7p}=e0d94?5|5;o:j76:9:?1a47=1;<01?k=d;6a3>{t:l;:6=4<{<0f54<0;o16>h?=:340?84b:l09:>5rs20`3?6=:r79i?j572d8967513>>;6s|2d03>5<4s48n>=47589>6`75208=707>53z?1a44=?:l01?k>3;057>;5m;l1>;=4}r11g=<72;q6>h4?:2y>6`742>9m70;49=:18864}r0f67<72:q6>h<=:97:?84b9=02>;522d13>1d03ty9i<:50;1x97c6<3=8j63=e079635<5;o8=7<93:p77ef2909w0h69;7;|q1a75=839p1?k=3;:6=>;5m8?15?84=3g05?2e?2wx>h?::18084b9<0704348n>84=629~w64dj3:1>v3=e23936`<5:;>47::8:p6`702908w01v?k?a;297~;5m9k1;>h4=3g3f?41;279i?852718yv55jo0;6?u22d06>25a349;j>4;549~w7c603:1?v3=e0:9<0?<5;o;n77=6:?1a70=6}::l:i6:=i;<0f4f<5>:16>h<8:340?xu4:j:1<7u22d3:>=3>348n6`402=h<7p}=e1a94?4|5;o;o79=:?90q~==c083>7}::l8<6:=i;<13bc<3=<1v?k>a;296~;5m8k14874=3g11303ty9i5750;1x97c0i339:63=e9`90g1<5;o3m76:9:p6`1f2908w0:1v>8;d;297~;5m1h1;>h4=07b7?516>:574?xu5m>h1<7=t=3g4f?14n279i:j5271897c?l38=?6s|376f>5<4s48n4n483g9>50g32:6`1c2>9m70;6=h?1?;:i;<101v?k8f;297~;5m>l1;>h4=3g;5?41;279i5h52718yv51=90;6>u22d:f>25a34;>m;4<6428965>93>>:6s|2d:2>5<4s48n4<483g9>6`>42;<870=7>53z?1a=`=?:l01<;n7;1514=:;:3i64<9;|q1a=5=839p1?k73;50b>;5m1?1>;=4=3g:5?41;2wx?;;=:18084b190602:278?l>54458yv4b0<0;6>u22d:6>25a348n4:4=629>6`?52;<87p}<64194?5|5;o2=7952z?1a=1=?:l01?k63;057>{t;???6=4<{<0f=7<0;o16=8on:2460>;4;hk18864}r1510<72=q6>h7<:61e?872i;08:8;4=2143?220278?:654458yv4bu22d11><41348n8i4;b69>6`2d21?27p}=e2094?5|5;o8>79{t;;l86=4={<0f0a<0;o16?=kj:575?xu5m:31<7=t=3g0=?14n279i>l5271897c2<38=?6s|33d;>5<5s48n9>483g9>746d2=?=7p}=e2`94?5|5;o8n79{t;;l26=4={<0f11<0;o16?h5271897c2>38=?6s|33db>5<5s48n98483g9>747?2=?=7p}=e2d94?5|5;o8j79{t;;li6=4={<0f13<0;o16?5<5s48n9:483g9>517a2:8mo6s|2d60>5<4s48n8>483g9>6`222;<87052z?1a0>=?:l01<:=2;11ba=z{;o?97>53z?1a13=?:l01?k;7;057>;5m;=4}r11b`<72;q6>h;6:61e?873:<08>kk4}r0f02<72:q6>h:8:61e?84b<009:>522d7a>7043ty8>kh50;0x97c2i3=8j63>43:977`a3ty9i9750;1x97c313=8j63=e219635<5;o?i7<93:p76672909w0=?0:p6`542908w06?8<;<0f0c<5>:1v>h4=22e0?22>2wx>h=::18084b;<0704348n9=4=629~w64a=3:1>v3=e5d936`<5::mm7::6:p6`502909w0;499:18884}r11b2<72;q6>h;>:61e?8739h08>k94}r0f2g<72:q6>h8m:97:?84b>902>;522d53>1d03ty9i;>50;1x97c183=8j63=e739635<5;o<=7<93:p70d02909w0;m7:p6`0d2908w01v?k91;297~;5m?;1;>h4=3g56?41;279i:<52718yv52j10;6?u22d52>25a349>554;599~w7c1l3:1?v3=e7f9<0?<5;o=>77=6:?1a24=6}::l<96:=i;<0f26<5>:16>h9<:340?xu4=k31<7l0;6>u22d4f>=3>348n:>46279>6`142=h<7p}=e7194?5|5;o=?79{t;279i::54c5897c1n32>56s|2d47>5<4s48n:9483g9>6`022;<87052z?1a22=?:l01>;n4;66<>{t:l<>6=4<{<0f20<0;o16>h88:340?84b??09:>5rs27ag?6=:r79i:;572d8963fk3>>46s|2d44>5<4s48n::483g9>6`0>2;<87052z?1a20=?:l0152z?1a3?=?:l01?k88;057>{t;{t;{t:lk;6=4<{<0fe5h7::805?84bi<0?n:5rs3g:1?6=;r79i4;572d897c>>38=?63=e`496356`g22>9m70?:a2802006`g621?270;5m0=1>;=4=3gb3?41;2wx?;;8:18184bi?0602?2wx>ho=:18084bi;0394522d;4><41348nm:4;b69~w7c>?3:1?v3=e85936`<5;o247<93:?1ad>=:?90q~=95983>7}::lk<6:=i;<36e0<4><20q~6}::lk865;6;<0f==<>:?16>ho7:5`4?xu5m021<7=t=3g:5<5s48nm5483g9>50g12:<>56s|2dc7>5<4s48nm947589>6`?>208=7053z?1a;5mhk1>;=4}r151d<72;q6>ho6:61e?872i>08:8o4}r0f=d<72:q6>h7n:61e?84b1j09:>522dca>7043ty8:8l50;0x97cfi3=8j63>5`:9733e3ty9i4m50;1x97c>k3=8j63=e8g9635<5;ojo7<93:p733d2909w08:c:p6`?b2909w0;6=hk1?;;k;|q020c=838p1?knd;50b>;6=h81?;;j;|q0446=839p1>>>0;50b>;48881>;=4=221e?41;2wx?>8l:181857:00<413ty8<<750;1x9666:339:63<03c90g1<5:::m76:9:p75752908w0=?13847c=:;9;86?8<;<136g<5>:1v>=9d;296~;48;k1;>h4=21;1?22?2wx?=?m:1808579:02>;52310a>1d0349;=n47589~w666;3:1?v3<001936`<5:::87<93:?047e=:?90q~=<6d83>7}:;98i6:=i;<10<<<3=?1v>>>d;297~;488>15?84=221g?2e?278<u23137>25a349;=84=629>754c2;<87p}<37d94?4|5::9o796}:;9;>64<9;<136a<3j>16?=5<4s49;>i483g9>76?>2=?370=<9`8712=z{::9=7>53z?0440=1;<01>>=e;6a3>;48;814874}r1353<72:q6?=?9:61e?8579>09:>52310e>7043ty8?:?50;0x9665m3=8j63<38g9000>4?:2y>7570208=70=?2g87f2=:;98?65;6;|q0441=839p1>>>7;50b>;48821>;=4=2204?41;2wx?>9=:181857:o01303ty8><0;50b>;4;ho15?84}r1362<72;q6?==>:5`4?857:103945rs2140?6=:r78<>?572d8965003>>:6s|3`a5>5<1s48m;i4;569>50>42:kh:63>49a97de1349=4:4;569>77ec21?270=8508712=z{:ko=7>55z?1b2b=<<201<;7e;1b`4=:;1<=69;8;<11`dn1<721279j;j59348yv4a>m0;6?u22g4g>25a348m;94=629~w6gck3:19v3=f969001<58?257=ndb9>77c221?270=9f48712=:;0;6=0h1?lji;<11a=;:574?85>j?0?9:5rs3d;0?6=:r79j5:584;897`0<339:6s|2g57>5<5s48m;9483g9>6c122;<87p}:269;8;<1:`7<3=>1v>oj1;291~;5n1?18864=07:`?5fm816??kn:97:?8508l0?9:5238gf>1303ty9j5;50;0x97`?=32>563=f679=706c122>9m707>56z?1b=0=<<=01<;6e;1ba7=:;h;869;8;<3660<4il801>9>3;663>;4:lh14874}r1ba6<72?q6>k69:57;?8721o08mh=4=2c01?22?27:9?m53`g0?850910?9:5233gg>=3>3ty9j5850;0x97`?>32>563=f649=706c112>9m7056z?1b=1=<<=01<;n0;1ba1=:9<996>oj4:?034b=<<=01>o;f;663>;4:ll14874}r1ba0<72?q6>k68:57;?872i808mh;4=070j0?9:5233d2>=3>3ty9j5950;0x97`??32>563=f659=706c102>9m7056z?1b=>=<<=01<;74;1bg2=:9=326>ol7:?02=e=<<=01>99a;663>;4:jl14874}r1bg=<72k67:57;?8720<08mn64=20g5?>21278:4?54458961?<3>>;6s|2g:;>5<5s48m4547589>6c1?208=7p}=f6:94?4|5;l<4790}::o2269;8;<36<3<4ij301>;4>0<18894=25b4?22?2wx?lmn:18684a000?955214:4>6gdi278>i=584;8960>j3>>;63<7ca90016c>>21?27052z?1b2?=?:l01?h8a;057>{t;hii6=4:{<0e16=867:2c`f>;4:m>14874=24b4?22?278;i;54458yv5fkj0;68u22g:b>13?34;>444563<6`79001<5:=no7::7:p6c>f2909w0;5n>h1>;=4}r1bga<72k6m:574?8720h08mnj4=20g2?>21278:lo5445896>7;3>>;6s|3`af>5<2s48m4o4;599>50>e2:khi63<2e59<0?<5:7}::o2i65;6;<0e3g<>:?1v?h8b;296~;5n>h1;>h4=3d4g?41;2wx?lmi:18684a0j0?9:5214:`>6gdn278>i6584;8960e<3>>;63<82390016c>d2=?370?:8e80ea6<5:8o576:9:?02g?=<<=01>6;8;663>{t:o2h6=4={<0ek9l:805?xu5n>i1<713034;>4k4563<6b19001<5:23=7::7:p7db4290>w0ok3:?06ae=0<301>8l8;663>;400218894}r0e3`<72;q6>k9j:97:?84a>l02>;5rs3d5a?6=:r79j;k572d897`1n38=?6s|3`f7>5<2s48m;k4;569>50?62:ko863<2ef9<0?<5:0}::o=m69;7;<36=7<4im?01>;4>m818894=2:`2?22?2wx>k9i:18184a?o0394522g4e><413ty9j;h50;0x97`1n3=8j63=f6296356c>72=?<70?:9280ea0<5:8oj76:9:?02a1=<<=01>6kd;663>{t;hn<6=4:{<0e<5<3=116=87;:2cg3>;4:l:14874=24gg?22?2784k:54458yv4a090;6?u22g:3>=3>348m;=46279~w7`083:1>v3=f62936`<5;l<=7<93:p7db?290>w06>ok8:?06`7=0<301>8j1;663>;419h18894}r1b`<<72k6>:57;?8721?08mi74=20f6?>21278:h85445896?6m3>>;6s|2g:2>5<5s48m4<47589>6c16208=7p}=f6394?4|5;l<=790}::o2969;8;<36=2<4imk01>;4>lh18894=2;01?22?2wx?ljm:18684a0;0?955214;;>6gcj278>h:584;8960a83>>;63<95a90016c>521?2707>52z?1b24=?:l01?h83;057>{t;hno6=4:{<0e<6<3=>16=87n:2cg`>;4:l<14874=24ee?22?2785:h54458yv5fll0;6;u22g:0>13?348mi84=9c9>51>72:koi63<2d59<0?<5:7}::o2865;6;<0e36<>:?1v?h83;296~;5n>91;>h4=2504?22=2wx>kok:18184a0m02>;522gcg>=3>3ty9j5j50;0x97`?l3=8j63=f8:96356c??208=7052z?1b<>=?:l01?hn3;057>{t:oi86=4={<0ee6<>:?16>km<:97:?xu5nh91<7<41348mo;47589~w7`f>3:1>v3=f`4936`<5;lj;7<93:p6ce02909w0;5nh21>;=4}r0eg=<72;q6>ko7:805?84ak103945rs3db5<5s48mm446279>6ce>21?27p}=f`;94?4|5;lj5797}::okj64<9;<0egdh4=3dbf?41;2wx>kmm:18184aik02>;522gaa>=3>3ty9jll50;0x97`fj3=8j63=f`a96356cgd208=7052z?1bde=?:l01?h7e;057>{t:okn6=4={<0e<`<>:?16>koj:97:?xu5n1o1<7<41348mmk47589~w7`?n3:1>v3=f9d936`<5;l2<7<93:p6cd72909w0;5n0;1>;=4}r0ef4<72;q6>k7>:805?84aj803945rs3d:5?6=:r79j4?572d897`>:38=?6s|2g`1>5<5s48m5?46279>6cd521?27p}=f8094?4|5;l2>797}::o3864<9;<0ef6h4=3d:0?41;2wx>kl;:18184a1=02>;522g`7>=3>3ty9j4:50;0x97`><3=8j63=f8796356c?2208=7052z?1b<3=?:l01?h66;057>{t:oh=6=4={<0e=3<>:?16>kl9:97:?xu5n0<1<70;6?u22g;4><41348mn:47589~w7`>?3:1>v3=f85936`<5;l257<93:p6cd>2909w0;5n0k1>;=4}r0efd<72;q6>k7n:805?84ajh03945rs3d:e?6=:r79j4o572d897`>j38=?6s|2g`a>5<5s48m5o46279>6cde21?27p}=f8`94?4|5;l2n797}::o3h64<9;<0effh4=3d:`?41;2wx>klk:18184a1m02>;522g`g>=3>3ty9j4j50;0x97`>l3=8j63=f8g96356c?b208=7052z?1b{t:ohm6=4={<0e=c<>:?16>kli:97:?xu5n0l1<752718yv4ak90;6?u22gc3><41348mo=47589~w7`f83:1>v3=f`2936`<5;lj=7<93:p6ce62909w0;5nh81>;=4}r0eg7<72;q6>ko=:805?84ak;03945rs3db6?6=:r79jl<572d897`f<38=?6s|2ga7>5<5s48mm946279>6ce321?27p}=f`694?4|5;lj8797}::ok>64<9;<0eg0h4=2500?22>2wx>kkk:18184akm02>;522ggg>=3>3ty9jnj50;0x97`dl3=8j63=fe:96356cb?208=7052z?1ba>=?:l01?hj3;057>{t;9:86=4={<0ea6<>:?16?=><:97:?xu5nl91<7<41349;<;47589~w7`b>3:1>v3=fd4936`<5;ln;7<93:p75602909w0;5nl21>;=4}r134=<72;q6>kk7:805?8578103945rs3df5<5s48mi446279>756>21?27p}=fd;94?4|5;ln5797}::ooj64<9;<134dh4=3dff?41;2wx?=>m:18184amk02>;52312a>=3>3ty9jhl50;0x97`bj3=8j63=fda96356ccd208=70=?0b8;1<=z{;lno7>52z?1b`e=?:l01?hle;057>{t:oon6=4={<0eg`<>:?16>kkj:97:?xu5njo1<7<41348mik47589~w7`dn3:1>v3=fbd936`<5;lo<7<93:p6c`72909w0;5nm;1>;=4}r0eb4<72;q6>kj>:805?84an803945rs3dg5?6=:r79ji?572d897`c:38=?6s|2gd1>5<5s48mh?46279>6c`521?27p}=fe094?4|5;lo>797}::on864<9;<0eb6h4=3dg0?41;2wx>kh;:18184al=02>;522gd7>=3>3ty9ji:50;0x97`c<3=8j63=fe796356cb2208=7052z?1ba3=?:l01?hk6;057>{t:ol=6=4={<0e`3<>:?16>kh9:97:?xu5nm<1<70;6?u22gf4><41348mj:47589~w7`c?3:1>v3=fe5936`<5;lo57<93:p6c`>2909w0;5nmk1>;=4}r0ebd<72;q6>kjn:805?84anh03945rs3dge?6=:r79jio572d897`cj38=?6s|2gda>5<5s48mho46279>6c`e21?27p}=fe`94?4|5;lon797}::onh64<9;<0ebfh4=3dg`?41;2wx>khk:18184alm02>;522gdg>=3>3ty9jij50;0x97`cl3=8j63=feg96356cbb208=7052z?1bac=?:l01?hkf;057>{t:olm6=4={<0e`c<>:?16>khi:97:?xu5nml1<752718yv57890;6?u22gg3><41349;<=47589~w7`b83:1>v3=fd2936`<5;ln=7<93:p75662909w0;5nl81>;=4}r1347<72;q6>kk=:805?8578;03945rs3df6?6=:r79jh<572d897`b<38=?6s|3127>5<5s48mi946279>756321?27p}=fd694?4|5;ln8797}::oo>64<9;<1340h4=2505?2202wx>>>6:180845n102>;52222g>1d034886}::;l26:=i;<01bg<5>:16>>>i:340?xu5:oh1<7=t=30ef?14n279>kj527189756838=?6s|23dg>5<4s489ji483g9>67`a2;<870<<108126=z{;8mj7>53z?16c`=?:l01?=?1;057>;5;881>;=4}r0044<72:q6>>>>:61e?8448:09:>522230>7043ty9?==50;1x9757;3=8j63=3179635<5;9:87<93:p66622908w0<<04847c=::::<6?8<;<0050<5>:1v?=?7;296~;5;9=1;>h4=3122?41;2wx>?m>:180845il02>;5223a6>1d03489o?47589~w74fm3:1?v3=2`g936`<5;8jj7<93:?16f>=:?90q~<=ag83>6}::;km6:=i;<01f4<5>:16>?m6:340?xu5:k;1<7=t=30a5?14n279>o=52718974di38=?6s|23`0>5<4s489n>483g9>67d22;<870<=cc8126=z{;8i97>53z?16g3=?:l01?;5:ji1>;=4}r01f2<72:q6>?l8:61e?845j009:>5223ag>7043ty9>o750;1x974e13=8j63=2c`9635<5;8hi7<93:p67de2908w0<=bc847c=::;ho6?8<;<01gc<5>:1v?h4=30ab?41;279>i>52718yv45jo0;6?u223`e>25a3489o;4=629~w74b>3:1?v3=2e39=70<5;8n47:m7:?16`1=0<30q~<=d083>6}::;n:6:=i;<01`1<5>:16>?kl:340?xu5:m>1<7=t=30g0?14n279>i852718974bl38=?6s|23f5>5<4s489h;483g9>67b?2;<870<=ed8126=z{;8o47>53z?16a>=?:l01?;5:ll1>;=4}r01`d<72:q6>?jn:61e?845lj09:>5223d3>7043ty9>im50;1x974ck3=8j63=2eg9635<5;8m=7<93:p67bb2908w0<=dd847c=::;o;6?8<;<01b7<5>:1v?h4=30f6?41;279>k=52718yv45m;0;6>u223g1>25a3489i94=629>67`32;<87p}=2d694?5|5;8n879{t:;n96=4={<01`7<0;o16>?kn:340?xu5:>91<7=t=305f??5>279>:j54c589740<32>56s|234a>5<4s489:o483g9>670d2;<870<=7d8126=z{;8=o7>53z?163e=?:l01?<9e;057>;5:>l1>;=4}r0130<72:q6>?8j:805?845?o0?n:522355>=3>3ty9>;k50;1x9741m3=8j63=27d9635<5;83<7<93:p670a2908w0<=6g847c=::;=:6?8<;<01<4<5>:1v?<81;297~;5:>;1;>h4=3057?41;279>:952718yv45>:0;6>u22340>25a3489:84=629>671?2;<87p}=27794?5|5;8=979{t:;<<6=4<{<0122<0;o16>?86:340?845?h09:>5rs305=?6=:r79>;7572d89740j38=?6s|32ga>5<4s498io47589>76bc208=70=53z?07ab=?:l01>=ke;057>;4;oh1>;=4}r10g`<72;q6?>hn:61e?853jl094i5rs21fg?6=;r78?hm584;8965cm339:63<3g`90g176bb2>9m70==ib;50b>;45j4}r10aa<72:q6?>kk:97:?854lo02>;5232d`>1d03ty8?ih50;1x965cn3=8j63<3d29635<5:9mh7<93:p76b72909w0==je;:6=>;4;l:15?84=21e`?2e?2wx?>k?:180854m907043498jh4=629~w65c93:1>v3<3gf936`<5:>h>7<7d:p76ca2908w0=1v>=j1;297~;4;l;1;>h4=21f6?41;278?kh52718yv54l;0;6?u232df>25a349?o>4=8e9~w65a83:1?v3<3g29<0?<5:9n>77=6:?07c`=6}:;:o96:=i;<10a6<5>:16?9>?:340?xu4;m91<7u232d2>=3>3498i>46279>71672=h<7p}<3d194?5|5:9n?79:?1;057>{t;:n?6=4={<1745<0;o16?9m::3:g?xu4;o81<7=t=21e6?>21278?h:59348962793>i;6s|32g7>5<4s498i9483g9>76c22;<870=;038126=z{:9o97>52z?0057=?:l01>:l6;0;`>{t;:l86=4<{<10b6k::805?8538;0?n:5rs21f1?6=;r78?h;572d8965b>38=?63<411963571652>9m70=;c68153z?07c2=0<301>=j6;;12>;4<9918o94}r10a3<72:q6?>k9:61e?854m>09:>523527>7043ty8?i950;0x9627;3=8j63<4b:96=b76`221?270==j7;50b>;4;l21>;=4=2631?41;2wx?>j7:1818538=07>c3ty8?k850;1x965a>32>563<3d:9=70<5:>;97:m7:p76c?2908w0=:1v>=k9;296~;4<9?1;>h4=26`e?4?l2wx?>h8:180854n>03945232g:><41349?<;4;b69~w65b13:1?v3<3d;936`<5:9nm7<93:?0051=:?90q~=7}:;=:=6:=i;<17gg<50m1v>=i8;297~;4;o214874=21fe??5>2788=954c58yv54mh0;6?u232gb>25a349?<54=629~w65cj3:1>v3<415936`<5:>hh7<7d:p76`>2909w0=:?8;50b>;45j4}r1762<72:q6?9<8:97:?8539002>;523515>1d03ty88<750;1x962613=8j63<40c9635<5:>8;7<93:p714?2908w0=;298;1<=:;=;j64<9;<1772<3j>1v>:>a;297~;4<8k1;>h4=262f?41;2788>652718yv53:00;6>u2350:>=3>349?=o46279>715?2=h<7p}<40`94?5|5:>:n79:<9;057>{t;=8j6=4<{<176do4?:2y>714e21?270=;1e8:63=:;=9j69l8;|q004b=839p1>:>d;50b>;4<8o1>;=4=260f?41;2wx?9<41349??o4;b69~w626m3:1?v3<40g936`<5:>:j7<93:?006e=:?90q~=;2e83>6}:;=8o65;6;<175c<>:?16?9=l:5`4?xu4<8l1<7=t=262b?14n2788?>527189624l38=?6s|350f>5<4s49?>h47589>7147208=70=;3e87f2=z{:>9<7>53z?0076=?:l01>:=1;057>;4<:o1>;=4}r176c<72:q6?9;52351f>1d03ty88??50;1x962593=8j63<4309635<5:>8j7<93:p71572908w0=;318;1<=:;=8964<9;<177c<3j>1v>:=2;297~;4<;81;>h4=2617?41;27889>52718yv53;80;6>u23512>=3>349?>>46279>71272=h<7p}<43194?5|5:>9?79:;1;057>{t;=996=4<{<17774?:2y>715421?270=;248:63=:;=>969l8;|q0073=839p1>:=5;50b>;4<;<1>;=4=2677?41;2wx?9=;:180853;=0394523505><41349?8>4;b69~w625>3:1>v3<434936`<5:>?87<93:p71522909w0=;348;1<=:;=>?69l8;|q0a73=83=p1?h=d;662>;5nhn18864=3df`?220279ink54458942?k39n>8523ea5>6?23499oi4;579~w7`5l3:1?v3=f3f9<0?<5;l;i77=6:?1b1b=6}::o:n6:=i;<0e5<<5>:16>k;7:340?xu4i0<1<727:8n<53d13?855lh0?9;522g`;>13?348mj54;599>6`b>2=?<70=7578713=:;mi=6>79;|q1b6>=839p1?h<8;:6=>;5n8315?84=3d6k?6:18084a900704348m:>4=629~w6gf93:1>v3=f4:936`<5:2>m7::7:p7`5e2903w069;9;<0fa1<3=>16>km<:57;?8578:0?9552387b>131349oo;451`f2:o8n6s|2g60>5<4s48m8>47589>6c43208=7053z?1b72=?:l01?h=6;057>;5n?<1>;=4}r1bef<72;q6>k8<:61e?85>=j0?9:5rs2g0a?6=0r79j9854448964b03>>:63=ed59001<5;lh:7::8:?0450=<<201>7n6;662>;4lj<1?lm4=073g?5b;l1v?h;6;297~;5n=<14874=3d12??5>279j;854c58yv4a:?0;6>u22g05>25a348m>:4=629>6c002;<87p}=<<=0q~=j3g83>=}::o><69;9;<11a<<3=?16>hk7:574?84ak>0?95523124>13?3492o?4;579>7ae12:km70?:1580a6`6c2021?270;5n;21>;=4=3d5>01303ty8i9>50;:x97`303>>:63<2dc9000<5;on57::7:?1bf>=<<201>>?8;66<>;41mo18884=2f`2?5e827:921279j?65934897`103>i;6s|2g0;>5<4s48m>5483g9>6c4>2;<87052z?1b3>=?:l01>7j0;663>{t;l>:6=48{<0e0<<3=?16>hkn:574?84ak00?9552312:>13?34;>>8439i=63<2d`90006c2>21?270;5n;k1>;=4=3d5e?41;2wx?ll=:18184a>001303ty8i9<50;5x97`3i3>>:63=ed`9001<5;lhm7::8:?045g=<<201<;=c;1f07=:;mi=6>l=;<11aa<3=?1v?h;a;297~;5n=k14874=3d1e??5>279j;o54c58yv4a:h0;6>u22g0b>25a348m>o4=629>6c0e2;<87p}=<<=0q~=j4283>2}::o>i69;9;<0faf<3=>16>kmm:57;?8578k0?95521411>6c3;278hn853c18964bn3>>:6s|2g6a>5<4s48m8o47589>6c4e208=7053z?1b7d=?:l01?h=c;057>;5n?i1>;=4}r1bf1<72;q6>k8m:61e?85f<10?9:5rs2g70?6=?r79j9m5444897cbl3>>;63=fba900><5::;o7::8:?216>=;l>?70=kc780f1=:;;l:69;9;|q1b1e=839p1?h;c;:6=>;5n;i15?84=3d5g?2e?2wx>k704348m8h4=629~w6ge=3:1>v3=f7a936`<5:k=97::7:p7`41290kkj:57;?8731008i?84=2f`2?5>?278>nh54448yv4a:l0;6>u22g0f>=3>348m6c2b2=h<7p}=f1d94?5|5;l;j79{t;h3<6=4={<0e0`<0;o16?:8<:574?xu4m;=1<76t=3d1b?22>27:84k53d04?855l80?9;522df3>130348mmk4;599>6cca2=?370=87b8713=:;mi=6>77;|q1b7`=839p1?h=f;:6=>;5n8:15?84=3d7b?2e?2wx>k??:18084a990704348m9=4=629~w6g>03:1>v3=f5d936`<5:=k=8:?06a4=<<<01?kk1;663>;5nk:18864=3de4?220278;465444896bd>39256s|2g13>5<4s48m?=47589>6c76208=7053z?1b47=?:l01?h>2;057>;5n<;1>;=4}r1b=<<72;q6>k;?:61e?850100?955rs2g1=?6=0r79j>?54448942f039n>45233f0>131348nh?4;569>6cd62=?370h?69;9;<1gg3<41h1v?h<1;297~;5n:;14874=3d26??5>279j8?54c58yv4a9;0;6>u22g31>25a348m=>4=629>6c352;<87p}=79=}::o9969;9;<37ec<4m;k01>;5mm918894=3da6?220279jk<544:8961ck3>>:636c5521?2703;50b>;5n8>1>;=4=3d67?41;2wx?l7m:18184a=;013?3ty8i?l50;:x97`4;3>>:63>4c197`4e3499h84;579>6`b32=?<7021279j<:5934897`2;3>i;6s|2g37>5<4s48m=9483g9>6c722;<87052z?1b05=?:l01>9j4;66<>{t;l8h6=47{<0e71<3=?16=9l9:2g1g>;4:m<18884=3gg1?22?279jo:544:897`a<3>>463<81c9000<5:nh:7=6d:p6c532908w064<9;<0e11<3j>1v?h>5;297~;5n8?1;>h4=3d22?41;279j8;52718yv5f1m0;6?u22g77>25a349im7=j2e9>77b02=?=7069;7;<0eb0<3=116?5<>:575?85ck?085h5rs3d01?6=;r79j>;584;897`6>339:63=f4790g16c712>9m70;408818864}r1f6`<721q6>k=9:575?873jl08i?k4=20g279ii95445897`e>3>>463=fg4900><5:2847::6:?0`f0=;0l0q~6}::o9=65;6;<0e52<>:?16>k;9:5`4?xu5n8=1<7=t=3d23?14n279j<65271897`2?38=?6s|3`;e>5<5s48m9;483g9>7=4>2=?37p}|5;l8;7::6:?20f7=;l8m70==d88713=::ln369;8;<0ef2<3=116>kh8:57;?85?6g73ty9j>950;1x97`4?32>563=f0:9=70<5;l>;7:m7:p6c7?2908w0:1v>on0;296~;5n<=1;>h4=2:74?2202wx?h=>:18;84a;00?9;5215a`>6c49278>il5444897cci3>>;63=fc;900><5;lm57::8:?0<=>=<<<01>jl6;1b6>{t:o926=4<{<0e7<k?n:805?84a=00?n:5rs3d2e?6=;r79j6c3>2>9m70=778871==z{:o8>7>58z?1b6g=<<<01<:k0;1f77=:;;nh69;9;<0f`g<3=>16>kln:57;?84anh0?955239;e>131349oo;46}::o;i6:=i;<0e5f<5>:16>k;m:340?xu4ih91<7544:8yv5b;:0;65u22g1a>13134;?h94>:63=eea9001<5;lin7::8:?1bcd=<<201>6m6;662>;4lj<1?l:4}r0e7g<72:q6>k=m:97:?84a9j02>;522g7a>1d03ty9jo7<93:p7dg32909w0;6hjk:574?84ajj0?95522gd`>13?3493oi4;579>7ae12:k>7p}=f2a94?5|5;l8o76:9:?1b4b=1;<01?h:c;6a3>{t:o;o6=4<{<0e5a<0;o16>k?j:340?84a=m09:>5rs2cb1?6=:r79j8m572d896>em3>>46s|3d16>551bb2:o8963<2ed9000<5;ooi7::7:?1bgb=<<201?hid;66<>;40l>18884=2f`2?5f>2wx>k=k:18084a;m0394522g3f><41348m9i4;b69~w7`6m3:1?v3=f0g936`<5;l:j7<93:?1b0c=:?90q~=na783>7}::o?o6:=i;<1;`0<3=11v>k<6;29<~;5n:o18884=06f0?5b;?16??k?:575?84blo0?9:522g`f>13?348mjh4;599>7=`e2=?=70=kc780e2=z{;l8i7>53z?1b6c=0<301?h>f;;12>;5nk?i:61e?84a:909:>522g7e>7043ty8ml950;0x97`2m3=8j63<8da900>6c5a2=?=70==e08713=:9=o26>k<7:?1a`6=<<=01?hmf;66<>;5nol18864=2;26?22>278hn853`:8yv4a;o0;6>u22g1e>=3>348m>=46279>6c3a2=h<7p}=f3294?5|5;l9<79{t;hk36=4={<0e1c<0;o16?4><:57;?xu4m:21<76t=3d74?22>278>h<54448942bl39n?5522dg2>130348mo=4;599>75672=?370=6248713=:;mi=6>o6;|q1b16=839p1?h;0;:6=>;5n;;15?84=3d54?2e?2wx>k<>:18084a:80704348m:<4=629~w6gf13:1>v3=f72936`<5:3:97::8:p7`5>2903w0;5nj;18864=2235?2202785>m5444896bd>39jm6s|2g62>5<4s48m8<47589>6c45208=707>53z?1b74=?:l01?h=3;057>;5n?81>;=4}r1bed<72;q6>k8>:61e?85>:m0?955rs2g0e?6=0r79j9<54448964b<3>>:63>4g597`5f348ni>4;569>6ce52=?370=?03871==:;0?869;9;<1gg3<4ik1v?h;2;297~;5n=814874=3d17??5>279j;<54c58yv4a::0;6>u22g00>25a348m>84=629>6c032;<87p}79=}::o>?69;9;<11a3<3=?16=8>;:2g0g>;5ml?18894=3d`0?220278<=:544:896??>3>>:636c2321?270;5n??1>;=4}r1bea<72;q6>k8;:61e?85>?>0?955rs2g0`?6=0r79j9;54448964b?3>>:63>51;97`5c348mo84;599>75622=?370<013?3ty98km50;0x972193>>963=50;936`61062=?=70<;b2847c=z{;>?87>52z?1037=?:l01>mld;663>{t:8;7:97:?xu5=:n1<72799>j584;8yv42100;6?u2241g>13134h3m792909w0<:3e8712=::hh;65;6;|q10ab=838p1?:m3;662>;59jk:575?87fj803945rs37g7?6=:r798ij544589d1e2>9m7p}=4gc94?4|5;>=<7::5:?114>=?:l0q~<;7g83>7}::=<;69;9;<07f4<0;o1v?:;2;296~;5h4=2a`=?22?2wx>8;9:181842910?98522475>=3>3ty99>m50;0x973603>>:63=52a9<0?554?:3y>605d2=?=70l78;50b>{t:16>lom:61e?xu52798il584;8yv42k;0;6?u225fa>13134;jn=47589~w73c:3:1>v3=4e`9001<5h=36:=i;|q10c?=838p1?::f;661>;5=8=1;>h4}r073`<72;q6>9;i:575?843io056s|241a>5<5s48>=:4;579>605e21?27p}=58594?4|5;?8n7::6:?a<2<0;o1v?;m7;296~;5=:h18894=3cb=?>212wx>9jn:181843io0?9;5225fb>=3>3ty99n?50;0x972ci3>>:63>a`d9<0?h<4?:3y>61bf2=?<70o85;50b>{t:=l36=4={<071`<3=<16>8?9:61e?xu5<>n1<72798lj572d8yv43<90;6?u2257f>25a34;9=84=4528yv42==0;6?u22435>132348>9947589~w734i3:1>v3=5049000<5;?8m76:9:p60?12909w0<:3`8713=:j1<1;>h4}r06f3<72;q6>8=n:574?84fi?03945rs36g=?6=:r798lj54448972c132>56s|24a3>5<5s48?h44;579>5dgb21?27p}=5e294?4|5;>o57::7:?b37<0;o1v?:i7;296~;5<99l:181843=m0?9;5225ca>25a3ty98>h50;0x9722l3=8j63>2049615a3ty998=50;0x9736=3>>963=5419<0??44?:3y>60722=?=70<:388;1<=z{;?297>52z?116?=<<<01o6::61e?xu5=k?1<7131348?h547589~w73en3:1>v3=4e:9000<58kjh76:9:p60ea2909w0<;d98712=:i?l1;>h4}r07b3<72;q6>9;l:576?8429=05<5s48?9n483g9>57742;>8i6s|2471>5<5s48>=94;549>603521?27p}=52:94?4|5;?:87::6:?116>=0<30q~<:9583>7}::<9369;9;<`;0?14n2wx>8l;:181842;10?9:522`c3>=3>3ty98i950;0x972f13>>:63=4e59<0?nh4?:3y>61b02=?=70?nab8;1<=z{;?hi7>52z?10a1=<<=01l8l:61e?xu5131348?m:483g9~w724l3:1>v3=44`936`<58?<:7<;3e9~w73293:1>v3=5019003<5;?>=76:9:p60512909w0<:128713=::<9=65;6;|q11<5=838p1?;<6;662>;e0:085445897g>l32>56s|25f5>5<5s48?m:4;579>61b121?27p}=5cf94?4|5;>o:7::6:?2edd=0<30q~<:ce83>7}::=n=69;8;9h;:181843=h0?98522431>25a3ty98:750;0x9722i3>>:63=4`7936`613f2>9m70=l98871==z{;?><7>52z?1144=<52z?1144=<<<01?;<5;:6=>{t:<396=4={<0670<3=?16n5<572d8yv42j;0;6?u22416>13034;8;k4=5c08yv43l<0;6?u225c6>13134;89:4=4e78yv43n:0;6?u2257:>132348>=<483g9~w72003:1>v3=44;9000<5;>j?791;661>;6;?31>8:i;|q1162=838p1?;>1;662>;5=:>14874}r06=4<72;q6>8=;:575?8d?93=8j6s|24`2>5<5s48>?94;569>561b2;?i=6s|25f7>5<5s48?m>4;579>56312;>o86s|25d1>5<5s48?954;549>60772>9m7p}=46594?4|5;>>47::6:?10d7=?:l0q~<;3`83>7}::=?36:=i;<3630<5<:k0q~<:4d83>7}::<;;69;:;<060`212wx>887:181842=3>3ty99:750;0x9733m3>>;63=dc49<0?5=4?:3y>60542=?=70l70;50b>{t:16>l79:97:?xu52798i=584;8yv42jj0;6?u225f0>13134;jml47589~w73dk3:1>v3=4e19001<5h<=6:=i;|q10c7=838p1?::6;661>;5=9o1;>h4}r0733<72;q6>9;9:575?8431m0>46s|246g>5<5s48>560?2;??h6s|2411>5<5s48>605521?27p}=59d94?4|5;?8>7::6:?a3c<0;o1v?;nf;296~;5=:818894=014`?42io1v?:k2;296~;5<0n18884=0161?43l;1v?:jf;296~;5<99;:181843=<0?9;5225;a>25a3ty98>950;0x9722=3=8j638o4?:3y>606c2=?>70?<678111d?<4?:3y>606c2=?=70<:308;1<=z{;?3i7>52z?1167=<<<01o9k:61e?xu5=ho1<727:?8:525f3?xu5131348?54483g9~w724>3:1>v3=446936`<5:i347::8:p602f2909w0<:0b8710=:9:<>6?;;a:p60572909w0<:0b8713=::<9;65;6;|q11=b=838p1?;<0;662>;e?j0>544589450j38>mi5rs36`b?6=:r79847544489452;38?ok5rs36f`?6=:r7988=544789737j3=8j6s|2551>5<5s48?9>4;579>61?02>9m7p}=42794?4|5;>>?797}::<:i69;:;<3021<5==30q~<:2g83>7}::<:i69;9;<066c25a3ty99lm50;0x9735n3>>;63>36c960gd3ty98nk50;0x972>?3>>:63>340961eb3ty98hm50;0x9722:3>>963=51c936`61352=?=70<;94847c=z{;>887>52z?1004=?:l01>m74;66<>{t:<>36=4={<064d<3=<16=>8<:377<>{t:<8n6=4={<064d<3=?16>827i;l483g9~w73fj3:1>v3=53g9001<589<57<:ac9~w72dl3:1>v3=4879000<589>=7<;ce9~w72bj3:1>v3=4439003<5;?;579;4k1818864}r0602<72;q6>8>6:576?874>;099994}r066a<72;q6>8>6:575?842:m03945rs37;e?6=:r799?j544489g1>2>9m7p}=5`c94?4|5;?9h7::7:?272>=:2?7::6:?2706=:=ih7p}=4dc94?4|5;>><7::5:?115>=?:l0q~<;6g83>7}::=?;69;9;<07=4<0;o1v?:<2;296~;5<<:1;>h4=2a;4?2202wx>8:9:181842810?98521242>733>2wx>8=3>3ty99l750;0x9735j3>>:63=a9;936`444?:3y>604e2=?<70l88;50b>{t:=ii6=4={<07=4<3=?16=>:i:36`f>{t:=o26=4={<070c<3=<16>8>8:61e?xu527985h572d8yv43;80;6?u2256e>25a349h;h4;599~w733=3:1>v3=5159003<589=<7<:449~w735i3:1>v3=5159000<5;?9m76:9:p60g?2909w0<:2`8713=::h2=6:=i;|q11=>=838p1?;=a;663>;e?>03=8j6s|254g>5<5s48?8h4;579>61>c2>9m7p}=42294?4|5;>?i797}::<:=69;:;<301c<5==>0q~<:2883>7}::<:=69;9;<066<868:181842:00?9:52b64936`61>c2=?=70?<4e810f?612c2=?>70<:04847c=z{;>=o7>52z?101b=<<<01?:7b;50b>{t:=8m6=4={<070a<0;o16?n9n:57;?xu5==91<72799?6584;8yv42i?0;6?u2240;>131348j4=483g9~w73?>3:1>v3=53:9001<5k=>6:=i;|q10f>=838p1?:7b;662>;6;=i1>9m7;|q10`0=838p1?:98;661>;5=;:1;>h4}r072g<72;q6>987:575?843k;0h5rs3776?6=:r799?>544789733:32>56s|2404>5<5s48>>=4;579>604021?27p}=57594?4|5;??>7::6:?2=5>=0<30q~<:7983>7}::<>969;8;<0gf025a3ty99l;50;0x9735?3>>;63=a6d9<0?61e52=?=70<;c68;1<=z{;?in7>52z?10f1=<<<01{t:16m;=572d8yv428;0;6?u22544>132348>=k483g9~w72??3:1>v3=4759000<5;>h<79f;661>;6;>;1>8;j;|q1170=838p1?;>f;662>;5=;<14874}r06e1<72;q6>8<9:575?84f?109m7p}=4d194?4|5;>h<7::6:?270d=:=o87p}=51394?4|5;>=:7::5:?114c=?:l0q~<;8783>7}::=<=69;9;<07f`<0;o1v?:;9;296~;5h4=2a44?2202wx>8;k:1818429l0?98521253>732l2wx>8<::1818429l0?9;522406>=3>3ty99l=50;0x9735=3>>:63=a67936`4>4?:3y>60422=?<70l7f;50b>{t:=o96=4={<07f`<3=?16=>;n:36f6>{t:<:;6=4={<0720<3=<16>8?k:61e?xu5<1?1<72798om572d8yv43<10;6?u22546>25a349h:i4;569~w732k3:1>v3=50f9003<589=j7<:5b9~w735<3:1>v3=50f9000<5;?9876:9:p60g52909w0<:258713=::h=96:=i;|q11=4=838p1?;=4;663>;e0l05<5s48?:94;579>61df2>9m7p}=45594?4|5;>=879=<<=0q~<:5c83>7}::<;h69;:;<302`<5=7}::<;h69;9;<066686>:181842::0?9:52b9f936`61df2=?=70?<59810`661042=?>70<:1c847c=z{;>3?7>52z?1035=<<<01?:m8;50b>{t:=>=6=4={<0726<0;o16?n8<:574?xu5=2799?<584;8yv42090;6?u22401>13134h3o79;598=:576?8429h03=8j6s|2566>5<5s48?:?483g9>7f3a2=?<7p}=54;94?4|5;?:m7::5:?273e=:7}::<9m69;9;<`;f?14n2wx>87i:181842;o0?9:522`4;>25a3ty98ik50;0x972e>3>>:63=4eg9<0?61302=?>70<:0g847c=z{;>3<7>52z?1001=<<<01?:n0;50b>{t:=>86=4={<0712<0;o16?n;m:574?xu5=<=1<72799>k584;8yv42?l0;6?u2241f>13134h3579;59:l:576?8428=05<5s48?8n483g9>7f302=?<7p}=55a94?4|5;?;87::5:?2731=:<>h7p}=52594?4|5;?;87::6:?1161=0<30q~<:7e83>7}::<9<69;9;<`4a?14n2wx>87k:181842;>0?9:522`43>25a3ty98i?50;0x972?i3>>:63=4e39<0?612e2=?>70<:02847c=z{;>=m7>52z?101d=<<<01?:78;50b>{t:=8o6=4={<070g<0;o16?n;<:574?xu5==;1<72799?m584;8yv42?j0;6?u2240`>13134h;595<5s48?>n483g9>7f2a2=?<7p}=55294?4|5;>n87::5:?270e=:<>;7p}=53394?4|5;>n87::6:?1177=0<30q~<:7c83>7}::<8:69;9;<`46?14n2wx>87m:181842:80?9:522`7b>=3>3ty98n;50;0x972113>>:63=4b79<0?7dcd2=?>70=nf1847c=z{:knh7>52z?0e`e=<<<01>ojd;50b>{t;hoh6=4={<1baf<0;o16=89<:2cfg>{t;hl96=4={<1bb5<3=<16?lh=:97:?xu4io;1<7278mk?584;8yv42>?0;6?u23`d1>13134;2<:47589~w730?3:1>v3;1;>h4}r06=d<72;q6?lh>:574?84f=>03945rs2cfb?6=:r78mhj5444896gbn32>56s|24`b>5<5s49jik4;579>5dg?21?27p}=5b694?4|5:knj7::7:?b0`<0;o1v<7;7;296~;69?i188:4=0;73?>c12wx5>;50;1x9471k3=8j636348:74=Y1:?0q~mm1;297~;69?k188:4=006e?ee927n6`847c=:1:815>?4^811?xu6i1;1<73:1?v3>17:936`<509=64=>;_;02>{t:m;;6=4={<3223<3==16>i??:9f:?xu>?80;6>u21045>25a343<=77<1:\:34=z{0l>6=4<{<357c<0;o165k;59238Z<`23ty2j94?:2y>535c2>9m707i4;;05>X>n=1v4h<:180871;k06}:9?926:=i;<;e6??492T2j?5rs8d2>5<4s4;=?:483g9>=c7=1:;0R4h>;|q:b5<72:q6=;=::61e?8?a8338=6P6f19~w?4^8f3?xu>ko0;6>u2172:>25a343hj77<1:\:gc=z{0in6=4<{<3542<0;o165nk59238Z53622>9m707ld;;05>X>km1v4ml:1808718:06}:9?::6:=i;<;`f??492T2oo5rs8ab>5<4s4;>jk483g9>=fg=1:;0R4mn;|q:g7<72:q6=8hk:61e?8?d:338=6P6c39~w66?03:1>v3<09596=b<58>;m7=?899~w66??3:1>hu231:4>=b>34;::k4<0958947?939;4:52152b>66??278=o;54448967>j3>>963<18;9000<5:;2;7::6:?05<3=<<<01>?63;662>;48ki18884=2fb0?22=27:8??531:4?873:j08<594=061=?570>16=9<9:22;3>;6<;91?=68;<12e6<3=?16?131349::=4;579>517b2::3;63>40f975>034;?=n4<09589426j39;4:52153:>66??27:8<6531:4?8739>08<594=0622?570>16=9?::22;3>;6<;o1?=68;<12g`<3=<16?>:63<1349000<5:;987::6:?05gb=<<<01>?l5;662>;48l<18884=22be?22>278>:6s|331b>5<2kr78>?>529f89644i32o563>49c9775f34;?444<22c8942?0399?l5215:4>644i27:8585331b?8730<08>>o4=06;0?55;h16=96<:200e>;4?8l188;4=252e?22=278:km54478960a?3>>963<6df9003<5:8ke;661>;4>m3188;4=24g0?22=278:nh54478960di3>>963<6b79003<5:8m1;661>;4>hi188;4=24b3?22=278:l<54478960>l3>>963<68:9003<5:<2?7::5:?02=c=<879;661>;40?818884=03gg?55;h16=;69mo1??=n;<32`c<4::k01<e28066g<58;n87==3`9>54c22:88m63>1d49775f34;:i:4<22c8947b0399?l5210g:>644i27:=ho5331b?876mk08>>o4=03fg?55;h16=;69lo1??=n;<32ac<4::k01<f58066g<588:j7==3`9>57472:88m63>2339775f34;9>?4<22c89445;399?l521307>644i27:>?;5331b?875:?08>>o4=0013?55;h16=?<7:200e>;6:;31??=n;<316d<4::k01<<=b;117d=:9;8h6><57572:88m63>2239775f34;9??4<22c89444;399?l521317>644i27:>>;5331b?875;?08>>o4=0003?55;h16=9:8:200e>;41?>18884=2;a4?22>2785nm5444896?b03>>:63f}:;;8;65j6;<116<<3=>16=9:::2014>;4=ji18884=20:f?22=27895754448942?9399>=5214ca>6458278>9l544489423?399>=5234a0>131349>494;579~w644j3:1>v3<22c96=b<5:88o76:9:p775b2909w0==3e81<;6==:1??=k;<32=3<4::n01<54?02:88h6s|3362>5<5s4998=4=8e9>515a2:8?=6s|3363>554>72:8?<63<59`9003<5::8>7::5:?25=7=;;>;70=>288710=:;8?369;:;<120f<3=<16?<:?:576?856;=0?985233c0>1323498<>4;549~w643<3:1>v3<25196=b<58>?<7==459~w643;3:1?=b>3499?k4;579>775d2=?=70==9c8712=:983;6><;3:?2116=;;>870?>7080615<58??>7==429>77572=?=70==a88713=:9=i>6><;3:?20cb=;;>870?:0g80615<58?:;7==429>507a2:8??63>48f9772434;?5>4<2518942d;3998>5215da>643;27:9=j53360?8729<08>9=4=072`?55<:16=96k:2077>;69mi1??:<;<1b5g<3=<16?::k:805?873<108>9=4=0705?55<:16=8=8:2077>;6<021??:<;<37e7<4:=901<:n7;1106=:9=kh6><;3:?20g6=;;>870?;b580615<58>i;7==429>51de2:8??63>4cd9772434;?o44<2518942dl3998>5215f2>643;27:8i853360?873lk08>9=4=06f4?55<:16=9k9:2077>;6<;3:p77202909w0==4781:6><;7:p77212909;v3<2549<;6:?20ce=;;>=70?:0d80610<58?::7==479>507b2:8?:63>480977213499?=4;549>7d2b2=?<70=n6c8712=:;><269;8;<14<6<3=>16?:7i:574?850jk0?9:5236f7>1303497=652=?<70=7188712=:;19;69;8;<1;02<3=>16?56?:574?85?1>0?9:5239cf>1303493o84;569>7=bd2=?<70=7f28712=:;0:j69;8;<1:5f<3=>16?4=;:574?85>1303492584;569>7d532=?<70?=1g80610<58>?47==479>7d6a2=?<7p}<25c94?4|5:8?57<7d:?061g=0<30q~==4883>=}:;;>265j6;<110d<3=<16??7m:575?8760908>974=27;f?22>27:99<5336:?873<>08>974=27`7?22=2wx??:k:181855=3>3ty8>9m50;6685513034;?594<25a89470;3998n521056>643k27:8:85336`?873?<08>9m4=0647?55;6<>;1??:l;<3735<4:=i01<:9f;110f=:9=<;c:?203b=;;>h70?;6b8061e<58>=n7==4b9>510>2:8?o63>47:9772d34;?::4<25a8962b;3>>:63>46a9772d34;?;o4<25a89420i3998n52155:>643k27:8:65336`?873>h08>9m4=0651?55;6<;c:?061`=<<<01>;<3;662>;4>;63<5269003<5:?9m7::5:?0667=<<=01>;73;661>;4=98188;4=2721?22=27:=::5336`?876??08>9m4=2c74?22?278m8j544589612j3>>;63<7649001<5:=2>7::7:?03dc=<<=01>9la;663>;4?l;18894=25e5>3>>;63<82f9001<5:2<:7::7:?0<=b=<<=01>6n4;663>;40kh18894=2:g6?22?2784h75445896?783>>;63<93c9001<5:3?=7::7:?0=22=<<=01>77b;663>{t;;896=4={<1164<50m16??<<:97:?xu4:;;1<7<>{<1164??4=207b?22=2788h?5444896?6l3>>:63<4eg9000<5:>on7::6:?0667=<;73;66<>;4i=:188;4=2c6`?22=278;8l544789610>3>>963<7809003<5:=ji7::5:?03fg=<9j1;661>;4?o2188;4=2:3b?22=2784?85447896>4l3>>963<8649003<5:23h7::5:?06mb;661>;40m8188;4=2:f=?22=2785=>5447896?5i3>>963<9539003<5:3<87::5:?0==d=<7}:;;8?6?6k;<1160<=4;290~;4:;>14i74=0775?55:=16=9=6:2010>;6<:k1??<;;|q067>=838p1><=7;0;`>;4:;314874}r1162<72=q6??<8:9f:?8760908>?94=27;f?22?27:89953304?xu4:;h1<795330a?xu4:;k1<7<:{<116d:201e>;6<0>1??<=a:?2140=;;8j70?:1d8067g<58>2>7==2`9>7d2b2=?=70=n6c8713=:;><269;9;<14<6<3=?16?:7i:575?850jk0?9;5236f7>1313497=652=?=70=7188713=:;19;69;9;<1;02<3=?16?56?:575?85?1>0?9;5239cf>1313493o84;579>7=bd2=?=70=7f28713=:;0:j69;9;<1:5f<3=?16?4=;:575?85>1313492584;579>7d532=?>70?;388067g<58>8m7==2`9>7d6a2=?>7p}<23g94?4|5:89h7<7d:?206>=;;8n7p}<23f94?17s499>i47d89>51232:89h63>4579774c34995o4;599>515e2:89h63<5b09003<5:<=<7::5:?0ef7=<;40?<188;4=2c27?22=278m>;544789423;399>i5210;1>645l27:9>m5330g?855645l27:8>h5330g?873;>08>?j4=06:3?55:m16=8=9:201`>;6=::1??:6><=d:?2076=;;8o70==248712=:9=:26><=d:?073d=<<=01<=d:?25c>=;;8o70?;d48067b<58>om7==2e9>51ba2:89h63>4d79774c34;?il4<23f8942bm399>i5215d0>645l27:9=>5330g?8728<08>?j4=2522??5>278;77=6:?02c5=1;<01>8mc;;12>;4>1?15?84=2514??5>278;8j4;;12>;4>ml15?84=24ge??5>278:i;59348960c8339:63<6b`9=70<5:8m7;;12>;4>k815?84=24b`??5>278:l659348960f;339:63<68g9=70<5:<2577=6:?02<2=1;<01>87f;;12>;4>1k15?84=27`i523`37>131349>o>4;569>7<0f2=?>70=6b78710=:;0n969;:;<1:a`<3=<16?l:i:576?85f>j0?9852364b>132349<494;549>72g72=?>70=8bb8710=:;>n>69;:;<14af<3=<16?5><:576?85?9h0?98523912>1323493854;549>7=>62=?>70=7998710=:;1km69;:;<1;g3<3=<16?5jk:576?85?n=0?9852382a>1323492=h4;549>7<522=?>70=64b8710=:;0=m69;:;<1:=3<3=<16?:;>:576?8761h08>?j4=2305?22?2wx==66:1818dc83>>:63>11g9fa6=<<=01{tjm:1<7=3>34h2=7::6:p55562908w0m;0;662>;68k;14i74=b`f><413ty:<;850;1x9f272=?<70??e88;`<=:l1815?84}ra74?6=:r7h8=47589>f<7=<53z?`04<3=<165ko53gc89``621n27p}ja583>6}:k=;18894=8;7>6`f34oo976k9:pg17=838p1n:>:97:?8da13>>:6s|170f>5<4s4i3<7::5:?2504=<<=01<8=e;:g=>{t92>9m70l81;661>{t993?6=4={<`g5?22>27:=:97:?8de93>>:6s|111`>5<4s4i?o7::6:?24g3=0m301nml:805?xu68>;1<7=t=b6`>13034;;j;47d89>`f5=1;<0q~m;c;296~;d7>52z?af4<0;o16n:<54448yvcd03:1?v3l568710=:1on1?ko4=ddf>=b>3tynm84?:2y>g01=<<=01478:2db?8cc132o56s|c4594?4|5j?<65;6;2wx=;:j:1808e?93>>963>153900><58;69=;18884=07f6?>c12wxo5?50;0x9f>621?270m>9;661>{tjok1<725a34h<>7::5:p55?22909w0lkc;662>;698i14i74}r337<<72;q6nim54458946el32o56s|bea94?4|5knh65;6;<`a6?22>2wx==:8:1808e1:3>>:63>0b2903h452de29=707>52z?`27l3:1>v3mb3847c=:j>918884}rg`=?6=;r7h:i4;549>e56=;ok01hhi:9f:?xubi?0;6>u2c7f9001<503j6>hn;c12wxo;j50;0x9f0c21?270m>a;662>{t9??86=4<{l544:89402;32o56s|14g4>5<4s4i3o7::7:?256d=<<<01<;j7;:g=>{tk1i1<7=3>34i:m7::5:pg53=838p1n?n:61e?8d0;3>>96s|11;5>5<5s4hn;7::6:?254b=0m30q~??3`83>7}:jl=18894=02aa?>c12wxnh950;0x9gc021?270lmd;662>{t99?;6=4<{27:06194?5|5j=369;8;<33b=v3l798;1<=:jkn188;4}r`bf2c=<<<0q~kla;297~;d?m0?9852a1197cg<5o:;65j6;|qfe2<72:q6o:j544589{tk>n1<7=3>34i9m7::6:p533?2908w0m60;661>;69=>18894=046c12wx=8kl:1808e>83>>;63>1579001<58?no76k9:pg<6=838p1n7?:97:?8e5i3>>96s|c0294?4|5j8j6:=i;<`4a?22=2wx==78:1818da:3>>:63>10g9fc4=<<=01<>mf;:g=>{tjo81<7=3>34hh47::6:p55362908w0m8e;662>;68m>14i74=bd0><413ty:<::50;1x9f1b2=?<70??f88;`<=:llk15?84}ra4a?6=:r7h;h47589>ff>=<53z?`3c<3=<16m=853gc89c6621n27p}ja983>6}:k>l18894=8c3>6`f34ooo76k9:pg2`=838p1n9i:97:?8e4=3>>:6s|177g>5<4s4i2=7::5:?256b=<<=01<8:d;:g=>{t9k54458943a932o56s|c8394?4|5j3:65;6;9m70l79;661>{t99336=4={<`e0?22>27:=>:6s|1171>5<4s4i?>7::6:?24a3=0m301i>?:805?xu68>?1<7=t=b61>13034;;jl47d89>`c1=1;<0q~m;2;296~;d<;039452bbc900352z?agd<0;o16n5l54448yvcdk3:1?v3l428710=:i931?ko4=g21>=b>3tynm44?:2y>g15=<<=014o<:2db?8ccl32o56s|c5194?4|5j>865;6;2wx=;8=:1808e>:3>>963>152900><58<=>76k9:p50`12908w0m62;663>;69=:18894=07e2?>c12wxo4<50;0x9f?521?270m<7;661>{tk8>1<725a34h3n7::5:p55?>2909w0li5;662>;69;:14i74}r337`<72;q6nk;54458946d:32o56s|bg794?4|5kl>65;6;<``f?22>2wx==;<:1808e3<3>>:63>0e4952z?`01v3mcc847c=:j1i18884}rg``?6=;r7h884;549>e5e=;ok01k><:9f:?xubih0;6>u2c579001<50k=6>hn;c12wxo9;50;0x9f2221?270m<8;662>{t9?<<6=4<{7544:89401?32o56s|14da>5<4s4i2?7::7:?256?=<<=01<;ib;:g=>{tk091<7=3>34i847::5:pg43=838p1n=7:61e?8d?k3>>96s|11;a>5<5s4hm:7::6:?2574=0m30q~??3g83>7}:jo<18894=02`7?>c12wxnk850;0x9g`121?270llc;662>{t99??6=4={27:0;6?u2c549001<58:mo76k9:pg10=838p1n:9:97:?8ddk3>>96s|b`g94?4|5kih6:=i;<`;`?22>2wxink50;0x9f202=?>70h?4;:g=>{tmhh1<713034ooj76k9:pg11=838p1n:8:97:?8e413>>:6s|c8694?4|588>m7m64:?`7<<3=<1vn?9:1818e413=8j63m8e8710=z{8:2o7>52z?ab2<3=?16=<<<:9f:?xu68=:1<713034;;o947d89~wg`02909w0li7;:6=>;ekm0?9;5rs0261?6=:r7h854;579>55b?21n27p}>06:94?4|5j>369;8;<33ba563mce8710=z{kkm6=4={<```?14n27i4h4;579~w`gd2909w0?=418fef=:ml:14i74}ra7=?6=:r7:>9>5c5;89f5f2=?=7p}l3`83>7}:k:k188;4=b1a>=3>3tyh=:4?:3y>g6g=?:l01o6j:576?xu680n1<713134;:>947d89~w46393:1>v3md38712=:99i>65j6;|qab=<72;q6ni<584;89geb2=?=7p}>04494?4|5j>j69;9;<33`<8a;296~;d=b>3tyh8l4?:3y>g1g=0<301omj:576?xuej90;6?u2bbg936`<5k2m69;9;|qfea<72;q6=?:>:dcg?8cb932o56s|c5`94?4|588?=7m;b:?`7f<3=?1vn=l:1818e4k3>>963l3e8;1<=z{j;36=4={m3:1>v3md58713=:988>65j6;|q2414=838p1oj;:574?877k?03h45rscf1>5<5s4ho876:9:?agc<3=?1v<>:7;296~;d=b>3ty:<:l50;0x9f2c2=?<70?>018;`<=z{j>o6=4={2127iok4;549~wg?42909w0llf;50b>;e190?9;5rsdcf>5<5s4;98?4jad9>a`4=0m30q~m;e;296~;6:=81o9k4=b1f>1313tyh?h4?:3y>g6c=<545121n27p}md283>7}:jm<14874=c`0>1313ty:<8650;1x9f2a2=?=70??b58;`<=:l8315?84}r3322<72:q6o9h54458946bk32o563k8g8:63=z{j>m6=4={2127in>4;549~wg?32909w0lm3;50b>;e?=0?9;5rsd`f>5<4s4i><7::5:?b4c<4nh16ik:58e;8yvcfn3:1?v3l518712=:1h31?ko4=df;>=b>3tyh9=4?:3y>g06=0<301n?m:575?xu6>:91<7=t=b;6>13234;:9?4;549>535421n27p}>5c794?5|5j3>69;8;<320g<3=?16=8l::9f:?xud1<0;6?u2c879<0?<5j;i69;:;|qabf<72;q6o7p}>08d94?4|5kn<69;9;<3263;3;296~;el>0?9:5211a4>=b>3tyih94?:3y>fa1=0<301ol;:575?xu68<31<713134;;hn47d89~w460k3:1>v3l508712=:98::65j6;|q`14<72;q6o8?584;89gd32=?>7p}m9483>7}:jk>1;>h4=c56>1313tynn=4?:3y>57242lh;70kj4;:g=>{tk<81<7c;661>;d9m03945rscdg>5<5s4i:o79n0;296~;el00?9;521004>=b>3ty:<9:50;0x9gb>2=?<70??c98;`<=z{kn>6=4={<`g=?>2127in84;579~w462i3:1>v3l528713=:99no65j6;|q242b=838p1n;<:574?8768;03h45rsb70>5<5s4i>?76:9:?af0<3=<1vo79:1818de=3=8j63m778713=z{lh:6=4={<3101v3>2569g02<5j;n69;9;|q`5`<72;q6o7}:k8o1;>h4=c55>1323ty:298;`<=z{8:?97>52z?a`g<3=>16==m6:9f:?xuel?0;6?u2be`9<0?<5kh=69;9;|q240d=838p1n;::575?877ll03h45rs024a?6=:r7h984;569>546421n27p}l5483>7}:k1323tyi5:4?:3y>fg0=?:l01o98:575?xubj;0;6?u21366>`d534on:76k9:pg00=838p1<<;5;a62>;d:90?9;5rsb03>5<5s4i9<7::5:?`647>52z?a``<3=?16=<<6:9f:?xu68=<1<713034;;ol47d89~wgb02909w0lke;:6=>;ej>0?9;5rs026g?6=:r7h954;579>55ba21n27p}>06d94?4|5j?369;8;<3241563mb68710=z{k336=4={<`a3?14n27i;54;579~w`d42909w0?=478ff6=:ml=14i74}ra6=?6=:r7:>985c4;89f452=?=7p}l2383>7}:k;8188;4=b00>=3>3tyh<=4?:3y>g74=?:l01o97:576?xu68h91<713134;:>l47d89~w46303:1>v3me18712=:99ih65j6;|qa`=<72;q6nh>584;89gd?2=?=7p}>04f94?4|5j?j69;9;<33a570;296~;d=h0?9:521026>=b>3tyh9l4?:3y>g0g=0<301ol7:576?xue100;6?u2bc:936`<5k=269;9;|qff1<72;q6=?:8:d`7?8cb032o56s|c4`94?4|588?;7m:b:?`61<3=?1vn<;:1818e5<3>>963l248;1<=z{j::6=4={v3me38713=:988i65j6;|q241?=838p1ok=:574?877km03h45rscf:>5<5s4hn>76:9:?af<<3=?1v<>:e;296~;d=j0?9;5211g2>=b>3ty:<5?50;0x9f3d2=?<70?>078;`<=z{j?h6=4={2127in44;549~wg?f2909w0lm9;50b>;e?h0?9;5rsd`6>5<5s4;9854jb49>a`?=0m30q~m:d;296~;6:=21o8j4=b05>1313ty:=8m50;0x9f412=?>70?>5c8;1<=z{j:96=4={3:1>v3me58713=:988o65j6;|q241g=838p1ok;:574?877kl03h45rscfb>5<5s4hn876:9:?afd<3=?1v<>:f;297~;d=l0?9;5211g1>=b>34n9;77=6:p55>52909w0m:e;663>;699=14i74}ra6a?6=:r7h9h47589>fgg=<53z?261?=mk<014j8:2db?8cbi32o56s|c4d94?4|588?57m:f:?`62<3=?1v<8>0;297~;6:f?134i9;7::5:pg55=838p1n<8:61e?8d0j3>>96s|11c4>5<5s4hn97::6:?257c=0m30q~??4c83>7}:jl?18894=02`b?>c12wxnil50;0x9gc221?270lmb;662>{t99<;6=4<{27:09194?4|5j<;69;8;<324=563mbc8710=z{k3h6=4={<`af?14n27i;n4;579~w`d02908w0?=4`8ff2=:1mk1?ko4=dga>=b>3tyh:<4?:3y>572f2j<:70m=8;662>{t9?;>6=4<{<310c<6>8?01;6>8?14i74}ra;6?6=:r7:>9h5c9089f4?2=?>7p}l0583>7}:k;21;>h4=c5`>1323ty:2g8;`<=z{8:?o7>52z?aa3<3=>16==j?:9f:?xuelm0;6?u2bd49<0?<5khh69;9;|q2437=839p1n8<:575?877m=03h452d539=70g35=<<=01{tk?91<7=3>34hio7::5:pf>:6s|ec:94?5|588?n7km8:?:`a<4nh16ihm58e;8yve1<3:1>v3>25`9g32<5j8269;9;|q224g=83>p1<<:0;355d=:98?<69;7;<31f1<6>8k01<8>a;:g=>{tk191<744;549~wf612909w0m=9;50b>;e?m0?985rs02b=?6=:r7ii54;579>545721n27p}>05f94?4|5ko369;8;<33`4563mbd8713=z{8:=>7>53z?`20<3=?16==k::9f:?8b3m339:6s|11:5>5<5s4i=97::7:?255d=0m30q~m95;296~;d><039452bcg900352z?af`<0;o16n:h54448yvce13:1?v3>25a9ag?<50o;6>hn;c12wxo;850;0x9443k3i=:63l2c8713=z{8<:j7>53z?2607=9?;m70?>588:63=:9?;m65j6;|q`<1<72;q6=?;>:b:7?8e5j3>>96s|c1594?4|5j8i6:=i;<`4b?22=2wx==6m:1818db13>>:63>1009f`?=<<=01{tjml1<7=3>34hij7::6:p55042908w0m97;662>;68mh14i74=e7b><413ty:<;650;0x9f002=?<70??ee8;`<=z{j<<6=4={2127ink4;549~wgg72909w0lmf;50b>;e090?9;5rsd`e>5<5s4i=47::5:?fb0>;636ab80bd=:ml914i74}ra5g7e=<<<0q~?:b`83>6}:9;?96<;ma:?251c=<<=01<;ma;:g=>{tk1?1<7n4;549~wf6?2909w0m=c;50b>;e090?985rs02be?6=:r7iil4;579>545621n27p}>05g94?4|5koj69;8;<33`7563mc18713=z{8:=87>53z?`2<<3=?16==k8:9f:?8b10339:6s|11:4>5<5s4i=57::7:?255e=0m30q~m99;296~;d>0039452bb2900352z?ag5<0;o16n5?54448yvcej3:1?v3>25f9agd<50o86>hn;c12wxo;o50;0x9443l3i=m63l2e8713=z{8<987>53z?2605=9?8?70?>43871==:9?8?65j6;|q`<3<72;q6=?;<:b:5?8e5l3>>96s|c1;94?4|5j8o6:=i;<`;5?22=2wx==om:1818dbj3>>:63>1209f`d=<<=01<>k3;:g=>{tjl;1<7=3>34hh=7::6:p55022908w0m9b;662>;68l214i74=e56><413ty:<5650;0x9f0e2=?<70?>0e8;`<=z{j2127io<4;549~wgg52909w0ll1;50b>;e0;0?9;5rsd``>5<4s4;98h4jbb9>=`0=;ok01hh?:9f:?xud>j0;6?u2136f>f0d34i9i7::6:p534>2908w0?=558227?<58;8m7::8:?227?=0m30q~m77;296~;6:<>1o594=b0f>1323tyhg7c=?:l01o6=:576?xu681i1<713134;:=>47d89~w46fn3:1>v3meb8712=:989365j6;|qaa7<72;q6nhm584;89ge52=?=7p}>07g94?5|5j00;6?u2c7g9001<58:ni76k9:pg3c=838p1n8j:97:?8dd:3>>96s|b`194?4|5ki96:=i;<`;7?22>2wxin>50;0x9f0a2=?>70ki6;:g=>{tmj?1<7=t=b4e>130343jj7=ia:?fa`563l2g8713=z{8?ij7>53z?2603=94`8712=:9>96s|c1`94?4|5j8m6:=i;<`;7?22=2wx==6k:1818dbl3>>:63>1069f`b=<<=01<>m6;:g=>{tjl91<7=3>34hh?7::6:p551>2908w0m80;662>;68o914i74=d32><413ty:<;o50;0x9f172=?<70??eg8;`<=z{j=;6=4={2127io>4;549~wgg32909w0ll3;50b>;e0=0?9;5rsda2>5<5s4i<=7::5:?fb2>;636b380bd=:moh14i74}ra45?6=:r7h;<47589>g66=<<<0q~?:c583>6}:9;?=6<;l4:?251b=<<<01<;l4;:g=>{tk131<7;e0=0?985rs02;a?6=:r7iih4;579>547221n27p}>02194?4|5kon69;8;<33f2563mc58713=z{8:387>53z?`37<3=?16==hj:9f:?8c6m339:6s|114a>5<5s4i<>7::7:?24c6=0m30q~m82;296~;d?;039452bb6900352z?ag1<0;o16n5;54448yvcd:3:1>v3l728710=:mo214i74}rgg4?6=;r7h;>4;569>=g3=;ok01k>::9f:?xud?:0;6?u2c619<0?<5j9:69;9;|q21f?=839p1<<:7;36g<=:98>i69;7;<36g<0h4l52c23900352z?`74<0;o16n5;54478yv77190;6?u2bdd9000<58;:;76k9:p55532909w0ljf;663>;68k214i74}r`f1?6=:r7iik47589>ff3=<<<0q~??8g83>6}:k>>18884=033=?>c127n>o46279~w461k3:1>v3l758712=:99l:65j6;|q`31<72;q6o::584;89ge22=?>7p}ma783>7}:jj?1;>h4=c:5>1313tyno>4?:3y>g23=<u2c679001<50h36>hn;c12wxo:;50;0x9f1221?270m<2;662>{t9;6=jo14i74}ra;f?6=:r7:>865c9`89f552=?>7p}l0d83>7}:k:81;>h4=c:5>1323ty:<4?50;0x9g`72=?=70?>198;`<=z{8:897>52z?ab5<3=>16==l6:9f:?xuem?0;6?u2bg29<0?<5ki=69;9;|q24g20=<<=01<>i2;:g=>{tk><1<7=3>34hh:7::5:pfd1=838p1om9:61e?8d??3>>:6s|eb694?4|5j=<69;:;c12wxii<50;1x9f102=?<707mb;1ee>;a8>03h45rsb54>5<5s4i<;76:9:?`76<3=?1v<;k3;297~;6:<31=8j<;<320d<3=116=8j<:9f:?xud0m0;6?u2137:>f>c34i8?7::5:pg5`=838p1n=<:61e?8d??3>>96s|11;1>5<5s4hm=7::6:?254?=0m30q~??3783>7}:jo;18894=02ae?>c12wxnh650;0x9g`621?270ll7;662>{t99k>6=4<{27:=??58e;89`22208=7p}>07d94?5|5j=269;8;<33b1v3l788;1<=:jj=188;4}r`b=?6=:r7io:483g9>f=>=<<<0q~kl6;297~;d?h0?9852a0097cg<5llh65j6;|qf`6<72:q6o:o544589{tk>k1<7=3>34i887::6:p53232908w0m7e;661>;69=>18884=0470?>c12wx=8j7:1808e?m3>>;63>1579000<58?o476k9:pg=c=838p1n6j:97:?8e4<3>>96s|c0394?4|5j9?6:=i;<`;>:63>10c9fc5=<<=01<>mb;:g=>{tjl31<7=3>34hh57::6:p55d72908w0m8b;662>;69;i14i74=d71><413ty:<:>50;1x9f1e2=?<70??f48;`<=:lk<15?84}ra4f?6=:r7h;o47589>ff?=<53z?`3f<3=<16m<;53gc89``c21n27p}jd583>6}:k>i18894=8a2>6`f34l;576k9:pg2e=838p1n9l:97:?8e4>3>>:6s|176:>5<4s4i3j7::5:?256b=<<<01<8;9;:g=>{t9k54448943cl32o56s|c9d94?4|5j2m65;6;9m70l7a;661>{tm>91<77>c34o2i76k9:pbc5=83=p1kh=:37e?8`a938>j63if1811c=:nll1>8h4=ggf>73a34lnh7<:f:?26d1=no90q~hi2;297~;an;03h452e179003<5l:869;:;|qeb4<72:q6jk?58e;89`6>2=?>70k?5;662>{tno:1<7:t=gd3>=b>34o;;7::5:?2623=no:01{tnll1<78t=gge>=b>34o;;7::7:?f4=<3=<16=?9::gge?8c783>>;63>1`;9b``55z?ea`;69h31jhk4}rdf`?6=a5?=<<<01h>>:576?876i00mii5rsgga>5<0s4lnm7<:f:?ea<<5=o16jh6524d89cc02;?m70hj6;06b>;am<099k5213c5>cce3tymil4?:2y>b`g=0m301ih7:576?8ba>3>>96s|fd;94?5|5oo265j6;;cnh0?98521357>cc?34;:ml4ie99~wcc0290=w0hj7;:g=>;cnh0?9:52dg`9003<588<87hj7:?gb6<3=>16=27oj>4;549>54gf2oo=7p}ie483>1}:nl?14i74=ed`>13134nm87::5:?25dg=nl?0q~??2b83>=}:998i6?;i;<336d<5=o16==<6:37e?877:1099k521104>73a34;;>;4=5g9>55422;?m70?=ad8247eo4?:2y>554e21n270?>558713=:9;:m6<>=b:p554f2902w0??2`8;`<=:989269;9;<327g<3=<16=<:?:575?876<80?98521072>13234;903c89474n339:63>1549=7044?:3y>554>21n270?>528:63=z{8:947>53z?247>=0m301;6:9l1==<7;|q2471=83;:w0??268;`<=:989j69;9;<3207<3=<16=<=6:576?876;k0?9:521063>13234;:8<4;569>542f2=?=70?>4c8710=:98?969;7;<3212<3=<16=?l;:0213>;69:n188;4=030a?22=27:=9:544789473=3>>963>15d9=70;4?:cy>554121n270?>5`8710=:98>j69;:;<320g<3=>16=<;>:575?876<10?9852106:>13234;:9:4;569>57d328:9:63>15f9003<58;?i7::5:p55422903w0??248;`<=:989j69;:;<3207<3=>16=<;?:576?876=;0?9;521064><4134;:9:4;579>57d328:996s|fe`94?1|5onj6?;i;ba1=:j63>2`69bad53z?e`d7p}id883>6}:nm314i74=eg1>13234noi7::6:pba>=83>p1kj7:9f:?8bb83>>963>2609ba><58;jo7hk8:pba1=83>;63ke08710=:9;=96kj8;3:19v3id78;`<=:ll:18884=eg2>13134no57::5:?25de=nm<0q~hk5;290~;al<03h452dd09000<5mnj69;:;<32efj63id0811c=:nm:1>8h4=gae>73a34lhi7<:f:?ega<5=o16=?o<:gf0?xual;0;6>u2fe09;cl80?9;5rsgf3>5<3s4lo<76k9:?g`6<3=<16=?9>:gf3?876im0mh=5rsgae>5<1s4lhj76k9:?g`6<3=>16hi:54478944093lhj63kcb8712=:98ko6kmi;|qeg`<72;ckj0?985210cg>ceb3tymoi4?:5y>bfb=0m301ij::575?8bdl3>>963>1`f9bfb57z?ea7<5=o16jh?524d89cc72;?m70hkf;06b>;all099k52fef960`<588j97hj3:pb`4=839p1kk=:9f:?8bbj3>>963ke88710=z{oo:6=4<{c127oik4;549>``d=<<<0q~hj0;290~;am903h452ddf9003<58827oih4;579>``0=<{tnmn1<7:t=gfg>=b>34nnj7::6:?ga2<3=<16=bgc=:5rsga1>5<4s4lh>76k9:?gf2<3=<16ho;54478yv`d93:1?v3ic08;`<=:lkh188;4=e`4>1313tymo=4?:5y>bf6=0m301il6:576?875>o0mo=5210ce>ce73tymnk4?:7y>bg`=0m301il6:574?8bei3>>963>27d9bg`<5mh969;8;<32ec13234;:mk4ibd9~wcdc290?w0hmd;:g=>;cjk0?9;52dc19003<58;jj7hmd:pbgd=83=p1kln:37e?8`e138>j63ib9811c=:nk=1>8h4=g`5>73a34li97<:f:?26d6=nkh0q~hma;297~;ajh03h452d`c9003<5mk369;:;|qef<<72:q6jo758e;89agb2=?>70jna;662>{tnk21<7:t=g`;>=b>34njo7::5:?263c=nk201{tnk=1<78t=g`4>=b>34njo7::7:?gea<3=<16=?8j:g`4?8bf=3>>;63>1c29bg155z?ef3;69k:1jo84}rda1?6=`dc=<<<01io9:576?876j90mn85rsgaa>5<0s4lhm7<:f:?eg<<5=o16jn6524d89ce02;?m70hl6;06b>;ak<099k5213c1>cee3tymol4?:2y>bfg=0m301im;:576?8bd:3>>96s|fb;94?5|5oi265j6;;ck?0?98521353>ce?34;:mh4ic99~wce0290=w0hl7;:g=>;ck?0?9:52db59003<588<<7hl7:?gfc<3=>16=27onk4;549>54gb2oi=7p}ic483>1}:nj?14i74=ea;>13134nh<7::5:?25dc=nj?0q~h6e;293~;a1m099k52f8a960`<5o3i6?;i;b<>=:{tn0n1<7=t=g;g>=b>34n3?7::5:?g<4<3=<1vk7l:1808`>k32o563k868710=:l1918884}rd:f?6=`=3=<;69k91j4l4}rd:e?6=>r7m5l47d89>`=3=<<=01i69:576?875>k0m5l52d6g9001<58;i?7h6a:pb>:63k878713=:l>o188;4=03a7?`>12wxj4650;6x9c??21n270j77;662>;c?o0?985210`0>c??3tym5;4?:6y>b<3=:;38>j63i93811c=:n0;1>8h4=g;3>73a34;95l4i979~wc?22908w0h65;:g=>;c??0?9852d66900353z?e=11}:n0914i74=e5;>13234;9:l4i929>54d32o387p}i9383>3}:n0814i74=e5;>13034n<57::5:?263g=n0801i9>:574?876j=0m5?5rsg;2>5<2s4l2=76k9:?g3=<3=?16h:7544489a162=?>70?>b58e=4=z{o3;6=4;{c127o;l4;579>`24=<{tnh31<79t=gc;>73a34lj;7<:f:?ee3<5=o16jl;524d89cg32;?m70hn3;06b>;6:0n1jl74}rdb`<6=<0;6>u2f`592wxjl850;6x9cg121n270j62;661>;6:?i1jl84=03a6?`f>2wxjl;50;4x9cg221n270j62;663>;c1:0?9852134`>cg234n3n7::7:?25g4=nh?0q~hn4;291~;ai=03h452d809000<5m3869;9;18884=e:`>13234;:n?4ia29~wc1b290;a?j099k52f6`960`<5o=j6?;i;57?02o=n7p}i7e83>6}:n>n14i74=e6e>13234n?h7::5:pb2e=839p1k9l:9f:?8b2;3>>963k4g8713=z{o=i6=4;{c127o9<4;549>57002o=i70?>b68e3g=z{o=j6=49{c127o9<4;569>`04=<;cc1f3tym;44?:4y>b2?=0m301i;>:575?8b2:3>>:63k4`8710=:98h<6k96;|qe3=<72=q6j:658e;89a342=?=70j;b;661>;69k=1j:64}rd42?6=?r7m;84=5g9>b22=:j63i70811c=:n>:1>8h4=00:2?`0>2wxj:;50;1x9c1221n270j;2;661>;c<90?985rsg57>5<4s4l<876k9:?g03<3=<16h9<54448yv`0;3:18v3i728;`<=:l=>188;4=0052?`0;27:=o65f618yv`0:3:1:v3i738;`<=:l=>18894=e66>13234;9:;4i739>`6b=<<=01{tn>;1<7;t=g52>=b>34n?87::6:?g00<3=?16h>j54478947e03l<=6s|f6294?2|5o=;65j6;27o?h4;549>54d?2o=;7p}i8d83>2}:n1n1>8h4=g:`>73a34l3n7<:f:?e?2;?m70?=988e<`=z{o2o6=4<{c127o:44;549>`31=<70?=688e6k6m;|qe;6:?31j5o4=e47>13034;:n84i8`9~wc>>290>w0h79;:g=>;c>k0?9;52d7a9000<5m;a>:099k52f70960`<5o<:6?;i;4:5f748yv`1=3:1?v3i648;`<=:l;2188;4=e05>1323tym:94?:2y>b32=0m301i>:6s|f7194?2|5o<865j6;;:5f718947ej3l=?6s|f7094?0|5o<965j6;o4;549>57032o<970j=3;663>;69kh1j;<4}rd55?6==r7m:<47d89>`7g=<<<01i>963>1c`9b3754z?e2570?>bc8e25=z{ob3d=:j63i69811c=:9;3>6k8j;|qe2a<72:q6j;j58e;89a522=?>70j<3;661>{tn?i1<7=t=g4`>=b>34n857::5:?g70<3=?1vk8m:1878`1j32o563k368710=:9;<>6k8m;<32fdk1vk8n:1858`1i32o563k368712=:l:2188;4=0051?`1i27o?=4;569>54df2o0}:n?314i74=e14>13134n847::6:?g75<3=<16=10;69u2f7:93:1;v3i44811c=:n=>1>8h4=g60>73a34l?>7<:f:?e04<5=o16j9>524d8944?k3l?:6s|f5794?5|5o>>65j6;;c8<0?9852d13900054z?e061cg9b157>56z?e0770?=608e07=:koi18894=03aa?`3:2wxj9?50;7x9c2621n270j?3;662>;c8=0?9;52cga9003<58;ii7h;1:pb16=83>p1k:?:9f:?8b7=3>>:63lfe8710=:98hn6k:?;|qe7`<72>q6j>j524d89c5d2;?m70h;a;h099k52f2;960`<5o936?;i;<31gc>=<;>5f2c89fca2=?<70?>bg8e7d=z{o926=4:{c127hj;4;579>gc1=<<<01nki:576?876jo0m?45rsg1;>5<3s4l8476k9:?`b=<3=?16ok>54478947en3l846s|f5g94?1|5o>o6?;i;b1g=:j63>29f9b1c53z?e0a7p}i4b83>6}:n=i14i74=e31>13234n;i7::6:pb1d=83>p1k:m:9f:?8b683>>963>2709b1d<58;ih7h;b:pb1g=83>;63k108710=:9;<96k:n;13134n;57::5:?25gb=n=30q~h;8;290~;a<103h452d009000<5m:j69;:;<32faj63i28811c=:n;21>8h4=g04>73a34l9:7<:f:?e60<5=o16=?67:g0a?xua:h0;6>u2f3c921n270mke;661>;dlh0?9;5rsg0;>5<3s4l9476k9:?``f<3=<16=?;j:g0;?876k80m>55rsg04>5<1s4l9;76k9:?``f<3=>16oij544789442m3l9;63ld48712=:98i:6k<8;|qe63<72;dl<0?985210a2>c413tym>84?:5y>b73=0m301njj:575?8ec>3>>963>1b39b7357z?e67<5=o16j??524d89c472;?m70h>f;06b>;a9l099k52f0f960`<5883;7h=3:pb74=839p1k<=:9f:?8edl3>>963lcc8710=z{o8:6=4<{c127hh<4;549>gfb=<<<0q~h=0;290~;a:903h452cbd9003<588>h7h=0:?25f4=n;:0q~h>f;292~;a9o03h452cbd9001<5jn;69;:;<311a27hh=4;579>gf>=<{tn8n1<7:t=g3g>=b>34io=7::6:?`g<<3=<16=b67=:5<4s4l8976k9:?`a2<3=<16oh;54478yv`4<3:1?v3i358;`<=:klh188;4=bg4>1313tym?>4?:5y>b65=0m301nk6:576?875=o0m?>5210a3>c543tym??4?:7y>b64=0m301nk6:574?8ebi3>>963>24d9b64<5jo969;8;<32g5:1868`4932o563le88713=:klk18884=bg1>13234;:o=4i309~wc57290?w0h<0;:g=>;dmk0?9;52cd19003<58;h<7h<0:p557e29073a34;9mn4>00`8yv779h0;6>u2113b>=b>34o?:7::5:?f01<3=<1v<>>9;297~;688314i74=d6b>13234o?:7::6:p557?290?w0??198;`<=:m=2188;4=004e?779116={t99;<6=49{<33522=?>70?=7`82441<5l>:69;8;<32e1<688=0q~??1783>0}:99;=65j6;27n844;579>a17=<54z?2443=0m301h:n:575?8c3:3>>963>1`6955723ty:<<=50;5x9466:38>j63>003960`<58::<7<:f:?245`=:?e;06b>;689n1>8h4=00bf?779:1v<>>2;297~;688814i74=d1:>13234o8;7::5:p55762908w0??108;`<=:m:n188;4=d1:>1313ty:<<>50;6x9466832o563j3c8710=:9;=26<>>0:?25d3=99;;7p}>01d94?0|58:;j76k9:?f7g<3=>16i>m54478944013;;01g927n?94;549>54g228:;i6s|112g>5<3s4;;a6b=<<<01h=::576?876i<0:<=j4}r3366<72>q6==<=:37e?877:8099k521103>73a34;;=k4=5g9>557b2;?m70??1e811c=:9;ko6<>=3:p55452908w0??238;`<=:m<9188;4=d72>1323ty:>963>26`9554734;:m>4>0328yv779o0;6;u2113e>=b>34o>97::7:?f13<3=<16=?9m:022b>;b466n2wx==?j:1868779l03h452e479000<5l?=69;9;c127n9:4;579>a1`=<57z?245g=:?9;06b>;68921>8h4=0233?42n27:<=8524d89467=38>j63>2`c9556e3ty:<=o50;1x9467i32o563j2b8710=:m;k188;4}r334<<72:q6==>6:9f:?8c483>>963j2b8713=z{8:;47>54z?245>=0m301h?7;292~;689=14i74=d0f>13034o9j7::5:?262>=99:<70k=7;663>;69h<1==>8;|q2450=83?p1<>?6;:g=>;b:l0?9;52e3d9000<5l8<69;:;<32e3<689<0q~??0483>1}:99:>65j6;27n>54;549>54g128:;96s|1120>5<0s4;;55662;?m70??01811c=:nol1>8h4=gdf>73a34lmh7<:f:?26d?=99:87p}>01094?5|58:;>76k9:?f5c<3=<16iu21122>=b>34o9?7::5:?f5c<3=?1v<>?0;290~;689:14i74=d02>13234;9;:4>0128947f?3;;<=5rsgde>5<1s4lmj76k9:?f64<3=>16i?<544789440?3lmj63j1`8712=:98k<6khi;|qeb`<72;b9h0?985210c4>c`b3tymji4?:5y>bcb=0m301h<<:575?8c6j3>>963>1`59bcb57z?ef7<5=o16jo?524d89cd72;?m70hnf;06b>;ail099k52f`f960`<5882j7hm3:pbg4=839p1kl=:9f:?8b>k3>>963k9`8710=z{oh:6=4<{c127om=4;549>`27o5k4;579>`<1=<{tnhn1<7:t=gcg>=b>34nj<7::6:?g==<3=<16=:gcg?xua0?0;6:u2f97960`<5o2?6?;i;b=7=:5<4s4l3976k9:?g1g<3=<16h8754478yv`?<3:1?v3i858;`<=:l1313tym4>4?:5y>b=5=0m301i;k:576?875>10m4>5210`5>c>43tym4?4?:7y>b=4=0m301i;k:574?8b2m3>>963>27:9b=4<5m?=69;8;<32f3:1868`?932o563k5e8713=:l13234;:n;4i809~wc>7290?w0h70;:g=>;c=o0?9;52d459003<58;i:7h70:pbcd=83=p1khn:37e?8`a138>j63if9811c=:no=1>8h4=gd5>73a34lm97<:f:?26d>=noh0q~hia;297~;anh03h452e009003<5l;;69;:;|qeb<<72:q6jk758e;89`712=?>70k>2;662>{tno21<7:t=gd;>=b>34o:87::5:?2620=no201{tno=1<78t=gd4>=b>34o:87::7:?f50<3=<16=?99:gd4?8c7l3>>;63>1`:9bc155z?eb3;69h21jk84}rde1?6=a40=<<<01h>j:576?876i10mj85rsg33>5<0s4l;j7<:f:?e4`<5=o16j=j524d89c6d2;?m70h?b;06b>;a8h099k5213:1>c773tymb5`=0m301nli:576?8eel3>>96s|f1g94?5|5o:n65j6;;dk80?9852137`>c6c34;:o>4i0e9~wc6d290=w0h?c;:g=>;dk80?9:52cb09003<588>o7h?c:?`fd<3=>16=27hnl4;549>54e42o:i7p}i0`83>1}:n9k14i74=ba0>13134iin7::5:?25f5=n9k0q~?>0g83>77|58;8>7<:f:?257d=:;69:;1>8h4=031b?42n27:=?7524d89475i38>j63>13:960`<58;9h7<:f:?257c=:;69;:1>8h4=0313?42n27:=j63>137960`<58;9?7<:f:?254b=:e;06b>;698i1>8h4=032f?42n27:=?<524d89476i38>j63>106960`<58;:97<:f:?2545=:8;06b>;69831>8h4=0323?42n27:=j63>11g960`<588i>7?>0g9~wc3b290;a=j099k52f4`960`<5o?j6?;i;57?42o?n7p}i5e83>6}:n13234n:47::5:pb0e=839p1k;l:9f:?8b6m3>>963k1`8713=z{o?i6=4;{c127o=n4;549>57042o?i70?>bb8e1g=z{o?j6=49{c127o=n4;569>`4b=<;c9<0?9:5210``>c3f3tym944?:4y>b0?=0m301i?l:575?8b6l3>>:63k148710=:98hh6k;6;|qe1=<72=q6j8658e;89a7b2=?=70j>6;661>;69ki1j864}r33ad<72;;p1;699n1>8h4=033g?42n27:==8524d89477?38>j63>117960`<58;;n7<:f:?255g=:;69991>8h4=02e`?42n27:==<524d8946ak38>j63>113960`<58:mn7<:f:?2556=:ia;06b>;68ol1>8h4=02e=?42n27:j63>0g5960`<58:m=7<:f:?24c0=:i0;06b>;68o?1>8h4=02fb?42n27:j63>0dg960`<58:no7<:f:?24`?=:7>520y>55c32;?m70??e4811c=:99o86?;i;<33a7<5=o16==k8:37e?877m8099k5211g;>73a34;;i=4=5g9>55b>2;?m70??d`811c=:99n36?;i;<33``<5=o16==ji:37e?877l>099k5211fg>73a34;;hn4=5g9>55b22;?m70??d7811c=:99ii6?;i;<33g5<5=o16==j;:37e?877j<099k52100`>73a34;:><4=5g9>54712;?m70?>08811c=:99ln6?;i;<33b6<5=o16==jm:37e?877m?099k5211`7>73a34;;n<4=5g9>57d728:i>6s|eg094?75s4l;87<:f:?fbd<5=o16ik7524d89``02;?m70ki8;06b>;bn?099k52eg7960`<5883=7ki2:?fb`<5=o16ikh524d89``62;?m70h?0;06b>;bn=099k52f13960`<5o:96?;i;acb=:8h4=dg1>73a34on47<:f:?fa2<5=o16ih?524d89`c12;?m70kj0;06b>;bm<099k52eed960`<5lo?6?;i;<31<5;bmm099k52edd960`<5ll;6?;i;aae=:j63jd8811c=:n931>8h4=g2;>73a34l;;7<:f:?e43<5=o16j=;524d89``e2;?m70kje;06b>;bm:099k52ee:960`<5ln>6?;i;|q2562=838:w0??d2811c=:99n96?;i;<33`4<5=o16==mn:37e?877l9099k5211ae>73a34;;o44=5g9>55e?2;?m70??cd811c=:99i<6?;i;<33ga<5=o16==m9:37e?877kj099k5211`e>73a34;;o84=5g9>55db2;?m70??c5811c=:99ho6?;i;<33g7<5=o16==m<:37e?877k8099k5211`a>73a34;;nn4=5g9>55d>2;?m70??b9811c=:99hj6?;i;<33f3<5=o16==l8:37e?876;1099k521015>73a34;:?>4=5g9>54502;?m70?=b2825625cz?f=`<5=o16i4m524d89`?e2;?m70k6d;06b>;b10099k52e8c960`<5l336?;i;a<2=:5<5s4o2o76k9:?gb2<3=<1vh9?:1818c>j32o563ke`8710=z{l=96=4={c127n<94;549~w`0b2909w0k69;:g=>;cl90?985rsd4e>5<5s4o2m76k9:?g`a<3=<1vh8k:1818c>032o563kc28710=z{lc127om44;549~w`0d2909w0k67;:g=>;cj?0?985rsd5a>5<6?r7n4l4=5g9>a=?=:j63j8b811c=:m1n1>8h4=d:6>73a34o387<:f:?f<6<5=o16i5?524d89`>72;?m70k72;06b>;bi:099k52e`0960`<5lk;6?;i;a=d=:=38>j63j7`811c=:m>l1>8h4=004g?c0j2wxi;:50;0x9`>f21n270j;e;661>{tm?31<7=b>34n3j7::5:pa35=838p1h66:9f:?8b393>>96s|e7:94?4|5l2n65j6;021n270j=7;661>{tm?81<7=b>34n887::5:pa30=838p1h6l:9f:?8b103>>96s|e7594?4|5l2o65j6;221n270j?d;661>{tm=b>34n;<7::5:pa0b=838p1h6<:9f:?8ea;3>>96s|e4`94?4|5l2:65j6;721n270mlc;661>{tm=b>34in:7::5:pa2?=838p1ho<:9f:?8c2:3>>96s|e6:94?4|5lk965j6;{tm>?1<7=b>34o:i7::5:pa21=838p1ho>:9f:?8c403>>96s|e7c94?4|5l2i65j6;121n270j:a;661>{tm>>1<7=b>34o:=7::5:pa0?=838p1h9n:9f:?8eem3>>96s|e7294?4|5l=m65j6;52165`>25a3ty:;oo50;0x941>n3=8j63>88`96=b52?b2=h<70?87b8:63=:9>2o65;6;|q232d=839p1<96e;057>;6?>i1>;=4=054f?14n2wx=:l7:1818701l07>c3ty:45950;1x9410k382n63>79f9003<5822m76k9:p5=>?2908w0?88e8713=:913i65j6;<3;1g<50m1v<97c;297~;6?0n18o94=054f??5>27:;5m584;8yv70?h0;6>u216;g>70434;<;o4=629>521f2>9m7p}>7c494?4|58=2h796}:9>=i6?7m;<3427:4il58e;8yv700k0;6>u216;`>1d034;<;l46279>52>e21?27p}>76;94?5|58=2o7<93:?232g=:?901<989;50b>{t9>h?6=4={<34=f<0;o16=577:3:g?xu601?1<7=t=054e?4>j27:;5l5447894>>032o56s|19a:>5<5s4;<4o4;579>5=bf21n27p}>79c94?5|58=2n7:m7:?232?=1;<01<97a;:6=>{t9>=36=4<{<34=g<5>:16=:96:340?870?10>?383h6s|19:7>5<4s4;<;44=9c9>52>f2=?>70?7968;`<=z{82h47>52z?23=g=<<<01<6k9;:g=>{t9>226=4<{<34=d<3j>16=:97:805?8700003945rs0543?6=;r7:;4o527189410038=?63>765936`52?f2>9m70?7978153z?232>=:0h01<979;661>;600<14i74}r3;g2<72;q6=:66:575?87?l103h45rs05;79:9<0?52?>2;<870?8768126=:9>==6:=i;|q23dc=838p1<969;50b>;600?1>5j4}r3;<7<72:q6=:98:3;a?870010?985219;6>=b>3ty:4n850;0x941?03>>:63>8e5952??2=h<70?8778:63=:9>2<65;6;|q23=3=839p1<968;057>;6?><1>;=4=05;1?14n2wx=:ol:1818701107>c3ty:45?50;1x9410>382n63>7959003<5822876k9:p5=e22909w0?8868713=:91n=65j6;|q23<0=839p1<9n8;6a3>;6?1?15?84=05:2?>212wx=:6;:180870i109:>5216:6>70434;<49483g9~w41dk3:1>v3>7`:936`<582j?7<7d:p5=?62908w0?88481=g=:9>3=69;:;<3;e6c12wx=:7::180870i>0?n:5216:7><4134;<5847589~w41?;3:1?v3>7`59635<58=387<93:?23=5=?:l0q~?8c`83>7}:9>k<6:=i;<3;e7<50m1v<660;297~;6?1>1>4l4=05:1?22=27:4l<58e;8yv7?l;0;6?u216;6>13134;3i?47d89~w41><3:1?v3>7`490g1<58=3?77=6:?23<2=0<30q~?88383>6}:9>k=6?8<;<34<6<5>:16=:6=:61e?xu6?j21<7u216:0>7?e34;<594;549>5=g621n27p}>8e394?4|58=287::6:?2<`7=0m30q~?89283>6}:9>k>69l8;<34<7<>:?16=:7<:97:?xu6?1;1<7=t=05b1?41;27:;5<52718941?93=8j6s|16a5>5<5s4;5=g72;2o7p}>89g94?5|58=3>7<6b:?23<5=<{t91n;6=4={<34=6<3=?16=5k?:9f:?xu6?081<7=t=05b0?2e?27:;5?59348941>:32>56s|16:3>5<4s4;52>62;<870?881847c=z{8=h87>52z?23d2=?:l01<66f;0;`>{t912o6=4<{<34<4<51k16=:7=:576?87?1o03h45rs0:`b?6=:r7:;4<5444894>cn32o56s|16;2>5<4s4;4;b69>52>7208=70?8908;1<=z{8=53z?23d5=:?901<970;057>;6?>l1;>h4}r34g7<72;q6=:o<:61e?87?1l094i5rs0:;g?6=;r7:;5>528`8941>93>>963>88g952?62=?=70?7dd8;`<=z{8=2<7>53z?23d4=;6?0:14874}r343`<72:q6=:o=:340?870?o09:>52165f>25a3ty:;n>50;0x941f:3=8j63>88f96=b521a2;3i70?8918710=:913o65j6;|q2;60mn14i74}r34:5`4?870?l02>;5216:e>=3>3ty:;:j50;1x941f938=?63>76g9635<58=;6?1l188;4=0::g?>c12wx=5ml:1818700o0?9;5219f`>=b>3ty:;5k50;1x941f83>i;63>76f9=70<58=3i76:9:p52122908w0?8a18126=:9>=o6?8<;<3430<0;o1v<9mc;296~;6?h:1;>h4=0::7?4?l2wx=566:180870?m095o5216:f>13234;35>47d89~w4>dj3:1>v3>79g9000<582o976k9:p52>12908w0?89687f2=:9>=>64<9;<34<3h4=0::6?4?l2wx=56?:180870?<095o5216:5>13234;35?47d89~w4>d<3:1>v3>7949000<582o876k9:p522?2909w0?86c8126=:9>>36:=i;|q23g?=838p1<99b;50b>;60>=1>5j4}r341<<72:q6=:8n:5`4?870<102>;52167:>=3>3ty:;9950;1x9411i38=?63>75:9635<58=?;79;6?<3188;4=0:42?>c12wx=58;:180870=00?9;521954>=b>34;39l4=8e9~w41203:1?v3>77;90g1<58=?;77=6:?230>=0<30q~?84783>6}:9><26?8<;<3402<5>:16=::9:61e?xu6?k?1<7;0;6>u21664>7?e34;<954;549>5=1221n27p}>8`c94?4|58=>47::6:?26}:9><369l8;<3403<>:?16=:;8:97:?xu6?=?1<7=t=0555<5s4;<:5483g9>5=132;2o7p}>87394?5|58=?:7<6b:?2301=<{t91k26=4={<3412<3=?16=5ln:9f:?xu6?<<1<7=t=0553?2e?27:;9;593489412>32>56s|1667>5<4s4;<::4=629>52222;<870?845847c=z{8=i=7>52z?2331=?:l01<683;0;`>{t91<;6=4<{<3400<51k16=:;9:576?87??:03h45rs0:be132o56s|1676>5<4s4;<:;4;b69>5223208=70?8548;1<=z{8=??7>53z?2330=:?901<9;4;057>;6?=91;>h4}r34ec<72;q6=:89:61e?87??;094i5rs0:6b?6=;r7:;9:528`89412=3>>963>860952322=?=70?7b98;`<=z{8=>87>53z?2333=;6?<>14874}r3407<72:q6=:8::340?870<:09:>521661>25a3ty:;lj50;0x9411=3=8j63>86396=b52242;3i70?8558710=:91=:65j6;|q2;60k=14i74}r3416<72:q6=:8;:5`4?870<;02>;521670>=3>3ty:;8?50;1x9411<38=?63>7509635<58=>=79;6?<9188;4=0:44?>c12wx=5o::181870=:0?9;5219`5>=b>3ty:;;<50;1x9410<3>i;63>7439=70<58==>76:9:p52372908w0?8758126=:9>?:6?8<;<3415<0;o1v<9lb;296~;6?>>1;>h4=0:4b?4?l2wx=58k:180870=8095o521641>13234;3;k47d89~w4>e;3:1>v3>7709000<582h?76k9:p52062908w0?87287f2=:9>?;64<9;<342491>;=4=0564?41;27:;9h572d8yv70k00;6?u21650>25a34;3;h4=8e9~w4>1k3:1?v3>742967}:9><:69;9;<3;g7818o94=057b??5>27:;;>584;8yv70u21651>70434;<8k4=629>522b2>9m7p}>7b594?4|58=<>796}:9>>m6?7m;<3425<3=<16=59k:9f:?xu60k;1<727:4n?58e;8yv70=o0;6>u21652>1d034;<8h46279>523a21?27p}>75f94?5|58=<=7<93:?231c=:?901<9;d;50b>{t9>i>6=4={<3434<0;o16=59l:3:g?xu60?k1<7=t=057a?4>j27:;8h5447894>0k32o56s|19`3>5<5s4;<9k4;579>5=e721n27p}>74g94?5|58=<<7:m7:?231b=1;<01<9:e;:6=>{t9>>h6=4<{<3435<5>:16=::k:340?870572d894>0j383h6s|194:>5<4s4;<8i4=9c9>523b2=?>70?77c8;`<=z{82jj7>52z?230c=<<<01<6mf;:g=>{t9>?o6=4<{<342c<3j>16=::l:805?870=m03945rs057f?6=;r7:;;h527189413k38=?63>75`936`520a2>9m70?77`8153z?231e=:0h01<9:d;661>;60>k14i74}r3;e`<72;q6=:;k:575?87?jl03h45rs056g?6=;r7:;;k54c589413j339:63>74a9<0?520b2;<870?84c8126=:9>>j6:=i;|q23g`=838p1<99e;50b>;60>31>5j4}r3;22<72:q6=::m:3;a?870=j0?9852195:>=b>3ty:4lj50;0x9412k3>>:63>8cf9520c2=h<70?84`8:63=:9>?i65;6;|q231?=839p1<99d;057>;6?=k1>;=4=057=?14n2wx=:lk:181870>m07>c3ty:4;850;1x9413i382n63>74`9003<582<476k9:p5=gd2909w0?85c8713=:91hh65j6;|q230g=839p1<99c;6a3>;6?=315?84=056e?>212wx=::>:180870>j09:>52166:>70434;<8<483g9~w41ej3:1>v3>77a936`<582=j7<7d:p5=022908w0?84881=g=:9>?j69;:;<3;2cc12wx=:;=:180870>:0?n:521662><4134;<9?47589~w41f13:1>v3>771936`<582=i7<7d:p5=3d2908w0?84081=g=:9>?969;:;<3;2`c12wx>8j9:1821<}:913i65j?;<3;3271f34;3544=7`9>5=?12;=j70?794813d=:91k86?9n;<3;e7<5?h16=57;:35b?87?i809;l5219c3>71f34;35h4=7`9>5=?c2;=j70?79g813d=:913h6?9n;<`6`?>c827i9n4=7`9>f0d=0m:01o;n:35b?8d2138:o4=c47>71f34h=?7<8a:?a27<5?h16n;?526c89g072;=j70l:f;04e>;e=l09;l52b45962g<5k?=65j?;<`5=?>c827ji947d19>e`5=0m:01lk=:9f3?8gb932o<63ne18;`5=:iml14i>4=`g`>=b734knn76k0:?bad;fm?03h=52ad79c8279=>o526c89774?38k01??<4;04e>;59:91>:o4=3306?40i279=>?526c89774838k01??=b;04e>;59;k1>:o4=331=?40i279=?6526c89775?38k01??=5;04e>;59=:1>:o4=330b?40i279=>k526c89774l38;59:214i>4=3315?>c827:j9o526c894`3138f5:962g<58l?;7<8a:?2b10=:>k01;6n=>1>:o4=0d77?40i279=;j526c89771k38k01??98;04e>;59?=1>:o4=3352?40i279=87526c89772038:7<8a:?1503=:>k01??:4;04e>;59<91>:o4=3366?40i279=;=526c89771:38k01??l3;04e>;59j81>:o4=33`5?40i279=n>526c8977en38k01??m9;04e>;59k21>:o4=33a1?40i279=o:526c8977e;38k01??nf;04e>;59ho1>:o4=33bf?40i279=lo526c8977f138k01??n3;04e>;59h81>:o4=33b5?40i279=4k526c8977>l38k01??69;04e>;59021>:o4=33:3?40i279=4:526c8977>;38k01??8b;04e>;59>k1>:o4=334=?40i279=5k526c8977?l38k01??79;04e>;59121>:o4=33;3?40i279=:8526c89770=38k01??81;04e>;59>:1>:o4=335b?40i279=5<526c8977?938;5m9>1>:o4=3g36?40i279i=?526c897c7;38k01?jid;04e>;5lo81>:o4=2a1f?40i278nh:58e2896e7<387<8a:?0g57=:>k01>m?0;04e>;4jol1>:o4=2`ea?40i278nkj526c896e7k38k01>m?8;04e>;4k9=1>:o4=2a32?40i278o=;526c896dak38k01<7;61:31>:o4=0;09526c894?4>38927962g<5833n76k0:?2eab=:>k01;6imh1>:o4=0cge?40i27:mi7526c894gc038b3g9=:>k01;6i><1>:o4=0a2a?40i27:oc0;962g<5;hm57<8a:?1g75=:>k01?m=6;04e>;5j;21>:o4=3`1=?40i279n?o526c897d5j38k01?l>1;04e>;5km214i>4=3f24?>c8279oh>526c897edk38k01?mkd;04e>;5ik81>:o4=35f=?40i279;n8526c8971b038k01?9jb;04e>;5?li1>:o4=35f`?40i279;9h526c89712838k01?99a;04e>;5??h1>:o4=355g?40i279;;j526c89711m38>7<8a:?1305=:>k01?9:4;04e>;5?:o4=3562?40i279;89526c89712038m7<8a:?130e=:>k01?9:d;04e>;5?:o4=356b?40i279;;>526c89711938k01?995;04e>;5??=1>:o4=355k01?7i5;04e>;51o<1>:o4=3c1c8279m?7526c8944c>38>h;5213a4>73c>27:?i;524f5?875k9099i84=00g5?42l?16=?m=:37g2>;6:j>1>8j9;<30f4<5=m<01<;6::i1>8j9;<30e2<5=m<01?66d;661>;6?:i14874=2f40?22=279m>o5444897>283>>:63>3c7960b134;8io4=5e4896bf<3>>:63>c349001<58k3?7::7:?1gd0=<<<01?o=f;663>;5kk>18884=3aa2?22>279oo65444897eei3>>:63=cca9000<5;iii7::6:?1gf6=<<<01?ml2;662>;5kj>18884=3aa6?22>279oo>5444897efm3>>:63=c`a9000<5;ijm7::6:?1gd>=<<<01<=kc;06`3=:9:n26?;k6:?26ab=:2;3:1=9u219;a>73a34;35l4=5g9>5=??2;?m70?796811c=:91326?;i;<3;=3<5=o16=57::37e?87?i:099k5219c1>73a34;3594=5g9>5=g62;?m70?7a1811c=:913n6?;i;<3;=a<5=o16=57i:37e?87?1j099k5219;0>73a34;35?4=5g9>5=3e2;?m70?7568;`<=z{82>>7>515y>5=102;?m70?777811c=:91=>6?;i;<3;36<5=o16=59=:37e?87??=099k521952>73a34;3;=4=5g9>5=1b2;?m70?77e811c=:91=m6?;i;<3;3f<5=o16=59m:37e?87??0099k52195;>73a34;3;l4=5g9>5=0a2;?m70?76d811c=:91?j6?;i;<3;11=91?270?=7682<0?<588<:7?7589>5712282>563>26695=3>34;9;>4>84;89440:3;394521352>4>2127:>:>5197:?875>o0:4874=005a?7?=016=?8k:0:6=>;6:?i1=5;6;<312g<60<301<<9a;3;1<=:9;<26<6:9:?263>=91?270?=6682<0?<588=:7?7589>5702282>563>27695=3>34;9:>4>84;89441:3;394521342>4>2127:>;>5197:?875=o0:4874=006a?7?=016=?;k:0:6=>;6:=838:w0?75`8;`<=:m<918894=d65>13034o857::7:?f6f<3=>16i;cn10?9:52dd`9001<5mnn69;8;`g1=<<=01ion:574?8b>k3>>;63k918712=:l1918894=e55>13034n=57::7:?g1g<3=>16h9h544589a252=?<70j<5;663>;c:10?9:52d0c9001<5m:n69;8;g`1=<<=01njn:574?8edl3>>;63lbg8712=z{82:?7>52z?2{t91;96=4={<3;`d<50m16=57>c34;3>447d89~w4>7n3:1>v3>8e596=b<5829:76k9:p5=6b2909w0?7d781;60=i14i74}r3;5g<72;q6=5k=:3:g?87?<003h45rs0:2e?6=:r7:4h?529f894>3>32o56s|193:>5<5s4;3i=4=8e9>5=2421n27p}>80:94?4|582oj7<7d:?2<16=0m30q~?71683>7}:91nn6?6k;<3;7a6;296~;60mn1>5j4=0:0e?>c12wx=5?::18187?lj094i521914>=b>3ty:4<:50;0x94>c=383h63>83095=b32;2o70?71e8;`<=z{8=o?7>52z?2{t9>n96=4={<3;fd<50m16=:kk:9f:?xu6?m;1<77>c34;v3>8c596=b<58=n876k9:p52eb2909w0?7b781o:65j6;|q23ae=838p1<6l3;0;`>;609k14i74}r34`g<72;q6=5m=:3:g?87?8>03h45rs05ge?6=:r7:4n?529f894>7<32o56s|16f:>5<5s4;3o=4=8e9>5=6621n27p}>7e:94?4|582ij7<7d:?23cc=0m30q~?8d683>7}:91hn6?6k;<34bg5j4=05ec12wx=:j::18187?jj094i5216d6>=b>3ty:;i:50;0x94>e=383h63>7d295=d32;2o70?8de8;`<=z{82>97>53z?2<01=:;6:kl1=5;:;|q2<5>=838p1<6?7;0;`>;609314874}r3;65<72;;p1<6?7;06b>;609k1>8h4=0:30?42n27:4><524d894>5n38>j63>7gg960`<582;=7<:f:?23cd=:;60;i1>8h4=0:12?42n27:;k;524d8941a038>j63>831960`<58=n<7<:f:?23ab=:;60=i1>8h4=05e4?42n27:497524d8941b?38>j63>7dc960`<582?:7<:f:?23`2=:;60=:1>8h4=0:77?42n27:4>o524d894>4?38>j63>82f960`<582:h7<:f:?2<74=:52z?2<5g=:1n01<6?c;:6=>{t91:>6=4={<3;41<50m16=5>9:97:?xu60:91<7:584;8yv7?;90;6?u2190e>7>c34;3?<47589~w41an3:1>v3>7gg96=b<582;<76:9:p5=652909w0?70081;6?on14874}r3;6d<72;q6=5<6:3:g?87?:k03945rs0:1`?6=:r7:4?m529f894>5m32>56s|1904>5<5s4;3>;4=8e9>5=4?21?27p}>7g494?4|58=m97<7d:?23c1=0<30q~?8f883>7}:9>l36?6k;<34bd5j4=0:11?>212wx=:h<:181870m9094i5216d7>=3>3ty:;ik50;0x941cl383h63>7ed9<0?52cc2;2o70?8eg8;1<=z{82?h7>52z?2<1e=:1n01<6;e;:6=>{t9>l:6=4={<34b5<50m16=:h=:97:?xu60=k1<77>c34;v3>7dc96=b<58=no76:9:p5=202909w0?74781365;6;|q23`3=838p1<9j4;0;`>;6?l<14874}r34a7<72;q6=:k>:3:g?870m:03945rs0:75?6=:r7:49>529f894>3:32>56s|1967>5<5s4;38>4=8e9>5=2221?27p}>82`94?4|5828m7<7d:?2<6e=0<30q~?73983>7}:919<6?6k;<3;7<5j4=0:0b?>212wx=5?j:18187?9m094i52193e>=3>3ty:48>50;0x94>3n38>j63>2cg95=373ty:49h50;0287?13234;38o4;549>5=2?2=?>70?7448710=:91>969;:;<3;7c<3=<16=5=l:576?87?;00?98521915>13234;3?94;549>5=562=?>70?72d8710=:918i69;:;<3;6=<3=<16=5<::576?87?9o0?9852192`>13234;3<44;549>5=612=?>70?7028710=:91:;69;:;<34ba<3=<16=:hn:576?870n>0?985216d7>13234;52ca2=?>70?8eb8710=:9>o269;:;<34a3<3=<16=:k<:576?870lo0?985rs0:01?6=:r7:4?<529f894>4>32>56s|a8394?5|5h<:69;9;;fk=03h45rs`42>5<5s4k==76:9:?b25<3=?1vlj<:1878g1:3>>:63na38114i74=`dg>1323tyi8n4?:5y>e34=<<=01o;k:9f:?8d793>>963>22f9f1e7>52z?b2754478yv42kh0;6?u2a72936`<5;>oj7::8:pe<6=839p1l;j:575?8gd;383h63na08;`<=z{hh96=4={47d89~wd3b2909w0o:e;:6=>;f=m0?9;5rs`f1>5<3s4k>j7::6:?be4<50m16mh=58e;89d`f2=?>7p}m4c83>6}:i=b>34kmh7::8:pe0`=838p1l;i:97:?8g2l3>>96s|24a:>5<5s4k>h797}:i1313tyjh<4?:5y>e0e=<<<01lo?:3:g?8gb:32o563nf68710=z{k>j6=4<{ecg=<<20q~o:c;296~;f=j039452a4c9003o54?:3y>e0g=?:l01?:kc;66<>{ti1o1<7=t=`7;>13134kh=7<7d:?b=c>;63nc08;`<=z{h?36=4={2127j9:4;579~wdb7290?w0o:9;662>;f1o094i52ad39{ti<31<7=3>34k>;7::5:p60e02909w0o:7;50b>;5ef6=:1n01l7j:9f:?xufio0;6?u2a479001<5hi;65j6;|qb10<72;q6m8;584;89d332=?=7p}ncg83>1}:i<<18884=`;f>7>c34kn<76k9:?bb4<3=<1vo:7:1808g2>3>>;63m588;`<=:io>18864}rc62?6=:r7j9;47589>e02=<7}:i<>1;>h4=36`2?2202wxm5m50;1x9d352=?=70omf;0;`>;f1m03h45rs`cf>5<5s4k>>7::7:?bfc563n508713=z{hin6=4;{27j5i4=8e9>ea`=0m301o?k:576?xue<>0;6>u2a419001<5k?365j6;{t:6=4={i3:1?v3n7b8713=:iji1>5j4=`cb>=b>3tyjnn4?:3y>e2e=<<=01lml:9f:?xuf?j0;6?u2a6a9<0?<5h=i69;9;|qb`f<72=q6m:j544489dgf2;2o70ojc;:g=>;e9h0?985rsc76>5<4s4kv3n7e8;1<=:i>h188;4}rc:=?6=;r7j;44;579>efd=:1n01lo6:9f:?xufjk0;6?u2a6;9001<5hii65j6;|qb3<<72;q6m:7584;89d1?2=?=7p}ndc83>1}:i>k18884=`c:>7>c34knn76k9:?a52<3=<1vo;;:1808g0i3>>;63m658;`<=:j8k18864}rc4e?6=:r7j;l47589>e2>=<7}:i><14874=`56>1313tyjhl4?:5y>e21=<<<01lo7:3:g?8gbi32o563m158710=z{k?86=4<{47d89>f41=<<20q~o87;296~;f?>039452a67900353z?b36<3=?16mn7529f89dg021n27p}nb883>7}:i>918894=`a:>=b>3tyj;>4?:3y>e25=0<301l9=:575?xufl00;69u2a669000<5hk<6?6k;c127i=<4;549~wg352908w0o84;663>;e>;03h452b06900>52z?b31>3:1?v3n718713=:ij21>5j4=`c5>=b>3tyjn54?:3y>e26=<<=01lm7:9f:?xuf?90;6?u2a629<0?<5h;e8l0?985rsc72>5<4s4k<=7::7:?a24v3n708;1<=:i?l188;4}rc:1?6=;r7j:i4;579>ef1=:1n01lo::9f:?xufj>0;6?u2a7f9001<5hi<65j6;|qb2a<72;q6m;j584;89d0d2=?=7p}nd683>1}:i?o18884=`c6>7>c34kn;76k9:?a4g<3=<1vo;?:1808g1m3>>;63m618;`<=:j9o18864}rc5a?6=:r7j:h47589>e3e=<h0?9;52ab496=b<5hk?65j6;|qbf3<72;q6m;o544589de121n27p}n6`83>7}:i?k14874=`4:>1313tyjh;4?:5y>e3d=<<<01lo;:3:g?8gb>32o563m098710=z{k>m6=4<{f5d=<<20q~o9b;296~;f>k039452a7;900353z?b22<3=?16mn;529f89dg421n27p}nb483>7}:i?=18894=`a6>=b>3tyj::4?:3y>e31=0<301l89:575?xufl<0;69u2a7:9000<5hk86?6k;c127i<84;549~wg2b2908w0o98;663>;e=l03h452b1:900>52z?b2=5j4=`;a>=b>3tyjmi4?:3y>e1`=<<=01llk:9f:?xufn69;9;|qbga<728>p1l;?:575?8g>j383h63nde8;`<=:j9;18894=000`?gdl27i=i4;579>f4g=<<<01o?8:575?8d6<3>>:63m108713=:j9o18884=c2a>13134h;47::6:?a40<3=?16mkj544489d`f2=?=70oi7;662>;fn=0?9;52ag39000<5hon69;9;|qa03<72:q6m8>544589g3121n270oje;66<>{ti<:1<7=3>34k?i7::5:pe<4=839p1l8;:575?8gem383h63n9b8;`<=z{hh?6=4={;f>:0?9;5rs`f7>5<3s4k=97::6:?b=f<50m16mik58e;89dcb2=?>7p}m4e83>6}:i??18894=c74>=b>34h;97::8:pe33=838p1l8::97:?8g1;3>>96s|a``94?46s4kh87<:f:?bg6<5=o16mn<524d89de62;?m70ol0;06b>;fjo099k52aba960`<5hii6?;i;ef>=:38>j63nc4811c=:iko1>8h4=``g>73a34kj>7<:f:?be4<5=o16ml>524d89d?a2;?m70o6e;06b>;f1m099k52a`c960`<5hk26?;i;ed0=:j63na2811c=:i0i1>8h4=`;a>73a34;9?n4nac9~wg01290:=v3m5e811c=:j8h4=c7a>73a34h>m7<:f:?a1<<5=o16n86524d89g022;?m70l94;06b>;e>:099k52b70960`<5k<:6?;i;<`54?42n27i9k4=5g9>f0c=:38>j63>22d9f3052z?b<1<50m16m58584;8yvd3<3:1ov3n85811c=:i191>8h4=`:1>73a34h8<7<:f:?a6c<5=o16n?k524d89g4c2;?m70l=c;06b>;e:k099k52b3c960`<5k826?;i;<`71?>212wxm5?50;1x9d>321n270o76;662>;6:921m5?4}rc;3?6=:r7j4>4=8e9>e=>=0<30q~o70;290~;f0:03h452a9:9001<5h2=69;7;<314=c127j4l4;579>e=>=<<<01l69:574?875810j;k5rsc4:>5<5;r7i:44=8e9>f3?=0m301o:<:576?8g?i3>>963m408710=:j:l188;4=c1g>13234h8n7::5:?a7<<3=<16n>9544789g522=?>70o78;661>;f0?0?9852b0d9003<5888j7l99:?a00<3=<16n;m593489g662=?370?=3e8a2<=:j8o15?84=c3a><4134h:477=6:?a50<>:?16n<<593489g6a208=70l?c;;12>;e8002>;52b149=70<5hln64<9;27jj546279>ec3=1;<01lh=:805?8gbn339:63>35`9f3?52z?a2<<5=o16n;m572d8yvd3:3:1>v3m3181f17=<<<0q~l;0;296~;e:o094i52b539<0?52z?a6ch54448yvd4m3:1>v3m2d81h47d89>f6b=<<<0q~l52z?a6al54448yvd4i3:1>v3m2b81n47d89>f6?=<<<0q~l<8;296~;e:k094i52b2;9<0?52z?a6g954448yvd4>3:1>v3m2`81l47d89>f63=<<<0q~l<4;296~;e:0094i52b279<0?513y>f7?=0m301o>>:575?875;m0i><52b0f9001<5k;j69;8;<`23?22?27i=94;569>f47=<<=01o>j:574?8d7j3>>;63m098712=:j9?18894=`dg>13034kmm7::7:?bb2<3=>16mk:544589d`62=?<70oje;663>{tiol1<77>c34h;?79;fm:099k52ad0960`<5ho:6?;i;e`e=:j63ne8811c=:il21>8h4=`g4>73a34kn:7<:f:?ba0<5=o16mik524d89dbc2;?m70l>f;:6=>{tioi1<77>c34kmi79{tio:1<77>c34km>798;50b>{tj891<77>c34h:979{tj9=1<77>c34h;5793=8j6s|adf94?4|5hno6?6k;7?e348:i847d89>5fgb2=?>7p}>dg`94?4|58o:?7<93:?2`cd=?:l0q~?i6b83>7}:9l;86:=i;<02a0<50m1v??j4;291~;6m8818o94=0fef??5>27:ol?528`8977b<32o563>c`f90035`752;<870?kfc8126=:9l:o6:=i;|q2b31=838p12;50b>;59l>1>5j4}r02aa<72;521bca>7?e348:ii47d89>5fd02=?>7p}>e1`94?5|58o:h7<93:?2a5b=:?901{t9oi=6=4={<3f5a<0;o16>2908w0?j1b8126=:9l:i6?8<;<3f4<<0;o1vh4=33fg?4?l2wx><4134;hm44=9c9>64ce21n270?lb48710=z{8o;;7>53z?2a4d=:?901;6m9=1;>h4}r3efd<72;q6=h?m:61e?846mk094i5rs33fe?6==r7:ic`:966}:9l;j6?8<;<3f42<5>:16=h>::61e?xu6nk>1<71d034;n<846279>5fg02;3i70<>e88;`<=:9jh869;:;|q2a55=839p19;057>;6m9?1>;=4=0g37?14n2wx=koj:18187b9007>c3ty9=h650;7x94c603>i;63>e119=70<58ij:7<6b:?15`>=0m301{t9l::6=4<{<3f5=<5>:16=h><:340?87b8805<2s4;n=:4;b69>5`66208=70?la481=g=::8o<65j6;<3`f4<3=<1v;=4=0g35?41;27:hkh572d8yv7a1k0;6?u21d34>25a348:i:4=8e9~w77b>3:19v3>e0490g1<58nmj77=6:?2gd2=:0h01??j6;:g=>;6kk:188;4}r3gba<72:q6=h?9:340?87cno09:>521edg>25a3ty:j4?50;0x94c6>3=8j63=1d496=b4?:4y>5`722=h<70?kfe8:63=:9jk86?7m;<02a65<5s4;n=8483g9>64c42;2o7p}>e1d94?5|58o:=7:m7:?2`cg=1;<010;:6=>{t9o<:6=4={<3f54<0;o16>c7490035fc42;<870?lc6847c=z{8l<87>52z?2g`5=?:l01?>92;0;`>{t:9?;6=4:{<3`a7<3j>16=nm8:805?87d=<095o522142>=b>34;h:84;549~w4ed=3:1?v3>cd09635<58ih;7<93:?2gf3=?:l0q~?i7383>7}:9jo96:=i;<0324<50m1v?>;f;291~;6kl;18o94=0a`1??5>27:o8:528`89761832o563>c7690034?:2y>5fc62;<870?lc48126=:9ji86:=i;|q2b26=838p1;58?:1>5j4}r030`<72;521b70>7?e348;9k47d89>5f042=?>7p}>cb394?5|58in<7<93:?2gf5=:?901{t9o=;i:3:g?xu58=n1<7;t=0agb?2e?27:on?5934894e2:382n63=04g97::5:p5fda2908w0?ldg8126=:9ji:6?8<;<3`fc<0;o1vh4=326a?4?l2wx>=:l:18687dll0?n:521b`e><4134;h9<4=9c9>653c21n270?l608710=z{8io57>53z?2gac=:?901;6km31;>h4}r3e23<72;q6=njj:61e?847=m094i5rs326e?6==r7:ohj54c5894ec1339:63>c4d966}:9joo6?8<;<3``<<5>:16=nj8:61e?xu6nj?1<71d034;hh:46279>5f3b2;3i70;6km=1>;=4=0ag1?14n2wx=kli:18187dmj07>c3ty9<8650;7x94ebj3>i;63>ce79=70<58i>h7<6b:?143>=0m301{t9jn86=4<{<3`ag<5>:16=nj::340?87dl:05<2s4;hil4;b69>5fb4208=70?l5b81=g=::9<<65j6;<3`2f<3=<1v;=4=0ag7?41;27:oi?572d8yv7aj:0;6?u21bgb>25a348;::4=8e9~w762>3:19v3>cd;90g1<58io=77=6:?2g0d=:0h01?>96;:g=>;6k?h188;4}r3`gc<72:q6=nk6:340?87dl809:>521bae>25a3ty:jlj50;0x94eb13=8j63=07496=b5fc?2=h<70?lcg8:63=:9j?j6?7m;<03205<5s4;hi5483g9>65022;2o7p}=04694?3|58in;7:m7:?2gfb=1;<01;58?>14i74=0a5=?22=2wx=nmm:18087dm>09:>521bag>70434;hoo483g9~w4`>i3:1>v3>cd5936`<5;:=87<7d:p6534290>w0?le787f2=:9jii64<9;<3`1=<51k16>=8<:9f:?87d>10?985rs0a`=?6=;r7:oh85271894edj38=?63>cb;936`5fc12>9m70>7>55z?2g`3=;6k<=1>4l4=326g?>c127:o;954478yv7djl0;6>u21bg6>70434;ho44=629>5fdb2>9m7p}>f6g94?4|58in9796}:9jno69l8;<3`f`<>:?16=njl:97:?xu6n?:1<7u21b6g>1d034;mik47d89>5cb>2;2o7p}>c2c94?4|58i?h7<93:?2g6g=?:l0q~?ic683>7}:9j>o6:=i;<3eac<50m1v27:jhk58e;894`c0383h6s|1b1:>5<4s4;h8n4=629>5f5f2;<870?l38847c=z{8lh=7>52z?2g1e=?:l01{t9j>86=4<{<3`0g<3j>16=n=6:805?87d<:03945rs0a0c2:936`5f2e2>9m70?iee8154z?2g6?=:0h01;6nln14i74=0dg3?4?l2wx>==::18187d<:0?9;52211g>=b>3ty:o9<50;1x94e3i3>i;63>c2:9=70<58i?>76:9:p5f502908w0?l4`8126=:9j936?8<;<3`72<0;o1vh4=0dfg?4?l2wx=kk=:18787d;1095o521b61>13234;min47d89>5cb12;2o7p}=02694?4|58i?>7::6:?146e=0m30q~?l4083>6}:9j>269l8;<3`72<>:?16=n:>:97:?xu6k:<1<7=t=0a7=?41;27:o>95271894e4>3=8j6s|1gce>5<5s4;h84483g9>5cce2;2o7p}>fd394?2|58i8;7<6b:?2g17=<;6nm?1>5j4}r0376<72;q6=n:>:575?847;k03h45rs0a74?6=;r7:o9654c5894e4>339:63>c529<0?5f2?2;<870?l378126=:9j9>6:=i;|q2bd1=838p1;6nlk1>5j4}r3ea5<72=q6=n=9:3;a?87d<90?98521ggb>=b>34;mh94=8e9~w764:3:1>v3>c529000<5;:8m76k9:p5f5a2908w0?l4687f2=:9j9>64<9;<3`7c;=4=0a01?41;27:o>:572d8yv7a1j0;6?u21b64>25a34;mi44=8e9~w4`cn3:18v3>c2796{t:99:6=4={<3`7c<3=?16>==6:9f:?xu6k:o1<7=t=0a72?2e?27:o>:5934894e4m32>56s|1b10>5<4s4;h8;4=629>5f532;<870?l32847c=z{8l2>7>52z?2g10=?:l01{t9onn6=4;{<3`71<51k16=n=j:576?87am103h4521gf1>7>c3ty9<>>50;0x94e4m3>>:63=02:95f222=h<70?l328:63=:9j9o65;6;|q2g64=839p1;6k:91>;=4=0a06?14n2wx=k6?:18187d<<07>c3ty:jij50;6x94e4;382n63>c2f9003<58ln;76k9:?2ba7=:1n0q~7}:9j9o69;9;<037218o94=0a06??5>27:o>m584;8yv7a>;0;6?u21b67>25a34;mi;4=8e9~w4`ck3:1?v3>c20967}:9j9h69;9;<0373j279=i958e;894e>>3>>96s|1ef4>5<5s4;oik4=629>5ab02>9m7p}>f7c94?4|58nnj79d783>0}:9mon69l8;<3g`2<>:?16=n66:3;a?846l?03h4521b;6>1323ty:hh750;1x94bbm38=?63>de59635<58nn579;6ll315?84=0a:7?4>j279=ih58e;894e>n3>>96s|1eg4>5<4s4;oj44=629>5ac>2;<870?ke6847c=z{8lh87>52z?2`c?=?:l01??kf;0;`>{t:8nn6=4:{<3gb=<3j>16=ik8:805?87d1;095o5220ff>=b>34;h5h4;549~w4bb=3:1?v3>dg:9635<58nn;7<93:?2``3=?:l0q~?ibd83>7}:9ml36:=i;<02``<50m1v??kd;291~;6lo=18o94=0ff1??5>27:o4?528`8977cl32o563>c8f90034?:2y>5a`02;<870?ke48126=:9mo86:=i;|q2bg>=838p1;59mn1>5j4}r02`f<72;521b;3>7?e348:hn47d89>5f?d2=?>7p}>dd394?5|58nm:7<93:?2``5=:?901{t9oh96=4={<3gb3<0;o16>h4=33gf?4?l2wx><4134;h4h4=9c9>64bf21n270?l9`8710=z{8noh7>53z?2`c2=:?901;6lmn1;>h4}r3ee1<72;q6=ih;:61e?846lh094i5rs33g=?6==r7:hk=54c5894bcl339:63>c9f966}:9ml86?8<;<3g`a<5>:16=ijm:61e?xu6n031<71d034;oho46279>5f>d2;3i70<>d98;`<=:9j3369;:;|q2`a?=839p1;6lmh1>;=4=0fg=?14n2wx=k6i:18187cn;07>c3ty9=i;50;7x94ba93>i;63>de;9=70<58i3n7<6b:?15a3=0m301{t9mn=6=4<{<3gb4<5>:16=ij6:340?87cl?05<4s4;oii4;b69>5ab1208=70?keb8;1<=z{8l>j7>52z?2``b=?:l01??k4;0;`>{t:8i26=4;{<3ggg<3j>16=n9=:3;a?846k003h4521b5f>1323ty:ho=50;0x94bdj38=?63>dc1936`5aee2>9m70<>c88155z?2`fg=;6k>;1>4l4=33`c127:o:j54478yv7ck<0;6>u21eab>70434;on>4=629>5ae22>9m7p}>f7694?4|58nhm79=:1n0q~<>d083>0}:9mn>69l8;<3gg0<>:?16=n9m:3;a?846l803h4521b:4>1323ty:hn=50;1x94bc=38=?63>db79635<58nh?79;6lj915?84=0a4e?4>j279=i>58e;894e?>3>>96s|1ea2>5<4s4;oh94=629>5ae42;<870?kc0847c=z{8lih7>52z?2`a2=?:l01??k0;0;`>{t:8im6=4:{<3g`6<3j>16=im>:805?87d?0095o5220ae>=b>34;h484;549~w4ben3:1?v3>de19635<58nh=7<93:?2`g`=?:l0q~?ib683>7}:9mn86:=i;<02gc<50m1v??le;291~;6lm818o94=0fab??5>27:o:6528`8977dm32o563>c9690035ab52;<870?kbg8126=:9mho6:=i;|q2bg7=838p1;59jo1>5j4}r02ga<72:5`4?87cjm02>;521b54>7?e348:oi47d89>5f>42=?>7p}>dc`94?5|58no=7<93:?2`gb=:?901{t9oki6=4={<3g`4<0;o16>382n63=1ba97::5:p5ad>2908w0?kd18126=:9mhi6?8<;<3gf<<0;o1vh4=33`g?4?l2wx><4134;h;84=9c9>64ee21n270?l808710=z{8ni;7>53z?2`f`=:?901;6lk=1;>h4}r3e==<72;q6=imi:61e?846kk094i5rs33`e?6==r7:hnk54c5894be?339:63>c66966}:9min6?8<;<3gf2<5>:16=il::61e?xu6n1o1<70;68u21eag>1d034;on846279>5f142;3i70<>c68;`<=:9j=m69;:;|q2`g4=839p1;6lk?1>;=4=0fa6?14n2wx=k9l:18187ckm07>c3ty:hn950;1x94bd13>i;63>dc09=70<58nh476:9:p5c3b2909w0?kc8847c=::8i=6?6k;|q2`=4=838p1;6l1914874}r3g4f<72;q6=ion:340?87c8j03>>96s|1e:3>5<4s4;om44;b69>5a6d208=70?k808;1<=z{8n;m7>53z?2`d?=:?901;6l9k1;>h4}r3e=6<72;q6=io6:61e?87c<=0?985rs0f4g?6=;r7:hl954c5894b7i339:63>d6f9<0?5ag02;<870?k0`8126=:9m:26:=i;|q2b=g=838p1;6l=;188;4}r3g3d<72:q6=io9:5`4?87c8002>;521e5a>=3>3ty:h=650;1x94bf>38=?63>d1;9635<58n;479>2909w0?ka7847c=:9m9m69;:;|q2`2>=839p1;6l9215?84=0f4=?>212wx=i>8:18087ci<09:>521e2;>70434;o<:483g9~w4`?03:1>v3>d`7936`<58n8h7::5:p5a112908w0?ka587f2=:9m:<64<9;<3g321>;=4=0f33?41;27:h=8572d8yv7a0>0;6?u21ec7>25a34;o?o4;549~w4b0<3:1?v3>d`190g1<58n;:77=6:?2`23=0<30q~?k0483>6}:9mk86?8<;<3g43<5>:16=i>::61e?xu6n1<1<7754478yv7c?;0;6>u21ec1>1d034;o<846279>5a1421?27p}>d1694?5|58nj>7<93:?2`53=:?901{t9o2>6=4={<3ge7<0;o16=i=8:576?xu6l>:1<7=t=0fb5?2e?27:h=:5934894b0932>56s|1e20>5<4s4;om<4=629>5a632;<870?k02847c=z{8l387>52z?2`d7=?:l01{t9m16=i><:805?87c>o03945rs0f36?6=;r7:hl>5271894b7;38=?63>d10936`4?:3y>5ag72>9m70?k328710=z{8n=o7>53z?2`<`=;6l?n14874}r3g44<72:q6=i7i:340?87c8;09:>521e22>25a3ty:j5<50;0x94b>n3=8j63>d2390035a?b2=h<70?k008:63=:9m;6l9;1>;=4=0aeb?14n2wx=k6>:18187c1l01323ty:h;850;1x94b>k3>i;63>cgd9=70<58n=;76:9:p5f`b2908w0?k9b8126=:9jlm6?8<;<3`b`<0;o1vh4=0f1f?22=2wx=i8;:18087c1k0?n:521bdf><4134;o:847589~w4eal3:1?v3>d8`9635<58imi7<93:?2gcb=?:l0q~?i7683>7}:9m3i6:=i;<3g6<<3=<1v27:h;=584;8yv7dnj0;6>u21e;b>70434;hji4=629>5f`d2>9m7p}>f6494?4|58n2m796}:9m3269l8;<3`bf<>:?16=i8>:97:?xu6koh1<7=t=0f:=?41;27:okm5271894eaj3=8j6s|1g56>5<5s4;o54483g9>5a422=?>7p}>d4g94?5|58n247:m7:?2gcd=1;<01{t9jlj6=4<{<3g==<5>:16=nhm:340?87dnh0>96s|1e7`>5<4s4;o5:4;b69>5f`f208=70?k5e8;1<=z{8im57>53z?2`<1=:?901;6ko31;>h4}r3e34<72;q6=i78:61e?87c:80?985rs0f6e?6=;r7:h4854c5894ea1339:63>d4`9<0?5a?12;<870?lf88126=:9jl36:=i;|q2b3`=838p1;6l8l188;4}r3g1=<72:q6=i7::5`4?87dn102>;521e7:>=3>3ty:ok950;1x94b>=38=?63>cg:9635<58im;79;6ko=15?84=0f63?>212wx=nh9:18087c1=09:>521bd4>70434;hj;483g9~w4`103:1>v3>d86936`<58n:n7::5:p5a332908w0?k9287f2=:9jl=64<9;<3g103;297~;6l091>;=4=0ae2?41;27:h<=572d8yv7a>:0;6?u21e;0>25a34;o=54;549~w4b>83:1?v3>dc390g1<58n:?77=6:?2`<7=0<30q~?k1383>6}:9mh:6?8<;<3g56<5>:16=i?=:61e?xu6nj81<7u21e`3>1d034;o=?46279>5a>a21?27p}>d0394?5|58ni<7<93:?2`44=:?9011;50b>{t9ohh6=4={<3gf5<0;o16=i;>:576?xu6l1i1<7=t=0fbb?2e?27:h56s|1e33>5<4s4;omk4=629>5a762;<870?k11847c=z{8li:7>52z?2`d`=?:l01{t9m2j6=4<{<3ge`<3j>16=i??:805?87c0k03945rs0f3b?6=;r7:hlk5271894b6838=?63>d1d936`5agb2>9m70?k4e8710=z{8n347>53z?2`db=;6l1314874}r3g4`<72:q6=iok:340?87c8o09:>521e2f>25a3ty:jlo50;0x94bfl3=8j63>d5`90035agd2=h<70?k0d8:63=:9m2<65;6;|q2`5b=839p1;6l9o1>;=4=0f3`?14n2wx=ko>:18187cij01323ty:h5:50;1x94bfj3>i;63>d1f9=70<58n3976:9:p5a6e2908w0?kac8126=:9m:o6?8<;<3g4g<0;o1vh4=0f73?22=2wx=i9j:18087ci10?n:521e2a><4134;o;k47589~w4b783:1?v3>d`:9635<58n;n7<93:?2`56=?:l0q~?i8b83>7}:9mk36:=i;<3g75<3=<1v27:h;7584;8yv7dn=0;6>u21e;g>70434;o<=4=629>5f`32>9m7p}>f6c94?4|58n2h790083>1}:9m3969l8;<3`b1<>:?16><<>:9f:?8749009==?4}r3e1f<72;q6=i7=:61e?87c9<0?985rs0ab5?6=:r7:ol<5271894ef93=8j6s|1bcf>5<5s4;hm?46279>5fgb21?27p}>c`094?4|58ij>797}:9jk:6?8<;<3`eg<0;o1v212wx=non:18187dik09:>521bcb>25a3ty:oo950;0x94efj339:63>cc59<0?5fgf2;<870?la8847c=z{8ii:7>52z?2gdg=1;<01{t9jk36=4={<3`e<<5>:16=no7:61e?xu6kk?1<727:oo;584;8yv7di>0;6?u21bc;>70434;hm:483g9~w4ee<3:1>v3>c`:9=70<58ii876:9:p5fg12909w0?la68126=:9jk=6:=i;|q2gg5=838p1;6kk914874}r3`e0<72;q6=no9:340?87di<056s|1bc7>5<5s4;hm84=629>5fg32>9m7p}>cc394?4|58ij977=6:?2gg7=0<30q~?la283>7}:9jk?6?8<;<3`e6<0;o1v15?84=0aa4?>212wx=no?:18187di:09:>521bc3>25a3ty:olh50;0x94ef;339:63>c`d9<0?5fg72;3i70<>e38;`<=:9jkh69;:;<3f55<3=<1v212wx=n;::18187d=?09:>521b76>25a3ty:o;850;0x94e2>339:63>c749<0?5f312>9m70j69;9;|q2g02=838p1;6k<>1;>h4}r3`20<72;q6=n;::805?87d><03945rs0a67?6=:r7:o8:5271894e2;3=8j6s|1b47>5<5s4;h9946279>5f0321?27p}>c4094?4|58i>?7<93:?2g04=?:l0q~?l6283>7}:9j?864<9;<3`26;=4=0a65?14n2wx=n8=:18187d=;02>;521b41>=3>3ty:o8h50;0x94e2938=?63>c4d936`5f36208=70?l608;1<=z{8i>i7>52z?2g0`=:?901{t9j:?16=n8i:97:?xu6kl0;6?u21b7f><4134;h:h47589~w4e2k3:1>v3>c4f9635<58i>o79;6kh4}r3`2f<72;q6=n;l:805?87d>j03945rs0a6e?6=:r7:o8l5271894e2i3=8j6s|1b4a>5<5s4;h9o46279>5f0e21?27p}>c4;94?4|58i>m7<93:?2g0?=?:l0q~?l6`83>7}:9j?j64<9;<3`2d;=4=0a6;521b4:>=3>3ty:o8950;0x94e2038=?63>c45936`5f3?208=70?l698;1<=z{8i><7>52z?2g01=:?901{t9j<<6=4={<3`12<>:?16=n88:97:?xu58=h1<7:t=0a64?4>j279<8l58e;894e183>>963>cea90035f37208=70?l618;1<=z{8i357>52z?2g=g=:?901{t9j3=6=4={<3`:?16=n79:97:?xu6k1k1<770434;h5>483g9~w4e>=3:1>v3>c9;9=70<58i2976:9:p5f?52909w0?l928126=:9j396:=i;|q2g<`=838p1;6k0l14874}r3`=4<72;q6=n7=:340?87d180m32>56s|1b;3>5<5s4;h5<4=629>5f?72>9m7p}>c8f94?4|58i2=77=6:?2g7}:9j3;6?8<;<3`212wx=n6j:18187d0o09:>521b:f>25a3ty:o4l50;0x94e?n339:63>c8`9<0?5f>b2;<870?l8e847c=z{8i2m7>52z?2g=c=1;<01{t9j2h6=4={<3`:16=n6l:61e?xu6k031<727:o47584;8yv7d0k0;6?u21b:`>70434;h4o483g9~w4e>03:1>v3>c9a9=70<58i2476:9:p5f>?2909w0?l8c8126=:9j236:=i;|q2g<1=838p1;6k0=14874}r02`1<72=q6=n67:3;a?846l=03h4521b;7>13234;oin4;549~w4e><3:1>v3>c9:9=70<58i2876:9:p5f162909w0?l738126=:9j=:6:=i;|q2g2c=838p1;6k>o14874}r3`37<72;q6=n9=:61e?846l:0?9;5rs0a4f?6=:r7:o:?5271894e0j3=8j6s|1b5g>5<5s4;h;<46279>5f1c21?27p}>c6c94?4|58i7}:9j=i64<9;<3`<2k1>;=4=0a4=?14n2wx=n69:18187d?h02>;521b:5>=3>3ty:o:650;0x94e0138=?63>c6:936`5f1>208=70?l848;1<=z{8i<;7>52z?2g2>=:?901{t9j2?6=4={<3`3=<>:?16=n6;:97:?xu6k><1<7<4134;h4>47589~w4e0=3:1>v3>c649635<58i<97952909w0?l778:63=:9j2965;6;|q2g22=838p1;6k>>1;>h4}r3`<4<72;q6=n9::805?87d0803945rs0a47?6=:r7:o::5271894e0;3=8j6s|1b:3>5<5s4;h;946279>5f>721?27p}>c6294?4|58i7}:9j=864<9;<3`3c:1>4l4=33`2?>c127:o:m5447894bd03>>96s|1b5`>5<5s4;h;=46279>5f1d21?27p}=1`c94?3|58o?<7::6:?15dg=0m301<=>0;02ed=::9n?69;8;<3054<59hk0q~<>b083>6}:9l>;69;8;<02f4{t9l>;6=4={<3f05279=4o58e;894`7n3>>963>323964?f3ty9=l:50;6x94c3:3>>;63=1`69a59>5c6>2=?>7p}>e5094?4|58o?>76:9:?2a6`=<7}:9l9m6:=i;<3f7`<3=?1v??7a;290~;6m=918884=33;e?>c127:ih?544789455l38:4l5rs33:0?6=<32o563>33d964?334;nho4;549~w4c3;3:1>v3>e519<0?<58o?=7::6:p6432290?w0?j458713=::8?>65j6;<3ff6<3=<16=><6:3361>{t:8296=4;{<3f01<3=>16><6=:9f:?874:k09=5<4=0gb`?22=2wx=h:;:18187b<=0394521d62>1323ty:i9?50;0x94c393=8j63>e2g90035`5b2>9m70<;268712=z{;;=>7>54z?2a6e=<<<01??92;:g=>;6:o;1><8=;<31bf<59?80q~<>6883>1}:9l9h69;8;<022<:576?8748:09=;74}r3f7f<72;q6=h=l:97:?87b<<0?9;5rs33af?6=j54448977ej32o563>2ga964de34;:o;4=1c`8yv46k;0;69u21d1g>130348:o?47d89>57`52;;h>63>2gf964e53ty:i>j50;0x94c4l32>563>e5790035`222>9m70<=3b8712=z{;;j57>55z?2a60=<<<01??n9;:g=>;6:li1>8:33b=>{t:8h;6=4<{<3f73<3=>16>4}r3f73<72;q6=h=9:97:?87b;<0?9;5rs33:=?6=654448977>132o563>f1f9003<5898=7<>989~w77f;3:18v3>e2:9001<5;;j?76k9:?2765=:8k870?i068710=z{8o847>52z?2a6>=0<301{t9l9>6=4={<3f70<0;o16=h=;:575?xu59131<7:t=0g0=?22>279=5758e;894ccn3>>963>33f964>>3ty9=4=50;6x94c413>>;63=1819929>5`b>2=?>7p}>e2;94?4|58o8576:9:?2a61=<<<0q~<>5583>1}:9l9j69;9;<0211:576?874:009=8:4}r02<4<72=q6=h=n:574?8460803h452120a>77?927:ill54478yv7b;h0;6?u21d1b>=3>34;n?:4;549~w4c4?3:1>v3>e25936`<58o887::5:p5`532909w0?j35847c=::=8869;8;|q1537=83>p1;59?;14i74=00e5?46>816=?h6:3355>{t:8<36=4;{<3f77<3=>16><87:9f:?87b?o0?98521220>77102wx=h==:18187b;;0394521d1a>1313ty9=oo50;6x94c4;3>>:63=1cc9b`9>54e12;;im6s|20a2>5<3s4;n?>4;569>64e621n270?=f3815f7<588mm7<>c09~w4c4;3:1>v3>e219<0?<58o8n7::5:p5`5e2909w0?j3c847c=::;9j69;8;|q15d>=83?p1;59h214i74=00fg?46i116>=mk:576?8758>09=l64}r02ec<72:q6=h13?3ty:i?m50;0x94c5k32>563>e3`90005`4b2=?=70<>998;`<=:9o:i69;:;<3074<59020q~<>a383>1}:9l8n69;8;<02e7=<:33b6>;6n8h188;4}r3f6`<72;q6=h>:6s|20:;>5<3s4;n>k4;579>64>?21n270?jde8710=:9:8o6??78:p64?5290?w0?j2g8712=::83965j6;<306c<590801{t9l8m6=4={<3f6c279=8=58e;894cfn3>>963>33;964343ty9=5>50;6x94c483>>;63=1929819>5`da2=?>7p}>e2294?4|58o8<76:9:?2a7b=<7}:9l8o6:=i;<3f6d<3=<1vh4=361e?22?2wx><8?:18787b:10?9;522043>=b>34;9j<4=1728944a>38::=5rs3353?6=e6f9003<589;?7<>669~w4c503:1>v3>e3:9<0?<58o8=7::6:p64d>290?w0?j288713=::8h265j6;<31b3<59k30154z?2a7?=<<=01??l0;:g=>;6:o81>7}:9l8265;6;<3f74<3=<1vh4=3003?22?2wx>=b>34;9in4=1`58976c13>>:6s|20cf>5<4s4;n>?4;569>64gb21n270?>c9815dc?4?:3y>5`4521?270?j208713=z{;;2;7>55z?2a72=<<<01c;6a3>;6mok15?84=33:3?>c127:?>?520;4?xu59h;1<7:t=0g10?22?279=l?58e;89454;38:m<521g3:>1323ty:i?:50;0x94c5<32>563>e339003<4?:3y>5`462>9m70?j218713=z{;;3;7>55z?2a73=<<<01;6mji15?84=33;3?>c127:??j520:4?xu590;1<7:t=0g11?22?279=4?58e;89455n38:5<521dga>1323ty:i?;50;0x94c5=32>563>e3190005`412=?=70?jc187f2=:9l3n64<9;<0217<6:3366>{t:8=m6=4;{<3f63<3=>16><9i:9f:?874:k09=:h4=0ga`?22=2wx=h<9:18187b:?0394521d00>1323ty:i?=50;0x94c5;3=8j63>e329003=4?:3y>5`472>9m70=ne`8712=z{;;>j7>54z?2a4c=<<<01??:f;:g=>;6:o;1><;i;<31b6<596783>0}:9l;n69;8;<3f<`<3j>16=h8?:805?846>?03h4521220>771>2wx=h?j:18187b9l0394521d04>1313ty9=o650;6x94c6n3>>:63=1c:9b99>57762;;i46s|20`e>5<3s4;n=k4;569>64da21n270?=f3815g`<588m87<>bg9~w4c6n3:1>v3>e0d9<0?<58o9;7::5:p5`402909w0?j26847c=::;9369;8;|q2ade=838p1;6mhn14874}r3fe5<72;q6=hm=:340?87bi90>;6s|1dcb>5<4s4;no<4;b69>5`g7208=70?jac8;1<=z{8oj57>53z?2af7=:?901;6mh31;>h4}r02b4<72;q6=hm>:61e?87d?m0?9:5rs0gaa?6=;r7:inl54c5894cf1339:63>ecd9<0?5`ee2;<870?ja88126=:9lk36:=i;|q15cd=838p1;6k1=18894}r3fff<72:q6=hmn:5`4?87bi102>;521d`g>=3>3ty:il950;1x94cdi38=?63>e`:9635<58oj;79;6mh=15?84=0gaf?>212wx=ho9:18087bk009:>521dc4>70434;nm;483g9~w77a13:1>v3>eb;936`<58i397::7:p5`d?2908w0?jc987f2=:9lk=64<9;<3ff<;=4=0gb2?41;27:il;572d8yv46n10;6?u21da;>25a34;h494;569~w4ce>3:1?v3>eb590g1<58oj977=6:?2ag1=0<30q~?ja583>6}:9li<6?8<;<3fe0<5>:16=ho;:61e?xu59o=1<7u21da5>1d034;nm946279>5`d221?27p}>e`194?5|58oh:7<93:?2ad2=:?901{t:8l=6=4={<3fg3<0;o16=n6=:575?xu6mk81<7=t=0g`1?2e?27:il=5934894ce;32>56s|1dc1>5<4s4;no84=629>5`g42;<870?ja3847c=z{;;m97>52z?2af3=?:l01{t9lh;6=4<{<3fg1<3j>16=ho=:805?87bj803945rs0gb5?6=;r7:in:5271894cf:38=?63>e`3936`5`e32>9m70?l818713=z{8oji7>53z?2af5=;6mhl14874}r3f=`<72:q6=hm<:340?87bi809:>521d;f>25a3ty9=k=50;0x94cd;3=8j63>c6d90005`e72>9m70?l7b8713=z{8oom7>52z?2ac6={t9lin6=4={<3fb5<5>:16=hmj:61e?xu5:9?1<7u21dge>1d034;noh46279>5`b>21?27p}>ee594?5|58onj7<93:?2afc=:?901{t:;:?6=4={<3fac<0;o16=n7::574?xu6mli1<7=t=0ge=?2e?27:ii95934894cbl32>56s|1df5>5<4s4;nj44=629>5`b02;<870?jd7847c=z{;8;i7>52z?2ac?=?:l01{t9loj6=4<{<3fb=<3j>16=hj9:805?87bmk03945rs0gg1?6=;r7:ik65271894cc>38=?63>ee7936`5``?2>9m70?l9d8712=z{8on47>53z?2ac1=;6ml314874}r3f`1<72:q6=hh8:340?87bl<09:>521df7>25a3ty9>=m50;0x94ca?3=8j63>c8f90015``12=h<70?jd58:63=:9lo<65;6;|q2aa5=839p1;6mm>1>;=4=0gg7?14n2wx>?>m:18187bn?01303ty:ih:50;1x94ca=3>i;63>ee19=70<58on976:9:p5`b52908w0?jf48126=:9ln86?8<;<3f`7<0;o1v?h4=0a:f?22>2wx=hk=:18087bn=0?n:521df1><4134;ni>47589~w4cc93:1?v3>eg69635<58oo>7<93:?2aa7=?:l0q~<=0883>7}:9ll?6:=i;<3`=d<3=?1v27:ih?584;8yv7bl90;6>u21dd0>70434;nh<4=629>5`b72>9m7p}=21:94?4|58om?796}:9ll969l8;<3f`5<>:?16=hji:97:?xu6mjl1<7=t=0ge6?41;27:ii>5271894cdn3=8j6s|2324>5<5s4;nj?483g9>5f??2=?=7p}>eea94?5|58om=7:m7:?2af`=1;<01{t9lih6=4<{<3fb4<5>:16=hmi:340?87bkj0?3>>:6s|2320>5<5s4;nih483g9>5f?32=?=7p}>e6c94?4|58o287:m7:?2a2d=0<30q~?j6783>7}:9l3?6?8<;<3f23<0;o1v?>84;296~;6m0>1;>h4=0a52?22?2wx=h97:18087b1:0?n:521d45><4134;n;447589~w4c1=3:1?v3>e819635<58o=:7<93:?2a33=?:l0q~7}:9l386:=i;<3`20<3=>1v27:i:9584;8yv7b>=0;6>u21d;1>70434;n:84=629>5`032>9m7p}=06094?4|58o2>796}:9l3:69l8;<3f21<>:?16=h9::97:?xu6m?91<7=t=0g:5?41;27:i;:5271894c1;3=8j6s|2152>5<5s4;n5<483g9>5f042=?<7p}>e6094?5|58o2<7:m7:?2a35=1;<01{t9l<96=4<{<3f=5<5>:16=h8<:340?87b>;0572d894e1:3>>;6s|1d53>5<4s4;n4k4;b69>5`05208=70?j708;1<=z{8o=j7>53z?2a=`=:?901;6m?l1;>h4}r032c<72;q6=h6i:61e?87d>80?9:5rs0g;g?6=;r7:i4j54c5894c1n339:63>e9f9<0?5`?c2;<870?j6g8126=:9l;6k?l18894}r3fl02>;521d:a>=3>3ty:i;j50;1x94c>k38=?63>e7g9635<58o=h79=839p1;6m?n15?84=0g;=?>212wx=h8l:18087b1k09:>521d4g>70434;n:n483g9~w760j3:1>v3>e8`936`<58i=h7::7:p5`>12908w0?j9`87f2=:9l;=4=0g5g?41;27:i;l572d8yv47?h0;6?u21d;b>25a34;h:n4;569~w4c?<3:1?v3>e8;90g1<58o=n77=6:?2a=3=0<30q~?j6`83>6}:9l326?8<;<3f2g<5>:16=h8n:61e?xu58>31<7u21d;;>1d034;n:l46279>5`>421?27p}>e7;94?5|58o247<93:?2a3g=:?901{t:9=36=4={<3f==<0;o16=n8n:575?xu6m1:1<7=t=0g:3?2e?27:i;75934894c?932>56s|1d4;>5<4s4;n5:4=629>5`0>2;<870?j69847c=z{;:<;7>52z?2a<1=?:l01{t9l=n6=4<{<3f=3<3j>16=h87:805?87b?o03945rs0g53?6=;r7:i485271894c1038=?63>e75936`5`?12>9m70?l698713=z{8o53z?2a<3=;6m>n14874}r3f25<72:q6=h7::340?87b>>09:>521d43>25a3ty9<:;50;0x94c>=3=8j63>c7590005`>b2>9m70?l618713=z{8l;47>52z?2b4c={t9llh6=4={<3e5`<5>:16=hhl:61e?xu5:821<7u21g3g>1d034;njn46279>5c6021?27p}>f1794?5|58l:h7<93:?2ace=:?901{t:;;<6=4={<3e5a<0;o16=nok:574?xu6n8k1<7=t=0d13?2e?27:j=;5934894`6j32>56s|1g27>5<4s4;m>:4=629>5c622;<870?i05847c=z{;89=7>52z?2b71=?:l01{t9o;36=4<{<3e63<3j>16=k>;:805?87a9003945rs0d37?6=;r7:j?85271894`7<38=?63>f11936`=4?:3y>5c412>9m70?lb78712=z{8l::7>53z?2b73=;6n8=14874}r3e47<72:q6=k<::340?87a8:09:>521g21>25a3ty9>cc790015c432=h<70?i038:63=:9o;>65;6;|q2b57=839p1;6n981>;=4=0d35?14n2wx>??j:18187a:=01303ty:j<<50;1x94`5;3>i;63>f139=70<58l:?76:9:p5c672908w0?i228126=:9o::6?8<;<3e45<0;o1v?<>d;296~;6n;91;>h4=0aa7?22>2wx=k??:18087a:;0?n:521g23><4134;m=<47589~w4can3:1?v3>f309635<58l;<7<93:?2ac`=?:l0q~<=1b83>7}:9o896:=i;<3`f7<3=?1v27:j=h584;8yv7bnl0;6>u21g02>70434;njk4=629>5``b2>9m7p}=20`94?4|58l9=796}:9o8;69l8;<3fb`<>:?16=k>k:97:?xu6mon1<7=t=0d14?41;27:ikk5271894cal3=8j6s|233b>5<5s4;m>=483g9>5fd72=?=7p}>f1c94?5|58l:j7:m7:?2acb=1;<01{t9llj6=4<{<3e5c<5>:16=hhk:340?87bnh0>:6s|2335>5<5s4;m=n483g9>5fgd2=?=7p}=1dg94?c|5;;n97<8a:?15`2=:>k01??jd;04e>;59li1>:o4=33ff?40i279=ho526c8977b138k01??j3;04e>;59l81>:o4=3006?42n279=hh584;8yv47<80;6>ot=33f1?42n279=h:524d8977bl38>j63=1da960`<5;;nn7<:f:?15`g=:;59l21>8h4=33f3?42n279=h8524d8977b;38>j63=1d0960`<5;:=>7<:f:?1437=:90;06b>;588h4=326a?42n279<8j524d89761i38>j63=07;960`<5;:=47<:f:?1431=:96;06b>;58??1>8h4=3250?42n279<;=524d89762k38>j63=04`960`<5;;o;7<:f:?15a0=:;59mo1>8h4=33g`?42n279=im524d8977cj38>j63=1ec960`<5;;o57<:f:?15a>=:;59m>1>8h4=33`=?42n279=n6524d8977c938>j63=1e2960`<5;;hj7<:f:?15fc=:;59ji1>8h4=33`f?42n279=no524d8977d?38>j63=1b4960`<5;:?=76k9:?143e=<<<01?>;a;661>;59ll188;4=33f5?22=279=i=54478yv47>k0;6i7<8a:?140b=:>k01?>9a;04e>;58?31>:o4=32538k01?>:c;04e>;58

    :o4=325g?>212wx>09;l5220f5>71f348:hk4=7`9>64bb2;=j70<>de813d=::8nh6?9n;<02`g<5?h16>71f348:h84=7`9>64b32;=j70<=2g811c=::8o:65;6;|q15a4=83op1??l9;04e>;59j21>:o4=33g5?40i279=i>526c8977dn38k01??lb;04e>;59jk1>:o4=33`3?40i279=n8526c89745k38>j63=1e19<0?65e>2;2o70?lf28;1<=z{;:hm7>516y>65e>2;=j70=m::35b?847n>099k5221d5>73a348;j84=5g9>65`32;?m70=hi:37e?847nl099k5221dg>73a348;jn4=5g9>65`e2;?m70=h?:37e?847mo099k5221f1>=3>3ty9<9<50;5x976d138>j63=0b:960`<5;:h;7<:f:?14f0=:l5;06b>;58=814i74=32g6?22=2wx>=m;:186847k003h45221f0>132348;h54;579>5f`42=?>7052z?14f>=:1n01{t:9i86=49{<03g=??:32`7>;6ko918894=32g0?22=27:ok<544789456938;o>5rs0d;`?6=:r7956s|21a1>5<1s48;o:47d89>65b62=?>70?lf28713=:9jl:69;9;<03`0<3=?16=nh=:575?xu6n>h1<7=b>34;hik4;549>5f`72=?=70=jm:805?xu58j:1<76t=32`1?4?l279>:63>cg29003<589:<75f`62=?>70?lf38712=::9n269;:;|q2bag=83;?w0?ieg813d=:9oon6?9n;<3eaa<5?h16=kkl:35b?87amk09;l521ggb>71f34;mi44=7`9>5cc?2;=j70?ie6813d=:9oo=6?9n;<3e`<<5=o16=kj7:37e?87al>099k521gf5>73a34;mh84=5g9>5cb32;?m70?id2811c=:9on96?;i;<3e`4<5=o16=?ki:0dge>{t:99n6=4=2z?2b``=:;6nln1>8h4=0dfg?42n27:jhl524d894`bi38>j63>fd;960`<58ln47<:f:?2b`1=:;58;n1>8h4=321g?42n279j63>f5;960`<58l?47<:f:?2b11=:;6n=?1>8h4=0d70?42n27:j9=524d89764l38>j63=02a960`<5;:8n7<:f:?146g=:<9;06b>;58:21>8h4=3203?42n279<>8524d89764m32o563>31d9655b34;9ik4=02g89457938;?h521223>764m2wx=nln:181847:m094i521b`g>=3>3ty9ccf9003<589;j756662;:9m63>3129654f3ty:oo750;0x9765k383h63>cca9<0?44?:00x9765k32o563>cca9000<58iih7::6:?275`=:98270?=eg8147?<589;=757`c2;:9563>2g69654>34;9jl4=03;8944a?38;>45213d3>7651279<=<5934894`a<339:63>3129654>34;mjo4;549>5c`d2=?>70?=e68147?<588nm77>c348;>o47d89>5fdd2=?>70?lbe8712=:9::m6?>=8:?26``=:98370?<008147><588mh757`32;:9463>2gc9654?34;9j:4=03:8944a838;>5521332>765027:><<5210;?87an<0?9852131;>765027:?=>5210;?87ank0?9;521gd`>13034;9i:4=03:8944bi38;>55rs0f71?6=:r79=>o529f894b3>32>56s|203:>5<4s48:?l47d89>567>2;;:563>d91900364502;2o70?k408;1<=z{;;::7>53z?1561=0m301<=>9;0253=:9m=o69;:;|q2`6c=838p1??<6;0;`>;6l:l14874}r0250<72:q6><=9:9f:?8749109=<;4=0f4f?22=2wx=i:<:181846;0094i521e67>=3>3ty9=<650;1x9774132o563>30;9647?34;o4<4;549~w4b4k3:1>v3=12796=b<58n8h76:9:p64732908w0<>348;`<=:9:;36??>4:?2`2?=<7}::89?6?6k;<3g7g3;297~;59:>14i74=0127584;8yv469;0;6>u22010>=b>34;8=:4=100894b0=3>>96s|1e15>5<5s48:??4=8e9>5a5021?27p}=10394?5|5;;8>76k9:?2741=:8;:70?k728710=z{8n887>52z?1567=:1n01{t:8;;6=4<{<0274?8:3324>;6l>;188;4}r3g77<72;q6><=?:3:g?87c;:03945rs333b?6=;r79=>>58e;89456>38:1323ty:h?j50;0x9775m383h63>d3g9<0?644b21n270?<178155b<58n=n7::5:p5a4f2909w0<>2b81;6;8?1><>m;<3g22<3=<1v5j4=0f05?>212wx><>j:180846:o03h4521235>777m27:h;j54478yv7c:10;6?u2200a>7>c34;o>447589~w777i3:1?v3=13`90`9>5a022=?>7p}>d3494?4|5;;9m7<7d:?2`71=0<30q~<>0883>6}::88j65j6;<3050<599301{t9m8?6=4={<026<<50m16=i<::97:?xu59921<7=t=331=?>c127:?<:5202;?87c>80?985rs0f16?6=:r79=?6529f894b5;32>56s|2024>5<4s48:>547d89>56732;;;;63>d4d9003=4?:3y>64402;2o70?k208;1<=z{;;;:7>53z?1571=0m301<=>4;0243=:9m?o69;:;|q2`4c=838p1??=6;0;`>;6l8l14874}r0240<72:q6><<9:9f:?8749:09==;4=0f6f?22=2wx=i?6:181846:=094i521e3a>=3>3ty9===50;1x9775<32o563>3019646434;o9:4;549~w4b6?3:1>v3=13196=b<58n:476:9:p64652908w0<>228;`<=:9:;96???2:?2`03=<7}::88>6?6k;<3g5au22063>=b>34;8=?4=132894b>93>>96s|1e73>5<5s48:?k4=8e9>5a3621?27p}=10d94?5|5;;8j76k9:?2744=:8;m70?k8g8710=z{8n?i7>52z?156c=:1n01{t:8;n6=4<{<027`?=:332a>;6l1n188;4}r3g0f<72;q6><=k:3:g?87cj58e;89456;38:=i521e:a>1323ty:h9o50;0x9774k383h63>d5`9<0?645d21n270?<158154e<58n357::5:p5a2?2909w0<>3c81265;6;|q154d=839p1??;6;8?1>5j4=0f04?>212wx>776?27:h:h54478yv7c9?0;6?u22001>7>c34;o=l47589~w777k3:1?v3=13090b9>5a0>2=?>7p}>d5094?4|5;;847<7d:?2`11=0<30q~<>1`83>6}::89365j6;<3053<598k01{t9m;?6=4={<0264<50m16=i?::97:?xu6nh:1<7584;8yv47j>0;6;u221`5>71f348;n84=7`9>65d32;=j70na;29f~;58k<14i>4=32a1?>c82796f;661>;58k218884=32b3?22>27973a348;n84=5g9>65d32;?m70m1;29=~;58k<14i74=013e?47j816=>>m:32a5>;6;9=1>=l>;<3ee5<3=?16=?hj:32a5>;69j?1>=l>;<03e3<>:?16>=o<:805?xu6n0?1<7=b>34;8<54=0c289457138;n=52122b>76e827:?=l521`3?87ai90?985213da>76e827:j4h593489457l38;n=52122`>76e82wx=k6m:181847j=094i521g:`>=3>3ty9f9a9001<589;47566>2;:jj63>31c965ga34;876fn27:>k6521ce?87a1?0?98521g;4>13234;813?34;8<54=0`g89457138;mh52122b>76fm27:?=l521cf?8760109;6n0<18884=0d:3?22>27:?=j521cf?8748j09=l=:3:g?847j;03h45213gf>76fl27:j5m544489457?38;mi5221;:>13234;:454=0`f8947?i38;mi522126>13234;:o84=0`f894`>>3>>;63>f859001<5;:j577=6:?14<3=<66;662>{t9on;6=46{<3e`<13134;m994;579>5c352=?=70?i518713=:9o>n69;9;<3e0f<3=?1v03h4521g7;>1323ty:jnj50;0x94`c>32o563>f4490035cb221n270?i558710=z{8lhn7>52z?2ba2=0m301{t9oij6=4={<3e`6c127:j9k54478yv7ak10;6?u21gf2>=b>34;m8n4;549~w76??3:1>v3=09496=b<589;;7;3:1>v3=094960`<5;:2o7912908w05j4=0d6e?>212wx=k:=:18187a7>c3ty:j8950;0x94`31383h63>f4:9<0?5c2>21n27097>52z?2b1>=:1n01{t9o>;6=4={<3e0=iki:3:g?xu6n<91<7=b>348oih4=8e9~w4`293:1>v3>f5496=b<58l>>76:9:p5c5b2909w0?i478;`<=::moo6?6k;|q2b1`=838p1;6n<:14874}r3e7a<72;q6=k:::9f:?84cmj094i5rs0d7`?6=:r7:j9:529f894`3m32>56s|1g1`>5<5s4;m8947d89>6ace2;2o7p}>f5`94?4|58l??7<7d:?2b1e=0<30q~?i3c83>7}:9o>865j6;<0gad<50m1v5j4=0g6b?14n2wx=h;n:181847;j094i521d7`>25a3ty:i8950;0x9764j383h63>e4;936`655f2;2o70?j55847c=z{8o>=7>52z?146?=:1n01{t9l>o6=4={<037=<50m16=h:j:61e?xu6m==1<77>c34;n8:483g9~w77783:1>v3=0g596=b<58l9476:9:p65c22908w06}::9l=65j6;<03b0<50m16=?k=:32f0>{t:9o86=4<{<03b0=h;:3:g?875m;09=h;:9f:?847n:094i5213g1>76b:2wx>=k>:180847n:03h45221d1>7>c34;9i?4=0d38yv47m90;6>u221d1>=b>348;j<4=8e9>57c42;:n<6s|21fe>5<4s48;j<47d89>65`a2;2o70?=e2814a`65`a21n270je:p65cc2908w06}::9lo65j6;<03bf<50m16=?k?:32fg>{t:9oi6=4<{<03bf=hm:3:g?875m909=hm:9f:?847nh094i5213g3>76bi2wx>=k6:180847nh03h45221d:>7>c34;9i<4=0d;8yv47m10;6>u221d:>=b>348;j54=8e9>57c62;:n46s|21g4>5<4s48;j547d89>65`72;2o70?i298710=z{;:n:7>53z?14c6=0m301?>jf;0;`>;6:l;1>=k9;|q14ac=83?p1?>jf;:g=>;6no918894=0d127:=n6521ff?875;109=:7:35b?847r79<9658e;8976ei3>>963=0`59003<5;:j47::5:?146c;662>{t:8<8l:37e?846>k099k52204b>73a348::44=5g9>640?2;?m70<>66811c=::8<=6?;i;<305f<59?o0q~<>6e83>1}::8;6;:31><8k;|q153e=83>p1??9c;:g=>;6;9>1><8l;<3f<2<3=<16=>=8:335g>{t:8>;:335f>;6m1?188;4=0101?46>k1v??9a;290~;59?k14i74=0130?46>h16>?:::574?87b0:0?985rs336e?6=1r79=87524d89772038>j63=145960`<5;;>:7<:f:?1503=:;59<91>8h4=3366?42n27:?c1279>8o544489455i38:94521d`a>1323ty9=8650;6x9772032o563=2419000<5899m7<>599>5`d>2=?>7p}=14594?2|5;;>;76k9:?161?=<<<01<==a;0212=:9lh<69;:;|q1500=83>p1??:6;:g=>;6;;k1><;9;<0105<3=?16=hl::576?xu59?>1<78t=3357?42n279=;<524d89771938>j63=172960`<5;;>j7<:f:?274d=:852z?1414=:>k01?>;5;50b>{t:9>=6=4={<0304<5?h16=>>>:3272>{t:;8i6=4<{<0177<50m16>?==:9f:?875;109>?l4}r016d<72:q6>?1313ty9>?750;1x9745k383h63=23a97}::9hi6?;i;<304c<58ki0q~7}::9kj6?9n;<304`<58hh0q~7}::93o6?6k;<03=cn0;296~;580n1>8h4=32b=?14n2wx>=7k:18g8471m03h45213gf>76>l279<4h5444894`?k3>>963>31:965?c34;8<44=08f8976?13>>:63>19:965?c34;:4l4=08f894`>>3>>463>f85900><589;h7566d2;:2h6s|20a7>5<1s48:o>4=5g9>64e52;?m70<>c0811c=::8i;6?;i;<02fc<5=o16=><8:33`0>{t:8i86=47{<02g6;6:o>1>56z?15ge=:;59kk1>8h4=33a=?42n279=o6524d89455>38:ni5rs33ag?6=>r79=om58e;8974383>>463>203964dd34;mjo4;569>5c`d2=?=70?=e`815ge64d22;?m70<>b5811c=::8h86?;i;<02f7<5=o16>:37e?846j9099k5220ce>73a348:mh4=5g9>56422;;i:6s|20`6>5<5s48:n847d89>565f2;;i96s|20`7>5<5s48:n947d89>565?2;;i86s|20`0>5<4s48:n>47d89>575?2;;i?63>324964d43ty9=o<50;1x977e:32o563>fg19003<5;8?97::8:p655a2909w0ac811c=::8kj6?;i;<02e<<5=o16>099k521207>77fk2wx>131348;h54;549>65b22=?>70<=46871==z{;;j97>55z?15d2=:;59h81>8h4=33b5?42n27:??=520c6?xu590l1<77t=33:a?42n279=4j524d8977>k38>j63=18`960`<5;;2m7<:f:?15;590=1>8h4=0116?461o1v??6e;290~;590o14i74=306`?22>27:?><520;f?87a9>0?985rs33:`?6=>:63>320964?c34;m=84;549~w77>k3:18v3=18a954z?15:69;9;<3e54<3=<1v??65;291~;590>1>8h4=33:7?42n279=4<524d8977>938>j63>333964?23ty9=:j50;7x9770k38>j63=16`960`<5;;e;023a=z{;;56z?152e=0m301<;580=15?84}r023g<72=q6><9m:9f:?8471o0?9:5221`;>1323489?44;579~w770i3:19v3=16c97`9>65?>2=?<70<=378713=::93>69;9;|q152?=83;6:lh1><96;<03=<<3=116>?=::575?876k<09=:74=32:1?22?2wx><6i:18:8460l099k5220:g>73a348:4n4=5g9>64>e2;?m70<>8`811c=::8226?;i;<02<=<5=o16><68:37e?874:909=5h4}r02<`<72=q6><6j:9f:?845=h0?9:52120f>77?m27:ih754478yv460m0;69u220:g>=b>34899>4;569>564b2;;3h63>ed5900364>d21n270<=488712=:9:8n6??7c:?2a`3=<8c83>1}::82i65j6;<306`<591h01?<;7;663>;6ml9188;4}r0232<720q6><99:37e?846?<099k522057>73a348:;>4=5g9>64152;?m70<>70811c=::8=;6?;i;<022c<5=o16=>?k:3343>{t:8==6=4;{<0233?;k:574?8748?09=:84=0g4f?22=2wx><9::187846?<03h4522376>13034;8<;4=167894c013>>96s|2057>5<3s48:;947d89>672b2=?<70?<0781522<58o<;7::5:p6414290?w0<>728;`<=:9::=6??83:?1617=<<201{t:8=96=4;{<0237>::3346>;5::i18884=0g47?22=2wx><9>:187846?803h4521226>7709279>>o5444894c093>>96s|2053>5<3s48:;=47d89>56622;;<<63=2259000<58o3h7::5:p640a290?w0<>6g8;`<=:9::>6??9f:?166>=<<<01{t:8286=4:{<02<7<5=o16><6>:37e?84609099k52205e>73a34;8=k4=1918yv4ck=0;6?u22ef4>704348oo9483g9~w7bb93:1>v3=de5936`<5;o;:7<7d:p6aec2908w0;=4=3f`0?41;279hn=572d8yv4cm90;6?u22ef5>25a348n<84=8e9~w7baj3:1?v3=db6966}::mio69;9;<0f43ih=:3:g?xu5lji1<7=t=3fg1?2e?279hn=5934897bdk32>56s|2ea1>5<4s48oh84=629>6ae42;<87052z?1`a3=?:l01?k?4;0;`>{t:mlj6=4<{<0gg6<51k16>iml:576?84b8=03h45rs3ff=?6=:r79hnm5444897ba932o56s|2eaa>5<4s48oh94;b69>6ae5208=7053z?1`a2=:?901?jl2;057>;5lj;1;>h4}r0g``<72;q6>ij;:61e?84b8:094i5rs3fe=?6=;r79hn<528`897bdj3>>963=e1196aee2=?=7053z?1`a5=;5ljk14874}r0gg5<72:q6>ij<:340?84ck809:>522ea3>25a3ty9hij50;0x97bc;3=8j63=e1096=b6ae62;3i70;5lll14i74}r0gg<<72:q6>ij=:5`4?84ck902>;522ea:>=3>3ty9hoh50;1x97bc:38=?63=db29635<5;nij79;5lj3188;4=3g35?>c12wx>ik9:18184ck00?9;522egf>=b>3ty9hn650;1x97bc93>i;63=dcd9=70<5;nh476:9:p6adb2908w0h4=3g34?4?l2wx>ih9:18084cjo095o522ea;>132348n<=47d89~w7bb=3:1>v3=db:9000<5;nnh76k9:p6ae02908w0;=4=3faa?41;279hoj572d8yv4clh0;6?u22ef3>25a348ojk4=8e9~w7ba=3:1?v3=dcg967}::mi<69;9;<0gaf279hn8584;8yv4cjj0;6>u22eae>704348oni4=629>6add2>9m7p}=de;94?4|5;nhj796}::mho6?7m;<0gg3<3=<16>ihj:9f:?xu5ll91<7279hhl58e;8yv4ck<0;6>u22eaf>1d0348onn46279>6ae221?27p}=de:94?4|5;nhi796}::mhh6?7m;<0gg0<3=<16>ihk:9f:?xu5ll81<7279hho58e;8yv4cn;0;6??t=3fe6?>c127n984;599>a1>=<<201h=m:57;?8c5m3>>463j20871==:m8>18864=d24>13?34nmm7::8:?gaa<3=116hh>544:89ab42=?370jl6;66<>;cj00?9552d`a900><5m3n69;7;`2>=<<201i8m:57;?8b2l3>>463k50871==:l=>18864=e14>13?34n9m7::8:?g5f<3=116h<>544:89a642=?370mi6;66<>;dm00?9552cea900><5jim69;7;5j4=2`61?4?l278n8l529f896d3l383h63>7<7d:?0f=7=:1n01>l8b;0;`>;4j>o1>5j4=2`41?4?l278n:6529f896d08383h63l;9;0;`>;4j?91>5j4=2`6a?4?l27:98:53c7e?872=?08n8h4=0761?5e=o1v>l:9;296~;4j<21>8h4=2`6e?>212wx?o=6:18085e=103h45210;:>6d41278o73a349i9:47589~w6d403:19v3503d2:h8463>54f97g5?349h=o4;549~w6d2k3:1>v3h76:9:p7g5f2909w0=m5c8;`<=:;j;m69;:;|q0f1c=838p1>l;d;06b>;4j<;14874}r1a73<72:q6?o:k:9f:?85d9h0?9852147b>6d4>2wx?o:m:18185e=3>3ty8n>;50;6x96d3i32o563>54;97g5234;>8i439i?85rs2`67?6=:r78n8<524d896d2<32>56s|3c14>5<3s49i9?47d89>502c2:h8;63>54`97g5034;>8l473a349i4>47589~w6d3=3:1?v3=;k>>7p}57z?0f67=0m301<;9b;1a74=:9l<1:?211e=;k9:70?:6`80f67<5:i?:7::6:?211?=;k9:7p}7}:;k=i65j6;<1`6=<3=<1v>l=6;291~;4k;h14i>4=075f?5e:?16=8:l:2`12>;6=<91?o<9;<362<<4j;<0q~=l2c83>6}:;j8i65j6;<3707<4k;h01<::e;1`6g=z{:h52z?0f2c=:l70;:6=>{t;k>?6=4;{<1a3`;69m:1?o:;;<3600<4j=>0q~=me483>7}:;ko?6?6k;<362d<4jl?0q~=me683>7}:;ko?6?;i;<362g<4jl=0q~=me583>0}:;ko?65j6;<3611<4jl>01<;;c;1aa1=:9<lj4:?211?=;ko?7p}3}:;k=>65j6;<3621<4j=;01<;;7;1a04=:9<<>6>l;1:?25a6=;k>:70?:6780f177g1?2;?m70=m7`8;1<=z{:h?>7>52z?0f2>=0m301>m=6;661>{t;k=:6=4={<1a35<5=o16?o9>:97:?xu4j=:1<7:t=2`44?>c127:9;=53c63?872<108n9>4=0766?5e<91v>l9c;296~;4j?h1>8h4=2`5g?>212wx?o=i:18085e>k03h4521441>6d4n278o?:54478yv5e>00;6?u23c4;>73a349i:l47589~w6d4m3:18v3502b2:h8i63>55`97g5b3ty95k=50;6x96d??383h63=9g19=<<<0q~=m8483>7}:;k2<65j6;<3613<4j1?0q~<8e`83>1}:;k2=6?6k;<04ad;h::575?85e>j0?9;5rs2`;0?6=:r78n5858e;89432=39i495rs2a3`?6=9:q6?n>;:37e?85d8:099k523b21>73a349h<<4=5g9>7f672;?m70=mfg811c=:;kln6?;i;<1aba<5=o16?n>l:37e?85d8k099k523b2b>73a349h<44=5g9>7f6?2;?m70=l06811c=:;j:=6?;i;<1`40<5=o16?ohl:37e?85enk099k52144`>6e7l2wx?oh>:18785d8=03h452146f>6da927:9;>53cd2?85d>k0?9:5rs2`e4?6=;r78o==58e;896e593>>:637f6521n270=l658712=:;j;m69;9;|q0f`c=83>p1>m?1;:g=>;69031?okj;<1`25<3=>16?n?k:575?xu4jln1<78t=2a34?>c127:=4753cgg?872=j08nhj4=076`?5emm16?n;l:574?85d9k0?9;5rs2`fg?6==r78nkh58e;89433l39iin52147a>6dbk278o86544589433i39iin5rs2`ff?6=>:63>54c97gce349h994;569~w6dbi3:19v357=me`9>502c2:hnm63>55497gcf349h9=4;569~w6dai3:18v3=;klj70=lcd8712=z{:hm57>55z?0g5d=0m301<;97;1ab<=:98n;6>li9:?0gfg=<<=01<;;5;1ab<=z{:hm47>53z?0g5g=0m301>ml6;663>;4k;218884}r1ab2<72:q6?n>6:9f:?85djm0?9:523b05>1313ty8nk850;5x96e7032o563>57697g`134;>8:46da>278oo:544589431>39ij;5rs2`e1?6==r78o=958e;89431;39ij852146;>6da=27:98<53cd6?85dik0?9:5rs2`e0?6=130349h>94;579~w6da;3:19v3502b2:hm?63m=2;662>{t;ko26=4;{<1abg1303ty8n9950;0x96d3>38>j637g2121n270=l188710=:;kki69;:;|q0f30=838p1>l;9;06b>;4j?=14874}r1a7a<72:q6?o:6:9f:?85eik0?9:523b01>1323ty8nl?50;0x96dc1383h63>57297gg63ty8nh<50;3085el0099k523cf;>73a349ih:4=5g9>7gb12;?m70=md4811c=:;kn?6?;i;<1a`6<5=o16?oj=:37e?85em8099k523cg3>73a349ihk4=5g9>7gbb2;?m70=mde811c=:;knh6?;i;<1a`g<5=o16?ojn:37e?85el8099k523cf3>73a34;>:44u23cf:>=b>349i:84;549>576c2:hh:6s|3c;e>5<5s49ih54=8e9>503a2:h2j6s|3ca6>5<4s49ih547d89>7g052=?>70?=0d80ff37gb02;2o70?:5d80f7gb021n270=m5e8710=:;jlk6;0;`>;6=lk6;:g=>;4j6d>12wx?om=:18085el<03h4523c74>132349h9n4;599~w6d>>3:1>v3n7=m979~w6dd93:1?v387::5:?0g0>=<<20q~=m9583>7}:;kn86?6k;<361d<4j0>0q~=mc183>6}:;kn865j6;<1a14<3=<16?n;;:57;?xu4j081<7c1278n9m5447896e283>>46s|3c``>5<5s49ii<4=8e9>500?2:hio6s|3cae>5<4s49ii<47d89>7g>42=?>70=lcd871==z{:him7>52z?0f`6=:1n01<;97;1afd=z{:hhi7>53z?0f`6=0m301>l70;661>;4kjk18864}r1af3<72;q6?oji:3:g?85ej00>9637gbb2;2o70?:6480fg27gbb21n270=m7`8710=:;jho69;7;|q0fg4=838p1>lkd;0;`>;6=?>1?ol=;|q0ffd=839p1>lkd;:g=>;4j>=188;4=2aa0?2202wx?ol?:18185elj094i521440>6de82wx?omn:18085elj03h4523c52>132349hmo4;599~w6dfm3:1>v37=mad9~w6dd13:1?v37}:;knj6?6k;<3624<4jhi0q~=mc983>6}:;knj65j6;<1a2d<3=<16?n6i:57;?xu4jhk1<70;6>u23cf2>=b>349i::4;549>7f132=?37p}=<53z?0f<6=:l7a;06b>;6=<=1?o6m;|q0f=?=838p1>l60;:g=>;4k?h18864}r1a<=<72;q6?o6n:9f:?85d>?0?955rs2`50?6=:r78n;=524d896d1=32>56s|3c1`>5<4s49i:>47d89>502b2:h8o63>57297g5d3ty8n;?50;0x96d2m38>j637g3b21n270=l208710=z{83j=7>53z?2=71=<<<01<7l2;:g=>;61o>18884}r3:ed<72;q6=4<8:574?87>kk03h45rs0;13?6=:r7:5?9584;894?5>3>>:6s|18ce>5<4s4;2>54;579>553z?2=7>=<<=01<7m9;:g=>;61l818884}r3:6=<72;q6=4<7:97:?87>:?0?985rs0;75<5s4;2?l4=8e9>5<7c2>9m7p}>92`94?1|5838m7<:f:?2=6?=:;61:=1>8h4=0;02?42n27:5>;524d894?4m3=8j6s|1817>5<2s4;2?l47d89>5<4d2=?<70?63e8712=:9;i26<7<4:?2=4e=<7}:90926?6k;<3:5<<0;o1v<7<3;292~;61:314i74=0;23?22=27:5?m5447894?4l3>>:63>2b;95<5434;2=n4;579~w4?6;3:1>v3>92:96=b<58399797}:909<6?6k;<3:6527:>n851812?87>:=0?9;5rs0;25?6=:r7:5>8529f894?6n32>56s|1813>5<2s4;2?;47d89>5<7a2=?<70?621871==:9;i=6<7<0:?2=72=<<=0q~?61183>7}:909>6?6k;<3:5`27:5>;63>2b495<4a34;2>94;599~w4?4n3:1>v3>955960`<583?<76:9:p5<072908w0?6838177|5833>7<:f:?2==7=:;61>o1>8h4=0;4`?42n27:5:m524d894?0j38>j63>96c960`<583<57<:f:?2=2>=:;61><1>8h4=0;40?42n27:5:=524d894?0:38>j63>963960`<583<<7<:f:?2=3`=:;61?n1>8h4=0;5g?42n27:5;l524d894??038>j63>995960`<5833:7<:f:?2==3=:;61191>8h4=0;;4?42n27:5:;524d894?1i38>j63>97;960`<588h47?6889~w4?193:1>v3>990999396=b<583=90?n7p}>94f94?5|583o7>53z?2=2c=:1n01<78d;:g=>;6;??1=4;l;|q2=0d=839p1<78d;0;`>;61>i14i74=0150?7>=k1v<7:a;297~;61>i1>5j4=0;4f?>c127:?;=5187b?xu61<31<7=t=0;4f?4?l27:5:o58e;89451:3;2945rs0;637395<3?3ty:58950;1x94?01383h63>96:93:1?v3>96:96=b<583<;76k9:?270`=90?=7p}>94794?5|583<;7<7d:?2=20=0m301<=:e;3:10=z{83>?7>53z?2=20=:1n01<784;:g=>;6;>;1=4;<;|q2=04=839p1<784;0;`>;61>914i74=0144?7>=;1v<7:1;297~;61>91>5j4=0;46?>c127:?;h51872?xu61<:1<7=t=0;46?4?l27:5:?58e;89451m3;29=5rs0;7b?6=;r7:5:?529f894?0832o563>37f95<2a3ty:59k50;1x94?08383h63>97d997d96=b<583=i76k9:?273d=90>o7p}>95a94?5|583=i7<7d:?2=3b=0m301<=97;3:0f=z{83?n7>53z?2=3b=:1n01<79c;:g=>;6;;61?h14i74=016g?7>5j4=0;;c1279986544:8yv7>>>0;6>u218:;>7>c34;24:47d89>60312=?37p}>97494?5|5833;7<7d:?2==0=0m301<7?e;663>{t90<>6=4<{<3:<3<50m16=46::9f:?87>8m0?9:5rs0;50?6=;r7:55;529f894??<32o563>91a90014?:2y>5<>32;2o70?6828;`<=:90:i69;8;|q2=34=839p1<773;0;`>;611:14i74=0;3e?22?2wx=4;i:18087>09094i521856>=b>34;2<44;569~w4?2<3:1?v3>96796=b<583=m76k9:?2=5>=<<=0q~?64883>6}:908:574?xu>;=0;6?u2184:>7>c3438879k?099k5218a6>73a34;2o94=5g9>5jm099k5218``>73a34;2no4=5g9>5j<099k5218`7>73a34;2h<4=5g9>5k0099k5218`f>73a34;2n>4=5g9>5;6i9>18884}r3:ba<72;q6=4m7:3:g?87>nl03945rs0;b3?6=:r7:5n658e;894g7:3>>:6s|18da>5<5s4;2o:4=8e9>5<`d21?27p}>9`494?4|583h;76k9:?2=cc=<<<0q~?6f883>7}:90i=6?6k;<3:bd2wx=4h8:18187>k<094i5218d;>=3>3ty:5l:50;0x94?d=32o563>9gc9000552z?2=f2=0m301<7i8;662>{t90l86=4={<3:g6<50m16=4h;:97:?xu61h81<7c127:5k854448yv7>n80;6?u218a1>7>c34;2j?47589~w4?bn3:1>v3>9b396=b<583m<76:9:p5;61lo14874}r3:=c<72;q6=4m?:9f:?87>n90?9;5rs0;ff?6=:r7:5oh529f894?bk32>56s|18;f>5<5s4;2nk47d89>59d594?4|583ih7<7d:?2=`>=0<30q~?69b83>7}:90ho65j6;<3:af<3=?1v<7j5;296~;61ki1>5j4=0;f2?>212wx=47m:18187>jj03h45218g;>1313ty:5h=50;0x94?ej383h63>9d69<0?552z?2=gg=:1n01<7j2;:6=>{t90326=4={<3:fd584;8yv7>lm0;6?u218`;>7>c34;2hh47589~w4?>?3:1>v3>9c:9;61mo18884}r3:`<<72;q6=4l9:3:g?87>lh03945rs0;:1?6=:r7:5o858e;894?ck3>>:6s|18f4>5<5s4;2n84=8e9>598694?4|583i976k9:?2=ag=<<<0q~?6d583>7}:90h?6?6k;<3:`314i74=0;g2wx=l?>:18187>l8094i521`31>=3>3ty:5o?50;0x94?c932o563>9e49000552z?2=a6=0m3012;662>{t9h:o6=4={<3:gc<50m16=l>j:97:?xu6i9h1<7il0;6?u218af>=b>34;jv3>9bf96=b<58k;m76:9:p5;6i9214874}r3:ef<72;q6=4ml:9f:?87f8h0?9;5rs0c31?6=:r7:5n7529f894g7>32>56s|18ca>5<5s4;2o447d89>5d6?2=?=7p}>9gd94?4|583ii7<7d:?2e56=0<30q~?6a983>7}:90hn65j6;<3b43<3=?1v<7j9;296~;61k91>5j4=0;fe?>212wx=47k:18187>j:03h4521`23>1313ty:5i<50;0x94?e:383h63>9e19<0?5517y>5<>e2;2o70?68c8;`<=:90;n69;:;<3:06<3=>16=5h>:574?87>9o0?98521803>13234;28=4;579>57e22833n63>9e7900><588h;7?68c9>5<212=?<70?7fe8712=:90:<69;9;<31g`<611h01<7>9;;12>;61;?15?84=0;1g?22>27:5>k5934894?>9339:63>90f9=70<5839h7::5:p5<>b2909w0?68c811c=:903:6:=i;|q2=14=838p1<7;1;0;`>;61=914874}r3:04<72;q6=4:>:9f:?875k10:59?4}r3a01<72:q6=lmn:575?87e><03h4521c;4>1313ty:n9j50;0x94gdi3>>;63>b7g95def21?270?nc88713=z{8h>>7>53z?2efd=<<<01;6jk918884}r3a7g<72:q6=lmm:574?87e=j03h4521c:6>1313ty:mnl50;0x94gdj32>563>ab;90035de>2>9m70?ne`8152z?2eab=:1n01{t9hnn6=48{<3b`a<5=o16=ljl:37e?87flk099k521`fb>73a34;jh44=5g9>5db?2;?m70?ne0847c=z{8ko;7>55z?2eab=0m301;6il:18894=00g16=lli:576?xu6ik=1<7=b>34;jnl4;549>5dea2=?>70?ne18713=:9;n367}:9hni6?6k;<3bg=<0;o1v=b>34;jo>4;579>57b228ko863>ab590005db>2;2o70?nc38;1<=z{8ko?7>55z?2ea?=0m301;6ij918864=00g1?7fl:16=lm8:574?xu6ik91<7=b>34;jo<4;579>5de52=?=70?nc28712=:9;n>67}:9hoj6?;i;<3ba627n8<4;579>a62=<<<01h<8:575?8c6i3>>:63j0e8713=:m9:18884=ed0>13134nn:7::6:?g`<<3=?16hnm544489ada2=?=70jm2;662>;ci<0?9;52d859000<5m2i69;9;27o;<4;579>`32=<<<01i;9:575?8b3i3>>:63k3e8713=:l::18884=e00>13134n:97::6:?g4<<3=?16okm544489fca2=?=70mj2;662>;dl<0?9;52cb:9000<5jhj69;9;|q2f55=839p1;6j;>14i74=0162?7e8:1v6?;i;<3a61<5=o16=o<=:37e?87e:8099k521c03>73a34;i=k4=5g9>5g7b2;?m70?m1e811c=:9k;h6?;i;<3a5g<5=o16=o?n:37e?87e90099k521c34>73a34;i=;4=5g9>5g722;?m70?m15811c=:9k;86?;i;<3a57<5=o16=o?>:37e?87e99099k521c2e>73a34;i5g4e2;?m70?m2`811c=:9k826?;i;<3a6=<5=o16=o<8:37e?87e:?099k521c00>73a34;i=54=5g9>5g6c2;?m70?m0b811c=:9;n<66}:9k896?6k;<3a64;;:0`34>{t9hlm6=4<{<3a64<50m16=o4gam2wx=lhk:18087e9o094i521c3f>=b>34;89<4>agf8yv7fnj0;6>u21c3f>7>c34;i=i47d89>563728kmo6s|1`da>5<4s4;i=i4=8e9>5g7d21n270?<4g82ecd5g7d2;2o70?m1c8;`<=:9:>n62908w0?m1c816}:9k;j6?6k;<3a5<:l:0ce<>{t9hl=6=4<{<3a5<<50m16=o?8:9f:?874=k0:mk84}r3bb0<72:q6=o?8:3:g?87e9?03h452127b>4ga=2wx=lh;:18087e9?094i521c36>=b>34;8944>ag68yv7fn:0;6>u21c36>7>c34;i=947d89>563?28km?6s|1`d1>5<4s4;i=94=8e9>5g7421n270<;dg8712=z{8km=7>53z?2f45=:1n012;:g=>;51303ty:mhh50;1x94d69383h63>b029o=7::7:p5dcb2908w0?m11811v5j4=0`3a?>c12798n;54458yv7e8k0;6>u21c2f>7>c34;i>o47d89>5dd62=?<7p}>b1c94?5|58h9n7<7d:?2f7g=0m301{t9k:26=4<{<3a6d<50m16=o<6:9f:?87fio0?9:5rs0`3a`g90015g4?2;2o70?m268;`<=:9hko69;8;|q2f50=839p1;6j;<14i74=0cbg?22?2wx=o>::18087e:?094i521c00>=b>34;jmo4;569~w4d7:3:1?v3>b3196=b<58h:476k9:?2edg=<<=0q~?nf683>6}:9k;36?6k;<3a4a>;6s|107g>5<5s4;i54342>9m7p}>b`494?4|58h=i7<7d:?2fd1=0<30q~?ne683>74|58h=i7<:f:?2f3b=:;6j?k1>8h4=0`5=?42n27:n;6524d894d1?38>j63>b74960`<58h=97<:f:?2f32=:;6j?81>8h4=0`54?42n27:n8h524d894d2m38>j63>b4f960`<58h>o7<:f:?2f0d=:;6j<31>8h4=0`6j63>b61960`<58h<>7<:f:?2f27=:;6j?l1>8h4=0`5g?42n27:n;?524d894d2>38>j63>b47960`<58kn87<:f:?26a0=9ho<7p}>b`694?4|58h=h7<7d:?2fd3=0<30q~?m4b83>7}:9k5j4=0`b5?>212wx=o:n:18187e>k03h4521cc6>1313ty:n4k50;0x94d1i383h63>b8d9<0?5g0f21n270?ma08713=z{8h2o7>52z?2f3?=:1n01{t9k>36=4={<3a2<0;6?u21c4;>=b>34;i5i4;579~w4d>03:1>v3>b7596=b<58h2576:9:p5g212909w0?m668;`<=:9k3i69;9;|q2f<0=838p1;6j0=14874}r3a00<72;q6=o89:9f:?87e100?9;5rs0`:0?6=:r7:n;;529f894d>=32>56s|1c;1>5<5s4;i:94=8e9>5g?421?27p}>b5194?4|58h=876k9:?2f<3=<<<0q~?m9183>7}:9k<86?6k;<3a=42wx=o6j:18187e>;094i521c:e>=3>3ty:n9?50;0x94d1:32o563>b8390005g072;2o70?m8c8;1<=z{8h8j7>52z?2f36=0m301{t9k236=4={<3a1c<50m16=o66:97:?xu6j:o1<7c127:n5l54448yv7e0?0;6?u21c7f>7>c34;i4:47589~w4d4l3:1>v3>b4g932909w0?m5e8165;6;|q2f6e=838p1;6j1=18884}r3a<7<72;q6=o;l:3:g?87e0:03945rs0`;4?6=:r7:n8l529f894d?932>56s|1c1b>5<5s4;i9o47d89>5g>42=?=7p}>b6g94?4|58h>m7<7d:?2f2`=0<30q~?m3883>7}:9k?j65j6;<3a<4<3=?1v5j4=0`4`?>212wx=o=7:18187e=003h4521c5e>1313ty:n:o50;0x94d20383h63>b6`9<0?5g3?21n270?m7e8713=z{8h<;7>52z?2f01=:1n01{t9k9=6=4={<3a121<7=b>34;i;44;579~w4de:3:1>v3>b6196=b<58hi?76:9:p5g342909w0?m728;`<=:9kh>69;9;|q2fg6=838p1;6jk;14874}r3ae`<72;q6=o9>:3:g?87eio03945rs0`65?6=:r7:n:?58e;894de93>>:6s|1cc`>5<5s4;i;=4=8e9>5ggc21?27p}>b4294?4|58h<<76k9:?2fd`=<<<0q~?ma`83>7}:9k2wx=oo7:18187e>j094i521cc:>=3>3ty:n9k50;0x94d1k32o563>b``90005g062;2o70?ma28;1<=z{8h?n7>52z?2f37=0m301{t9k2h6=4={<3a13<50m16=o6k:97:?xu6j=:1<7c127:nl=54448yv7e?<0;6?u21c76>7>c34;i;;47589~w4d4=3:1>v3>b479b3g96=b<58h9i76k9:?2ef7=<;6i0918894=0c`6?22=27:mn=5447894gb;3>>:63>2e695g4b34;i;54;599>57b128h9i63>ad;9001<58k2i7::7:?2ed>=<<<01<:?16=lmi:575?87fm802>;521c17><4134;jo=46279>5db72=?>7p}>b2394?4|58h9i7<:f:?2f62=?:l0q~?ne483>7}:9ho?6?6k;<3ba314i74=00g3?7fm=1vl:=:1818g4k38<963>22c9e1452z?b7f<5?816=9><:`6b?xuf<80;6>u2a2`9623<5h>86:=i;<317d3929e1253z?b7g<3jj16m9658e289d2>21n;7p}=6483>6}:i=9188:4=346><5?3W8=96s|a5;94?4|5h>36?6k;c12wx=l;k:18687f=?094i521`44>=b>34;j>o46279>5d5f2=h<70?n6g8710=z{8k513y>5d312;=j70?n50813d=:9h?<6?9n;<3b17<5?h16=l;;:35b?87f=<09;l521`70>71f34;j:?4=7`9>5d042;=j70?n65813d=:9h<>6?9n;<3b23<5?h16=l88:35b?87f>109;l521`4:>71f34;j:l4=7`9>5d0e2;=j70?n7c8;`<=z{8k>:7>52z?2e00=0m301{t9h<:6=4;{<3b14<50m16=l8m:9f:?87f;l0?n:521`4g>1323ty:m8?50;0x94g2932o563>a7f90005d302;2o70?n658;`<=:9h8>64<9;<3b72<3j>16=l9>:576?xu6i<=1<7c127:m:?54448yv7f=k0;68u21`71>7>c34;j:847d89>5d40208=70?n3987f2=:9h>?69;9;|q2e04=838p1;6i=>18894}r3b1=<72=q6=l;;:3:g?87f>;03h4521`65>13134;j?94;549~w4g2<3:1>v3>a469290>w0?n5481:?16=l=9:5`4?87f<<0?9;5rs0c61?6=:r7:m8;58e;894g3=3>>;6s|1`7e>5<2s4;j9>4=8e9>5d0>21n270?n2g8:63=:9h9h69l8;<3b07<3=?1v;094i521`16>25a3ty:m9650;0x94g1;383h63>a24936`5d032;2o70?n36847c=z{8k?m7>52z?2e33=:1n01{t9h>i6=4={<3b23<50m16=l=6:61e?xu6ic127:m?75934894g413>i;6s|1`6`>5<5s4;j::4=8e9>5d5f2>9m7p}>a5f94?4|58k=47<7d:?2e6d=?:l0q~?n5d83>6}:9h<365j6;<3b6a<>:?16=l=m:5`4?xu6i=o1<7m572d8yv7f7>c34;j?i483g9~w4g183:1?v3>a7c97}:9h:18187f<90?9;521`62>25a3ty:m:;50;0x94g38339:63>a6:95d272>9m70?n15811c=:9;ih6a5494?4|58k??7::5:?2e10=0<30q~?n4483>7}:9h>869;9;<3b0027:m:958e;8yv7f<=0;6?u21`62>13234;j8947589~w4g3:3:1>v3>a539000<58k?>76:9:p5d542908w0?n238:63=:9h9>69l8;<3b71h4=0c17?41;27:m>852718yv7f::0;6>u21`00>25a34;j>84=629>5d502;<87p}>a3794?5|58k9979{t9h8<6=4<{<3b62<0;o16=l<6:340?87f;009:>5rs0c1=?6=;r7:m?7572d894g5j38=?63>a2c9635o4?:2y>5d4e2>9m70?n2e8126=:9h9i6?8<;|q2e7b=839p1;6i;l1>;=4=0c0g?41;2wx=l70434;j?i4=629~w4g493:1>v3>a23936`<58k8i7<93:p5d73290?w0?n158101<52z?2e42=:>k01<7>52z?2e=7=:1n01{t9h2?6=4={<3b<4<5=o16=?mj:0c;0>{t9h=m6=4={<3b3`<5?h16=?mk:0c4b>{t9h=n6=49{<3b3`4g0m27:>nm51`5f?875kk0:m:k4}r3;a=<721q6=l9m:37e?87f?h0?98521`:0>13?34;9ol4>8d:8944dk3;3i55213aa>4>b027:>nj519g;?87>:l0>;63>9549000<58k3?7::6:?26fc=9h;27p}>a0g94?4|58k:h7<8a:?26fe=9h;n7p}>a0f94??|58k:h76k9:?2=15=<<<01<7;0;661>;61m?188;4=0;72?22027:>nk51`3g?87>:l02>;52181g>13234;9o44>a0f8yv7f?00;69u21`5;>73a34;j;:4=5g9>5d112;?m70?n7`8;1<=z{8k55z?2e20=0m301;6i=?188;4=0c70?22=27:m9<54478yv7d890;68u21cd:>7>c34;h5geb208=70?mde87f2=:9j;969;:;|q2g4c=83;9w0?mf8813d=:9kl?6?9n;<3abd<5?h16=oh::35b?87en>09;l521cd;>71f34;ij;4=7`9>5f622;=j70?l07813d=:9j:<6?9n;<3`4=<5?h16=n>6:35b?87d8h09;l521b2a>71f34;h5f6c2;=j70?l0d813d=:9j;n65j6;|q2fc?=838p1;6k8818884}r3`41<72=q6=oh;:3:g?87d8l03h4521cg2>1d034;h==4;549~w4da<3:1>v3>bg69w0?mf`81:?16=ojn:5`4?87d9=0?985rs0`ee?6=:r7:nko58e;894e6<3>>:6s|1cdf>5<2s4;ij84=8e9>5f6?21n270?mc`8:63=:9kni69l8;<3aa2<3=?1v094i521b26>=b>34;ii44;579>5gb02=?>7p}>bg594?4|58hm;76k9:?2f`?=<<=0q~?mfb83>0}:9kl36?6k;<3`431313ty:nk650;0x94da032o563>bd:90015g`12;2o70?l0b8;`<=:9kn964<9;<3a`c<3j>16=ok::575?xu6jo<1<7c127:nh;54458yv7emh0;6?u21b26>7>c34;ih5483g9~w4dbj3:1>v3>c1496=b<58ho579;6jmh1;>h4}r3aa`<72;q6=n>6:3:g?87elj0bea90g15f6f2;2o70?mde847c=z{8hm<7>52z?2g5d=:1n01{t9j::6=4<{<3`4g5<5s4;h5gc72>9m7p}>c1194?5|58i;h76k9:?2fa2=1;<01{t9kl86=4={<3`4`<50m16=ok>:61e?xu6jl<1<713134;ii9483g9~w4e603:1>v3>bd19=70<58i:n76k9:p5f72290?w0?me2847c=:9kh<6?;i;<31`g<6k8?01<52z?2f`0=<{t9ko36=4={<3aa3<3=?16=ok7:97:?xu6k8=1<7=t=0`f2??5>27:nh:5934894e6i32o56s|1cg4>5<5s4;ii94;549>5gc021?27p}>bd794?4|58hn87::6:?2f`3=0<30q~?md783>6}:9ki>64<9;<3a`=<3j>16=oj8:97:?xu6jj?1<7=t=0``1?14n27:nn85271894dc138=?6s|1ca5>5<4s4;io;483g9>5ge?2;<870?md`8126=z{8hh47>53z?2ff>=?:l01;6jmh1>;=4}r3agd<72:q6=omn:61e?87ekj09:>521cf`>7043ty:nnm50;1x94ddk3=8j63>bbg9635<58hoh7<93:p5geb2908w0?mcd847c=:9kn;6?8<;<3a``<5>:1vh4=0`g6?41;27:nih52718yv7el;0;6>u21cf1>25a34;ih94=629>5gc72;<87p}>be694?4|58ho8791}:9kh<6?6k;<3af2;6:mk1=ol8;|q2fgg=838p1;6:m31=oln;|q2g73=838p1;6k;<14874}r3`62<72;q6=n<;:37e?875lm0:o?94}r3`61<72;;p1;b=?0?9552e5;900><5l9h69;7;?4;599>a43=<<201h>7:57;?8baj3>>463ked871==:ll;18864=ef7>13?34nh;7::8:?gfd<3=116hlj544:89a?a2=?370j63;66<>;c0?0?9552d6;900><5m`13=<<201i=7:57;?8b5j3>>463k1e871==:l8;18864=e27>13?34im;7::8:?`ad<3=116oij544:89fb72=?370ml2;66<>{t9j896=4={<3`64<5?h16=?jl:0a16>{t9j8:6=49{<3`644e5927:>il51b02?875lh0:o??4}r3b13?34;9h44>a9c8944cj3;j4l5213fb>4g?i27:>im51`:b?87fl80>;63>ad;9000<58i9:7::6:?26ab=9khh7p}>bb394?4|58hh<7<8a:?26ad=9ki:7p}>bb294??|58hh<76k9:?2e`0=<<<01;6j>2188;4=0cf=?22027:>ij51ca3?87fl802>;521`g3>13234;9h54>bb28yv7d9j0;69u21b3a>73a34;h=l4=5g9>5f7>2;?m70?l1e8;1<=z{8i::7>55z?2g4?=0m301;6jl2188;4=0`f3?22=27:nh;54478yv4em=0;6?u22cf5>7>c348ii847589~w7dc;3:1:v3=be496gc72=?=7p}=bed94?4|5;ho;7<7d:?1f`5=?:l0q~3}::kn<65j6;<30`3<5jm>01<=k7;0a`1=:9:nn6?lk4:?1f`6=<52z?1fa>=:1n01?lke;50b>{t:kn>6=4<{<0a`=ojj:805?874ll09ni;4}r0a`4<72;q6>oj?:35b?874l<09ni?4}r0a`5<728>p1?lk0;:g=>;5jjl18884=01ab?4el916=>m::3`g4>;6;mn1>oj?;<30gf<5jm:01<=ld;0a`5=:9:in6?lk0:?27f`=:kn;70?56b52;ho<63>3e196gb734;8h94=be28945dj38ih=5212ab>7dc827:?n752cf3?874k109ni>4=01`3?4el916=>m9:3`g4>{t:klj6=4={<0ab<<50m16=>jn:3`ee>{t:kli6=4={<0ab<<5=o16=>jm:3`ef>{t:kl26=4<{<0ab<ll=:3:g?874j;09nk74}r0`65<720q6>n>j:3:g?84d:903h4522b06>13134;8ni4=c328945ci38h>=5212fa>7e5827:?i752b03?84d:k0?985212f;>7e582wx>n>9:18:84d8l099k522b2g>73a348h6f6e2;?m70n>::37e?874lj09o=84}r0`41<72:q6>n>j:9f:?84d8m094i522cd6>7>c3ty9o==50;1x97e7l32o563=c1a96=b<5;hm87<7d:p6f652908w0u22b2b>=b>348h<44=8e9>6g`62;2o7p}=bgd94?5|5;i;576k9:?1g5>=:1n01?li0;0;`>{t:kln6=4<{<0`4=n>::3:g?84emo094i5rs3`e`?6=:r79o=;58e;897dbm383h6s|2cd5>5<>s48iih4=5g9>6gca2;?m70oh<:37e?84en=099k522cd6>73a34;8h44=bg48yv4em?0;6?u22cgf>=b>348ji<4=8e9~w7db?3:1>v3=bdd97<7d:p6gc?2909w0;5il>1>5j4}r0aad<72;q6>oh=:9f:?84fm<094i5rs3`ff?6=:r79nk=58e;897gb>383h6s|2cg`>5<5s48ij947d89>6dc02;2o7p}=bdf94?4|5;hm976k9:?1e`>=:1n0q~3}::j8;6?9n;<0`5=<5?h16>n?6:35b?84d9h09;l522b3a>71f34;8hi4=c0g8yv4d:80;6?u22b03>73a348h>?47589~w7e6<3:19v3=c0:96=b<5;i:476k9:?1g47=<<<01?m>2;663>;5k8918894}r0`5f<72n?7:37e?84d90099k522b3b>73a348h=o4=5g9>6f7c21?27p}=b2194?4|5;i:57<7d:?1g47=0<30q~0}::j;265j6;<0`54<3=<16>n?=:576?84d9:0?9;522b01>1313ty9n>:50;0x97e6i383h63=c009<0?6f7f21n2701v?l<5;296~;5k8h1>5j4=3a27?>212wx>n?8:18084d9k03h4522b30>132348h>?4;549~w7e5<3:1>v3=c3196=b<5;i9976:9:p6f44290ow069;7;<30fa<5k;901<=ka;0`66=:9:hn6?m=3:?27ge=:j8870?6f4d208=7052z?1g70=:1n01?m=8;:6=>{t:j8=6=4k{<0`63n<::576?874jm09o?84=01ge?4d:?16=>lj:3a12>;6;ki1>n<9;<30`0<5k;<01?m=8;661>;6;mh1>n<9;<30`f<5k;<01<=k9;0`63=::ko;69;8;<30`=<5k;<0q~7}::k;=4=3`0`?14n2wx>o7j:18184e>k07d>m2wx>o:i:18084e>h0?n:522c1g><41348i9=47589~w7d4k3:1?v3=b7c9635<5;h8h7<93:?1f6e=?:l0q~7}::k6}::k<269l8;<0a7f<>:?16>o:j:97:?xu5j:h1<7=t=3`5=?41;279n>m5271897d4j3=8j6s|2c;`>5<5s48i:4483g9>56e>2;h2o6s|2c6a>5<4s48i:54;b69>6g5e208=7053z?1f3>=:?901?l;5j:k1;>h4}r0a=g<72;q6>o87:61e?874k109n4l4}r0a0<<72:q6>o88:5`4?84e;h02>;522c6b>=3>3ty9n>750;1x97d1?38=?63=b2c9635<5;h8579;=4=3`0=?41;279n98572d8yv4e100;6?u22c45>25a34;8o;4=b8;8yv4e>:0;6>u22c57>1d0348i8;46279>6g0321?27p}=b5794?5|5;h<87<93:?1f10=:?901?l;5;50b>{t:kk<6=4={<0a31<0;o16=>j;:3`b3>{t:k<:6=4<{<0a36<3j>16>o:::805?84e>;03945rs3`70?6=;r79n:=5271897d3=38=?63=b56936`6g142>9m70?6g152=h<70;5j=>1>;=4=3`77?14n2wx>oo::18184e?;07df=2wx>o;k:18084e?80?n:522c60><41348i9h47589~w7d3:3:1?v3=b639635<5;h??7<93:?1f14=?:l0q~7}::k=:6:=i;<30`4<5jh>0q~6}::k=;69l8;<0a07<>:?16>o;l:97:?xu5j=;1<7=t=3`44?41;279n9<5271897d393=8j6s|2cc0>5<5s48i;=483g9>56b72;hj?6s|2c7:>5<4s48i:k4;b69>6g26208=7053z?1f3`=:?901?l;1;057>;5j=:1;>h4}r0ae7<72;q6>o8i:61e?874ko09nl<4}r0a12<72:q6>o8j:5`4?84e<902>;522c7;>=3>3ty9n>h50;1x97d1m38=?63=b529635<5;h8j79;=4=3`0b?41;279n>k572d8yv4ei90;6?u22c4g>25a34;8oi4=b`28yv4e=:0;6>u22c4`>1d0348i?h46279>6g3321?27p}=b2594?5|5;h=o7<93:?1f6c=:?901?l<7;50b>{t:k3m6=4={<0a2f<0;o16=>ml:3`:b>{t:k=36=4;{<0a20<3j>16>o=8:805?84e0103h45212a1>7d002wx>o77:18184e><07d>02wx>oln:18184e0o094i5212aa>7dei2wx>omj:1825~;5j1l1>8h4=3`;a?42n279n5j524d897d?k38>j63=b9`960`<5;h3m7<:f:?1f<1=:;5j0?1>8h4=3`:0?42n279n4=524d897d>:38>j63=b83960`<5;h2<7<:f:?1f=?=:;5jjl14874}r0a3`<72:q6>o6i:9f:?874k:09n:k4=3`66?22=2wx>ol7:18184e0l094i5212ab>7de02wx>o9k:18084e0l03h45212a0>7d0l279n8>54478yv4ej?0;6?u22c:g>7>c34;8o44=bc48yv4e?j0;6>u22c:g>=b>34;8o>4=b6a897d3m3>>96s|2c`7>5<5s48i4n4=8e9>56e?2;hi86s|2c5a>5<4s48i4n47d89>56e42;h6g>e2;2o70?6g>e21n270?2908w0=<7}::k3<6?6k;<30`1<5jji0q~6}::k3<65j6;<30g1<5j1=01?l94;661>{t:kij6=4={<0a=3<50m16=>j<:3``e>{t:k2=6=4<{<0a=3m;:3`;2>;5j?8188;4}r0ag=<72;q6>o7::3:g?874l;09nn64}r0a<0<72:q6>o7::9f:?874k809n5;4=3`54?22=2wx>om9:18184e1=094i5212f2>7dd>2wx>o6;:18084e1=03h45212a2>7d?<279n8k54478yv4ek=0;6?u22c;0>7>c34;8h=4=bb68yv4e0:0;6>u22c;0>=b>34;8o<4=b91897d2k3>>96s|2ca1>5<5s48i5?4=8e9>56ea2;hh>6s|2c:1>5<4s48i5?47d89>56e62;h3>63=b4c90036g?62;2o70?6g?621n270?47::5:p6gdb2909w072908w07}::k226?6k;<30gf<5jki0q~6}::k2265j6;<30g7<5j>l01?l:4;661>{t:kkn6=4={<0a<=<50m16=>m::3`ba>{t:k==6=4={<0a30<5?h16=>li:3`42>{t:k=>6=4m{<0a30n<::574?874jm09n:;4=01aa?4e?<16=>ll:3`41>;6;mn1>o9:;<30`f<5j>?01<=ke;0a30=::j8i69;9;<0aa5<3=116=>j7:3`41>{t:k:;6=4<{<0bbc<50m16=>lm:3`34>;6;k<1>o>?;|q1ec`=838p1?oif;:g=>;5lk>18884}r0bb2<72;q6>o?l:3:g?84fm808j=5rs3`20?6=:r79n>;6s|2`d;>5<5s48i=i4=8e9>6dc52:l;7p}=b0794?4|5;h:h76k9:?1`g3=<<<0q~7}::k;n6?6k;<0ba6<4n91v?l>6;296~;5j8o14i74=3fa2?22>2wx>lhn:18184e9o094i522`g7>6`73ty9n<950;0x97d6n32o563=54390006g472;2o7052z?1f76=0m301?;:2;662>{t:hlh6=4={<0a64<50m16>lk9:2d3?xu5j831<7c127998=54448yv4fnm0;6?u22c01>7>c348ji:4v3=b30987::6:p6d`b2909w0h?;|q1f4d=838p1?l=3;:g=>;5=lk>:85f?84fm;02;h522`g0><1b348ji9467d9>6dc220=n70?l16=>l::3ce2>{t:k8?6=4>1z?1e`7=9:l01?oj2;30b>;5il91=>h4=3cf0?74n279mh;512d897gb03;8j63=ad4956`<5;kn;7?=:1n01?l=8;:g=>;5imo18884=3cgb?22?279mh>54458945e>38i>95212`b>7d5<27:?o952c07?874j109n?:4}r0a60<72lq6>lk>:062?84fm;0:8<522`g0>426348ji94>409>6dc228>:70;<0ba2<6<816>o<6:9f:?84fll0?98522`fe>132348ji=4;579>6g602=?=705dz?1e`7=9=k01?oj2;37e>;5il91=9o4=3cf0?73i279mh;515c897gb03;?m63=ad4951g<5;kn;7?;a:?1f7g=0m301?okf;662>;5il:18864=01a7?4e:?16=>l6:3`12>{t:k8<6=4l{<0ba4<6lk=:06a?84fm:0:8o522`g7>42e348ji84>4c9>6dc?28>i70lk?:576?874j:09n?94=01a=?4e:>1v?l?a;2954}::ho:6>h>;<0ba7<4n816>lk<:2d2?84fm=08j<522`g6>6`6348ji546dc12:l:70ljn:575?84flk0?9:522`f`>13034;8n;4=b1c8945ei38i7d7i27:?o652c2b?xu5j9h1<7ht=3cf5?5a:279mh<53g0897gb;39m>63=ad697c4<5;kn97=i2:?1e`>=;o801?oj6;1e6>;5il=1?k<4=3`3b?>c1279mio5447897gcj3>>963=aea9000<5;h;;7::5:?27g1=:k:i70?6dc62:l870h<;<0ba1<4n:16>lk::2d0?84fm108j>522`g5>6`4348ji:46g7721n2705cz?1e`7=;o>01?oj2;1e0>;5il91?k:4=3cf0?5a<279mh;53g6897gb039m863=ad497c2<5;kn;7=i4:?1f47=0m301?okc;661>;6;k91>o>k;<30f<<5j9n0q~0}::k836?;i;<0a6<<5=o16>o7ga;2wx>lkk:18184e:0094i522`ff>=3>3ty9mhh50;0x97d5i383h63=aed9<0?6g4e2;2o707>55z?1f5c=:;5j8:1>8h4=3`25?42n27:?ol52c31?xu5ilo1<77>c348jho47589~w7ga:3:1>v3=b0396=b<5;koo76:9:p6f0c2909w0li:3a5g>;6<981>n8l;<30af<5k?i0q~7}::j=m6?6k;<0`e3l14i74=3a1a??5>279o8m54c58945b:38h:k5rs3aa7?6=:r79o5>529f897ee<32>56s|2b55>5<4s48h4=47d89>56c52;i<:63=c5`90036f>02;2o7053z?1g=1=0m301<=j2;0`32=::j>o69;:;|q1gg1=838p1?m78;0;`>;5kk214874}r0`3=<72:q6>n67:9f:?874m;09o:64=3a7b?22=2wx>nl6:18184d00094i522b`b>=3>3ty9o:750;1x97e?132o563>3d396f1>348h9<4;549~w7eej3:1>v3=c9c96=b<5;iio76:9:p6f1f2908w07}::j2i6?6k;<0`f`n;::576?xu5kkl1<7584;8yv4d?j0;6>u22b:`>=b>34;8i<4=c6a897e2?3>>96s|2ba2>5<5s48h4i4=8e9>6fe521?27p}=c6f94?5|5;i3h76k9:?27`2=:j=o7052z?1g=c=:1n01?ml4;:6=>{t:j=n6=4<{<0`<`k;:3a4a>;5kn6>:3:g?84di103945rs3a44?6=;r79o5?58e;8945b<38h;=522b1e>1323ty9ol750;0x97e?:383h63=c`c9<0?6f>521n270?;6;l91>n9=;<0`06<3=<1v?mnd;296~;5k1>1>5j4=3aba?>212wx>n9<:18084d0=03h45212g0>7e0;279o9;54478yv4dio0;6?u22b:6>7>c348hn=47589~w7e0<3:1?v3=c9796f202=?>7p}=cc394?4|5;i3:7<7d:?1gg4=0<30q~6}::j2=65j6;<30a6<5k>?01?m;9;661>{t:j8n6=4<{<0`6`<0;o16>n=::340?84d>:09:>5rs3a;b?6=:r79o8m572d897ef>3>>;6s|2b6b>5<4s48h?846279>6f042=h<7053z?1g63=?:l01?m<6;057>;5k?>1>;=4}r0`=3<72;q6>n8<:61e?84dj=0?9:5rs3a7g?6=;r79o>85934897e1<3>i;63=c5f9<0?6f512>9m706?8<;|q1g<1=838p1?m94;50b>;5kk<18894}r0`0`<72:q6>n=8:805?84d><0?n:522b6e>=3>3ty9o>950;1x97e4?3=8j63=c2:9635<5;i=:7<93:p6f??2909w0;5k?<18o94=3a65?>212wx>n=7:18084d;10704348h::4=629~w7e>13:1>v3=c74936`<5;iim7::7:p6f352908w0h4=3a0e?41;279o;652718yv4d1h0;6?u22b44>25a348hnn4;599~w7e2<3:1?v3=c2c9=70<5;i=47:m7:?1g03=0<30q~6}::j9j6:=i;<0`7g<5>:16>n86:340?xu5k0h1<7u22b1a><41348h:44;b69>6f3021?27p}=c2`94?5|5;i8n79{t:j3h6=4={<0`2<<0;o16>nm?:57;?xu5k<21<7=t=3a0g??5>279o;o54c5897e2132>56s|2b1`>5<4s48h?n483g9>6f5c2;<87052z?1g3g=?:l01?ml2;66<>{t:j?j6=4<{<0`7a<>:?16>n8m:5`4?84d=k03945rs3a0`?6=;r79o>j572d897e4838=?63=c4f96356f0e2>9m7053z?1g66=1;<01?m:d;6a3>;5k:l14874}r0`75<72:q6>n=?:61e?84d;809:>522b7f>7043ty9o4>50;0x97e2l3=8j63=c`:900>6f56208=70:65;6;|q1g67=839p1?m<1;50b>;5k:81>;=4=3a6b?41;2wx>n7>:18184d=l013?3ty9o9<50;1x97e4:339:63=c4d90g1<5;i??76:9:p6f552908w0:1v?m62;296~;5kh4=3abg?2202wx>n:;:18084d;:02>;522b43>1d0348h8847589~w7e4;3:1?v3=c21936`<5;i887<93:?1g37=:?90q~7}::j<;6:=i;<0`e`<3=11v?m;6;297~;5k:>15?84=3a55?2e?279o99584;8yv4d;=0;6?u22b17>25a348h:?4=629~w7e><3:1>v3=c73936`<5;ii<7::8:p6f2?2909w0265;6;|q1g<3=838p1?m92;50b>;5kk818864}r0g1d<72;q6>i;6:35b?874mj09h8o4}r0g1<<721q6>i;6:9f:?84c99099k522ba`>73a348hoi4=5g9>6feb2;?m707}::m47|5;n=o7<:f:?1`3b=:;5l>?1>8h4=3f42?42n279h:9524d897b0038>j63=d6;960`<5;n;5l?l1>8h4=3f44?42n279h:?524d897b0:38>j63=d61960`<58>;>752z?1`3b=:1n01<=i9;0ge5=z{;n=?7>53z?1`3b=0m301<=jf;0g26=::m9369;:;|q1`d4=838p1?j84;0;`>;6;ok1>io=;|q1`32=839p1?j84;:g=>;6;ll1>i8;;<0g7d<3=<1v?jn4;296~;5l>?1>5j4=01ef?4ci=1v?j95;297~;5l>?14i74=01fb?4c><16>i=l:576?xu5lh<1<7c127:?hk52e45?84c;l0?985rs3fb1323ty9hlo50;0x97b00383h63>3gg96agf3ty9h;650;1x97b0032o563>3dg96a0?348o8?4;549~w7bfk3:1>v3=d6;96=b<589mj76a232=?>7p}=d`g94?4|5;n52z?1`2d=:1n01<:?1;0gf5=z{;n=n7>53z?1`2d=0m301<=i1;0g2g=::m>369;:;|q1`<2=838p1?j9e;0;`>;6;o91>i7;;|q1`0b=839p1?j9e;:g=>;6;o;1>i;k;<0g6f<3=<1v?j66;296~;5l?l1>5j4=01e0?4c1?1v?j:e;297~;5l?l14i74=01e5?4c=l16>ic127:?k>52e7e?84c;90?985rs3f:e?6=:r79h:?529f8945a>38o5l5rs3f54?6=;r79h:?58e;8945a838o:=522e11>1323ty9h4m50;0x97b0:383h63>3g596a?d3ty9h;?50;1x97b0:32o563>3g296a06348o?94;549~w7b>m3:1>v3=d6196=b<589m476a512=?>7p}=d0`94?5|5;n:n79{t:m=h6=4={<0g0<<0;o16=>h=:3f4g>{t:m9<6=4<{<0g67<>:?16>i;?:5`4?84c;103945rs3f16?6=;r79h?<572d897b5;38=?63=d4396354?:3y>6a372>9m70?6a44208=70;5l;>1>;=4=3f66?41;2wx>i6;:18184c=807b?<2wx>i=m:18084c:=02>;522e71>1d0348o?n47589~w7b5<3:1?v3=d36936`<5;n997<93:?1`05=:?90q~7}::m?96:=i;<30bg<5l1?0q~6}::m8>64<9;<0g16<3j>16>i=j:97:?xu5l;?1<7=t=3f11?14n279h?85271897b2<38=?6s|2e:5>5<5s48o9>483g9>56`d2;n3:6s|2e1e>5<4s48o>;46279>6a332=h<7053z?1`70=?:l01?j=7;057>;5l;=4}r0g<2<72;q6>i;;:61e?874nm09h594}r0g04<72:q6>i<8:805?84c=<0?n:522e61>=3>3ty9h?950;1x97b5?3=8j63=d3:9635<5;n>:7<93:p6a>?2909w0h4=3f1=?41;279h8952718yv4c000;6?u22e75>25a34;8jk4=d9;8yv4c<<0;6>u22e0:><41348o9:4;b69>6a2121?27p}=d3;94?5|5;n9579{t:m2j6=4={<0g12<0;o16=9>?:3f;e>{t:m><6=4<{<0g6d<>:?16>i;7:5`4?84c<103945rs3f1e?6=;r79h?o572d897b6l38=?63=d5c96356a3?2>9m70?;0081`=do4?:2y>6a7c208=70d;50b>;5l8o1>;=4=3f7f?41;2wx>i9k:18184c7b0l2wx>i;522e6a>1d0348o>h47589~w7b6m3:1?v3=d0g936`<5;n:j7<93:?1`1e=:?90q~7}::m>i6:=i;<30b1<5l>o0q~6}::m;m64<9;<0g0f<3j>16>i=?:97:?xu5l8l1<7=t=3f2b?14n279h?>5271897b3l38=?6s|2e5e>5<5s48o8n483g9>56`22;n5<4s48o>=46279>6a2c2=h<7053z?1`76=?:l01?j=1;057>;5l=o1>;=4}r0g<5<72;q6>i:k:61e?874n?09h5>4}r0g76<72:q6>i<>:805?84c=3>3ty9h??50;0x97b593=8j63=d5d96356a2b2>9m70?6a2a2=h<707>52z?1`1`=?:l01<=i8;0g<7=z{;n;i7>59z?1`50=:;5l921>8h4=3f3=?42n279h=o524d897b7j38>j63=d1a960`<5;n;h7<:f:?27`d=:m:n7p}=cgg94?4|5;n;:76k9:?1gc2=:1n0q~7}::m:<65j6;<0`b0<50m1v?j?0;296~;5l9214i74=3ae2?4?l2wx>i>>:18184c8003h4522bd4>7>c3ty9h=<50;0x97b7i32o563=cg:96=b4?:3y>6a6e21n27052z?1`5e=0m301?mia;0;`>{t:m:>6=4={<0g4anhm:3:g?xu5kl:1<7lt=3ag58e;897ecl383h63=ce59003<589n4756c02;in<63>3d496fc7348on84;569>56cf2;in<63=cd;9003<5;inm7::5:p6fbe2909w07}::jo;6?6k;<30a=<5kl;0q~7}::jo;6?;i;<0`ag<0;o1v?mk6;296~;5kji1>5j4=3ag3?>212wx>nm7:18:84dkj03h4522bf0>130348hh84;549>6fb62=?3707;;12>;6;l31>nm7;|q1ga2=838p1?mld;0;`>;5km?14874}r0`g<<72kq6>nmk:9f:?84dl:0?98522bf6>131348hh<4;569>56c22;ih563=d019003<5;n::7::7:?1`42=<<<01?j>8;662>;6:9i1>nm6;<30a<<5kj30q~7}::jin6?6k;<0``6279oi;5445897ec93>>963>3d796fef348o=>4;579>6a712=?>7052z?1gf`=:1n01?mk1;:6=>{t:jii6=48{<0`gcnj::57;?84dl80?9;5212g6>7edj279h<<5444897b6=3>>963>3d;96fee3ty9oik50;0x97ecl38>j63>3d596fbb3ty9oij50;0x97ecl32o563=dc490016f`32;?m70nh7:37e?84dn0099k522bdb>73a348hjo4=5g9>56cf2;imo6s|2bg`>5<5s48hj947d89>6a712=?37p}=cdf94?4|5;im976k9:?1`45=<<=0q~7}::jl=65j6;<0g5=<3=<1v?mjf;296~;5ko=14i74=003g?4dmo1v?mi0;296~;5ko214i74=3f22?22>2wx>nh>:18184dn003h4522e30>13?3ty9ok<50;0x97eai32o563=d00900>4?:3y>6f`e21n2707>52z?1eg4=0m301<=m2;0bf7=z{;ko?7>510y>6def2;?m70lm9:37e?84fk<099k522`f1>73a348jh<4=5g9>6db72;?m70lml:37e?84fkk099k522`a7>73a348jo>4=5g9>56d62;ko?6s|2``:>5<5s48jol47d89>6fd52=?>7p}=ac:94?4|5;kh576k9:?1gg6=<7}::hi365j6;<0`e`<3=<1v?om6;296~;5ij=14i74=3abg?22=2wx>ll::18184fk?03h4522bcb>1323ty9mo:50;0x97gd=32o563=c`:90036db521n27052z?1ea7=0m301?ml2;661>{t:hi;6=4={<0b`5nm?:576?xu5ikl1<7c1279ook54478yv4fjl0;6?u22`af>=b>348hnn4;549~w7gel3:1>v3=abf9;5kk<188;4}r0bfd<72;q6>lm;:9f:?84dj=0?985rs3ca7?6=:r79mn=58e;897ef>3>>96s|2`f5>5<5s48jh84=7`9>56d52;ko:6s|2`f6>5<3s48jh847d89>56d22;ko963>3c696db234;8ho4=ae78yv4?<=0;6ou226g:>73a34862c?2;?m70<8e6811c=::19:6?;i;<0;77<5=o16>:km:37e?840mj099k5226gg>73a34838:4;549>56?52;2?86s|26g:>5<4s4863c>2=?=70<7518710=z{;2?:7>52z?13`g=:1n01?6;7;:6=>{t:1>36=4={<04ad<5=o16>5;?:97:?xu5?j<1<7=t=35`2?>c1279:h=54448945?m38>932>56s|292e>5<3s4836=?32=?=70?<8b81<5`<58;o370?<91813`>6838>j63=803960`<5;2:47<:f:?1<4?=:a;06b>;508h1>8h4=3:2g?42n27946m38>j63=80d960`<5;2:>7<:f:?1<45=:4;06b>;508?1>8h4=3:22?42n2794<9524d8945><3835;5rs3:24?6=:r794<>58e;897>2:3>>:6s|2932>5<5s483=<47d89>6=072=?=7p}=80:94?4|5;2:476k9:?1<34=<<<0q~<71883>7}::1;265j6;<0;21<3=?1v?6>a;296~;508k14i74=3:52?22>2wx>5?m:18184?9k03h452294;>1313ty946k32o563=87c90006=7c21n270<76b8713=z{;2:i7>52z?1<4c=0m301?69e;662>{t:1;m6=4={<0;5c59?:575?xu50881<7c127948:54448yv4?9:0;6?u22930>=b>34839;4;579~w7>6<3:1>v3=806947::6:p6=722909w0<7148;`<=::1?j69;9;|q1<40=838p1?6>6;:g=>;505?8:9f:?84?=l0?9;5rs35fa?6=9;q6>:kj:9f:?840j009;l5226`;>71f34862d12;=j70<8b4813d=::>h?6?9n;<04f6<5?h16>:l=:35b?840j809;l5226`3>71f34862g42;=j70<8a3813d=::>k;6?9n;<04e0<5?h16>:7i:35b?840i=09;l5rs35`7?6=:r79;n?526c8945?k385rs35`4?6=:8q6>:m>:9f:?8c3m3>>463j40871==:m:>18864=d04>13?34o:m7::8:?f4a<3=116i=>544:89a`42=?370jj6;66<>;cl00?9552dba900><5mhm69;7;`<1=<<201i6m:57;?8b0m3>>463k70871==:l?>18864=e75>13?34n?m7::8:?g7a<3=116h>>544:89a442=?370j>5;66<>;c800?9552cga900><5jom69;7;gf>=<<201nln:57;?xu50<;1<7u226ge>=b>348>ji4;579>6=352=?>7p}=84d94?4|5;=m<7<7d:?1<36=0<30q~<8f183>6}::>l;65j6;<0541<3=?16>58?:576?xu50?;1<70;6>u226d4>=b>348=<84;579>6=052=?>7p}=87194?4|5;=m47<7d:?1<32=0<30q~<8f983>6}::>l365j6;<0543<3=?16>58;:576?xu50??1<7u226d:>=b>348=<:4;579>6=012=?>7p}=87594?4|5;=mm7<7d:?1<3>=0<30q~<8f`83>6}::>lj65j6;<054=<3=?16>587:576?xu50?31<7u226da>=b>348=<44;579>6=0f2=?>7p}=87`94?4|5;=mo7<7d:?1<3e=0<30q~<8fb83>6}::>lh65j6;<054d<3=?16>58l:576?xu50?n1<7u226dg>=b>348=6=0b2=?>7p}=87d94?4|5;=mi7<7d:?1<26=0<30q~<8fd83>6}::>ln65j6;<054f<3=?16>59?:576?xu50<91<7u226d2>=b>348>jh4;579>6=332=?>7p}=84794?4|5;=m>7<7d:?1<00=0<30q~<8f383>6}::>l965j6;<06bc<3=?16>5;9:576?xu50<=1<7u226d0>=b>348=<=4;579>6=3?2=?>7p}=84;94?4|5;=m87<7d:?1<0g=0<30q~<8f583>6}::>l?65j6;<0544<3=?16>5;n:576?xu50u226d6>=b>348=6=3d2=?>7p}=84f94?4|5;=m:7<7d:?1<0c=0<30q~<8f783>6}::>l=65j6;<0546<3=?16>5;j:576?xu50:91<7?>{<04g2<5=o16>:m7:37e?840ko099k5226f3>73a34862b52;?m70<8d2811c=::>n?6?;i;<04`0<5=o16>:j9:37e?840k0099k5226ab>73a34862ed2;?m70<8ce811c=::>in6?;i;<306}::>i<65j6;<05`f<3=?16=>6>:35`3>{t:>i36=4<{<04g=;k=:575?8740809;n64}r04gc<72:q6>:mi:9f:?841m<0?9;5212:1>71dn2wx>:j?:180840l903h45227g;>13134;84?4=7e28yv40l80;6>u226f2>=b>348=io4;579>56>42;=o=6s|26f1>5<4s4863cb2=?=70?<82813a44?:2y>62b421n270<9f08713=:9:2?6?9k3:p62b32908w0<8d58;`<=::?l?69;9;<30<1<5?m>0q~<8d483>6}::>n>65j6;<30<0<5?m?01?onc;663>{t:>n=6=4<{<04`3;h8:575?8740<09;i84}r04g<<72:q6>:m6:9f:?841lo0?9;5212:5>71d12wx>:mn:180840kh03h45212:5>71di279m8j54458yv40kk0;6>u226aa>=b>34;84:4=7b`897g193>>;6s|26a`>5<4s4856>02;=ho63=a77900162ec21n270?<89813fb<5;k=57::7:p62eb2908w0<8cd8;`<=:9:236?9le:?1e3b=<<=0q~<8e683>42|5;=n;76k9:?12`0=<<<01?87d;057>;5>jh1>;=4=34af?22>279:n<54448970d;3>>:63=6b69000<5;;5>j218884=34`=?22>279:no54448970ek3>>:63=6cf9000<5;;5>j;18884}r0;74<725=>:9f:?841n10?9;5229;0>13134835?4;549>6=?62=?>7p}=82094?3|5;28>76k9:?12`6=<<<01?663;661>;500818884=3::0?22=2wx>:km:185840mk03h45227g`>131348<594;549>62?72=?>70<8938710=::>3869;:;|q13`e=83>p1?9jc;:g=>;5>ll18884=35;b??5>279;4?59348yv40mm0;6>u226gg>=b>348=j?4;579>62>b208=7p}=88`94?76s483>=4=5g9>6=462;?m70<729811c=::1826?;i;<0;6d<5=o16>573a3483>h4=5g9>6=4a2;?m70<723811c=::1886?;i;<0;61<5=o16>5<::37e?84?:?099k522904>73a34;85;4=88`8yv4?:90;6?u22903>=b>3483;?4;579~w7>593:1>v3=8339;501>18884}r0;6d<72;q6>5?03>>:6s|290`>5<5s483>n47d89>6=>f2=?=7p}=83f94?4|5;29h76k9:?1<=e=<<<0q~<72d83>7}::18n65j6;<0;<`<3=?1v?6=f;296~;50;l14i74=3::4?22>2wx>5<=:18184?:;03h4522957>1313ty94?=50;0x97>5;32o563=864900094?:3y>6=4321n270<7798713=z{;2997>52z?1<73=0m301?68a;662>{t:18=6=4={<0;6359l:575?xu50;=1<7c12794:k54448yv4??80;6?u226de>7>c3483;?47589~w71an3:1?v3=7gd97}::1:;6?6k;<0;<527945>54478yv4?080;6?u22924>7>c34834?47589~w7>7?3:1?v3=81597}::1:36?6k;<0;<127945:54478yv4?0<0;6?u2292:>7>c34834;47589~w7>713:1?v3=81;97}::1:j6?6k;<0;<=27945654478yv4?000;6?u2292a>7>c34834l47589~w7>7j3:1?v3=81`97}::1:h6?6k;<0;27945m54478yv4?0m0;6?u2292g>7>c34834h47589~w7>7l3:1?v3=81f97}::1:n6?6k;<0;=527944>54478yv4??:0;6?u22922>7>c3483;947589~w7>793:1?v3=81397}::1:96?6k;<0;332794:854478yv4??>0;6?u22920>7>c3483;547589~w7>7;3:1?v3=8119=<7}::1:?6?6k;<0;3d14i74=3415?22>2794:o54478yv4??k0;6?u22926>7>c3483;n47589~w7>7=3:1?v3=81797::6:?1<2e=<7}::1:=6?6k;<0;3`2794:k54478yv4?1m0;6?u22913>7>c34835i47589~w7>>n3:1>v3=822960`<5892;7<79g9~w7>483:1?v3=82297}::>n<6?6k;<05=an<6?9n;<04`=<5?h16>:ji:35b?840m909;l5226g2>71f34862c42;=j70<8e5813d=::>o>6?9n;<04a3<5?h16>:j6:35b?840lh09;l5226fa>71f34862bc2;=j70<8dd813d=:9:2n6?6<7:p6=5d290:=v3=7e5960`<5;=o47<:f:?13a`=:;5?l;1>8h4=35f6?42n279;h=524d8971b<38>j63=7d7960`<5;=n:7<:f:?13a?=:;5?mh1>8h4=35gg?42n279;ij524d8971cm38>j63>38296=5d3ty9;i950;;x971c?32o563=6ef9001<5893=7<8d69>637c2=?>70<90e81=g=::;6k:3;a?841jk0?985rs34:a?6=:r79;i6529f8970f932>56s|26f;>5<>s4863c42=?<70?<80813a><5;<987::5:?1242=:0h01?8?4;661>;5=o>1>4l4=34:7?4>j279:n<54478yv41ik0;6?u226fe>7>c348=mn47589~w71cn3:15v3=7ed9nm70<9248710=::?;>6?7m;<0540<3=<16>8h::3;a?8411=095o5227a0>1323ty9:lj50;0x971b8383h63=6`g9<0?62c721n270<9e88712=:9:296?9j0:?1270=<6;0:f>;5>9<188;4=37e2?4>j279:4;528`8970d<3>>96s|27ce>5<5s4863d721?27p}=7d394??|5;=n=76k9:?12`e=<<=01<=73;04a4=::?8<69;:;<0552<51k16>;>8:576?842n>095o5227;5>7?e348=o84;549~w70e93:1>v3=7d096=b<5;76:9:p62c52902w0<8e38;`<=::?om69;8;<30<6<5?l801?8=8;661>;5>821>4l4=343?382n63=6b490034?:3y>62c42;2o70<9b58;1<=z{;=n?7>59z?13`5=0m301?8i2;663>;6;1>1>:k<;<056<<3=<16>;?6:3;a?841800?985224d:>7?e348=554=9c9>63e02=?>7p}=6c794?4|5;=n87<7d:?12g0=0<30q~<8e583><}::>o?65j6;<05b0<3=>16=>6;:35f0>;5>;k188;4=342e?4>j279:=o54478973ai382n63=68;96;6;1?1>:k:;<056g<3=<16>;?m:3;a?8418k0?985224da>7?e348=5l4=9c9>6dgf2=?=70<9c88710=z{;52z?13`0=:1n01?8ma;:6=>{t:>o=6=46{<04a3;h7:574?8740<09;h84=341g?22=279:>963=5ga967}::>n26?6k;<05e57?e348>jh4;549>60cb2;3i70<98d81=g=::?hh69;:;|q12d7=838p1?9ka;0;`>;5>h814874}r04`d<720q6>:jn:9f:?8740?09;io4=342b?22=279:=h528`8973an3>>963=5dd96{t:?k86=4={<04`g<50m16>;o;:97:?xu5?mh1<77t=35gf?>c127:?59526fa?841:90?98522733>7?e348=<=4;549>60`72;3i70<99181=g=::h?m69;9;<05f`<3=<1v?8n5;296~;5?mi1>5j4=34b2?>212wx>:jl:18:840lj03h45212:4>71ck279:??5447897069382n63=6139003<5;?m=7<6b:?12<7=:0h01?o93;662>;5>kl188;4}r05e2<72;q6>:jk:3:g?841i103945rs35g`?6=1r79;ij58e;8945?038132348==?4=9c9>63652=?>70<:f381=g=::?396?7m;<0b22<3=?16>;m?:576?xu5>h31<7=b>34;8454=7eg89705;3>>963=60196;5>j;188;4}r0445<72;q6>::i:3:g?841>j0>;63=67`900362372;2o70<976847c=z{;=8m7>54z?1306=0m301?8j2;663>;5>=815?84=3443?2e?2wx>:?j:181840=k094i5227:1>25a3ty9;9;50;6x9712j32o563=6d79001<5;<>477=6:?12=4=7}::><=6?6k;<05<3<0;o1v?9;8;290~;5??<14i74=34f3>i;6s|2607>5<5s48<:44=8e9>63>02>9m7p}=75;94?2|5;==576k9:?12`d=<<=01?8:e;;12>;5>1=18o94}r0463<72;q6>:8n:3:g?841010>;63=6729=70<5;<347:m7:p624?2909w0<86c81p1?99b;:g=>;5>o;18894=3456??5>279:5754c58yv40:h0;6?u2264`>7>c348=4l483g9~w713k3:18v3=77a9{t:>8h6=4={<042a<50m16>;6m:61e?xu5?=n1<7:t=355`?>c1279:;859348970?j3>i;63=a`c9001h4?:3y>620b2;2o70<98b847c=z{;=?i7>54z?133c=0m301?8i7;663>;5>?215?84=34;g?2e?2wx>:>=:181840=8094i52274g>25a3ty9;>>50;6x9712932o563=6ed9001<5;<9i77=6:?123b=7}::>?96?6k;<052`<0;o1v?9<1;290~;5?<814i74=3404??5>279:;k54c5897g2j3>>;6s|2625>5<5s48<9>4=8e9>630a2>9m7p}=72094?2|5;=>?76k9:?1264=1;<01?89f;6a3>;5i:;;:3:g?841?90p1?9:5;:g=>;5>:<15?84=3445?2e?279m;954458yv408j0;6?u22675>7>c348=;?483g9~w714=3:18v3=7449{t:>:o6=4={<0412<50m16>;9<:61e?xu5?:<1<7:t=3563?>c1279:>o593489700;3>i;63>20:962513ty9;=k50;0x97120383h63=666936`623?21n270<93b8:63=::?=?69l8;<3152<5?:=0q~<80g83>7}::>?26?6k;<0530<0;o1v?9<8;290~;5?<314i74=340a??5>279::;54c589446m383=8j6s|261:>5<3s48<9l47d89>6327208=70<97787f2=:9;;o6?9<9:p62742909w0<85b81p1?9:c;:g=>;5>=>15?84=3441<7=b>348=8;46279>631>2=h<70?=1c8136e623b2;2o70<97`847c=z{;=8h7>54z?130c=0m301?8;8;;12>;5>>k18o94=002e?40;m1v?9>6;296~;5?5j4=344f?14n2wx>:=j:187840=o03h452276b><41348=;o4;b69>577>2;=8i6s|2634>5<5s48<:=4=8e9>631d2>9m7p}=72d94?2|5;==<76k9:?121e=1;<01?88c;6a3>;6;>21>:=i;|q134>=838p1?991;0;`>;5>>n1;>h4}r0405<72=q6>:8>:9f:?841;52275g>1d034;8;44=7528yv40900;6?u22641>7>c348=;h483g9~w71393:18v3=7709<77=6:?122c=52z?1335=:1n01?88f;50b>{t:>>96=4;{<0426;;=:805?841?o0?n:52125a>713:2wx>:?m:181840>=094i5227:3>25a3ty9;9=50;6x9711<32o563=6469=70<5;<3<7:m7:?272e=:>>87p}=70a94?4|5;==97<7d:?12=7=?:l0q~<84583>1}::><>65j6;<0513<>:?16>;6>:5`4?874?m09;9:4}r045c<72;q6>:88:3:g?8410:0v3=77:96=b<5;<38797}::?ni69;:;<05`amh18884=34gg?>212wx>5o>:181841lk013?3ty9:h=50;0x970b93>>963=6d19<0?63c62=?=70<9e38;1<=z{;2j?7>52z?12`7=?:l01?o8f;66<>{t:?oh6=4={<05ad<3=<16>;kl:97:?xu5>lh1<7279:hl584;8yv4?i?0;6?u227gb>25a348jm=4;599~w70b>3:1>v3=6d69003<5;65;6;|q1;5i0<18864}r05a<<72;q6>;k8:576?841m003945rs34f56s|29c6>5<5s48=i:483g9>6d?c2=?37p}=6dd94?4|5;7}::?oo69;9;<05a`ln1;>h4=3cb7?2202wx>;h=:181841n90?985227d1>=3>3ty9:k?50;0x970a83>>:63=6g39<0?63`72>9m7052z?12c5=<{t:?l?6=4={<05b6<3=?16>;h;:97:?xu50h31<7132348=j547589~w70a?3:1>v3=6g49000<5;;5>l:14874}r05`c<72;q6>;jj:575?841lo03945rs3:b6?6=:r79:ik572d897g2i3>>46s|24g6>5<5s4;84<4=5d78973cl339:6s|24fg>5<5s48>hi483g9>60bb2;<87p}=5d494?4|5893>7<:e79>60bb208=7p}=5eg94?4|5;?oi797}:9:286?;j7:?11a`=1;<0q~<:dg83>7}:::1v?;j8;296~;6;1>1>8k7;<06a5<>:?1v?;j0;296~;5=l:1;>h4=37f5?41;2wx>8k6:1818740<099h74=37f5??5>2wx>8k>:181842m807043ty99ho50;0x945?>38>il5224g1><413ty99h<50;0x973b:3=8j63=5d19635io4?:3y>56>02;?nn63=5d19=70i>4?:3y>60c42>9m70<:e58126=z{;?no7>52z?27=>=:55z?11`2=?:l01?663;663>;500818894=3::5?22027:?57524g7?xu5>8n1<721279:=j59348yv418m0;6?u2272g>25a348==94=629~w705<3:1>v3=6369<0?<5;<:877=6:p63732909w0<915847c=::?;>6?8<;|q1273=838p1?8=5;:6=>;5>8?15?84}r0550<72;q6>;?::61e?8419?09:>5rs3412?6=:r79:?8584;89706>339:6s|2735>5<5s48==;483g9>63702;<87p}=63594?4|5;<9;76:9:?1241=1;<0q~<91683>7}::?;<6:=i;<055=<5>:1v?8=8;296~;5>;214874=3422wx>;?7:1818419107043ty9:?750;0x9705132>563=60;9=70637>2>9m70<91`8126=z{;<9m7>52z?127g=0<301?8>a;;12>{t:?;j6=4={<055d<0;o16>;?m:340?xu5>;h1<721279:25a348==n4=629~w705k3:1>v3=63a9<0?<5;<:o77=6:p637d2909w0<91b847c=::?:n6?8<;|q124c=838p1?8>e;:6=>;5>9o15?84}r054`<72;q6>;>j:61e?8418o09:>5rs342b?6=:r79:5<5s48=63772;<87p}=63294?4|5;<9<76:9:?1246=1;<0q~<91183>7}::?;;6:=i;<0554<5>:1v?8=1;296~;5>;;14874=3425??5>2wx>;?>:1818419807043ty9:?<50;0x9705:32>563=6009=7063752>9m70<9128126=z{;<9?7>52z?1275=0<301?8>3;;12>{t:?;86=4={<0556<0;o16=>6l:3427>{t:8kk:805?xu5=ln1<7=3>348>j946279~w73a<3:1>v3=5g6936`<5;?m97<93:p63622909w0<9048;1<=::64<9;|q11c3=838p1?;i5;50b>;5=o<1>;=4}r0543<72;q6>;>9:97:?842n?02>;5rs37e2?6=:r799k8572d8973a?38=?6s|2724>5<5s48=<:47589>60`0208=7p}=5g594?4|5;?m;79=:?90q~<90983>7}::?:365;6;<06b=<>:?1v?;i8;296~;5=o21;>h4=37e=?41;2wx>;>6:1818418003945224d:><413ty99k750;0x973a13=8j63=5gc9635636f21?270<:f`8:63=z{;?mm7>52z?11cg=?:l01?;ib;057>{t:?:i6=4={<054g8hm:805?xu5=oh1<7=3>348>jn46279~w73ak3:1>v3=5ga936`<5;?ni7<93:p60`b2909w0<:fd8;1<=::;5=ll1>;=4}r06bc<72;q6>8hi:97:?842mo02>;5rs37fb?6=:r799hh572d8973a838=?6s|2723>5<5s48=<=47589>60`7208=7p}=5g294?4|5;?m<797}::?::65;6;<06b4<>:?1v?;i1;296~;5=o;1;>h4=37e6?41;2wx>;>=:1818418;03945224d1><413ty99k<50;0x973a:3=8j63=5g196354?:3y>636421?270<:f28:63=z{;=h>7>52z?11c5=?:l01<=7c;04g7=z{;53z?12=b=1;<01?8lb;6a3>;5>kh14874}r05;6k:61e?8411:09:>5227f1>7043ty9:kh50;0x970dj3=8j63=68f900063?4208=70<9d387f2=::?i965;6;|q12<5=839p1?863;50b>;5>0>1>;=4=34g7?41;2wx>:?>:181841l;01313ty9:n=50;1x970><339:63=6e190g1<5;6?8<;<05`1<5>:1v?9>d;296~;5>m91;>h4=34bg?22>2wx>;m;:1808411<02>;5227f7>1d0348=o947589~w70>=3:1?v3=687936`<5;<2:7<93:?12a3=:?90q~<82083>7}::?n?6:=i;<05e`<3=?1v?8l5;297~;5>0<15?84=34g1?2e?279:n;584;8yv411?0;6>u227;5>25a348=5:4=629>63b12;<87p}=73194?4|5;6}::?3<64<9;<05`3<3j>16>;m9:97:?xu5>0=1<7=t=34:3?14n279:4652718970c?38=?6s|2606>5<5s48=h;483g9>63d52=?=7p}=6b594?5|5;<2477=6:?12a1={t:?336=4<{<05==<0;o16>;76:340?841l109:>5rs3513?6=:r79:i9572d8970e<3>>;6s|27a;>5<4s48=5446279>63b?2=h<70<9c98;1<=z{;<257>53z?12;5>m31>;=4}r046<<72;q6>;j7:61e?841j?0?9:5rs34`=?6=;r79:4o59348970c13>i;63=6b;9<0?63?f2>9m70<99c8126=::?nj6?8<;|q137d=838p1?8k9;50b>;5>k218894}r05gd<72:q6>;7m:805?841lh0?n:5227ab>=3>3ty9:4l50;1x970>j3=8j63=69g9635<5;;5>ji18o94=34ag?>212wx>;6j:1808410l0704348=oi4=629~w71793:1>v3=6ba936`<5;1l1;>h4=34:4?41;279:nk52718yv408:0;6?u227ag>25a348=m?4;569~w70em3:1?v3=6829=70<5;6}::?3;6:=i;<05=4<5>:16>;mi:340?xu5?9?1<7u227;2><41348=ok4;b69>63da21?27p}=68394?5|5;<2=79{t:>:<6=4={<05gc<0;o16>;o9:574?xu5>j:1<7=t=34:6??5>279:i>54c58970d832>56s|27;1>5<5s48=5?483g9>63b62;<87p}=71;94?4|5;=<<=0q~<9c083>7}::?n:69l8;<05g4m;1;>h4=34be?22?2wx>;8n:180841:m02>;52274`>1d0348=:o47589~w705l3:1?v3=63f936`<5;7<93:?1221=:?90q~<94383>6}::?>96:=i;<051=<5>:16>;6=:340?xu5><21<7=t=34638=?6s|277`>5<4s48=9n483g9>633b2;<870<9868126=z{;<>i7>53z?120c=?:l01?890;057>;5>121>;=4}r0525<72:q6>;8?:61e?841>;09:>5227::>7043ty9:;<50;1x9701:3=8j63=6769635<5;<3m7<93:p63032908w0<965847c=::?<=6?8<;<05:1v?896;297~;5>?<1;>h4=34510;6>u2274;>25a348=>h4=629>630c2;<87p}=63g94?5|5;<9i79{t:?9;6=4<{<0575<0;o16>;==:340?841>o09:>5rs3406?6=;r79:><572d89704<38=?63=662963563532>9m70<9378126=::?=:6?8<;|q1260=839p1?8<6;50b>;5>:21>;=4=3446?41;2wx>;=7:180841;10704348=;>4=629~w704i3:1?v3=62c936`<5;<8o7<93:?1222=:?90q~<93b83>6}::?9h6:=i;<057`<5>:16>;9::340?xu5>:o1<7=t=340a?14n279:9>527189700>38=?6s|2763>5<4s48=8=483g9>63232;<870<9798126=z{;53z?1212=?:l01?8;6;057>;5>>31>;=4}r0503<72:q6>;:9:61e?841<109:>52275b>7043ty9:9650;1x970303=8j63=65c9635<5;<h6?8<;<053f<5>:1v?8;c;297~;5>=i1;>h4=347a?41;279::j52718yv41u2276f>25a348=9=4=629>631b2;<87p}=64294?5|5;<><79{t:??96=4<{<0517<0;o16>;;;:340?8410909:>5rs3460?6=;r79:8:572d89702>38=?63=693963563312>9m70<95`8126=::?286?8<;|q120g=838p1?8:a;50b>;5>1>1>;=4}r04ec<72=q6>:6l:5`4?840j003h45226;e>7>c348v3=79a9635<5;=h26?6k;|q13dc=839p1?97b;6a3>;5?>l15?84=35ac12wx>:9k:1808400k09:>52265e>704348<;i483g9~w71>l3:1>v3=79`936`<5;=i47<7d:p62gc290>w0<88`87f2=::>=o64<9;<04f2:o>:3:g?840190?9;5rs354f?6=;r79;5o527189710l38=?63=76`936`62>f2>9m70<8b68153z?13=?=;5?k<14i74}r043<<72:q6>:66:340?840?k09:>52265:>25a3ty9;4l50;0x971?13=8j63=7c496=b62>?2=h<70<8788:63=::>h>65j6;<04e1<50m16>:lk:576?xu5?>=1<7=t=35;5<5s48<45483g9>62d22;2o7p}=7`c94?5|5;=3;7:m7:?1321=1;<01?9m4;:g=>{t:>=>6=4<{<04<2<5>:16>:98:340?840?<05<2s48<4;4;b69>6212208=70<8b28;`<=::>k;6?6k;<04=7<3=?1v?983;297~;5?1<1>;=4=3541?41;279;:=572d8yv40110;6?u226:5>25a3484=8e9~w71f03:19v3=79790g1<5;=;5?kl188;4}r0434<72:q6>:6::340?840?:09:>522652>25a3ty9;4950;0x971?=3=8j63=7c096=b62>32=h<70<8708:63=::>h:65j6;<04e6<50m16>:7<:575?xu5?>:1<7=t=35;0?41;279;:?52718971083=8j6s|26;5>5<5s48<49483g9>62d62;2o7p}=79394?5|5;=3?7:m7:?1326=1;<01?972;:6=>{t:>3>6=4={<04<6<0;o16>:l?:3:g?xu5?081<7131348<5=47589~w71?n3:1>v3=79d936`<5;=3i7::6:p62?32909w0<8908710=::>3?65;6;|q13<5=838p1?961;662>;5?0914874}r04=4<72;q6>:7>:61e?8400l0?985rs35`1?6=;r79;5k572d8945?13871d=2wx>:o9:187840j903h45226c1>7>c348<594;579>62>52=?>7p}=7`394?4|5;=j=76k9:?13<6=<<=0q~<8a283>7}::>k865j6;<04=6<3=>1v?9n2;296~;5?h814i74=35:0?22?2wx>:o?:181840i903h45226;1>1303ty9;l;50;0x971f=32o563=7cd900062?a21n270<8bc8713=z{;=j87>52z?13d2=0m301?9md;662>{t:h996=4n{<0:fc<5=o16>4h=:37e?84>n8099k5228d3>73a3482j94=5g9>6<`22;?m70<6f7811c=::h836?;i;<0b6<<5=o16=>o6:3c06>{t:0hm6=4<{<0:fco7:3;ab>;5i>i18884}r0:b7<72:q6>4h=:9f:?84f;h0?98522`;b>1313ty9m>:50;0x97?a;383h63>3`c96d533ty9m>o50;0x97?a;38>j63=a2c9<0?613034o9j7::7:?f67<3=>16i<;544589`6?2=?<70jib;663>;cml0?9:52dd39001<5mn?69;8;`db=<<=01i7i:574?8b>;3>>;63k878712=:l>318894=e4`>13034n>i7::7:?g17<3=>16h9;544589a5?2=?<70j=b;663>;c9m0?9:52d039001<5m:?69;8;gab=<<=01nj?:574?8ed:3>>;6s|28d2>5<3s482j<47d89>6d5e2=?>70;5i=k14874}r0b0a<72;q6>l<8:37e?874io09m9j4}r0b62<72:q6>l<8:9f:?84f7g5?2wx>4h?:182g~;51o:14i74=3;13?41;27955;5271897?1l3>>:63=96:9000<5;3;511:18884=3;;5?22>27955<5444897??;3>>:63=9969000<5;3=i7::6:?1=3`=<<<01?780;662>;51>;18884=3;46?22>2795:=5444897?0<3>>:63=9679000<5;3<:7::6:?1=21=<<<01?789;662>;51>k18884=3;4f?22>2795:m5444897g>;3>>:6s|2`67>5<61r795k9524d897?a038>j63=a11960`<5;k;47<:f:?1e5?=:;5i9h1>8h4=3c3g?42n279m=j524d897g7m38>j63=9g;960`<5;3mm7<:f:?1=cd=:;51on1>8h4=3;ea?42n2795kh524d897g7838>j63=a13960`<5;k;>7<:f:?1e52=:;5i9<1>8h4=3c33?42n27:?lj52`67?xu51o=1<7c12794ih54448yv4>n10;6?u228d;>=b>3483il4;579~w7g7;3:1>v3=a119;50o;18884}r0b4d<72;q6>l>n:9f:?84?n;0?9;5rs3c3f?6=:r79m=l58e;897>a;3>>:6s|2`2`>5<5s48j6=`32=?=7p}=a1f94?4|5;k;h76k9:?17}::h:n65j6;<0;b3<3=?1v?7i9;296~;51o314i74=3:f4?22>2wx>4hn:18184>nh03h45229g2>1313ty95kl50;0x97?aj32o563=8d090006<`d21n270<7e28713=z{;3mh7>52z?1=cb=0m301?6j4;662>{t:0ln6=4={<0:b`5k::575?xu51ol1<7c12794h854448yv4f890;6?u22`23>=b>3483i:4;579~w7g793:1>v3=a139;50lh18884}r0b40<72;q6>l>::9f:?84?mj0?9;5rs3c32?6=:r79m=858e;897>bl3>>:6s|2`24>5<5s48j<:47d89>6=cb2=?=7p}=a3c94?7>s482o=4=5g9>64j<:37e?84>l=099k5228f6>73a3482h;4=5g9>64m::37e?84>k?099k5228a4>73a3482o54=5g9>62;?m70<6c`811c=::0ii6?;i;<0:ga<5=o16>4mj:37e?84>ko099k5228f3>73a34;8m:4=a3c8yv4>k90;6>u228a3>=b>34;8544=9b2897g2;3>>96s|28a2>5<4s482o<47d89>56?>2;3h=63=a6`900367::5:p66}::0n965j6;<30=a<51m801?o6e;661>{t:0n86=4<{<0:`67k:3;g7>;5ih;188;4}r0:`1<72:q6>4j;:9f:?8741l095i:4=3cb0?22=2wx>4j::18084>l<03h45212;f>7?c=279ml954478yv4>l?0;6>u228f5>=b>34;85k4=9e4897gfl3>>:6s|28f4>5<4s482h:47d89>56?a2;3o;63=a`g9003647::5:p66}::0i?65j6;<30e4<51j>01?o92;662>{t:0i>6=4<{<0:g0o>:3;`1>;5i?<18884}r0:g3<72:q6>4m9:9f:?874i;095n84=3c5e?22>2wx>4m8:18084>k>03h45212c1>7?d?279m;k54448yv4>k10;6>u228a;>=b>34;8m>4=9b:897g093>>:6s|28a:>5<4s482o447d89>56g42;3h563=a66900066}::0io65j6;<30=d<51jn01?o72;662>{t:0in6=4<{<0:g`7n:3;`a>;5i1?18884}r0:gc<72:q6>4mi:9f:?8741k095nh4=3c;2wx>4j?:18084>l903h45212;a>7?c8279m5l54448yv4>n=0;6?u228d7>=b>348j5k4;579~w7?a=3:1>v3=9g797::6:p6<`12909w0<6f78;`<=::hk>69;9;|q1e7>=838p1?o=8;:g=>;5ihl18884}r0b6<<72;q6>l<6:9f:?84f=00?9;5rs3c7l>i:37e?84f99099k522`3a>73a348j>=4=5g9>6d462;?m70l<::37e?84f:?099k522`32>73a348j=?4=5g9>6d742;?m706?;i;<0b53<5=o16>l?8:37e?84f91099k522`3:>73a348j=l4=5g9>6d7d2;?m70oj:3c7<>{t:h:m6=4={<0b4c4>i:575?xu5i8:1<7c12795=b>3482=k4;579~w7g583:1>v3=a329;51;818884}r0b66<72;q6>l<<:9f:?84>::0?9;5rs3c10?6=:r79m?:58e;897?5<3>>:6s|2`06>5<5s48j>847d89>6<422=?=7p}=a3494?4|5;k9:76k9:?1=70=<<<0q~7}::h;:65j6;<0:55<3=?1v?o>2;296~;5i8814i74=3;25?22>2wx>l?<:18184f9:03h4522831>1313ty9m<:50;0x97g6<32o563=90190006d7221n270<6158713=z{;k::7>52z?1e40=0m301?7>5;662>{t:h;<6=4={<0b524?9:575?xu5i821<7c12795<954448yv4f900;6?u22`3:>=b>3482=54;579~w7g6i3:1>v3=a0c9d;:g=>;518i18884}r0b5`<72;q6>l?j:9f:?84>9m0?9;5rs3c2b?6=:r79m>:6s|281f>5<5s482h54=8e9>6<5a21?27p}=a3a94?7>s482h54=7`9>62;=j70<6e5813d=::0o26?9n;<0:ad<5?h16>4km:35b?84>mj09;l5228gg>71f3482ih4=7`9>64jk:35b?84>ll09;l5228fe>71f3482i=4=7`9>64k9:35b?84>m>09;l5228g;>71f34;8m54=a3a8yv4f:l0;6<7t=3;gj63=9d;960`<5;3nm7<:f:?1=`d=:;51ln1>8h4=3;fa?42n2795hh524d897?ci38>j63=9e`960`<5;3oo7<:f:?1=ab=:;51ml1>8h4=3;f4?42n2795h?524d897?b:38>j63=9d1960`<5;3n97<:f:?1=`0=:;51l21>8h4=3c1b?>212wx>4j7:18:84>l103h45212;:>7?c02795=h5447897>a?382n63=8ed9003<5;2h;7<6b:?1=71=:0h01?79d;661>;5i<>18864}r0:05<72;q6>4j6:3:g?84><:03945rs3;g=?6=1r795i758e;8945>1382h452283b>13234826=cf2=?>70<7d381=g=::0996?7m;<0:3=<3=<16>l9l:57;?xu51m=0;64u228g7>=b>34;85n4=9d6897?6n3>>963=91596;51>n188;4=3c:7?2202wx>4;i:18184>m0094i522843>=3>3ty95h750;;x97?b132o563>38a963482>=4;549>6<6?2;3i70<7f18710=::1n36?7m;<0:72<51k16>49j:576?84f1h0?955rs3;55?6=:r795ho529f897?1:32>56s|28gb>5<>s482il47d89>56?c2;3nm63=9339003<5;3;57<6b:?1;51:21>4l4=3c:b?2202795:h54478yv4>>:0;6?u228ga>7>c3482:947589~w7?bj3:15v3=9d`96<452=?>70<60`81=g=::1l969;:;<0;`d<51k16>4=6:3;a?84fi;0?955228:3>1323ty95;;50;0x97?bk383h63=9749<0?6;50mh1>4l4=3;0e?4>j279ml;544:897??93>>96s|2844>5<5s482ii4=8e9>6<0?21?27p}=9df94??|5;3nh76k9:?275jl:3;a?84>;k095o522`c;>13?34824?4;549~w7?113:1>v3=9dg96=b<5;3=m76:9:p6;50o?188;4=3:g`?4>j2795>m528`897??;3>>963=a`f9001659z?1=``=0m301<=6f;0:ac=::08=69;:;<0:4`<51k16>5h9:576?84?ll095o52281g>7?e348jmk4;599>6<>32=?>7p}=95394?4|5;3om7<7d:?1=14=0<30q~<6d`83><}::0nj65j6;<30e5<51mk01?7>0;661>;50o21>4l4=3:f4?22=2794n6528`897?50382n63=a4;900><5;3=i7::5:p6<242909w0<6dc81?65;6;|q1=ad=833p1?7kb;:g=>;6;h:1>4jm;<0:54<3=<16>5h6:3;a?84?m80?985229a:>7?e3482>44=9c9>6<0a2=?>7052z?1=ae=:1n01?7;6;:6=>{t:0nh6=46{<0:`fo>:3;gg>;5188188;4=3:ee?4>j2794h<5447897>di382n63=93c967}::0no6?6k;<0:0=lm16>4?<:576?84?nk095o5229g0>1323483oo4=9c9>6<4e2;3i70<6708710=::h<=69;8;|q1=1?=838p1?7ke;0;`>;51=k14874}r0:``<720q6>4jj:9f:?874i;095ik4=3;20?22=2794km528`897>b<3>>963=8ba96{t:0>i6=4={<0:`c<50m16>4:l:97:?xu51ml1<77t=3;gb?>c127:?l<528fe?84>9<0?985229dg>7?e3483i84;549>6=ec2;3i70<62e81=g=::0=869;:;<0b2`<3=>1v?7;d;296~;51l:1>5j4=3;7a?>212wx>4k?:18:84>m903h45212c0>7?b82795<85447897>am382n63=8d49003<5;2hi7<6b:?1=7c=:0h01?784;661>;5i>;18894}r0:0c<72;q6>4k>:3:g?84>=903945rs3;f5?6=1r795h?58e;8945f;382i<522834>1323483jk4=9c9>6=c02=?>70<7cg81=g=::08m6?7m;<0:30<3=<16>l9;:574?xu51<;1<7m;0;64u228g1>=b>34;8m94=9d0897?603>>963=91296;51><188;4=3c43?22?2wx>4;<:18184>m:094i522877>=3>3ty95h=50;;x97?b;32o563>3`6966<662;3i70<7e88710=::1n:6?7m;<0:74<51k16>498:576?84f?h0?9:5rs3;62?6=:r795h;529f897?2?32>56s|28g6>5<>s482i847d89>56?f2;3n963=90`9003<5;3;?7<6b:?1<`d=<;51:91>4l4=3;4=?22=279m5<54458yv4>=10;6?u228g5>7>c34829447589~w7?b>3:15v3=9d496<7d2=?>70<60581=g=::1oh69;:;<0;`1<51k16>4=;:3;a?84>?h0?98522`:6>1303ty958o50;0x97?b?383h63=94`9<0?6;50m?1>4l4=3;01?4>j2795:l5447897g?03>>;6s|287`>5<5s482i54=8e9>6<3c21?27p}=9d:94?>|5;3n476k9:?275j9:3;a?84>?j0?98522`:a>1303ty94ol50;0x945>1383no5229ce><413ty94lh50;0x97>fn3=8j63=8c0963556?d2;2ii63=8c09=706=d52>9m70<7b28126=z{;2ij7>52z?2752z?1{t:1i;6=4={<30=`<50j:01?6m4;;12>{t:1h?6=4={<0;f1<0;o16>5l::340?xu50j;1<75l::805?xu50k?1<77>d:2794o859348yv4?j?0;6?u229`5>25a3483n:4=629~w7>d;3:1>v3>3`396=e43483n:46279~w7>e?3:1>v3=8c5936`<5;2i47<93:p6=e32909w0?;50kk1>;=4}r0;g3<72;q6=>o;:3:`2>;50kk15?84}r0;fd<72;q6>5ln:61e?84?j909:>5rs3:ag?6=:r7:?4o529``?84?j902>;5rs3:a4?6=:r794o>572d897>e938=?6s|29`g>5<5s4;85o4=8cf897>e9339:6s|29`2>5<5s483n<483g9>6d5e2=?37p}=91d94?4|5;3;j76:9:?17}::1l<6:=i;<0:47<5>:1v?7>a;296~;518k14874=3;36??5>2wx>4>=:18184>8;07043ty95563=9159=706<602>9m70<6098126=z{;39<7>52z?1=76=0<301?7?8;;12>{t:0:36=4={<0:4=<0;o16>4>6:340?xu51;;1<7212795=759348yv4>800;6?u2282:>25a3482v3=9309<0?<5;3;m77=6:p6<6f2909w0<60`847c=::0:i6?8<;|q1=75=838p1?7=3;:6=>;519h15?84}r0:4g<72;q6>4>m:61e?84>8j09:>5rs3;10?6=:r795?:584;897?7k339:6s|282`>5<5s4826<6c2;<87p}=93794?4|5;39976:9:?1=5b=1;<0q~<60e83>7}::0:o6:=i;<0:4`<5>:1v?7=6;296~;51;<14874=3;3a??5>2wx>4>j:18184>8l07043ty95<>50;0x97?6832>563=8g:9=706=`?2>9m70<7f88126=z{;3:=7>52z?1=47=0<301?6i9;;12>{t:1l26=4={<0;b<<0;o16>5hn:340?xu51881<7212794ko59348yv4?nh0;6?u229db>25a3483jo4=629~w7?6;3:1>v3=9019<0?<5;2mn77=6:p6=`e2909w0<7fc847c=::1lh6?8<;|q1=42=838p1?7>4;:6=>;50oi15?84}r0;bf<72;q6>5hl:61e?84?nm09:>5rs3;21?6=:r795<;584;897>al339:6s|29dg>5<5s483ji483g9>6=`b2;<87p}=90494?4|5;3::76:9:?17}::1ln6:=i;<0;bc<5>:1v?7>7;296~;518=14874=3:eb??5>2wx>5hi:18184?no07043ty95<650;0x97?6032>563=9129=706<672>9m70<6008126=z{;3:57>52z?1=4?=0<301?7?1;;12>{t:0::6=4={<0:44<0;o16>4><:340?xu518h1<7212795==59348yv4>8:0;6?u22820>25a3482<94=629~w7?6k3:1>v3=90a9<0?<5;3;877=6:p6<632909w0<605847c=::0:>6?8<;|q1=4b=838p1?7>d;:6=>;519?15?84}r0:40<72;q6>4>::61e?84>8?09:>5rs3;2a?6=:r795339:6s|2825>5<5s482<;483g9>56g12;3;:6s|29fe>5<5s483hk47589>6=e0208=7p}=8b594?4|5;2h;797}::1oj65;6;<0;`7<>:?1v?6k2;296~;50m81;>h4=3:g3?41;2wx>5ki:18184?mo03945229f4><413ty94i950;0x97>c?3=8j63=8e:96356=`721?270<7d98:63=z{;2o47>52z?1=?:l01?6k9;057>{t:1l:6=4={<0;b45j6:805?xu50m31<7=3>3483hl46279~w7>ci3:1>v3=8ec936`<5;2on7<93:p6=`42909w0<7f28;1<=::1ni64<9;|q1;50mi1>;=4}r0;b1<72;q6>5h;:97:?84?lj02>;5rs3:gg?6=:r794im572d897>cl38=?6s|29d6>5<5s483j847589>6=bc208=7p}=8ef94?4|5;2oh797}::1l=65;6;<0;``<>:?1v?6ke;296~;50mo1;>h4=3:`5k?:18184?m903945229a;><413ty94n650;0x97>d03=8j63=8b;96356=c621?270<7c88:63=z{;2h57>52z?1{t:1o96=4={<0;a75mn:805?xu50jk1<7=3>3483oo46279~w7>dj3:1>v3=8b`936`<5;2ho7<93:p6=c32909w0<7e58;1<=::1ih64<9;|q1;50jn1>;=4}r0;a0<72;q6>5k::97:?84?km02>;5rs3:``?6=:r794nj572d897>dm38=?6s|29g5>5<5s483i;47589>6=eb208=7p}=8bg94?4|5;2hi797}::1o<65;6;<0;gc<>:?1v?6lf;296~;50jl1;>h4=3:g4?41;2wx>5k7:18184?m103945229f3><413ty94i>50;0x97>c83=8j63=8e396356=c>21?270<7d08:63=z{;2o=7>52z?1{t:1oi6=4={<0;ag5j<:805?xu50m91<7=3>3483h946279~w7>c<3:1>v3=8e6936`<5;2o97<93:p6=cc2909w0<7ee8;1<=::1n>64<9;|q1;50m<1>;=4}r0;a`<72;q6>5kj:97:?84?l?02>;5rs3;ag?6=:r794i8572d8945f>382nn5rs3;5`?6=;r795?95934897??=3>i;63=97f9<0?:4?:2y>6<402>9m70<6338126=::03;6?8<;|q1=d4=838p1?775;50b>;51:l18894}r0:3=<72:q6>4==:805?84>190?n:52285;>=3>3ty95><50;1x97?4:3=8j63=9249635<5;3297<93:p6869;8;|q1=2b=839p1?7<6;;12>;510?18o94=3;4`?>212wx>4=9:18084>;?070434825;4=629~w7?e:3:1>v3=987936`<5;3>i7::7:p6<1b2908w0<6368:63=::03=69l8;<0:3`h4=3;0j:0;6?u228;5>25a3482:=4;569~w7?0n3:1?v3=92:9=70<5;32;7:m7:?1=2`=0<30q~<63983>6}::0936:=i;<0:7<<5>:16>477:340?xu51k>1<7090;6>u2281:><413482554;b69>6<>721?27p}=92;94?5|5;38579{t:0h>6=4={<0:==<0;o16>48;:574?xu511;1<7=t=3;0e??5>27954754c5897??932>56s|281b>5<4s482?l483g9>6<5e2;<870<69`8126=z{;3i:7>52z?1={t:0296=4<{<0:7g<>:?16>47n:5`4?84>0;03945rs3;0f?6=;r795>l572d897?4k38=?63=98`963569m70<669871==z{;33?7>53z?1=6e=1;<01?76b;6a3>;511914874}r0:7f<72:q6>4=l:61e?84>;m09:>5228;`>7043ty95o650;0x97?>j3=8j63=97c900>6<5c208=70<69b87f2=::02?65;6;|q1=6b=839p1?7;51;21>;=4=3;;2?41;2wx>4l6:18184>1j013?3ty95;k50;1x97?50339:63=99490g1<5;3=i76:9:p6<4?2908w0<629847c=::0826?8<;<0:<2<5>:1v?7n3;296~;511<1;>h4=3;76?2202wx>48i:18084>:002>;5228:4>1d03482:k47589~w7?513:1?v3=93;936`<5;39m7<93:?1==>=:?90q~<6a583>7}::02<6:=i;<0:01<3=11v?780;297~;51;k15?84=3;;584;8yv4>:h0;6>u2280b>25a3482>o4=629>6<>>2;<87p}=9`794?4|5;334796}::08i64<9;<0:<<<3j>16>49>:97:?xu51;h1<7=t=3;1f?14n2795?m5271897??i38=?6s|28c5>5<5s48244483g9>6<2?2=?37p}=96094?5|5;39o77=6:?1==g={t:08h6=4<{<0:6f<0;o16>40k09:>5rs3;b3?6=:r7955o572d897?3i3>>46s|2850>5<4s482>i46279>6<>e2=h<70<6728;1<=z{;39h7>53z?1=7b=?:l01?7=e;057>;511i1>;=4}r0:e=<72;q6>46m:61e?84>i;63=9669<0?h4?:2y>6<4b2>9m70<62g8126=::02o6?8<;|q1=d?=838p1?77c;50b>;51=o18864}r0:30<72:q6>40m0?n:522856>=3>3ty95?h50;1x97?5n3=8j63=9229635<5;33i7<93:p6;511o18o94=3;42?>212wx>4=?:18084>;9070434824k4=629~w7?fj3:1>v3=99g936`<5;3>>7::8:p6<102908w0<6308:63=::02m69l8;<0:32h4=3;07?41;27954?52718yv4>ij0;6?u228:e>25a3482994;599~w7?013:1?v3=9219=70<5;32=7:m7:?1=2?=0<30q~<63283>6}::0986:=i;<0:71<5>:16>47=:340?xu51ho1<7?h0;6>u22817><4134825?4;b69>6<1f21?27p}=92694?5|5;38879{t:0km6=4={<0:=7<0;o16>4;6:57;?xu51>h1<7=t=3;01??5>27954=54c5897?0j32>56s|2816>5<5s482?8483g9>67}::03?69l8;<0:3f1;>h4=3;6`?2202wx??l9:181873o84=20ba??5>2wx??oj:181855il07043ty8>o950;0x9423j399n:5233ce><413ty8>lh50;0x964fn3=8j63<2c29635512d2:8i463<2c29=7077d72>9m70==b08126=z{:8i57>52z?201b=;;h270==b08:63=z{:8i=7>52z?06g7=?:l01>{t;;hj6=4={<370`<4:kk01>{t;;h96=4={<11f7<0;o16??l<:340?xu4:kh1<7o:52718yv55jj0;6?u21573>64ek278>o:59348yv55j=0;6?u233`7>25a3499n84=629~w64el3:1>v3>443977dc3499n846279~w64fl3:1mv3<2c7936`<5::8>7::8:?25=7=;;ko70=>28871==:;8?369;8;<120f<3=>16?<:?:574?856;=0?955233c0>1313498<>4;569~w414<3:1>v3>2c79525334;<>n46279~w415k3:1>v3>73a936`<58=9h7<93:p52522909w0?=b782363<58=9h77=6:p524c2909w0?82e847c=:9>8n6?8<;|q2360=838p1<8n64<9;|q237c=838p1<9=e;50b>;6?;l1>;=4}r3472<72;q6=?l7:0503>;6?;l15?84}r346c<72;q6=:5rs050o75161;?870;902>;5rs0504?6=:r7:;>>572d89414938=?6s|161:>5<5s4;9nl4>72;894149339:6s|1612>5<5s4;52552;<87p}>72c94?4|588in7?83`9>5255208=7p}>72094?4|58=8>797}:9;hh6<94883>7}:9:;96??;9:?1517=1;<0q~<>4083>7}::8>:6:=i;<0207<5>:1v??;a;296~;6;891><:n;<0207<>:?1v??;2;296~;59=81;>h4=3377?41;2wx><:m:1818749=09=9l4=3377??5>2wx><:<:181846<:07043ty9=9m50;0x9456=38:8n522067><413ty9=9:50;0x9773<3=8j63=157963556712;;?h63=1579=7064222>9m70<>478126=z{;;?i7>52z?2741=:8>n70<>478:63=z{;;?:7>52z?1510=?:l01??;7;057>{t:8>m6=4={<305=<59=l01??;7;;12>{t:8><6=4={<0202<0;o16><:7:340?xu59<:1<7<:7:805?xu59<;1<7<={<020=<0;o16=i;<:575?87c=80?9;521e6e>13134;o8i4;579>5a2e2=?=70?k488713=:9m><69;9;<3g75<3=?16=i?7:575?87c9h0?9;521e36>13134;o=o4;579>5a7c2=?=70?k1g8713=:9m8:69;9;<3g66<3=?16=i<::575?87c:>0?9;521e0:>13134;o>o4;579>5a4b2=?=70?k308713=:9m9869;9;<3g70<3=?16=i=8:575?87c;00?9;521e1a>13134;o?i4;579>5a5a2=?=70?k408713=:9m>?69;9;<3g03<3=?16=?hi:3365>{t=1:1<72127:?9755928yv3el3:1>v3>35;91gb<58;2i7;md:p244=838p1;5;ji18884}r00gg<72:q6>>ml:57;?874<:09?nl4=31`b??5>2wx::;50;0x947an3<<963>3519223oi7>52z?253c=4;663>{t:=:96=4;{<0751<3=116>>li:576?8448j0?9;521266>727:2wx>>6l:1818440=0?9;52225;>6?23ty9?4850;0x975?<3>>;63=36`97de66>42=?=70<<7680=0=z{;9297>52z?17=5=<<=01?=8b;1b5>{t::2j6=4={<00<7<3=?16>>99:2;6?xu5;0>1<71313488;84<949~w75>;3:1>v3=3939001<5;9?2909w0<<818713=:::=?6>7:;|q17<4=838p1?=70;663>;5;>k1?lm4}r00<2<72;q6>>9i:575?844?:08585rs31:5?6=:r79?:h544589750i39j=6s|22:5>5<5s488;h4;579>66152:3>7p}=38294?4|5;97}:::=o69;9;<0034<41<1v?=7f;296~;5;>n18894=314e?5>=2wx99=50;7x947??3???63>32a9115<589?>7;;3:?276d===901?{t=<;1<721279?8m54458yv4413?34;8?n4=35d8yv44=90;69u2121g>752827:?>m52273?874;k09?8>4=30`0?22?2wx>>;=:187874;l09?8<4=010g?44=;16=>=m:3166>;5:j>18864}r0746<72;q6=>:9:3637>;6;=?1>9><;|q1052=838p1<=;7;0741=:9:>>6?:?4:p66g>2909w0?<43817d?<5;9j47::5:p66g12909w0<;5;h=14874}r3bgf<72;;p1;6jk?18894=0`a7?22?27:no?5445894dfn3>>;63>b`f9001<58hjn7::7:?2fd?=<<=01;6jh?18894=0`b7?22?27:nl?5445894d>n3>>;63>b8f9001<58h2n7::7:?2f;6j0?18894=0`:7?22?27:n4?5445894d?n3>>;63>b9f9001<58h3n7::7:?2f=?=<<=01;6j1?18894=0`;7?22?27:n5?5445894d0n3>>;63>b6f9001<58h{t90826=4=1z?2=a5=<<<012;663>;6i8:18894=0c3a?22?27:m=m5445894g7i3>>;63>a1:9001<58k;:7::7:?2e52=<<=01;6i9:18894=0;ea?22?27:5km5445894?ai3>>;63>9g:9001<583m:7::7:?2=c2=<<=01<7i2;663>;61o:18894=0;fa?22?27:5hm5445894?bi3>>;63>9d:9001<583n:7::7:?2=`2=<<=01<7j2;663>;61l:18894=0;ga?22?27:5im5445894?ci3>>;63>9e:9001<583o:7::7:?2=a3=0<30q~6}:9:o>6?ml6:?1ga1=<<=01<=j8;0`g3=z{:i:87>54z?2137=;j;?70?:5080g42<5:i9>76:9:?211d=;j;?7p}:3`83>75|58>?>7;<=9;66<>;4:;918864=0674?34i278:9l544789664:3>>:63<84f9003<5:3>j7::5:?0=dd=<7l7;661>;41l9188;4=25;4?22=278;4m54478961e03>>963<7e39003<5:=n47::5:?03c`=<6>6;661>;40;n188;4=2:70?22=27844:5447896>fj3>>963<2`0900><5:=897::5:?06d?=<<201<::6;70e>;4;1:18894=2c20?>2127895:5445896>0j3>>963>43f916g<58>9m7;{t;l>>6=4n{<117c<3=116??=l:57;?85513?349o:i47589>50252:o?963<2229001<5:8j57::7:?06;l3;66<>{t:k8m6=4<{<0aa0<3=?16=>ll:3`1b>;6;m=1>o;6;ko1>o=>;<30`3<5j:;0q~1}::ko>69;7;<30fa<5j::01<=k6;0a75=:9:n<6?l<0:p5dec2909>v3>bc7900><58hi?7::8:?2fg7=<<201;6jhn18864=0`bf?22027:nl7544:894df?3>>463>b`7900><58hj?7::8:?2fd7=<<201;6j0n18864=0`:f?22027:n47544:894d>?3>>463>b87900><58h2?7::8:?2f<7=<<201;6j1n18864=0`;f?22027:n57544:894d??3>>463>b97900><58h3?7::8:?2f=7=<<201;6j>n18864=0`4f?22027:n:7544:8944c>3;joi521`g:>=3>34;9h:4>abf8yv7>:h0;6?544:894g7m3>>463>a1a900><58k;m7::8:?2e5>=<<201;6i9>18864=0c36?22027:m=>544:894?am3>>463>9ga900><583mm7::8:?2=c>=<<201<7i6;66<>;61o>18864=0;e6?22027:5k>544:894?bm3>>463>9da900><583nm7::8:?2=`>=<<201<7j6;66<>;61l>18864=0;f6?22027:5h>544:894?cm3>>463>9ea900><583om7::8:?2=a>=<<201<7k6;66<>;6:j=1=4{t;:<<6=4={<3710<4;?=01<::3;1022=z{:9=97>52z?2002=;:<>70?;52807337a1521?270=k7d8:3c=:;m=o649i;<1g3c<>?o16?i6?:85e?85c?k02;k523e5`><1a349o;4467g9>7a1f20=m7p}<5b294?g|58>?87=:c19>51272:?h<63<5b7900><58?8h7=:c19>511c2:?h<63>46d970e734;?4=4<5b289423939>o=5234ab><41349>494;599~w64>l3:1>v3>456977?c34995k4;599~w64>m3:1>v3>457977?b34995k4;569~w0232909>v3<5ba9<0?<5:?h>7::6:?021d=<<=01>8;8;663>;4>=>18894=2474?22?278:>m54458960403>>;63<6269001<5:<8<7::7:?027?=<<=01>8=5;663>;4>;;18894=242`?22?278:<7544589606=3>>;63<6039001<5:<;h7::7:?025?=<<=01>8?5;663>;4>9;18894=27e`?22?2789k754458963a=3>>;63<5g39001<5:?nh7::7:?01`?=<<=01>;j5;663>;4=l;18894=27g`?22?2789i754458963c=3>>;63<5e39001<5:?hh7::7:p7`732909w0?:a280a42<5:nh:7?nd:p6fe0290=w0?56cf2;ih;63=cd5936`<5;in57::7:?1g`g=<<<0q~?i3983>1}:9;oi6n8;66<>;580i15?84}r061c<72?q6=?m?:376b>;6:j81>8;i;<31g1<5=3}:9;i;68;:;<31`4<2=<16=?m=:476?87?nj03945213ae>03234;88=4:549~w731;3:19v3>2cd9600434;9ni4=57189450=38>:>523b1g>13034;9nh4=5718yv3>j3:1>v3>a8g9<0?<588o=7;6b:p6003290=w0?=d081132<588hj7<:659>56112;?=863>2e19600334;9h?4=5768944c838>:95rs4`3>5<5s4;3ji47589>57e5203f94?4|588jj7??2e9>57gb28:9h6s|f0c94?5|588ji7h>a:?26=2=n8k01<<76;d2e>{t;k8>6=4;{<3626<4j;?01<;;8;1a60=:9<<96>l=5:?211d=;k8>7p}50362:i:963>55:97f72349h>947589~w03?290?w0?;41861==:9=>=68;7;<370<<2=116?l?m:97:?xu2jh0;6?u2336b>13?34998o47589~w64>j3:1>v3<28`9<0?<5:8247::7:p65>?2909w0?<09814=><589;;7v3>31;965>d34;:o84=09a8yv47180;6?u2122b>76>9279<57544:8yv471;0;6?u2122a>76>:279<5754458yv47000;6?u221::>=3>34;8<:4=09;8yv3a83:1>v3>31591c6<58;h97;i0:p1fc=839p1<=82;7`a>;6;>?19nk4=2ag212wx9h=50;1x947e13?n?63>2eg91`5<588oj7;j3:p5f4e2909w0?=dd82g7d<58i9m7:?4:p1``=838p1;6;9o19hh4}r0625<721q6=>>j:3754>;4k:n18864=012a?42>916=><8:3754>;6;;>1>88?;<305f<5=?:01<1}:9;9n6o==;<317gr7:?ol52535?874j8098<84=0744?439?16=>km:3622>;5kl318864=017e?439?1vh7<:186875j:0n5>5213`2>`?434;94<4j929>571b2l3870?=7g8f=6=z{l=n6=4:{<31f7`1b34;9;i4j7d9~w7gb13:18v3>3c496dc>34;8n>4=ad;8945ei38ji45212`;>7gb12wx:=850;1x947dj3<;:63>26d9250<58857?62;?==63>2969600634;>;=4=573896eb83=8j6s|60194?5|58;hj78>3:?a5c<3=>16=?=i:730?xu5=??1<78t=c3e>13?34;9?o4=57789450?38>:852131e>731=27:>=752446?874130349<:54;569>72>52=?<70=89d8712=:;>hj69;8;<14`6<3=>16?:kn:574?85?880?9:52393;>1303493>k4;569>7=212=?<70=77g8712=:;13=69;8;<1;ea<3=>16?5m;:574?85?lk0?9:5239d1>1303492<44;569>7<7e2=?<70=6328712=:;0>j69;8;<1:3a<3=>16?47;:574?872:?0>8i52140g>02c3ty9m>750;0x945fj38j?45212cb>7g412wx=k<6:181875m90:j?74=00f0?7a:01v7}:9;o96f3a94?4|588n?7?i2b9>57c328l9o6s|1g0g>5<5s4;9i94>f3f894`503>>;6s|3315>5<5s4;??o4<22489424m399?;5rs2003?6=:r7:8>m53314?873;l08>>94}r117=<72;q6=9=k:200<>;6<:o1??=7;|q066?=838p1<:5<1s49>o?4;569>51>62?kh70?:ac85ef=:;21278hn854168yv51>;0;6?u23741>=3>349=:=4;579~w602n3:1>v3>4909733a349=:=4;569~w60183:1>v3<6729<0?<58?jn7=9619~w6c603:1>v3>5`797`7?349oo;4>ag9~w6c6i3:1>v3>5`497`7f349oo;4>b19~w057290?w0?<778675=:9:=<68=?;<1`7=<3=>16=<96:413?xu5jh21<7?={<30fc<5jh201<=l0;0ae==:9:i>6?ln8:?27fe=:kk370?<589hi756ea2;hj463>3e296gg?34;8h<4=b`:8945c:38im55212f0>7df027:?i:52cc;?874kk09nl64=01`e?4ei116=>m6:3`b<>;6;j21>oo7;<30g2<5jh201<=l6;0ae==z{:o:o7>52z?21d1=;l;h70=kc782f4=z{;:257>52z?146b;662>{t;l;n6=4={<36e=<4m8o01>jl6;3b2>{t;l8;6=4={<36e<<4m;:01>jl6;3b3>{t;l896=4={<36ed<4m;801>jl6;3b<>{t;l;96=4={<36e7<4m8801>jl6;3b=>{t:?lh6=4=1z?1<<5=0<301?660;66<>;501o18864=3:;g?22027945o544:897>?03>>463=894900><5;2387::8:?1<=4=<<201?670;66<>;50>o18864=3:4g?2202794:o544:897>003>>463=864900><5;2<87::8:?1<24=<<201?680;66<>;50?o18864=3:5g?2202794;o544:897>103>>463=874900><5;2=87::8:?1<34=<<201?690;66<>;50203>>463=844900><5;2>87::8:?1<04=<<20q~<9f883>77|5;22>76:9:?1<<6=<<=01?67e;663>;501i18894=3:;e?22?2794565445897>?>3>>;63=8969001<5;23>7::7:?1<=6=<<=01?68e;663>;50>i18894=3:4e?22?2794:65445897>0>3>>;63=8669001<5;2<>7::7:?1<26=<<=01?69e;663>;50?i18894=3:5e?22?2794;65445897>1>3>>;63=8769001<5;2=>7::7:?1<36=<<=01?6:e;663>;502>3>>;63=8469001<5;2>>7::7:p164=838p1?664;:6=>;500;18884}r700?6=:r7944?544589470k3?886s|3c02>5<1s4;>::4<521447>6d5927:9;;53c02?85ej002>;521466>6d592wx?o>08n?>4=0773?5e:916=8:::2`14>{t=:<1<721279m9o54448yv3403:1?v3>16d916><5;k?m7::7:?1<0}::h>j69;7;<30e`<51h;01<=n5;0:e4=:9:km6?7n1:?27db=:0k:7p}=d0194?4|5;n:?76:9:?265e=:m;87p}=d0494?4|5;n::76:9:?1`4>=<<20q~7}::m;965;6;<0g50<3=?1v?j>4;296~;5l8>14874=3f21?22?2wx>i6k:181874ml09h5j4=01f`?4c0m1v?j7e;296~;6;ll1>i6j;<30aa<5l1o0q~7}:9:l;6?j7f:?27`b=:m2m7p}=d8294?4|589m=756cc2;n2<6s|2e:`>5<6:r7:?hj52e:`?874mj09h5m4=01ef?4c0j16=>hn:3f;g>;6;o31>i6l;<30b=<5l1i01<=i7;0g51662;n3o63>41296a>d34;8jk4=d9a8945am38o4n5212dg>7b?k27:?km52e:`?874n;09h5m4}r0`e5<72;q6=>k>:3ab4>;6;l:1>no?;|q1gd7=838p1<=j2;0`e4=:9:o;6?mn1:p6fg52909w0?v3>3d696fg434;8i=4=c`18yv4d1o0;6<no9:57;?84dj=0?95522b`5>13?348hn54;599>6fdf2=?37016>nm=:574?84dk=0?9:522b`1>130348hn=4;569>6fgb2=?<7016=>ji:3a:b>{t:kk26=4={<30g4<5jh301<=l0;0ae<=z{;hjm7>52z?27f4=:kkj70?56e42;hjn63>3b296gge3ty9nlm50;0x945d<38imn5212a3>7dfk2wx?llm:181872;m08mol4=2c`5?22?2wx9>750;1x943383?8563>192916?<5:?357::5:p7ddb2909w0?:4180egc<5:kh=7::8:p7dda2909w0?:4080eg`<5:kh=7::6:p7de62909w0=nc08;1<=:;hh269;7;|q0ef2=838p1>om9;662>;6==81?lm;;|q0eg>=838p1>om9;663>;6=:i1?ll7;|q67f<72;q6?;:l:97:?8515<5s49=8o4;599>7ddf21?27p}l0q~=nbb83>6}:9<9n6>omc:?0egg=<53z?216`=;hho70=nb`8713=:9<9h6>omd:p1<2=83hp1>;7b;:6=>;4=h>18894=27:b?22?27894o54458963>03>>;63>46g91<2<58>8;7;64:?01d?=<<=01>;m4;663>;4=hl18894=27;`?22?2wx?o<=:187872>m08n?<4=075g?5e:;16=8;8:2`16>;4j1l1;>h4}r3e6c<72<=:0d1b>;6;8n1=k6673d2=?<70<=558712=::;>o69;8;<017f<3=116>?=n:57;?845;>0?9552231;>13?34;9i;4>f238yvg0m3:1>v3>22`9e2c<5k>>69;7;|q1041=839p1<<7:?271d=:=;<7p}<39194?4|5:93?76:9:?07=2=<<<0q~?l1283>7}:9j;?65;6;<3aa=<3=11v1;296~;6k8814874=0`f3?2202wx=n>i:18187d990394521cg6>13?3ty:m:>50;0x94g0932>563>a57900>5d0a21?270?n45871==z{8k=o7>52z?2e3b=0<301{t=;31<7?={<1460=o0?9;5238ca>1313492o:4;579>73h69;9;<14f=<3=?16?:j>:575?850m10?9;5236de>1313493=;4;579>7=4c2=?=70=7458713=:;13?69;9;<1;eg<3=?16?59m:575?xu2<<0;6?u210:;>02234;mm=4;569~w0202909w0?>8`8602=:9ok;69;7;|q0<06=83kp1<:7a;1;15=:9=226>6:0:?20=>=;1?;70?;8680<06<58>3:7=7519>51>22:2><63>49697=3734;?4>4<84289634;3>>;63<4dd9<0?51>f2:=:963<70:900>52z?20=g=>l<01>9>6;661>{t;>;;6=4={<37<<<4?8:01>9>3;66<>{t>l21<7617j278;=k544:8yv0bi3:1>v3>49:92`g<5:=;o7::5:p72612909w0?;8680350<5:=;57::8:p2`e=838p1<:77;4fg>;4?9=188;4}r1444<72;q6=969:2535>;4?9>18864}r4fa?6=:r7:85856dg89617:3>>96s|37d1>5<5s4;?484<6g08960a=3>>46s|6g294?4|58>3978i0:?02c5=<7}:9=2?6>8mb:?02gc=<<20q~8i2;296~;6<1>1:k<4=24ag?22=2wx?;6;:1818730:08:5:4=24;3?2202wx:k:50;0x942?;3727a2=?370=81`871==:;?k969;7;<15=a<3=116?;77:57;?8511:0?955237:f>13?349=444;599>71cf21?27p}<70d94?5|5:=:j76:9:?2676=;>;m70=823871==z{:=:m7>53z?034g=0<301<<=1;145d=:;>;o69;7;|q0<05=833p1>8ic;66<>;4>o=18864=24f`?220278:h6544:8960b;3>>463<6eg900><5::j6;:6=>{t;?lh6=4<{<15bf;4>ol18864}r15b2<72:q6?;h8:97:?875::08:k94=24ee?2202wx?;kk:180851mm0394521307>60bl278:k>544:8yv51m10;6>u237g;>=3>34;9>84<6d:8960bj3>>46s|37g0>5<4s49=i>47589>57412:73bb21?270?=26802ac<5:2908w0=9d88;1<=:9;836>8k9:?02ae=<<20q~=9d583>6}:;?n?65;6;<316<<4>m>01>8k7;66<>{t;1?96=46{<15gc<3=116?;mn:57;?851k<0?955237a3>13?349=n;4;599>73d62=?370=9ab871==:;?k<69;7;<17bg8lf;297~;4>jl14874=001e?51ko16?;j=:57;?xu4>jk1<7=t=24`e?>2127:>?l537ab?851km0?955rs24`1?6=;r78:n;584;89445k39=o85237a;>13?3ty8:n>50;1x960d832>563>23f973e7349=o>4;599~w60e>3:1?v3<6c49<0?<5889i7=9b79>73d>2=?37p}<6c394?5|5:53z?02de=0<301<<<0;15ef=:;?km69;7;|q02d1=839p1>8n7;:6=>;6::;1?;o8;<15ed<3=11v>8n2;297~;4>h814874=0006?51i;16?;o::57;?xu4>0n1<7=t=24:`?>2127:>>=537;g?851i90?955rs24:13?3ty8:4=50;1x960>;32>563>227973?4349=5;4;599~w60?m3:1?v3<69g9<0?<5888:7=98d9>73?62=?37p}<69;94?5|5:<3576:9:?2661=;?2270=98b871==z{::8:7>5ez?01<4=<<<01>=8a;662>;4;hl18884=21b3?22>278?4:54448965>:3>>:63<5849001<5:?2?7::7:?205?=;99=70=<858712=:;:k:64<9;<10:?16?>l>:575?854j;0?9;5rs4gf>5<1s49>5?4;569>70?12=?370=:92871==:;;3369;7;<11=<<3=>16?86;:97:?xu3lj0;65u234;1>=3>349>m84;569>70g72=?<70=:9c8712=:;5<0s49>m94;579>70?a2=?=70=:9`8713=:;<3369;9;<373`<2=916?8ol:575?8761;0>9=5rs27b0?6=:r789l:584;8963f;3>>96s|34c4>5<5s49>m>4;579>70g221?27p}7}:;<3m65;6;<16=`<3=<1v>;n2;296~;4=0o18884=27b4?>212wx?imm:1818521l0394523ea5>4?73ty894o50;0x963>i32>563<58;90035i4?:3y>70?>2=?=70=:9c8;1<=z{:nhm7>52z?01jl6;3;b>{t;<336=4={<16==130349>594;569>70>f21?270=:ab8712=:9<2:689;;<36<7<2?=16=86?:457?852i<0?955234c3>13?349>5o4;599~w63>>3:1>v3<585900><5:?2:76:9:p7ae>2909w0=:968;1<=:;mi=6<6j;|q01<3=838p1<:8e;16=0=:;<3?69;9;|q01<5=838p1>;64;66<>;4=0914874}r1gg=<72;q6?87;:97:?85ck?0:4i5rs3;:a?6=9lq6=>o6:3;:a>;6;h=1>47j;<30f5<510o01?o;51?i18894=3;5e?22?2795;65445897?1>3>>463=976900><5;3=>7::8:?1=36=<<201?7:e;66<>;51<818894=3;64?22?27959k5445897?3k3>>;63=95c9001<5;3?47::7:?1=10=<<=01?7;4;663>;51=818894=3;77?2202795>h544:897?2<3>>;63=9459001<5;3>57::7:?1=0d=<<=01?7:d;663>;5i;l18864=01bg?4>1l1vk;::1808751;0m985213;3>c3234;95<4i549~wc30290?w0?=938e12=:9;3j6k;8;<31=216=?7::g74?xua==0;69u213;3>c3334;9544i559>57?12o??70?=958e11=z{:in>7>525y>501c2:in>63m7a;662>;4k1218884=2a;2?22>278o5:5444896e?:3>>:63m8a;662>;4k>818884=2a44?22>27:9::53bg1?85d;10?95523b65>13?34;9<;4m:e;;12>;4k278o8<5934896e1k339:63mmb;;12>;4kk815?84=2`;b??5>278o4j5934896e>8339:6s|54694?4|58;297;:4:?27d3==<>0q~<7ac83>6}:9:k>6?6nb:?27g6=:1ki70?<998156g22;k>=63>3c296d363ty9m9h50;0x945f=38j8k5212`3>7g3n2wxj8?50;0x944?m3l>=63>2839b07?7>52z?26<7=n<901<<7f;d67>{t==h1<7?n{<1`=<<3=>16?n78:574?85d0j0?9:523b:b>130349h454;569>7f>12=?<70=l858712=:;j2969;8;<1`<5<3=>16?n9j:574?85d?j0?9:523b5b>130349h;?4;569>7f172=?<70?:73860g=:;jio69;9;<1`0c<3=?16?nm6:575?85d>:0?9;523b7e>131349h9o4;579>7f302=?=70=l528713=:;j2127998;544:8yv42>j0;6?u2182g>=3>348>994;599~w731j3:1>v3>91a9<0?<5;?>?7::8:p600f2909w0?60c8;1<=::;5=<;18864}r150d<72;q6=8o>:247e>;4>=214874}r73:42;?872i90><55214;e>06?34;>5h4:099>50?c2<:370?:9b864==:9<3i68>7;<36=d<28116=876:42;?872110><55214;4>06?34;>5;4:099>50?22<:370?:95864==:9<3868>7;<36=7<28116=87>:42;?872190><55214:e>06?34;>4h4:099>50>c2<:370?:8b864==:9<2i68>7;<36<55214:4>06?34;>4;4:099>50>22<:370?:85864==:9<2868>7;<3235<2811v>k>0;296~;6=h;1?h??;<1gg3<5k<1v>8;6;296~;6=h:1?;:9;<1501k?f;296~;6=h:1?h>i;<1gg3<5k=1v8?<:180876?80>=>523`2f>130349j?>4;569~w6b?:3:18v3>57`97a>534;>994563>55;97a>53ty895650;0x9420l39>455234:b>1303ty895750;0x963?132>563<59c900>50?a2:63<6529<0?50?a2:o;i6350?b2:<8i63<62a9<0?50?b2:o;h6350?c2:<8m63<62:9<0?50?c2:o;o6350?d2:<8:63<6269<0?50?d2:o;n6350?e2:<8>63<6229<0?50?e2:o;m63o4?:3y>50?f2:<9n63<63;9<0?50?f2:o;463:4?:3y>50?>2:<9;63<6379<0?50?>2:o;;63>4?:3y>50??2:<9?63<6339<0?50??2:o;:6350?02:<:j63<60f9<0?50?02:o;96350?12:<:n63<60;9<0?50?12:o;86350?22:<:;63<6079<0?4?:3y>50?22:o;?634?:3y>50?32:<:?63<6039<0?50?32:o;>6350?42:<;j63<61f9<0?50?42:o;=6350?52:<;n63<61;9<0?50?52:o;<6350?62:<;;63<6179<0?50?62:nmj634?:3y>50?72:<;?63<6139<0?50?72:nmi63jk4?:3y>50>a2:?mj63<5gf9<0?50>a2:nmh63jo4?:3y>50>b2:?mn63<5g;9<0?50>b2:nmo63j:4?:3y>50>c2:?m;63<5g79<0?50>c2:nmn63j>4?:3y>50>d2:?m?63<5g39<0?50>d2:nmm63ik4?:3y>50>e2:?nj63<5df9<0?50>e2:nm563io4?:3y>50>f2:?nn63<5d;9<0?50>f2:nm463i:4?:3y>50>>2:?n;63<5d79<0?50>>2:nm;63i>4?:3y>50>?2:?n?63<5d39<0?50>?2:nm:63hk4?:3y>50>02:?oj63<5ef9<0?50>02:nm963ho4?:3y>50>12:?on63<5e;9<0?50>12:nm863h:4?:3y>50>22:?o;63<5e79<0?4?:3y>50>22:nm?63h>4?:3y>50>32:?o?63<5e39<0?50>32:nm>63ok4?:3y>50>42:?hj63<5bf9<0?50>42:nm=63mn4?:3y>70gd21?270=:a98713=z{:?jn7>52z?01d>=<;n9;:6=>{t;mio6=4={<16e=21278?56544:8965>l3>>;6s|32:;>5<5s4984547589>76>e2=?=7p}<39;94?4|5:93576:9:?07=d=<<20q~==}:9=?n6>=l6:?253`=;:i=70=>b48712=:;9hh69;8;<371g<4;j<01=l6:?051}:9l>e:?2110=;k;n70?:6980f4c<58??97=m1d9~w6e603:18v3>54;97f7?349h>l47589>50362:i:463>55497f7?3ty>;k4?:3y>6=?b21?270<79e8713=z{;55z?1<6=4={2127n9:4;599~w`312909w0k:6;:6=>;b=>0?9:5rsd74>5<5s4o>;76:9:?f14<3=?1vh;7:181875?k0n9552e5d900152z?f0`v3j4g8;1<=:m<;18894}rg7a1g=<<20q~k;9;296~;b<0039452e5c900152z?f0dv3>26c9a1d<5l>969;8;|qf04<72;q6i9?584;89`252=?=7p}j4383>7}:m=814874=d67>1303tyn?o4?:3y>a6d=0<301h=k:57;?xub;j0;6?u2e2a9<0?<5l9o69;8;|qf7a<72;q6i>j584;89`502=?=7p}j3d83>7}:9;=26h=j;:50;0x9`5321?270k<5;662>{tm:?1<7=3>34o8;7::7:pa7c=838p1h>46s|e3d94?4|5l8m65;6;>50;0x9`5721?270k=a;662>{tm:;1<754;569~w`402909w0k=7;:6=>;b:10?9;5rsd0;>5<5s4o9476:9:?f6d<3=>1vh<>:1818c5932>563j22871==z{l896=4={2127n>>4;569~w`442909w0k=3;:6=>;b9m0?9;5rsd07>5<5s4;9;:4j259>a4d=<<=0q~k>a;296~;b9h039452e0`900052z?f5gv3j158;1<=:m8<18864}rg21?6=:r7n=847589>a40=<<=0q~k>6;296~;b9?039452e02900052z?2620=m8=01h>j:574?xub8m0;6?u2e1f9<0?<5l:n69;9;|qf4`<72;q6i=k584;89`772=?<7p}j0683>7}:m9=14874=d2:>13?3tyn<54?:3y>a5>=0<301h>6:574?xub800;6?u2e1;9<0?<5l:869;9;|qf4d<72;q6=?9::d2b?8c793>>;6s|e1294?4|5l:;65;6;2wxi=?50;0x9`6621?270k?3;663>{tlok1<7=3>34nmo7::8:p`cd=838p1ihm:97:?8bak3>>;6s|dga94?4|5mlh65;6;2wxhkj50;0x9440<3nmh63kf58712=z{ml86=4={2127oj94;579~wa`32909w0ji4;:6=>;cn?0?9:5rsegg>5<5s4nnh76:9:?gac<3=11vikj:1818bbm32>563keg8712=z{mom6=4={2127oi44;579~wa`72909w0?=728gb5=:ll=18894}rff2?6=:r7oi;47589>``1=<<<0q~jj7;296~;cm>039452dd;900152z?ga5v3ke08;1<=:ll818894}rff6?6=:r7oi?47589>`ae=<<<0q~jj3;296~;6:>81hh=4=efb>1303tyoh44?:3y>`a?=0<301ijn:575?xuclh0;6?u2dec9<0?<5mnh69;8;|qg`6<72;q6hi=584;89ab22=?37p}kd583>7}:lm>14874=ef6>1303tyoh84?:3y>`a3=0<301imi:575?xucl?0;6?u21352>ab134nhh7::7:p`fe=838p1iml:97:?8bdl3>>:6s|dbf94?4|5mio65;6;{tlj=1<7=3>34nh47::7:p`f>=838p1im7:97:?8bd:3>>:6s|db;94?4|588<<7jl9:?gg5<3=>1vili:1818ben32>563kc18713=z{mi;6=4={2127oo?4;569~wad>2909w0jm9;:6=>;cjk0?955rse`b>5<5s4nim76:9:?gfg<3=>1vilm:1818bej32>563kb48713=z{mhh6=4={<312cv3kb38;1<=:lk918884}rfa7?6=:r7on>47589>`g3=<<=0q~jnc;296~;cij039452d`g900>52z?geav3kad8;1<=:lh218884}rfbb?6=:r7:>;k5d`d89ag12=?<7p}ka483>7}:lh?14874=ec5>1313tyom;4?:3y>`d0=0<301io7:574?xuc1l0;6?u2d8g9<0?<5mk;69;7;|qg=c<72;q6h4h584;89ag72=?<7p}ka183>7}:lh:14874=e;b>1313tyom<4?:3y>570c2mk:70j68;663>{tl0=1<7=3>34n247::6:p`<>=838p1i77:97:?8b>i3>>;6s|d8094?4|5m3965;6;{tl0>1<7=3>34n3i7::6:p`<3=838p1<<9c;f:1>;c0j0?9:5rse:a>5<5s4n3n76:9:?g563k8d8712=z{m2>6=4={2127o4:4;599~wa>12909w0j76;:6=>;c0>0?9:5rse:4>5<5s4n3;76:9:?g<4<3=?1vi67:181875>k0o4552d6d900152z?g3`v3k7g8;1<=:l1;18894}rf4`2g=<<20q~j89;296~;c?0039452d6c900152z?g3dv3>27c9`2d<5m=969;8;|qg34<72;q6h:?584;89a152=?=7p}k7383>7}:l>814874=e57>1303tyo:o4?:3y>`3d=0<301i8k:57;?xuc>j0;6?u2d7a9<0?<5m7}:9;<26i8j;{tl??1<7=3>34n=;7::7:p`0b=838p1i;k:97:?8b2n3>>46s|d4g94?4|5m?n65;6;{tl?:1<7;c=>0?9;5rse74>5<5s4n>;76:9:?g1<<3=>1vi;>:1818b2932>563k52871==z{m?96=4={2127o9>4;569~wa342909w0j:3;:6=>;c5<5s4;9::4k559>`1d=<<=0q~j;a;296~;c52z?g0gv3k458;1<=:l=<18864}rf71?6=:r7o8847589>`10=<<=0q~j;6;296~;c52z?2630=l==01i=j:574?xuc;m0;6?u2d2f9<0?<5m9n69;9;|qg7`<72;q6h>k584;89a272=?<7p}k3683>7}:l:=14874=e1:>13?3tyo?54?:3y>`6>=0<301i=6:574?xuc;00;6?u2d2;9<0?<5m9869;9;|qg7d<72;q6=?8::e1b?8b493>>;6s|d2294?4|5m9;65;6;2wxh>?50;0x9a5621?270j<3;663>{tl;k1<7=3>34n9o7::8:p`7d=838p1i>;6s|d3a94?4|5m8h65;6;2wxh?j50;0x9441<3n9h63k258712=z{m886=4={2127o>94;579~wa432909w0j=4;:6=>;c:?0?9:5rse3`>5<5s4n:o76:9:?g5`<3=11vi?k:1818b6l32>563k1d8712=z{m;n6=4={2127o=54;579~wa7a2909w0?=628g5c=:l8<18894}rf21?6=:r7o=847589>`40=<<<0q~j>6;296~;c9?039452d0:900152z?g55v3k108;1<=:l8818894}rf26?6=:r7o=?47589>`5e=<<<0q~j>3;296~;6:?81h<=4=e2b>1303tyo<44?:3y>`5?=0<301i>n:575?xuc8h0;6?u2d1c9<0?<5m:h69;8;|qg46<72;q6h==584;89a622=?37p}k0583>7}:l9>14874=e26>1303tyo<84?:3y>`53=0<301nhi:575?xuc8?0;6?u21342>a6134imh7::7:pgce=838p1nhl:97:?8eal3>>:6s|cgf94?4|5jlo65;6;{tko=1<7=3>34im47::7:pgc>=838p1nh7:97:?8ea:3>>:6s|cg;94?4|588=<7mi9:?`b5<3=>1vnki:1818ebn32>563lf18713=z{jl;6=4={2127hj?4;569~wfc>2909w0mj9;:6=>;dmk0?955rsbgb>5<5s4inm76:9:?`ag<3=>1vnkm:1818ebj32>563le48713=z{joh6=4={<311cv3le38;1<=:kl918884}raf7?6=:r7hi>47589>g`3=<<=0q~mkc;296~;dlj039452ceg900>52z?``av3ldd8;1<=:km218884}ragb?6=:r7:>8k5ced89fb12=?<7p}ld483>7}:km?14874=bf5>1313tyhh;4?:3y>ga0=0<301nj7:574?xudko0;6?u2cbd9<0?<5jn:69;7;|q``5<72;q6oi>584;89fb62=?<7p}ld083>7}:km;14874=baa>1313tyhh?4?:3y>573c2jn970ml9;663>{tkj21<7=3>34ih57::6:pgf?=838p1nm6:97:?8edj3>>;6s|cb394?4|5ji:65;6;{tkj91<7=3>34iih7::6:pgf2=838p1<<:c;a`0>;djk0?9:5rsb`b>5<5s4iim76:9:?`fg<3=?1vnlm:1818eej32>563lbe8712=z{:93i7>52z?07=c=0<301>=60;662>{t;:2m6=4={<3712<4;1l01>=60;663>{t;:3;6=4={<10=57=:574?xu4;0;1<721278?4<544:8yv4b=j0;68u232;g>13?34;?<84=e4a89427<38n9n5232c:>13?3498m84;569~w65>l3:1>v3<38f9<0?<5:9j<7::6:p76?b2909w0=<9d8;1<=:;:k;69;7;|q0g45=839p1>m=1;:6=>;6=<:1?n?<;<361c<4k890q~=l0g83>1}:;j;j65;6;<360c<4k9l01<;:b;1`4c=:9<>j6>m?f:p5c5>2908w0?=eb82b6?<589:<7?i389>65b22=?37p}<7=l119>503b2:i:<6350372:i:=63>54g97f7634;>9i456s|3b31>5<3s4;>9=46e6:278ou21473>6d5i27:9;>53c0b?876k>08n?o4}r1a62<72;q6=8:k:2`13>;6==l1?o<8;|q0f7>=838p1<;;e;1a6==:9<>m6>l=8:p7g4>2908w0?:4g80f7?<58??o7=m289>500f2:h956s|3b34>5<3s4;>9<46e6?278o?6584;8yv5e:k0;6?u21472>6d5j27:=n953c0a?xu4;h<1<721278?l9544:8yv3?93:1>v3<37c9000<5:9=n76:9:p7555290:ov3<37c9001<5::8>76:9:?0`22=<<<01<:?a;1377=:;816?<8m:574?856>00?9:523044>130349::84;569>74042=?<70=ka58712=:9=8:6>><2:?207e=;99970?;2880464<58>9:7=?339>51442::8>63>44c97555349::<4;599>514b2::8>63<08g9001<5::2j7::6:?07g4=<<=01<:<6;1377=:;8==69;8;<1231<3=>16?<<9:574?856:=0?9:5rs20b4?6=>;63>454977g73498n?4;599~w6d5;3:1=>u23c:0>131349i4=4;579>7g1c2=?=70=m7`8713=:;k=<69;9;<1a2d<3=?16?o88:575?85e><0?9;523c41>131349i9i4;579>7g3f2=?=70=m568713=:;k??69;9;<1a14<3=?16?o:l:575?85e<10?9;523c52>130349i:n4;569>502>2:h9?6s|1g16>5<2s4;8>;4>f278945583;m?852123a>4`4=27:>h751g16?8749h0:j>;4}r3e71<72<::0d00>;6;;91=k=;;<3064<6n:>01<=>f;3e71=:9;o36;6:0i1j585f0a8944?=3l:o6s|f`a94?0|5882i7hnc:?26d1=nhi01<;6:h91jlm4=00b5?`fk27:>4j5f`a8yv7fjk0;6;u213f6>4gej27:moo5444894gdn3>>463>ad2900><588o47?nbc9>5dda2=?<7p}>90:94?0|588h:7?6199>5<702=?=70?62b871==:909o69;7;<31g<<618201<7>c;663>{tn;l1<77t=00b`?`5n27:>ll5f3d8944f13l9j63>28d9b7`<5882?7h=f:?26=b=n;l01<<7b;d1b>;6:121j?h4=00;=?`5n2wxj2`c9b4d<588j47h>b:?26<>=n8h01<<7c;d2f>;6:1k1j5<5f0`8944?=3l:n6s|f`094?0|588j:7hn2:?26d2=nh801<;6:h:1jl<4=00:f?`f:27:>4m5f`08yv5e?=0;6?u23c52>13?34;>9;4o0;6?u23c4`>13?34;>984v3>2969b4?<5883?7h>9:p6g6>2908w0?56d32;h;56s|2c2;>5<5s4;8n44=b1:8945e?38i<55rs241`?6=:r7:85?5370g?851:l0?955rs241g?6=:r7:85>5370`?851:l0?9:5rs2g3=?6=:r78:?k584;896bd>38ij6s|57094?7es497=312=?370=65`871==:;0k=69;7;<1:g7<3=116?4jj:57;?850?j0?955236;;>13?34972bd2=?370=8f2871==:;1:j69;7;<1;64<3=116?5=7:57;?85?13?34935k4;599>7=d12=?370=7ce871==:;1o?69;7;<1;bg<3=116?4?=:57;?85>:<0?9552381`>13?34929>4;599>7<>12=?370=69g871==z{;o>i7>52z?2053=:l?n70=<78871==z{:=?o7>52z?20<2=;>>h70?=1g8031e76gf2=?<70=52z?07dg=0<301>=nf;66<>{t:>hn6=4={<04fc:7<:57;?xu5?ki1<721279;4<544:8yv40jh0;6?u226`a>=3>348<5=4;599~w4`4?3:1?v3>cg29<0?<58im?7::8:?14a3=<<=0q~7}::9n865;6;<03`7<3=?1v?>k6;296~;58m214874=32g5?22>2wx>=mm:18187499091313ty9>463>215965ed348;h447589~w76dl3:1>v3=0bf9<0?<589:=7v3=0e39001<5;:on79k0:p65b62909w0k2;663>;58m>14874}r05ba<72?q6=>7>:34e`>;6;081>;hk;<32`=<5>on01<=63;05ba=:9:2i6?8id:?27=`=:?lo7p}=85394?4|5892=7<7409>56?72;2?=6s|325:>5<5s498;447589>761f2=?<7p}<02794?4|5::8>7::7:?205>=;99>7p}=82;94?75s48=nl4;579>63d?2=?=70<9b78713=::?h?69;9;<05f7<3=>16>;l?:574?841il0?9:5227c`>130348=ml4;579>63g?2=?=70<9a78713=::?k?69;9;<05e7<3=?16>;o?:575?841i80?9:5227;g>13034;8444=82;8945?n383?45rs2`2b?6={t:?li6=48{<30=7<5>oh01<=60;05bg=:9:2o6?8ib:?27<3=:?li70?<92812cd<5893j7<9fc9>56>f2;5<5s4;?4h4<7508947a839<8?5rs2572?6=:r7:85h53665?876i;08;984}r1402<72;q6=97?:2573>;69h81?::8;|q031>=838p1<:61;140==:98k96>9;8:p17>=832p1;6<><19?64=0641?35027:8:=553:89420:3?9463>47f917><58>=o7;=8:?203d==;20q~;=c;29<~;69>?19?m4=0645?35k27:8:>553a89421n3?9o63>47g917e<58>=57;=c:?203>==;i01<:97;71g>{t=?o1<7ht=23:5?22?278=5h54458967?l3>>;63<19`9001<5:;357::7:?05=1=<<=01>?75;663>;491918894=23;5?22?278=:h544589670l3>>;63<16`9001<5:;<57::7:?0525=<<=01>?84;:6=>{t==:1<7ht=23:5?220278=5h544:8967?l3>>463<19`900><5:;357::8:?05=1=<<201>?75;66<>;491918864=23;5?220278=:h544:89670l3>>463<16`900><5:;<57::8:?0525=<<201>?86;:6=>{t;?16=<8i:245e>;49?;18894=066f?51>h16=;4>1:1;>h4=034a?51>h16=9;k:245e>;490n18884}r74e?6=:0q6?130349;i?4;569>75c72=?<70=?dd8712=:;9n=69;8;<13f`<3=>16?0?9:5231`e>130349;h>4;569>75ea2=?<70=?c28712=:;9h269;8;<13f0<3=>16?=ok:574?857i;0?9:5230ge>130349:on4;569>74e>2=?<70=>f58712=:;8l969;8;<12b5<3=>16?130349:n=4;569>74cf2=?<70=>a48712=:;800?95523044>13?349::84;599>74042=?370=?9d871==:;93m69;8;<12`a1<78t=076b?5e:=16=88?:2`10>;6=01<;:d;1a61=:9<>j6>l=4:p1=0=838p1<;:f;7;2>;690319584}r327d<72;q6=<=n:97:?876=h0?9;5rs0376?6=:r7:=9<584;89472i3>>;6s|1075>5<5s4;:9l4;599>543>2>9m7p}>14c94?4|58;>m76:9:?265`=98?j7p}>12;94?4|58;8576:9:?251?=<<<0q~?>3c83>7}:989i65;6;<320<<3=>1v2wx=<:>:181876<8039452106;>1303ty:=9o50;0x9473i32>563>1429000542e21?270?>518712=z{8;?o7>52z?2506=<<201{t98?;6=4={<3215:57;?xu510l1<7?m{<0:=al=m:575?84>>j0?9;52284b>1313482:54;579>6<012=?=70<6658713=::0<969;9;<0:25<3=?16>4;j:575?84>=;0?9;522873>13134828h4;579>6<2d2=?=70<64`8713=::0>369;9;<0:03<3=?16>4:;:575?84><;0?9;522860>1313482?k4;579>6<332=?=70<6568713=::0?269;9;<0:1g<3=?16>4;k:575?84f:o0?9;5rs3cba?6=:r79mlk584;897ge83>>:6s|2`ce>5<5s48jmk47589>6dd72=?<7p}=a`594?4|5;kj;76:9:?1ed?=<<<0q~7}::hk365;6;<0be<<3=>1v?on4;296~;5ih>14874=3cb2?22>2wx>lo::18184fi<0394522`c5>1303ty9ml?50;0x97gf932>563=a`190006dg521?27052z?1e{t:h3m6=4={<0b=clo?:574?xu5i031<721279m4j54448yv4f1j0;6?u22`;g>130348j5l47589~w7g>:3:1>v3=a809<0?<5;k2:7::6:p6d?22909w0;5i>l18884}r0b3`<72;q6>l9i:574?84f?j03945rs3c6>:6s|2`7:>5<5s48j9447589>6d3f2=?<7p}=a4194?4|5;k>?76:9:?1e01=<<<0q~7}::h?<69;8;<0b11m9b;296~;4k?h14874=003`?5d>k1v>m96;296~;4k?<14874=003a?5d>?1v?ona;296~;5ihk14874=3cbg?2202wx>l8m:18184f>k0394522`4g>13?3ty9m;950;0x97g1?32>563=a7;900>4?:3y>6d0421?270j7>52z?1e0`=0<301?o91;66<>{t:h?i6=4={<0b1gl;k:57;?xu5:21279>8m54478yv45=h0;6?u2237b>=3>34899n4;579~w743k3:18v3>3269672d34899n4;599>67332=?370<=4e871==z{;8>o7>52z?160e=0<301>ml0;66<>{t:;?>6=4={<0110?;;:576?xu5:<91<721279>8:54448yv45==0;6?u22377>=3>349hn:4;599~w743m3:1>v3=25g9<0?<5;8?h7::5:p672>2909w0<=488;1<=::;>o69;9;|q161b=838p1?<;d;:6=>;4kho18864}r77b?6=nr7:8?l555d8942503??j63>437911`<58>9>7;;f:?204`===l01>?=6;:6=>;498o18894=232>;63>40c911`<5:;;<7::7:?04cg=<<=01>>i4;663>;48lo18894}r1212<72;q6=9;6<;i1?<;8;|q62=<72oq6=9:5521506>00?34;?>?4:699>517a2<<370=>258;1<=:;8;n69;7;<125=<3=116?00?349:<=4;599>75`f2=?370=?f5871==:;9on69;7;|q051d=838p1<:=8;120g=:9=826>?;b:p745a2909w0?;248056`<58>9:7=>3g9~w674;3:1>v3>4309745434;?>>4<1218yv56:h0;6?u2300b>=3>34;?>=4<13c8yv56:10;6?u2153e>675027:8??5300;?xu58181<7>=:32;6>{t:9286=4={<3040<581901<=?2;03<6=z{;:387>52z?2750=:92?70?<03814=256652;:3=63>311965>63ty9<:k50;328748;09<:k4=0a54?22?27:o;85444894e1=3>>:63>c769000<58i=?7::6:?2g34=<<<01;6k?l18884=0a5a?22>27:o;j5444894e1k3>>:63>c7`9001<58i=m7::7:?2g3?=<<=01;6k?=18894}r77477911><58>==7;;8:?25=d===20q~;;9;291~;6<>?19974=0644?33127:8;:555;8942183??563>19a911?55z?2025===k01<:9f;77e>;6<>i199o4=0657?33i27:=5j555c8yv33k3:19v3>460911e<58>=i7;;c:?202d===i01<:92;77g>;691l199m4}r77a?6=46c911c<58;2=7;;e:p104=83>p1<:9c;766>;647`9105<58>=;7;:3:?202>==<901{t:h>86=4={<0b7d<3=>16=>ol:3c77>{t:0k;6=4<{<0b7d<3=116>l=m:97:?874i1095l>4}r1`7g<72;q6=89?:2a0f>;6=?o1?n=m;|q0g6e=838p1<;81;1`7f=:;j9o69;9;|q0g6b=838p1>m;6=?o1?n=k;|q0g6c=838p1<;82;1`7`=:;j9m69;7;|q104`=838p1>ml3;663>;5<8l14874}r1`fc<72;q6?nm<:57;?85dk;05rs362a?6=:r78ooo544589726m32>56s|3b`5>5<5s49hnl4;599>7fd>2>9m7p}7}:;jh:69;7;<1`f5<0;o1v>mm1;296~;4kk;14874=0022?5dj81v8>i52155`>04c34;?;o4:2e9>51062<8o70?;61866a=:;<9869;7;<3233<2:m1v8=j:1808760:0>?h5235g0>13034;?:l4:3d9~w04f2903w0?;7`866d=:9==268=0>>l521540>04f34;?:?4:2`9>54132<8j7p}=01294?4|588m>757`72;:;<6s|1gde>5<5s4;9j<4>fgd89446:3;mjk5rs0d1a?6=>r79<=;54448944am3;m>h5213d6>4`5m27:>kl51g0f?875n10:j?k4=0dea?14n2wx>=>::1818478<0394521gdb>1303ty:jk>50;:x94`ai3>>963>2gg95c`734;9j84>fg28944aj3;mj=5213d;>4`a827:jk:572d8944b?3;mj=5213gb>4`a82wx>=>=:18187anh0?9;522121>25a3ty:jkj50;0x94`ai3>>463>2g295c`c3ty:jk650;gx94`ai32>563>e409003<58o>?7::5:?2a1d=<;6m>963>e5d9003<58o><7::5:?2a0c=<;6m896:?2050=;?<=70?;5g80230<58;2h7=9679>54?a2:<=:63>1`29730134;:m<4<67489600<3=8j63<1ea9003<5:;257::7:?053?=<<<01896:?05`7=<<201>=l4;662>;4;j?18864=0601?51>?16=9?>:2452>;49h218884=23b=?22027:8=j53745?876?h08:;84=066g?51>?1v>895;2953}:98=i6>895:?2051=;?<>70?;0780233<58;2h7=9649>54?a2:<=963>1`29730234;:m<4<6778960093=8j63<1ea9000<5:;2;7::7:?0531=<<<01>?j0;662>;49l;18884=21`0?220278?n;544789424=39=:8521532>601=27:8><53746?876?h08:;;4=034<16=9;l:2451>;49hi188;4}r1521<728894:?2051=;?8<7=9659>54?c2:<=863>18d9730334;:m=4<6768947f939=:952374f>25a349:hn4;599>74?22=?<70=>648713=:;8o:69;8;<10g7<>:?16=9=::2450>;6<8;1?;8;;<12e<<3=<16=9??:2450>;6<:81?;8;;<323=<4>?>01<::c;1521=z{<986=4:{<323g<2;:16=<7l:410?8758k0>?>521531>05434;?;68=:;<1`7c<3=>16=88i:416?874<80>?852105g>05234;8554:349>56142<9>70?<4`8670=:9:2j68=:;|q1134=838p1<=84;0627=:9:kj6?;92:p63`f2908w0?<88812cg<5;2><7::8:?27=c=:?lj7p}=85a94?4|5;2><7::7:?1<1c=?:l0q~?i3`83>3}::;9i69;:;<017<<3=<16>?=9:576?845;<0?9852123f>4`4i27:>hj51g1b?xu5::h1<7=t=300f?>212798?8544:89725?3>>46s|231`>5<5s489?n47589>61412=?<7p}=22;94?5|5;88576:9:?1074=<<201?:=3;66<>{t:;9j6=4={<017d9<=:574?xu5::<1<7=t=3002?>212798?7544:89725i3>>46s|2314>5<5s489?:47589>614>2=?<7p}=22794?5|5;88976:9:?0e`?=<<201>oja;66<>{t:;936=4={<017=13?348?>5483g9~w6e>m3:1>v3m61;66<>;5<;>1;>h4}r1`=4<72;q6?n7>:97:?85d1;0?9:5rs2a40?6=:r78o::584;89430<39h;95rs2cf3?6=:r78o965445896gb?32>56s|3`g;>5<5s49h854;599>7dce2>9m7p}7}::;>969;9;<0103<0;o1v?<?:<:181845<;0?95522360>25a3ty99:850;0x9743:32>5638i4?:3y>7=052=?>70=:628;`<=z{:2=>7>52z?0<34=0<301>6:7;662>{t=>;63<9b;9001<5:3n97::7:?0e5g=<<=01>9<7;663>;4i;n18894=03:3?32n2wx9;>50;;x96>2n3>>463<973900><5:3jh7::8:?0=f?=<<201>7j5;66<>;4i9k18864=2503?220278m?j544:8947>03?=<6s|397e>5<5s4939k47589>51e32:2>j6s|397:>5<5s4;?o94<84;896>2?32>56s|3943>5<5s4;?o94<8728942d;393:=5rs2fgg?6=?r7:8n:53ef`?855lh0?9:522g`;>130348mj54;569>6`b>2=?370=7578712=:;mi=6>;=;|q0<35=838p1<:l4;1;26=:;1<<69;8;|q527<72;;p1>696;66<>;4i8918864=2c01?2202785;o544:896?e>3>>463<9e0900><5:3ni7::8:?0e1`=<<201>o9c;66<>;4??k18864=25;0?220278;l>544:8961ek3>>463<7e7900><5:=no7::8:?0<55=<<201>6>a;66<>;40:;18864=2:7>03>>463<8`d900><5:2h:7::8:?06i4;66<>;419h18864=2;2a?2202785>;544:896?3k3>>463<96d900><5:32:7::8:?0307=<<201{t;1<=6=4={<1;23h16?58m:57;?xu40>;1<7{t;169b;:6=>{t;1?>6=4={<37g7<406:a;66<>{t;1?h6=4={<1;1a<3=116?5;n:97:?xu402127848k54448yv5?>00;6?u2397f>1323493::47589~w6ef<3:1>v3=838p1>mn8;:6=>;6:891?no7;|q0=30=838p1>7:b;662>;41?>14874}r76`?6=1r7858l5445896?f?3>>;63<9b19001<5:3oj7::7:?2175==;4?;i18894=03:2?32l27848954458yv5>>00;6?u2387a>13?34;?ji4<97;8yv5>=k0;6?u2387a>=3>34;?jn4<94`8yv5>>80;6?u23842>=3>34;?jn4<9738yv5>>;0;6?u215d`>6?1:27:8kl53841?xu4ll=1<79t=06eg?5cm>16??k::574?84bm=0?95522ga0>130349;<>4;569>7<3f2=?<70=kc7801a=z{:3=;7>52z?20ce=;0<<70=66e8712=z{<;>6=46{<1:2a<3=?16?4l6:575?85>l<0?9;5238d2>131349j=l4;579>7d5>2=?=70=8558713=:98=968?:;<1;22<3=?1v>79c;296~;41?n18864=2;5e?>212wx?48k:18185>>m0394523843>1323ty85;k50;0x942am392:h5215db>6?1m2wx?48i:181873no085;h4=06ee?5>>o1v>7:5;296~;67}:;0?m69;7;<1:1f7:f;296~;412wx?4l=:18185>i>0?9;5238`3>=3>3ty85o;50;0x96?f?3>>463>51d97563>51g97563>51g976?fm2wx?ikn:1848728l08hho4=20f3>>;63<0149001<5:3j:7::7:?0`f0=;?20q~=6b283>7}:9<:n6>7m3:?0=g?=<<=0q~=6b983>7}:;0h269;7;<1:f37m9;296~;41k314874=2;bg?22=2wx?4ln:18187299085oo4=073g?5>jh1v>7mb;296~;6=8;1?4lm;<364f<41kh0q~=6a083>7}:9<:j6>7n1:?215d=;0k:7p}<9`c94?4|5:3jn7::8:?0=d>=0<30q~=6ac83>7}:;0ki65;6;<1:ef<3=?1v>?>c;296~;498n18884=062a?569j1v8=m:18b8569m0?9:523034>130349:=<4;569>746e2=?<70=>048713=:;9lm69;8;<13b<<3=>16?=h<:574?857mj0?9:5210:2>05e3ty8=?>50;0x9676l3>>463<10g9<0?74702=?=70?;1e8054074702=?370=>198;1<=z{:;:<7>52z?0547=<<<01<:>c;1255=z{:;:87>52z?0547=<<201>?>2;:6=>{t;8:j6=4={<124g<3=?16=9?m:233e>{t;8:n6=4={<124g<3=116?<>l:97:?xu499>1<727:8<6531df?xu49981<7584;8yv57n10;6?u231d:>13134;?=:4<0g:8yv57nj0;6?u231d:>13?349;jl47589~w66a:3:1>v3<0g19000<58>::7=?f39~w66a>3:1>v3<0g1900><5::m876:9:p75cf2909w0=?eb8713=:9=;>6>>ja:p75`72909w0=?eb871==:;9on65;6;|q1475=838p1<=3:p65432909w0?=fd81472<588mo72ga95c5534;9j>4>f208944a13;m??5213d5>4`4:27:>h951g11?xu6n:<1<7;t=00eg?7a;?16=?h<:0d02>;6:o31=k=9;<31b3<6n:<01<7>5dz?26ce=:98970?j53871==:9l?869;7;<3f0g<3=?16=h:l:574?87b=<0?9;521d75>13?34;n8546279>5`2a2=?=70?j518713=:9l?m64<9;<3f1<<>:?16=h;l:805?xu589=1<716=?h::3233>{t:9:j6=4={<31b0<589k01<5dz?26c5=:9:=70?j538713=:9l?869;9;<3f02<>:?16=h;::574?87b=?0?9:521d6:>13?34;n8l4;599>5`2a2=?370?j51871==:9l?n69;8;<3f1=<3=>16=h;m:57;?xu41jo1<72785nm584;8yv5>l80;6?u238a0>13?34;>=:4<9e38yv5>k:0;6?u238a0>=3>34;>=;4<9b18yv5>k00;6?u238a:>=3>34;>=;4<9b;8yv5>kh0;6?u21435>6?di27:9<;538ab?xu4llh1<79t=0722?5cmk16??k6:574?84bm10?95522ga4>130349;<:4;569>752z?2140=;0im70=6d48712=z{:3o87>52z?0=a3=<<201>7k2;:6=>{t;0n>6=4={<1:`0l?16=8?;:2;g2>{t;0n<6=4={<365<<41m=01<;>4;1:`2=z{:3ih7>52z?2144=;0ho70?:1280=gb752z?0=f1=0<301>7l8;662>{t;0oj6=4={<1:`c<3=?16?4k7:97:?xu41ln1<72127:92127:9m?16=8?k:2;f2>{t;moh6=48{<365`<4lli01>;5ml318864=3d`>;63507b2:3nn63<9g390017<`62=?370=6ed8;1<=z{:3m=7>52z?0=c7=0<301>7j4;661>{t;0l96=4={<3665<41o801<;>c;1:b7=z{:3m?7>52z?2177=;0l870?:1b80=c5507f2:3o563>50`973ty85h<50;0x96?b;3>>463<9d29<0?4?:3y>752z?2175=;h;370=n178;1<=z{:3m97>52z?2175=;0l>70?:2780=c37d6f21?270=n0g871==z{:k:?7>52z?0e45=0<301>o>a;663>{t;h:o6=4={<1b5d<3=116=8<9:2c3`>{t;h;j6=4={<1b5d{t;h;h6=4={<3662<4i8i01<;=5;1b5f=z{:k:h7>52z?217>=;h;o70?:2480e4b50432:3m;63>53797<`03ty8m>650;0x9435i39j?5523`15>=3>3ty8m6g6n2wx?l=::18185f;<0394523`1:>1303ty8m><50;0x96g413>>463>53f97d553ty8m>750;0x96g4132>563>53;97d5>3ty8m>o50;0x9435m39j?l52140`>6g4i2wx?l=m:181872:o08m>l4=071g?5f;k1v>o=0;296~;6=;h1?l0?9;5236c0>13134972b?2=?=70=8eg8713=:;1:=69;9;<1;5a<3=?16?5=;:575?85?13134935o4;579>7=d52=?=70=7c88713=:;1o;69;9;<1;b2<3=?16?4>j:575?85>:80?9;52381;>13134928k4;579>7<>52=?=70=6988713=:98=96;o>;<140a<0;o1v>o:1;296~;4i<818894=2c7b?>212wx?l:k:18185f=;0?95523`6g>=3>3ty8m8<50;0x96g2:32>563>52297d353ty8m8=50;0x9434;39j9>521411>6g2;2wx?l;;:181872;=08m8:4=0706?5f==1v>o:5;296~;6=:?1?l;:;<3677<4i7}:;ho9a;296~;4i?l18864=2c5e?>212wx?l8i:18185f>o0394521415>6g1n2wx?l9?:181872;008m:>4=070o81;296~;6=:k1?l9>;<367=<4i>;0q~=n7383>7}:9<9i6>o82:?216>=;h=97p}<77a94?4|5:==h7::7:?033g=0<30q~=86983>7}:;>99d;296~;4??n14874=06:3?50>m1v>99e;296~;6<0k1?:8j;<37=<<4??o0q~=86g83>7}:9=3i6>99f:?202o7=8719>51?>2:=<<6s|32``>54;579>75c72=?=70=?d78713=:9=9:6>=mc:?2042=;:hh70=?d68713=:982=6>=mc:?05`b=<<=01>?j8;661>;6<ll;|q66`<72=q6?13?34;:;:4:2d9~w3722908w0=?e38713=:;9nn69;9;<12a=:180857m;0?955231g3>13?34;:484:409~w65d03:1?v3<0cg9000<58>>j7=74d42=?37p}:3e83><}:;9hn69;7;<32=g<2;m16=9=;:41g?857i;0?955230db>13?349;oh4;599>54>52<9o70?;13867a=:9=;;68=k;|q045`=83?k4;662>;48kl18884=063g?578o16=9>8:223b>;49k918894=000=?578o1v>?k7;296~;49m>18864=0607?56l>1v>?l7;296~;6<:;1??k521537>05a34;?516e2<9m70=?b8871==:;9h>69;7;<13ea<3=116=<69:41e?8760=0>?k5rs21ab?6=jr7:8>?532`e?8739=08?oh4=063g?54jo16=9>m:21ab>;690i1?>li;<32<3<4;kl01<<<9;10fc=:9=:n6>=mf:?205b=;:hm70?;5e807g`<58;357=v3>406975c?349;i;47589~w66ck3:1>v3<0e5900><58>:?7=?db9~w66d:3:1>v3<0cd900><58>;j7=?c39~w66fk3:1>v3>41a975gd349;ml47589~w66f03:1>v3>41`975g?349;m;47589~w667l3:18v3>41`9756c34;?<;4<01f8967bn3>>:63<1`3900>j39=::5231ae>13134;?9k4<6758967ck3>>;63<18`9001<5:;=n7::6:?25a4=;?<<70?;5c80231<5:;oj77=6:?0221=?:l01>=l4;663>;4;j?18894=003f?51>>16?;5231ab><41349:m<4;579>51772:<=;63>44f9730034;?9n4<6758967fk3>>:63>4219730034;?93:1>v3>18`91<7<5::o?7::8:p167=83?p1>>k3;662>;48j918884=061b?34927:8>>552389470i3?8=6s|31f1>5<5s49;ok4;599>51752::o>6s|31a5>5<5s49;o>4;599>51772::h:6s|3740>5<6kr7:=4m53740?8738>08:;=4=0632?51>:16?601;27:=4h53740?876i908:;=4=03b5?51>:16?;8m:61e?8561:0?9:523040>131349:i=4;549>74c62=?>70=69;9;<314g<4>?901>?jd;661>;6<:?1?;8<;<3754<4>?901>?n9;663>;6<881?;8<;<374`<4>?901<:<2;1526=:98=j6>893:?252>=;?<870=>e98713=:9=;86>893:p1<3=838p1;48k318884}r13f=<72;q6?=l::575?8738l084}r12`g<72;q6=9=;:23gf>;49m314874}r12`f<72;q6=9=;:23gg>;49mi14874}r12`c<72;q6=9=;:23gb>;49ml1;>h4}r035g<72;q6=?hn:322f>;6:oh1>=?m;|q144e=838p1<>c:p657f290ow0?=f88144g<58o>>7::7:?2a05=<<=01;6m=i18884=0g60??5>27:i975445894c3i3>>:63>e5d9001<58o><7::7:?2a0c=<<<01;6m;6:o21>=?<;|q1442=838p1<>4:p6575290hw0?=f781444<58o>=77=6:?2a1d=<<=01;6m27:i975444894c3i3>>;63>e5g9=70<58o>i7::8:?2a0>=<<201{t9ol86=4={<3eb6=?:3012>{t:;8<6=4={<3076<5:;=01<=<0;0162=z{;8997>52z?2766=:;8>70?<3081673?4?:ey>56572;89>63>c`a9001<58iji7::6:?2gdb=<<<01;6kk<18884=0aa1?22>27:oo:5444894ee;3>>;63>cc09001<58ii=7::7:?2gg6=<<=01{t:;;86=4={<306`<5:8901<==c;0156=z{;8:87>52z?277`=:;;?70?<2b81642564d2;8:>63>33f967753ty9>=h50;fx9455k38913034;h5;4;579>5f?22=?=70?l9g8713=:9j3n69;9;<3`=a<3=?16=n7l:575?87d1k0?9:521b;b>13034;h544;569>5f??2=?<70?l968712=z{;8;<7>52z?277g=:;:;70?<2981656564e2;8;=63>33:967663ty9=kh50;0x9455038:jk52120:>77an2wx>>:63>c959000<58i3:7::6:?2g=3=<<<01;6k1918894=0a;6?22?27:o5?5445894e?83>>;63>c6d900175g52=?=70=977;663>;4?1>14874}r14<7<72;q6?:68:57;?8500;03945rs25;3?6=:r78;59584;8961?93>>96s|36:;>5<5s4;?5k4<79:8942>m39<455rs25;`?6=:r7:8l?536:g?8731l08;5j4}r14;6=o69;8;|q032`=838p1>970;66<>;4?>n14874}r14<5<72;q6?:6?:97:?850080?9;5rs25b6?6=:r78;l=54458961f832>56s|36;f>5<5s494;599>72?b21?27p}<7`194?4|5:=j?76:9:?037}:9=k?6>9n4:?20d5=;>k?7p}<7`794?4|58>j97=8a49>51g42:=j96s|36c:>5<5s4;?m;4<7`;8942f;39132>56s|36;`>5<5s49<5n47589>72?c2=?=7p}<7cg94?4|5:=ij7::7:?03ge=0<30q~=8b`83>7}:;>hm69;7;<14fd9mf;296~;4?kl14874=25a=?22=2wx?:m?:181873i008;n>4=06b9l5;296~;67}:9=k36>9l3:?20dg=;>i87p}<7c394?4|58>j47=8b09>72d22=?<7p}<7c594?4|5:=i47::8:?03g3=0<30q~=8b983>7}:;>h365;6;<14f<<3=?1v>9k7;296~;4?m218894=25g1?>212wx?:j<:181850l10?955236f0>=3>3ty8;i650;0x961c032>563<7e0900351gc2:=o563>4`d972b>3ty8;io50;0x942fm3961ci2wx?:jm:181873io08;il4=25``?22?2wx?:mi:181850l80?955236ag>=3>3ty8;i?50;0x961c932>563<7e0900072ca2=?<70=8eb8;1<=z{:=nm7>52z?03``=<<201>9ja;:6=>{t;>om6=4={<14ac{t;>l:6=4={<37f7<4?o;01<:m3;14b4=z{:=m>7>52z?20g5=;>l970=8e58712=z{:=n:7>52z?03`>=<<201>9j4;:6=>{t;>o36=4={<14a=13?3493<<47589~w6>7>3:1>v3<8149<0?<5:2;<7::5:p7=602909w0?;b480<51<58>i:7=7069~w6>703:1>v3<81:9<0?<58>i:7=7099~w6>713:1>v3>4c497=6>349v3<7gd900><5:=mn76:9:p72`a2909w0=8fg8;1<=:;1:;69;9;|q0<4e=838p1>6>d;663>;408k14874}r1;5=<72;q6?5?k:57;?85?9103945rs2:2`?6=:r7846?3>>96s|393f>5<5s4;?n54<80g8942ei393=h5rs2:2b?6=:r7:8o75393e?873jh084;408818894}r1;51<72;q6?5?9:57;?85?9;03945rs2:22?6=:r784<8584;896>6?3>>:6s|3910>5<5s493?94;569>7=5621?27p}<83d94?4|5:2887::8:?0<7`=0<30q~=73583>7}:;19?65;6;<1;6`<3=<1v>6<5;296~;67}:9=ho6>6<6:?20gc=;19=7p}<82594?4|58>ii7=7369>7=4>2=?<7p}<83`94?4|5:29h7::8:?0<7?=0<30q~=72e83>7}:;18o65;6;<1;6`<3=?1v8>k52157a>04a34;?541?2<8m7p}<85c94?4|5:2?n7::7:?0<1>=0<30q~=74783>7}:;1>i69;7;<1;036;b;296~;40=h14874=2:71?22=2wx?5:l:181873k90849m4=06`5?5?6;d;296~;40=n14874=06`5?5?6;e;296~;61v>6;2;296~;40=>18864=2:74?>212wx?5:;:18185?<=0394523966>1313ty8hh750;5x964b?3>>;63=fb79001<5::;97::7:?1a`0=<<201>76f;663>;410?188;4=2f`2?51i2wx?56<:18185?0=0?9:5239:2>=3>3ty84:h50;0x96>?<3>>463<86d9<0?7=>321?270=77d8710=z{:2397>52z?20fg=;12>70?;cb80<=351ee2:23:63>4ba97=>13ty845950;0x942dk3934:52395:>1303ty845650;0x96>013>>:63<89:9<0?7=1>21?270=77c871==z{:252z?0<2c=<<<01>68b;:6=>{t;mo36=48{<11a3<3=>16>hk::57;?84ak=0?9:523127>13034924;4;569>7<1b2=?>70=kc7802<=z{:22m7>52z?0<668;:6=>{t;13=6=4={<1;=g<3=116?579:97:?xu400h1<72127844;54478yv5?1j0;6?u215af>6>>k27:8i>539;`?xu400n1<7{t;13n6=4={<37`5<400o01>660;663>{t;1396=4={<1;=1<3=116?57?:97:?xu400>1<72127844;54448yv5cm?0;6:u233g7>130348ni>4;599>6ce52=?<70=?038712=:;0?869;8;<1:0g<3=<16?im9:244?xu40k;1<713?3493mi47589~w6>e:3:1>v3<8c09<0?<5:2jo7::5:p7=d42909w0?;d380o87=7b29~w6>e<3:1>v3>4e197=d334;?h94<8c68yv5?j<0;6?u215f7>6>e=2784l954458yv5?i00;6?u239ca>13?3493m:47589~w6>fj3:1>v3<8``9<0?<5:2jo7::6:p7ac229016?=>>:574?85>;j0?9:523817>132349oo;4<679~w6>d03:1>v3<8b;9001<5:2h:76:9:p7=e32909w0=7c8871==:;1i?65;6;|q06l9;:6=>;66la:p7=ee2909w0?;d980o57=7cc9~w6>dk3:1>v3>4e;97=ed3493nh4;569~w6bb<3:1;v3<2d09001<5;on=7::8:?1bf6=<<=01>>?0;663>;41;?18894=2;2g?22=278hn853778yv5?lo0;6?u239g3>1303493hi47589~w6>cj3:1>v3<8d2900><5:2on76:9:p7=c72909w0=7e18;1<=:9=nj6>6j0:p7=c62909w0?;db80<`7<58>oi7=7e09~w6>b:3:1>v3>4ef97=c534;?hh4<8d08yv5?m:0;6?u215ff>6>b;2784i;54458yv5cm:0;6:u233g2>130348ni=4;599>6cda2=?<7013?3493j?47589~w6>a?3:1>v3<8g59<0?<58>oj7=7f69~w6>a03:1>v3>4d097=`?34;?i94<8g:8yv5?n00;6?u215g0>6>a127:8h:539d:?xu40ok1<72784kl584;8yv5?mj0;6?u239g`>=3>34;?i<4<8da8yv33:3:1?v3>1949114<5:;ho7::8:?05f?=<<20q~;;6;293~;49ll18864=0604?33>278=k:544:8967a:3>>463<1g2900><5:;h<7::8:?25=?===<0q~==a683>7}:;;k:69;:;<3702<4:h=0q~==a`83>6}:;;k:69;9;<3607<4:hk01<:;8;11ed=z{:8j=7>54z?06d7=0<301<:;6;11e4=:9=?96>76:9:?2010=;;k970?;53806d4<58>?57==a39~w64f;3:18v3>454977g434;?9?4<2`1894231399m>5233c0>=3>3ty8hh<50;5x964b83>>;63=eed900><5;lii7::7:?1bcc=<<=01>6ib;663>;40o9188;4=2f`2?51;2wx?;87:1822~;6<;l1?;87;<32g<<4>?201>?9d;662>;69m81?;87;<371g<4>?201>88a;50b>;49k;15?84=000=?51>116?131349:m<4;549>74g52=?=70?>838023><58;387=9699>54>22:<=463>1659730?34;?9i4<67:8947?139=:5521530>601027:8>=5374;?8738o08:;64}r152<<728>p1<:=f;152<=:98i26>899:?053`=<<<01899:?022b=?:l01<:?16?;5210:1>601127:=5:5374:?8760<08:;74=0343?51>016=9;k:245=>;69131?;86;<3756<4>?301<:<3;152<=:9=:m6>899:p74ge2909w0?;2g805dd<5:;jo7::8:p7<6c2909w0=60d8712=:;0:i65;6;|q0=5?=838p1>7?e;66<>;419314874}r1:4`<72;q6?4>j:97:?873m<085=k4}r1:4c<72;q6=9k8:2;3b>;6i;|q0=46=838p1<:j8;1:55=:9=o26>7>0:p7<762909w0?;e880=47<5:3;?7::7:p7ac629016>khk:574?85?m=0?9:5239f`>132349oo;4<639~w6?6j3:1>v3<9339001<5:3:n76:9:p7<472909w0=620871==:;0;n65;6;|q0=77=838p1>7=1;:6=>;6;|q0=74=838p1<:jb;1:67=:9=oo6>7=2:p7<442909w0?;eb80=75<58>nh7=6229~w6?5<3:1>v3>4df97<433492=84;569~w6bb83:1;v3<2eg9001<5;ooh7::8:?1bge=<<=01?hic;663>;40jn18894=2:`1?22=278hn853738yv5>;>0;6?u2381;>1303492?847589~w6?4;3:1>v3<92:900><5:38?76:9:p7<5?2909w0=6398;1<=:9=on6>7<8:p7<5>2909w0?;f180=6?<58>m>7=6389~w6?4i3:1>v3>4g397<5f34;?j?4<92c8yv5>;k0;6?u215d1>6?4j2785?j54458yv5clo0;6:u233fg>130348nhn4;599>6cde2=?<7013?34928l47589~w6?3n3:1>v3<95d9<0?<58>m?7=64g9~w6?283:1>v3>4g797<3734;?j:4<9428yv5>=80;6?u215d5>6?2927:8k953872?xu41<81<7=;16?4:;:574?xu4lmo1<79t=20gg?22?279iil544:897`ei3>>;63=fgc9001<5:22j7::7:?0<<1=<jl6;16b>{t;02:6=4={<1:<7<3=>16?49i:97:?xu41>n1<70;0;6?u238:1>=3>34;><=4<9908yv5>0:0;6?u21421>6??;27:9=:538:0?xu411>1<70=16=8>;:2;;0>{t;02>6=4={<3641<411?01>787;663>{t;mno6=48{<11`g<3=>16>hjn:57;?84aj00?9:522gd:>1303493454;569>7=>72=?>70=kc7801`=z{:3247>52z?0=766;:6=>{t;03?6=4={<1:=<<3=116?47;:97:?xu41031<72127:9=;538;:?xu410n1<71m16=8>6:2;:`>{t;03j6=4={<364<<410k01>76c;50b>{t;03n6=4={<364<<410o01>77f;663>{t;mni6=48{<11`<<3=>16>hj7:57;?84aj>0?9:522gd4>13034938k4;569>7=202=?>70=kc7801f=z{:nom7>57z?06a>=<<=01?kk7;66<>;5nk<18894=3de2?22?2784>65445896>483>>96377b02=?<7069;8;<0eb0<3=>16?5<>:574?85?900?98523ea5>63f3ty8hi650;5x964c>3>>;63=ee7900><5;li87::7:?1bc2=<<=01>6?a;663>;4098188;4=2f`2?5212wx?ij8:184855l<0?9:522df7>13?348mn>4;569>6c`42=?<70=8f28712=:;>oi69;:;<1gg3<4=11v>jk6;293~;4:m>18894=3gg7?220279jo<5445897`a:3>>;63<7ea9001<5:=o87::5:?0`f0=;<=0q~=kd483>2}:;;n869;8;<0f`7<3=116>kl>:574?84an80?9:5236`7>1303497ae12:?=7p}7::7:?1aa7=<<201?hm0;663>;5no:18894=25:39>96s|3ef0>5<0s499h<4;569>6`b72=?37016?:6<:576?85ck?08995rs250a?6=:r78;?m544489614k32>56s|366a>5<5s49<>n4;599>51?42:=?n6s|360`>5<5s49<>n47589>51?52:=9o6s|3614>5<5s4951?52:=8;6s|361b>5<5s4;?5?4<72c8942?l39r7:84<53ef2?84aim0?9:522ggg>130348noh4;599>7ae12:?:70==ce8712=z{:=?57>52z?20<4=;>>270=8558712=z{:=>?7>52z?0302=<<201>9:1;:6=>{t;>??6=4={<1411{t;>?=6=4={<37=3<4?<<01<:7b;1413=z{o?521430>0e534;>=o4:c39>725?21?27p}<73694?4|58>3n7=8259>51>d2:=986s|3613>5<5s4972562=?<7p}<72194?4|5:=897::7:?0367=0<30q~=83583>7}:;>9>69;7;<1471>8636328:7==Y1:90q~6i3;297~;?n=0?99528g19=6>7}:0o>1;>h4=0355?4?l2wx?>>>:181`~;69?l1?>>>;<3717<4;9;01=?1:?05g3=<<201>?6b;66<>;490318864=23:3?220278=4;544:8967>;3>>463<0ca900><5:nj87::8:?2077=;:::70?;2b80757<58>957=<009>51412:9;=63>43197666349:m>4;599>74c32=?<70=?b58712=:;9k?69;7;<1225<3=>16=9?j:2135>;6<8n1?>>>;<375f<4;9;01<:>b;1044=:9=;26>=?1:?204>=;:::70?;1680757<58>::7=<009>51722:9;=63>43g97666349:oh4;599>74`b208=70=>de8713=:;8==69;7;<1231<3=116?<<9:57;?856:=0?955230`g>130349:o84;569>75c12=?<70=?a`8712=:;9k=69;8;<12`<<3=>1v9hk:18`876>o0?ji5230`3>13?349:il4;599>74g22=?370?>8387ba=:982?69hk;<32<0<3nm16=<98:5dg?876000?ji521530>1`c34;??>4;fe9>516a2=lo7p}<1cd94?4|58>8<7=>bg9>74dc21?27p}:bb83>7}:983o68ll;<12b1<3=?1v8lj:1818761o0>nh5230d1>1313ty>nk4?:3y>54g72f18713=z{0e63499m447589~w6bbl3:1:v3=edc900><5;lh57::7:?045?=<<=01>jl6;15`>;4:lh18894=2c3b?22>2wx?ikj:18584bmk0?95522gab>130349;7d532=?=70=kc7802`=:;;oo69;8;|q0```=83;5njh18894=223f?22?278m9k5447896bd>39=j63<2dd900152z?023d=<89c;:6=>{t=j>1<7278:;j584;8yv5cj<0;6?u2374`>131349o;k4<949~w6bd;3:1>v3<67a9001<5:n7:;|q0`d3=838p1>89d;663>;4l>31?4;4}r7`1?6=:r78:::544789600=32>56s|5b494?4|5:<<87::6:?0220=0<30q~=kb983>7}:;?=>69;9;<1g3c<4ij1v>jn7;296~;4>>?18894=2f4f?5fk2wx?ioi:181851??0?9;523e5g>6gd3ty8hok50;0x9600>3>>;6352z?0227=<882;:6=>{t=j21<7278::=584;8yv5cj>0;6?u23751>131349o;k43:1>v3<6609001<5:no>;|q0`gd=838p1>883;663>;4l>31?l?4}r7`=?6=:r78:;k544789601n32>56s|5bc94?4|5:<=i7::6:?0226=0<30q~=kb783>7}:;?jl4;296~;4>?l18894=2f4f?5>>2wx?iok:181851?90?9;523e5g>6?13ty8ho>50;0x960083>>;6354g52:=?963<7519<0?52z?25d4==jh01{t=ji1<74;599~w`342909w0k:3;:6=>;b=80?955rs4ag>5<5s4;:m94:ce9>a10=<<20q~k;6;296~;b52z?25d3==jl01h=6:57;?xub;00;6?u2e2;9<0?<5l9<69;7;|q6`5<72;q6=>46s|e3a94?4|5l8h65;6;2127n=i4;599~w0b52909w0?>a986`7=:m8818864}rg26?6=:r7n=?47589>a46=<<20q~;k3;296~;69h319i=4=d26>13?3tyn<84?:3y>a53=0<301h><:57;?xu2l=0;6?u210cb>0b334nm47::8:p`c>=838p1ih7:97:?8ba>3>>46s|5e794?4|58;jn7;k5:?gag<3=11vikm:1818bbj32>563ke8871==z{v3kdd8;1<=:lmi18864}r7g3?6=:r7:=lj55e589ab62=?37p}kd083>7}:lm;14874=eae>13?3ty>h54?:3y>54gb2{tlj>1<7=3>34nh>7::8:p1a?=838p1;cj>0?955rse`4>5<5s4ni;76:9:?gf0<3=11v8jn:181876j90>hl52d`c900>52z?gedv3>1c391ad<5m3h69;7;|qg=f<72;q6h4m584;89a?f2=?37p}:db83>7}:98h968jl;50;0x9a?721?270j7e;66<>{t=mn1<74;599~wa>42909w0j73;:6=>;c080?955rs4ff>5<5s4;:n94:dd9>`20=<<20q~j86;296~;c??039452d66900>52z?25g3==ml01i86:57;?xuc>00;6?u2d7;9<0?<5m<<69;7;|q6a5<72;q6=>46s|d4`94?4|5m?i65;6;m6=4={2127o8i4;599~w0c52909w0?>b986a7=:l=818864}rf76?6=:r7o8?47589>`16=<<20q~;j4;296~;69kk19h:4=e16>13?3tyo?84?:3y>`63=0<301i=<:57;?xu2m<0;6?u210`a>0c234n947::8:p`7>=838p1i<7:97:?8b5>3>>46s|5d494?4|58;io7;j6:?g5d<3=11vi?n:1818b6i32>563k19871==z{16h=k544:8yvb7m3:1>v3k0d8;1<=:l9i18864}r7f7}:l9;14874=bde>13?3ty>i44?:3y>54da2{tko>1<7=3>34im>7::8:p1`g=838p1;dm>0?955rsbg4>5<5s4in;76:9:?`a0<3=11v8km:181876k80>io52cec900>52z?``dv3>1b091`e<5jio69;7;|q`ga<72;q6onj584;89fee2=?37p}:ee83>7}:98i868kk;{t:<=96=4={<1`e2<3=>16=n279?::53438yv44>k0;6?u2253`>1303488;l4<699~w751:3:1>v3=40`9000<5;9;k;|q1737=838p1?:>a;662>;5;>81?8?4}r002<<72;q6>9?n:574?844?h089?5rs362e?6=:r798>;6s|2243>5<5s49ji:4;579>66162:?:7p}=37:94?4|5:kn;7::7:?172g=;<;0q~<<6683>7}::=;m69;9;<003=<4=81v?=9f;296~;5<8l18894=314f?5102wx>>89:1818439l0?9;522254>6363ty9?;k50;0x9726m3>>;63=36`970b617c2=?=70<<778014=z{;9=h7>52z?104b=<<=01?=8b;166>{t;j3i6=4={<1`=c<3=?16?n7k:61e?xu4kh81<7278o4>572d8yv5d1<0;6?u23b;1>13?34;>;84131349h88483g9~w6e3k3:1>v3<58?v3>5``97`43349oo;4;049~w7b6?3:1>v3=d07900><5;n:;79h;7=7719~w650>3:1>v3<36c900><5:9<:79=n7;663>;4;h91;>h4}r10==<72;q6?>7;:574?8541k05<5s4;:o;4:f59>577626}:9<>h68h8;<362d<2n>16={t=o31<76584;8yv3ai3:1>v3>1b:91cg<58lm97::7:p74`02909w0=>f`8713=:;8l26:=i;|q04f>=838p1>>le;662>;48jk1;>h4}r1:1<<72;q6=9h6:2;6=>;67n5:p7v3>50197v3>50`97=n4<9ef8yv5>lh0;6?u2143a>6?ci2785h>544:8yv3an3:1>v3=c3:900><5;i9o79?m0;662>;49k;1;>h4}r435?6=:r78=ho54448967bj3=8j6s|30cf>5<5s4;:o44<1`g8967fk32>56s|61094?4|58;h578?2:?05d3=<<<0q~8?3;296~;4:::14874=060:181873;108>>?4=2005?>212wx>o><:180874j<09n==4=01a0?4e8:16>o>9:61e?xu4lo:1<78t=3gf`?220279jnm544589667k3>>;633}::lim69;7;<0ee`<3=>16>kkj:574?850>00?98523ea5>6343499ok4;569~w6>2>3:1>v3<8449<0?<5:2>m7::6:p7<3f2909w0=65`8;1<=:;0?h69;9;|q0=d0=838p1>7n6;:6=>;41h218884}r1:g7<72;q6?4m=:97:?85>k=0?9;5rs2;ga?6=:r785ik584;896?b83>>:6s|365`>5<5s49<;n47589>721c2=?=7p}<78:94?4|5:=2476:9:?037}:;>h?65;6;<14f0<3=?1v>9kc;296~;4?mi14874=25``?22>2wx?:h<:181850n:03945236g7>1313ty84=o50;0x96>7i32>563<7g`9000<4?:3y>7=4621?270=7138713=z{:2847>52z?0<6>=0<301>6=9;662>{t;1>m6=4={<1;0c2127844>54448yv5?j?0;6?u239`5>=3>3493m:4;579~w6>dl3:1>v3<8bf9<0?<5:2ii7::6:p7=c32909w0=7e58;1<=:;1n>69;9;|q0=44=838p1>7>2;:6=>;419918884}r1:60<72;q6?4<::97:?85>9<0?9;5rs2;0g?6=:r785>m584;896?5l3>>:6s|3870>5<5s4929>47589>7<232=?=7p}<99494?4|5:33:76:9:?0=21=<<<0q~=69g83>7}:;03m65;6;<1:;:181874ih0=<95210ab>3633ty=<84?:3y>743a21?270?;2e8540=z{?:<6=4={<1210=3>34;?>:49099~w36>2909w0=>3e8;1<=:9=8?6;>6;|q0574=838p1>?=9;662>;6<;:1?<<=;|q54d<72;q6?<<6:97:?873:80=5<5s49:9547589>514d2?:i7p}<14694?4|5:;?o7::6:?207g=;8??7p}90b83>7}:;8>h65;6;<376<<18j1v>?;8;296~;49=:18884=0613?56<11v;>k:181856<90394521505>36c3ty8=>m50;0x9674<3>>:63>4369745d3ty=745321?270?;22854`=z{?:m6=4<{<32gf<18o16=><8:72e?8749j0=5<5s4;:oi49119>56432?;;7p}<87794?4|58>h97=7649>7=302=?37p}<76794?4|58>2h7=8749>54c72:=<96s|3476>5<5s492=i4;549>701121n27p}<90f94?4|5:3:h76:9:?0=42=<<<0q~<<9683>g}:9:>;6?=67:?1727=1>l01?=82;;4b>;5;>915:h4=3140??0n279?:;596d89750>33l01?=8b;;4b>{t;83m6=4={<12e6<3=>16?<7k:97:?xu2;>0;6>u230g7>13?349;n94;599>541b2<9<7p}<3c494?5|5::j87::7:?200d=;:h=70=?9d8713=z{:==97>52z?20<1=;><>70=8628;1<=z{:k=;7>52z?2160=;h<<70=n648;1<=z{:k?m7>52z?2166=;h>j70=n498;1<=z{:k9m7>52z?217?=;h8j70=n298;1<=z{:k;;7>52z?2174=;h:<70=n048;1<=z{?;:6=4={<1224;6=<81?n?9;<3623<4k8<01>m=6;:6=>{t>8>1<76d5k27:=n953c0`?xu19?0;6?u210f2>37134;?>=49179~w3702909w0?>d38552=:98l26;?8;|q55=<72;q6>=j::97:?847l=0?955rs2703?6=:r789>:544:89420?39>?:5rs271`?6=:r789?o544:89420<39>>i5rs73a>5<5s49h8=47589>7f5a2=?=7p}91e83>7}:;h>n65;6;<1b0a<3=?1v>jn1;296~;4i=n188;4=2f4f?5292wx?l:=:18185f=3>3ty==h4?:3y>7d0e21?270=n6`8713=z{:nj>7>52z?0e3g=<j8b;166>{t;h?m6=4={<1b2d<3=116?l;k:97:?xu19o0;6?u2364:>=3>349<:54;579~w6b?<3:1>v3<77:9003<5:n?i65;6;|q565<72;q6?:6<:97:?8500;0?9;5rs2f;1?6=:r78;5<5447896b0j39=46s|365;>5<5s49<4?4;599>721121?27p}92083>7}:;>3m65;6;<14=`<3=?1v>j76;296~;4?0o188;4=2f4g?5292wx?:7;:1818501l0?955236;1>=3>3ty=>?4?:3y>72de21?270=8b`8713=z{:n3;7>52z?03gg=<j8c;166>{t;>h;6=4={<14fd<3=116?:oj:97:?xu1::0;6?u236f7>=3>3494;579~w6b?03:1>v3<7e19003<5:nij65;6;|q561<72;q6?:km:97:?850mh0?9;5rs2f;=?6=:r78;ho5447896b0k39=46s|36g0>5<5s4972c621?27p}92483>7}:;1:965;6;<1;44<3=?1v>j7a;296~;409;188;4=2f4`?5292wx?:hn:18185?880?955236d;>=3>3ty=>;4?:3y>7=7>21?270=7198713=z{:n3n7>52z?0<4>=<j8d;166>{t;1;:6=4={<1;5=<3=116?5>i:97:?xu1:>0;6?u23913>=3>3493>k4;579~w6b?k3:1>v3<83d9003<5:n5<5s4938;4;599>7=5c21?27p}92883>7}:;12;65;6;<1;3c<3=?1v>j7f;296~;40>l188;4=2f4a?5292wx?597:18185??o0?95523955>=3>3ty=>l4?:3y>7=?021?270=7978713=z{:n2<7>52z?0<<0=<j8e;166>{t;12m6=4={<1;=3<3=116?56k:97:?xu1:k0;6?u239cf>=3>3493mi4;579~w6b>93:1>v3<8`f9003<5:n5<5s493o94;599>7=de21?27p}92e83>7}:;1nh65;6;<1;`g<3=?1v>j63;296~;40mh188;4=2f4b?5292wx?5j;:18185?lk0?955239f1>=3>3ty=>h4?:3y>7=`421?270=7f38713=z{:n287>52z?0j8f;166>{t;1oi6=4={<1;b7<3=116?5k6:97:?xu1:o0;6?u2382b>=3>3492<44;579~w6b>=3:1>v3<91;9003<5:n9k0?9;5rs2f:2?6=:r7855<5s492=o4;599>7<7321?27p}93083>7}:;09?65;6;<1:76<3=?1v>j67;296~;41:9188;4=2f;4?5292wx?4;:0?9552380b>=3>3ty=??4?:3y>7<2e21?270=64`8713=z{:n247>52z?0=1g=<j70;166>{t;0>86=4={<1:0d<3=116?4:>:97:?xu1;:0;6?u2385f>=3>3492;i4;579~w6b>i3:1>v3<96f9003<5:n3<7=:d:p7<112909w0=67e871==:;0=?65;6;|q571<72;q6?47::97:?85>1=0?9;5rs2f:f?6=:r7854:5447896b?839=46s|38:g>5<5s492594;599>7<>e21?27p}93483>7}:98n86;=:;<379=d;296~;6<1i1?:13?3ty=?:4?:3y>54b22?9<70=n49871==z{?936=4={<32`3<1;116?l<7:57;?xu1;00;6?u210f4>35>349j<84;599~w35f2909w0?>d9857d=:9:2o6;=n;|q57g<72;q6=5<5s4;:hl493b9>77422=?37p}h?7=k8d9>7a1>2:?97p}mn7=k989>7a1>2:?o7p}7a1>2:<37p}7a1f2:?:7p}7a1f2:?97p}3h7=k829>7a1>2:?:7p}93d83>7}:98ni6;=j;<1426<3=11v>o?1;296~;6=;?1?l>>;<1b40<3=?1v>o=4;296~;6=;i1?l<;;<1b6=<3=?1v>o;4;296~;6=:81?l:;;<1b0=<3=?1v>o91;296~;6=:21?l8>;<1b20<3=?1v>9:f;296~;6<031?:;i;<1426<3=?1v;:6:181855;80?95523316>25a3ty8?:m50;0x965?93>>:63<36d936`52z?07=7=0<301>=70;66<>{t>=n1<72127:=8?54458yv76=80;6?u21072>=3>34;:994;599~w32b2909w0?>db850`=:;0;?69;8;|q6<`<728hp1>7>4;66<>;69mn195k4=03ga?3?m27:=ih559g8947b83?3i63>1d391=c<58;n>7;7e:?25`5==1o01;69l?195k4=03f2?3?m27:=h9559g8947b03?3i63>1d;91=c<58;nm7;7e:?25`d==1o01;69ln195k4=03fa?3?m27:=hh559g8947a93?3i63>1g091=c<58;m?7;7e:?25c2==1o01>o?e;662>;4i:918884=03:e?3?m2wx?l=i:181876lm08m>h4=0705?5f;o1v;:i:181876lm0=8k523`63>13?3ty8m8m50;0x947cm39j9n521414>6g2k2wx:8>50;0x947cm3<><6354ba2:=>m63>48:9723f3ty=9<4?:3y>54ba2??:70=85c871==z{??96=4={<32a5<1=;16?:99:57;?xu4?0;1<7{t><91<761fl27:8l9536cg?xu1==0;6?u210g1>333349v3>1d1972e>34;?mn4<7b;8yv02=3:1>v3>1d19203<5:=hm7::8:p72c72909w0?>e5803`6<58>i<7=8e19~w3312909w0?>e58513=:;>o:69;7;|q03c1=838p19i7:p201=838p1;4?o218864}r1;4`<72;q6=;6j;|q51=<72;q6=5>3>>46s|391`>5<5s4;:i54<82a8942en393?n5rs77b>5<5s4;:i5495`9>7=5c2=?37p}<86794?4|58;n57=7749>51e>2:2<96s|64`94?4|58;n578:b:?0<20=<<20q~=78b83>7}:98oj6>67c:?20fb=;12h7p}95b83>7}:98oj6;;l;<1;6n3;296~;69lh1?5o<;<37`4<40h90q~8:d;296~;69lh1:8j4=2:b0?2202wx?5ln:181876mj084oo4=06g2?5?jh1v;;j:181876mj0=9h5239`a>13?3ty84i?50;0x947bl393h<5215fa>6>c92wx:8h50;0x947bl3<>j63<8e0900>54cb2:2n463>4d297=c?3ty=:=4?:3y>54cb2?<;70=7e8871==z{:2mj7>52z?25``=;1lm70?;e78052z?25``=>?;01>7?0;66<>{t;0826=4={<32b4<41;301<:jf;1:6<=z{?<86=4={<32b4<1>:16?4<916=9h;:2;74>{t>?>1<7?:0;6?u210d0>6?0;27:9=?53850?xu1><0;6?u210d0>3023492;94;599~w6??i3:1>v3>1g697<>f34;><;4<99c8yv01>3:1>v3>1g69230<5:33n7::8:p100=83hp1<:>e;762>;6<8n19884=062g?32>27:8:63>40:9100<58>:;7;:6:?2040==<<01<:>5;762>;4;9918884=2305?>212wx?8>9:1818528;0?95521545>637>2wx:;650;0x947a=3<=463<507900>52z?25c0=>?301<;71;45=>{t;;nf;:6=>{t;min6=4={<36<4<4ljo01>jl6;3;3>{t>?k1<763e>2789o:584;8yv5cko0;6?u214:1>6bdn278hn8519:8yv01j3:1>v3>1g:923d<58?3<789b:p70>a2909w0?:81801=`<5:?3h76:9:p7ae02909w0?:8180`f1<5:nh:7?79:p74b42909w0?>f8805a5<58>8>7=>d29~w30d2909w0?>f8852f=:;8in69;8;|q0e47=838p1>o?e;66<>;4i9l14874}r7e1?6=:r78m=k584;89435>3?m96s|3`0e>5<5s49j?94;599>7d4c21?27p}96d83>7}:;h9?65;6;<1b76<3=11v8h9:18185f;:039452140g>0`13ty84n>50;0x947ai393o=5239`f>=3>3ty=:k4?:3y>54`f2?52z?25cd=;1n<70=7d48;1<=z{?=;6=4={<32bg<1?916=9jn:753?xu40o;1<7:2:e5>{t;0:>6=4={<32bf<419?01>7?3;:6=>{t>>81<79>0;6?u210dg>6?6?2785<;584;8yv00;3:1>v3>1gf9225<58>nm7883:p7<4a2909w0?>fd80=7`<5:39h76:9:p222=838p1;6?:2;72>;41=>14874}r442?6=:r7:>=>56648942a;3<<:6s|385:>5<5s4;9<<4<96;896?0?32>56s|66594?4|588;=7887:?2156=>>=0q~=69083>7}:9;:96>761:?0==`=0<30q~888;296~;6:981::64=0731?0002wx::750;0x965?=32>563<396900>52z?05a`=<?j0;:6=>{t>>h1<7278=h?584;8yv00k3:1>v3>1559003<58;?476:9:p22b=838p1;69=314874}r3202<72;q6=<:8:61e?876==0?9:5rs0377?6=:r7:=96544:89473>3=8j6s|101`>5<5s4;:844;599>545a2>9m7p}97d83>7}:;?2;69;:;<15<4=3>3ty8hoj50;0x960?93>>:6373>62=?<70=k7b80ef=z{:ni87>52z?02=4=<<<01>j8e;1bg>{t;mi96=4={<15<7<3=>16?i9n:2c`?xu1090;6?u2375g>132349=;h47589~w3>62909w0=97e8713=:;?=m65;6;|q0`ge=838p1>88e;662>;4l1:1?l?4}r1ged<72;q6?;9j:574?85c?j08m<5rs2fa7?6=:r78::h5444896b0m39j=6s|3ea2>5<5s49=;k4;569>7a1f2:k:7p}98383>7}:;?=j69;:;<153g=3>3ty8hoo50;0x9600j3>>:63731e2=?<70=k7b80=3=z{:ni>7>52z?022e=<<<01>j8e;1:2>{t;mi;6=4={<153f<3=>16?i9n:2;5?xu10=0;6?u23754>132349=;547589~w3>22909w0=9768713=:;?=265;6;|q0`g?=838p1>888;662>;4l1:1?4;4}r1ge=<72;q6?;97:574?85c?j08585rs2fa5?6=:r78::75444896b0m39296s|3e`e>5<5s49=;44;569>7a1f2:3>7p}98783>7}:;:==69;:;<1032=3>3ty=454?:3y>67212=?>70<=468;1<=z{?226=4={<0103<3=?16=?><:7::?xu10h0;6?u2231e>13234898=47589~w3>e2909w0<=3g8713=::;>:65;6;|q57::576?8758=0=4n5rs7:g>5<5s498584;579>76?021?27p}<38794?5|5:92979=6a;662>{t>1o1<7v3>e439000<58o>?76:9:p2<6=838p1;6m=h14874}r4:5?6=:r7:i995444894c3k32>56s|68094?4|58o>87::5:?2a03=0<30q~863;296~;6m<>18884=0g62?>212wx:4:50;0x965f;3>>963>21792<252z?07d5=<<<01>=n5;:6=>{t>0<1<7?3:1>v3<3b09000<5:9h976:9:p2<>=838p1>m;5;661>;4k=<14874}r4:=?6=:r78o9;544489447>3<256s|68c94?4|5;:on7::5:?2651=>0k0q~86c;296~;e>j0?9852132;>3?d3ty=5i4?:3y>f3e=<<<01<{t>0o1<7=o568g8yv0>n3:1>v3:576?8758k0=m=5rs7c1>5<5s49:n<4;579>74d421?27p}9a283>7}::m;<69;:;<0g5=0?9;52132`>3g33ty=m84?:3y>7fed2=?>70=lce8;1<=z{?k=6=4={<1`gf<3=?16?nmj:97:?xu1i>0;6?u23b6f>132349h8k47589~w3g?2909w0=l4d8713=:;j?;65;6;|q5e<<72;q6?nm7:576?85dk003945rs7cb>5<5s49ho54;579>7fef21?27p}9ac83>7}:;j<969;:;<1`26;0?9;523b47>=3>3ty=mh4?:3y>7f3b2=?>70=l5g8;1<=z{?km6=4={<1`1`<3=?16?n8?:97:?xu1j90;6?u23b7b>132349h9o47589~w3d62909w0=l5`8713=:;j?h65;6;|q5f7<72;q6?n;9:576?85d=>03945rs7`0>5<5s49h9;4;579>7f3?21?27p}9b583>7}:;j?969;:;<1`16=3>3ty=n;4?:3y>7f0d2=?>70=l6e8;1<=z{?h<6=4={<1`2f<3=?16=?>k:7`4?xu1j10;6?u23b44>132349h:547589~w3d>2909w0=l668713=:9;:n6;l6;|q5fd<72;q6=<;<:576?876==03945rs7`a>5<5s4;:9>4;579>576a2?hi7p}9bb83>7}::;>869;:;<3155<1jj1v;lk:181845<:0?9;522366>=3>3ty=nh4?:3y>65652=?>70?=1085f`=z{?hm6=4={<0347<3=?16=??=:7`e?xu1k90;6?u22`ca>132348jmn47589~w3e62909w0l8l:576?84f>m03945rs7a0>5<5s48j:n4;579>6d0b21?27p}9c583>7}::h<369;:;<0b2<10?9;522`4b>=3>3ty=o;4?:3y>6d032=?>70l89:97:?xu1k10;6?u22`43>132348j:<47589~w3e>2909w0l;l:576?84f=m03945rs7aa>5<5s48j9n4;579>6d3b21?27p}9cb83>7}:;jk269;:;<3156<1kj1v;mk:18185di00?9;523bca>=3>3ty=oh4?:3y>7fe32=?>70?=1585g`=z{?im6=4={<1`g1<3=?16?nm9:97:?xu1l90;6?u23b`a>13234;9=849d19~w3b62909w0=lbc8713=:;jho65;6;|q5`7<72;q6?nl=:576?8759?0=h?5rs7f0>5<5s49hn?4;579>7fd321?27p}9d583>7}::h=969;:;<3152<1l=1v;j::18184f?;0?9;522`57>=3>3ty=h;4?:3y>6d0a2=?>70?=1985`3=z{?n<6=4={<0b2c<3=?16>l9>:97:?xu1l10;6?u22`::>13234;9=449d99~w3b>2909w0l69:576?8759h0=hl5rs7fa>5<5s48j4;4;579>6d>?21?27p}9db83>7}::h2869;:;<315g<1lj1v;jk:18184f0:0?9;522`:6>=3>3ty=hh4?:3y>6d>72=?>70?=1b85``=z{?nm6=4={<0b<5<3=?16>l6=:97:?xu1m90;6?u22`5;>13234;9=i49e19~w3c62909w0l9::576?8759l0=i?5rs7g0>5<5s48j;84;579>6d1021?27p}9e583>7}:;>>o69;:;<315c<1m=1v;k::181850=3>3ty=i:4?:3y>72712=?=70=8198;1<=z{?o26=4={<1454<3=?16?:?<:97:?xu1mk0;6?u2362`>131349<:265;6;|q5ac<72;q6?:>=:575?8508=03945rs7d2>5<5s49=j>4;579>73`221?27p}9f283>7}:;?hh69;9;<15f`=3>3ty=j;4?:3y>72472=?>70?=2185b3=z{?l<6=4={<1465<3=?16?:<=:97:?xu1n10;6?u2363a>13234;9><49f99~w3`>2909w0=81c8713=:;>;o65;6;|q5bd<72;q6?;hk:576?875:;0=jl5rs7da>5<5s49=ji4;579>73`a21?27p}9fb83>7}:;?l369;:;<3166<1nj1v;hk:181851n10?9;5237db>=3>3ty=jh4?:3y>73cb2=?>70?=2585b`=z{?lm6=4={<15a`<3=?16?;h?:97:?xu0890;6?u237g:>13234;9>848019~w2662909w0=9e88713=:;?oi65;6;|q447<72;q6?;k;:576?875:?0<5<5s49=i94;579>73c121?27p}80583>7}:;?nm69;:;<3162<08=1v:>::181851lo0?9;5237g2>=3>3ty<<;4?:3y>73bf2=?>70?=298443=z{>:<6=4={<15`d<3=?16?;jl:97:?xu0810;6?u237f6>13234;9>448099~w26>2909w0=9d48713=:;?n<65;6;|q44d<72;q6?;j?:576?875:h0<5<5s49=h=4;579>73b521?27p}80b83>7}:;?ii69;:;<316g<08j1v:>k:181851kk0?9;5237ag>=3>3ty<73e12=?>70?=2b844`=z{>:m6=4={<15g3<3=?16?;m7:97:?xu0990;6?u237a2>13234;9>i48119~w2762909w0=9c08713=:;?i865;6;|q457<72;q6?;l8:576?875:l0<=?5rs630>5<5s49=n:4;579>73d>21?27p}81583>7}:;?h969;:;<316c<09=1v:?::181851j;0?9;5237`7>=3>3ty<=;4?:3y>73gc2=?>70?=318453=z{>;<6=4={<15ea<3=?16?;oi:97:?xu0910;6?u237c;>13234;9?<48199~w27>2909w0=9a98713=:;?kj65;6;|q45d<72;q6?;o<:576?875;;0<=l5rs63a>5<5s49=m>4;579>73g221?27p}81b83>7}:;?3n69;:;<3176<09j1v:?k:1818511l0?9;5237c3>=3>3ty<=h4?:3y>73?>2=?>70?=35845`=z{>;m6=4={<15=<<3=?16?;7m:97:?xu0:90;6?u237;7>13234;9?848219~w2462909w0=9958713=:;?3=65;6;|q467<72;q6?;6i:576?875;?0<>?5rs600>5<5s49=4k4;579>73?621?27p}82583>7}:;?2j69;:;<3172<0:=1v:<::1818510h0?9;5237:`>=3>3ty<>;4?:3y>5`2?2=?>70?j488;1<=z{>8<6=4={<3f0=<3=?16=h:n:97:?xu0:10;6?u21d6f>13234;n8k47589~w24>2909w0?j4d8713=:9l?;65;6;|q46d<72;q6=kh;:576?87an<03945rs60a>5<5s4;mj94;579>575?2>8i7p}82b83>7}:;8oi69;:;<317<<0:j1v:=3>3ty2o>4?:5y>=a0=<<>014j8:61e?8?d;32h;6P6c29~w0??2909w07k6;50b>;6>9l1>5j4}ra:b?6=:r72h:4;559>`77=0m30q~j=2;296~;c:8094i52d35936`54z?:`<<3==165io572d895<5s43om7::4:?g6`6=4;{<;gg?22<272hi483g9>=f3=0j=0R4m:;|q6=d<72;q65im572d894061383h6s|c`394?4|50no69;;;c12wxh>m50;0x9a5e2;2o70j;1;50b>{t1j<1<7:t=8fe>133343n<791U5n84}r7:g?6=:r72hk483g9>537b2;2o7p}la383>7}:1l:188:4=e6;>=b>3tyo844?:3y>`1>=:1n01i:j:61e?xu>k>0;69u29d09002<50o86:=i;<;`3?>d?2T2o:5rs4;g>5<5s43n>79m:0?9952d70952z?g27<50m16h;6572d8yv?d13:18v36e48711=:1l<1;>h4=8a:>=e03W3h56s|58g94?4|50o>6:=i;<356=<50m1vno::1818?b>3>>863k6g8;`<=z{m=;6=4={;>nm07}:1oi1;>h4=047`?4?l2wxoll50;0x9<`c2=??70jmd;:g=>{tlko1<77>c34nh?79p14hi:577?8g783=8j636e88;g2=Y1l30q~;n0;296~;>no07>c3tyhmn4?:3y>e56=<<>01imn:9f:?xuckk0;6?u2dbc96=b<5mn;6:=i;|q:a2<72=q65k7544689<`f2>9m707j7;:`3>X>m>1v8o>:1818?a13=8j63>63f96=b52z?:bd<3==16h:m58e;8yvb0l3:1>v3k7b81h4}r;fe?6=e55=?:l014kn:9a4?[?bi2wx9l<50;0x9d652>9m70?95681;clm05<3s4k;i7::4:?b4c<0;o165hh58b58Zm>4?:3y>e5c=?:l01<8<2;0;`>{tkh=1<713334n3576k9:p`=g=838p1i66:3:g?8b?n3=8j6s|9d`94?2|5h:>69;;;52z?b40<0;o16=;;l:3:g?xudil0;6?u2a149002<5mo?65j6;|qga0<72;q6hh:529f89acf2>9m7p}6eb83>1}:i92188:4=`2:>25a343no76l7:\:af=z{6=4={v3n088711=:lo;14i74}rfe6?6=:r7oj<4=8e9>`c1=?:l0q~7je;290~;f8k0?9952a1a936`<50on65m8;_;fa>{t=h<1<725a34;=:;4=8e9~wfd72909w0o?c;660>;cnl03h45rsede>5<5s4nmi7<7d:?f41<0;o1v4h9:1878g693>>863n13847c=:1o<14n94^8d5?xu2i>0;6?u2a03936`<585;50b>;>n>03o:5Q9g58yv3f03:1>v3n15847c=:9?>36?6k;|q`ed<72;q6m<;544689ad721n27p}kb083>7}:lk:1>5j4=e`5>25a3ty2454?:5y>=d3=<<>014o9:61e?8??032h;6P6899~w0g>2909w07n5;50b>;6=ok1>5j4}ra:`?6=:r72m;4;559>`51=0m30q~j?8;296~;c8>094i52d1f936`54z?:e7<3==165l=572d89<>121i<7S776:p1dg=838p14o=:61e?872n<094i5rsb;`>5<5s43j?7::4:?`bd6=4;{<;:b?22<272m=483g9>==3=0j=0R46:;|q6eg<72;q654h572d8943a8383h6s|c8`94?4|50k;69;;;c12wxohk50;0x9fcc2;2o70mi3;50b>{t11>1<7:t=8;`>1333432h791U55:4}r7bg?6=:r725n483g9>50ce2;2o7p}l9`83>7}:10n188:4=bg3>=b>3tyhi<4?:3y>g`6=:1n01nk9:61e?xu>0:0;69u298;9002<503j6:=i;<;;7?>d?2T24>5rs4cg>5<5s4325791h0?9952ce1952z?``6<50m16oi7572d8yv??:3:18v36978711=:10=1;>h4=8:1>=e03W33>6s|5`g94?4|503=6:=i;<36a4<50m1vn77:1818?>?3>>863lc78;`<=z{ji<6=4={;>k807}:1j:1;>h4=07gg?4?l2wxoo950;0x9{tm=n1<77>c34o>>79p14lk:577?8?em3=8j636918;g2=Y10:0q~;m1;296~;>jm07>c3tyhn;4?:3y>=gc=<<>01h=i:9f:?xub<90;6?u2e2d96=b<5l>>6:=i;|q:9m7077f;:`3>X>0o1v8l=:1818?ei3=8j63>5e096=b52z?:fg<3==16i><58e;8yvc4;3:1>v3j3381h4}r;;a?6==g>=?:l0146j:9a4?[??m2wx9o=50;0x99m70?:ce81847d89~w`412909w0k=5;0;`>;b:k05<3s43i87::4:?:f0<0;o1655j58b58Z<>c3ty>n94?:3y>=g2=?:l01<;l8;0;`>{tkk91<713334o:476k9:pa4?=838p1h?7:3:g?8c6m3=8j6s|99a94?2|50h:69;;;<;a6?14n2724n47c69]==e52z?:f4<0;o16=8m<:3:g?xudj;0;6?u29c09002<5mk965j6;|qf4f<72;q6hl<529f89`762>9m7p}68c83>1}:1ho188:4=8ce>25a3433n76l7:\:v36ag8711=:l?;14i74}rf:2?6=:r7o:<4=8e9>`ik0?99529`a936`<502j65m8;_;;e>{t=k=1<725a34;>n44=8e9~wfg42909w07nc;660>;c:903h45rse76>5<5s4n9<7<7d:?g1d<0;o1v466:1878?f03>>8636a8847c=:11314n94^8::?xu2j10;6?u29`:936`<58?i87<7d:pg6?6k;;>0803o:5Q9938yv3e13:1>v3692847c=:97}:kk21>5j4=b`f>25a3ty99:;50;0x94e5i39=i63>56;960123ty99::50;0x94e5i39=h63>56:960133ty99:=50;0x94e5i39=o63>565960143ty99:?50;1x94e5i39=463=4349000<5;>9;7::6:p60172908w0?l2`801a=::=8969;9;<0766<3=?1v?;9f;297~;6k;k1?8<4=361=?22>2798?o54448yv42>l0;6>u21b0b>636349ji44;579>7dcf2=?=7p}7}:::=i6>;>;<1`e0<3=>1v?:=6;296~;5<;<14874=3612wx>9<8:181843:>039452250;>1323ty98?<50;0x9725:32>563=4369000>4?:3y>614421?270<;258710=z{;>957>52z?107?=0<301?:=b;662>{t:=8j6=4={<076d921278mhl54448yv5fmh0;6?u23`gb>=3>349jio4;549~w75dl3:1>v3>356966ec3488ok4;579~wg672909w0l?1;:6=>;e8:0?9;5rsc22>5<5s4;9?i4m009>f55=<7}:9::;6?>;4:?1413=<<<0q~?nb983>7}:9hh365;6;<3bff<3=?1v9<039452183:>1313ty:5<950;0x94?6?32>563>90;900356b12;hom63=beg900056b02;hoo63=beg900356c>2;in963=cd5900056262;92n63=38f90035de021?270?nc98710=z{8kh87>52z?2ef>=<<<01{t90896=4={<3:6127:5?=584;8yv551>0;6?u233;;>=3>34995l4;579~w64>03:1>v3<28;9<0?<5:82m7::5:pf4b=838p1o?k:97:?8d6m3>>96s|b0c94?4|5k;j65;6;<`2f?22=2wxn<950;0x9g7021?270l>8;661>{tj8>1<7=3>34h:97::5:pf47=838p1o?>:97:?8d6:3>>96s|b1g94?4|5k:n65;6;<`3b?22=2wxn=l50;0x9g6e21?270l?c;661>{tj921<7=3>34h;57::5:pf53=838p1o>::97:?8d7>3>>96s|agf94?4|5hlo65;6;{tio=1<7=3>34km47::5:pec2=838p1lh;:97:?8ga=3>>96s|ag394?4|5hl:65;6;{t9o3o6=4={<3e=32127:j4h54478yv54?m0;6?u2325g>=3>3498;k4;579~w650m3:1>v3<36g9<0?<5:91v?>n1;296~;6;9i1>=o>;<03e6<3=?1vh4=32b3?22?279<4;544:8976>>3>>96s|21c4>5<5s48;m:47589>65g>2=?=7p}=0`:94?4|5;:j476:9:?14d?=<7}::93>65;6;<03=2<3=?1v?>66;296~;580<14874=32:3?22=2wx>=7;:1818471>013?3ty9<4o50;0x976>j32>563=08a900350012:hi;636fc>21?27052z?1g`g=0<301?mjb;661>{t;k2o6=4={<361=<4j1n01>l7f;662>{t;:326=4={<10=<7m:575?xu4;0k1<721278?4l54478yv52k>0;6?u234a;>=3>349>ol4;579~w63d03:1>v3<5b;9<0?<5:?hm7::5:p0ad=838;w0=:c`847c=:;?>369;7;<1501<3=116?;:?:57;?851;j0?9552371;>13?349=?94;599>73572=?370=928871==:;?8>69;7;<1564<3=116?;?k:57;?851900?95523736>13?349==<4;599>736c2=?370=908871==:;?:>69;7;<1544<3=116?8hk:57;?852n00?955234d6>13?349>j<4;599>70cc2=?370=:e8871==:;69;7;<16a4<3=116?8jk:57;?852l00?955234f6>13?349>h<4;599>70ec2=?37p}>abg94?4|58khj76:9:?2ea7=<<<0q~?ncg83>7}:9hn:69;:;<3b`52wx=4:l0?9852180g>=3>3ty8?lm50;0x94220398mn5232cf>1313ty8?lj50;0x94221398mi5232cf>1323ty944950;0x945>=3835:5229;:>1313ty8oik50;0x9430k39hhh523bg3>1313ty:i8k50;0x94c2m32>563>e4d9000543021?270?>588713=z{8;>47>52z?26g2=98?370?>588710=z{8;8h7>52z?256b=0<301{t989n6=4={<327`1<72127:=9854448yv76<<0;6?u21066>=3>34;:8;4;549~w473l3:1>v3>15f9<0?<58;?j7::6:p542b2909w0?>4d8;1<=:98>m69;:;|q160c=838p1>ml0;663>;5:?;1;>h4}r1`g5<72;q6?nm?:97:?85dk;0?9;5rs2a`5?6=:r7:9:753ba2?85dk;0?985rs3062?6=:r78oo954458974213=8j6s|3b`4>5<5s49hn:47589>7fd>2=?=7p}7fd>2=?>7p}=25d94?4|5:iji7::7:?1604=?:l0q~=lad83>7}:;jkn65;6;<1`f5<3=?1v>mnf;296~;6=>=1?noi;<1`f5<3=<1v2wx>5:k:1818741:0949j4=3:7a?22=2wx?n7l:18185d1j0394523b;g>1313ty8o5h50;0x96e?n32>5635c`e21?270?ifd8713=z{8lmn7>52z?2bce=0<301{t9l?i6=4={<3f1g1k16?47l:576?xu49h21<721278=lo54448yv56i00;6?u230c:>=3>349:ml4;549~w67f?3:1>v3<1`c936`<5:;jo7::7:p6g632909w0?7}:m=>14874=d66>1313tyn?;4?:3y>a61=0<301h=7:575?xub:00;6?u2e3c9<0?<5l8i69;9;|qf5f<72;q6i7}:m8:14874=d32>1313tyna55=0<301h>;:575?xucn<0;6?u2dg49<0?<5ml<69;9;|qga=<72;q6hh7584;89acf2=?=7p}kdc83>7}:lmi14874=efg>1313tyooh4?:3y>`f`=0<301ij?:575?xuck80;6?u2db09<0?<5mi869;9;|qgf1<72;q6ho;584;89ad12=?=7p}ka683>7}:lh214874=ec:>1313tyo544?:3y>`52=?=7p}k7283>7}:l>>14874=e56>1313tyo:;4?:3y>`31=0<301i87:575?xuc=10;6?u2d4;9<0?<5m?j69;9;|qg0f<72;q6h9j584;89a2b2=?=7p}k3g83>7}:l=:14874=e62>1313tyo??4?:3y>`65=0<301i=;:575?xuc:<0;6?u2d349<0?<5m8<69;9;|qg52<72;q6h<6584;89a7>2=?=7p}k0c83>7}:l9i14874=e2g>1313tyhjh4?:3y>gc`=0<301i>?:575?xudn80;6?u2cg09<0?<5jl869;9;|q`a1<72;q6oh;584;89fc12=?=7p}ld683>7}:km214874=bf:>1313tyhol4?:3y>gfd=0<301nml:575?xudjj0;6?u2ccf9<0?<5jhn69;9;|q07<`=838p1>=n0;:6=>;4;h;188;4}r106m:97:?8540j0?985rs23b4?6=:r78=l?584;8967f:3>>96s|5g:94?4|5:;j>797}:9ho;65;6;<3ba4<3=<1v<71313ty8<4h50;0x966>n32>563<0`2900344?:3y>56bb2;i9563=c3a9000l4?:3y>6f4e21?27052z?07g7=0<301>=m3;662>{t;:h96=4={<10f7l<:576?xu6j:81<70o16=47>:576?xu5:?8>:575?xu5:?:1<7916>?8>:576?xu5:<=1<716>?;6:575?xu5:<21<7?;6:576?xu5:<:1<7?;=:575?xu5:<;1<7?;=:576?xu6iko1<72127:mn>54448yv7fjo0;6?u21``e>=3>34;jo=4;549~w4?6j3:1>v3>90`9<0?<583:h7::6:p5<7d2909w0?61b8;1<=:90;o69;:;|q0664=838p1<:<9;1177=:;;9>69;9;|q0665=838p1<:69;:;|q1f`6=838p1?lj0;:6=>;5jl918884}r0aa7<72;q6=>j7:3`f6>;5jl9188;4}r12bf<72;q6?8530dg?856nl0?985rs26`5?6=:r788n?58e;89631m383h6s|347;>5<5s49>:h47d89>7<032=?>7p}<4c794?4|5:>i976k9:?0134=:1n0q~=:4383>7}:;<<965j6;<147f<3=<1v>:m6;296~;47>c3ty898l50;0x9630132o563<9c2900371ea21n270=:7b81o7>52z?012e=0m301>7lc;661>{t;=n;6=4={<17`5c12785h654478yv53l80;6?u235f2>=b>349>;h4=8e9~w632m3:1>v3<56g9;8f;:g=>;4i:<188;4}r17`6<72;q6?9j<:9f:?85209094i5rs2754?6=:r7895>58e;896g383>>:6s|35f7>5<5s49?h947d89>70>62;2o7p}<57394?4|5:?3=76k9:?0e0b=<<<0q~=;b683>7}:;=h<65j6;<1621<50m1v>;;3;296~;4=?>14i74=256f?22>2wx?9l7:181853j103h4523446>7>c3ty899:50;0x9631=32o563<764900071d>21n270=:678152z?0130=0m301>962;662>{t;=hj6=4={<17fdc1278;lk54448yv53jk0;6?u235`a>=b>349>:54=8e9~w633?3:1>v3<57:9=838p1>;99;:g=>;4?l;18884}r17fa<72;q6?9lk:9f:?852>h094i5rs277=?6=:r789;o58e;8961a03>>:6s|35`f>5<5s49?nh47d89>700e2;2o7p}<55c94?4|5:?=n76k9:?0<5`=<<<0q~=;bg83>7}:;=hm65j6;<162f<50m1v>;;b;296~;4=?i14i74=2:12?22>2wx?9m?:181853k903h452344g>7>c3ty899m50;0x9631l32o563<82f900071e521n270=:6g8152z?013`=0m301>686;662>{t;=i86=4={<17g6c127845j54448yv53k=0;6?u235a7>=b>349>;<4=8e9~w63283:1>v3<5639;82;:g=>;40kh18884}r17g3<72;q6?9m9:9f:?852?:094i5rs2766?6=:r789:=58e;896>c:3>>:6s|35a4>5<5s49?o:47d89>70132;2o7p}<54194?4|5:?<876k9:?0<`?=<<<0q~=;c983>7}:;=i365j6;<1630<50m1v>;:4;296~;4=>?14i74=2;34?22>2wx?9m6:181853k003h4523455>7>c3ty88no50;0x962di32o563<56596=b9;4?:3y>701021n270=62`8713=z{:>hn7>52z?00fd=0m301>;88;0;`>{t;:575?xu4c12789:o529f8yv52=00;6?u2345b>=b>3492;94;579~w62dm3:1>v3<4bg9{t9??:1<7?t=0455?42n2wx=;;i:181871>803h4521741>7>c3ty::8l50;3x9402k38>j6s|177b>5<5s4;=9n47d89>533c2;2o7p}>64494?7|58<>;7<:f:p53322909w0?9568;`<=:9??36?6k;|q2207=83;p1<8:2;06b>{t9??;6=4={<3517=i1<7?t=047`?42n2wx=;:m:1818717>c3ty::9950;3x9403038>j6s|1765>5<5s4;=8547d89>532>2;2o7p}>65094?7|58?6?6k;|q2267=83;p1<8<2;06b>{t9?9;6=4={<3577;i1<7?t=041`?42n2wx=;7>c3ty::?950;3x9405038>j6s|1705>5<5s4;=>547d89>534>2;2o7p}>63094?7|58<9?7<:f:p53462909w0?9228;`<=:9?8?6?6k;|q224b=83;p1<8>e;06b>{t9?;h6=4={<355`821<7?t=042=?42n2wx=;?8:1818719003h452173b>7>c3ty::<=50;3x9406<38>j6s|1731>5<5s4;==947d89>53722;2o7p}>61g94?7|58<;j7<:f:p536c2909w0?90g8;`<=:9?;;6?6k;|q21c?=83;p1<;ia;06b>{t91<7?t=07e1?42n2wx=8h<:181872n<03h45214d5>7>c3ty:9hh50;3x943a838>j6s|14gf>5<5s4;>j=47d89>50`62;2o7p}>5dc94?7|58?nn7<:f:p50c>2909w0?:ec8;`<=:9{t97>c3ty:9il50;3x943ck38>j6s|14fb>5<5s4;>hn47d89>50bc2;2o7p}>5e494?7|58?o;7<:f:p50b22909w0?:d68;`<=:9{t97>c3ty:9n950;3x943d038>j6s|14a5>5<5s4;>o547d89>50e>2;2o7p}>5b094?7|58?h?7<:f:p50e62909w0?:c28;`<=:9{t97>c3ty:9o=50;3x943e<38>j6s|14`1>5<5s4;>n947d89>50d22;2o7p}>5`g94?7|58?jj7<:f:p50gc2909w0?:ag8;`<=:9{t98?m6=4={<3224l80;6>u29e39{t1m81<7?t=8f1>25a3ty2h>4?:2y>=a5=0j=014j;:577?[?c;2wx5i:50;3x99m7psa1dd52?6=9rd3ok4>;oc00?67}ii:>1>6sa1dd5=?6=:rdj?94<;|l2ac0f2909wco<4;68yk7bn?h1<7?tn`17>0=zf8om:n4?:0yme62=>2we=hh9d;296~hf;=0<7p`>eg4f>5<6sgk88764}o3fb3`=833pbl=;:89~j4ca?90;6{i9ll<=7>52zlb71:5e:m5``0=3:1>v`n358e?xh6mo==6=4>{oc00?773td:ik98:181kg4<3;:7p`>eg5;>5<6sgk887?=;|l2ac1>2909wco<4;30?xh6mo=j6=4>{oc00?733td:ik9m:181kg4<3;>7p`>eg5`>5<6sgk887?9;|l2ac1c290:wco<4;34?xh6mo=n6=4>{oc00?7?3td:ik9i:182kg4<3;27p`>eg:3>5<6sgk887?n;|l2ac>62909wco<4;3a?xh6mo296=4>{oc00?7d3td:ik6<:181kg4<3;o7p`>eg:7>5<6sgk887?j;|l2ac>22909wco<4;3e?xh6mo2=6=4>{oc00?473td:ik68:181kg4<38:7p`>eg:;>5<6sgk887<=;|l2ac>>2909wco<4;00?xh6mo2j6=4>{oc00?433td:ik6m:181kg4<38>7p`>eg:`>5<6sgk887<9;|l2ac>c2909wco<4;04?xh6mo2n6=4>{oc00?4?3td:ik6i:181kg4<3827p`>eg;3>5<6sgk887{oc00?4d3td:ik7<:181kg4<38o7p`>eg;7>5<6sgk887{oc00?573td:ik78:181kg4<39:7p`>eg;;>5<6sgk887==;|l2ac?>2909wco<4;10?xh6mo3j6=4>{oc00?533td:ik7m:181kg4<39>7p`>eg;`>5<6sgk887=9;|l2ac?c2909wco<4;14?xh6mo3n6=4>{oc00?5?3td:ik7i:181kg4<3927p`>egc3>5<6sgk887=n;|l2acg62909wco<4;1a?xh6mok96=4>{oc00?5d3td:iko<:181kg4<39o7p`>egc7>5<6sgk887=j;|l2acg22909wco<4;1e?xh6mok=6=4>{oc00?273td:iko8:181kg4<3>:7p`>egc;>5<6sgk887:=;|l2acg>2909wco<4;60?xh6mokj6=4>{oc00?233td:ikom:181kg4<3>>7p`>egc`>5<6sgk887:9;|l2acgc2909wco<4;64?xh6mokn6=4>{oc00?2?3td:ikoi:181kg4<3>27p`>eg`3>5<5sgk887:n;|l2acd62908wco<4;6a?xh6moh96=4={oc00?2d3td:ikl<:1815~hf;=0?h6sa1dda0?6=:rdj?94;e:m5``e=3:1=v`n3587b>{i9lli:7>52zlb71<282we=hhm7;296~hf;=0>=6sa1dda{i9llim7>51zlb71<2<2we=hhmb;295~hf;=0>96sa1ddag?6=9rdj?94:6:m5``el3:1=v`n35863>{i9llii7>51zlb71<202we=hhmf;295~hf;=0>56sa1dd`4?6=9rdj?94:a:m5``d93:1=v`n3586f>{i9llh>7>51zlb71<2k2we=hhl3;295~hf;=0>h6sa1dd`0?6=9rdj?94:e:m5``d=3:1=v`n3586b>{i9llh:7>51zlb71<182we=hhl7;295~hf;=0==6sa1dd`{i9llhm7>51zlb71<1<2we=hhlb;295~h?ko0;7co<4;46?xh6moih6=4>{oc00?013td:ikmk:182kg4<3<<7p`>egaf>5<6sgk88787;|l2acea290:wco<4;4:?xh6mon;6=4={oc00?0f3td:ikj>:182kg4<3egf1>5<6sgk8878l;|l2acb42908wco<4;4g?xh6mon?6=4=0zlb71<1m2we=hhk5;295~hf;=0=j6sa1ddg2?6=9rdj?9480:m5``c?3:1=v`n35845>{i9llo47>51zlb71<0:2we=hhk9;29e~hf;=0{i9lloo7>51zlb71<0>2we=hhkd;295~hf;=0<;6sa1ddga?6=9rdj?9488:m5``cn3:1>v`n3584=>{i9lln<7>51zlb71<0i2we=hhj1;295~hf;=0{i9lln87>51zlb71<0m2we=hhj5;295~hf;=0v`n358;5>{i9lln47>52zlb71{i9llno7>51zlb712we=hhjd;296~hf;=03;6sa1ddfa?6=9rdj?9478:m5``bn3:1>v`n358;=>{i9llm<7>51zlb71{i9llm87>51zlb71v`n358:5>{i9llm47>51zlb71<>:2we=hhi9;296~hf;=02?6sa1ddee?6=9rdj?9464:m5``aj3:1>v`n358:1>{i9llmo7>51zlb71<>>2we=hhid;295~hf;=02;6sa1ddea?6=9rdj?9468:m5``an3:1>v`n358:=>{i9o:;<7>51zlb71<>i2we=k>?1;296~hf;=02n6sa1g236?6=9rdj?946c:m5c67;3:1;v`n358:`>{i9o:;87>51zlb71<>m2we=k>?5;293~hf;=02j6sa1g232?6=9rdj?94n0:m5c67?3:1;v`n358b5>{i9o:;47>51zlb71?9;293~hf;=0j?6sa1g23e?6=9rdj?94n4:m5c67j3:1;v`n358b1>{i9o:;o7>51zlb712we=k>?d;292~hf;=0j;6sa1g23a?6=:rdj?94n8:m5c67n3:1:v`n358b=>{i9o::<7>52zlb71>1;292~hf;=0jn6sa1g226?6=:rdj?94nc:m5c66;3:19v`n358b`>{i9o::87>52zlb71>5;291~hf;=0jj6sa1g222?6=:rdj?94m0:m5c66?3:1:v`n358a5>{i9o::47>51zlb71>9;292~hf;=0i?6sa1g22e?6=9rdj?94m4:m5c66j3:18v`n358a1>{i9o::o7>51zlb712we=k>>d;292~hf;=0i;6sa1g22a?6=9rdj?94m8:m5c66n3:1:v`n358a=>{i9o:9<7>51zlb71=1;290~hf;=0in6sa1g216?6=9rdj?94mc:m5c65;3:19v`n358a`>{i9o:987>51zlb71=5;291~hf;=0ij6sa1g212?6=9rdj?94l0:m5c65?3:19v`n358`5>{i9o:947>51zlb71=9;291~hf;=0h?6sa1g21e?6=9rdj?94l4:m5c65j3:19v`n358`1>{i9o:9o7>51zlb712we=k>=d;291~hf;=0h;6sa1g21a?6=9rdj?94l8:m5c65n3:19v`n358`=>{i9o:8<7>52zlb71<1;291~hf;=0hn6sa1g206?6=:rdj?94lc:m5c64;3:19v`n358``>{i9o:887>52zlb71<5;290~hf;=0hj6sa1g202?6=:rdj?94k0:m5c64?3:18v`n358g5>{i9o:847>52zlb71<9;290~hf;=0o?6sa1g20e?6=:rdj?94k4:m5c64j3:18v`n358g1>{i9o:8o7>52zlb712we=k>{i9o:?<7>52zlb71;1;29=~hf;=0on6sa1g276?6=9rdj?94kc:m5c63;3:1=v`n358g`>{i9o:?87>51zlb71;5;295~hf;=0oj6sa1g272?6=9rdj?94j0:m5c63?3:1=v`n358f5>{i9o:?47>51zlb71;9;295~hf;=0n?6sa1g27e?6=9rdj?94j4:m5c63j3:1=v`n358f1>{i9o:?o7>51zlb712we=k>;d;295~hf;=0n;6sa1g27a?6=9rdj?94j8:m5c63n3:1=v`n358f=>{i9o:><7>51zlb71:1;295~hf;=0nn6sa1g266?6=9rdj?94jc:m5c62;3:1=v`n358f`>{i9o:>87>51zlb71:5;295~hf;=0nj6sa1g262?6=9rdj?94i0:m5c62?3:1=v`n358e5>{i9o:>47>51zlb71:9;295~hf;=0m?6sa1g26e?6=9rdj?94i4:m5c62j3:1=v`n358e1>{i9o:>o7>51zlb712we=k>:d;295~hf;=0m;6sa1g26a?6=9rdj?94i8:m5c62n3:1=v`n358e=>{i9o:=<7>51zlb7191;297~hf;=0mn6sa1g256?6=9rdj?94ic:m5c61;3:1>v`n358e`>{i9o:=87>51zlb7195;295~hf;=0mj6sa1g252?6=9rdj?94>019~j4`7>>0;6f14:>5<6sgk887??3:m5c61i3:1=v`n358241=zf8l;:o4?:0yme62=99?0qc?i07a94?7|fh9?6<>9;|l2b50c290:wco<4;333>{i9o:=i7>52zlb71<6811vb7}ii:>1==74}o3e426=838pbl=;:02b?xh6n9=:6=4={oc00?77j2we=k>82;296~hf;=0::511f8yk7a8>>1<746b3td:j=9::181kg4<3;;j6sa1g242?6=:rdj?94>119~j4`7?>0;6?uaa269547f15:>5<6sgk887?>3:m5c60i3:1=v`n358251=zf8l;;o4?:0yme62=98?0qc?i06a94?7|fh9?6{i9o:51zlb71<6911vb7}ii:>1=<74}o3e4=6=83;pbl=;:03b?xh6n92:6=4>{oc00?76j2we=k>72;295~hf;=0:=n5rn0d3<6<728qem>:510f8yk7a81>1<7?tn`17>47b3td:j=6::182kg4<3;:j6sa1g2;2?6=9rdj?94>219~j4`70>0;6f1::>5<6sgk887?=3:m5c6?i3:1=v`n358261=zf8l;4o4?:0yme62=9;?0qc?i09a94?7|fh9?6<<9;|l2b5>c290:wco<4;313>{i9o:3i7>51zlb71<6:11vb4}ii:>1=?74}o3e4<6=83;pbl=;:00b?xh6n93:6=4>{oc00?75j2we=k>62;295~hf;=0:>n5rn0d3=6<728qem>:513f8yk7a80>1<7?tn`17>44b3td:j=7::182kg4<3;9j6sa1g2:2?6=9rdj?94>319~j4`71>0;6f1;:>5<6sgk887?<3:m5c6>i3:1=v`n358271=zf8l;5o4?:2yme62=9:?0qc?i08a94?7|fh9?6<=9;|l2b5?c2908wco<4;303>{i9o:2i7>51zlb71<6;11vb6}ii:>1=>74}o3e4d6=83;pbl=;:01b?xh6n9k:6=4<{oc00?74j2we=k>n2;295~hf;=0:?n5rn0d3e6<72:qem>:512f8yk7a8h>1<7?tn`17>45b3td:j=o::180kg4<3;8j6sa1g2b2?6=9rdj?94>419~j4`7i>0;6>uaa26951797p`>f1c:>5<4sgk887?;3:m5c6fi3:1=v`n358201=zf8l;mo4?:2yme62=9=?0qc?i0`a94?7|fh9?6<:9;|l2b5gc2908wco<4;373>{i9o:ji7>51zlb71<6<11vb6}ii:>1=974}o3e4g6=83;pbl=;:06b?xh6n9h:6=4<{oc00?73j2we=k>m2;295~hf;=0:8n5rn0d3f6<72:qem>:515f8yk7a8k>1<7?tn`17>42b3td:j=l::180kg4<3;?j6sa1g2a2?6=9rdj?94>519~j4`7j>0;6>uaa269507f1`:>5<4sgk887?:3:m5c6ei3:1=v`n358211=zf8l;no4?:2yme62=9{i9o:ii7>51zlb71<6=11vb6}ii:>1=874}o3e4f6=83;pbl=;:07b?xh6n9i:6=4<{oc00?72j2we=k>l2;295~hf;=0:9n5rn0d3g6<72:qem>:514f8yk7a8j>1<7?tn`17>43b3td:j=m::180kg4<3;>j6sa1g2`2?6=9rdj?94>619~j4`7k>0;6>uaa269537f1a:>5<4sgk887?93:m5c6di3:1=v`n358221=zf8l;oo4?:2yme62=9??0qc?i0ba94?7|fh9?6<89;|l2b5ec2908wco<4;353>{i9o:hi7>51zlb71<6>11vb6}ii:>1=;74}o3e4a6=83;pbl=;:04b?xh6n9n:6=4<{oc00?71j2we=k>k2;295~hf;=0::n5rn0d3`6<72:qem>:517f8yk7a8m>1<7?tn`17>40b3td:j=j::180kg4<3;=j6sa1g2g2?6=9rdj?94>719~j4`7l>0;6>uaa269527f1f:>5<3sgk887?83:m5c6ci3:1=v`n358231=zf8l;ho4?:0yme62=9>?0qc?i0ea94?4|fh9?6<99;|l2b5bc290:wco<4;343>{i9o:oi7>52zlb71<6?11vb4}ii:>1=:74}o3e4`6=838pbl=;:05b?xh6n9o:6=4>{oc00?70j2we=k>j2;297~hf;=0:;n5rn0d3a6<728qem>:516f8yk7a8l>1<7=tn`17>41b3td:j=k::182kg4<3;819~j4`7m>0;6f1g:>5<6sgk887?73:m5c6bi3:1?v`n3582<1=zf8l;io4?:0yme62=91?0qc?i0da94?7|fh9?6<69;|l2b5cc290:wco<4;3;3>{i9o:ni7>51zlb71<6011vb7}ii:>1=574}o3e4c6=83;pbl=;:0:b?xh6n9l:6=4>{oc00?7?j2we=k>i2;295~hf;=0:4n5rn0d3b6<728qem>:519f8yk7a8o>1<7?tn`17>4>b3td:j=h::182kg4<3;3j6sa1g2e2?6=9rdj?94>919~j4`7n>0;6f1d:>5<6sgk887?63:m5c6ai3:1=v`n3582=1=zf8l;jo4?:0yme62=90?0qc?i0ga94?7|fh9?6<79;|l2b5`c290:wco<4;3:3>{i9o:mi7>51zlb71<6111vb4}ii:>1=474}o3e556=83;pbl=;:0;b?xh6n8::6=4={oc00?7>j2we=k??2;295~hf;=0:5n5rn0d246<728qem>:518f8yk7a99>1<7?tn`17>4?b3td:j<>::182kg4<3;2j6sa1g332?6=9rdj?94>a19~j4`68>0;6?uaa2695d7f02:>5<6sgk887?n3:m5c77i3:1=v`n3582e1=zf8l:{i9o;;i7>51zlb71<6i11vb0g83>4}ii:>1=l74}o3e546=83;pbl=;:0cb?xh6n8;:6=4>{oc00?7fj2we=k?>2;292~hf;=0:mn5rn0d256<728qem>:51`f8yk7a98>1<78tn`17>4gb3td:jb19~j4`69>0;6f03:>5<5sgk887?m3:m5c76i3:1?v`n3582f1=zf8l:=o4?:0yme62=9k?0qc?i10a94?7|fh9?6{i9o;:i7>51zlb71<6j11vb1g83>6}ii:>1=o74}o3e576=83;pbl=;:0`b?xh6n88:6=4={oc00?7ej2we=k?=2;296~hf;=0:nn5rn0d266<72;qem>:51cf8yk7a9;>1<74db3td:j<<::181kg4<3;ij6sa1g312?6=:rdj?94>c19~j4`6:>0;6>uaa2695f7f00:>5<4sgk887?l3:m5c75i3:1>v`n3582g1=zf8l:>o4?:0yme62=9j?0qc?i13a94?7|fh9?6{i9o;9i7>51zlb71<6k11vb2g83>4}ii:>1=n74}o3e566=83;pbl=;:0ab?xh6n89:6=4>{oc00?7dj2we=k?<2;295~hf;=0:on5rn0d276<728qem>:51bf8yk7a9:>1<7?tn`17>4eb3td:j<=::182kg4<3;hj6sa1g302?6=9rdj?94>d19~j4`6;>0;6650;3xjd5328n97p`>f01:>5<68rdj?94>d29~j4`6;h0;6<>tn`17>4b33td:j<=m:180kg4<3;o96sa1g30g?6=9kqem>:51e48yk7a9:n1<76tn`17>4b03td:j<=j:18akg4<3;o46sa1g30b?6=98qem>:51e;8yk7a9=:1<7?tn`17>4bf3td:j<:>:18;kg4<3;on6sa1g376?6=9rdj?94>db9~j4`6<:0;6?4bc3td:j<:;:1814~hf;=0:hh5rn0d200<72;8pbl=;:0fe?xh6n8>=6=4<{oc00?7b82we=k?;7;2954}ii:>1=h?4}o3e51>=838;wco<4;3f6>{i9o;?57>52zlb71<6m:1vb4`83>7}ii:>1=h:4}o3e51d=839pbl=;:0g6?xh6n8>h6=4={oc00?7b>2we=k?;d;297~hf;=0:i:5rn0d20`<72:qem>:51d:8yk7a9=l1<7=tn`17>4c>3td:j<;?:181kg4<3;nm6sa1g365?6=;rdj?94>ec9~j4`6=;0;6?uaa2695`ef077>5f074>5{i9o;>o7>53zlb71<6n?1vb5e83>6}ii:>1=k94}o3e50c=839pbl=;:0d;?xh6n8?m6=4<{oc00?7a12we=k?90;297~hf;=0:jl5rn0d224<72:qem>:51g`8yk7a9?81<7=tn`17>4`d3td:j<8<:180kg4<3;mh6sa1g350?6=:rdj?94>fd9~j4`6><0;6>uaa2695c`f044>5<4sgk887v`n358147=zf8l::44?:2yme62=:990qc?i17c94?5|fh9?6?>;;|l2b40e2908wco<4;031>{i9o;=o7>53zlb71<58?1vb6e83>6}ii:>1>=94}o3e53c=839pbl=;:32;?xh6n87183>6}ii:>1>=o4}o3e527=839pbl=;:32a?xh6n8=96=4<{oc00?47k2we=k?83;297~hf;=09:521g8yk7a9>?1<7<>{oc00?47n2we=k?86;296~hf;=09==5rn0d232<72;qem>:52038yk7a9>21<77753td:j<96:181kg4<38:?6sa1g34e?6=:rdj?94=159~j4`6?k0;6?uaa269643f05g>5<5sgk887<>7:m5c70m3:1>v`n35815==zf8l:;k4?:3yme62=:830qc?i19294?4|fh9?6??n;|l2b4>62909wco<4;02f>{i9o;3>7>52zlb71<59j1vb8283>7}ii:>1>6=4={oc00?46n2we=k?76;296~hf;=09>=5rn0d2<2<72;qem>:52338yk7a9121<77tn`17>7453td:j<66:182kg4<389?6sa1g3;e?6=9rdj?94=259~j4`60k0;65uaa269673f0:g>5<3sgk887<=7:m5c7?m3:1?v`n35816==zf8l:4k4?:9yme62=:;30qc?i18294?0|fh9?6?{i9o;2>7>53zlb71<5:j1vb9283>1}ii:>1>?j4}o3e5<2=838pbl=;:30f?xh6n83>6=4;{oc00?45n2we=k?66;291~hf;=09?=5rn0d2=2<72;qem>:52238yk7a9021<7?tn`17>7553td:j<76:182kg4<388?6sa1g3:e?6=9rdj?94=359~j4`61k0;6f0;g>5<5sgk887<<7:m5c7>m3:1>v`n35817==zf8l:5k4?:3yme62=::30qc?i1`294?4|fh9?6?=n;|l2b4g62909wco<4;00f>{i9o;j>7>52zlb71<5;j1vba283>7}ii:>1>>j4}o3e5d2=838pbl=;:31f?xh6n8k>6=4={oc00?44n2we=k?n6;296~hf;=098=5rn0d2e2<72;qem>:52538yk7a9h21<77253td:j=7p`>f0cg>5<5sgk887<;7:m5c7fm3:1>v`n35810==zf8l:mk4?:3yme62=:=30qc?i1c294?7|fh9?6?:n;|l2b4d6290:wco<4;07f>{i9o;i>7>51zlb71<5b283>4}ii:>1>9j4}o3e5g2=83;pbl=;:36f?xh6n8h>6=4>{oc00?43n2we=k?m6;297~hf;=099=5rn0d2f2<728qem>:52438yk7a9k21<7?tn`17>7353td:j?6sa1g3ae?6=9rdj?94=559~j4`6jk0;6f0`g>5<6sgk887<:7:m5c7em3:1=v`n35811==zf8l:nk4?:0yme62=:<30qc?i1b294?7|fh9?6?;n;|l2b4e6290:wco<4;06f>{i9o;h>7>51zlb71<5=j1vbc283>4}ii:>1>8j4}o3e5f2=83;pbl=;:37f?xh6n8i>6=4>{oc00?42n2we=k?l6;295~hf;=09:=5rn0d2g2<728qem>:52738yk7a9j21<7?tn`17>7053td:jf0ag>5<6sgk887<97:m5c7dm3:1=v`n35812==zf8l:ok4?:3yme62=:?30qc?i1e294?>asgk887<9a:m5c7c93:14=uaa26963d:527f8yk7a9m>1<76tn`17>70b3td:j0;68uaa269627f0f:>5<6sgk887<83:m5c7ci3:1=v`n358131=zf8l:ho4?:0yme62=:>?0qc?i1ea94?7|fh9?6?99;|l2b4bc290:wco<4;043>{i9o;oi7>51zlb71<5?11vbdg83>63|fh9?6?96;|l2b4c7290:9v`n35813d=zf8l:i<4?:03xjd532;=i7p`>f0g1>5<6;rdj?94=7b9~j4`6m:0;6f0g6>5<>sgk887<8f:m5c7b>3:1>v`n3581<5=zf8l:i:4?:0;xjd532;2:7p`>f0g;>5<6sgk887<72:m5c7b13:1=v`n3581<6=zf8l:il4?:0yme62=:1>0qc?i1d`94?7|fh9?6?6:;|l2b4cd2908nv`n3581<3=zf8l:ii4?:3yme62=:1=0qc?i1dg94?5esgk887<78:m5c7bn3:1>v`n3581<<=zf8l:j=4?:0yme62=:1k0qc?i1g394?7|fh9?6?6m;|l2b4`5290:wco<4;0;g>{i9o;m?7>51zlb71<50m1vbf583>7}ii:>1>5k4}o3e5c3=83;pbl=;:3:e?xh6n8l=6=4={oc00?4>82we=k?i7;296~hf;=095<5rn0d2b=<72;qem>:52808yk7a9o31<77?43td:jf0df>5<6sgk887<68:m5c7an3:1=v`n3581=<=zf8l9<=4?:2yme62=:0k0qc?i21394?7|fh9?6?7m;|l2b7652909wco<4;0:g>{i9o8;?7>51zlb71<51m1vb4}ii:>1>4k4}o3e653=83;pbl=;:3;e?xh6n;:=6=4>{oc00?4f82we=k:52`08yk7a:931<77g43td:j?>n:181kg4<38j86sa1g03f?6=:rdj?94=a49~j4`58j0;6?uaa2696d0=j50;3xjd532;k<7p`>f32f>5<5sgk887v`n3581e<=zf8l9==4?:3yme62=:hk0qc?i20394?7|fh9?6?om;|l2b775290:wco<4;0bg>{i9o8:?7>53zlb71<5im1vb4}ii:>1>lk4}o3e643=838pbl=;:3ce?xh6n;;=6=4>{oc00?4e82we=k<>7;295~hf;=09n<5rn0d15=<728qem>:52c08yk7a:831<77d43td:j??n:182kg4<38i86sa1g02f?6=9rdj?94=b49~j4`59j0;6f33f>5<6sgk887=4?:0yme62=:kk0qc?i23394?4|fh9?6?lm;|l2b745290:wco<4;0ag>{i9o89?7>51zlb71<5jm1vb4}ii:>1>ok4}o3e673=838pbl=;:3`e?xh6n;8=6=4>{oc00?4d82we=k<=7;295~hf;=09o<5rn0d16=<728qem>:52b08yk7a:;31<77e43td:j??j50;0xjd532;i<7p`>f30f>5<6sgk887{i9o88?7>51zlb71<5km1vb4}ii:>1>nk4}o3e663=838pbl=;:3ae?xh6n;9=6=4>{oc00?4c82we=k<<7;295~hf;=09h<5rn0d17=<728qem>:52e08yk7a::31<77b43td:j?=n:182kg4<38o86sa1g00f?6=9rdj?94=d49~j4`5;j0;6>j50;0xjd532;n<7p`>f31f>5<6sgk887{i9o8??7>51zlb71<5lm1vb4}ii:>1>ik4}o3e613=838pbl=;:3fe?xh6n;>=6=4>{oc00?4b82we=k<;7;295~hf;=09i<5rn0d10=<728qem>:52d08yk7a:=31<77c43td:j?:n:182kg4<38n86sa1g07f?6=9rdj?94=e49~j4`59j50;0xjd532;o<7p`>f36f>5<6sgk887{i9o8>?7>51zlb71<5mm1vb4}ii:>1>hk4}o3e603=838pbl=;:3ge?xh6n;?=6=4>{oc00?4a82we=k<:7;295~hf;=09j<5rn0d11=<728qem>:52g08yk7a:<31<77`43td:j?;n:182kg4<38m86sa1g06f?6=9rdj?94=f49~j4`5=j0;68j50;0xjd532;l<7p`>f37f>5<6sgk887{i9o8=?7>51zlb71<5nm1vb4}ii:>1>kk4}o3e633=838pbl=;:3de?xh6n;<=6=4>{oc00?5782we=k<97;295~hf;=08<<5rn0d12=<728qem>:53108yk7a:?31<76643td:j?8n:182kg4<39;86sa1g05f?6=9rdj?94<049~j4`5>j0;6;j50;0xjd532::<7p`>f34f>5<6sgk887=?8:m5c41n3:1=v`n35804<=zf8l9;=4?:0yme62=;9k0qc?i26394?4|fh9?6>>m;|l2b715290:wco<4;13g>{i9o851zlb71<48m1vb4}ii:>1?=k4}o3e623=838pbl=;:22e?xh6n;==6=4>{oc00?5682we=k<87;295~hf;=08=<5rn0d13=<728qem>:53008yk7a:>31<76743td:j?9n:182kg4<39:86sa1g04f?6=9rdj?94<149~j4`5?j0;6:j50;0xjd532:;<7p`>f35f>5<6sgk887=>8:m5c40n3:1=v`n35805<=zf8l94=4?:0yme62=;8k0qc?i29394?4|fh9?6>?m;|l2b7>5290:wco<4;12g>{i9o83?7>51zlb71<49m1vb4}ii:>1?{oc00?5582we=k<77;295~hf;=08><5rn0d1<=<728qem>:53308yk7a:131<76443td:j?6n:182kg4<39986sa1g0;f?6=9rdj?94<249~j4`50j0;65j50;0xjd532:8<7p`>f3:f>5<6sgk887==8:m5c4?n3:1=v`n35806<=zf8l95=4?:0yme62=;;k0qc?i28394?4|fh9?6>{i9o82?7>51zlb71<4:m1vb4}ii:>1??k4}o3e6<3=83;pbl=;:20e?xh6n;3=6=4={oc00?5482we=k<67;296~hf;=08?<5rn0d1==<72;qem>:53208yk7a:031<76543td:j?7n:181kg4<39886sa1g0:f?6=:rdj?94<349~j4`51j0;6?uaa2697604j50;0xjd532:9<7p`>f3;f>5<5sgk887=<8:m5c4>n3:1>v`n35807<=zf8l9m=4?:3yme62=;:k0qc?i2`394?7|fh9?6>=m;|l2b7g5290:wco<4;10g>{i9o8j?7>51zlb71<4;m1vb7}ii:>1?>k4}o3e6d3=83;pbl=;:21e?xh6n;k=6=4>{oc00?5382we=k:53508yk7a:h31<7?tn`17>6243td:j?on:182kg4<39?86sa1g0bf?6=9rdj?94<449~j4`5ij0;6lj50;3xjd532:><7p`>f3cf>5<6sgk887=;8:m5c4fn3:1=v`n35800<=zf8l9n=4?:0yme62=;=k0qc?i2c394?7|fh9?6>:m;|l2b7d5290:wco<4;17g>{i9o8i?7>51zlb71<44}ii:>1?9k4}o3e6g3=83;pbl=;:26e?xh6n;h=6=4>{oc00?5282we=k:53408yk7a:k31<7?tn`17>6343td:j?ln:182kg4<39>86sa1g0af?6=9rdj?94<549~j4`5jj0;6oj50;3xjd532:?<7p`>f3`f>5<6sgk887=:8:m5c4en3:1=v`n35801<=zf8l9o=4?:0yme62=;;m;|l2b7e5290:wco<4;16g>{i9o8h?7>51zlb71<4=m1vb4}ii:>1?8k4}o3e6f3=83;pbl=;:27e?xh6n;i=6=4>{oc00?5182we=k:53708yk7a:j31<7?tn`17>6043td:j?mn:182kg4<39=86sa1g0`f?6=9rdj?94<649~j4`5kj0;6nj50;3xjd532:<<7p`>f3af>5<6sgk887=98:m5c4dn3:1=v`n35802<=zf8l9h=4?:0yme62=;?k0qc?i2e394?7|fh9?6>8m;|l2b7b5290:wco<4;15g>{i9o8o?7>51zlb71<4>m1vb4}ii:>1?;k4}o3e6a3=83;pbl=;:24e?xh6n;n=6=4>{oc00?5082we=k:53608yk7a:m31<7?tn`17>6143td:j?jn:182kg4<39<86sa1g0gf?6=9rdj?94<749~j4`5lj0;6ij50;3xjd532:=<7p`>f3ff>5<6sgk887=88:m5c4cn3:1=v`n35803<=zf8l9i=4?:0yme62=;>k0qc?i2d394?7|fh9?6>9m;|l2b7c5290:wco<4;14g>{i9o8n?7>51zlb71<4?m1vb4}ii:>1?:k4}o3e6`3=83;pbl=;:25e?xh6n;o=6=4>{oc00?5?82we=k:53908yk7a:l31<76>43td:j?kn:181kg4<39386sa1g0ff?6=:rdj?94<849~j4`5mj0;6?uaa2697=0hj50;0xjd532:2<7p`>f3gf>5<5sgk887=78:m5c4bn3:1>v`n3580<<=zf8l9j=4?:3yme62=;1k0qc?i2g394?4|fh9?6>6m;|l2b7`5290:wco<4;1;g>{i9o8m?7>52zlb71<40m1vb7}ii:>1?5k4}o3e6c3=838pbl=;:2:e?xh6n;l=6=4>{oc00?5>82we=k:53808yk7a:o31<7?tn`17>6?43td:j?hn:182kg4<39286sa1g0ef?6=9rdj?94<949~j4`5nj0;6kj50;3xjd532:3<7p`>f3df>5<6sgk887=68:m5c4an3:1=v`n3580=<=zf8l8<=4?:0yme62=;0k0qc?i31394?7|fh9?6>7m;|l2b665290:wco<4;1:g>{i9o9;?7>51zlb71<41m1vb4}ii:>1?4k4}o3e753=839pbl=;:2;e?xh6n::=6=4>{oc00?5f82we=k=?7;295~hf;=08m<5rn0d04=<72:qem>:53`08yk7a;931<7?tn`17>6g43td:j>>n:180kg4<39j86sa1g13f?6=9rdj?94f22f>5<6sgk887=n8:m5c57n3:1=v`n3580e<=zf8l8==4?:3yme62=;hk0qc?i30394?7|fh9?6>om;|l2b6752908wco<4;1bg>{i9o9:?7>51zlb71<4im1vb6}ii:>1?lk4}o3e743=83;pbl=;:2ce?xh6n:;=6=4={oc00?5e82we=k=>7;295~hf;=08n<5rn0d05=<72;qem>:53c08yk7a;831<7?tn`17>6d43td:j>?n:180kg4<39i86sa1g12f?6=9rdj?94uaa2697g0f23f>5<4sgk887=m8:m5c56n3:1>v`n3580f<=zf8l8>=4?:0yme62=;kk0qc?i33394?7|fh9?6>lm;|l2b6452909wco<4;1ag>{i9o99?7>51zlb71<4jm1vb7}ii:>1?ok4}o3e773=83;pbl=;:2`e?xh6n:8=6=4={oc00?5d82we=k==7;297~hf;=08o<5rn0d06=<728qem>:53b08yk7a;;31<7=tn`17>6e43td:j>f20f>5<6sgk887=l8:m5c55n3:18v`n3580g<=zf8l8?=4?:0yme62=;jk0qc?i32394?7|fh9?6>mm;|l2b655290?wco<4;1`g>{i9o98?7>51zlb71<4km1vb1}ii:>1?nk4}o3e763=83;pbl=;:2ae?xh6n:9=6=4<{oc00?5c82we=k=<7;295~hf;=08h<5rn0d07=<72=qem>:53e08yk7a;:31<7?tn`17>6b43td:j>=n:187kg4<39o86sa1g10f?6=9rdj?94j50;3xjd532:n<7p`>f21f>5<6sgk887=k8:m5c54n3:1=v`n3580`<=zf8l88=4?:0yme62=;mk0qc?i35394?7|fh9?6>jm;|l2b625290:wco<4;1gg>{i9o9??7>51zlb71<4lm1vb4}ii:>1?ik4}o3e713=83;pbl=;:2fe?xh6n:>=6=4>{oc00?5b82we=k=;7;295~hf;=08i<5rn0d00=<728qem>:53d08yk7a;=31<7?tn`17>6c43td:j>:n:182kg4<39n86sa1g17f?6=9rdj?94f26f>5<6sgk887=j8:m5c53n3:1=v`n3580a<=zf8l89=4?:0yme62=;lk0qc?i34394?7|fh9?6>km;|l2b635290:wco<4;1fg>{i9o9>?7>51zlb71<4mm1vb4}ii:>1?hk4}o3e703=838pbl=;:2ge?xh6n:?=6=4>{oc00?5a82we=k=:7;295~hf;=08j<5rn0d01=<728qem>:53g08yk7a;<31<7?tn`17>6`43td:j>;n:182kg4<39m86sa1g16f?6=9rdj?94f27f>5<6sgk887=i8:m5c52n3:1=v`n3580b<=zf8l8:=4?:0yme62=;ok0qc?i37394?7|fh9?6>hm;|l2b605290:wco<4;1eg>{i9o9=?7>51zlb71<4nm1vb4}ii:>1?kk4}o3e733=83;pbl=;:2de?xh6n:<=6=4>{oc00?2782we=k=97;295~hf;=0?<<5rn0d02=<728qem>:54108yk7a;?31<7?tn`17>1643td:j>8n:182kg4<3>;86sa1g15f?6=9rdj?94;049~j4`4>j0;6f24f>5<6sgk887:?8:m5c51n3:1=v`n35874<=zf8l8;=4?:0yme62=<9k0qc?i36394?7|fh9?69>m;|l2b615290:wco<4;63g>{i9o951zlb71<38m1vb4}ii:>18=k4}o3e723=83;pbl=;:52e?xh6n:==6=4>{oc00?2682we=k=87;295~hf;=0?=<5rn0d03=<728qem>:54008yk7a;>31<7?tn`17>1743td:j>9n:182kg4<3>:86sa1g14f?6=9rdj?94;149~j4`4?j0;6f25f>5<6sgk887:>8:m5c50n3:1=v`n35875<=zf8l84=4?:0yme62=<8k0qc?i39394?7|fh9?69?m;|l2b6>5290:wco<4;62g>{i9o93?7>51zlb71<39m1vb4}ii:>18{oc00?2582we=k=77;295~hf;=0?><5rn0d0<=<728qem>:54308yk7a;131<7?tn`17>1443td:j>6n:182kg4<3>986sa1g1;f?6=9rdj?94;249~j4`40j0;6f2:f>5<6sgk887:=8:m5c5?n3:1=v`n35876<=zf8l85=4?:0yme62=<;k0qc?i38394?7|fh9?69{i9o92?7>51zlb71<3:m1vb4}ii:>18?k4}o3e7<3=83;pbl=;:50e?xh6n:3=6=4>{oc00?2482we=k=67;295~hf;=0??<5rn0d0==<72:qem>:54208yk7a;031<7?tn`17>1543td:j>7n:187kg4<3>886sa1g1:f?6=9rd3ok4?;oc00?24=2we=k=6c;295~hf;=0??;5rn0d0=a<728qem>:54258yk7a;0o1<7?tn`17>15?3td:j>7i:182kg4<3>856sa1g1b4?6=9rdj?94;3`9~j4`4i80;6f2c0>5<6sgk887:{i9o9j47>51zlb71<3<;1vb4}ii:>189=4}o3e7dg=83;pbl=;:567?xh6n:ki6=4>{oc00?23=2we=k=nc;295~hf;=0?8;5rn0d0ea<728qem>:54558yk7a;ho1<7?tn`17>12?3td:j>oi:182kg4<3>?56sa1g1a4?6=9rdj?94;4`9~j4`4j80;6h7p`>f2`0>5<6sgk887:;d:m5c5e<3:1=v`n35870`=zf8l8n84?:0yme62=<=l0qc?i3c494?7|fh9?69;?;|l2b6d0290:wco<4;665>{i9o9i47>51zlb71<3=;1vb4}ii:>188=4}o3e7gg=83;pbl=;:577?xh6n:hi6=4>{oc00?22=2we=k=mc;295~hf;=0?9;5rn0d0fa<728qem>:54458yk7a;ko1<7?tn`17>13?3td:j>li:181kg4<3>>56sa1g1`4?6=9rdj?94;5`9~j4`4k80;6f2a0>5<6sgk887::d:m5c5d<3:1=v`n35871`=zf8l8o84?:0yme62=<{i9o9h47>51zlb71<3>;1vb4}ii:>18;=4}o3e7fg=83;pbl=;:547?xh6n:ii6=4>{oc00?21=2we=k=lc;295~hf;=0?:;5rn0d0ga<728qem>:54758yk7a;jo1<7?tn`17>10?3td:j>mi:182kg4<3>=56sa1g1g4?6=9rdj?94;6`9~j4`4l80;6?uaa26903df2f0>5<6sgk887:9d:m5c5c<3:1>v`n35872`=zf8l8h84?:0yme62={i9o9o47>51zlb71<3?;1vb4}ii:>18:=4}o3e7ag=838pbl=;:557?xh6n:ni6=4>{oc00?20=2we=k=kc;295~hf;=0?;;5rn0d0`a<72;qem>:54658yk7a;mo1<7?tn`17>11?3td:j>ji:182kg4<3><56sa1g1f4?6=9rdj?94;7`9~j4`4m80;6f2g0>5<6sgk887:8d:m5c5b<3:1=v`n35873`=zf8l8i84?:0yme62=<>l0qc?i3d494?7|fh9?696?;|l2b6c0290:wco<4;6;5>{i9o9n47>51zlb71<30;1vb4}ii:>185=4}o3e7`g=83;pbl=;:5:7?xh6n:oi6=4>{oc00?2?=2we=k=jc;295~hf;=0?4;5rn0d0aa<728qem>:54958yk7a;lo1<7?tn`17>1>?3td:j>ki:182kg4<3>356sa1g1e4?6=9rdj?94;8`9~j4`4n80;6f2d0>5<6sgk887:7d:m5c5a<3:1=v`n3587<`=zf8l8j84?:0yme62=<1l0qc?i3g494?7|fh9?697?;|l2b6`0290:wco<4;6:5>{i9o9m47>51zlb71<31;1vb4}ii:>184=4}o3e7cg=83;pbl=;:5;7?xh6n:li6=4>{oc00?2>=2we=k=ic;295~hf;=0?5;5rn0d0ba<728qem>:54858yk7a;oo1<7?tn`17>1??3td:j>hi:182kg4<3>256sa1g634?6=9rdj?94;9`9~j4`3880;6f520>5<6sgk887:6d:m5c27<3:1>v`n3587=`=zf8l?<84?:0yme62=<0l0qc?i41494?7|fh9?69o?;|l2b1602909wco<4;6b5>{i9o>;47>51zlb71<3i;1vb4}ii:>18l=4}o3e05g=838pbl=;:5c7?xh6n=:i6=4>{oc00?2f=2we=k:?c;295~hf;=0?m;5rn0d74a<72;qem>:54`58yk7a<9o1<7?tn`17>1g?3td:j9>i:182kg4<3>j56sa1g624?6=:rdj?94;a`9~j4`3980;6f530>5<5sgk887:nd:m5c26<3:1=v`n3587e`=zf8l?=84?:0yme62={i9o>:47>51zlb71<3j;1vb7}ii:>18o=4}o3e04g=83;pbl=;:5`7?xh6n=;i6=4={oc00?2e=2we=k:>c;295~hf;=0?n;5rn0d75a<728qem>:54c58yk7a<8o1<7?tn`17>1d?3td:j9?i:182kg4<3>i56sa1g614?6=9rdj?94;b`9~j4`3:80;6f500>5<6sgk887:md:m5c25<3:1=v`n3587f`=zf8l?>84?:0yme62={i9o>947>51zlb71<3k;1vb4}ii:>18n=4}o3e07g=83;pbl=;:5a7?xh6n=8i6=4>{oc00?2d=2we=k:=c;295~hf;=0?o;5rn0d76a<728qem>:54b58yk7a<;o1<7?tn`17>1e?3td:j9h56sa1g604?6=9rdj?94;c`9~j4`3;80;6<50;3xjd532=ih7p`>f510>5<6sgk887:ld:m5c24<3:1=v`n3587g`=zf8l??84?:0yme62={i9o>847>51zlb71<3l;1vb4}ii:>18i=4}o3e06g=83;pbl=;:5f7?xh6n=9i6=4>{oc00?2c=2we=k::54e58yk7a<:o1<7?tn`17>1b?3td:j9=i:182kg4<3>o56sa1g674?6=9rdj?94;d`9~j4`3<80;6f560>5<6sgk887:kd:m5c23<3:1=v`n3587``=zf8l?884?:0yme62={i9o>?47>51zlb71<3m;1vb7}ii:>18h=4}o3e01g=83;pbl=;:5g7?xh6n=>i6=4={oc00?2b=2we=k:;c;295~hf;=0?i;5rn0d70a<72;qem>:54d58yk7a<=o1<7?tn`17>1c?3td:j9:i:181kg4<3>n56sa1g664?6=9rdj?94;e`9~j4`3=80;6?uaa2690`df570>5<5sgk887:jd:m5c22<3:1=v`n3587a`=zf8l?984?:3yme62={i9o>>47>51zlb71<3n;1vb4}ii:>18k=4}o3e00g=83;pbl=;:5d7?xh6n=?i6=4>{oc00?2a=2we=k::c;295~hf;=0?j;5rn0d71a<728qem>:54g58yk7a<1`?3td:j9;i:182kg4<3>m56sa1g654?6=9rdj?94;f`9~j4`3>80;6f540>5<5sgk887:id:m5c21<3:1=v`n3587b`=zf8l?:84?:3yme62=?;|l2b1002909wco<4;735>{i9o>=47>51zlb71<28;1vb7}ii:>19==4}o3e03g=83;pbl=;:427?xh6n=<;5rn0d72a<728qem>:55158yk7a06?3td:j98i:182kg4<3?;56sa1g644?6=9rdj?94:0`9~j4`3?80;6f550>5<6sgk887;?d:m5c20<3:1=v`n35864`=zf8l?;84?:3yme62==9l0qc?i46494?7|fh9?68??;|l2b110290:wco<4;725>{i9o><47>51zlb71<29;1vb4}ii:>19<=4}o3e02g=83;pbl=;:437?xh6n==i6=4>{oc00?36=2we=k:8c;295~hf;=0>=;5rn0d73a<728qem>:55058yk7a<>o1<7?tn`17>07?3td:j99i:182kg4<3?:56sa1g6;4?6=9rdj?94:1`9~j4`3080;6f5:0>5<6sgk887;>d:m5c2?<3:1=v`n35865`=zf8l?484?:3yme62==8l0qc?i49494?7|fh9?6802909wco<4;715>{i9o>347>52zlb71<2:;1vb4}ii:>19?=4}o3e0=g=83;pbl=;:407?xh6n=2i6=4={oc00?35=2we=k:7c;295~hf;=0>>;5rn0d7:55358yk7a<1o1<704?3td:j96i:182kg4<3?956sa1g6:4?6=9rdj?94:2`9~j4`3180;6?uaa26917df5;0>5<6sgk887;=d:m5c2><3:1>v`n35866`=zf8l?584?:0yme62==;l0qc?i48494?7|fh9?68=?;|l2b1?02909wco<4;705>{i9o>247>51zlb71<2;;1vb7}ii:>19>=4}o3e0?;5rn0d7=a<72;qem>:55258yk7a<0o1<705?3td:j97i:182kg4<3?856sa1g6b4?6=9rdj?94:3`9~j4`3i80;6f5c0>5<6sgk887;{i9o>j47>51zlb71<2<;1vb4}ii:>199=4}o3e0dg=83;pbl=;:467?xh6n=ki6=4>{oc00?33=2we=k:nc;295~hf;=0>8;5rn0d7ea<728qem>:55558yk7a02?3td:j9oi:182kg4<3??56sa1g6a4?6=9rdj?94:4`9~j4`3j80;6h7p`>f5`0>5<6sgk887;;d:m5c2e<3:1=v`n35860`=zf8l?n84?:0yme62===l0qc?i4c494?7|fh9?68;?;|l2b1d0290:wco<4;765>{i9o>i47>53zlb71<2=;1vb7}ii:>198=4}o3e0gg=83;pbl=;:477?xh6n=hi6=4>{oc00?32=2we=k:mc;296~hf;=0>9;5rn0d7fa<72;qem>:55458yk7a03?3td:j9li:182kg4<3?>56sa1g6`4?6=:rdj?94:5`9~j4`3k80;6?uaa26910df5a0>5<6sgk887;:d:m5c2d<3:1?v`n35861`=zf8l?o84?:2yme62=={i9o>h47>53zlb71<2>;1vb6}ii:>19;=4}o3e0fg=83;pbl=;:447?xh6n=ii6=4>{oc00?31=2we=k:lc;297~hf;=0>:;5rn0d7ga<72;qem>:55758yk7a00?3td:j9mi:182kg4<3?=56sa1g6g4?6=:rdj?94:6`9~j4`3l80;6?uaa26913df5f0>5<6sgk887;9d:m5c2c<3:1>v`n35862`=zf8l?h84?:3yme62==?l0qc?i4e494?7|fh9?689?;|l2b1b0290:wco<4;745>{i9o>o47>52zlb71<2?;1vb7}ii:>19:=4}o3e0ag=83;pbl=;:457?xh6n=ni6=4>{oc00?30=2we=k:kc;296~hf;=0>;;5rn0d7`a<72;qem>:55658yk7a01?3td:j9ji:182kg4<3?<56sa1g6f4?6=:rdj?94:7`9~j4`3m80;6?uaa26912df5g0>5<6sgk887;8d:m5c2b<3:1>v`n35863`=zf8l?i84?:3yme62==>l0qc?i4d494?7|fh9?686?;|l2b1c0290:wco<4;7;5>{i9o>n47>52zlb71<20;1vb7}ii:>195=4}o3e0`g=83;pbl=;:4:7?xh6n=oi6=4>{oc00?3?=2we=k:jc;296~hf;=0>4;5rn0d7aa<72;qem>:55958yk7a0>?3td:j9ki:182kg4<3?356sa1g6e4?6=:rdj?94:8`9~j4`3n80;6?uaa2691=df5d0>5<6sgk887;7d:m5c2a<3:1>v`n3586<`=zf8l?j84?:3yme62==1l0qc?i4g494?7|fh9?687?;|l2b1`0290:wco<4;7:5>{i9o>m47>51zlb71<21;1vb4}ii:>194=4}o3e0cg=83;pbl=;:4;7?xh6n=li6=4>{oc00?3>=2we=k:ic;295~hf;=0>5;5rn0d7ba<728qem>:55858yk7a0??3td:j9hi:182kg4<3?256sa1g734?6=9rdj?94:9`9~j4`2880;6f420>5<6sgk887;6d:m5c37<3:1=v`n3586=`=zf8l><84?:0yme62==0l0qc?i51494?7|fh9?68o?;|l2b060290:wco<4;7b5>{i9o?;47>51zlb71<2i;1vb4}ii:>19l=4}o3e15g=83;pbl=;:4c7?xh6n<:i6=4>{oc00?3f=2we=k;?c;295~hf;=0>m;5rn0d64a<728qem>:55`58yk7a=9o1<7?tn`17>0g?3td:j8>i:182kg4<3?j56sa1g724?6=9rdj?94:a`9~j4`2980;6f430>5<6sgk887;nd:m5c36<3:1=v`n3586e`=zf8l>=84?:0yme62==hl0qc?i50494?7|fh9?68l?;|l2b070290:wco<4;7a5>{i9o?:47>51zlb71<2j;1vb4}ii:>19o=4}o3e14g=83;pbl=;:4`7?xh6n<;i6=4>{oc00?3e=2we=k;>c;295~hf;=0>n;5rn0d65a<728qem>:55c58yk7a=8o1<7?tn`17>0d?3td:j8?i:182kg4<3?i56sa1g714?6=9rdj?94:b`9~j4`2:80;6f400>5<6sgk887;md:m5c35<3:1=v`n3586f`=zf8l>>84?:0yme62==kl0qc?i53494?7|fh9?68m?;|l2b040290:wco<4;7`5>{i9o?947>51zlb71<2k;1vb4}ii:>19n=4}o3e17g=83;pbl=;:4a7?xh6n<8i6=4>{oc00?3d=2we=k;=c;295~hf;=0>o;5rn0d66a<728qem>:55b58yk7a=;o1<7?tn`17>0e?3td:j8<50;3xjd532f410>5<6sgk887;ld:m5c34<3:1=v`n3586g`=zf8l>?84?:0yme62==jl0qc?i52494?7|fh9?68j?;|l2b050290:wco<4;7g5>{i9o?847>51zlb71<2l;1vb4}ii:>19i=4}o3e16g=83;pbl=;:4f7?xh6n<9i6=4>{oc00?3c=2we=k;h;5rn0d67a<728qem>:55e58yk7a=:o1<7?tn`17>0b?3td:j8=i:182kg4<3?o56sa1g774?6=9rdj?94:d`9~j4`2<80;6f460>5<6sgk887;kd:m5c33<3:1=v`n3586``=zf8l>884?:0yme62==ml0qc?i55494?7|fh9?68k?;|l2b020290:wco<4;7f5>{i9o??47>51zlb71<2m;1vb4}ii:>19h=4}o3e11g=83;pbl=;:4g7?xh6n<>i6=4>{oc00?3b=2we=k;;c;295~hf;=0>i;5rn0d60a<728qem>:55d58yk7a==o1<7?tn`17>0c?3td:j8:i:182kg4<3?n56sa1g764?6=9rdj?94:e`9~j4`2=80;6f470>5<6sgk887;jd:m5c32<3:1=v`n3586a`=zf8l>984?:0yme62==ll0qc?i54494?7|fh9?68h?;|l2b030290:wco<4;7e5>{i9o?>47>51zlb71<2n;1vb4}ii:>19k=4}o3e10g=83;pbl=;:4d7?xh6n{oc00?3a=2we=k;:c;295~hf;=0>j;5rn0d61a<728qem>:55g58yk7a=0`?3td:j8;i:182kg4<3?m56sa1g754?6=9rdj?94:f`9~j4`2>80;6f440>5<6sgk887;id:m5c31<3:1=v`n3586b`=zf8l>:84?:0yme62==ol0qc?i57494?7|fh9?6;>?;|l2b000290:wco<4;435>{i9o?=47>51zlb71<18;1vb4}ii:>1:==4}o3e13g=83;pbl=;:727?xh6n<{oc00?07=2we=k;9c;295~hf;=0=<;5rn0d62a<728qem>:56158yk7a=?o1<7?tn`17>36?3td:j88i:182kg4<3<;56sa1g744?6=9rdj?9490`9~j4`2?80;6f450>5<6sgk8878?d:m5c30<3:1=v`n35854`=zf8l>;84?:0yme62=>9l0qc?i56494?7|fh9?6;??;|l2b010290:wco<4;425>{i9o?<47>51zlb71<19;1vb4}ii:>1:<=4}o3e12g=83;pbl=;:737?xh6n<=i6=4>{oc00?06=2we=k;8c;295~hf;=0==;5rn0d63a<728qem>:56058yk7a=>o1<7?tn`17>37?3td:j89i:182kg4<3<:56sa1g7;4?6=9rdj?9491`9~j4`2080;6f4:0>5<6sgk8878>d:m5c3?<3:1=v`n35855`=zf8l>484?:0yme62=>8l0qc?i59494?7|fh9?6;0290:wco<4;415>{i9o?347>51zlb71<1:;1vb4}ii:>1:?=4}o3e1=g=83;pbl=;:707?xh6n<2i6=4>{oc00?05=2we=k;7c;295~hf;=0=>;5rn0d6:56358yk7a=1o1<7?tn`17>34?3td:j86i:182kg4<3<956sa1g7:4?6=9rdj?9492`9~j4`2180;6f4;0>5<6sgk8878=d:m5c3><3:1=v`n35856`=zf8l>584?:0yme62=>;l0qc?i58494?7|fh9?6;=?;|l2b0?0290:wco<4;405>{i9o?247>51zlb71<1;;1vb4}ii:>1:>=4}o3e1{oc00?04=2we=k;6c;295~hf;=0=?;5rn0d6=a<728qem>:56258yk7a=0o1<7?tn`17>35?3td:j87i:182kg4<3<856sa1g7b4?6=9rdj?9493`9~j4`2i80;6f4c0>5<6sgk8878m84?:0yme62=>:l0qc?i5`494?7|fh9?6;:?;|l2b0g0290:wco<4;475>{i9o?j47>51zlb71<1<;1vb4}ii:>1:9=4}o3e1dg=83;pbl=;:767?xh6n{oc00?03=2we=k;nc;295~hf;=0=8;5rn0d6ea<728qem>:56558yk7a=ho1<7?tn`17>32?3td:j8oi:182kg4<3h7p`>f4`0>5<6sgk8878;d:m5c3e<3:1=v`n35850`=zf8l>n84?:0yme62=>=l0qc?i5c494?7|fh9?6;;?;|l2b0d0290:wco<4;465>{i9o?i47>51zlb71<1=;1vb4}ii:>1:8=4}o3e1gg=83;pbl=;:777?xh6n{oc00?02=2we=k;mc;295~hf;=0=9;5rn0d6fa<728qem>:56458yk7a=ko1<7?tn`17>33?3td:j8li:182kg4<3<>56sa1g7`4?6=9rdj?9495`9~j4`2k80;6f4a0>5<6sgk8878:d:m5c3d<3:1=v`n35851`=zf8l>o84?:0yme62=>{i9o?h47>51zlb71<1>;1vb4}ii:>1:;=4}o3e1fg=83;pbl=;:747?xh6n{oc00?01=2we=k;lc;295~hf;=0=:;5rn0d6ga<728qem>:56758yk7a=jo1<7?tn`17>30?3td:j8mi:182kg4<3<=56sa1g7g4?6=9rdj?9496`9~j4`2l80;6f4f0>5<6sgk88789d:m5c3c<3:1=v`n35852`=zf8l>h84?:0yme62=>?l0qc?i5e494?7|fh9?6;9?;|l2b0b0290:wco<4;445>{i9o?o47>51zlb71<1?;1vb4}ii:>1::=4}o3e1ag=83;pbl=;:757?xh6n{oc00?00=2we=k;kc;295~hf;=0=;;5rn0d6`a<728qem>:56658yk7a=mo1<7?tn`17>31?3td:j8ji:182kg4<3<<56sa1g7f4?6=9rdj?9497`9~j4`2m80;6f4g0>5<6sgk88788d:m5c3b<3:1=v`n35853`=zf8l>i84?:0yme62=>>l0qc?i5d494?7|fh9?6;6?;|l2b0c0290:wco<4;4;5>{i9o?n47>51zlb71<10;1vb4}ii:>1:5=4}o3e1`g=83;pbl=;:7:7?xh6n{oc00?0?=2we=k;jc;295~hf;=0=4;5rn0d6aa<72;qem>:56958yk7a=lo1<7?tn`17>3>?3td:j8ki:182kg4<3<356sa1g7e4?6=:rdj?9498`9~j4`2n80;6?uaa2692=df4d0>5<6sgk88787d:m5c3a<3:1=v`n3585<`=zf8l>j84?:3yme62=>1l0qc?i5g494?7|fh9?6;7?;|l2b0`0290:wco<4;4:5>{i9o?m47>51zlb71<11;1vb7}ii:>1:4=4}o3e1cg=83;pbl=;:7;7?xh6n{oc00?0>=2we=k;ic;295~hf;=0=5;5rn0d6ba<72;qem>:56858yk7a=oo1<7?tn`17>3??3td:j8hi:182kg4<3<256sa1g434?6=9rdj?9499`9~j4`1880;6?uaa2692f720>5<6sgk88786d:m5c07<3:1=v`n3585=`=zf8l=<84?:3yme62=>0l0qc?i61494?7|fh9?6;o?;|l2b360290:wco<4;4b5>{i9o<;47>51zlb71<1i;1vb7}ii:>1:l=4}o3e25g=83;pbl=;:7c7?xh6n?:i6=4>{oc00?0f=2we=k8?c;295~hf;=0=m;5rn0d54a<72;qem>:56`58yk7a>9o1<7?tn`17>3g?3td:j;>i:182kg4<3f730>5<6sgk8878nd:m5c06<3:1=v`n3585e`=zf8l==84?:3yme62=>hl0qc?i60494?7|fh9?6;l?;|l2b370290:wco<4;4a5>{i9o<:47>51zlb71<1j;1vb7}ii:>1:o=4}o3e24g=83;pbl=;:7`7?xh6n?;i6=4>{oc00?0e=2we=k8>c;295~hf;=0=n;5rn0d55a<72;qem>:56c58yk7a>8o1<7?tn`17>3d?3td:j;?i:182kg4<3f700>5<6sgk8878md:m5c05<3:1=v`n3585f`=zf8l=>84?:3yme62=>kl0qc?i63494?7|fh9?6;m?;|l2b340290:wco<4;4`5>{i9o<947>51zlb71<1k;1vb7}ii:>1:n=4}o3e27g=83;pbl=;:7a7?xh6n?8i6=4>{oc00?0d=2we=k8=c;295~hf;=0=o;5rn0d56a<72;qem>:56b58yk7a>;o1<7?tn`17>3e?3td:j;<50;3xjd532?ih7p`>f710>5<6sgk8878ld:m5c04<3:1=v`n3585g`=zf8l=?84?:3yme62=>jl0qc?i62494?7|fh9?6;j?;|l2b350290:wco<4;4g5>{i9o<847>51zlb71<1l;1vb7}ii:>1:i=4}o3e26g=83;pbl=;:7f7?xh6n?9i6=4>{oc00?0c=2we=k8:56e58yk7a>:o1<7?tn`17>3b?3td:j;=i:182kg4<3f760>5<6sgk8878kd:m5c03<3:1=v`n3585``=zf8l=884?:3yme62=>ml0qc?i65494?7|fh9?6;k?;|l2b320290:wco<4;4f5>{i9o51zlb71<1m;1vb7}ii:>1:h=4}o3e21g=83;pbl=;:7g7?xh6n?>i6=4>{oc00?0b=2we=k8;c;295~hf;=0=i;5rn0d50a<72;qem>:56d58yk7a>=o1<7?tn`17>3c?3td:j;:i:182kg4<3f770>5<6sgk8878jd:m5c02<3:1=v`n3585a`=zf8l=984?:3yme62=>ll0qc?i64494?7|fh9?6;h?;|l2b330290:wco<4;4e5>{i9o<>47>51zlb71<1n;1vb7}ii:>1:k=4}o3e20g=83;pbl=;:7d7?xh6n??i6=4>{oc00?0a=2we=k8:c;295~hf;=0=j;5rn0d51a<72;qem>:56g58yk7a>3`?3td:j;;i:182kg4<380;6?uaa2692cdf740>5<6sgk8878id:m5c01<3:1=v`n3585b`=zf8l=:84?:3yme62=>ol0qc?i67494?7|fh9?6:>?;|l2b300290:wco<4;535>{i9o<=47>51zlb71<08;1vb7}ii:>1;==4}o3e23g=83;pbl=;:627?xh6n?{oc00?17=2we=k89c;295~hf;=0<<;5rn0d52a<728qem>:57158yk7a>?o1<7?tn`17>26?3td:j;8i:182kg4<3=;56sa1g444?6=9rdj?9480`9~j4`1?80;6:h7p`>f750>5<6sgk8879?d:m5c00<3:1=v`n35844`=zf8l=;84?:0yme62=?9l0qc?i66494?7|fh9?6:??;|l2b310290:wco<4;525>{i9o<<47>51zlb71<09;1vb4}ii:>1;<=4}o3e22g=83;pbl=;:637?xh6n?=i6=4>{oc00?16=2we=k88c;295~hf;=0<=;5rn0d53a<728qem>:57058yk7a>>o1<7?tn`17>27?3td:j;9i:182kg4<3=:56sa1g4;4?6=9rdj?9481`9~j4`1080;6;h7p`>f7:0>5<6sgk8879>d:m5c0?<3:1=v`n35845`=zf8l=484?:0yme62=?8l0qc?i69494?7|fh9?6:0290:wco<4;515>{i9o<347>51zlb71<0:;1vb4}ii:>1;?=4}o3e2=g=83;pbl=;:607?xh6n?2i6=4>{oc00?15=2we=k87c;296~hf;=0<>;5rn0d5:57358yk7a>1o1<7?tn`17>24?3td:j;6i:182kg4<3=956sa1g4:4?6=9rdj?9482`9~j4`1180;68h7p`>f7;0>5<6sgk8879=d:m5c0><3:1=v`n35846`=zf8l=584?:0yme62=?;l0qc?i68494?4|fh9?6:=?;|l2b3?0290:wco<4;505>{i9o<247>51zlb71<0;;1vb4}ii:>1;>=4}o3e2{oc00?14=2we=k86c;295~hf;=0:57258yk7a>0o1<7?tn`17>25?3td:j;7i:182kg4<3=856sa1g4b4?6=:rdj?9483`9~j4`1i80;69h7p`>f7c0>5<6sgk8879{i9o51zlb71<0<;1vb4}ii:>1;9=4}o3e2dg=838pbl=;:667?xh6n?ki6=4>{oc00?13=2we=k8nc;295~hf;=0<8;5rn0d5ea<728qem>:57558yk7a>ho1<7?tn`17>22?3td:j;oi:182kg4<3=?56sa1g4a4?6=9rdj?9484`9~j4`1j80;6>h7p`>f7`0>5<6sgk8879;d:m5c0e<3:1=v`n35840`=zf8l=n84?:3yme62=?=l0qc?i6c494?7|fh9?6:;?;|l2b3d0290:wco<4;565>{i9o52zlb71<0=;1vb7}ii:>1;8=4}o3e2gg=83;pbl=;:677?xh6n?hi6=4>{oc00?12=2we=k8mc;295~hf;=0<9;5rn0d5fa<72;qem>:57458yk7a>ko1<7?tn`17>23?3td:j;li:182kg4<3=>56sa1g4`4?6=:rdj?9485`9~j4`1k80;6?uaa26930d?h7p`>f7a0>5<6sgk8879:d:m5c0d<3:1>v`n35841`=zf8l=o84?:0yme62=?{i9o51zlb71<0>;1vb4}ii:>1;;=4}o3e2fg=83;pbl=;:647?xh6n?ii6=4>{oc00?11=2we=k8lc;295~hf;=0<:;5rn0d5ga<728qem>:57758yk7a>jo1<7?tn`17>20?3td:j;mi:182kg4<3==56sa1g4g4?6=9rdj?9486`9~j4`1l80;6?uaa26933df7f0>5<6sgk88799d:m5c0c<3:1>v`n35842`=zf8l=h84?:3yme62=??l0qc?i6e494?7|fh9?6:9?;|l2b3b0290:wco<4;545>{i9o51zlb71<0?;1vb7}ii:>1;:=4}o3e2ag=83;pbl=;:657?xh6n?ni6=4>{oc00?10=2we=k8kc;296~hf;=0<;;5rn0d5`a<72;qem>:57658yk7a>mo1<7?tn`17>21?3td:j;ji:182kg4<3=<56sa1g4f4?6=9rdj?9487`9~j4`1m80;6?uaa26932d=h7p`>f7g0>5<6sgk88798d:m5c0b<3:1>v`n35843`=zf8l=i84?:3yme62=?>l0qc?i6d494?7|fh9?6:6?;|l2b3c0290:wco<4;5;5>{i9o51zlb71<00;1vb7}ii:>1;5=4}o3e2`g=83;pbl=;:6:7?xh6n?oi6=4>{oc00?1?=2we=k8jc;296~hf;=0<4;5rn0d5aa<72;qem>:57958yk7a>lo1<7?tn`17>2>?3td:j;ki:182kg4<3=356sa1g4e4?6=9rdj?9488`9~j4`1n80;6?uaa2693=d2h7p`>f7d0>5<6sgk88797d:m5c0a<3:1>v`n3584<`=zf8l=j84?:3yme62=?1l0qc?i6g494?7|fh9?6:7?;|l2b3`0290:wco<4;5:5>{i9o51zlb71<01;1vb7}ii:>1;4=4}o3e2cg=83;pbl=;:6;7?xh6n?li6=4>{oc00?1>=2we=k8ic;296~hf;=0<5;5rn0d5ba<72;qem>:57858yk7a>oo1<7?tn`17>2??3td:j;hi:182kg4<3=256sa1g534?6=9rdj?9489`9~j4`0880;6?uaa26933h7p`>f620>5<6sgk88796d:m5c17<3:1=v`n3584=`=zf8l<<84?:3yme62=?0l0qc?i71494?7|fh9?6:o?;|l2b260290:wco<4;5b5>{i9o=;47>51zlb71<0i;1vb7}ii:>1;l=4}o3e35g=83;pbl=;:6c7?xh6n>:i6=4>{oc00?1f=2we=k9?c;295~hf;=0:57`58yk7a?9o1<7?tn`17>2g?3td:j:>i:182kg4<3=j56sa1g524?6=9rdj?948a`9~j4`0980;6?uaa2693ddkh7p`>f630>5<6sgk8879nd:m5c16<3:1=v`n3584e`=zf8l<=84?:3yme62=?hl0qc?i70494?7|fh9?6:l?;|l2b270290:wco<4;5a5>{i9o=:47>51zlb71<0j;1vb7}ii:>1;o=4}o3e34g=83;pbl=;:6`7?xh6n>;i6=4>{oc00?1e=2we=k9>c;295~hf;=0:57c58yk7a?8o1<7?tn`17>2d?3td:j:?i:182kg4<3=i56sa1g514?6=:rdj?948b`9~j4`0:80;6hh7p`>f600>5<6sgk8879md:m5c15<3:1=v`n3584f`=zf8l<>84?:0yme62=?kl0qc?i73494?7|fh9?6:m?;|l2b240290:wco<4;5`5>{i9o=947>51zlb71<0k;1vb4}ii:>1;n=4}o3e37g=83;pbl=;:6a7?xh6n>8i6=4>{oc00?1d=2we=k9=c;295~hf;=0:57b58yk7a?;o1<7?tn`17>2e?3td:j:<50;3xjd532>ih7p`>f610>5<6sgk8879ld:m5c14<3:1=v`n3584g`=zf8l{i9o=847>51zlb71<0l;1vb7}ii:>1;i=4}o3e36g=83;pbl=;:6f7?xh6n>9i6=4>{oc00?1c=2we=k9:57e58yk7a?:o1<7?tn`17>2b?3td:j:=i:182kg4<3=o56sa1g574?6=9rdj?948d`9~j4`0<80;6?uaa2693adnh7p`>f660>5<6sgk8879kd:m5c13<3:1=v`n3584``=zf8l<884?:3yme62=?ml0qc?i75494?7|fh9?6:k?;|l2b220290:wco<4;5f5>{i9o=?47>51zlb71<0m;1vb7}ii:>1;h=4}o3e31g=83;pbl=;:6g7?xh6n>>i6=4>{oc00?1b=2we=k9;c;295~hf;=0:57d58yk7a?=o1<7?tn`17>2c?3td:j::i:182kg4<3=n56sa1g564?6=9rdj?948e`9~j4`0=80;6oh7p`>f670>5<6sgk8879jd:m5c12<3:1=v`n3584a`=zf8l<984?:3yme62=?ll0qc?i74494?7|fh9?6:h?;|l2b230290:wco<4;5e5>{i9o=>47>51zlb71<0n;1vb4}ii:>1;k=4}o3e30g=83;pbl=;:6d7?xh6n>?i6=4>{oc00?1a=2we=k9:c;295~hf;=0:57g58yk7a?2`?3td:j:;i:182kg4<3=m56sa1g554?6=9rdj?948f`9~j4`0>80;6lh7p`>f640>5<6sgk8879id:m5c11<3:1=v`n3584b`=zf8l<:84?:3yme62=?ol0qc?i77494?7|fh9?65>?;|l2b200290:wco<4;:35>{i9o==47>51zlb714}ii:>14==4}o3e33g=83;pbl=;:927?xh6n>{oc00?>7=2we=k99c;295~hf;=03<;5rn0d42a<72;qem>:58158yk7a??o1<7?tn`17>=6?3td:j:8i:182kg4<32;56sa1g544?6=9rdj?9470`9~j4`0?80;6f650>5<6sgk8876?d:m5c10<3:1=v`n358;4`=zf8l<;84?:3yme62=09l0qc?i76494?7|fh9?65??;|l2b210290:wco<4;:25>{i9o=<47>51zlb714}ii:>14<=4}o3e32g=83;pbl=;:937?xh6n>=i6=4>{oc00?>6=2we=k98c;296~hf;=03=;5rn0d43a<728qem>:58058yk7a?>o1<7?tn`17>=7?3td:j:9i:182kg4<32:56sa1g5;4?6=9rdj?9471`9~j4`0080;6f6:0>5<6sgk8876>d:m5c1?<3:1=v`n358;5`=zf8l<484?:0yme62=08l0qc?i79494?7|fh9?650290:wco<4;:15>{i9o=347>52zlb714}ii:>14?=4}o3e3=g=83;pbl=;:907?xh6n>2i6=4>{oc00?>5=2we=k97c;295~hf;=03>;5rn0d4:58358yk7a?1o1<7?tn`17>=4?3td:j:6i:182kg4<32956sa1g5:4?6=9rdj?9472`9~j4`0180;6f6;0>5<6sgk8876=d:m5c1><3:1=v`n358;6`=zf8l<584?:0yme62=0;l0qc?i78494?7|fh9?65=?;|l2b2?0290:wco<4;:05>{i9o=247>51zlb714}ii:>14>=4}o3e33i6=4>{oc00?>4=2we=k96c;296~hf;=03?;5rn0d4=a<728>pbl=;:914?xh6n>3n6=40}ii:>14>74}o3e3d6=83;pbl=;:91b?xh6n>k:6=4>{oc00?>4j2we=k9n2;29=~hf;=03?n5rn0d4e6<72;qem>:582f8yk7a?h>1<7=tn`17>=5b3td:j:o::181kg4<328j6sa1g5b2?6=:hqem>:58528yk7a?h=1<7=263td:j:o7:18bkg4<32?>6sa1g5b=?6=9rdj?947429~j4`0ih0;69uaa269<12>7p`>f6c`>5<58rdj?947479~j4`0im0;637p`>f6ce>5<6sgk8876;9:m5c1e83:18v`n358;0d=zf8l{i9o=i87>5bzlb717}ii:>149h4}o3e3g0=8389wco<4;:64>{i9o=i;7>51zlb714}ii:>148<4}o3e3g?=83;pbl=;:970?xh6n>hj6=4>{oc00?>2<2we=k9mb;295~hf;=03985rn0d4ff<728qem>:58448yk7a?kn1<7?tn`17>=303td:j:lj:182kg4<32>46sa1g5ab?6=9rdj?947589~j4`0k90;6f6a1>5<6sgk8876:c:m5c1d;3:1=v`n358;1a=zf8l{i9o=h;7>51zlb7181vb4}ii:>14;<4}o3e3f?=83;pbl=;:940?xh6n>ij6=4>{oc00?>1<2we=k9lb;295~hf;=03:85rn0d4gf<728qem>:58748yk7a?jn1<7?tn`17>=003td:j:mj:182kg4<32=46sa1g5`b?6=9rdj?947689~j4`0l90;6f6f1>5<6sgk88769c:m5c1c;3:1=v`n358;2a=zf8l{i9o=o;7>51zlb714}ii:>14:<4}o3e3a?=83;pbl=;:950?xh6n>nj6=4>{oc00?>0<2we=k9kb;295~hf;=03;85rn0d4`f<728qem>:58648yk7a?mn1<7?tn`17>=103td:j:jj:182kg4<32<46sa1g5gb?6=9rdj?947789~j4`0m90;6f6g1>5<6sgk88768c:m5c1b;3:1=v`n358;3a=zf8lo0qc?i7d794?7|fh9?659i;|l2b2c1290:wco<4;:;4>{i9o=n;7>51zlb714}ii:>145<4}o3e3`?=83;pbl=;:9:0?xh6n>oj6=4>{oc00?>?<2we=k9jb;295~hf;=03485rn0d4af<728qem>:58948yk7a?ln1<7?tn`17>=>03td:j:kj:182kg4<32346sa1g5fb?6=9rdj?947889~j4`0n90;6f6d1>5<6sgk88767c:m5c1a;3:1=v`n358;{i9o=m;7>51zlb714}ii:>144<4}o3e3c?=83;pbl=;:9;0?xh6n>lj6=4>{oc00?>><2we=k9ib;295~hf;=03585rn0d4bf<728qem>:58848yk7a?on1<7?tn`17>=?03td:j:hj:182kg4<32246sa1g5eb?6=9rdj?947989~j4`?890;6f921>5<6sgk88766c:m5c>7;3:1=v`n358;=a=zf8l3<94?:0yme62=00o0qc?i81794?7|fh9?657i;|l2b=61290:wco<4;:b4>{i9o2;;7>51zlb714}ii:>14l<4}o3e<5?=83;pbl=;:9c0?xh6n1:j6=4>{oc00?>f<2we=k6?b;295~hf;=03m85rn0d;4f<728qem>:58`48yk7a09n1<7?tn`17>=g03td:j5>j:182kg4<32j46sa1g:3b?6=9rdj?947a89~j4`?990;6f931>5<6sgk8876nc:m5c>6;3:1=v`n358;ea=zf8l3=94?:0yme62=0ho0qc?i80794?7|fh9?65oi;|l2b=71290:wco<4;:a4>{i9o2:;7>51zlb714}ii:>14o<4}o3e<4?=83;pbl=;:9`0?xh6n1;j6=4>{oc00?>e<2we=k6>b;295~hf;=03n85rn0d;5f<728qem>:58c48yk7a08n1<7?tn`17>=d03td:j5?j:182kg4<32i46sa1g:2b?6=9rdj?947b89~j4`?:90;6f901>5<6sgk8876mc:m5c>5;3:1>v`n358;fa=zf8l3>94?:0yme62=0ko0qc?i83794?7|fh9?65li;|l2b=41290:wco<4;:`4>{i9o29;7>51zlb714}ii:>14n<4}o3e<7?=83;pbl=;:9a0?xh6n18j6=4>{oc00?>d<2we=k6=b;295~hf;=03o85rn0d;6f<72;qem>:58b48yk7a0;n1<7=e03td:j5?50;3xjd5321ii7p`>f911>5<6sgk8876lc:m5c>4;3:1=v`n358;ga=zf8l3?94?:0yme62=0jo0qc?i82794?7|fh9?65mi;|l2b=51290:wco<4;:g4>{i9o28;7>52zlb717}ii:>14i<4}o3e<6?=83;pbl=;:9f0?xh6n19j6=4>{oc00?>c<2we=k6:58e48yk7a0:n1<7?tn`17>=b03td:j5=j:182kg4<32o46sa1g:0b?6=9rdj?947d89~j4`?<90;6f961>5<5sgk8876kc:m5c>3;3:1>v`n358;`a=zf8l3894?:0yme62=0mo0qc?i85794?7|fh9?65ji;|l2b=21290:wco<4;:f4>{i9o2?;7>51zlb714}ii:>14h<4}o3e<1?=83;pbl=;:9g0?xh6n1>j6=4>{oc00?>b<2we=k6;b;295~hf;=03i85rn0d;0f<728qem>:58d48yk7a0=n1<7?tn`17>=c03td:j5:j:182kg4<32n46sa1g:7b?6=9rdj?947e89~j4`?=90;6f971>5<6sgk8876jc:m5c>2;3:1=v`n358;aa=zf8l3994?:0yme62=0lo0qc?i84794?7|fh9?65ki;|l2b=31290:wco<4;:e4>{i9o2>;7>51zlb714}ii:>14k<4}o3e<0?=83;pbl=;:9d0?xh6n1?j6=4>{oc00?>a<2we=k6:b;296~hf;=03j85rn0d;1f<72;qem>:58g48yk7a0=`03td:j5;j:182kg4<32m46sa1g:6b?6=9rdj?947f89~j4`?>90;6f941>5<6sgk8876ic:m5c>1;3:1=v`n358;ba=zf8l3:94?:0yme62=0oo0qc?i87794?7|fh9?65hi;|l2b=012909wco<4;;34>{i9o2=;7>52zlb71<>881vb4}ii:>15=<4}o3e<3?=83;pbl=;:820?xh6n1{oc00??7<2we=k69b;295~hf;=02<85rn0d;2f<728qem>:59148yk7a0?n1<7?tn`17><603td:j58j:182kg4<33;46sa1g:5b?6=9rdj?946089~j4`??90;6f951>5<5sgk8877?c:m5c>0;3:1=v`n358:4a=zf8l3;94?:0yme62=19o0qc?i86794?7|fh9?64>i;|l2b=11290:wco<4;;24>{i9o2<;7>51zlb71<>981vb4}ii:>15<<4}o3e<2?=83;pbl=;:830?xh6n1=j6=4>{oc00??6<2we=k68b;295~hf;=02=85rn0d;3f<72;qem>:59048yk7a0>n1<7<703td:j59j:182kg4<33:46sa1g:4b?6=9rdj?946189~j4`?090;6f9:1>5<6sgk8877>c:m5c>?;3:1=v`n358:5a=zf8l3494?:0yme62=18o0qc?i89794?7|fh9?64?i;|l2b=>1290:wco<4;;14>{i9o23;7>52zlb71<>:81vb7}ii:>15?<4}o3e<=?=83;pbl=;:800?xh6n12j6=4>{oc00??5<2we=k67b;295~hf;=02>85rn0d;:59348yk7a01n1<7?tn`17><403td:j56j:182kg4<33946sa1g:;b?6=9rdj?946289~j4`?190;6f9;1>5<5sgk8877=c:m5c>>;3:1>v`n358:6a=zf8l3594?:0yme62=1;o0qc?i88794?7|fh9?64{i9o22;7>51zlb71<>;81vb4}ii:>15><4}o3e<{oc00??4<2we=k66b;295~hf;=02?85rn0d;=f<728qem>:59248yk7a00n1<7<503td:j57j:181kg4<33846sa1g::b?6=:rdj?946389~j4`?i90;6?uaa269=6gf9c1>5<6sgk8877f;3:1=v`n358:7a=zf8l3m94?:0yme62=1:o0qc?i8`794?7|fh9?64=i;|l2b=g1290:wco<4;;74>{i9o2j;7>51zlb71<><81vb4}ii:>159<4}o3e:59548yk7a0hn1<7?tn`17><203td:j5oj:182kg4<33?46sa1g:bb?6=9rdj?946489~j4`?j90;6i7p`>f9`1>5<6sgk8877;c:m5c>e;3:1=v`n358:0a=zf8l3n94?:0yme62=1=o0qc?i8c794?4|fh9?64:i;|l2b=d12909wco<4;;64>{i9o2i;7>53zlb71<>=81vb7}ii:>158<4}o3e{oc00??2<2we=k6mb;295~hf;=02985rn0d;ff<728qem>:59448yk7a0kn1<7?tn`17><303td:j5lj:182kg4<33>46sa1g:ab?6=9rdj?946589~j4`?k90;6f9a1>5<5sgk8877:c:m5c>d;3:1>v`n358:1a=zf8l3o94?:3yme62=1{i9o2h;7>51zlb71<>>81vb4}ii:>15;<4}o3e{oc00??1<2we=k6lb;295~hf;=02:85rn0d;gf<728qem>:59748yk7a0jn1<7?tn`17><003td:j5mj:182kg4<33=46sa1g:`b?6=9rdj?946689~j4`?l90;6?uaa269=3gf9f1>5<6sgk88779c:m5c>c;3:1=v`n358:2a=zf8l3h94?:0yme62=1?o0qc?i8e794?7|fh9?648i;|l2b=b1290:wco<4;;44>{i9o2o;7>51zlb71<>?81vb4}ii:>15:<4}o3e:59648yk7a0mn1<7<103td:j5jj:182kg4<33<46sa1g:gb?6=9rdj?946789~j4`?m90;6f9g1>5<6sgk88778c:m5c>b;3:1=v`n358:3a=zf8l3i94?:0yme62=1>o0qc?i8d794?7|fh9?649i;|l2b=c12909wco<4;;;4>{i9o2n;7>52zlb71<>081vb7}ii:>155<4}o3e<`?=838pbl=;:8:0?xh6n1oj6=4>{oc00???<2we=k6jb;295~hf;=02485rn0d;af<728qem>:59948yk7a0ln1<7?tn`17><>03td:j5kj:182kg4<33346sa1g:fb?6=9rdj?946889~j4`?n90;6f9d1>5<6sgk88777c:m5c>a;3:1=v`n358:{i9o2m;7>51zlb71<>181vb4}ii:>154<4}o3e{oc00??><2we=k6ib;295~hf;=02585rn0d;bf<728qem>:59848yk7a0on1<7?tn`17>890;6f821>5<5sgk88776c:m5c?7;3:1>v`n358:=a=zf8l2<94?:0yme62=10o0qc?i91794?7|fh9?647i;|l2b<61290:wco<4;;b4>{i9o3;;7>51zlb71<>i81vb4}ii:>15l<4}o3e=5?=83;pbl=;:8c0?xh6n0:j6=4>{oc00??f<2we=k7?b;295~hf;=02m85rn0d:4f<728qem>:59`48yk7a19n1<7?tn`17>j:182kg4<33j46sa1g;3b?6=:rdj?946a89~j4`>990;6?uaa269=dgf831>5<6sgk8877nc:m5c?6;3:1=v`n358:ea=zf8l2=94?:0yme62=1ho0qc?i90794?7|fh9?64oi;|l2b<71290:wco<4;;a4>{i9o3:;7>51zlb71<>j81vb4}ii:>15o<4}o3e=4?=83;pbl=;:8`0?xh6n0;j6=4>{oc00??e<2we=k7>b;295~hf;=02n85rn0d:5f<72;qem>:59c48yk7a18n1<7:90;6f801>5<6sgk8877mc:m5c?5;3:1=v`n358:fa=zf8l2>94?:0yme62=1ko0qc?i93794?7|fh9?64li;|l2b<41290:wco<4;;`4>{i9o39;7>51zlb71<>k81vb4}ii:>15n<4}o3e=7?=838pbl=;:8a0?xh6n08j6=4={oc00??d<2we=k7=b;295~hf;=02o85rn0d:6f<728qem>:59b48yk7a1;n1<7?tn`17>;90;6?50;3xjd5320ii7p`>f811>5<6sgk8877lc:m5c?4;3:1=v`n358:ga=zf8l2?94?:0yme62=1jo0qc?i92794?7|fh9?64mi;|l2b<51290:wco<4;;g4>{i9o38;7>51zlb71<>l81vb4}ii:>15i<4}o3e=6?=83;pbl=;:8f0?xh6n09j6=4>{oc00??c<2we=k7:59e48yk7a1:n1<7?tn`17><90;6f861>5<6sgk8877kc:m5c?3;3:1=v`n358:`a=zf8l2894?:0yme62=1mo0qc?i95794?7|fh9?64ji;|l2b<21290:wco<4;;f4>{i9o3?;7>51zlb71<>m81vb4}ii:>15h<4}o3e=1?=838pbl=;:8g0?xh6n0>j6=4>{oc00??b<2we=k7;b;295~hf;=02i85rn0d:0f<72:qem>:59d48yk7a1=n1<7=tn`17>=90;6?uaa269=`gf871>5<6sgk8877jc:m5c?2;3:1?v`n358:aa=zf8l2994?:2yme62=1lo0qc?i94794?4|fh9?64ki;|l2b<312909wco<4;;e4>{i9o3>;7>52zlb71<>n81vb7}ii:>15k<4}o3e=0?=838pbl=;:8d0?xh6n0?j6=4>{oc00??a<2we=k7:b;296~hf;=02j85rn0d:1f<72;qem>:59g48yk7a1<`03td:j4;j:181kg4<33m46sa1g;6b?6=:rdj?946f89~j4`>>90;6f841>5<4sgk8877ic:m5c?1;3:1?v`n358:ba=zf8l2:94?:3yme62=1oo0qc?i97794?4|fh9?64hi;|l2b<012909wco<4;c34>{i9o3=;7>51zlb714}ii:>1m=<4}o3e=3?=839pbl=;:`20?xh6n0:5a148yk7a1?n1<7d603td:j48j:182kg4<3k;46sa1g;5b?6=9rdj?94n089~j4`>?90;6>uaa269e5gf851>5<5sgk887o?c:m5c?0;3:1>v`n358b4a=zf8l2;94?:3yme62=i9o0qc?i96794?7|fh9?6l>i;|l2b<11290:wco<4;c24>{i9o3<;7>53zlb716}ii:>1m<<4}o3e=2?=838pbl=;:`30?xh6n0=j6=4={oc00?g6<2we=k78b;296~hf;=0j=85rn0d:3f<728qem>:5a048yk7a1>n1<7?tn`17>d703td:j49j:180kg4<3k:46sa1g;4b?6=;rdj?94n189~j4`>090;6?uaa269e4gf8:1>5<5sgk887o>c:m5c??;3:1=v`n358b5a=zf8l2494?:0yme62=i8o0qc?i99794?5|fh9?6l?i;|l2b<>12908wco<4;c14>{i9o33;7>52zlb717}ii:>1m?<4}o3e==?=838pbl=;:`00?xh6n02j6=4>{oc00?g5<2we=k77b;295~hf;=0j>85rn0d::5a348yk7a11n1<7=tn`17>d403td:j46j:181kg4<3k946sa1g;;b?6=:rdj?94n289~j4`>190;6?uaa269e7gf8;1>5<6sgk887o=c:m5c?>;3:1?v`n358b6a=zf8l2594?:2yme62=i;o0qc?i98794?4|fh9?6l{i9o32;7>52zlb714}ii:>1m><4}o3e=:5a248yk7a10n1<7d503td:j47j:181kg4<3k846sa1g;:b?6=9rdj?94n389~j4`>i90;6f8c1>5<4sgk887ov`n358b7a=zf8l2m94?:3yme62=i:o0qc?i9`794?4|fh9?6l=i;|l2b{i9o3j;7>51zlb716}ii:>1m9<4}o3e=d?=839pbl=;:`60?xh6n0kj6=4={oc00?g3<2we=k7nb;296~hf;=0j885rn0d:ef<72;qem>:5a548yk7a1hn1<7?tn`17>d203td:j4oj:182kg4<3k?46sa1g;bb?6=;rdj?94n489~j4`>j90;6>uaa269e1gi7p`>f8`1>5<5sgk887o;c:m5c?e;3:1>v`n358b0a=zf8l2n94?:0yme62=i=o0qc?i9c794?7|fh9?6l:i;|l2b{i9o3i;7>53zlb717}ii:>1m8<4}o3e=g?=838pbl=;:`70?xh6n0hj6=4={oc00?g2<2we=k7mb;295~hf;=0j985rn0d:ff<728qem>:5a448yk7a1kn1<7=tn`17>d303td:j4lj:180kg4<3k>46sa1g;ab?6=:rdj?94n589~j4`>k90;6?uaa269e0gf8a1>5<6sgk887o:c:m5c?d;3:1=v`n358b1a=zf8l2o94?:2yme62=i{i9o3h;7>52zlb7181vb7}ii:>1m;<4}o3e=f?=83;pbl=;:`40?xh6n0ij6=4>{oc00?g1<2we=k7lb;297~hf;=0j:85rn0d:gf<72:qem>:5a748yk7a1jn1<7d003td:j4mj:181kg4<3k=46sa1g;`b?6=:rdj?94n689~j4`>l90;6f8f1>5<4sgk887o9c:m5c?c;3:1?v`n358b2a=zf8l2h94?:3yme62=i?o0qc?i9e794?4|fh9?6l8i;|l2b{i9o3o;7>51zlb714}ii:>1m:<4}o3e=a?=839pbl=;:`50?xh6n0nj6=4<{oc00?g0<2we=k7kb;296~hf;=0j;85rn0d:`f<72;qem>:5a648yk7a1mn1<7d103td:j4jj:182kg4<3k<46sa1g;gb?6=9rdj?94n789~j4`>m90;6>uaa269e2gf8g1>5<5sgk887o8c:m5c?b;3:1>v`n358b3a=zf8l2i94?:3yme62=i>o0qc?i9d794?7|fh9?6l9i;|l2b{i9o3n;7>53zlb716}ii:>1m5<4}o3e=`?=838pbl=;:`:0?xh6n0oj6=4={oc00?g?<2we=k7jb;296~hf;=0j485rn0d:af<728qem>:5a948yk7a1ln1<7?tn`17>d>03td:j4kj:180kg4<3k346sa1g;fb?6=;rdj?94n889~j4`>n90;6?uaa269e=gf8d1>5<5sgk887o7c:m5c?a;3:1=v`n358b{i9o3m;7>52zlb717}ii:>1m4<4}o3e=c?=838pbl=;:`;0?xh6n0lj6=4>{oc00?g><2we=k7ib;295~hf;=0j585rn0d:bf<72:qem>:5a848yk7a1on1<7=tn`17>d?03td:j4hj:181kg4<3k246sa1g;eb?6=:rdj?94n989~j4`f890;6?uaa269ef`21>5<6sgk887o6c:m5cg7;3:1?v`n358b=a=zf8lj<94?:2yme62=i0o0qc?ia1794?4|fh9?6l7i;|l2bd612909wco<4;cb4>{i9ok;;7>52zlb714}ii:>1ml<4}o3ee5?=83;pbl=;:`c0?xh6nh:j6=4<{oc00?gf<2we=ko?b;297~hf;=0jm85rn0db4f<72;qem>:5a`48yk7ai9n1<7dg03td:jl>j:181kg4<3kj46sa1gc3b?6=9rdj?94na89~j4`f990;6f`31>5<4sgk887onc:m5cg6;3:1>v`n358bea=zf8lj=94?:3yme62=iho0qc?ia0794?4|fh9?6loi;|l2bd71290:wco<4;ca4>{i9ok:;7>51zlb716}ii:>1mo<4}o3ee4?=839pbl=;:``0?xh6nh;j6=4={oc00?ge<2we=ko>b;296~hf;=0jn85rn0db5f<72;qem>:5ac48yk7ai8n1<7?tn`17>dd03td:jl?j:182kg4<3ki46sa1gc2b?6=;rdj?94nb89~j4`f:90;6>uaa269eggf`01>5<5sgk887omc:m5cg5;3:1>v`n358bfa=zf8lj>94?:0yme62=iko0qc?ia3794?7|fh9?6lli;|l2bd412908wco<4;c`4>{i9ok9;7>53zlb717}ii:>1mn<4}o3ee7?=838pbl=;:`a0?xh6nh8j6=4={oc00?gd<2we=ko=b;296~hf;=0jo85rn0db6f<728qem>:5ab48yk7ai;n1<7?tn`17>de03td:jl?50;0xjd532hii7p`>f`11>5<5sgk887olc:m5cg4;3:1>v`n358bga=zf8lj?94?:3yme62=ijo0qc?ia2794?4|fh9?6lmi;|l2bd512909wco<4;cg4>{i9ok8;7>52zlb714}ii:>1mi<4}o3ee6?=83;pbl=;:`f0?xh6nh9j6=4>{oc00?gc<2we=ko:5ae48yk7ai:n1<7?tn`17>db03td:jl=j:182kg4<3ko46sa1gc0b?6=9rdj?94nd89~j4`f<90;6?uaa269eagf`61>5<6sgk887okc:m5cg3;3:1=v`n358b`a=zf8lj894?:0yme62=imo0qc?ia5794?7|fh9?6lji;|l2bd21290:wco<4;cf4>{i9ok?;7>51zlb714}ii:>1mh<4}o3ee1?=83;pbl=;:`g0?xh6nh>j6=4>{oc00?gb<2we=ko;b;295~hf;=0ji85rn0db0f<728qem>:5ad48yk7ai=n1<7?tn`17>dc03td:jl:j:182kg4<3kn46sa1gc7b?6=9rdj?94ne89~j4`f=90;6f`71>5<6sgk887ojc:m5cg2;3:1=v`n358baa=zf8lj994?:0yme62=ilo0qc?ia4794?7|fh9?6lki;|l2bd31290:wco<4;ce4>{i9ok>;7>51zlb714}ii:>1mk<4}o3ee0?=83;pbl=;:`d0?xh6nh?j6=4>{oc00?ga<2we=ko:b;295~hf;=0jj85rn0db1f<728qem>:5ag48yk7aid`03td:jl;j:182kg4<3km46sa1gc6b?6=9rdj?94nf89~j4`f>90;6f`41>5<6sgk887oic:m5cg1;3:1=v`n358bba=zf8lj:94?:0yme62=ioo0qc?ia7794?7|fh9?6lhi;|l2bd01290:wco<4;`34>{i9ok=;7>51zlb714}ii:>1n=<4}o3ee3?=83;pbl=;:c20?xh6nh{oc00?d7<2we=ko9b;295~hf;=0i<85rn0db2f<728qem>:5b148yk7ai?n1<7?tn`17>g603td:jl8j:182kg4<3h;46sa1gc5b?6=9rdj?94m089~j4`f?90;6f`51>5<6sgk887l?c:m5cg0;3:1=v`n358a4a=zf8lj;94?:0yme62=j9o0qc?ia6794?7|fh9?6o>i;|l2bd11290:wco<4;`24>{i9ok<;7>51zlb714}ii:>1n<<4}o3ee2?=83;pbl=;:c30?xh6nh=j6=4>{oc00?d6<2we=ko8b;295~hf;=0i=85rn0db3f<728qem>:5b048yk7ai>n1<7?tn`17>g703td:jl9j:182kg4<3h:46sa1gc4b?6=9rdj?94m189~j4`f090;6f`:1>5<6sgk887l>c:m5cg?;3:1=v`n358a5a=zf8lj494?:0yme62=j8o0qc?ia9794?7|fh9?6o?i;|l2bd>1290:wco<4;`14>{i9ok3;7>51zlb714}ii:>1n?<4}o3ee=?=83;pbl=;:c00?xh6nh2j6=4>{oc00?d5<2we=ko7b;295~hf;=0i>85rn0db:5b348yk7ai1n1<7?tn`17>g403td:jl6j:182kg4<3h946sa1gc;b?6=9rdj?94m289~j4`f190;6f`;1>5<6sgk887l=c:m5cg>;3:1=v`n358a6a=zf8lj594?:0yme62=j;o0qc?ia8794?7|fh9?6o{i9ok2;7>51zlb714}ii:>1n><4}o3ee{oc00?d4<2we=ko6b;295~hf;=0i?85rn0db=f<728qem>:5b248yk7ai0n1<7?tn`17>g503td:jl7j:182kg4<3h846sa1gc:b?6=9rdj?94m389~j4`fi90;6f`c1>5<6sgk887l{i9okj;7>51zlb714}ii:>1n9<4}o3eed?=83;pbl=;:c60?xh6nhkj6=4>{oc00?d3<2we=konb;295~hf;=0i885rn0dbef<728qem>:5b548yk7aihn1<7?tn`17>g203td:jloj:182kg4<3h?46sa1gcbb?6=9rdj?94m489~j4`fj90;6i7p`>f``1>5<6sgk887l;c:m5cge;3:1=v`n358a0a=zf8ljn94?:0yme62=j=o0qc?iac794?7|fh9?6o:i;|l2bdd1290:wco<4;`64>{i9oki;7>51zlb714}ii:>1n8<4}o3eeg?=83;pbl=;:c70?xh6nhhj6=4>{oc00?d2<2we=komb;295~hf;=0i985rn0dbff<728qem>:5b448yk7aikn1<7?tn`17>g303td:jllj:182kg4<3h>46sa1gcab?6=9rdj?94m589~j4`fk90;6f`a1>5<6sgk887l:c:m5cgd;3:1=v`n358a1a=zf8ljo94?:0yme62=j{i9okh;7>51zlb7181vb4}ii:>1n;<4}o3eef?=83;pbl=;:c40?xh6nhij6=4>{oc00?d1<2we=kolb;295~hf;=0i:85rn0dbgf<728qem>:5b748yk7aijn1<7?tn`17>g003td:jlmj:182kg4<3h=46sa1gc`b?6=9rdj?94m689~j4`fl90;6f`f1>5<6sgk887l9c:m5cgc;3:1=v`n358a2a=zf8ljh94?:0yme62=j?o0qc?iae794?7|fh9?6o8i;|l2bdb1290:wco<4;`44>{i9oko;7>51zlb714}ii:>1n:<4}o3eea?=83;pbl=;:c50?xh6nhnj6=4>{oc00?d0<2we=kokb;295~hf;=0i;85rn0db`f<728qem>:5b648yk7aimn1<7?tn`17>g103td:jljj:182kg4<3h<46sa1gcgb?6=9rdj?94m789~j4`fm90;6f`g1>5<6sgk887l8c:m5cgb;3:1=v`n358a3a=zf8lji94?:0yme62=j>o0qc?iad794?7|fh9?6o9i;|l2bdc1290:wco<4;`;4>{i9okn;7>51zlb714}ii:>1n5<4}o3ee`?=83;pbl=;:c:0?xh6nhoj6=4>{oc00?d?<2we=kojb;295~hf;=0i485rn0dbaf<728qem>:5b948yk7ailn1<7?tn`17>g>03td:jlkj:182kg4<3h346sa1gcfb?6=9rdj?94m889~j4`fn90;6f`d1>5<6sgk887l7c:m5cga;3:1=v`n358a{i9okm;7>51zlb714}ii:>1n4<4}o3eec?=83;pbl=;:c;0?xh6nhlj6=4>{oc00?d><2we=koib;295~hf;=0i585rn0dbbf<728qem>:5b848yk7aion1<7?tn`17>g?03td:jlhj:182kg4<3h246sa1gceb?6=9rdj?94m989~j4`e890;6fc21>5<6sgk887l6c:m5cd7;3:1=v`n358a=a=zf8li<94?:0yme62=j0o0qc?ib1794?73sgk887l6f:m5cd7>3:1=v`n358ae5=zf8li<:4?:0yme62=jh;0qc?ib1:94?46sgk887ln2:m5cd713:1=v`n358ae6=zf8li0qc?ib1`94?7|fh9?6oo:;|l2bg6d290:wco<4;`b2>{i9oh;h7>51zlb711vb4}ii:>1nl64}o3ef5`=83;pbl=;:cc:?xh6nk;;6=4>{oc00?dfi2we=kl>1;295~hf;=0imo5rn0da57<728qem>:5b`a8yk7aj891<7?tn`17>ggc3td:jo?;:182kg4<3hji6sa1g`21?6=9rdj?94mag9~j4`e9?0;6fc3;>5<6sgk887lm2:m5cd613:1=v`n358af6=zf8li=l4?:0yme62=jk>0qc?ib0`94?7|fh9?6ol:;|l2bg7d290:wco<4;`a2>{i9oh:h7>51zlb711vb4}ii:>1no64}o3ef4`=83;pbl=;:c`:?xh6nk8;6=4>{oc00?dei2we=kl=1;295~hf;=0ino5rn0da67<728qem>:5bca8yk7aj;91<7?tn`17>gdc3td:jo<;:182kg4<3hii6sa1g`11?6=9rdj?94mbg9~j4`e:?0;6fc0;>5<6sgk887ll2:m5cd513:1=v`n358ag6=zf8li>l4?:3yme62=jj>0qc?ib3`94?7|fh9?6om:;|l2bg4d2909wco<4;``2>{i9oh9h7>51zlb711vb4}ii:>1nn64}o3ef7`=83;pbl=;:ca:?xh6nk9;6=4>{oc00?ddi2we=kl<1;295~hf;=0ioo5rn0da77<728qem>:5bba8yk7aj:91<7?tn`17>gec3td:jo=;:182kg4<3hhi6sa1g`01?6=9rdj?94mcg9~j4`e;?0;6950;3xjd532kn:7p`>fc1;>5<6sgk887lk2:m5cd413:1=v`n358a`6=zf8li?l4?:0yme62=jm>0qc?ib2`94?7|fh9?6oj:;|l2bg5d290:wco<4;`g2>{i9oh8h7>51zlb711vb4}ii:>1ni64}o3ef6`=83;pbl=;:cf:?xh6nk>;6=4>{oc00?dci2we=kl;1;295~hf;=0iho5rn0da07<728qem>:5bea8yk7aj=91<7?tn`17>gbc3td:jo:;:182kg4<3hoi6sa1g`71?6=9rdj?94mdg9~j4`efc6;>5<6sgk887lj2:m5cd313:1=v`n358aa6=zf8li8l4?:0yme62=jl>0qc?ib5`94?4|fh9?6ok:;|l2bg2d290:wco<4;`f2>{i9oh?h7>51zlb711vb4}ii:>1nh64}o3ef1`=83;pbl=;:cg:?xh6nk?;6=4>{oc00?dbi2we=kl:1;295~hf;=0iio5rn0da17<72;qem>:5bda8yk7aj<91<7?tn`17>gcc3td:jo;;:182kg4<3hni6sa1g`61?6=9rdj?94meg9~j4`e=?0;6fc7;>5<6sgk887li2:m5cd213:1=v`n358ab6=zf8li9l4?:0yme62=jo>0qc?ib4`94?7|fh9?6oh:;|l2bg3d290:wco<4;`e2>{i9oh>h7>51zlb711vb4}ii:>1nk64}o3ef0`=83;pbl=;:cd:?xh6nk<;6=4>{oc00?dai2we=kl91;295~hf;=0ijo5rn0da27<728qem>:5bga8yk7aj?91<7?tn`17>g`c3td:jo8;:182kg4<3hmi6sa1g`51?6=9rdj?94mfg9~j4`e>?0;6fc4;>5<6sgk887m?2:m5cd113:1=v`n358`46=zf8li:l4?:0yme62=k9>0qc?ib7`94?7|fh9?6n>:;|l2bg0d290:wco<4;a32>{i9oh=h7>51zlb711vb4}ii:>1o=64}o3ef3`=83;pbl=;:b2:?xh6nk=;6=4>{oc00?e7i2we=kl81;295~hf;=0h:5c1a8yk7aj>91<7?tn`17>f6c3td:jo9;:182kg4<3i;i6sa1g`41?6=9rdj?94l0g9~j4`e??0;6fc5;>5<6sgk887m>2:m5cd013:1=v`n358`56=zf8li;l4?:0yme62=k8>0qc?ib6`94?7|fh9?6n?:;|l2bg1d290:wco<4;a22>{i9oh51zlb711vb4}ii:>1o<64}o3ef2`=83;pbl=;:b3:?xh6nk2;6=4>{oc00?e6i2we=kl71;295~hf;=0h=o5rn0da<7<728qem>:5c0a8yk7aj191<7?tn`17>f7c3td:jo6;:182kg4<3i:i6sa1g`;1?6=9rdj?94l1g9~j4`e0?0;6fc:;>5<6sgk887m=2:m5cd?13:1=v`n358`66=zf8li4l4?:0yme62=k;>0qc?ib9`94?7|fh9?6n<:;|l2bg>d290:wco<4;a12>{i9oh3h7>51zlb711vb4}ii:>1o?64}o3ef=`=83;pbl=;:b0:?xh6nk3;6=4>{oc00?e5i2we=kl61;295~hf;=0h>o5rn0da=7<728qem>:5c3a8yk7aj091<7?tn`17>f4c3td:jo7;:182kg4<3i9i6sa1g`:1?6=9rdj?94l2g9~j4`e1?0;6fc;;>5<6sgk887m<2:m5cd>13:1=v`n358`76=zf8li5l4?:0yme62=k:>0qc?ib8`94?7|fh9?6n=:;|l2bg?d290:wco<4;a02>{i9oh2h7>51zlb711vb4}ii:>1o>64}o3ef<`=83;pbl=;:b1:?xh6nkk;6=4>{oc00?e4i2we=kln1;295~hf;=0h?o5rn0dae7<728qem>:5c2a8yk7ajh91<7?tn`17>f5c3td:joo;:182kg4<3i8i6sa1g`b1?6=9rdj?94l3g9~j4`ei?0;6:7p`>fcc;>5<6sgk887m;2:m5cdf13:1=v`n358`06=zf8liml4?:0yme62=k=>0qc?ib``94?4|fh9?6n::;|l2bggd290:wco<4;a72>{i9ohjh7>51zlb711vb4}ii:>1o964}o3efd`=83;pbl=;:b6:?xh6nkh;6=4>{oc00?e3i2we=klm1;295~hf;=0h8o5rn0daf7<728qem>:5c5a8yk7ajk91<7?tn`17>f2c3td:jol;:181kg4<3i?i6sa1g`a1?6=:rdj?94l4g9~j4`ej?0;6fc`;>5<5sgk887m:2:m5cde13:1=v`n358`16=zf8linl4?:3yme62=k<>0qc?ibc`94?7|fh9?6n;:;|l2bgdd2909wco<4;a62>{i9ohih7>54zlb711vb4}ii:>1o864}o3efg`=83;pbl=;:b7:?xh6nki;6=4>{oc00?e2i2we=kll1;295~hf;=0h9o5rn0dag7<728qem>:5c4a8yk7ajj91<7?tn`17>f3c3td:jom;:182kg4<3i>i6sa1g``1?6=9rdj?94l5g9~j4`ek?0;6fca;>5<6sgk887m92:m5cdd13:1=v`n358`26=zf8liol4?:0yme62=k?>0qc?ibb`94?7|fh9?6n8:;|l2bged290:wco<4;a52>{i9ohhh7>51zlb71>1vb7}ii:>1o;64}o3eff`=83;pbl=;:b4:?xh6nkn;6=4>{oc00?e1i2we=klk1;295~hf;=0h:o5rn0da`7<728qem>:5c7a8yk7ajm91<7?tn`17>f0c3td:joj;:182kg4<3i=i6sa1g`g1?6=9rdj?94l6g9~j4`el?0;6fcf;>5<6sgk887m82:m5cdc13:1=v`n358`36=zf8lihl4?:0yme62=k>>0qc?ibe`94?7|fh9?6n9:;|l2bgbd290:wco<4;a42>{i9ohoh7>51zlb711vb4}ii:>1o:64}o3efa`=83;pbl=;:b5:?xh6nko;6=4>{oc00?e0i2we=klj1;295~hf;=0h;o5rn0daa7<728qem>:5c6a8yk7ajl91<7?tn`17>f1c3td:jok;:182kg4<3ifcg;>5<6sgk887m72:m5cdb13:1=v`n358`<6=zf8liil4?:0yme62=k1>0qc?ibd`94?7|fh9?6n6:;|l2bgcd290:wco<4;a;2>{i9ohnh7>51zlb711vb4}ii:>1o564}o3ef``=83;pbl=;:b::?xh6nkl;6=4>{oc00?e?i2we=kli1;295~hf;=0h4o5rn0dab7<728qem>:5c9a8yk7ajo91<7?tn`17>f>c3td:joh;:182kg4<3i3i6sa1g`e1?6=9rdj?94l8g9~j4`en?0;6fcd;>5<6sgk887m62:m5cda13:1=v`n358`=6=zf8lijl4?:0yme62=k0>0qc?ibg`94?7|fh9?6n7:;|l2bg`d290:wco<4;a:2>{i9ohmh7>51zlb711vb4}ii:>1o464}o3efc`=83;pbl=;:b;:?xh6nj:;6=4>{oc00?e>i2we=km?1;295~hf;=0h5o5rn0d`47<728qem>:5c8a8yk7ak991<7?tn`17>f?c3td:jn>;:182kg4<3i2i6sa1ga31?6=9rdj?94l9g9~j4`d8?0;6fb2;>5<6sgk887mn2:m5ce713:1=v`n358`e6=zf8lh0qc?ic1`94?7|fh9?6no:;|l2bf6d290:wco<4;ab2>{i9oi;h7>51zlb711vb4}ii:>1ol64}o3eg5`=83;pbl=;:bc:?xh6nj;;6=4>{oc00?efi2we=km>1;295~hf;=0hmo5rn0d`57<728qem>:5c`a8yk7ak891<7?tn`17>fgc3td:jn?;:182kg4<3iji6sa1ga21?6=9rdj?94lag9~j4`d9?0;6fb3;>5<6sgk887mm2:m5ce613:1=v`n358`f6=zf8lh=l4?:0yme62=kk>0qc?ic0`94?7|fh9?6nl:;|l2bf7d290:wco<4;aa2>{i9oi:h7>51zlb711vb4}ii:>1oo64}o3eg4`=83;pbl=;:b`:?xh6nj8;6=4>{oc00?eei2we=km=1;295~hf;=0hno5rn0d`67<728qem>:5cca8yk7ak;91<7?tn`17>fdc3td:jn<;:182kg4<3iii6sa1ga11?6=9rdj?94lbg9~j4`d:?0;6fb0;>5<6sgk887ml2:m5ce513:1=v`n358`g6=zf8lh>l4?:0yme62=kj>0qc?ic3`94?7|fh9?6nm:;|l2bf4d290:wco<4;a`2>{i9oi9h7>51zlb711vb4}ii:>1on64}o3eg7`=83;pbl=;:ba:?xh6nj9;6=4>{oc00?edi2we=km<1;295~hf;=0hoo5rn0d`77<728qem>:5cba8yk7ak:91<7?tn`17>fec3td:jn=;:182kg4<3ihi6sa1ga01?6=9rdj?94lcg9~j4`d;?0;6950;3xjd532jn:7p`>fb1;>5<6sgk887mk2:m5ce413:1=v`n358``6=zf8lh?l4?:0yme62=km>0qc?ic2`94?7|fh9?6nj:;|l2bf5d290:wco<4;ag2>{i9oi8h7>51zlb71
    1vb4}ii:>1oi64}o3eg6`=83;pbl=;:bf:?xh6nj>;6=4>{oc00?eci2we=km;1;295~hf;=0hho5rn0d`07<728qem>:5cea8yk7ak=91<7?tn`17>fbc3td:jn:;:182kg4<3ioi6sa1ga71?6=9rdj?94ldg9~j4`dfb6;>5<6sgk887mj2:m5ce313:1=v`n358`a6=zf8lh8l4?:0yme62=kl>0qc?ic5`94?7|fh9?6nk:;|l2bf2d290:wco<4;af2>{i9oi?h7>51zlb711vb4}ii:>1oh64}o3eg1`=83;pbl=;:bg:?xh6nj?;6=4>{oc00?ebi2we=km:1;295~hf;=0hio5rn0d`17<728qem>:5cda8yk7ak<91<7?tn`17>fcc3td:jn;;:182kg4<3ini6sa1ga61?6=9rdj?94leg9~j4`d=?0;6fb7;>5<6sgk887mi2:m5ce213:1=v`n358`b6=zf8lh9l4?:0yme62=ko>0qc?ic4`94?7|fh9?6nh:;|l2bf3d290:wco<4;ae2>{i9oi>h7>51zlb711vb4}ii:>1ok64}o3eg0`=83;pbl=;:bd:?xh6nj<;6=4>{oc00?eai2we=km91;295~hf;=0hjo5rn0d`27<728qem>:5cga8yk7ak?91<7?tn`17>f`c3td:jn8;:182kg4<3imi6sa1ga51?6=9rdj?94lfg9~j4`d>?0;6fb4;>5<6sgk887j?2:m5ce113:1=v`n358g46=zf8lh:l4?:0yme62=l9>0qc?ic7`94?7|fh9?6i>:;|l2bf0d290:wco<4;f32>{i9oi=h7>51zlb711vb4}ii:>1h=64}o3eg3`=83;pbl=;:e2:?xh6nj=;6=4>{oc00?b7i2we=km81;295~hf;=0o:5d1a8yk7ak>91<7?tn`17>a6c3td:jn9;:182kg4<3n;i6sa1ga41?6=9rdj?94k0g9~j4`d??0;6fb5;>5<6sgk887j>2:m5ce013:1=v`n358g56=zf8lh;l4?:0yme62=l8>0qc?ic6`94?7|fh9?6i?:;|l2bf1d290:wco<4;f22>{i9oi51zlb711vb4}ii:>1h<64}o3eg2`=83;pbl=;:e3:?xh6nj2;6=4>{oc00?b6i2we=km71;295~hf;=0o=o5rn0d`<7<728qem>:5d0a8yk7ak191<7?tn`17>a7c3td:jn6;:182kg4<3n:i6sa1ga;1?6=9rdj?94k1g9~j4`d0?0;6fb:;>5<6sgk887j=2:m5ce?13:1=v`n358g66=zf8lh4l4?:0yme62=l;>0qc?ic9`94?7|fh9?6i<:;|l2bf>d290:wco<4;f12>{i9oi3h7>51zlb711vb4}ii:>1h?64}o3eg=`=83;pbl=;:e0:?xh6nj3;6=4>{oc00?b5i2we=km61;295~hf;=0o>o5rn0d`=7<728qem>:5d3a8yk7ak091<7?tn`17>a4c3td:jn7;:182kg4<3n9i6sa1ga:1?6=9rdj?94k2g9~j4`d1?0;6fb;;>5<6sgk887j<2:m5ce>13:1=v`n358g76=zf8lh5l4?:0yme62=l:>0qc?ic8`94?7|fh9?6i=:;|l2bf?d290:wco<4;f02>{i9oi2h7>51zlb711vb4}ii:>1h>64}o3eg<`=83;pbl=;:e1:?xh6njk;6=4>{oc00?b4i2we=kmn1;295~hf;=0o?o5rn0d`e7<728qem>:5d2a8yk7akh91<7?tn`17>a5c3td:jno;:182kg4<3n8i6sa1gab1?6=9rdj?94k3g9~j4`di?0;6:7p`>fbc;>5<6sgk887j;2:m5cef13:1=v`n358g06=zf8lhml4?:0yme62=l=>0qc?ic``94?7|fh9?6i::;|l2bfgd290:wco<4;f72>{i9oijh7>51zlb711vb4}ii:>1h964}o3egd`=83;pbl=;:e6:?xh6njh;6=4>{oc00?b3i2we=kmm1;295~hf;=0o8o5rn0d`f7<728qem>:5d5a8yk7akk91<7?tn`17>a2c3td:jnl;:182kg4<3n?i6sa1gaa1?6=9rdj?94k4g9~j4`dj?0;6fb`;>5<6sgk887j:2:m5cee13:1=v`n358g16=zf8lhnl4?:0yme62=l<>0qc?icc`94?7|fh9?6i;:;|l2bfdd290:wco<4;f62>{i9oiih7>51zlb711vb4}ii:>1h864}o3egg`=83;pbl=;:e7:?xh6nji;6=4>{oc00?b2i2we=kml1;295~hf;=0o9o5rn0d`g7<728qem>:5d4a8yk7akj91<7?tn`17>a3c3td:jnm;:182kg4<3n>i6sa1ga`1?6=9rdj?94k5g9~j4`dk?0;6fba;>5<6sgk887j92:m5ced13:1=v`n358g26=zf8lhol4?:0yme62=l?>0qc?icb`94?7|fh9?6i8:;|l2bfed290:wco<4;f52>{i9oihh7>51zlb71>1vb4}ii:>1h;64}o3egf`=83;pbl=;:e4:?xh6njn;6=4>{oc00?b1i2we=kmk1;295~hf;=0o:o5rn0d``7<728qem>:5d7a8yk7akm91<7?tn`17>a0c3td:jnj;:182kg4<3n=i6sa1gag1?6=9rdj?94k6g9~j4`dl?0;6fbf;>5<6sgk887j82:m5cec13:1=v`n358g36=zf8lhhl4?:0yme62=l>>0qc?ice`94?7|fh9?6i9:;|l2bfbd290:wco<4;f42>{i9oioh7>51zlb711vb4}ii:>1h:64}o3ega`=83;pbl=;:e5:?xh6njo;6=4>{oc00?b0i2we=kmj1;295~hf;=0o;o5rn0d`a7<728qem>:5d6a8yk7akl91<7?tn`17>a1c3td:jnk;:182kg4<3nfbg;>5<6sgk887j72:m5ceb13:1=v`n358g<6=zf8lhil4?:0yme62=l1>0qc?icd`94?7|fh9?6i6:;|l2bfcd290:wco<4;f;2>{i9oinh7>51zlb711vb4}ii:>1h564}o3eg``=83;pbl=;:e::?xh6njl;6=4>{oc00?b?i2we=kmi1;295~hf;=0o4o5rn0d`b7<728qem>:5d9a8yk7ako91<7?tn`17>a>c3td:jnh;:182kg4<3n3i6sa1gae1?6=9rdj?94k8g9~j4`dn?0;6fbd;>5<6sgk887j62:m5cea13:1=v`n358g=6=zf8lhjl4?:0yme62=l0>0qc?icg`94?7|fh9?6i7:;|l2bf`d290:wco<4;f:2>{i9oimh7>51zlb711vb4}ii:>1h464}o3egc`=83;pbl=;:e;:?xh6nm:;6=4>{oc00?b>i2we=kj?1;295~hf;=0o5o5rn0dg47<72;qem>:5d8a8yk7al991<7?tn`17>a?c3td:ji>;:182kg4<3n2i6sa1gf31?6=9rdj?94k9g9~j4`c8?0;6fe2;>5<6sgk887jn2:m5cb713:1=v`n358ge6=zf8lo0qc?id1`94?7|fh9?6io:;|l2ba6d290:wco<4;fb2>{i9on;h7>51zlb711vb4}ii:>1hl64}o3e`5`=83;pbl=;:ec:?xh6nm;;6=4>{oc00?bfi2we=kj>1;295~hf;=0omo5rn0dg57<728qem>:5d`a8yk7al891<7?tn`17>agc3td:ji?;:182kg4<3nji6sa1gf21?6=9rdj?94kag9~j4`c9?0;6fe3;>5<6sgk887jm2:m5cb613:1=v`n358gf6=zf8lo=l4?:0yme62=lk>0qc?id0`94?7|fh9?6il:;|l2ba7d290:wco<4;fa2>{i9on:h7>51zlb711vb4}ii:>1ho64}o3e`4`=83;pbl=;:e`:?xh6nm8;6=4>{oc00?bei2we=kj=1;295~hf;=0ono5rn0dg67<728qem>:5dca8yk7al;91<7?tn`17>adc3td:ji<;:182kg4<3nii6sa1gf11?6=9rdj?94kbg9~j4`c:?0;6fe0;>5<6sgk887jl2:m5cb513:1=v`n358gg6=zf8lo>l4?:0yme62=lj>0qc?id3`94?7|fh9?6im:;|l2ba4d290:wco<4;f`2>{i9on9h7>51zlb711vb4}ii:>1hn64}o3e`7`=83;pbl=;:ea:?xh6nm9;6=4>{oc00?bdi2we=kj<1;295~hf;=0ooo5rn0dg77<728qem>:5dba8yk7al:91<7?tn`17>aec3td:ji=;:182kg4<3nhi6sa1gf01?6=9rdj?94kcg9~j4`c;?0;6950;3xjd532mn:7p`>fe1;>5<6sgk887jk2:m5cb413:1=v`n358g`6=zf8lo?l4?:0yme62=lm>0qc?id2`94?7|fh9?6ij:;|l2ba5d290:wco<4;fg2>{i9on8h7>51zlb711vb4}ii:>1hi64}o3e`6`=83;pbl=;:ef:?xh6nm>;6=4>{oc00?bci2we=kj;1;295~hf;=0oho5rn0dg07<728qem>:5dea8yk7al=91<7?tn`17>abc3td:ji:;:182kg4<3noi6sa1gf71?6=9rdj?94kdg9~j4`cfe6;>5<6sgk887jj2:m5cb313:1=v`n358ga6=zf8lo8l4?:0yme62=ll>0qc?id5`94?7|fh9?6ik:;|l2ba2d290:wco<4;ff2>{i9on?h7>51zlb711vb4}ii:>1hh64}o3e`1`=83;pbl=;:eg:?xh6nm?;6=4>{oc00?bbi2we=kj:1;295~hf;=0oio5rn0dg17<728qem>:5dda8yk7al<91<7?tn`17>acc3td:ji;;:182kg4<3nni6sa1gf61?6=9rdj?94keg9~j4`c=?0;6fe7;>5<6sgk887ji2:m5cb213:1=v`n358gb6=zf8lo9l4?:0yme62=lo>0qc?id4`94?7|fh9?6ih:;|l2ba3d290:wco<4;fe2>{i9on>h7>51zlb711vb4}ii:>1hk64}o3e`0`=83;pbl=;:ed:?xh6nm<;6=4>{oc00?bai2we=kj91;295~hf;=0ojo5rn0dg27<728qem>:5dga8yk7al?91<7?tn`17>a`c3td:ji8;:182kg4<3nmi6sa1gf51?6=9rdj?94kfg9~j4`c>?0;6fe4;>5<6sgk887k?2:m5cb113:1=v`n358f46=zf8lo:l4?:0yme62=m9>0qc?id7`94?7|fh9?6h>:;|l2ba0d290:wco<4;g32>{i9on=h7>51zlb711vb4}ii:>1i=64}o3e`3`=83;pbl=;:d2:?xh6nm=;6=4<{oc00?c7i2we=kj81;296~hf;=0n:5e1a8yk7al>91<7`6c3td:ji9;:181kg4<3o;i6sa1gf41?6=9rdj?94j0g9~j4`c??0;6fe5;>5<5sgk887k>2:m5cb013:1=v`n358f56=zf8lo;l4?:3yme62=m8>0qc?id6`94?4|fh9?6h?:;|l2ba1d290:wco<4;g22>{i9on51zlb711vb4}ii:>1i<64}o3e`2`=839pbl=;:d3:?xh6nm2;6=4={oc00?c6i2we=kj71;295~hf;=0n=o5rn0dg<7<72:qem>:5e0a8yk7al191<7=tn`17>`7c3td:ji6;:182kg4<3o:i6sa1gf;1?6=9rdj?94j1g9~j4`c0?0;6>uaa269a76fe:;>5<6sgk887k=2:m5cb?13:1>v`n358f66=zf8lo4l4?:3yme62=m;>0qc?id9`94?7|fh9?6h<:;|l2ba>d290:wco<4;g12>{i9on3h7>52zlb711vb7}ii:>1i?64}o3e`=`=83;pbl=;:d0:?xh6nm3;6=4={oc00?c5i2we=kj61;296~hf;=0n>o5rn0dg=7<728qem>:5e3a8yk7al091<7?tn`17>`4c3td:ji7;:182kg4<3o9i6sa1gf:1?6=;rdj?94j2g9~j4`c1?0;6?uaa269a66fe;;>5<4sgk887k<2:m5cb>13:1?v`n358f76=zf8lo5l4?:0yme62=m:>0qc?id8`94?7|fh9?6h=:;|l2ba?d2908wco<4;g02>{i9on2h7>51zlb711vb4}ii:>1i>64}o3e`<`=838pbl=;:d1:?xh6nmk;6=4={oc00?c4i2we=kjn1;295~hf;=0n?o5rn0dge7<728qem>:5e2a8yk7alh91<7`5c3td:jio;:181kg4<3o8i6sa1gfb1?6=9rdj?94j3g9~j4`ci?0;6?uaa269a16:7p`>fec;>5<6sgk887k;2:m5cbf13:1=v`n358f06=zf8loml4?:0yme62=m=>0qc?id``94?5|fh9?6h::;|l2bagd2909wco<4;g72>{i9onjh7>51zlb711vb6}ii:>1i964}o3e`d`=839pbl=;:d6:?xh6nmh;6=4>{oc00?c3i2we=kjm1;295~hf;=0n8o5rn0dgf7<72;qem>:5e5a8yk7alk91<7`2c3td:jil;:182kg4<3o?i6sa1gfa1?6=:rdj?94j4g9~j4`cj?0;6?uaa269a06fe`;>5<6sgk887k:2:m5cbe13:1>v`n358f16=zf8lonl4?:3yme62=m<>0qc?idc`94?7|fh9?6h;:;|l2badd2909wco<4;g62>{i9onih7>52zlb711vb4}ii:>1i864}o3e`g`=83;pbl=;:d7:?xh6nmi;6=4>{oc00?c2i2we=kjl1;297~hf;=0n9o5rn0dgg7<72;qem>:5e4a8yk7alj91<7?tn`17>`3c3td:jim;:180kg4<3o>i6sa1gf`1?6=;rdj?94j5g9~j4`ck?0;6fea;>5<6sgk887k92:m5cbd13:1=v`n358f26=zf8lool4?:0yme62=m?>0qc?idb`94?7|fh9?6h8:;|l2baed290:wco<4;g52>{i9onhh7>51zlb71>1vb4}ii:>1i;64}o3e`f`=83;pbl=;:d4:?xh6nmn;6=4>{oc00?c1i2we=kjk1;295~hf;=0n:o5rn0dg`7<728qem>:5e7a8yk7alm91<7?tn`17>`0c3td:jij;:182kg4<3o=i6sa1gfg1?6=9rdj?94j6g9~j4`cl?0;6fef;>5<6sgk887k82:m5cbc13:1=v`n358f36=zf8lohl4?:0yme62=m>>0qc?ide`94?7|fh9?6h9:;|l2babd290:wco<4;g42>{i9onoh7>51zlb711vb4}ii:>1i:64}o3e`a`=83;pbl=;:d5:?xh6nmo;6=4>{oc00?c0i2we=kjj1;295~hf;=0n;o5rn0dga7<728qem>:5e6a8yk7all91<7?tn`17>`1c3td:jik;:182kg4<3ofeg;>5<6sgk887k72:m5cbb13:1=v`n358f<6=zf8loil4?:0yme62=m1>0qc?idd`94?7|fh9?6h6:;|l2bacd290:wco<4;g;2>{i9onnh7>51zlb711vb4}ii:>1i564}o3e```=83;pbl=;:d::?xh6nml;6=4>{oc00?c?i2we=kji1;295~hf;=0n4o5rn0dgb7<728qem>:5e9a8yk7alo91<7?tn`17>`>c3td:jih;:182kg4<3o3i6sa1gfe1?6=9rdj?94j8g9~j4`cn?0;6fed;>5<6sgk887k62:m5cba13:1=v`n358f=6=zf8lojl4?:0yme62=m0>0qc?idg`94?7|fh9?6h7:;|l2ba`d290:wco<4;g:2>{i9onmh7>51zlb711vb4}ii:>1i464}o3e`c`=83;pbl=;:d;:?xh6nl:;6=4>{oc00?c>i2we=kk?1;295~hf;=0n5o5rn0df47<728qem>:5e8a8yk7am991<7?tn`17>`?c3td:jh>;:182kg4<3o2i6sa1gg31?6=9rdj?94j9g9~j4`b8?0;6fd2;>5<6sgk887kn2:m5cc713:1=v`n358fe6=zf8ln0qc?ie1`94?7|fh9?6ho:;|l2b`6d290:wco<4;gb2>{i9oo;h7>51zlb711vb4}ii:>1il64}o3ea5`=83;pbl=;:dc:?xh6nl;;6=4>{oc00?cfi2we=kk>1;295~hf;=0nmo5rn0df57<728qem>:5e`a8yk7am891<7?tn`17>`gc3td:jh?;:182kg4<3oji6sa1gg21?6=9rdj?94jag9~j4`b9?0;6fd3;>5<6sgk887km2:m5cc613:1=v`n358ff6=zf8ln=l4?:0yme62=mk>0qc?ie0`94?7|fh9?6hl:;|l2b`7d290:wco<4;ga2>{i9oo:h7>51zlb711vb4}ii:>1io64}o3ea4`=83;pbl=;:d`:?xh6nl8;6=4>{oc00?cei2we=kk=1;295~hf;=0nno5rn0df67<728qem>:5eca8yk7am;91<7?tn`17>`dc3td:jh<;:182kg4<3oii6sa1gg11?6=9rdj?94jbg9~j4`b:?0;6fd0;>5<6sgk887kl2:m5cc513:1=v`n358fg6=zf8ln>l4?:0yme62=mj>0qc?ie3`94?7|fh9?6hm:;|l2b`4d290:wco<4;g`2>{i9oo9h7>51zlb711vb4}ii:>1in64}o3ea7`=83;pbl=;:da:?xh6nl9;6=4>{oc00?cdi2we=kk<1;295~hf;=0noo5rn0df77<728qem>:5eba8yk7am:91<7?tn`17>`ec3td:jh=;:182kg4<3ohi6sa1gg01?6=9rdj?94jcg9~j4`b;?0;6950;3xjd532ln:7p`>fd1;>5<6sgk887kk2:m5cc413:1=v`n358f`6=zf8ln?l4?:0yme62=mm>0qc?ie2`94?7|fh9?6hj:;|l2b`5d290:wco<4;gg2>{i9oo8h7>51zlb711vb4}ii:>1ii64}o3ea6`=83;pbl=;:df:?xh6nl>;6=4>{oc00?cci2we=kk;1;295~hf;=0nho5rn0df07<728qem>:5eea8yk7am=91<7?tn`17>`bc3td:jh:;:182kg4<3ooi6sa1gg71?6=9rdj?94jdg9~j4`bfd6;>5<6sgk887kj2:m5cc313:1=v`n358fa6=zf8ln8l4?:0yme62=ml>0qc?ie5`94?7|fh9?6hk:;|l2b`2d290:wco<4;gf2>{i9oo?h7>51zlb711vb4}ii:>1ih64}o3ea1`=83;pbl=;:dg:?xh6nl?;6=4>{oc00?cbi2we=kk:1;295~hf;=0nio5rn0df17<728qem>:5eda8yk7am<91<7?tn`17>`cc3td:jh;;:182kg4<3oni6sa1gg61?6=9rdj?94jeg9~j4`b=?0;6fd7;>5<6sgk887ki2:m5cc213:1=v`n358fb6=zf8ln9l4?:0yme62=mo>0qc?ie4`94?7|fh9?6hh:;|l2b`3d290:wco<4;ge2>{i9oo>h7>51zlb711vb4}ii:>1ik64}o3ea0`=83;pbl=;:dd:?xh6nl<;6=4>{oc00?cai2we=kk91;295~hf;=0njo5rn0df27<728qem>:5ega8yk7am?91<7?tn`17>``c3td:jh8;:182kg4<3omi6sa1gg51?6=9rdj?94jfg9~j4`b>?0;6>uaa269b56fd4;>5<6sgk887h?2:m5cc113:1=v`n358e46=zf8ln:l4?:0yme62=n9>0qc?ie7`94?4|fh9?6k>:;|l2b`0d2909wco<4;d32>{i9oo=h7>55zlb711vb4}ii:>1j=64}o3ea3`=83>pbl=;:g2:?xh6nl=;6=4>{oc00?`7i2we=kk81;29g~hf;=0m:5f1a8yk7am>91<7?tn`17>c6c3td:jh9;:181kg4<3l;i6sa1gg41?6=9rdj?94i0g9~j4`b??0;6?uaa269b46fd5;>5<5sgk887h>2:m5cc013:1=v`n358e56=zf8ln;l4?:3yme62=n8>0qc?ie6`94?7|fh9?6k?:;|l2b`1d2909wco<4;d22>{i9oo51zlb711vb7}ii:>1j<64}o3ea2`=83;pbl=;:g3:?xh6nl2;6=4={oc00?`6i2we=kk71;295~hf;=0m=o5rn0df<7<72;qem>:5f0a8yk7am191<7?tn`17>c7c3td:jh6;:181kg4<3l:i6sa1gg;1?6=9rdj?94i1g9~j4`b0?0;6?uaa269b76fd:;>5<5sgk887h=2:m5cc?13:1=v`n358e66=zf8ln4l4?:3yme62=n;>0qc?ie9`94?7|fh9?6k<:;|l2b`>d2909wco<4;d12>{i9oo3h7>51zlb711vb7}ii:>1j?64}o3ea=`=83;pbl=;:g0:?xh6nl3;6=4={oc00?`5i2we=kk61;295~hf;=0m>o5rn0df=7<72;qem>:5f3a8yk7am091<7?tn`17>c4c3td:jh7;:181kg4<3l9i6sa1gg:1?6=9rdj?94i2g9~j4`b1?0;6?uaa269b66fd;;>5<5sgk887h<2:m5cc>13:1=v`n358e76=zf8ln5l4?:3yme62=n:>0qc?ie8`94?7|fh9?6k=:;|l2b`?d2909wco<4;d02>{i9oo2h7>51zlb711vb7}ii:>1j>64}o3ea<`=83;pbl=;:g1:?xh6nlk;6=4={oc00?`4i2we=kkn1;295~hf;=0m?o5rn0dfe7<72;qem>:5f2a8yk7amh91<7?tn`17>c5c3td:jho;:181kg4<3l8i6sa1ggb1?6=9rdj?94i3g9~j4`bi?0;6?uaa269b16:7p`>fdc;>5<5sgk887h;2:m5ccf13:1=v`n358e06=zf8lnml4?:3yme62=n=>0qc?ie``94?7|fh9?6k::;|l2b`gd2909wco<4;d72>{i9oojh7>51zlb711vb7}ii:>1j964}o3ead`=83;pbl=;:g6:?xh6nlh;6=4={oc00?`3i2we=kkm1;295~hf;=0m8o5rn0dff7<728qem>:5f5a8yk7amk91<7?tn`17>c2c3td:jhl;:182kg4<3l?i6sa1gga1?6=9rdj?94i4g9~j4`bj?0;6;uaa269b06fd`;>50qc?iec`94?4|fh9?6k;:;|l2b`dd290iwco<4;d62>{i9ooih7>56zlb711vb4}ii:>1j864}o3eag`=83;pbl=;:g7:?xh6nli;6=4>{oc00?`2i2we=kkl1;295~hf;=0m9o5rn0dfg7<728qem>:5f4a8yk7amj91<7?tn`17>c3c3td:jhm;:182kg4<3l>i6sa1gg`1?6=9rdj?94i5g9~j4`bk?0;6fda;>5<6sgk887h92:m5ccd13:1=v`n358e26=zf8lnol4?:0yme62=n?>0qc?ieb`94?7|fh9?6k8:;|l2b`ed290:wco<4;d52>{i9oohh7>51zlb71>1vb4}ii:>1j;64}o3eaf`=83;pbl=;:g4:?xh6nln;6=4>{oc00?`1i2we=kkk1;295~hf;=0m:o5rn0df`7<728qem>:5f7a8yk7amm91<7?tn`17>c0c3td:jhj;:182kg4<3l=i6sa1ggg1?6=9rdj?94i6g9~j4`bl?0;6fdf;>5<6sgk887h82:m5ccc13:1=v`n358e36=zf8lnhl4?:0yme62=n>>0qc?iee`94?7|fh9?6k9:;|l2b`bd290:wco<4;d42>{i9oooh7>51zlb711vb4}ii:>1j:64}o3eaa`=83;pbl=;:g5:?xh6nlo;6=4>{oc00?`0i2we=kkj1;295~hf;=0m;o5rn0dfa7<728qem>:5f6a8yk7aml91<7c1c3td:jhk;:181kg4<3lfdg;>5<5sgk887h72:m5ccb13:1>v`n358e<6=zf8lnil4?:3yme62=n1>0qc?ied`94?4|fh9?6k6:;|l2b`cd2909wco<4;d;2>{i9oonh7>52zlb711vb7}ii:>1j564}o3ea``=838pbl=;:g::?xh6nll;6=4={oc00?`?i2we=kki1;295~hf;=0m4o5rn0dfb7<72;qem>:5f9a8yk7amo91<7=tn`17>c>c3td:jhh;:182kg4<3l3i6sa1gge1?6=9rdj?94i8g9~j4`bn?0;6?uaa269b<6fdd;>5<4sgk887h62:m5cca13:1?v`n358e=6=zf8lnjl4?:3yme62=n0>0qc?ieg`94?4|fh9?6k7:;|l2b``d2909wco<4;d:2>{i9oomh7>52zlb711vb7}ii:>1j464}o3eac`=838pbl=;:g;:?xh6no:;6=4={oc00?`>i2we=kh?1;290~hf;=0m5o5rn0de47<728qem>:5f8a8yk7an991<7c?c3td:jk>;:181kg4<3l2i6sa1gd31?6=9rdj?94i9g9~j4`a8?0;6?uaa269bd6fg2;>5<5sgk887hn2:m5c`713:1>v`n358ee6=zf8lm0qc?if1`94?7|fh9?6ko:;|l2bc6d2903wco<4;db2>{i9ol;h7>52zlb711vb2}ii:>1jl64}o3eb5`=838pbl=;:gc:?xh6no;;6=4<{oc00?`fi2we=kh>1;296~hf;=0mmo5rn0de57<72;qem>:5f`a8yk7an891<7cgc3td:jk?;:180kg4<3lji6sa1gd21?6=9rdj?94iag9~j4`a9?0;6?uaa269bg6fg3;>5<6sgk887hm2:m5c`613:1>v`n358ef6=zf8lm=l4?:3yme62=nk>0qc?if0`94?4|fh9?6kl:;|l2bc7d2909wco<4;da2>{i9ol:h7>52zlb711vb7}ii:>1jo64}o3eb4`=838pbl=;:g`:?xh6no8;6=4={oc00?`ei2we=kh=1;297~hf;=0mno5rn0de67<728qem>:5fca8yk7an;91<7cdc3td:jk<;:180kg4<3lii6sa1gd11?6=:rdj?94ibg9~j4`a:?0;6?uaa269bf6fg0;>5<5sgk887hl2:m5c`513:1>v`n358eg6=zf8lm>l4?:3yme62=nj>0qc?if3`94?4|fh9?6km:;|l2bc4d2909wco<4;d`2>{i9ol9h7>52zlb711vb7}ii:>1jn64}o3eb7`=838pbl=;:ga:?xh6no9;6=4={oc00?`di2we=kh<1;296~hf;=0moo5rn0de77<72;qem>:5fba8yk7an:91<7cec3td:jk=;:182kg4<3lhi6sa1gd01?6=9rdj?94icg9~j4`a;?0;6950;3xjd532on:7p`>fg1;>5<6sgk887hk2:m5c`413:1=v`n358e`6=zf8lm?l4?:0yme62=nm>0qc?if2`94?7|fh9?6kj:;|l2bc5d290:wco<4;dg2>{i9ol8h7>51zlb711vb4}ii:>1ji64}o3eb6`=83;pbl=;:gf:?xh6no>;6=4>{oc00?`ci2we=kh;1;295~hf;=0mho5rn0de07<728qem>:5fea8yk7an=91<7?tn`17>cbc3td:jk:;:182kg4<3loi6sa1gd71?6=9rdj?94idg9~j4`afg6;>5<6sgk887hj2:m5c`313:1=v`n358ea6=zf8lm8l4?:0yme62=nl>0qc?if5`94?7|fh9?6kk:;|l2bc2d290:wco<4;df2>{i9ol?h7>51zlb711vb4}ii:>1jh64}o3eb1`=83;pbl=;:gg:?xh6no?;6=4>{oc00?`bi2we=kh:1;295~hf;=0mio5rn0de17<728qem>:5fda8yk7an<91<7?tn`17>ccc3td:jk;;:182kg4<3lni6sa1gd61?6=9rdj?94ieg9~j4`a=?0;6fg7;>5<6sgk887hi2:m5c`213:1=v`n358eb6=zf8lm9l4?:0yme62=no>0qc?if4`94?7|fh9?6kh:;|l2bc3d290:wco<4;de2>{i9ol>h7>51zlb711vb4}ii:>1jk64}o3eb0`=83;pbl=;:gd:?xh6no<;6=4>{oc00?`ai2we=kh91;295~hf;=0mjo5rn0de27<728qem>:5fga8yk7an?91<7:tn`17>c`c3td:jk8;:182kg4<3lmi6sa1gd51?6=:rdj?94ifg9~j4`a>?0;6:51121?xh6no<26=4>{oc00?778:1vb4}ii:>1==>;;|l2bc0e2909wco<4;3340=zf8lm:n4?:0yme62=99:=7p`>fg4g>5<3sgk887??069~j4`a>l0;6:5112b?xh6no=:6=4={oc00?778k1vb7}ii:>1==>l;|l2bc142909wco<4;334a=zf8lm;94?:7yme62=99:n7p`>fg56>5<6sgk887??0g9~j4`a??0;6:51131?xh6no=26=4>{oc00?779:1vb1}ii:>1==?;;|l2bc1e2909wco<4;3350=zf8lm;n4?:3yme62=99;=7p`>fg5g>5<2sgk887??169~j4`a?l0;6:5113b?xh6no2:6=4>{oc00?779k1vb4}ii:>1==?l;|l2bc>4290?wco<4;335a=zf8lm494?:0yme62=99;n7p`>fg:6>5<5sgk887??1g9~j4`a0?0;6<5rn0de<=<728qem>:51101?xh6no226=4={oc00?77::1vb4}ii:>1==<;;|l2bc>e2909wco<4;3360=zf8lm4n4?:3yme62=998=7p`>fg:g>5<4sgk887??269~j4`a0l0;645rn0de=5<72;qem>:5110b?xh6no3:6=4;{oc00?77:k1vb1}ii:>1==fg;6>5<5sgk887??2g9~j4`a1?0;69uaa26955573td:jk78:182kg4<3;;?<5rn0de==<728qem>:51111?xh6no326=49{oc00?77;:1vb1}ii:>1===;;|l2bc?e2909wco<4;3370=zf8lm5n4?:5yme62=999=7p`>fg;g>5<6sgk887??369~j4`a1l0;6:5111b?xh6nok:6=4>{oc00?77;k1vb4}ii:>1===l;|l2bcg42909wco<4;337a=zf8lmm94?:3yme62=999n7p`>fgc6>5<5sgk887??3g9~j4`ai?0;6?uaa26955273td:jko8:181kg4<3;;8<5rn0dee=<72;qem>:51161?xh6nok26=4>{oc00?77<:1vb7}ii:>1==:;;|l2bcge290:wco<4;3300=zf8lmmn4?:3yme62=99>=7p`>fgcg>5<6sgk887??469~j4`ail0;6?uaa269552?3td:jkoi:182kg4<3;;845rn0def5<72;qem>:5116b?xh6noh:6=4>{oc00?777}ii:>1==:l;|l2bcd4290:wco<4;330a=zf8lmn94?:3yme62=99>n7p`>fg`6>5<6sgk887??4g9~j4`aj?0;6?uaa26955373td:jkl8:182kg4<3;;9<5rn0def=<728qem>:51171?xh6noh26=4>{oc00?77=:1vb7}ii:>1==;;;|l2bcde290:wco<4;3310=zf8lmnn4?:3yme62=99?=7p`>fg`g>5<6sgk887??569~j4`ajl0;6?uaa269553?3td:jkli:182kg4<3;;945rn0deg5<72;qem>:5117b?xh6noi:6=4>{oc00?77=k1vb7}ii:>1==;l;|l2bce4290:wco<4;331a=zf8lmo94?:0yme62=99?n7p`>fga6>5<6sgk887??5g9~j4`ak?0;69uaa26955073td:jkm8:181kg4<3;;:<5rn0deg=<72;qem>:51141?xh6noi26=4>{oc00?77>:1vb4}ii:>1==8;;|l2bcee290:wco<4;3320=zf8lmon4?:2yme62=99<=7p`>fgag>5<6sgk887??669~j4`akl0;6:5114b?xh6non:6=4>{oc00?77>k1vb4}ii:>1==8l;|l2bcb4290:wco<4;332a=zf8lmh94?:0yme62=99fgf6>5<6sgk887??6g9~j4`al?0;6:51151?xh6non26=4>{oc00?77?:1vb7}ii:>1==9;;|l2bcbe290:wco<4;3330=zf8lmhn4?:3yme62=99==7p`>fgfg>5<6sgk887??769~j4`all0;6?uaa269551?3td:jkji:182kg4<3;;;45rn0dea5<72;qem>:5115b?xh6noo:6=4>{oc00?77?k1vb7}ii:>1==9l;|l2bcc4290:wco<4;333a=zf8lmi94?:3yme62=99=n7p`>fgg6>5<5sgk887??7g9~j4`am?0;6?uaa26955>73td:jkk8:181kg4<3;;4<5rn0dea=<72;qem>:511:1?xh6noo26=4={oc00?770:1vb7}ii:>1==6;;|l2bcce2909wco<4;33<0=zf8lmin4?:3yme62=992=7p`>fggg>5<5sgk887??869~j4`aml0;6?uaa26955>?3td:jkki:181kg4<3;;445rn0deb5<72;qem>:511:b?xh6nol:6=4={oc00?770k1vb7}ii:>1==6l;|l2bc`42909wco<4;33fgd6>5<5sgk887??8g9~j4`an?0;6?uaa26955?73td:jkh8:181kg4<3;;5<5rn0deb=<72;qem>:511;1?xh6nol26=4={oc00?771:1vb7}ii:>1==7;;|l2bc`e290:wco<4;33=0=zf8lmjn4?:0yme62=993=7p`>fgdg>5<6sgk887??969~j4`anl0;6:511;b?xh589::6=4>{oc00?771k1vb?>?0383>4}ii:>1==7l;|l14564290:wco<4;33=a=zf;:;<94?:0yme62=993n7p`=0126>5<6sgk887??9g9~j7678?0;68:181kg4<3;;m<5rn3234=<728qem>:511c1?xh589:26=4>{oc00?77i:1vb?>?0`83>4}ii:>1==o;;|l1456e290:wco<4;33e0=zf;:;5<6sgk887??a69~j7678l0;6i:182kg4<3;;m45rn32355<728qem>:511cb?xh589;:6=4>{oc00?77ik1vb?>?1383>4}ii:>1==ol;|l14574290:wco<4;33ea=zf;:;=94?:0yme62=99kn7p`=0136>5<6sgk887??ag9~j7679?0;6:511`1?xh589;26=4>{oc00?77j:1vb?>?1`83>4}ii:>1==l;;|l1457e290:wco<4;33f0=zf;:;=n4?:0yme62=99h=7p`=013g>5<6sgk887??b69~j7679l0;6:511`b?xh5898:6=4>{oc00?77jk1vb?>?2383>4}ii:>1==ll;|l14544290:wco<4;33fa=zf;:;>94?:0yme62=99hn7p`=0106>5<6sgk887??bg9~j767:?0;6:511a1?xh589826=4>{oc00?77k:1vb?>?2`83>4}ii:>1==m;;|l1454e290:wco<4;33g0=zf;:;>n4?:0yme62=99i=7p`=010g>5<6sgk887??c69~j767:l0;6:511ab?xh5899:6=4>{oc00?77kk1vb?>?3383>4}ii:>1==ml;|l14554290:wco<4;33ga=zf;:;?94?:0yme62=99in7p`=0116>5<6sgk887??cg9~j767;?0;6:511f1?xh589926=4>{oc00?77l:1vb?>?3`83>4}ii:>1==j;;|l1455e290:wco<4;33`0=zf;:;?n4?:0yme62=99n=7p`=011g>5<6sgk887??d69~j767;l0;6:511fb?xh589>:6=4>{oc00?77lk1vb?>?4383>4}ii:>1==jl;|l14524290?wco<4;33`a=zf;:;894?:0yme62=99nn7p`=0166>5<6sgk887??dg9~j767:511g1?xh589>26=4>{oc00?77m:1vb?>?4`83>4}ii:>1==k;;|l1452e290:wco<4;33a0=zf;:;8n4?:0yme62=99o=7p`=016g>5<6sgk887??e69~j767:511gb?xh589?:6=4>{oc00?77mk1vb?>?5383>7}ii:>1==kl;|l14534290:wco<4;33aa=zf;:;994?:3yme62=99on7p`=0176>5<6sgk887??eg9~j767=?0;6?uaa26955`73td9<=;8:182kg4<3;;j<5rn3231=<72;qem>:511d1?xh589?26=4>{oc00?77n:1vb?>?5`83>7}ii:>1==h;;|l1453e290:wco<4;33b0=zf;:;9n4?:0yme62=99l=7p`=017g>5<5sgk887??f69~j767=l0;6?uaa26955`?3td9<=;i:181kg4<3;;j45rn32325<72;qem>:511db?xh589<:6=4={oc00?77nk1vb?>?6383>7}ii:>1==hl;|l145042909wco<4;33ba=zf;:;:94?:3yme62=99ln7p`=0146>5<5sgk887??fg9~j767>?0;6?uaa26954673td9<=88:181kg4<3;:<<5rn3232=<72;qem>:51021?xh589<26=4={oc00?768:1vb?>?6`83>7}ii:>1=<>;;|l1450e2909wco<4;3240=zf;:;:n4?:3yme62=98:=7p`=014g>5<5sgk887?>069~j767>l0;6:5102b?xh589=:6=4>{oc00?768k1vb?>?7383>4}ii:>1=<>l;|l14514290:wco<4;324a=zf;:;;94?:0yme62=98:n7p`=0156>5<6sgk887?>0g9~j767??0;6:51031?xh589=26=4>{oc00?769:1vb?>?7`83>4}ii:>1=5<6sgk887?>169~j767?l0;6:5103b?xh5892:6=4>{oc00?769k1vb?>?8383>4}ii:>1=4290:wco<4;325a=zf;:;494?:0yme62=98;n7p`=01:6>5<6sgk887?>1g9~j7670?0;6<5rn323<=<728qem>:51001?xh589226=4>{oc00?76::1vb?>?8`83>4}ii:>1=<<;;|l145>e290:wco<4;3260=zf;:;4n4?:0yme62=988=7p`=01:g>5<6sgk887?>269~j7670l0;645rn323=5<728qem>:5100b?xh5893:6=4>{oc00?76:k1vb?>?9383>4}ii:>1=<5<6sgk887?>2g9~j7671?0;6:51011?xh589326=4>{oc00?76;:1vb?>?9`83>4}ii:>1=<=;;|l145?e290:wco<4;3270=zf;:;5n4?:0yme62=989=7p`=01;g>5<6sgk887?>369~j7671l0;6:5101b?xh589k:6=4>{oc00?76;k1vb?>?a383>4}ii:>1=<=l;|l145g4290:wco<4;327a=zf;:;m94?:0yme62=989n7p`=01c6>5<6sgk887?>3g9~j767i?0;6:51061?xh589k26=4>{oc00?76<:1vb?>?a`83>4}ii:>1=<:;;|l145ge290:wco<4;3200=zf;:;mn4?:0yme62=98>=7p`=01cg>5<6sgk887?>469~j767il0;6:5106b?xh589h:6=4>{oc00?76?b383>4}ii:>1=<:l;|l145d4290:wco<4;320a=zf;:;n94?:0yme62=98>n7p`=01`6>5<6sgk887?>4g9~j767j?0;6:51071?xh589h26=4>{oc00?76=:1vb?>?b`83>4}ii:>1=<;;;|l145de290:wco<4;3210=zf;:;nn4?:5yme62=98?=7p`=01`g>5<6sgk887?>569~j767jl0;6:5107b?xh589i:6=4={oc00?76=k1vb?>?c383>7}]1>:1=vlj:|lb71<69j6sa212`2?6=9rdj?94>1728yk478j=1<7?tn`17>47192we>=>l8;295~hf;=0:=;<4}o034f?=83;pbl=;:0357>{i:9:hm7>51zlb71<69?>0qc17:8yk478jl1<7?tn`17>47112we>=>k0;295~hf;=0:=;o4}o034a7=83;pbl=;:035f>{i:9:o>7>51zlb71<69?i0qc1628yk478m=1<7?tn`17>47092we>=>k8;295~hf;=0:=:<4}o034a?=83;pbl=;:0347>{i:9:om7>51zlb71<69>>0qc16:8yk478ml1<7?tn`17>47012we>=>j0;295~hf;=0:=:o4}o034`7=83;pbl=;:034f>{i:9:n>7>51zlb71<69>i0qc1928yk478l=1<7?tn`17>47?92we>=>j8;295~hf;=0:=5<4}o034`?=83;pbl=;:03;7>{i:9:nm7>51zlb71<691>0qc19:8yk478ll1<7?tn`17>47?12we>=>i0;295~hf;=0:=5o4}o034c7=83;pbl=;:03;f>{i:9:m>7>51zlb71<691i0qc1828yk478o=1<747>92we>=>i8;295~hf;=0:=4<4}o034c?=838pbl=;:03:7>{i:9:mm7>52zlb71<690>0qc18:8yk478ol1<747>12we>=??0;297~hf;=0:=4o4}o03557=83;pbl=;:03:f>{i:9;;>7>51zlb71<690i0qc1`28yk4799=1<7?tn`17>47f92we>=??8;295~hf;=0:=l<4}o0355?=83;pbl=;:03b7>{i:9;;m7>51zlb71<69h>0qc1`:8yk4799l1<7?tn`17>47f12we>=?>0;295~hf;=0:=lo4}o03547=83;pbl=;:03bf>{i:9;:>7>51zlb71<69hi0qc1c28yk4798=1<7?tn`17>47e92we>=?>8;295~hf;=0:=o<4}o0354?=83;pbl=;:03a7>{i:9;:m7>51zlb71<69k>0qc1c:8yk4798l1<7?tn`17>47e12we>=?=0;295~hf;=0:=oo4}o03577=83;pbl=;:03af>{i:9;9>7>53zlb71<69ki0qc1b28yk479;=1<7?tn`17>47d92we>=?=8;295~hf;=0:=n<4}o0357?=83;pbl=;:03`7>{i:9;9m7>51zlb71<69j>0qc1b:8yk479;l1<7?tn`17>47d12we>=?<0;295~hf;=0:=no4}o03567=83;pbl=;:03`f>{i:9;8>7>53zlb71<69ji0qc;50;0xjd5328;hj6sa21302?6=9rdj?94>1e28yk479:=1<747c92we>=?<8;290~hf;=0:=i<4}o0356?=83?pbl=;:03g7>{i:9;8m7>52zlb71<69m>0qcv`n35825a0j50;1xjd5328;o;6sa2130a?6=9rdj?94>1e:8yk479:l1<7?tn`17>47c12we>=?;0;295~hf;=0:=io4}o03517=83>pbl=;:03gf>{i:9;?>7>51zlb71<69mi0qc1d28yk479==1<7?<{oc00?76m81vb?>>4983>7}ii:>1=2909wco<4;32a6=zf;::8l4?:3yme62=98o?7p`=006a>5<1sgk887?>e49~j766:510g;?xh588>m6=4>{oc00?76m01vb?>>5183>4}ii:>1=5<6sgk887?>ee9~j766==0;6:510d3?xh588?<6=4>{oc00?76n81vb?>>5983>4}ii:>1=290:wco<4;32b6=zf;::9l4?:0yme62=98l?7p`=007a>5<6sgk887?>f49~j766=j0;6:510d;?xh588?m6=4>{oc00?76n01vb?>>6183>4}ii:>1=5<6sgk887?>fe9~j766>=0;6:51323?xh588<<6=4>{oc00?75881vb?>>6983>4}ii:>1=?>=;|l1440>2902wco<4;3146=zf;:::l4?:0yme62=9;:?7p`=004a>5j0;6:5132;?xh588{oc00?75801vb?>>7183>4}ii:>1=?>n;|l144162909wco<4;314g=zf;::;?4?:0yme62=9;:h7p`=0050>5<6sgk887?=0e9~j766?=0;6?uaa269576b3td9<<9::182kg4<3;9:51333?xh588=<6=4={oc00?75981vb?>>7983>4}ii:>1=??=;|l1441>290:wco<4;3156=zf;::;l4?:3yme62=9;;?7p`=005a>5<6sgk887?=149~j766?j0;6:5133;?xh588=m6=4>{oc00?75901vb?>>8183>7}ii:>1=??n;|l144>6290:wco<4;315g=zf;::4?4?:0yme62=9;;h7p`=00:0>5<5sgk887?=1e9~j7660=0;6:51303?xh5882<6=4>{oc00?75:81vb?>>8983>4}ii:>1=?<=;|l144>>2909wco<4;3166=zf;::4l4?:0yme62=9;8?7p`=00:a>5<6sgk887?=249~j7660j0;6?uaa26957413td9<<6k:182kg4<3;9>:5rn322<`<728qem>:5130;?xh5882m6=4={oc00?75:01vb?>>9183>4}ii:>1=?5<6sgk887?=2e9~j7661=0;6k5rn322=3<728qem>:51313?xh5883<6=4>{oc00?75;81vb?>>9983>7}ii:>1=?==;|l144?>2909wco<4;3176=zf;::5l4?:3yme62=9;9?7p`=00;a>5<5sgk887?=349~j7661j0;6:5131;?xh5883m6=4={oc00?75;01vb?>>a183>7}ii:>1=?=n;|l144g62909wco<4;317g=zf;::m?4?:3yme62=9;9h7p`=00c0>5<5sgk887?=3e9~j766i=0;6?uaa269575b3td9<:51363?xh588k<6=4={oc00?75<81vb?>>a983>7}ii:>1=?:=;|l144g>2909wco<4;3106=zf;::ml4?:3yme62=9;>?7p`=00ca>5<5sgk887?=449~j766ij0;6?uaa26957213td9<:5136;?xh588km6=4={oc00?75<01vb?>>b183>7}ii:>1=?:n;|l144d62909wco<4;310g=zf;::n?4?:3yme62=9;>h7p`=00`0>5<5sgk887?=4e9~j766j=0;6?uaa269572b3td9<:51373?xh588h<6=4={oc00?75=81vb?>>b983>7}ii:>1=?;=;|l144d>2909wco<4;3116=zf;::nl4?:3yme62=9;??7p`=00`a>5<5sgk887?=549~j766jj0;65uaa26957313td9<:5137;?xh588hm6=4>{oc00?75=01vb?>>c183>4}ii:>1=?;n;|l144e6290:wco<4;311g=zf;::o?4?:0yme62=9;?h7p`=00a0>5<6sgk887?=5e9~j766k=0;6:51343?xh588i<6=4>{oc00?75>81vb?>>c983>4}ii:>1=?8=;|l144e>290:wco<4;3126=zf;::ol4?:0yme62=9;5<6sgk887?=649~j766kj0;6:5134;?xh588im6=4={oc00?75>01vb?>>d183>0}ii:>1=?8n;|l144b6290:wco<4;312g=zf;::h?4?:2yme62=9;5<4sgk887?=6e9~j766l=0;68uaa269570b3td9<:51353?xh588n<6=4<{oc00?75?81vb?>>d983>7}ii:>1=?9=;|l144b>290:wco<4;3136=zf;::hl4?:0yme62=9;=?7p`=00fa>5<6sgk887?=749~j766lj0;6?uaa26957113td9<:5135;?xh588nm6=4>{oc00?75?01vb?>>e183>7}ii:>1=?9n;|l144c6290?wco<4;313g=zf;::i?4?:0yme62=9;=h7p`=00g0>5<5sgk887?=7e9~j766m=0;6:513:3?xh588o<6=4={oc00?75081vb?>>e983>4}ii:>1=?6=;|l144c>2909wco<4;31<6=zf;::il4?:0yme62=9;2?7p`=00ga>5<5sgk887?=849~j766mj0;613td9<:513:;?xh588om6=4={oc00?75001vb?>>f183>4}ii:>1=?6n;|l144`62909wco<4;315<5sgk887?=8e9~j766n=0;6b3td9<:513;3?xh588l<6=4={oc00?75181vb?>>f983>4}ii:>1=?7=;|l144`>2909wco<4;31=6=zf;::jl4?:0yme62=9;3?7p`=00da>5<5sgk887?=949~j766nj0;6:513;;?xh588lm6=4={oc00?75101vb?>=0183>4}ii:>1=?7n;|l147662909wco<4;31=g=zf;:95<6sgk887?=9e9~j7658=0;6::182kg4<3;95k5rn32143<728qem>:513c3?xh58;:<6=4>{oc00?75i81vb?>=0983>4}ii:>1=?o=;|l1476>290:wco<4;31e6=zf;:95<6sgk887?=a49~j7658j0;6k:182kg4<3;9m:5rn3214`<728qem>:513c;?xh58;:m6=4>{oc00?75i01vb?>=1183>4}ii:>1=?on;|l14776290:wco<4;31eg=zf;:9=?4?:0yme62=9;kh7p`=0330>5<6sgk887?=ae9~j7659=0;6:513`3?xh58;;<6=4>{oc00?75j81vb?>=1983>4}ii:>1=?l=;|l1477>290:wco<4;31f6=zf;:9=l4?:0yme62=9;h?7p`=033a>5<6sgk887?=b49~j7659j0;6:513`;?xh58;;m6=4>{oc00?75j01vb?>=2183>4}ii:>1=?ln;|l14746290:wco<4;31fg=zf;:9>?4?:0yme62=9;hh7p`=0300>5<6sgk887?=be9~j765:=0;6:513a3?xh58;8<6=4>{oc00?75k81vb?>=2983>4}ii:>1=?m=;|l1474>290:wco<4;31g6=zf;:9>l4?:0yme62=9;i?7p`=030a>5<6sgk887?=c49~j765:j0;6:513a;?xh58;8m6=4>{oc00?75k01vb?>=3183>7}ii:>1=?mn;|l147562909wco<4;31gg=zf;:9??4?:3yme62=9;ih7p`=0310>5<5sgk887?=ce9~j765;=0;6?uaa26957eb3td9:513f3?xh58;9<6=4={oc00?75l81vb?>=3983>7}ii:>1=?j=;|l1475>2909wco<4;31`6=zf;:9?l4?:3yme62=9;n?7p`=031a>5<5sgk887?=d49~j765;j0;6?uaa26957b13td9:513f;?xh58;9m6=4={oc00?75l01vb?>=4183>7}ii:>1=?jn;|l147262909wco<4;31`g=zf;:98?4?:3yme62=9;nh7p`=0360>5<5sgk887?=de9~j765<=0;6?uaa26957bb3td9:513g3?xh58;><6=4={oc00?75m81vb?>=4983>7}ii:>1=?k=;|l1472>2909wco<4;31a6=zf;:98l4?:3yme62=9;o?7p`=036a>5<5sgk887?=e49~j765:513g;?xh58;>m6=4={oc00?75m01vb?>=5183>7}ii:>1=?kn;|l147362909wco<4;31ag=zf;:99?4?:3yme62=9;oh7p`=0370>5<6sgk887?=ee9~j765==0;6?uaa26957cb3td9:513d3?xh58;?<6=4={oc00?75n81vb?>=5983>4}ii:>1=?h=;|l1473>290:wco<4;31b6=zf;:99l4?:3yme62=9;l?7p`=037a>5<6sgk887?=f49~j765=j0;6:513d;?xh58;?m6=4>{oc00?75n01vb?>=6183>7}ii:>1=?hn;|l14706290:wco<4;31bg=zf;:9:?4?:0yme62=9;lh7p`=0340>5<5sgk887?=fe9~j765>=0;6:51223?xh58;<<6=4>{oc00?74881vb?>=6983>4}ii:>1=>>=;|l1470>2909wco<4;3046=zf;:9:l4?:0yme62=9::?7p`=034a>5<6sgk887?<049~j765>j0;6?uaa26956613td9:5122;?xh58;=7183>4}ii:>1=>>n;|l14716290:wco<4;304g=zf;:9;?4?:3yme62=9::h7p`=0350>5<6sgk887?<0e9~j765?=0;6:51233?xh58;=<6=4>{oc00?74981vb?>=7983>7}ii:>1=>?=;|l1471>290:wco<4;3056=zf;:9;l4?:0yme62=9:;?7p`=035a>5<5sgk887?<149~j765?j0;6:5123;?xh58;=m6=4>{oc00?74901vb?>=8183>7}ii:>1=>?n;|l147>62909wco<4;305g=zf;:94?4?:0yme62=9:;h7p`=03:0>5<6sgk887?<1e9~j7650=0;6:51203?xh58;2<6=4>{oc00?74:81vb?>=8983>4}ii:>1=><=;|l147>>290:wco<4;3066=zf;:94l4?:4yme62=9:8?7p`=03:a>5<5sgk887?<249~j7650j0;6>uaa26956413td9:5rn321<`<72;qem>:5120;?xh58;2m6=4>{oc00?74:01vb?>=9183>4}ii:>1=>5<3sgk887?<2e9~j7651=0;6k5rn321=3<728qem>:51213?xh58;3<6=4<{oc00?74;81vb?>=9983>7}ii:>1=>==;|l147?>290:wco<4;3076=zf;:95l4?:3yme62=9:9?7p`=03;a>5<6sgk887?<349~j7651j0;6:5121;?xh58;3m6=4>{oc00?74;01vb?>=a183>4}ii:>1=>=n;|l147g6290:wco<4;307g=zf;:9m?4?:0yme62=9:9h7p`=03c0>5<5sgk887?<3e9~j765i=0;6?uaa269565b3td9:51263?xh58;k<6=4>{oc00?74<81vb?>=a983>4}ii:>1=>:=;|l147g>290:wco<4;3006=zf;:9ml4?:0yme62=9:>?7p`=03ca>5<6sgk887?<449~j765ij0;6:5126;?xh58;km6=4>{oc00?74<01vb?>=b183>4}ii:>1=>:n;|l147d6290:wco<4;300g=zf;:9n?4?:0yme62=9:>h7p`=03`0>5<6sgk887?<4e9~j765j=0;6:51273?xh58;h<6=4={oc00?74=81vb?>=b983>4}ii:>1=>;=;|l147d>290:wco<4;3016=zf;:9nl4?:0yme62=9:??7p`=03`a>5<5sgk887?<549~j765jj0;6:5127;?xh58;hm6=4={oc00?74=01vb?>=c183>4}ii:>1=>;n;|l147e6290:wco<4;301g=zf;:9o?4?:0yme62=9:?h7p`=03a0>5<6sgk887?<5e9~j765k=0;6:51243?xh58;i<6=4>{oc00?74>81vb?>=c983>4}ii:>1=>8=;|l147e>290:wco<4;3026=zf;:9ol4?:0yme62=9:5<6sgk887?<649~j765kj0;6:5124;?xh58;im6=4>{oc00?74>01vb?>=d183>4}ii:>1=>8n;|l147b62909wco<4;302g=zf;:9h?4?:0yme62=9:5<6sgk887?<6e9~j765l=0;6:51253?xh58;n<6=4>{oc00?74?81vb?>=d983>4}ii:>1=>9=;|l147b>290:wco<4;3036=zf;:9hl4?:0yme62=9:=?7p`=03fa>5<6sgk887?<749~j765lj0;6:5125;?xh58;nm6=4>{oc00?74?01vb?>=e183>4}ii:>1=>9n;|l147c6290:wco<4;303g=zf;:9i?4?:0yme62=9:=h7p`=03g0>5<6sgk887?<7e9~j765m=0;6:512:3?xh58;o<6=4>{oc00?74081vb?>=e983>4}ii:>1=>6=;|l147c>290:wco<4;30<6=zf;:9il4?:0yme62=9:2?7p`=03ga>5<6sgk887?<849~j765mj0;613td9:512:;?xh58;om6=4>{oc00?74001vb?>=f183>4}ii:>1=>6n;|l147`6290:wco<4;305<5sgk887?<8e9~j765n=0;6?uaa26956>b3td9:512;3?xh58;l<6=4={oc00?74181vb?>=f983>7}ii:>1=>7=;|l147`>2909wco<4;30=6=zf;:9jl4?:3yme62=9:3?7p`=03da>5<5sgk887?<949~j765nj0;6?uaa26956?13td9:512;;?xh58;lm6=4={oc00?74101vb?><0183>7}ii:>1=>7n;|l146662909wco<4;30=g=zf;:85<5sgk887?<9e9~j7648=0;6>::182kg4<3;85k5rn32043<728qem>:512c3?xh58::<6=4>{oc00?74i81vb?><0983>4}ii:>1=>o=;|l1466>290:wco<4;30e6=zf;:85<6sgk887?>k:182kg4<3;8m:5rn3204`<728qem>:512c;?xh58::m6=4>{oc00?74i01vb?><1183>4}ii:>1=>on;|l14676290:wco<4;30eg=zf;:8=?4?:0yme62=9:kh7p`=0230>5<6sgk887??::182kg4<3;8mk5rn32053<728qem>:512`3?xh58:;<6=4>{oc00?74j81vb?><1983>4}ii:>1=>l=;|l1467>290:wco<4;30f6=zf;:8=l4?:0yme62=9:h?7p`=023a>5<6sgk887??k:182kg4<3;8n:5rn3205`<728qem>:512`;?xh58:;m6=4>{oc00?74j01vb?><2183>4}ii:>1=>ln;|l14646290:wco<4;30fg=zf;:8>?4?:0yme62=9:hh7p`=0200>5<6sgk887?<::182kg4<3;8nk5rn32063<728qem>:512a3?xh58:8<6=4>{oc00?74k81vb?><2983>4}ii:>1=>m=;|l1464>290:wco<4;30g6=zf;:8>l4?:0yme62=9:i?7p`=020a>5<6sgk887?:512a;?xh58:8m6=4>{oc00?74k01vb?><3183>4}ii:>1=>mn;|l14656290:wco<4;30gg=zf;:8??4?:0yme62=9:ih7p`=0210>5<6sgk887?=::182kg4<3;8ok5rn32073<728qem>:512f3?xh58:9<6=4>{oc00?74l81vb?><3983>4}ii:>1=>j=;|l1465>290:wco<4;30`6=zf;:8?l4?:0yme62=9:n?7p`=021a>5<6sgk887?=k:182kg4<3;8h:5rn3207`<728qem>:512f;?xh58:9m6=4={oc00?74l01vb?><4183>7}ii:>1=>jn;|l146262909wco<4;30`g=zf;:88?4?:0yme62=9:nh7p`=0260>5<5sgk887?:::181kg4<3;8hk5rn32003<728qem>:512g3?xh58:><6=4={oc00?74m81vb?><4983>4}ii:>1=>k=;|l1462>2909wco<4;30a6=zf;:88l4?:0yme62=9:o?7p`=026a>5<5sgk887?:k:181kg4<3;8i:5rn3200`<728qem>:512g;?xh58:>m6=4={oc00?74m01vb?><5183>4}ii:>1=>kn;|l146362909wco<4;30ag=zf;:89?4?:0yme62=9:oh7p`=0270>5<5sgk887?;::181kg4<3;8ik5rn32013<728qem>:512d3?xh58:?<6=4={oc00?74n81vb?><5983>4}ii:>1=>h=;|l1463>2909wco<4;30b6=zf;:89l4?:0yme62=9:l?7p`=027a>5<5sgk887?;k:181kg4<3;8j:5rn3201`<728qem>:512d;?xh58:?m6=4={oc00?74n01vb?><6183>4}ii:>1=>hn;|l14606290:wco<4;30bg=zf;:8:?4?:0yme62=9:lh7p`=0240>5<6sgk887?=0;68::182kg4<3;8jk5rn32023<728qem>:51523?xh58:<<6=4>{oc00?73881vb?><6983>4}ii:>1=9>=;|l1460>290:wco<4;3746=zf;:8:l4?:0yme62=9=:?7p`=024a>5<6sgk887?;049~j764>j0;68k:182kg4<3;?<:5rn3202`<728qem>:5152;?xh58:{oc00?73801vb?><7183>4}ii:>1=9>n;|l14616290:wco<4;374g=zf;:8;?4?:0yme62=9=:h7p`=0250>5<6sgk887?;0e9~j764?=0;69::182kg4<3;?:51533?xh58:=<6=4>{oc00?73981vb?><7983>4}ii:>1=9?=;|l1461>290:wco<4;3756=zf;:8;l4?:0yme62=9=;?7p`=025a>5<6sgk887?;149~j764?j0;69k:182kg4<3;?=:5rn3203`<728qem>:5153;?xh58:=m6=4>{oc00?73901vb?><8183>4}ii:>1=9?n;|l146>62909wco<4;375g=zf;:84?4?:0yme62=9=;h7p`=02:0>5<5sgk887?;1e9~j7640=0;66::181kg4<3;?=k5rn320<3<728qem>:51503?xh58:2<6=4={oc00?73:81vb?><8983>4}ii:>1=9<=;|l146>>2909wco<4;3766=zf;:84l4?:0yme62=9=8?7p`=02:a>5<5sgk887?;249~j7640j0;66k:181kg4<3;?>:5rn320<`<728qem>:5150;?xh58:2m6=4={oc00?73:01vb?><9183>4}ii:>1=95<5sgk887?;2e9~j7641=0;67::181kg4<3;?>k5rn320=3<728qem>:51513?xh58:3<6=4={oc00?73;81vb?><9983>4}ii:>1=9==;|l146?>2909wco<4;3776=zf;:85l4?:0yme62=9=9?7p`=02;a>5<5sgk887?;349~j7641j0;67k:181kg4<3;??:5rn320=`<728qem>:5151;?xh58:3m6=4={oc00?73;01vb?>4}ii:>1=9=n;|l146g6290:wco<4;377g=zf;:8m?4?:0yme62=9=9h7p`=02c0>5<6sgk887?;3e9~j764i=0;6o::182kg4<3;??k5rn320e3<728qem>:51563?xh58:k<6=4>{oc00?73<81vb?>4}ii:>1=9:=;|l146g>290:wco<4;3706=zf;:8ml4?:0yme62=9=>?7p`=02ca>5<6sgk887?;449~j764ij0;6ok:182kg4<3;?8:5rn320e`<728qem>:5156;?xh58:km6=4>{oc00?73<01vb?>4}ii:>1=9:n;|l146d6290:wco<4;370g=zf;:8n?4?:0yme62=9=>h7p`=02`0>5<6sgk887?;4e9~j764j=0;6l::182kg4<3;?8k5rn320f3<728qem>:51573?xh58:h<6=4>{oc00?73=81vb?>4}ii:>1=9;=;|l146d>290:wco<4;3716=zf;:8nl4?:0yme62=9=??7p`=02`a>5<6sgk887?;549~j764jj0;6lk:182kg4<3;?9:5rn320f`<72;qem>:5157;?xh58:hm6=4>{oc00?73=01vb?>7}ii:>1=9;n;|l146e6290:wco<4;371g=zf;:8o?4?:3yme62=9=?h7p`=02a0>5<6sgk887?;5e9~j764k=0;6?uaa269513b3td9<>m::182kg4<3;?9k5rn320g3<72;qem>:51543?xh58:i<6=4>{oc00?73>81vb?>7}ii:>1=98=;|l146e>290:wco<4;3726=zf;:8ol4?:3yme62=9=5<6sgk887?;649~j764kj0;6?uaa26951013td9<>mk:181kg4<3;?::5rn320g`<728qem>:5154;?xh58:im6=4>{oc00?73>01vb?>4}ii:>1=98n;|l146b6290:wco<4;372g=zf;:8h?4?:0yme62=9=5<6sgk887?;6e9~j764l=0;6j::182kg4<3;?:k5rn320`3<728qem>:51553?xh58:n<6=4>{oc00?73?81vb?>4}ii:>1=99=;|l146b>290:wco<4;3736=zf;:8hl4?:0yme62=9==?7p`=02fa>5<6sgk887?;749~j764lj0;6jk:182kg4<3;?;:5rn320``<728qem>:5155;?xh58:nm6=4>{oc00?73?01vb?>4}ii:>1=99n;|l146c6290:wco<4;373g=zf;:8i?4?:0yme62=9==h7p`=02g0>5<6sgk887?;7e9~j764m=0;6k::182kg4<3;?;k5rn320a3<728qem>:515:3?xh58:o<6=4>{oc00?73081vb?>4}ii:>1=96=;|l146c>290:wco<4;37<6=zf;:8il4?:0yme62=9=2?7p`=02ga>5<6sgk887?;849~j764mj0;613td9<>kk:181kg4<3;?4:5rn320a`<728qem>:515:;?xh58:om6=4>{oc00?73001vb?>4}ii:>1=96n;|l146`6290:wco<4;375<6sgk887?;8e9~j764n=0;6b3td9<>h::182kg4<3;?4k5rn320b3<728qem>:515;3?xh58:l<6=4>{oc00?73181vb?>4}ii:>1=97=;|l146`>290:wco<4;37=6=zf;:8jl4?:0yme62=9=3?7p`=02da>5<6sgk887?;949~j764nj0;6hk:182kg4<3;?5:5rn320b`<728qem>:515;;?xh58:lm6=4>{oc00?73101vb?>;0183>4}ii:>1=97n;|l14166290:wco<4;37=g=zf;:?5<6sgk887?;9e9~j7638=0;6::182kg4<3;?5k5rn32743<728qem>:515c3?xh58=:<6=4>{oc00?73i81vb?>;0983>4}ii:>1=9o=;|l1416>290:wco<4;37e6=zf;:?5<6sgk887?;a49~j7638j0;6k:181kg4<3;?m:5rn3274`<728qem>:515c;?xh58=:m6=4>{oc00?73i01vb?>;1183>4}ii:>1=9on;|l14176290:wco<4;37eg=zf;:?=?4?:0yme62=9=kh7p`=0530>5<6sgk887?;ae9~j7639=0;6:515`3?xh58=;<6=4>{oc00?73j81vb?>;1983>4}ii:>1=9l=;|l1417>290:wco<4;37f6=zf;:?=l4?:0yme62=9=h?7p`=053a>5<6sgk887?;b49~j7639j0;6:515`;?xh58=;m6=4>{oc00?73j01vb?>;2183>4}ii:>1=9ln;|l14146290:wco<4;37fg=zf;:?>?4?:0yme62=9=hh7p`=0500>5<6sgk887?;be9~j763:=0;6:515a3?xh58=8<6=4>{oc00?73k81vb?>;2983>4}ii:>1=9m=;|l1414>290:wco<4;37g6=zf;:?>l4?:0yme62=9=i?7p`=050a>5<6sgk887?;c49~j763:j0;6:515a;?xh58=8m6=4>{oc00?73k01vb?>;3183>4}ii:>1=9mn;|l14156290:wco<4;37gg=zf;:???4?:0yme62=9=ih7p`=0510>5<6sgk887?;ce9~j763;=0;6:515f3?xh58=9<6=4>{oc00?73l81vb?>;3983>4}ii:>1=9j=;|l1415>290:wco<4;37`6=zf;:??l4?:0yme62=9=n?7p`=051a>5<6sgk887?;d49~j763;j0;6:515f;?xh58=9m6=4>{oc00?73l01vb?>;4183>4}ii:>1=9jn;|l14126290:wco<4;37`g=zf;:?8?4?:0yme62=9=nh7p`=0560>5<6sgk887?;de9~j763<=0;6:515g3?xh58=><6=4>{oc00?73m81vb?>;4983>4}ii:>1=9k=;|l1412>290:wco<4;37a6=zf;:?8l4?:0yme62=9=o?7p`=056a>5<6sgk887?;e49~j763:515g;?xh58=>m6=4>{oc00?73m01vb?>;5183>4}ii:>1=9kn;|l14136290:wco<4;37ag=zf;:?9?4?:0yme62=9=oh7p`=0570>5<6sgk887?;ee9~j763==0;6:515d3?xh58=?<6=4>{oc00?73n81vb?>;5983>4}ii:>1=9h=;|l1413>290:wco<4;37b6=zf;:?9l4?:0yme62=9=l?7p`=057a>5<6sgk887?;f49~j763=j0;6:515d;?xh58=?m6=4>{oc00?73n01vb?>;6183>4}ii:>1=9hn;|l14106290:wco<4;37bg=zf;:?:?4?:0yme62=9=lh7p`=0540>5<6sgk887?;fe9~j763>=0;6:51423?xh58=<<6=4>{oc00?72881vb?>;6983>4}ii:>1=8>=;|l1410>290:wco<4;3646=zf;:?:l4?:0yme62=9<:?7p`=054a>5<6sgk887?:049~j763>j0;6<:5rn3272`<728qem>:5142;?xh58={oc00?72801vb?>;7183>4}ii:>1=8>n;|l14116290:wco<4;364g=zf;:?;?4?:0yme62=9<:h7p`=0550>5<6sgk887?:0e9~j763?=0;6:51433?xh58==<6=4>{oc00?72981vb?>;7983>4}ii:>1=8?=;|l1411>290:wco<4;3656=zf;:?;l4?:0yme62=9<;?7p`=055a>5<6sgk887?:149~j763?j0;6=:5rn3273`<728qem>:5143;?xh58==m6=4>{oc00?72901vb?>;8183>6}ii:>1=8?n;|l141>6290:wco<4;365g=zf;:?4?4?:0yme62=9<;h7p`=05:0>5<6sgk887?:1e9~j7630=0;6=k5rn327<3<728qem>:51403?xh58=2<6=4>{oc00?72:81vb?>;8983>4}ii:>1=8<=;|l141>>2909wco<4;3666=zf;:?4l4?:3yme62=9<8?7p`=05:a>5<6sgk887?:249~j7630j0;6?uaa26950413td9<96k:182kg4<3;>>:5rn327<`<72;qem>:5140;?xh58=2m6=4>{oc00?72:01vb?>;9183>4}ii:>1=85<5sgk887?:2e9~j7631=0;6>k5rn327=3<728qem>:51413?xh58=3<6=4>{oc00?72;81vb?>;9983>4}ii:>1=8==;|l141?>290:wco<4;3676=zf;:?5l4?:0yme62=9<9?7p`=05;a>5<6sgk887?:349~j7631j0;6?:5rn327=`<728qem>:5141;?xh58=3m6=4>{oc00?72;01vb?>;a183>4}ii:>1=8=n;|l141g6290:wco<4;367g=zf;:?m?4?:0yme62=9<9h7p`=05c0>5<6sgk887?:3e9~j763i=0;6?k5rn327e3<728qem>:51463?xh58=k<6=4>{oc00?72<81vb?>;a983>4}ii:>1=8:=;|l141g>290:wco<4;3606=zf;:?ml4?:0yme62=9<>?7p`=05ca>5<6sgk887?:449~j763ij0;68:5rn327e`<72;qem>:5146;?xh58=km6=4={oc00?72<01vb?>;b183>7}ii:>1=8:n;|l141d62909wco<4;360g=zf;:?n?4?:3yme62=9<>h7p`=05`0>5<5sgk887?:4e9~j763j=0;6?uaa269502b3td9<9l::181kg4<3;>8k5rn327f3<72;qem>:51473?xh58=h<6=4={oc00?72=81vb?>;b983>7}ii:>1=8;=;|l141d>2909wco<4;3616=zf;:?nl4?:3yme62=95<5sgk887?:549~j763jj0;6?uaa26950313td9<9lk:181kg4<3;>9:5rn327f`<72;qem>:5147;?xh58=hm6=4={oc00?72=01vb?>;c183>7}ii:>1=8;n;|l141e62909wco<4;361g=zf;:?o?4?:3yme62=95<5sgk887?:5e9~j763k=0;6?uaa269503b3td9<9m::181kg4<3;>9k5rn327g3<72;qem>:51443?xh58=i<6=4>{oc00?72>81vb?>;c983>4}ii:>1=88=;|l141e>290:wco<4;3626=zf;:?ol4?:0yme62=9<5<6sgk887?:649~j763kj0;6?uaa26950013td9<9mk:182kg4<3;>::5rn327g`<728qem>:5144;?xh58=im6=4>{oc00?72>01vb?>;d183>4}ii:>1=88n;|l141b6290:wco<4;362g=zf;:?h?4?:0yme62=9<5<6sgk887?:6e9~j763l=0;6:k5rn327`3<728qem>:51453?xh58=n<6=4>{oc00?72?81vb?>;d983>4}ii:>1=89=;|l141b>290:wco<4;3636=zf;:?hl4?:0yme62=9<=?7p`=05fa>5<6sgk887?:749~j763lj0;6;:5rn327``<728qem>:5145;?xh58=nm6=4>{oc00?72?01vb?>;e183>4}ii:>1=89n;|l141c6290:wco<4;363g=zf;:?i?4?:0yme62=9<=h7p`=05g0>5<6sgk887?:7e9~j763m=0;6;k5rn327a3<72;qem>:514:3?xh58=o<6=4>{oc00?72081vb?>;e983>7}ii:>1=86=;|l141c>290:wco<4;36<6=zf;:?il4?:3yme62=9<2?7p`=05ga>5<6sgk887?:849~j763mj0;6?uaa26950>13td9<9kk:182kg4<3;>4:5rn327a`<72;qem>:514:;?xh58=om6=4>{oc00?72001vb?>;f183>7}ii:>1=86n;|l141`6290:wco<4;365<6sgk887?:8e9~j763n=0;6?uaa26950>b3td9<9h::182kg4<3;>4k5rn327b3<72;qem>:514;3?xh58=l<6=4>{oc00?72181vb?>;f983>7}ii:>1=87=;|l141`>290:wco<4;36=6=zf;:?jl4?:3yme62=9<3?7p`=05da>5<6sgk887?:949~j763nj0;6?uaa26950?13td9<9hk:182kg4<3;>5:5rn327b`<72;qem>:514;;?xh58=lm6=4>{oc00?72101vb?>:0183>7}ii:>1=87n;|l14066290:wco<4;36=g=zf;:>5<6sgk887?:9e9~j7628=0;6?uaa26950?b3td9<8>::182kg4<3;>5k5rn32643<72;qem>:514c3?xh58<:<6=4>{oc00?72i81vb?>:0983>7}ii:>1=8o=;|l1406>290:wco<4;36e6=zf;:>5<6sgk887?:a49~j7628j0;6?uaa26950g13td9<8>k:182kg4<3;>m:5rn3264`<72;qem>:514c;?xh58<:m6=4>{oc00?72i01vb?>:1183>7}ii:>1=8on;|l14076290:wco<4;36eg=zf;:>=?4?:3yme62=95<6sgk887?:ae9~j7629=0;6?uaa26950gb3td9<8?::182kg4<3;>mk5rn32653<72;qem>:514`3?xh58<;<6=4={oc00?72j81vb?>:1983>4}ii:>1=8l=;|l1407>2909wco<4;36f6=zf;:>=l4?:0yme62=95<5sgk887?:b49~j7629j0;6n:5rn3265`<728qem>:514`;?xh58<;m6=4={oc00?72j01vb?>:2183>4}ii:>1=8ln;|l140462909wco<4;36fg=zf;:>>?4?:0yme62=95<5sgk887?:be9~j762:=0;6nk5rn32663<728qem>:514a3?xh58<8<6=4={oc00?72k81vb?>:2983>4}ii:>1=8m=;|l1404>2909wco<4;36g6=zf;:>>l4?:0yme62=95<5sgk887?:c49~j762:j0;6o:5rn3266`<728qem>:514a;?xh58<8m6=4>{oc00?72k01vb?>:3183>4}ii:>1=8mn;|l14056290:wco<4;36gg=zf;:>??4?:0yme62=95<6sgk887?:ce9~j762;=0;6ok5rn32673<728qem>:514f3?xh58<9<6=4>{oc00?72l81vb?>:3983>4}ii:>1=8j=;|l1405>290:wco<4;36`6=zf;:>?l4?:0yme62=95<6sgk887?:d49~j762;j0;6h:5rn3267`<728qem>:514f;?xh58<9m6=4>{oc00?72l01vb?>:4183>4}ii:>1=8jn;|l14026290:wco<4;36`g=zf;:>8?4?:0yme62=95<6sgk887?:de9~j762<=0;6hk5rn32603<728qem>:514g3?xh58<><6=4>{oc00?72m81vb?>:4983>4}ii:>1=8k=;|l1402>290:wco<4;36a6=zf;:>8l4?:0yme62=95<6sgk887?:e49~j762i:5rn3260`<728qem>:514g;?xh58<>m6=4>{oc00?72m01vb?>:5183>4}ii:>1=8kn;|l14036290:wco<4;36ag=zf;:>9?4?:0yme62=95<6sgk887?:ee9~j762==0;6ik5rn32613<728qem>:514d3?xh58{oc00?72n81vb?>:5983>4}ii:>1=8h=;|l1403>290:wco<4;36b6=zf;:>9l4?:0yme62=95<6sgk887?:f49~j762=j0;6j:5rn3261`<72;qem>:514d;?xh58{oc00?72n01vb?>:6183>4}ii:>1=8hn;|l14006290:wco<4;36bg=zf;:>:?4?:0yme62=95<6sgk887?:fe9~j762>=0;6jk5rn32623<728qem>:51723?xh58<<<6=4>{oc00?71881vb?>:6983>4}ii:>1=;>=;|l1400>290:wco<4;3546=zf;:>:l4?:0yme62=9?:?7p`=044a>5<6sgk887?9049~j762>j0;6:5172;?xh58<{oc00?71801vb?>:7183>4}ii:>1=;>n;|l14016290:wco<4;354g=zf;:>;?4?:0yme62=9?:h7p`=0450>5<6sgk887?90e9~j762?=0;6:51733?xh58<=<6=4>{oc00?71981vb?>:7983>4}ii:>1=;?=;|l1401>290:wco<4;3556=zf;:>;l4?:0yme62=9?;?7p`=045a>5<6sgk887?9149~j762?j0;6:5173;?xh58<=m6=4>{oc00?71901vb?>:8183>4}ii:>1=;?n;|l140>6290:wco<4;355g=zf;:>4?4?:0yme62=9?;h7p`=04:0>5<6sgk887?91e9~j7620=0;6:51703?xh58<2<6=4>{oc00?71:81vb?>:8983>4}ii:>1=;<=;|l140>>290:wco<4;3566=zf;:>4l4?:0yme62=9?8?7p`=04:a>5<6sgk887?9249~j7620j0;6:5rn326<`<72;qem>:5170;?xh58<2m6=4>{oc00?71:01vb?>:9183>4}ii:>1=;5?4?:0yme62=9?8h7p`=04;0>5<6sgk887?92e9~j7621=0;6k5rn326=3<728qem>:51713?xh58<3<6=4>{oc00?71;81vb?>:9983>4}ii:>1=;==;|l140?>290:wco<4;3576=zf;:>5l4?:0yme62=9?9?7p`=04;a>5<6sgk887?9349~j7621j0;6:5171;?xh58<3m6=4>{oc00?71;01vb?>:a183>4}ii:>1=;=n;|l140g6290:wco<4;357g=zf;:>m?4?:0yme62=9?9h7p`=04c0>5<6sgk887?93e9~j762i=0;6:51763?xh58{oc00?71<81vb?>:a983>4}ii:>1=;:=;|l140g>290:wco<4;3506=zf;:>ml4?:0yme62=9?>?7p`=04ca>5<6sgk887?9449~j762ij0;6:5176;?xh58{oc00?71<01vb?>:b183>4}ii:>1=;:n;|l140d6290:wco<4;350g=zf;:>n?4?:0yme62=9?>h7p`=04`0>5<6sgk887?94e9~j762j=0;6:51773?xh58{oc00?71=81vb?>:b983>4}ii:>1=;;=;|l140d>290:wco<4;3516=zf;:>nl4?:0yme62=9???7p`=04`a>5<6sgk887?9549~j762jj0;6:5177;?xh58{oc00?71=01vb?>:c183>4}ii:>1=;;n;|l140e6290:wco<4;351g=zf;:>o?4?:0yme62=9??h7p`=04a0>5<6sgk887?95e9~j762k=0;6:51743?xh58{oc00?71>81vb?>:c983>4}ii:>1=;8=;|l140e>290:wco<4;3526=zf;:>ol4?:0yme62=9?5<6sgk887?9649~j762kj0;6:5174;?xh58{oc00?71>01vb?>:d183>4}ii:>1=;8n;|l140b6290:wco<4;352g=zf;:>h?4?:0yme62=9?5<6sgk887?96e9~j762l=0;6:51753?xh58{oc00?71?81vb?>:d983>7}ii:>1=;9=;|l140b>290:wco<4;3536=zf;:>hl4?:3yme62=9?=?7p`=04fa>5<6sgk887?9749~j762lj0;6?uaa26953113td9<8jk:182kg4<3;=;:5rn326``<72;qem>:5175;?xh58{oc00?71?01vb?>:e183>7}ii:>1=;9n;|l140c6290:wco<4;353g=zf;:>i?4?:3yme62=9?=h7p`=04g0>5<6sgk887?97e9~j762m=0;6?uaa269531b3td9<8k::181kg4<3;=;k5rn326a3<72;qem>:517:3?xh58{oc00?71081vb?>:e983>7}ii:>1=;6=;|l140c>290:wco<4;35<6=zf;:>il4?:3yme62=9?2?7p`=04ga>5<6sgk887?9849~j762mj0;6?uaa26953>13td9<8kk:182kg4<3;=4:5rn326a`<72;qem>:517:;?xh58{oc00?71001vb?>:f183>7}ii:>1=;6n;|l140`6290:wco<4;35j?4?:3yme62=9?2h7p`=04d0>5<6sgk887?98e9~j762n=0;6?uaa26953>b3td9<8h::181kg4<3;=4k5rn326b3<728qem>:517;3?xh58:f983>4}ii:>1=;7=;|l140`>2909wco<4;35=6=zf;:>jl4?:0yme62=9?3?7p`=04da>5<5sgk887?9949~j762nj0;6:517;;?xh5890183>4}ii:>1=;7n;|l143662909wco<4;35=g=zf;:=5<5sgk887?99e9~j7618=0;6?uaa26953?b3td9<;>::181kg4<3;=5k5rn32543<72:qem>:517c3?xh6>l2?6=4>{oc00?71i81vb?>90683>7}ii:>1=;o=;|l1436?2909wco<4;35e6=zf;:=<44?:2yme62=9?k?7p`=072b>5<5sgk887?9a49~j7618k0;6?uaa26953g13td9<;>l:182kg4<3;=m:5rn3254a<728qem>:517c;?xh58?:n6=4>{oc00?71i01vb?>90g83>4}ii:>1=;on;|l14377290:wco<4;35eg=zf;:==<4?:0yme62=9?kh7p`=0731>5<6sgk887?9ae9~j7619:0;6:517`3?xh58?;=6=4>{oc00?71j81vb?>91683>4}ii:>1=;l=;|l1437?290:wco<4;35f6=zf;:==44?:0yme62=9?h?7p`=073b>5<6sgk887?9b49~j7619k0;6:517`;?xh58?;n6=4={oc00?71j01vb?>91g83>1}ii:>1=;ln;|l14347290?wco<4;35fg=zf;:=><4?:2yme62=9?hh7p`=0701>5<4sgk887?9be9~j761::0;6?uaa26953db3td9<;<;:182kg4<3;=nk5rn32560<728qem>:517a3?xh58?8=6=4>{oc00?71k81vb?>92683>4}ii:>1=;m=;|l1434?2908wco<4;35g6=zf;:=>44?:5yme62=9?i?7p`=070b>5:517a;?xh58?8n6=4;{oc00?71k01vb?>92g83>6}ii:>1=;mn;|l143572908wco<4;35gg=zf;:=?<4?:2yme62=9?ih7p`=0711>5<4sgk887?9ce9~j761;:0;6:517f3?xh58?9=6=4={oc00?71l81vb?>93683>4}ii:>1=;j=;|l1435?2909wco<4;35`6=zf;:=?44?:5yme62=9?n?7p`=071b>5<5sgk887?9d49~j761;k0;6:uaa26953b13td9<;=l:185kg4<3;=h:5rn3257a<72:517f;?xh58?9n6=4={oc00?71l01vb?>93g83>2}ii:>1=;jn;|l143272909wco<4;35`g=zf;:=8<4?:2yme62=9?nh7p`=0761>5<3sgk887?9de9~j4140h0;6:517g3?xh58?>>6=4;{oc00?71m81vb?>94783>4}ii:>1=;k=;|l14320290:wco<4;35a6=zf;:=854?:2yme62=9?o?7p`=076:>5<4sgk887?9e49~j761:517g;?xh58?>o6=4<{oc00?71m01vb<9;1`83>4}ii:>1=;kn;|l1432b2908wco<4;35ag=zf;:=8k4?:5yme62=9?oh7p`=0773>5<5sgk887?9ee9~j761=80;6>uaa26953cb3td9<;;=:18;kg4<3;=ik5rn32516<72:qem>:517d3?xh58???6=4:{oc00?71n81vb?>95483>3}ii:>1=;h=;|l14331290=wco<4;35b6=zf;:=9:4?:7yme62=9?l?7p`=077;>5<2sgk887?9f49~j761=00;69uaa26953`13td9<;;n:185kg4<3;=j:5rn3251g<72:qem>:517d;?xh58??h6=49{oc00?71n01vb?>95e83>1}ii:>1=;hn;|l1433b2908wco<4;35bg=zf;:=9k4?:2yme62=9?lh7p`=0743>5<4sgk887?9fe9~j761>80;6>uaa26953`b3td9<;8=:180kg4<3;=jk5rn32526<72;qem>:51623?xh58?96483>6}ii:>1=:>=;|l143012908wco<4;3446=zf;:=::4?:3yme62=9>:?7p`=074;>5<5sgk887?8049~j761>00;6:5162;?xh58?{oc00?70801vb?>96e83>7}ii:>1=:>n;|l1430b2909wco<4;344g=zf;:=:k4?:3yme62=9>:h7p`=0753>5<5sgk887?80e9~j761?80;69uaa269526b3td9<;9=:1827~hf;=0:;=h4}o03225=838pbl=;:0524>{i:9<<87>51zlb71<6?8;0qc2:m6500>3:1>v`n35823457078yk47>>31<7?tn`17>416>2we>=88a;295~hf;=0:;<94}o0322d=83;pbl=;:052<>{i:9<52zlb71<6?830qca:m6500m3:18v`n358234d70f8yk47>1;1<7;tn`17>416m2we>=872;296~hf;=0:;{i:9<387>51zlb71<6?;;0qc3:1?v`n35823757378yk47>131<7=tn`17>415>2we>=87a;2956}ii:>1=:<8;|l143>e2908wco<4;346==zf;:=4n4?:2yme62=9>827p`=07:g>5<4sgk887?82`9~j7610l0;6>uaa269524e3td9<;6i:180kg4<3;<>n5rn325=5<72:qem>:5160g?xh58?3:6=4<{oc00?70:l1vb?>99383>6}ii:>1=:9:7p`=07;6>5<4sgk887?8339~j7611?0;6?uaa26952543td9<;78:181kg4<3;:51616?xh58?326=4={oc00?70;?1vb?>99`83>7}ii:>1=:=8;|l143?e290:wco<4;347==zf;:=5n4?:0yme62=9>927p`=07;g>5<6sgk887?83`9~j7611l0;6>uaa269525e3td9<;7i:180kg4<3;:5161g?xh58?k:6=4;{oc00?70;l1vb?>9a383>3}ii:>1=:=i;|l143g4290?wco<4;3405=zf;:=m94?:3yme62=9>>:7p`=07c6>5<3sgk887?8439~j761i?0;6:51666?xh58?k26=4>{oc00?709a`83>4}ii:>1=::8;|l143ge290:wco<4;340==zf;:=mn4?:0yme62=9>>27p`=07cg>5<6sgk887?84`9~j761il0;6?uaa269522e3td9<;oi:181kg4<3;<8n5rn325f5<720qem>:5166g?xh58?h:6=4={oc00?709b383>7}ii:>1=::i;|l143d42909wco<4;3415=zf;:=n94?:3yme62=9>?:7p`=07`6>5<5sgk887?8539~j761j?0;6?uaa26952343td9<;l8:181kg4<3;<995rn325f=<72;qem>:51676?xh58?h26=4={oc00?70=?1vb?>9b`83>7}ii:>1=:;8;|l143de2909wco<4;341==zf;:=nn4?:3yme62=9>?27p`=07`g>5<5sgk887?85`9~j761jl0;6?uaa269523e3td9<;li:181kg4<3;<9n5rn325g5<72;qem>:5167g?xh58?i:6=4>{oc00?70=l1vb?>9c383>7}ii:>1=:;i;|l143e42909wco<4;3425=zf;:=o94?:0yme62=9><:7p`=07a6>5<5sgk887?8639~j761k?0;6?uaa26952043td9<;m8:181kg4<3;<:95rn325g=<72;qem>:51646?xh58?i26=4={oc00?70>?1vb?>9c`83>7}ii:>1=:88;|l143ee2909wco<4;342==zf;:=on4?:3yme62=9><27p`=07ag>5<6sgk887?86`9~j761kl0;6?uaa269520e3td9<;mi:181kg4<3;<:n5rn325`5<72;qem>:5164g?xh58?n:6=4={oc00?70>l1vb?>9d383>7}ii:>1=:8i;|l143b42909wco<4;3435=zf;:=h94?:3yme62=9>=:7p`=07f6>5<5sgk887?8739~j761l?0;6?uaa26952143td9<;j8:181kg4<3;<;95rn325`=<72;qem>:51656?xh58?n26=4={oc00?70??1vb?>9d`83>7}ii:>1=:98;|l143be2909wco<4;343==zf;:=hn4?:3yme62=9>=27p`=07fg>5<6sgk887?87`9~j761ll0;6:5165g?xh58?o:6=4>{oc00?70?l1vb?>9e383>4}ii:>1=:9i;|l143c4290:wco<4;34<5=zf;:=i94?:0yme62=9>2:7p`=07g6>5<6sgk887?8839~j761m?0;643td9<;k8:182kg4<3;<495rn325a=<728qem>:516:6?xh58?o26=4>{oc00?700?1vb?>9e`83>1}ii:>1=:68;|l143ce290:wco<4;34<==zf;:=in4?:0yme62=9>227p`=07gg>5<5sgk887?88`9~j761ml0;6e3td9<;ki:182kg4<3;<4n5rn325b5<72:516:g?xh58?l:6=4;{oc00?700l1vb?>9f383>0}ii:>1=:6i;|l143`4290>wco<4;34=5=zf;:=j94?:5yme62=9>3:7p`=07d6>5<4sgk887?8939~j761n?0;69uaa26952?43td9<;h8:180kg4<3;<595rn325b=<72:qem>:516;6?xh58?l26=4<{oc00?701?1vb?>9f`83>6}ii:>1=:78;|l143`e2908wco<4;34===zf;:=jn4?:0yme62=9>327p`=07dg>5<6sgk887?89`9~j761nl0;6:516;g?xh58>::6=4={oc00?701l1vb?>80383>77|fh9?6<96f:m6517;3:1>v`n35823d67`08yk47?9<1<741f;2we>=9?7;290~hf;=0:;l:4}o0335>=838pbl=;:05b1>{i:9=;57>51zlb71<6?h<0qcv`n35823d>7`c8yk47?9o1<741fj2we>=9?f;296~hf;=0:;lm4}o03346=838pbl=;:05b`>{i:9=:=7>52zlb71<6?ho0qcv`n35823g67c08yk47?8<1<741e;2we>=9>7;296~hf;=0:;o:4}o0334>=838pbl=;:05a1>{i:9=:57>52zlb71<6?k<0qcv`n35823g>7cc8yk47?8o1<741ej2we>=9>f;296~hf;=0:;om4}o03376=838pbl=;:05a`>{i:9=9=7>52zlb71<6?ko0qcv`n35823f67b08yk47?;<1<741d;2we>=9=7;296~hf;=0:;n:4}o0337>=838pbl=;:05`1>{i:9=957>52zlb71<6?j<0qcv`n35823f>7bc8yk47?;o1<741dj2we>=9=f;296~hf;=0:;nm4}o03366=838pbl=;:05``>{i:9=8=7>52zlb71<6?jo0qcv`n35823a6:50;0xjd5328=o=6sa21501?6=:rdj?94>7e08yk47?:<1<741c;2we>=9<7;296~hf;=0:;i:4}o0336>=838pbl=;:05g1>{i:9=857>52zlb71<6?m<0qcv`n35823a>m50;0xjd5328=o56sa2150`?6=:rdj?94>7ec8yk47?:o1<741cj2we>=9{i:9=?=7>52zlb71<6?mo0qcv`n35823`67d08yk47?=<1<7?tn`17>41b;2we>=9;7;295~hf;=0:;h:4}o0331>=83;pbl=;:05f1>{i:9=?57>51zlb71<6?l<0qc7dc8yk47?=o1<7:tn`17>41bj2we>=9;f;295~hf;=0:;hm4}o03306=83;pbl=;:05f`>{i:9=>=7>51zlb71<6?lo0qc7g08yk47?<<1<7?tn`17>41a;2we>=9:7;295~hf;=0:;k:4}o0330>=838pbl=;:05e1>{i:9=>57>51zlb71<6?o<0qc7gc8yk47?41aj2we>=9:f;295~hf;=0:;km4}o03336=83;pbl=;:05e`>{i:9===7>51zlb71<6?oo0qc8108yk47??<1<7?tn`17>4>7;2we>=997;295~hf;=0:4=:4}o0333>=83;pbl=;:0:31>{i:9==57>51zlb71<609<0qc81c8yk47??o1<7?tn`17>4>7j2we>=99f;295~hf;=0:4=m4}o03326=838pbl=;:0:3`>{i:9=<=7>51zlb71<609o0qc8008yk47?><1<74>6;2we>=987;295~hf;=0:4<:4}o0332>=83;pbl=;:0:21>{i:9=<57>51zlb71<608<0qc7:m6510j3:1=v`n3582<4>80c8yk47?>o1<7?tn`17>4>6j2we>=98f;295~hf;=0:4{i:9=3=7>51zlb71<608o0qcf:m651?;3:1=v`n3582<768308yk47?1<1<7?tn`17>4>5;2we>=977;295~hf;=0:4?:4}o033=>=838pbl=;:0:11>{i:9=357>51zlb71<60;<0qc83c8yk47?1o1<74>5j2we>=97f;295~hf;=0:4?m4}o033<6=83;pbl=;:0:1`>{i:9=2=7>51zlb71<60;o0qc;3:1=v`n3582<668208yk47?0<1<7?tn`17>4>4;2we>=967;295~hf;=0:4>:4}o033<>=83;pbl=;:0:01>{i:9=257>51zlb71<60:<0qcj3:1=v`n3582<6>82c8yk47?0o1<7?tn`17>4>4j2we>=96f;295~hf;=0:4>m4}o033d6=838pbl=;:0:0`>{i:9=j=7>51zlb71<60:o0qc8508yk47?h<1<74>3;2we>=9n7;295~hf;=0:49:4}o033d>=83;pbl=;:0:71>{i:9=j57>51zlb71<60=<0qc85c8yk47?ho1<7?tn`17>4>3j2we>=9nf;295~hf;=0:49m4}o033g6=83;pbl=;:0:7`>{i:9=i=7>51zlb71<60=o0qc=6sa215a1?6=9rdj?94>8408yk47?k<1<7?tn`17>4>2;2we>=9m7;295~hf;=0:48:4}o033g>=838pbl=;:0:61>{i:9=i57>51zlb71<60<<0qc56sa215a`?6=9rdj?94>84c8yk47?ko1<74>2j2we>=9mf;295~hf;=0:48m4}o033f6=83;pbl=;:0:6`>{i:9=h=7>51zlb71<608708yk47?j<1<7?tn`17>4>1;2we>=9l7;295~hf;=0:4;:4}o033f>=83;pbl=;:0:51>{i:9=h57>51zlb71<60?<0qc87c8yk47?jo1<7?tn`17>4>1j2we>=9lf;295~hf;=0:4;m4}o033a6=838pbl=;:0:5`>{i:9=o=7>51zlb71<60?o0qc8608yk47?m<1<74>0;2we>=9k7;295~hf;=0:4::4}o033a>=83;pbl=;:0:41>{i:9=o57>51zlb71<60><0qc86c8yk47?mo1<7?tn`17>4>0j2we>=9kf;295~hf;=0:4:m4}o033`6=83;pbl=;:0:4`>{i:9=n=7>51zlb71<60>o0qc8908yk47?l<1<7?tn`17>4>?;2we>=9j7;295~hf;=0:45:4}o033`>=838pbl=;:0:;1>{i:9=n57>51zlb71<601<0qc89c8yk47?lo1<74>?j2we>=9jf;295~hf;=0:45m4}o033c6=83;pbl=;:0:;`>{i:9=m=7>51zlb71<601o0qc8808yk47?o<1<7?tn`17>4>>;2we>=9i7;295~hf;=0:44:4}o033c>=83;pbl=;:0::1>{i:9=m57>51zlb71<600<0qc88c8yk47?oo1<7?tn`17>4>>j2we>=9if;295~hf;=0:44m4}o03<56=838pbl=;:0::`>{i:92;=7>51zlb71<600o0qc7;3:1=v`n35828`08yk4709<1<74>f;2we>=6?7;295~hf;=0:4l:4}o03<5>=83;pbl=;:0:b1>{i:92;57>51zlb71<60h<0qc7j3:1=v`n35828`c8yk4709o1<7?tn`17>4>fj2we>=6?f;295~hf;=0:4lm4}o03<46=838pbl=;:0:b`>{i:92:=7>51zlb71<60ho0qc6;3:1?v`n35828c08yk4708<1<7=tn`17>4>e;2we>=6>7;290~hf;=0:4o:4}o03<4>=839pbl=;:0:a1>{i:92:57>53zlb71<60k<0qc6j3:18v`n35828cc8yk4708o1<7=tn`17>4>ej2we>=6>f;290~hf;=0:4om4}o03<76=83>pbl=;:0:a`>{i:929=7>53zlb71<60ko0qc5;3:1=v`n35828b08yk470;<1<74>d;2we>=6=7;292~hf;=0:4n:4}o03<7>=83{i:92957>56zlb71<60j<0qc5j3:1?v`n35828bc8yk470;o1<7otn`17>4>dj2we>=6=f;293~hf;=0:4nm4}o03<66=838pbl=;:0:``>{i:928=7>52zlb71<60jo0qc4;3:18v`n3582:50;1xjd53282o=6sa21:01?6=:rdj?94>8e08yk470:<1<74>c;2we>=6<7;295~hf;=0:4i:4}o03<6>=83;pbl=;:0:g1>{i:92857>52zlb71<60m<0qc4j3:1=v`n3582m50;3xjd53282o56sa21:0`?6=9rdj?94>8ec8yk470:o1<7?tn`17>4>cj2we>=6{i:92?=7>51zlb71<60mo0qc3;3:1?v`n3582<`68d08yk470=<1<74>b;2we>=6;7;295~hf;=0:4h:4}o03<1>=838pbl=;:0:f1>{i:92?57>51zlb71<60l<0qc3j3:1;v`n3582<`>8dc8yk470=o1<74>bj2we>=6;f;297~hf;=0:4hm4}o03<06=83?pbl=;:0:f`>{i:92>=7>58zlb71<60lo0qc2;3:1?v`n35828g08yk470<<1<77tn`17>4>a;2we=5l71;295~hf;=0:4k:4}o3;f=4=83;pbl=;:0:e1>{i:92>;7>59zlb71<60o<0qc213:1=v`n35828gc8yk4704>aj2we>=6:d;297~hf;=0:4km4}o03<0c=83;pbl=;:0:e`>{i:92>j7>51zlb71<60oo0qc193:1>v`n3582=569108yk470?>1<7?tn`17>4?7;2we>=695;295~hf;=0:5=:4}o03<30=83;pbl=;:0;31>{i:92=;7>51zlb71<619<0qc113:1=v`n3582=5>91c8yk470?i1<7?tn`17>4?7j2we>=69d;295~hf;=0:5=m4}o03<3c=83;pbl=;:0;3`>{i:92=j7>51zlb71<619o0qc093:1=v`n3582=469008yk470>>1<7?tn`17>4?6;2we>=685;295~hf;=0:5<:4}o03<20=83;pbl=;:0;21>{i:92<;7>51zlb71<618<0qc7:m65>013:1=v`n3582=4>90c8yk470>i1<7?tn`17>4?6j2we>=68d;295~hf;=0:5{i:9252zlb71<618o0qcf:m65>?93:1=v`n3582=769308yk4701>1<7?tn`17>4?5;2we>=675;295~hf;=0:5?:4}o03<=0=83;pbl=;:0;11>{i:923;7>51zlb71<61;<0qc?13:1>v`n3582=7>93c8yk4701i1<7?tn`17>4?5j2we>=67d;295~hf;=0:5?m4}o03<=c=83;pbl=;:0;1`>{i:923j7>51zlb71<61;o0qc>93:1;v`n3582=669208yk7?l>91<7?tn`17>4?4;2we>=664;297~hf;=0:5>:4}o3;`=d=83;pbl=;:0;01>{i:92297>53zlb71<61:<0qc?7d`194?7|fh9?6<7<7:m65>>>3:1?v`n3582=6>92c8yk470021<7=tn`17>4?4j2we=5jk8;295~hf;=0:5>m4}o03<{i:922m7>53zlb71<61:o0qc>k3:1?v`n3582=16rdj?94>9508yk4700l1<78tn`17>4?3;2we>=6n0;292~hf;=0:59:4}o03{i:92j>7>55zlb71<61=<0qcf<3:19v`n3582=1>95c8yk470h=1<7=tn`17>4?3j2we>=6n8;296~hf;=0:59m4}o03{i:92jm7>52zlb71<61=o0qcfk3:1=v`n3582=06=6sa21:ba?6=9rdj?94>9408yk470hl1<7?tn`17>4?2;2we>=6m0;296~hf;=0:58:4}o03{i:92i>7>51zlb71<61<<0qce<3:1;v`n3582=0>56sa21:a2?6=1rdj?94>94c8yk470k=1<7=tn`17>4?2j2we>=6m8;297~hf;=0:58m4}o03{i:92im7>51zlb71<61ek3:1=v`n3582=369708yk470kl1<7??{oc00?7>>:1vb?>7c183>`}ii:>1=48;;|l14=e6290:9758yk470j>1<7=tn`17>4?102we>=6l5;297~hf;=0:5;74}o03{i:92h;7>53zlb71<61?h0qcd13:1=v`n3582=3b97d8yk470ji1<7?tn`17>4?082we>=6ld;295~hf;=0:5:?4}o03{i:92hj7>51zlb71<61>90qcc93:1=v`n3582=239658yk470m>1<7?tn`17>4?002we>=6k5;295~hf;=0:5:74}o03{i:92o;7>51zlb71<61>h0qcc13:1=v`n3582=2b96d8yk470mi1<7?tn`17>4??82we>=6kd;295~hf;=0:55?4}o03{i:92oj7>51zlb71<61190qcb93:1>v`n3582==39958yk470l>1<7=tn`17>4??02we>=6j5;296~hf;=0:5574}o03<`0=838pbl=;:0;;e>{i90;>=7>51zlb71<611h0qcb03:1=v`n3582==b99d8yk470lh1<74?>82we>=6jc;296~hf;=0:54?4}o03<`b=83;pbl=;:0;:6>{i:92ni7>51zlb71<61090qca83:1=v`n3582=<39858yk470o91<7?tn`17>4?>02we>=6i4;290~hf;=0:5474}o03{i:92m:7>52zlb71<610h0qca03:1?v`n3582=98d8yk7>9k:1<7?tn`17>4?f82we>=6ib;296~hf;=0:5l?4}o03{i:92mh7>51zlb71<61h90qcan3:1?v`n3582=d350;1xjd53283j:6sa21;35?6=9rdj?94>9`58yk471981<7?tn`17>4?f02we>=7?3;295~hf;=0:5l74}o03=52=838pbl=;:0;be>{i:93;97>52zlb71<61hh0qc9`d8yk7>:8k1<7?tn`17>4?e82we>=7?a;295~hf;=0:5o?4}o03=5d=83;pbl=;:0;a6>{i:93;o7>53zlb71<61k90qc9c58yk4718;1<74?e02we>=7>2;296~hf;=0:5o74}o03=45=83;pbl=;:0;ae>{i:93:87>52zlb71<61kh0qc3:1>v`n3582=gb9cd8yk471831<7?tn`17>4?d82we>=7>a;296~hf;=0:5n?4}o03=4d=838pbl=;:0;`6>{i:93:o7>52zlb71<61j90qcv`n3582=f39b58yk471;;1<7?tn`17>4?d02we>=7=2;296~hf;=0:5n74}o03=75=83;pbl=;:0;`e>{i:93987>53zlb71<61jh0qc3:1:v`n3582=fb9bd8yk7>:jn1<7?tn`17>4?c82we>=7=9;297~hf;=0:5i?4}o03=7g=839pbl=;:0;g6>{i:939n7>52zlb71<61m90qc?631594?7|fh9?6<7k4:m65?5k3:1=v`n3582=a39e58yk471;l1<74?c02we>=7<0;297~hf;=0:5i74}o03=67=838pbl=;:0;ge>{i:938>7>52zlb71<61mh0qc?633g94?7|fh9?6<7kc:m65?4;3:1=v`n3582=ab:50;3xjd53283oi6sa21;01?6=;rdj?94>9ed8yk471:<1<7=tn`17>4?b82we>=7<7;297~hf;=0:5h?4}o03=6>=83;pbl=;:0;f6>{i:93857>51zlb71<61l90qcv`n3582=`3m50;0xjd53283n:6sa21;0`?6=:rdj?94>9d58yk471:o1<7=tn`17>4?b02we>=7{i909247>51zlb71<61lh0qc9dd8yk471=?1<7=tn`17>4?a82we>=7;6;295~hf;=0:5k?4}o03=11=83;pbl=;:0;e6>{i:93?47>52zlb71<61o90qc9g58yk471=n1<74?a02we>=7;e;297~hf;=0:5k74}o03=1`=839pbl=;:0;ee>{i:93><7>53zlb71<61oh0qc9gd8yk4714g782we>=7:6;297~hf;=0:m=?4}o03=01=839pbl=;:0c36>{i:93>47>53zlb71<6i990qca158yk4714g702we>=7:e;297~hf;=0:m=74}o03=0`=839pbl=;:0c3e>{i:93=<7>51zlb71<6i9h0qcv`n3582e5ba1d8yk471??1<7=tn`17>4g682we>=796;295~hf;=0:m{i:93=47>52zlb71<6i890qc4:m65?1i3:1?v`n3582e43a058yk471?n1<74g602we>=79e;295~hf;=0:m<74}o03=3`=838pbl=;:0c2e>{i:93<<7>52zlb71<6i8h0qcc:m65?0:3:18v`n3582e4ba0d8yk471>?1<79tn`17>4g582we>=786;29g~hf;=0:m??4}o03=21=838pbl=;:0c16>{i:93<47>52zlb71<6i;90qcv`n3582e73a358yk471>n1<7ltn`17>4g502we>=78e;296~hf;=0:m?74}o03=2`=83>pbl=;:0c1e>{i:933<7>52zlb71<6i;h0qch5rn32:<1<72;qem>:51`0e?xh5802>6=4={oc00?7f;91vb?>68783>7}ii:>1=l=>;|l14<>02909wco<4;3b77=zf;:2454?:3yme62=9h987p`=08::>5<4sgk887?n359~j76>0h0;6>uaa2695d523td9<46m:181kg4<3;j?;5rn0;56g<728qem>:51`14?xh5802h6=4<{oc00?7f;11vb?>68e83>6}ii:>1=l=6;|l14<>b2909wco<4;3b7d=zf83=:84?:0yme62=9h9i7p`=08:e>5<6sgk887?n3b9~j76>190;6>uaa2695d5c3td9<47>:180kg4<3;j?h5rn32:=7<72:qem>:51`1e?xh580386=4={oc00?7f<91vb?>69583>6}ii:>1=l:>;|l1487p`=08;4>5<5sgk887?n459~j76>110;6>uaa2695d223td9<476:180kg4<3;j8;5rn32:=d<72:qem>:51`64?xh5803i6=4={oc00?7f<11vb?>69b83>4}ii:>1=l:6;|l14i7p`=08;e>5<6sgk887?n4b9~j76>i90;6>uaa2695d2c3td9<4o>:180kg4<3;j8h5rn32:e7<72:qem>:51`6e?xh580k86=4={oc00?7f=91vb<786283>4}ii:>1=l;>;|l145<6sgk887?n559~j76>i>0;6:51`74?xh580kj6=4<{oc00?7f=11vb?>6ac83>6}ii:>1=l;6;|l145<6sgk887?n5b9~j76>il0;6:51`7e?xh580h:6=4>{oc00?7f>91vb?>6b383>6}ii:>1=l8>;|l145<5sgk887?n659~j4??810;6:51`44?xh580h36=4>{oc00?7f>11vb?>6b883>4}ii:>1=l86;|l145<4sgk887?n6b9~j76>jm0;6?uaa2695d0c3td9<4lj:182kg4<3;j:h5rn32:fc<728qem>:51`4e?xh580i;6=4>{oc00?7f?91vb?>6c083>4}ii:>1=l9>;|l144?:2yme62=9h=87p`=08a7>5<4sgk887?n759~j76>k<0;6?uaa2695d123td9<4m9:182kg4<3;j;;5rn32:g2<728qem>:51`54?xh580i36=4>{oc00?7f?11vb?>6c883>4}ii:>1=l96;|l145<5sgk887?n7b9~j76>km0;6?uaa2695d1c3td9<4mj:182kg4<3;j;h5rn32:gc<728qem>:51`5e?xh580n;6=4>{oc00?7f091vb?>6d083>4}ii:>1=l6>;|l144?:2yme62=9h287p`=08f7>5<4sgk887?n859~j76>l<0;6?uaa2695d>23td9<4j9:182kg4<3;j4;5rn32:`2<728qem>:51`:4?xh580n36=4>{oc00?7f011vb?>6d883>4}ii:>1=l66;|l145<4sgk887?n8b9~j76>lm0;6?uaa2695d>c3td9<4jj:182kg4<3;j4h5rn32:`c<728qem>:51`:e?xh580o;6=4:{oc00?7f191vb?>6e083>4}ii:>1=l7>;|l144?:0yme62=9h387p`=08g7>5<4sgk887?n959~j76>m<0;6?uaa2695d?23td9<4k9:181kg4<3;j5;5rn32:a2<728qem>:51`;4?xh580o36=4>{oc00?7f111vb?>6e883>4}ii:>1=l76;|l145<6sgk887?n9b9~j76>mm0;6>uaa2695d?c3td9<4kj:180kg4<3;j5h5rn32:ac<72;qem>:51`;e?xh580l;6=4>{oc00?7fi91vb?>6f083>4}ii:>1=lo>;|l14<`5290:wco<4;3be7=zf;:2j>4?:0yme62=9hk87p`=08d7>5<6sgk887?na59~j76>n<0;6:51`c4?xh580l36=4<{oc00?7fi11vb?>6f883>7}ii:>1=lo6;|l14<`f290:wco<4;3bed=zf;:2jo4?:0yme62=9hki7p`=08d`>5<6sgk887?nab9~j76>nm0;6:51`ce?xh58h:;6=4<{oc00?7fj91vb?>n0083>6}ii:>1=ll>;|l14d652909wco<4;3bf7=zf;:j<>4?:0yme62=9hh87p`=0`27>5<6sgk887?nb59~j76f8<0;69:182kg4<3;jn;5rn32b42<728qem>:51``4?xh58h:36=4={oc00?7fj11vb?>n0883>6}ii:>1=ll6;|l14d6f2908wco<4;3bfd=zf;:j5<6sgk887?nbb9~j76f8m0;6j:182kg4<3;jnh5rn32b4c<72;qem>:51``e?xh58h;;6=4<{oc00?7fk91vb?>n1083>6}ii:>1=lm>;|l14d752909wco<4;3bg7=zf;:j=>4?:0yme62=9hi87p`=0`37>5<6sgk887?nc59~j76f9<0;6:51`a4?xh58h;36=4<{oc00?7fk11vb?>n1883>7}ii:>1=lm6;|l14d7f290:wco<4;3bgd=zf;:j=o4?:3yme62=9hii7p`=0`3`>5<5sgk887?ncb9~j76f9m0;6>uaa2695dec3td9:51`ae?xh58h8;6=4;{oc00?7fl91vb?>n2083>1}ii:>1=lj>;|l14d45290?wco<4;3b`7=zf;:j>>4?:0yme62=9hn87p`=0`07>5:51`f4?xh58h836=4>{oc00?7fl11vb?>n2883>7}ii:>1=lj6;|l14d4f2908wco<4;3b`d=zf;:j>o4?:2yme62=9hni7p`=0`0`>5<5sgk887?ndb9~j76f:m0;6:51`fe?xh58h9;6=4={oc00?7fm91vb?>n3083>6}ii:>1=lk>;|l14d552908wco<4;3ba7=zf;:j?>4?:3yme62=9ho87p`=0`17>5<6sgk887?ne59~j76f;<0;6:51`g4?xh58h936=4<{oc00?7fm11vb?>n3883>6}ii:>1=lk6;|l14d5f2909wco<4;3bad=zf;:j?o4?:0yme62=9hoi7p`=0`1`>5<6sgk887?neb9~j76f;m0;6:51`ge?xh58h>;6=4<{oc00?7fn91vb?>n4083>7}ii:>1=lh>;|l14d25290:wco<4;3bb7=zf;:j8>4?:0yme62=9hl87p`=0`67>5<6sgk887?nf59~j76f<<0;6?uaa2695d`23td9:51`d4?xh58h>36=4={oc00?7fn11vb?>n4883>4}ii:>1=lh6;|l14d2f290:wco<4;3bbd=zf;:j8o4?:0yme62=9hli7p`=0`6`>5<5sgk887?nfb9~j76fuaa2695d`c3td9:51`de?xh58h?;6=4>{oc00?7e891vb?>n5083>4}ii:>1=o>>;|l14d35290:wco<4;3a47=zf;:j9>4?:0yme62=9k:87p`=0`77>5<6sgk887?m059~j76f=<0;6:51c24?xh58h?36=4>{oc00?7e811vb?>n5883>4}ii:>1=o>6;|l14d3f2909wco<4;3a4d=zf;:j9o4?:3yme62=9k:i7p`=0`7`>5<5sgk887?m0b9~j76f=m0;6>uaa2695g6c3td9:51c2e?xh61m??6=4>{oc00?7e991vb?>n6183>4}ii:>1=o?>;|l14d06290:wco<4;3a57=zf;:j:?4?:2yme62=9k;87p`=0`40>5<4sgk887?m159~j76f>=0;6;uaa2695g723td9:51c34?xh58h<<6=4>{oc00?7e911vb?>n6983>4}ii:>1=o?6;|l14d0>290:wco<4;3a5d=zf;:j:l4?:0yme62=9k;i7p`=0`4a>5<6sgk887?m1b9~j76f>j0;6<:tn`17>4d6l2we>=o9d;29e~hf;=0:n{i:9k=j7>52zlb71<6j;:0qcv`n3582f74b368yk47i>>1<7?tn`17>4d5=2we>=o85;295~hf;=0:n?84}o03e20=83;pbl=;:0`13>{i:9k<;7>51zlb71<6j;20qcb3a8yk47i>i1<7?tn`17>4d5l2we>=o8d;295~hf;=0:n?k4}o03e2c=83;pbl=;:0`1b>{i:9k51zlb71<6j::0qcb268yk47i1>1<7?tn`17>4d4=2we>=o75;295~hf;=0:n>84}o03e=0=83;pbl=;:0`03>{i:9k3;7>51zlb71<6j:20qcb2a8yk47i1i1<7?tn`17>4d4l2we>=o7d;295~hf;=0:n>k4}o03e=c=83;pbl=;:0`0b>{i:9k3j7>52zlb71<6j=:0qc93:1>v`n3582f14b568yk47i0>1<7?tn`17>4d3=2we>=o65;296~hf;=0:n984}o03e<0=83;pbl=;:0`73>{i:9k2;7>52zlb71<6j=20qc13:1>v`n3582f1gb5a8yk47i0i1<7?tn`17>4d3l2we>=o6d;296~hf;=0:n9k4}o03e{i:9k2j7>52zlb71<6j<:0qcv`n3582f04?6sa21cb7?6=:rdj?94>b468yk47ih>1<7?tn`17>4d2=2we>=on5;296~hf;=0:n884}o03ed0=83;pbl=;:0`63>{i:9kj;7>52zlb71<6j<20qcv`n3582f0gn6sa21cbf?6=:rdj?94>b4a8yk47ihi1<7?tn`17>4d2l2we>=ond;296~hf;=0:n8k4}o03edc=83;pbl=;:0`6b>{i:9kjj7>52zlb71<6j?:0qcv`n3582f34b768yk47ik>1<7?tn`17>4d1=2we>=om5;296~hf;=0:n;84}o03eg0=83;pbl=;:0`53>{i:9ki;7>52zlb71<6j?20qcv`n3582f3gb7a8yk47iki1<7?tn`17>4d1l2we>=omd;296~hf;=0:n;k4}o03egc=83;pbl=;:0`5b>{i:9kij7>52zlb71<6j>:0qcv`n3582f24b668yk47ij>1<7?tn`17>4d0=2we>=ol5;296~hf;=0:n:84}o03ef0=83;pbl=;:0`43>{i:9kh;7>52zlb71<6j>20qcv`n3582f2gb6a8yk47iji1<7?tn`17>4d0l2we>=old;296~hf;=0:n:k4}o03efc=83;pbl=;:0`4b>{i:9khj7>52zlb71<6j1:0qcb968yk47im91<7?tn`17>4d?=2we>=ok4;295~hf;=0:n584}o03ea3=83;pbl=;:0`;3>{i:9ko:7>51zlb71<6j120qcb9a8yk47imh1<7?tn`17>4d?l2we>=okc;295~hf;=0:n5k4}o03eab=83;pbl=;:0`;b>{i:9koi7>51zlb71<6j0:0qcb868yk47il91<7?tn`17>4d>=2we>=oj4;296~hf;=0:n484}o03e`3=83;pbl=;:0`:3>{i:9kn:7>52zlb71<6j020qcb8a8yk47ilh1<7?tn`17>4d>l2we>=ojc;295~hf;=0:n4k4}o03e`b=83;pbl=;:0`:b>{i:9kni7>51zlb71<6jh:0qcb`68yk47io91<74df=2we=l8j9;295~hf;=0:nl84}o03ec2=838pbl=;:0`b3>{i:9km97>51zlb71<6jh20qcb`a8yk47iok1<74dfl2we>=oib;297~hf;=0:nlk4}o03ece=838pbl=;:0`bb>{i:9kmh7>53zlb71<6jk:0qc50;3xjd5328hi?6sa21`35?6=9rdj?94>bc68yk47j981<74de=2we>=l?3;296~hf;=0:no84}o03f52=838pbl=;:0`a3>{i:9h;97>52zlb71<6jk20qcbca8yk47j9k1<7?tn`17>4del2we>=l?b;295~hf;=0:nok4}o03f5e=83;pbl=;:0`ab>{i:9h;h7>51zlb71<6jj:0qc50;3xjd5328hh?6sa21`25?6=9rdj?94>bb68yk47j881<7?tn`17>4dd=2we>=l>3;295~hf;=0:nn84}o03f42=83;pbl=;:0``3>{i:9h:97>51zlb71<6jj20qcbba8yk47j8k1<7?tn`17>4ddl2we>=l>b;295~hf;=0:nnk4}o03f4e=83;pbl=;:0``b>{i:9h:h7>51zlb71<6jm:0qc50;3xjd5328ho?6sa21`15?6=;rdj?94>be68yk7fk921<7?tn`17>4dc=2we>=l=2;296~hf;=0:ni84}o03f75=838pbl=;:0`g3>{i:9h987>52zlb71<6jm20qc3:1>v`n3582fagbea8yk47j;31<74dcl2we>=l=a;296~hf;=0:nik4}o03f7d=838pbl=;:0`gb>{i:9h9o7>52zlb71<6jl:0qcv`n3582f`4bd68yk47j:;1<7=tn`17>4db=2we>=l<2;296~hf;=0:nh84}o03f65=839pbl=;:0`f3>{i:9h887>51zlb71<6jl20qc3:1>v`n3582f`g950;3xjd5328hnn6sa21`0bda8yk47j:31<74dbl2we>=l{i:9h8o7>52zlb71<6jo:0qcv`n3582fc4h50;3xjd5328hm?6sa21`74?6==rdj?94>bg68yk47j=;1<7=tn`17>4da=2we>=l;2;297~hf;=0:nk84}o03f15=839pbl=;:0`e3>{i:9h?87>53zlb71<6jo20qc3:1>v`n3582fcgbga8yk7e;>91<7?tn`17>4dal2we=o=84;295~hf;=0:nkk4}o03f1?=83;pbl=;:0`eb>{i:9h?m7>51zlb71<6k9:0qcc168yk47j=l1<7?tn`17>4e7=2we>=l:0;295~hf;=0:o=84}o03f07=83;pbl=;:0a33>{i:9h>>7>51zlb71<6k920qcc1a8yk47j<=1<7?tn`17>4e7l2we>=l:8;295~hf;=0:o=k4}o03f0?=83;pbl=;:0a3b>{i:9h>m7>51zlb71<6k8:0qc1:m65d2k3:1=v`n3582g44c068yk47j4e6=2we>=l90;295~hf;=0:o<84}o03f37=83;pbl=;:0a23>{i:9h=>7>51zlb71<6k820qc9:m65d1<3:1=v`n3582g4gc0a8yk47j?=1<7?tn`17>4e6l2we>=l98;295~hf;=0:o{i:9h=m7>51zlb71<6k;:0qcc368yk47j?l1<7?tn`17>4e5=2we>=l80;295~hf;=0:o?84}o03f27=83;pbl=;:0a13>{i:9h<>7>51zlb71<6k;20qcc3a8yk47j>=1<7?tn`17>4e5l2we>=l88;295~hf;=0:o?k4}o03f2?=83;pbl=;:0a1b>{i:9h51zlb71<6k::0qcc268yk47j>l1<7?tn`17>4e4=2we>=l70;295~hf;=0:o>84}o03f=7=83;pbl=;:0a03>{i:9h3>7>51zlb71<6k:20qcc2a8yk47j1=1<7?tn`17>4e4l2we>=l78;295~hf;=0:o>k4}o03f=?=83;pbl=;:0a0b>{i:9h3m7>51zlb71<6k=:0qcc568yk47j1l1<7?tn`17>4e3=2we>=l60;295~hf;=0:o984}o03f<7=839pbl=;:0a73>{i:9h2>7>52zlb71<6k=20qc?m4c694?7|fh9?6c5a8yk47j0>1<74e3l2we>=l65;296~hf;=0:o9k4}o03f<0=838pbl=;:0a7b>{i:9h2;7>53zlb71<6k<:0qc13:1>v`n3582g04?6sa21`:f?6=:rdj?94>c468yk47j0i1<74e2=2we>=l6d;296~hf;=0:o884}o03f{i:9h2j7>52zlb71<6k<20qc?m67f94?7|fh9?650;0xjd5328i>n6sa1c4:3?6=9rdj?94>c4a8yk7e>k81<7?tn`17>4e2l2we=o8ld;295~hf;=0:o8k4}o3a2`>=83;pbl=;:0a6b>{i:9hj=7>52zlb71<6k?:0qc?m70794?7|fh9?63:1=v`n3582g34c768yk7e?=>1<7?tn`17>4e1=2we=o9;5;295~hf;=0:o;84}o3a310=83;pbl=;:0a53>{i:9hj?7>52zlb71<6k?20qc?m76194?7|fh9?6c7a8yk7e?h81<7?tn`17>4e1l2we=o9n3;295~hf;=0:o;k4}o3a3d2=83;pbl=;:0a5b>{i:9hj97>52zlb71<6k>:0qc?m7e394?7|fh9?6c668yk7e?o>1<7?tn`17>4e0=2we=o6?f;295~hf;=0:o:84}o03fd1=83;pbl=;:0a43>{i:9hj47>51zlb71<6k>20qc:51b5`?xh58kko6=4<{oc00?7d?m1vb?>mad83>7}ii:>1=n9j;|l14gga2908wco<4;3`3c=zf;:in=4?:3yme62=9j2;7p`=0c`2>5<4sgk887?l809~j76ej;0;6?uaa2695f>53td95rn32af1<72:qem>:51b:7?xh58kh>6=4={oc00?7d0<1vb?>mb783>6}ii:>1=n69;|l14gd02909wco<4;3`<2=zf;:in54?:2yme62=9j237p`=0c`:>5<5sgk887?l889~j76ejh0;6>uaa2695f>f3td9:51b:`?xh58kho6=4={oc00?7d0m1vb?>mbd83>6}ii:>1=n6j;|l14gda2909wco<4;3`5<5sgk887?l909~j76ek;0;6>uaa2695f?53td95rn32ag1<72:qem>:51b;7?xh58ki>6=4={oc00?7d1<1vb?>mc783>6}ii:>1=n79;|l14ge02909wco<4;3`=2=zf;:io54?:2yme62=9j337p`=0ca:>5<5sgk887?l989~j76ekh0;6>uaa2695f?f3td9:51b;`?xh58kio6=4={oc00?7d1m1vb?>mcd83>6}ii:>1=n7j;|l14gea2909wco<4;3`=c=zf;:ih=4?:2yme62=9jk;7p`=0cf2>5<5sgk887?la09~j76el;0;6>uaa2695fg53td95rn32a`1<72:qem>:51bc7?xh58kn>6=4={oc00?7di<1vb?>md783>6}ii:>1=no9;|l14gb02909wco<4;3`e2=zf;:ih54?:2yme62=9jk37p`=0cf:>5<5sgk887?la89~j76elh0;6luaa2695fgf3td9:51bc`?xh58kno6=4<{oc00?7dim1vb?>mdd83>7}ii:>1=noj;|l14gba2909wco<4;3`ec=zf;:ii=4?:2yme62=9jh;7p`=0cg2>5<5sgk887?lb09~j76em;0;6?uaa2695fd53td95rn32aa1<72;qem>:51b`7?xh58ko>6=4={oc00?7dj<1vb?>me783>6}ii:>1=nl9;|l14gc02909wco<4;3`f2=zf;:ii54?:0yme62=9jh37p`=0cg:>5<5sgk887?lb89~j76emh0;6:51b``?xh58koo6=4={oc00?7djm1vb?>med83>6}ii:>1=nlj;|l14gca2909wco<4;3`fc=zf;:ij=4?:2yme62=9ji;7p`=0cd2>5<4sgk887?lc09~j76en;0;6?uaa2695fe53td95rn32ab1<72;qem>:51ba7?xh58kl>6=4<{oc00?7dk<1vb?>mf783>7}ii:>1=nm9;|l14g`02908wco<4;3`g2=zf;:ij54?:3yme62=9ji37p`=0cd:>5<4sgk887?lc89~j76enh0;6?uaa2695fef3td9:51ba`?xh58klo6=4<{oc00?7dkm1vb?>mfd83>4}ii:>1=nmj;|l14g`a290:wco<4;3`gc=zf;:h<=4?:0yme62=9jn;7p`=0b22>5<6sgk887?ld09~j76d8;0;6<:182kg4<3;hh>5rn32`41<728qem>:51bf7?xh58j:>6=4>{oc00?7dl<1vb?>l0783>4}ii:>1=nj9;|l14f60290:wco<4;3``2=zf;:h<54?:0yme62=9jn37p`=0b2:>5<6sgk887?ld89~j76d8h0;6m:182kg4<3;hho5rn32`4f<728qem>:51bf`?xh58j:o6=4>{oc00?7dlm1vb?>l0d83>4}ii:>1=njj;|l14f6a290:wco<4;3``c=zf;:h==4?:0yme62=9jo;7p`=0b32>5<6sgk887?le09~j76d9;0;65rn32`51<728qem>:51bg7?xh58j;>6=4>{oc00?7dm<1vb?>l1783>4}ii:>1=nk9;|l14f70290:wco<4;3`a2=zf;:h=54?:0yme62=9jo37p`=0b3:>5<6sgk887?le89~j76d9h0;6:51bg`?xh58j;o6=4>{oc00?7dmm1vb?>l1d83>4}ii:>1=nkj;|l14f7a290:wco<4;3`ac=zf;:h>=4?:0yme62=9jl;7p`=0b02>5<5sgk887?lf09~j76d:;0;65rn32`61<72;qem>:51bd7?xh58j8>6=4>{oc00?7dn<1vb?>l2783>4}ii:>1=nh9;|l14f40290:wco<4;3`b2=zf;:h>54?:0yme62=9jl37p`=0b0:>5<6sgk887?lf89~j76d:h0;6:51bd`?xh58j8o6=4={oc00?7dnm1vb?>l2d83>4}ii:>1=nhj;|l14f4a290:wco<4;3`bc=zf;:h?=4?:0yme62=9m:;7p`=0b12>5<6sgk887?k009~j76d;;0;6?uaa2695a653td95rn32`71<72;qem>:51e27?xh58j9>6=4={oc00?7c8<1vb?>l3783>7}ii:>1=i>9;|l14f50290:wco<4;3g42=zf;:h?54?:0yme62=9m:37p`=0b1:>5<5sgk887?k089~j76d;h0;6:51e2`?xh58j9o6=4>{oc00?7c8m1vb?>l3d83>4}ii:>1=i>j;|l14f5a290:wco<4;3g4c=zf;:h8=4?:0yme62=9m;;7p`=0b62>5<6sgk887?k109~j76d<;0;65rn32`01<728qem>:51e37?xh58j>>6=4>{oc00?7c9<1vb?>l4783>4}ii:>1=i?9;|l14f20290:wco<4;3g52=zf;:h854?:0yme62=9m;37p`=0b6:>5<6sgk887?k189~j76d:51e3`?xh58j>o6=4>{oc00?7c9m1vb?>l4d83>7}ii:>1=i?j;|l14f2a290:wco<4;3g5c=zf;:h9=4?:3yme62=9m8;7p`=0b72>5<6sgk887?k209~j76d=;0;6?uaa2695a453td9>5rn32`11<728qem>:51e07?xh58j?>6=4={oc00?7c:<1vb?>l5783>7}ii:>1=i<9;|l14f30290:wco<4;3g62=zf;:h954?:0yme62=9m837p`=0b7:>5<6sgk887?k289~j76d=h0;6o5rn32`1f<728qem>:51e0`?xh58j?o6=4>{oc00?7c:m1vb?>l5d83>4}ii:>1=i5<6sgk887?k309~j76d>;0;65rn32`21<728qem>:51e17?xh58j<>6=4={oc00?7c;<1vb?>l6783>4}ii:>1=i=9;|l14f002909wco<4;3g72=zf;:h:54?:0yme62=9m937p`=0b4:>5<5sgk887?k389~j76d>h0;6:51e1`?xh58jl6d83>4}ii:>1=i=j;|l14f0a2909wco<4;3g7c=zf;:h;=4?:0yme62=9m>;7p`=0b52>5<5sgk887?k409~j76d?;0;65rn32`31<728qem>:51e67?xh58j=>6=4={oc00?7c<<1vb?>l7783>4}ii:>1=i:9;|l14f102909wco<4;3g02=zf;:h;54?:0yme62=9m>37p`=0b5:>5<5sgk887?k489~j76d?h0;6:51e6`?xh58j=o6=4>{oc00?7cl7d83>4}ii:>1=i:j;|l14f1a290:wco<4;3g0c=zf;:h4=4?:0yme62=9m?;7p`=0b:2>5<6sgk887?k509~j76d0;0;65rn32`<1<728qem>:51e77?xh58j2>6=4={oc00?7c=<1vb?>l8783>4}ii:>1=i;9;|l14f>02909wco<4;3g12=zf;:h454?:0yme62=9m?37p`=0b::>5<5sgk887?k589~j76d0h0;6:51e7`?xh58j2o6=4={oc00?7c=m1vb?>l8d83>4}ii:>1=i;j;|l14f>a2909wco<4;3g1c=zf;:h5=4?:0yme62=9m<;7p`=0b;2>5<5sgk887?k609~j76d1;0;65rn32`=1<728qem>:51e47?xh58j3>6=4={oc00?7c><1vb?>l9783>4}ii:>1=i89;|l14f?02909wco<4;3g22=zf;:h554?:0yme62=9m<37p`=0b;:>5<5sgk887?k689~j76d1h0;6:51e4`?xh58j3o6=4={oc00?7c>m1vb?>l9d83>4}ii:>1=i8j;|l14f?a2909wco<4;3g2c=zf;:hm=4?:0yme62=9m=;7p`=0bc2>5<5sgk887?k709~j76di;0;65rn32`e1<728qem>:51e57?xh58jk>6=4={oc00?7c?<1vb?>la783>4}ii:>1=i99;|l14fg02909wco<4;3g32=zf;:hm54?:0yme62=9m=37p`=0bc:>5<5sgk887?k789~j76dih0;6:51e5`?xh58jko6=4={oc00?7c?m1vb?>lad83>4}ii:>1=i9j;|l14fga2909wco<4;3g3c=zf;:hn=4?:0yme62=9m2;7p`=0b`2>5<5sgk887?k809~j76dj;0;653td95rn32`f1<728qem>:51e:7?xh58jh>6=4>{oc00?7c0<1vb?>lb783>4}ii:>1=i69;|l14fd0290:wco<4;3g<2=zf;:hn54?:0yme62=9m237p`=0b`:>5<6sgk887?k889~j76djh0;6?uaa2695a>f3td:o88;:182kg4<3;o4o5rn32`fg<72;qem>:51e:`?xh58jhh6=4>{oc00?7c0m1vb?>lbe83>4}ii:>1=i6j;|l14fdb290:wco<4;3g5<6sgk887?k909~j76dk80;65rn32`g6<728qem>:51e;7?xh58ji?6=4>{oc00?7c1<1vb?>lc483>4}ii:>1=i79;|l14fe1290:wco<4;3g=2=zf;:ho:4?:0yme62=9m337p`=0ba;>5<6sgk887?k989~j76dk00;6:51e;`?xh58jih6=4>{oc00?7c1m1vb?>lce83>4}ii:>1=i7j;|l14feb290:wco<4;3g=c=zf;:hok4?:0yme62=9mk;7p`=0bf3>5<6sgk887?ka09~j76dl80;65rn32``6<728qem>:51ec7?xh58jn?6=4>{oc00?7ci<1vb?>ld483>4}ii:>1=io9;|l14fb1290:wco<4;3ge2=zf;:hh:4?:0yme62=9mk37p`=0bf;>5<6sgk887?ka89~j76dl00;6:51ec`?xh58jnh6=4>{oc00?7cim1vb?>lde83>4}ii:>1=ioj;|l14fbb290:wco<4;3gec=zf;:hhk4?:0yme62=9mh;7p`=0bg3>5<6sgk887?kb09~j76dm80;65rn32`a6<728qem>:51e`7?xh58jo?6=4>{oc00?7cj<1vb?>le483>4}ii:>1=il9;|l14fc1290:wco<4;3gf2=zf;:hi:4?:0yme62=9mh37p`=0bg;>5<6sgk887?kb89~j76dm00;6:51e``?xh58joh6=4>{oc00?7cjm1vb?>lee83>4}ii:>1=ilj;|l14fcb290:wco<4;3gfc=zf;:hik4?:0yme62=9mi;7p`=0bd3>5<6sgk887?kc09~j76dn80;65rn32`b6<728qem>:51ea7?xh58jl?6=4>{oc00?7ck<1vb?>lf483>4}ii:>1=im9;|l14f`1290:wco<4;3gg2=zf;:hj:4?:0yme62=9mi37p`=0bd;>5<6sgk887?kc89~j76dn00;6:51ea`?xh58jlh6=4>{oc00?7ckm1vb?>lfe83>4}ii:>1=imj;|l14f`b290:wco<4;3ggc=zf;:hjk4?:0yme62=9mn;7p`=0e23>5<6sgk887?kd09~j76c880;6=:182kg4<3;oh>5rn32g46<728qem>:51ef7?xh58m:?6=4>{oc00?7cl<1vb?>k0483>4}ii:>1=ij9;|l14a61290:wco<4;3g`2=zf;:o<:4?:0yme62=9mn37p`=0e2;>5<6sgk887?kd89~j76c800;6n:182kg4<3;oho5rn32g4g<728qem>:51ef`?xh58m:h6=4>{oc00?7clm1vb?>k0e83>4}ii:>1=ijj;|l14a6b290:wco<4;3g`c=zf;:o5<6sgk887?ke09~j76c980;65rn32g56<728qem>:51eg7?xh58m;?6=4>{oc00?7cm<1vb?>k1483>4}ii:>1=ik9;|l14a71290:wco<4;3ga2=zf;:o=:4?:0yme62=9mo37p`=0e3;>5<6sgk887?ke89~j76c900;6:51eg`?xh58m;h6=4>{oc00?7cmm1vb?>k1e83>4}ii:>1=ikj;|l14a7b290:wco<4;3gac=zf;:o=k4?:0yme62=9ml;7p`=0e03>5<6sgk887?kf09~j76c:80;65rn32g66<728qem>:51ed7?xh58m8?6=4>{oc00?7cn<1vb?>k2483>4}ii:>1=ih9;|l14a41290:wco<4;3gb2=zf;:o>:4?:0yme62=9ml37p`=0e0;>5<6sgk887?kf89~j76c:00;6:51ed`?xh58m8h6=4>{oc00?7cnm1vb?>k2e83>4}ii:>1=ihj;|l14a4b290:wco<4;3gbc=zf;:o>k4?:0yme62=9l:;7p`=0e13>5<6sgk887?j009~j76c;80;65rn32g76<728qem>:51d27?xh58m9?6=4>{oc00?7b8<1vb?>k3483>4}ii:>1=h>9;|l14a51290:wco<4;3f42=zf;:o?:4?:0yme62=9l:37p`=0e1;>5<6sgk887?j089~j76c;00;6:51d2`?xh58m9h6=4>{oc00?7b8m1vb?>k3e83>7}ii:>1=h>j;|l14a5b2909wco<4;3f4c=zf;:o?k4?:3yme62=9l;;7p`=0e63>5<6sgk887?j109~j76c<80;65rn32g06<728qem>:51d37?xh58m>?6=4={oc00?7b9<1vb?>k4483>7}ii:>1=h?9;|l14a21290:wco<4;3f52=zf;:o8:4?:0yme62=9l;37p`=0e6;>5<6sgk887?j189~j76c<00;6:51d3`?xh58m>h6=4>{oc00?7b9m1vb?>k4e83>4}ii:>1=h?j;|l14a2b290:wco<4;3f5c=zf;:o8k4?:0yme62=9l8;7p`=0e73>5<5sgk887?j209~j76c=80;6>5rn32g16<72;qem>:51d07?xh58m??6=4>{oc00?7b:<1vb?>k5483>4}ii:>1=h<9;|l14a31290:wco<4;3f62=zf;:o9:4?:0yme62=9l837p`=0e7;>5<5sgk887?j289~j76c=00;6?uaa2695`4f3td9o5rn32g1g<72;qem>:51d0`?xh58m?h6=4>{oc00?7b:m1vb?>k5e83>4}ii:>1=h5<6sgk887?j309~j76c>80;65rn32g26<728qem>:51d17?xh58m{oc00?7b;<1vb?>k6483>4}ii:>1=h=9;|l14a01290:wco<4;3f72=zf;:o::4?:0yme62=9l937p`=0e4;>5<6sgk887?j389~j76c>00;6:51d1`?xh58m{oc00?7b;m1vb?>k6e83>4}ii:>1=h=j;|l14a0b290:wco<4;3f7c=zf;:o:k4?:0yme62=9l>;7p`=0e53>5<6sgk887?j409~j76c?80;65rn32g36<728qem>:51d67?xh58m=?6=4>{oc00?7b<<1vb?>k7483>7}ii:>1=h:9;|l14a11290:wco<4;3f02=zf;:o;:4?:3yme62=9l>37p`=0e5;>5<6sgk887?j489~j76c?00;6:51d6`?xh58m=h6=4>{oc00?7bk7e83>4}ii:>1=h:j;|l14a1b290:wco<4;3f0c=zf;:o;k4?:3yme62=9l?;7p`=0e:3>5<6sgk887?j509~j76c080;65rn32g<6<728qem>:51d77?xh58m2?6=4>{oc00?7b=<1vb?>k8483>4}ii:>1=h;9;|l14a>1290:wco<4;3f12=zf;:o4:4?:0yme62=9l?37p`=0e:;>5<6sgk887?j589~j76c000;6:51d7`?xh58m2h6=4={oc00?7b=m1vb?>k8e83>7}ii:>1=h;j;|l14a>b2909wco<4;3f1c=zf;:o4k4?:3yme62=9l<;7p`=0e;3>5<6sgk887?j609~j76c180;65rn32g=6<728qem>:51d47?xh58m3?6=4>{oc00?7b><1vb?>k9483>4}ii:>1=h89;|l14a?1290:wco<4;3f22=zf;:o5:4?:0yme62=9l<37p`=0e;;>5<6sgk887?j689~j76c100;6:51d4`?xh58m3h6=4>{oc00?7b>m1vb?>k9e83>4}ii:>1=h8j;|l14a?b2909wco<4;3f2c=zf;:o5k4?:0yme62=9l=;7p`=0ec3>5<6sgk887?j709~j76ci80;6?uaa2695`153td95rn32ge6<72;qem>:51d57?xh58mk?6=4>{oc00?7b?<1vb?>ka483>4}ii:>1=h99;|l14ag1290:wco<4;3f32=zf;:om:4?:0yme62=9l=37p`=0ec;>5<6sgk887?j789~j76ci00;6?uaa2695`1f3td9:51d5`?xh58mkh6=4>{oc00?7b?m1vb?>kae83>4}ii:>1=h9j;|l14agb290:wco<4;3f3c=zf;:omk4?:3yme62=9l2;7p`=0e`3>5<5sgk887?j809~j76cj80;653td95rn32gf6<728qem>:51d:7?xh58mh?6=4>{oc00?7b0<1vb?>kb483>4}ii:>1=h69;|l14ad1290:wco<4;3f<2=zf;:on:4?:0yme62=9l237p`=0e`;>5<6sgk887?j889~j76cj00;6f3td9:51d:`?xh58mhh6=4>{oc00?7b0m1vb?>kbe83>4}ii:>1=h6j;|l14adb290:wco<4;3f5<6sgk887?j909~j76ck80;65rn32gg6<728qem>:51d;7?xh58mi?6=4>{oc00?7b1<1vb?>kc483>4}ii:>1=h79;|l14ae1290:wco<4;3f=2=zf;:oo:4?:0yme62=9l337p`=0ea;>5<6sgk887?j989~j76ck00;6:51d;`?xh58mih6=4>{oc00?7b1m1vb?>kce83>4}ii:>1=h7j;|l14aeb290:wco<4;3f=c=zf;:ook4?:0yme62=9lk;7p`=0ef3>5<6sgk887?ja09~j76cl80;65rn32g`6<728qem>:51dc7?xh58mn?6=4>{oc00?7bi<1vb?>kd483>4}ii:>1=ho9;|l14ab1290:wco<4;3fe2=zf;:oh:4?:0yme62=9lk37p`=0ef;>5<6sgk887?ja89~j76cl00;6:51dc`?xh58mnh6=4>{oc00?7bim1vb?>kde83>4}ii:>1=hoj;|l14abb2909wco<4;3fec=zf;:ohk4?:3yme62=9lh;7p`=0eg3>5<5sgk887?jb09~j76cm80;6?uaa2695`d53td95rn32ga6<72;qem>:51d`7?xh58mo?6=4={oc00?7bj<1vb?>ke483>7}ii:>1=hl9;|l14ac1290:wco<4;3ff2=zf;:oi:4?:0yme62=9lh37p`=0eg;>5<6sgk887?jb89~j76cm00;6:51d``?xh58moh6=4>{oc00?7bjm1vb?>kee83>7}ii:>1=hlj;|l14acb290:wco<4;3ffc=zf;:oik4?:3yme62=9li;7p`=0ed3>5<6sgk887?jc09~j76cn80;65rn32gb6<728qem>:51da7?xh58ml?6=4>{oc00?7bk<1vb?>kf483>4}ii:>1=hm9;|l14a`1290:wco<4;3fg2=zf;:oj:4?:0yme62=9li37p`=0ed;>5<6sgk887?jc89~j76cn00;6:51da`?xh58mlh6=4>{oc00?7bkm1vb?>kfe83>4}ii:>1=hmj;|l14a`b290:wco<4;3fgc=zf;:ojk4?:0yme62=9ln;7p`=0d23>5<6sgk887?jd09~j76b880;6=:182kg4<3;nh>5rn32f46<728qem>:51df7?xh58l:?6=4>{oc00?7bl<1vb?>j0483>4}ii:>1=hj9;|l14`61290:wco<4;3f`2=zf;:n<:4?:0yme62=9ln37p`=0d2;>5<6sgk887?jd89~j76b800;6n:182kg4<3;nho5rn32f4g<728qem>:51df`?xh58l:h6=4>{oc00?7blm1vb?>j0e83>4}ii:>1=hjj;|l14`6b290:wco<4;3f`c=zf;:n5<6sgk887?je09~j76b980;65rn32f56<728qem>:51dg7?xh58l;?6=4>{oc00?7bm<1vb?>j1483>4}ii:>1=hk9;|l14`71290:wco<4;3fa2=zf;:n=:4?:0yme62=9lo37p`=0d3;>5<6sgk887?je89~j76b900;6:51dg`?xh58l;h6=4>{oc00?7bmm1vb?>j1e83>4}ii:>1=hkj;|l14`7b290:wco<4;3fac=zf;:n=k4?:0yme62=9ll;7p`=0d03>5<6sgk887?jf09~j76b:80;65rn32f66<728qem>:51dd7?xh58l8?6=4>{oc00?7bn<1vb?>j2483>4}ii:>1=hh9;|l14`41290:wco<4;3fb2=zf;:n>:4?:0yme62=9ll37p`=0d0;>5<6sgk887?jf89~j76b:00;6:51dd`?xh58l8h6=4>{oc00?7bnm1vb?>j2e83>4}ii:>1=hhj;|l14`4b290:wc6lf;38jd5328omj6sa21g1b?6=9rdj?94>f128yk47m::1<7?tn9ae>4=ii:>1=k>>;|l14`56290:wco<4;3e47=zf;:n??4?:0ymf118yk47m:91<7?tn`17>4`7<2we>=k<4;295~h?ko0:7co<4;3e40=zf;:n?84?:0yme62=9o:=7p`=0d15>5<6sg2hj7?4n`17>4`7?2we>=k<7;295~hf;=0:j=64}o03a6>=83;pb5mi:09me62=9o:27p`=0d1:>5<6sgk887?i0`9~j76b;h0;6hf;=0:j=l4}o03a6d=83;pbl=;:0d3g>{i:9o8o7>51zl;gc<63gk887?i0e9~j76b;m0;6dn3;0bl=;:0d3b>{i:9o8j7>51zlb71<6n8:0qc:182kg4<3;m=?5rn32f07<728qe4nh51:lb71<6n890qc4:m65c3<3:1=v`7cg82?kg4<3;m=85rn32f00<728qem>:51g35?xh58l>=6=4>{o:`b?77:m65c3?3:1=v`n3582b4>:51g3:?xh58l>26=4>{oc00?7a9h1vb?>j4`83>4}i0jl1=6`n3582b4d;oc00?7a9m1vb?>j4e83>4}ii:>1=k?j;|l14`2b290:wc6lf;38jd5328l:j6sa21g7b?6=9rdj?94>f328yk47m<:1<7?tn9ae>4=ii:>1=k<>;|l14`36290:wco<4;3e67=zf;:n9?4?:0ymf318yk47m<91<7?tn`17>4`5<2we>=k:4;295~h?ko0:7co<4;3e60=zf;:n984?:0yme62=9o8=7p`=0d75>5<6sg2hj7?4n`17>4`5?2we>=k:7;295~hf;=0:j?64}o03a0>=83;pb5mi:09me62=9o827p`=0d7:>5<6sgk887?i2`9~j76b=h0;6hf;=0:j?l4}o03a0d=83;pbl=;:0d1g>{i:9o>o7>51zl;gc<63gk887?i2e9~j76b=m0;6dn3;0bl=;:0d1b>{i:9o>j7>51zlb71<6n::0qc:182kg4<3;m??5rn32f27<728qe4nh51:lb71<6n:90qc:51g15?xh58l<=6=4>{o:`b?7:51g1:?xh58l<26=4>{oc00?7a;h1vb?>j6`83>4}i0jl1=6`n3582b6d;oc00?7a;m1vb?>j6e83>4}ii:>1=k=j;|l14`0b290:wc6lf;38jd5328l8j6sa21g5b?6=9rdj?94>f528yk7bl0n1<7?tn`17>4`392we=hj6e;295~hf;=0:j9<4}o03a26=83;pbl=;:0d77>{i:9o<=7>51zlb71<6n=>0qc:51g64?xh6mo?36=4>{o:`b?75<52oli>7k7219~d4e290;6?4ia1:9a=463tqj>o4?:181>`05>3o3>?5r{`0a>5<72;0n:?k5e900?x}f:k0;6=4=:02571190qvo=b;294?4=99<897k9859~d4e290;6?4j63`9a=433tqj>o4?:181>`01j3o3>85r{`0a>5<72;0n:>>5e905?x}f:k0;6=4=:025737h6488f<7>50;09a3da2l2956sta3`94?6=:3o<5i4j5e28y~g5j3:1<7<5e7fe>`3c92wpm?l50;296?77>:=1==?m8:xe7d=83:1>7??62:9557e>2wpm?l50;296?77>:31==?m4:xe7d=83:1>7h7158f1a450;095504i3o=855r{`0a>5<72;0:<;=m:d47e>{|i;h1<7>52;3326e=m?>i7pun2c83>5<528:=?i4j65a8y~g5j3:1<7<51140a?c17<68?9m6h8;f:xe7d=83:1>7??6529a3353tqj>o4?:181>461<80n:8:4}zc1f?6=8381==8;2;g510=zsh8i6=4?:38243242l<>:6sta3`94?6=:3;;:9:5e774?x}f:k0;6=4=:02500<20qvo=b;294?4=990764>`02j2wpm?l50;296?77>=21i;;l;|yb6g<729096<>9488f20b50;095503i3o=9h5r{`0a>5<72;0:<;:m:d454>{|i;h1<7>52;3321e=m?<:7pun2c83>5<528:=8i4j6708y~g5j3:1<7<51147a?c1>:1vwl7<68?>m6h894:xe7d=83:1>7??6429a3013tqj>o4?:181>461=80n:;94}zc1f?6=8381==8:2;g52==zsh8i6=4?:38243342l<=56sta3`94?6=:3;;:8:5e74b?x}f:k0;6=4=:02510?i0qvo=b;294?4=99<>:7k96e9~d4e290;6?4>0774>`01m2wpm?l50;296?77><21i;8i;|yb6g<729096<>9588244d>3tqj>o4?:181>461=h0:<95c8244de3tqj>o4?:181>461=j0:<;de8f1a550;09552ck3o>h95r{`0a>5<72;0:<9jm:d7g1>{|i;h1<7>52;3312e=m5<52o82m7k:d69~d4e290;6?4i2879a0b?3tqj>o4?:181>`e7i3o>h45r{`0a>5<72;0nnl75e4fb?x}f:k0;6=4=:d`:e?c2lk1vwl7;668f1ab50;09b6gb2l?oi6sta3`94?6=:3;;:8j5e755?x}f:k0;6=4=:027a7n=7k:e19~d4e290;6?4>05g3>`3b92wpm?l50;296?77>7k93b8f1`450;09a37e2l?n?6sta3`94?6=:3o=?<4j5d68y~g5j3:1<7<5e77e>`3b=2wpm?l50;296?c1j:0n9h84}zc1f?6=8381j;m8:d7f3>{|i;h1<7>52;g5647??64d9bdga3tqj>o4?:181>461>90mmlj4}zc1f?6=8381==891;dbeg=zsh8i6=4?:38243052okj>6sta3`94?6=:3l9m=4j5dc8y~g5j3:1<7<511457?`blh1vwl7<68?7??6779b`b53tqj>o4?:181>461>?0minh4}zc1f?6=8381==:kf;g6ag=zsh8i6=4?:382410?2l?no6sta3`94?6=:3;;8;75e4gg?x}f:k0;6=4=:02727074;>`0012wpm?l50;296?77>?31==:jf:xe7d=83:1>7h=968f1c650;09554en3o>j<5r{`0a>5<72;0n:7<31i8h;;|yb6g<729096h8=8;g6b0=zsh8i6=4?:38e=46=m5<52o?on7k:f69~d4e290;6?4>074b>465k?1vwl7<68?=d19~d4e290;6?4>074`>465l:1vwl7<68?=c69~d4e290;6?4>074f>464=91vwl7<68?<529~d4e290;6?4>0753>4647<68?=:6<><799~d4e290;6?4>0751>464?k1vwl7<68?=86<><729~d4e290;6?4>0757>ccdi2wpm?l50;296?77>>?1jhm8;|yb6g<729096<>9778eaf250;095500?3lno<5r{`0a>5<72;0:<;97:ggaa>{|i;h1<7>52;3322?=nlhi7pun2c83>5<528:=;l4iec:8y~g5j3:1<7<51144f?`bj<1vwl7<68?=h6kkm2:xe7d=83:1>7h=998f1c>50;095500l3l8?i5r{`0a>5<72;0:<;9j:020<<=zsh8i6=4?:382431a28:84n5r{`0a>5<72;0:<;6?:020<1=zsh8i6=4?:38243>628:85l5r{`0a>5<72;0:<;6=:020=a=zsh8i6=4?:38243>428:8585r{`0a>5<72;0:<;6;:020eg=zsh8i6=4?:38243>228:8n45r{`0a>5<72;0:<;69:020f3=zsh8i6=4?:38243>028:8o>5r{`0a>5<72;0:<;67:020`5=zsh8i6=4?:38243>>28:98;5r{`0a>5<72;0n:><5e4db?x}f:k0;6=4=:d42b?c2nk1vwl7<68?2j6h877:xe7d=83:1>7??69`9a3>?3tqj>o4?:181>4610j0mj=k4}zc1f?6=8381j?7l:d7eg>{|i;h1<7>52;332=b=n:>>7pun2c83>5<528:=4h4i3538y~g5j3:1<7<5114;b?`3il1vwl7<68?3;6k:6e:xe7d=83:1>7??6839b1>b3tqj>o4?:181>`05:3o>ji5r{`0a>5<72;0:<;7=:02110=zsh8i6=4?:38243?428:9955r{`0a>5<72;0:<;7;:02117=zsh8i6=4?:38243?228:9:>5r{`0a>5<72;0:<;79:02122=zsh8i6=4?:38243?028:9:=5r{`0a>5<72;0:<;77:02134=zsh8i6=4?:38243?>28:9;95r{`0a>5<72;0:<;7n:0212`=zsh8i6=4?:38243?e28:9;i5r{`0a>5<72;0:<;7l:0213d=zsh8i6=4?:38243?c28:9445r{`0a>5<72;0:<;7j:021<3=zsh8i6=4?:38243?a28:9585r{`0a>5<72;0:<;o?:021=7=zsh8i6=4?:38243g628:9m<5r{`0a>5<72;0:<;o=:021=`=zsh8i6=4?:38243g428:9mi5r{`0a>5<72;0:<;o;:021ed=zsh8i6=4?:38f276=m5<528:;;l4j5gd8y~g5j3:1<7<5114b1?77:k30qvo=b;294?4=990qvo=b;294?4=m>:=6h8?0:xe7d=83:1>7??06;9a3663tqj>o4?:181>461j;0mj=:4}zc1f?6=8381==8m3;3374`50;09550e<3;;?50;09550e>3;;??94}zc1f?6=8381==8m7;3376350;09550e03;;?>=4}zc1f?6=8381==8m9;3371650;09550ei3;;?>k4}zc1f?6=8381==8mb;3370e50;09550ek3;;?8o4}zc1f?6=8381==8md;3373150;09550em3;;?;;4}zc1f?6=8381==:=3;g547=zsh8i6=4?:38241262l<;?6sta3`94?6=:3;;89>5e726?x}f:k0;6=4=:0277c9=0qvo=b;294?4=99>8i7k9099~d4e290;6?4>0501>`0712wpm?l50;296?77>kl1==<<3:xe7d=83:1>7??6b295544k2wpm?l50;296?77>j;1==<=e:xe7d=83:1>7k9368f25g50;09550d:3o=mn5r{`0a>5<72;0n;8=5e72a?x}f:k0;6=4=:025g6l80qvo=b;294?4=9907a6>`0b<2wpm?l50;296?77>j<1===n9:xe7d=83:1>7??6b59555e?2wpm?l50;296?c1m10n:=m4}zc1f?6=8381==8l8;dfba=zsh8i6=4?:38243e>2llo0qvo=b;294?4=9907ag>`00l2wpm?l50;296?77>jo1i;9m;|yb6g<729096<>9cg8f22e50;09550c83o=4=5r{`0a>5<72;0:<;j>:d4;5>{|i;h1<7>52;332a4=no;:7pun2c83>5<528:=h>4j66c8y~g5j3:1<7<5114g0?c1?l1vwl7<68?n>6kkkf:xe7d=83:1>7??6e49b`be3tqj>o4?:181>461l>0mii94}zc1f?6=8381==8k8;df`6=zsh8i6=4?:38f215=m?:o7pun2c83>5<52lo4?:181>`04l3o===5r{`0a>5<72;0n:>75e732?x}f:k0;6=4=:d40e?c19;1vwl7<;1i;?<;|yb6g<729096h8:0;g551=zsh8i6=4?:38f22`=m?;>7pun2c83>5<528:=h44j6678y~g5j3:1<7<5114ge?77;ko0qvo=b;294?4=990qvo=b;294?4=990qvo=b;294?4=996:xe7d=83:1>7??6g39a26f3tqj>o4?:181>461n;0n;=l4}zc1f?6=8381==8i3;337fc50;09550a<3;;?n?4}zc1f?6=8381==8i5;337g350;09550a>3;;?l94}zc1f?6=8381==8i7;g536=zsh8i6=4?:38243`?2l<<86sta3`94?6=:3;;:k75f2`3?x}f:k0;6=4=:025bd`06?2wpm?l50;296?c1<>0n:<64}zc1f?6=8381==9>4;g41==zsh8i6=4?:38242722l=>i6sta3`94?6=:3;;;<85e641?x}f:k0;6=4<:g763?`3m00m9lh4}zc1f?6=8391j8;7:g6e5?`29o1vwl6{|i;h1<7>53;d61d7??0359bfbb3tqj>o4?:181>467:10moh>4}zc1f?6=8381==>=9;d`a7=zsh8i6=4?:382454f2oin86sta3`94?6=;3;;cea;2wpm?l50;297?778;i1jnk7:gae1>{|i;h1<7>53;3347b=njoj6kmi7:xe7d=83:1>7hj498egg050;09b`2c2oii46sta3`94?6=:3ln8h4iccc8y~g5j3:1<7<5fd6e>ceek2wpm?l50;296?`b=90mook4}zc1f?6=8381jh;>:ga`4>{|i;h1<7>52;df177hj558egf050;09b`2>2oii<6sta3`94?6=:3ln8l4icc08y~g5j3:1<7<5fd6a>cee<2wpm?l50;296?778;81jnj>;|yb6g<729096<>?228ega550;095565<3lhh85r{`0a>5<72;0:<=<9:gg2b>{|i;h1<7>52;d6=<0qvo=b;294?4=n<3j6k;<6:xe7d=83:1>7h:9c8e16>50;09b0?d2o?8m6sta3`94?6=:3l>5i4i52a8y~g5j3:1<7<5f4;f>c34m2wpm?l50;296?`21o0m99>4}zc1f?6=8381j8o?:g776>{|i;h1<7>52;d60<i6k;?9:xe7d=83:1>7h:4b8e15d50;09b02c2o?;h6sta3`94?6=:3l>8h4i51d8y~g5j3:1<7<5f46e>c3692wpm?l50;296?`2=90m9<=4}zc1f?6=8381j8;>:g721>{|i;h1<7>52;d6177h:5e8e17?50;09b03b2o?9n6sta3`94?6=:3l>9>4i53f8y~g5j3:1<7<5f477>c35n2wpm?l50;296?`2=<0m9{|i;h1<7>52;d6g`7h:c78e0a050;09b0e02o>o46sta3`94?6=:3l?;;4i1d18y~g5j3:1<7<5f55e>c7a=2wpm?l50;296?`3?l0m=k=4}zc1f?6=8381j99k:g3e5>{|i;h1<7>52;d73f7h;7`8e5`d50;09b11>2o;n56sta3`94?6=:3l?;54i1d58y~g5j3:1<7<5f556>c7b=2wpm?l50;296?`4>=0m=>h4}zc1f?6=8381j>8<:g30`>{|i;h1<7>52;d0277h<618e56150;09b60c2o;>=6sta3`94?6=:3l8:n4i15d8y~g5j3:1<7<5f24a>c73l2wpm?l50;296?`4>h0m=9l4}zc1f?6=8381j>86:g37=>{|i;h1<7>52;d02=7h<678e51550;09b63a2o;?=6sta3`94?6=:3l8>i4i0b38y~g5j3:1<7<5f54:>c7dk2wpm?l50;296?`3?;0m=ik4}zc1f?6=8381j99>:g3gg>{|i;h1<7>52;d7357h;6d8e5a050;09b10c2o;o86sta3`94?6=:3l?:n4i1e08y~g5j3:1<7<5f54a>c7c82wpm?l50;296?`3>10m=nk4}zc1f?6=8381j9;l:g3a1>{|i;h1<7>52;d7207h;628e5f550;09b1052o;h=6sta3`94?6=:3l?:<4i1cd8y~g5j3:1<7<5f543>c7el2wpm?l50;296?`3=o0m=ol4}zc1f?6=8381j9;j:g3a=>{|i;h1<7>52;d71g7h50;09b1442o8=>6sta3`94?6=:3l?<:4i23a8y~g5j3:1<7<5f512>c4012wpm?l50;296?caj00nj4>4}zc1f?6=8381ikln:dd:6>{|i;h1<7>52;gefg0qvo=b;294?4=mohh6hh66:xe7d=83:1>7kibe8fb<>50;09acdb2ll2m6sta3`94?6=:3omnk4jf8a8y~g5j3:1<7<5ega3>``>m2wpm?l50;296?`71m0m<::4}zc1f?6=8381j=7j:g242>{|i;h1<7>52;d3=c20qvo=b;294?4=n9k;6k>8a:xe7d=83:1>7h?a08e42e50;09b5g52o:4i0928y~g5j3:1<7<5f1c7>c6?:2wpm?l50;296?`e::0mn==4}zc1f?6=8381joo6:g`;=>{|i;h1<7>52;d`6a<6k9n4:xe7d=83:1>7h7538e3gg50;09b=3c2o=ii6sta3`94?6=:3l3:=4i7b28y~g5j3:1<7<5f942>c1d:2wpm?l50;296?`?>;0m;n:4}zc1f?6=8381j58<:g5`2>{|i;h1<7>52;d;216k9la:xe7d=83:1>7h7498e3<650;09b=2>2o=2>6sta3`94?6=:3l38l4i7868y~g5j3:1<7<5f96a>c1>>2wpm?l50;296?`?{|i;h1<7>52;d;0`m6k96e:xe7d=83:1>7h7518e3d650;09b=362o=j>6sta3`94?6=:3l39>4i7`48y~g5j3:1<7<5f977>c1f02wpm?l50;296?`?=<0m;lo4}zc1f?6=8381j5;9:g5bg>{|i;h1<7>52;d;127h7588e3g450;09b=3f2o=i86sta3`94?6=:3l39o4i7c48y~g5j3:1<7<5f97`>c1e02wpm?l50;296?`?=l0m;om4}zc1f?6=8381j577:g:42>{|i;h1<7>52;d;=2>0qvo=b;294?4=n13=6k682:xe7d=83:1>7h7948e<2650;09b=?32o2=i6sta3`94?6=:3l35>4i87a8y~g5j3:1<7<5f9;1>c>1i2wpm?l50;296?`?180m4;64}zc1f?6=8391j8mn:g730?`3m>1vwl6<6898>6kmjc;d`ba=zsh8i6=4?:38ea1e=nji37pun2c83>5<528:;=n4ice`8y~g5j3:1<7<5f4c2>c33=2wpm?l50;296?`2{|i;h1<7>52;d7326k?:3:xe7d=83:1>7h<2d8e4fg50;09b10f2o;n<6sta3`94?6=:3l?9i4i1b;8y~g5j3:1<7<5ega2>``f82wpm?l50;296?`7i<0m<5:4}zc1f?6=8381j5;i:g5`g>{|i;h1<7>52;d;=<20qvo=b;294?4628:?984i6529b35a2o<8i7h93e8e26e=n?9i6k8:21j;=8:g401?`1;=0m:>=5f711>c0493l=?=4i63d9b34b2o<9h7h92b8e21>=n?><6k8;6;d500=>1j;:<:g476?`1<80m:>85f70a>c0313;;8964}zc1f?6=8381==::3;d6`a=zsh8i6=4?:38f<<2=m13>7pun2c83>5<52o?o;7k72b9~d4e290;6?4i5769a=4b3tqj>o4?:181>c3?n3l>5=5r{`0a>5<72;0m94<5f4;0?x}f:k0;6=4=:02611<68<8o7pun2c83>5<52ohnh7hme89~d4e290;6?4ib6c9bd`b3tqj>o4?:181>cga?3ljh<5r{`0a>5<72;0mmho5f`f1?x}f:k0;6=4=:g6a1?`3j?1vwl75<52o9j;7h=b29~d4e290;6?4i35c9b4553tqj>o4?:181>c5>l3l9n<5r{`0a>5<72;0m5f1ce?x}f:k0;6=4=:g25f?`7>j1vwl75<52ll??7ki459~d4e290;6?4j9249a<503tqj>o4?:181>`b5=3ooj55r{`0a>5<72;0m9o:5f4:1?x}f:k0;6=4=:g:`6?`>9:1vwl787d87=>{|i;k1<7>52;333=3==;1vwl7<68>2h68l4}zc1e?6=8381==963;47?x}f:h0;6=4=:024=d<1j2wpm?o50;296?77?h;1;?5r{`0b>5<72;0:<:o7:6;8y~g5i3:1<7<5115bb?>73tqj>l4?:181>460j?03;6sta3c94?6=:3;;;oj58d9~d4f290;6?4>06a7><350;09551dj33h7pun2`83>5<528:7??7e;9ed=zsh8j6=4?:38242c72k;0qvo=a;294?4=99=n;7l7;|yb6d<729096<>8ed8ab>{|i;k1<7>52;333c3=k?1vwl7<68>lh6nj4}zc1e?6=8381==6?3;f7?x}f:h0;6=4=:02;4d5<72;0:<5?7:d;8y~g5i3:1<7<511:2b?`73tqj>l4?:181>46?:?0m;6sta3c94?6=:3;;4?j5fd9~d4f290;6?4>0917>4623tqj>l4?:181>46?;k0:5<72;0:<5:=:030?x}f:h0;6=4=:02;0<<69h1vwl7<681?;6<<>;|yb6d<729096<>756826==zsh8j6=4?:3824=3b288m7pun2`83>5<528:3:84>379~d4f290;6?4>094`>45c3tqj>l4?:181>46??:0:895r{`0b>5<72;0:<59n:06g?x}f:h0;6=4=:02;<4<6==1vwl7<681236<;k;|yb6d<729096<>78g8221=zsh8j6=4?:3824=?1285<528:35i4>779~d4f290;6?4>09c7>41a3tqj>l4?:181>46?ik0:455r{`0b>5<72;0:<5l=:0;2?x}f:h0;6=4=:02;f<<61h1vwl7<681i;67c682ef=zsh8j6=4?:3824=eb28h87pun2`83>5<528:3h84>b`9~d4f290;6?4>09f`>4e43tqj>l4?:181>46?m:0:on5r{`0b>5<72;0:<5kn:0f6?x}f:h0;6=4=:02;b4<6lj1vwl7<681l367fg82ad=zsh8j6=4?:3824<6128l:7pun2`83>5<528:2f99~d4f290;6?4>0837>4`a3tqj>l4?:181>46>9k09<;5r{`0b>5<72;0:<4<=:32g?x}f:h0;6=4=:02:6<<59=1vwl7<6809;6??m;|yb6d<729096<>6368167=zsh8j6=4?:3824<5b2;827pun2`83>5<528:2884=319~d4f290;6?4>086`>7503tqj>l4?:181>46>=:09?h5r{`0b>5<72;0:<4;n:366?x}f:h0;6=4=:02:24<57<680<36?;<;|yb6d<729096<>66g811d=zsh8j6=4?:3824<112;<:7pun2`83>5<528:2;i4=699~d4f290;6?4>08:7>7163tqj>l4?:181>46>0k09;55r{`0b>5<72;0:<47=:35e?x}f:h0;6=4=:02:=<<50?1vwl7<680k;6?6k;|yb6d<729096<>6a681=1=zsh8j6=4?:38245<528:2n84=a39~d4f290;6?4>08``>7g>3tqj>l4?:181>46>k:09n=5r{`0b>5<72;0:<4mn:3`4?x}f:h0;6=4=:02:`4<5jl1vwl7<680n36?m:;|yb6d<729096<>6dg81gf=zsh8j6=4?:38245<528:2ii4=d`9~d4f290;6?4>08d7>7c63tqj>l4?:181>46>nk09i55r{`0b>5<72;0:=:3ge?x}f:h0;6=4=:02b4<<5n?1vwl7<68h;;6?hk;|yb6d<729096<>n168041=zsh8j6=4?:3824d7b2::i7pun2`83>5<528:j>84<139~d4f290;6?4>0`0`>67>3tqj>l4?:181>46f;:08>=5r{`0b>5<72;0:7<68h>36>=:;|yb6d<729096<>n4g807f=zsh8j6=4?:3824d312:>87pun2`83>5<528:j9i4<4`9~d4f290;6?4>0`47>6363tqj>l4?:181>46f>k089l5r{`0b>5<72;0:j1vwl7<68h2;6>9:;|yb6d<729096<>n86803`=zsh8j6=4?:3824d>b2:2<7pun2`83>5<528:j584<919~d4f290;6?4>0`;`>6?>3tqj>l4?:181>46fi:08m?5r{`0b>5<72;0:7<68hh36>lk;|yb6d<729096<>nbg80g3=zsh8j6=4?:3824de12:im7pun2`83>5<528:joi40`f7>6c63tqj>l4?:181>46flk08i55r{`0b>5<72;0:7<68hl;69><;|yb6d<729096<>nf6874f=zsh8j6=4?:3824d`b2=;>7pun2`83>5<528:i<84;1d9~d4f290;6?4>0c2`>1403tqj>l4?:181>46e9:0??=5r{`0b>5<72;0:7<68k8369:m;|yb6d<729096<>m2g8711=zsh8j6=4?:3824g512=?o7pun2`83>5<528:i?i4;679~d4f290;6?4>0c67>10c3tqj>l4?:181>46e5<72;0:7<68k<;6966;|yb6d<729096<>m6687=5=zsh8j6=4?:3824g0b2=3<7pun2`83>5<528:i;84;9d9~d4f290;6?4>0c5`>1g23tqj>l4?:181>46e0:0?mn5r{`0b>5<72;0:7<68k3369m>;|yb6d<729096<>m9g87g==zsh8j6=4?:3824gg12=im7pun2`83>5<528:imi4;d79~d4f290;6?4>0c`7>1bc3tqj>l4?:181>46ejk0?i95r{`0b>5<72;0:7<68kn;69h6;|yb6d<729096<>md68645=zsh8j6=4?:3824gbb2<:<7pun2`83>5<528:ii84:0d9~d4f290;6?4>0cg`>0723tqj>l4?:181>46en:0>=n5r{`0b>5<72;0:7<68j:368=>;|yb6d<729096<>l0g867==zsh8j6=4?:3824f712<9m7pun2`83>5<528:h=i4:479~d4f290;6?4>0b07>02c3tqj>l4?:181>46d:k0>995r{`0b>5<72;0:;1vwl7<68j>;6886;|yb6d<729096<>l468635=zsh8j6=4?:3824f2b2<=<7pun2`83>5<528:h984:7d9~d4f290;6?4>0b7`>0>23tqj>l4?:181>46d>:0>4n5r{`0b>5<72;0:7<68j=368o>;|yb6d<729096<>l7g86ed=zsh8j6=4?:3824f>125<528:h4i4:bb9~d4f290;6?4>0b;7>0e23tqj>l4?:181>46d1k0>oh5r{`0b>5<72;0:7<68jh;68k6;|yb6d<729096<>lb686b7=zsh8j6=4?:3824fdb25<528:ho849019~d4f290;6?4>0ba`>3603tqj>l4?:181>46dl:0=5<72;0:7<68jo36;<<;|yb6d<729096<>leg856d=zsh8j6=4?:3824f`12?9:7pun2`83>5<528:hji49399~d4f290;6?4>0e27>35a3tqj>l4?:181>46c8k0=8;5r{`0b>5<72;0:7<68m8;6;;m;|yb6d<729096<>k268527=zsh8j6=4?:3824a4b2?<27pun2`83>5<528:o?849719~d4f290;6?4>0e1`>3103tqj>l4?:181>46c<:0=;h5r{`0b>5<72;0:7<68m?36;78;|yb6d<729096<>k5g85=`=zsh8j6=4?:3824a012?k>7pun2`83>5<528:o:i49ab9~d4f290;6?4>0e57>3d43tqj>l4?:181>46c?k0=nl5r{`0b>5<72;0:7<68m3;6;mi;|yb6d<729096<>k9685`3=zsh8j6=4?:3824a?b2?no7pun2`83>5<528:om849e59~d4f290;6?4>0ec`>3ce3tqj>l4?:181>46cj:0=j95r{`0b>5<72;0:7<68mi36:>i;|yb6d<729096<>kcg845==zsh8j6=4?:3824ab12>8:7pun2`83>5<528:ohi482`9~d4f290;6?4>0eg7>2543tqj>l4?:181>46cmk05<72;0:7<68l:;6:;8;|yb6d<729096<>j068425=zsh8j6=4?:3824`6b2><27pun2`83>5<528:n=848719~d4f290;6?4>0d3`>2103tqj>l4?:181>46b::0<;h5r{`0b>5<72;0:7<68l936:7<;|yb6d<729096<>j3g84=d=zsh8j6=4?:3824`212>k:7pun2`83>5<528:n8i48a99~d4f290;6?4>0d77>2ga3tqj>l4?:181>46b=k05<72;0:7<68l=;6:mm;|yb6d<729096<>j7684`7=zsh8j6=4?:3824`1b2>n27pun2`83>5<528:n4848e19~d4f290;6?4>0d:`>2c03tqj>l4?:181>46b1:05<72;0:7<68lk365><;|yb6d<729096<>jag8;4d=zsh8j6=4?:3824`d121;:7pun2`83>5<528:nni47199~d4f290;6?4>0da7>=7a3tqj>l4?:181>46bkk03>;5r{`0b>5<72;0:7<68lo;65=m;|yb6d<729096<>je68;07=zsh8j6=4?:3824`cb21>27pun2`83>5<528:nj847519~d4f290;6?4>0dd`>=303tqj>l4?:181>46a8:039h5r{`0b>5<72;0:n:946?x}f:h0;6=4=:02e54j1vwl7<68o;3659<;|yb6d<729096<>i1g8;3d=zsh8j6=4?:3824c41212:7pun2`83>5<528:m>i47899~d4f290;6?4>0g17>=>a3tqj>l4?:181>46a;k035;5r{`0b>5<72;0:7<68o?;65om;|yb6d<729096<>i568;f7=zsh8j6=4?:3824c3b21h27pun2`83>5<528:m:847c19~d4f290;6?4>0g4`>=e03tqj>l4?:181>46a?:03oh5r{`0b>5<72;0:7<68o2365k<;|yb6d<729096<>i8g8;ad=zsh8j6=4?:3824c?121l:7pun2`83>5<528:m5i47f99~d4f290;6?4>0gc7>=`a3tqj>l4?:181>46aik02<55r{`0b>5<72;0:9?1vwl7<68oi;64?k;|yb6d<729096<>ic68:61=zsh8j6=4?:3824ceb208i7pun2`83>5<528:mh846339~d4f290;6?4>0gf`><5>3tqj>l4?:181>46am:028=5r{`0b>5<72;0:7<68ol364;:;|yb6d<729096<>ifg8:1f=zsh8j6=4?:382556120<87pun2`83>5<528;;1137><163tqj>l4?:181>4779k02;55r{`0b>5<72;0:==<=:85e?x}f:h0;6=4=:0336<<>0?1vwl7<6999;646k;|yb6d<7290965<528;;8846a39~d4f290;6?4>116`>3tqj>l4?:181>477=:02n=5r{`0b>5<72;0:==;n:8`4?x}f:h0;6=4=:03324<>jl1vwl7<699<364m:;|yb6d<7290965<528;;;i46d`9~d4f290;6?4>11:7>l4?:181>4770k02i55r{`0b>5<72;0:==7=:8ge?x}f:h0;6=4=:033=<<>n?1vwl7<699k;64hi;|yb6d<7290965<528;;n84n199~d4f290;6?4>11``>d7a3tqj>l4?:181>477k:0j>;5r{`0b>5<72;0:==mn:`0g?x}f:h0;6=4=:033`47<699n36l=m;|yb6d<72909627pun2`83>5<528;;ii4n519~d4f290;6?4>11d7>d303tqj>l4?:181>477nk0j9h5r{`0b>5<72;0:=<>=:`46?x}f:h0;6=4=:0324<j1vwl7<698;;6l9<;|yb6d<729096168b3d=zsh8j6=4?:382547b2h2:7pun2`83>5<528;:>84n899~d4f290;6?4>100`>d>a3tqj>l4?:181>476;:0j5;5r{`0b>5<72;0:=<=n:`;g?x}f:h0;6=4=:032047<698>36lom;|yb6d<7290964g8bf7=zsh8j6=4?:38254312hh27pun2`83>5<528;:9i4nc19~d4f290;6?4>1047>de03tqj>l4?:181>476>k0joh5r{`0b>5<72;0:=<9=:`f6?x}f:h0;6=4=:0323<7<6982;6lk<;|yb6d<729096868bad=zsh8j6=4?:38254>b2hl:7pun2`83>5<528;:584nf99~d4f290;6?4>10;`>d`a3tqj>l4?:181>476i:0i<;5r{`0b>5<72;0:=7<698h36o?m;|yb6d<729096bg8a67=zsh8j6=4?:38254e12k827pun2`83>5<528;:oi4m319~d4f290;6?4>10f7>g503tqj>l4?:181>476lk0i?h5r{`0b>5<72;0:=7<698l;6o;<;|yb6d<729096f68a1d=zsh8j6=4?:38254`b2k<:7pun2`83>5<528;9<84m699~d4f290;6?4>132`>g0a3tqj>l4?:181>4759:0i;;5r{`0b>5<72;0:=??n:c5g?x}f:h0;6=4=:031647<69;836o6m;|yb6d<7290965<528;9?i4ma19~d4f290;6?4>1367>gg03tqj>l4?:181>4755<72;0:=?;=:c`6?x}f:h0;6=4=:0311<7<69;<;6om<;|yb6d<7290965<528;9;84md99~d4f290;6?4>135`>gba3tqj>l4?:181>4750:0ii;5r{`0b>5<72;0:=?6n:cgg?x}f:h0;6=4=:031=47<69;336ohm;|yb6d<7290965<528;9mi4l139~d4f290;6?4>13`7>f7>3tqj>l4?:181>475jk0h>=5r{`0b>5<72;0:=?m=:b0:?x}f:h0;6=4=:031g<7<69;n;6n=m;|yb6d<729096i7pun2`83>5<528;9i84l539~d4f290;6?4>13g`>f3e3tqj>l4?:181>475n:0h:?5r{`0b>5<72;0:=?hn:b4:?x}f:h0;6=4=:030447<69::36n98;|yb6d<7290965<528;8=i4l919~d4f290;6?4>1207>f?>3tqj>l4?:181>474:k0hm?5r{`0b>5<72;0:=>==:bc:?x}f:h0;6=4=:0307<7<69:>;6nl6;|yb6d<7290967pun2`83>5<528;89=4lc`9~d4f290;6?4>1277>feb3tqj>l4?:181>474=10hh>5r{`0b>5<72;0:=>;k:bf;?x}f:h0;6=4=:030247<69:<>6nk>;|yb6d<7290965<528;8;?4leg9~d4f290;6?4>1254>f`33tqj>l4?:181>474?k0hj55r{`0b>5<72;0:=>9i:bdg?x}f:h0;6=4=:030<17<69:236i>9;|yb6d<7290965<528;8584k159~d4f290;6?4>12;:>a7>3tqj>l4?:181>4741l0o=h5r{`0b>5<72;0:=>o=:e01?x}f:h0;6=4=:030e31vwl7<69:ki6i7pun2`83>5<528;8n54k3`9~d4f290;6?4>12``>a5b3tqj>l4?:181>474k90o8>5r{`0b>5<72;0:=>m::e6;?x}f:h0;6=4=:030g<7<69:io6i;>;|yb6d<7290965<528;8hl4k5g9~d4f290;6?4>12fe>a033tqj>l4?:181>474m:0o:55r{`0b>5<72;0:=>k8:e4g?x}f:h0;6=4=:030af7<69:l;6i99;|yb6d<7290962m2;7pun2`83>5<528;8ji4k859~d4f290;6?4>1522>a>>3tqj>l4?:181>4738?0o4h5r{`0b>5<72;0:=9>n:e;1?x}f:h0;6=4=:0374`1vwl7<69=;86i7l;|yb6d<7290967pun2`83>5<528;?>=4ka`9~d4f290;6?4>1507>agb3tqj>l4?:181>473:10on>5r{`0b>5<72;0:=97<69=9>6im>;|yb6d<7290965<528;?8?4kcg9~d4f290;6?4>1564>ab33tqj>l4?:181>4735<72;0:=9:i:efg?x}f:h0;6=4=:037117<69=?36ik9;|yb6d<7290965<528;?:84kf59~d4f290;6?4>154:>a`>3tqj>l4?:181>473>l0ojh5r{`0b>5<72;0:=99=:d21?x}f:h0;6=4=:037331vwl7<69==i6h>l;|yb6d<72909642l;>7pun2`83>5<528;?454j1`9~d4f290;6?4>15:`>`7b3tqj>l4?:181>473190n>>5r{`0b>5<72;0:=97::d0;?x}f:h0;6=4=:037=<7<69=3o6h=>;|yb6d<7290965<528;?ml4j3g9~d4f290;6?4>15ce>`233tqj>l4?:181>473j:0n855r{`0b>5<72;0:=9l8:d6g?x}f:h0;6=4=:037ff7<69=i;6h;9;|yb6d<7290962l<;7pun2`83>5<528;?oi4j659~d4f290;6?4>15f2>`0>3tqj>l4?:181>473l?0n:h5r{`0b>5<72;0:=9jn:d51?x}f:h0;6=4=:037``1vwl7<69=o96h9m;|yb6d<7290965<528;=?h4id69~d4f290;6?4>1766>cc73tqj>l4?:181>4715<72;0:=;;<:gd1?x}f:h0;6=4=:0351d7<69?<:6<>?4:xe7g=83:1>7?>67:9556c3tqj>l4?:181>471>o0:<<84}zc1e?6=8381=<886;335c=zsh8j6=4?:382531c28:946sta3c94?6=:3;::5:51112?x}f:h0;6=4=:0357??429~d4f290;6?4>17;:>463k2wpm?o50;296?76>h:1==;:;|yb6d<72909650;09540fm3;;::5r{`0b>5<72;0:=;l::0244>{|i;k1<7>52;322ge=99=27pun2`83>5<528;=o>4>0908y~g5i3:1<7<5104`e?770k1vwl7<69?n:6<>64:xe7g=83:1>7?>6e:955?c3tqj>l4?:181>471lo0:7??d29~d4f290;6?4>162:>46ck2wpm?o50;296?76?8:1==k:;|yb6d<72909650;095416m3;;j:5r{`0b>5<72;0:=:<::0334>{|i;k1<7>52;3237e=98:27pun2`83>5<528;4>1008y~g5i3:1<7<51050e?769k1vwl7<69>>:67?>75:9544c3tqj>l4?:181>47084}zc1e?6=8381=<9:6;327c=zsh8j6=4?:382523c28;?46sta3c94?6=:3;:;;:51072?x}f:h0;6=4=:0342g<697?>629~d4f290;6?4>165:>471k2wpm?o50;296?76?1:1=<9:;|yb6d<72909650;09541?m3;:4:5r{`0b>5<72;0:=:7::03:4>{|i;k1<7>52;3235<528;4>1`08y~g5i3:1<7<5105be?76ik1vwl7<69>h:67?>7c:954dc3tqj>l4?:181>470jo0:=n84}zc1e?6=8381=<9l6;32gc=zsh8j6=4?:38252ec28;o46sta3c94?6=:3;:;i:510g2?x}f:h0;6=4=:034`g<69lk0qvo=a;294?4=98=n>7?>f29~d4f290;6?4>16g:>47ak2wpm?o50;296?76?o:1=?>:;|yb6d<72909650;09541am3;9=:5r{`0b>5<72;0:=5>::0014>{|i;k1<7>52;32<5e=9;827pun2`83>5<528;3=>4>2208y~g5i3:1<7<510:2e?75;k1vwl7<6918:6<<;4:xe7g=83:1>7?>83:9572c3tqj>l4?:181>47?:o0:>884}zc1e?6=8381=<6<6;311c=zsh8j6=4?:3825=5c288=46sta3c94?6=:3;:49:51352?x}f:h0;6=4=:03;0g<6:>k0qvo=a;294?4=982>>7?=829~d4f290;6?4>197:>44?k2wpm?o50;296?760?:1=?7:;|yb6d<72909650;0954>1m3;9m85r{`0b>5<72;0:=59::00bg>{|i;k1<7>52;32<2e=9;h87pun2`83>5<528;34>4>2cc8y~g5i3:1<7<510:;e?75k81vwl7<6913:6<7?>88:957ea3tqj>l4?:181>47?1o0:>i84}zc1e?6=8381=<6n6;31`a=zsh8j6=4?:3825=gc288n86sta3c94?6=:3;:4o:513ga?x}f:h0;6=4=:03;fg<6:o80qvo=a;294?4=982h>7?=f89~d4f290;6?4>19a:>45782wpm?o50;296?760m:1=>>8;|yb6d<72909650;0954>cm3;8=85r{`0b>5<72;0:=5k::012g>{|i;k1<7>52;32<`e=9:887pun2`83>5<528;3j>4>33c8y~g5i3:1<7<510:ee?74;81vwl7<690::6<=<8:xe7g=83:1>7?>91:9565a3tqj>l4?:181>47>8o0:?984}zc1e?6=8381=<7>6;300a=zsh8j6=4?:3825<7c289>86sta3c94?6=:3;:5?:5127a?x}f:h0;6=4=:03:6g<6;?80qvo=a;294?4=9838>7?<689~d4f290;6?4>181:>45082wpm?o50;296?761=:1=>96;|yb6d<72909650;0954?3k3;85=5r{`0b>5<72;0:=4;?:01:0>{|i;k1<7>52;32=03=9:327pun2`83>5<528;2944>38f8y~g5i3:1<7<510;6a?74i;1vwl7<690<96<=n6:xe7g=83:1>7?>975956ge3tqj>l4?:181>47>>k0:?lh4}zc1e?6=8381=<79f;30f6=zsh8j6=4?:3825<13289i46sta3c94?6=:3;:5:6512``?x}f:h0;6=4=:03:3f<6;j:0qvo=a;294?4=9833=7?18:6>45d12wpm?o50;296?761131=>mk;|yb6d<72909650;0954?>:3;8h;5r{`0b>5<72;0:=479:01ge>{|i;k1<7>52;32=5<528;25k4>3d18y~g5i3:1<7<510;b7?74m>1vwl7<690k36<=jc:xe7g=83:1>7?>9`a956`73tqj>l4?:181>47>j90:?k:4}zc1e?6=8381=<7m5;30b<=zsh8j6=4?:3825289mh6sta3c94?6=:3;:5oj51522?x}f:h0;6=4=:03:g7<6<9<0qvo=a;294?4=983h:7?;0`9~d4f290;6?4>18aa>427n2wpm?o50;296?761jl1=9?<;|yb6d<72909650;0954?c03;?=n5r{`0b>5<72;0:=4jl:0614>{|i;k1<7>52;32=`7=9=8>7pun2`83>5<528;2i84>43;8y~g5i3:1<7<510;fe?73:l1vwl7<690on6<:<2:xe7g=83:1>7?>9g1951503tqj>l4?:181>47>n>0:8>l4}zc1e?6=8381=<7ic;3705=zsh8j6=4?:3825d6728>?86sta3c94?6=:3;:m=;5156:?x}f:h0;6=4=:03b4<<6<=n0qvo=a;294?4=98k;i7?;539~d4f290;6?4>1`31>422>2wpm?o50;296?76i8=1=9;m;|yb6d<72909650;0954g583;?:95r{`0b>5<72;0:=l<;:065<>{|i;k1<7>52;32e7?=9=5<528;j>i4>4638y~g5i3:1<7<510c06?73??1vwl7<69h9=6<:8a:xe7g=83:1>7?>a2`9511a3tqj>l4?:181>47f;o0:85=4}zc1e?6=8381=3o6sta3c94?6=:3;:m9j515;2?x}f:h0;6=4=:03b14<6<0?0qvo=a;294?4=98k>:7?;9`9~d4f290;6?4>1`7b>42>m2wpm?o50;296?76i50;0954g103;?mn5r{`0b>5<72;0:=l8l:06a4>{|i;k1<7>52;32e27=9=h>7pun2`83>5<528;j;84>4c;8y~g5i3:1<7<510c4e?73jl1vwl7<69h=n6<:l2:xe7g=83:1>7?>a91951e03tqj>l4?:181>47f0>0:8nl4}zc1e?6=8381=o86sta3c94?6=:3;:m4;515f:?x}f:h0;6=4=:03b=<<61`c1>42b>2wpm?o50;296?76ih=1=9km;|yb6d<72909650;0954ge83;?j95r{`0b>5<72;0:=ll;:06e<>{|i;k1<7>52;32eg?=9=lo7pun2`83>5<528;jni4>5138y~g5i3:1<7<510c`6?728?1vwl7<69hi=6<;?a:xe7g=83:1>7?>ab`9506a3tqj>l4?:181>47fko0:9<=4}zc1e?6=8381=1`gb>435m2wpm?o50;296?76ill1=8=<;|yb6d<72909650;0954ga?3;>?o5r{`0b>5<72;0:=lhm:070b>{|i;k1<7>52;32f56=9<>?7pun2`83>5<528;i<94>55:8y~g5i3:1<7<510`37<69k:o6<;:1:xe7g=83:1>7?>b03950323tqj>l4?:181>47e9<0:9874}zc1e?6=8381=a;361`=zsh8j6=4?:3825g7b28?=>6sta3c94?6=:3;:n?<51445?x}f:h0;6=4=:03a62<6=?h0qvo=a;294?4=98h9n7?:6g9~d4f290;6?4>1c0e>430;2wpm?o50;296?76j:>1=897;|yb6d<72909650;0954d4k3;>4=5r{`0b>5<72;0:=o:>:07;1>{|i;k1<7>52;32f13=9<227pun2`83>5<528;i844>59f8y~g5i3:1<7<510`7a?721;1vwl7<69k?96<;66:xe7g=83:1>7?>b44950?f3tqj>l4?:181>47e=k0:94h4}zc1e?6=8381=1c56>43e12wpm?o50;296?76j>31=8lk;|yb6d<72909650;0954d?:3;>o;5r{`0b>5<72;0:=o69:07`e>{|i;k1<7>52;32f=g=95<528;i4k4>5e18y~g5i3:1<7<510`:7?72l>1vwl7<69k3<6<;kb:xe7g=83:1>7?>b8a950c73tqj>l4?:181>47ei90:9h:4}zc1e?6=8381=1c`b>43am2wpm?o50;296?76jkl1=;><;|yb6d<72909650;0954dd03;=5<72;0:=oml:0424>{|i;k1<7>52;32fa7=9?;>7pun2`83>5<528;ih84>60;8y~g5i3:1<7<510`ge?719l1vwl7<69knn6<8=2:xe7g=83:1>7?>bd1953403tqj>l4?:181>47em>0::?l4}zc1e?6=8381=:n0qvo=a;294?4=98hmh7?9409~d4f290;6?4>1b21>403>2wpm?o50;296?76k9<1=;:n;|yb6d<72909650;0954e7n3;=9>5r{`0b>5<72;0:=n?;:046<>{|i;k1<7>52;32g4>=9??h7pun2`83>5<528;h=i4>6738y~g5i3:1<7<510a15?71><1vwl7<69j8=6<89a:xe7g=83:1>7?>c3c9530b3tqj>l4?:181>47d:o0:::=4}zc1e?6=8381=m517:3?x}f:h0;6=4=:03`04<6>1?0qvo=a;294?4=98i?97?9889~d4f290;6?4>1b6b>40?m2wpm?o50;296?76k=o1=;7=;|yb6d<72909650;0954e2?3;=5o5r{`0b>5<72;0:=n;l:04b4>{|i;k1<7>52;32g36=9?k?7pun2`83>5<528;h:84>6`;8y~g5i3:1<7<510a5=?71im1vwl7<69j7?>c63953d23tqj>l4?:181>47d??0::oo4}zc1e?6=8381=6sta3c94?6=:3;:o5=517a4?x}f:h0;6=4=:03`<2<6>jh0qvo=a;294?4=98i3n7?9cg9~d4f290;6?4>1b;3>40c<2wpm?o50;296?76k0>1=;j7;|yb6d<72909650;0954e>l3;=i<5r{`0b>5<72;0:=no>:04f1>{|i;k1<7>52;32gd0=9?oj7pun2`83>5<528;hml4>6dg8y~g5i3:1<7<510abb?71n:1vwl7<69jh86<8i7:xe7g=83:1>7?>cc:953`d3tqj>l4?:181>47djj0:;=>4}zc1e?6=8381=7?8179~d4f290;6?4>1bf5>416i2wpm?o50;296?76kmk1=:?j;|yb6d<72909650;0954eb;3;<>:5r{`0b>5<72;0:=nk8:051f>{|i;k1<7>52;32g`e=9>9;7pun2`83>5<528;hj=4>7268y~g5i3:1<7<510ae0?70;11vwl7<69jl26<97?>cgf952263tqj>l4?:181>47c880:;9;4}zc1e?6=8381=1e3`>41182wpm?o50;296?76l;;1=:8:;|yb6d<72909650;0954b513;<:i5r{`0b>5<72;0:=i{|i;k1<7>52;32`64=9>==7pun2`83>5<528;o?:4>76`8y~g5i3:1<7<510f0f?70?o1vwl7<69m>;6<974:xe7g=83:1>7?>d56952>?3tqj>l4?:181>47c<00:;5j4}zc1e?6=8381=j7?8a29~d4f290;6?4>1e47>41f02wpm?o50;296?76l?21=:ol;|yb6d<72909650;0954b093;5<72;0:=i9::05a=>{|i;k1<7>52;32`2d=9>hm7pun2`83>5<528;o4=4>7b68y~g5i3:1<7<510f;2?70kh1vwl7<69m2i6<9lf:xe7g=83:1>7?>d83952b23tqj>l4?:181>47c1?0:;io4}zc1e?6=8381=7?8f79~d4f290;6?4>1e`4>41aj2wpm?o50;296?76lkn1=5>>;|yb6d<72909650;0954bd03;35<72;0:=imk:0:25>{|i;k1<7>52;32`a5=91;<7pun2`83>5<528;oh54>80a8y~g5i3:1<7<510fga?7?:;1vwl7<69mo86<6=7:xe7g=83:1>7?>dd;95=4c3tqj>l4?:181>47cml0:4><4}zc1e?6=8381=2828h6sta3c94?6=:3;:hkh51960?x}f:h0;6=4=:03f41<60=20qvo=a;294?4=98o;m7?74d9~d4f290;6?4>1d2e>4>2;2wpm?o50;296?76m8?1=5;6;|yb6d<72909650;0954c583;3:95r{`0b>5<72;0:=h<::0:5=>{|i;k1<7>52;32a7g=915<528;n>k4>8618y~g5i3:1<7<510g00?7??11vwl7<69l926<68d:xe7g=83:1>7?>e2g95=>53tqj>l4?:181>47b<:0:4594}zc1e?6=8381=o7?7a19~d4f290;6?4>1d42>4>f=2wpm?o50;296?76m?<1=5on;|yb6d<72909650;0954c083;3n95r{`0b>5<72;0:=h9::0:a=>{|i;k1<7>52;32a2g=91hn7pun2`83>5<528;n;k4>8b18y~g5i3:1<7<510g;0?7?k11vwl7<69l226<6ld:xe7g=83:1>7?>e9g95=b53tqj>l4?:181>47b1:0:4i94}zc1e?6=8381=1d`2>4>a=2wpm?o50;296?76mk<1=5hn;|yb6d<72909650;0954cd83;2<95r{`0b>5<72;0:=hm::0;3=>{|i;k1<7>52;32afg=90:n7pun2`83>5<528;nok4>9018y~g5i3:1<7<510gg0?7>911vwl7<69ln26<7>d:xe7g=83:1>7?>eeg95<453tqj>l4?:181>47bm:0:5?94}zc1e?6=8381=1g22>4?3=2wpm?o50;296?76n9<1=4:n;|yb6d<72909650;0954`683;2995r{`0b>5<72;0:=k?::0;6=>{|i;k1<7>52;32b4g=90?n7pun2`83>5<528;m=k4>9718y~g5i3:1<7<510d10?7>>11vwl7<69o826<79d:xe7g=83:1>7?>f3g95<153tqj>l4?:181>47a;:0:5:94}zc1e?6=8381=1g72>4?>=2wpm?o50;296?76n<<1=47n;|yb6d<72909650;0954`183;2m95r{`0b>5<72;0:=k8::0;b=>{|i;k1<7>52;32b3g=90kn7pun2`83>5<528;m:h4>9c08y~g5i3:1<7<510d47?7>j>1vwl7<69o=<6<7mb:xe7g=83:1>7?>f6a95l4?:181>47a090:5n:4}zc1e?6=8381=>283hh6sta3c94?6=:3;:j5k518f1?x}f:h0;6=4=:03e=7<61m<0qvo=a;294?4=98l2;7?6dc9~d4f290;6?4>1g;a>4?cn2wpm?o50;296?76nh:1=4k;;|yb6d<72909650;0954`f13;2ii5r{`0b>5<72;0:=kok:0;e5>{|i;k1<7>52;32bg4=90l=7pun2`83>5<528;mn;4>9gc8y~g5i3:1<7<510dae?7>nl1vwl7<69ohm67?>fb195d603tqj>l4?:181>47ak10:m=m4}zc1e?6=8381=1gg0>4g5?2wpm?o50;296?76nl=1=l50;0954`a83;j?95r{`0b>5<72;0:=kh::0c0=>{|i;k1<7>52;32bc?=9h9o7pun2`83>5<528;mjh4>a508y~g5i3:1<7<513236?7f7<6:9:<67?=01`95d2a3tqj>l4?:181>447990:m8:4}zc1e?6=8381=?>>4;3b1==zsh8j6=4?:382657?28k>o6sta3c94?6=:3;9<210b>4g1m2wpm?o50;296?758;l1=l9<;|yb6d<729096<50;09576403;j;n5r{`0b>5<72;0:>==l:0c;4>{|i;k1<7>52;31417=9h2>7pun2`83>5<5288;884>a9;8y~g5i3:1<7<51327e?7f0l1vwl7<6:9>n67?=04195d?03tqj>l4?:181>447=>0:m4l4}zc1e?6=8381=?>:c;3be5=zsh8j6=4?:382650728kj86sta3c94?6=:3;9<;;51`c:?x}f:h0;6=4=:0032<<6ihn0qvo=a;294?4=9;:=i7?nb39~d4f290;6?4>2151>4ge>2wpm?o50;296?758>=1=llm;|yb6d<729096<50;095760n3;jo>5r{`0b>5<72;0:>=6;:0c`<>{|i;k1<7>52;314=>=9hih7pun2`83>5<5288;4i4>ae38y~g5i3:1<7<5132:5?7fl<1vwl7<6:93=67?=08c95dbb3tqj>l4?:181>4471o0:mh=4}zc1e?6=8381=?>n3;3ba2=zsh8j6=4?:38265g?28kno6sta3c94?6=:3;921`b>4gam2wpm?o50;296?758ko1=o>=;|yb6d<729096<50;09576d?3;i5<72;0:>=ml:0`24>{|i;k1<7>52;314a6=9k;?7pun2`83>5<5288;h94>b0:8y~g5i3:1<7<5132g7<6:9no67?=0d395g423tqj>l4?:181>447m<0:n?74}zc1e?6=8381=?>ja;3a6`=zsh8j6=4?:38265cb28h8>6sta3c94?6=:3;921de>4d3;2wpm?o50;296?7599>1=o:7;|yb6d<729096<<>0982f1e50;095777k3;i9=5r{`0b>5<72;0:>:0`61>{|i;k1<7>52;31543=9k?27pun2`83>5<5288:=44>b4f8y~g5i3:1<7<51332a?7e>;1vwl7<6:88967?=13495g0f3tqj>l4?:181>446:k0:n;h4}zc1e?6=8381=??=f;3a36=zsh8j6=4?:382645428h<;6sta3c94?6=:3;9=>651c5`?x}f:h0;6=4=:0027f<6j1:0qvo=a;294?4=9;;?<7?m859~d4f290;6?4>2066>4d?12wpm?o50;296?759=31=o6k;|yb6d<729096<<>4e82f<750;095772:3;i5;5r{`0b>5<72;0:><;9:0`:e>{|i;k1<7>52;3150g=9k3n7pun2`83>5<5288:9k4>b`18y~g5i3:1<7<513357?7ei>1vwl7<6:8<<67?=17a95gd73tqj>l4?:181>446?90:no:4}zc1e?6=8381=??84;3af==zsh8j6=4?:382641>28hih6sta3c94?6=:3;9=:j51ca2?x}f:h0;6=4=:002<4<6jj?0qvo=a;294?4=9;;3:7?mc`9~d4f290;6?4>20:b>4ddm2wpm?o50;296?7591o1=oj=;|yb6d<729096<<>9382fa050;09577>>3;ihl5r{`0b>5<72;0:><7m:0`gb>{|i;k1<7>52;315<`=9ko87pun2`83>5<5288:m>4>bd58y~g5i3:1<7<5133b7<6:8kh67?=1c295g`33tqj>l4?:181>446j<0:nk74}zc1e?6=8381=??m9;3aba=zsh8j6=4?:38264dc28i;=6sta3c94?6=:3;9=n<51b25?x}f:h0;6=4=:002g3<6k9k0qvo=a;294?4=9;;hm7?l0d9~d4f290;6?4>20ae>4e6;2wpm?o50;296?759m91=n?8;|yb6d<729096<<>d682g4d50;09577ck3;h>=5r{`0b>5<72;0:>{|i;k1<7>52;315`2=9j837pun2`83>5<5288:i44>c3f8y~g5i3:1<7<5133f`?7d;81vwl7<6:8l:67?=1g495f5f3tqj>l4?:181>446nh0:o>k4}zc1e?6=8381=??ie;3`07=zsh8j6=4?:382676428i?;6sta3c94?6=:3;9>=951b6a?x}f:h0;6=4=:0014g<6k=l0qvo=a;294?4=9;8:<7?l559~d4f290;6?4>2337>4e202wpm?o50;296?75:821=n;l;|yb6d<729096<<=1e82g3750;09574593;h:85r{`0b>5<72;0:>?<::0a5=>{|i;k1<7>52;3167g=9j5<52889>h4>c608y~g5i3:1<7<513006?7d??1vwl7<6:;9<67?=22`95f1a3tqj>l4?:181>445;o0:o5=4}zc1e?6=8381=?<;4;3`<==zsh8j6=4?:382672?28i3o6sta3c94?6=:3;9>9m51b;3?x}f:h0;6=4=:00115<6k0>0qvo=a;294?4=9;8>87?l999~d4f290;6?4>237:>4e>l2wpm?o50;296?75:;|yb6d<729096<<=6082gd350;095741>3;hml5r{`0b>5<72;0:>?8n:0aba>{|i;k1<7>52;3163c=9jh97pun2`83>5<52889;>4>cc58y~g5i3:1<7<513043?7djk1vwl7<6:;=i67?=29295fe33tqj>l4?:181>4450=0:on64}zc1e?6=8381=?<78;3`gf=zsh8j6=4?:38267>c28io=6sta3c94?6=:3;9>4?51bf6?x}f:h0;6=4=:001=0<6km30qvo=a;294?4=9;82m7?ldd9~d4f290;6?4>23;f>4eb:2wpm?o50;296?75:h81=nk9;|yb6d<729096<<=a682g`d50;09574fj3;hik5r{`0b>5<72;0:>?oi:0ae7>{|i;k1<7>52;316g2=9jl37pun2`83>5<52889n54>cga8y~g5i3:1<7<5130ag?7c891vwl7<6:;i:67?=2b795a6>3tqj>l4?:181>445k00:h=j4}zc1e?6=8381=?i851e3b?x}f:h0;6=4=:001`g<6l8l0qvo=a;294?4=9;8oj7?k229~d4f290;6?4>23g0>4b5?2wpm?o50;296?75:l21=i50;09574a83;o?95r{`0b>5<72;0:>?h::0f0=>{|i;k1<7>52;316c?=9m9o7pun2`83>5<52889ji4>d538y~g5i3:1<7<513136?7c7<6:::=67?=31c95a2b3tqj>l4?:181>4448o0:h8=4}zc1e?6=8381=?=>3;3g12=zsh8j6=4?:382667028n>n6sta3c94?6=:3;9?0qvo=a;294?4=9;9987?k699~d4f290;6?4>220:>4b1l2wpm?o50;296?75;;n1=i9>;|yb6d<729096<<<3082`2350;095754>3;o;l5r{`0b>5<72;0:>>=n:0f4a>{|i;k1<7>52;3176c=9m297pun2`83>5<528888>4>d958y~g5i3:1<7<513173?7c0k1vwl7<6::>i67?=34295a?33tqj>l4?:181>444==0:h464}zc1e?6=8381=?=:8;3g=f=zsh8j6=4?:382663c28nj=6sta3c94?6=:3;9?;?51ec6?x}f:h0;6=4=:00020<6lh30qvo=a;294?4=9;9=m7?kad9~d4f290;6?4>224f>4be:2wpm?o50;296?75;>81=il9;|yb6d<729096<<<7682`gd50;095750j3;onk5r{`0b>5<72;0:>>9i:0f`7>{|i;k1<7>52;317=2=9mi37pun2`83>5<52888454>dba8y~g5i3:1<7<5131;g?7cl91vwl7<6::3:67?=38795ab>3tqj>l4?:181>444100:hij4}zc1e?6=8381=?=6e;3ga7=zsh8j6=4?:38266g528nn:6sta3c94?6=:3;9?l851egb?x}f:h0;6=4=:000eg<6lll0qvo=a;294?4=9;9jj7?kf29~d4f290;6?4>22`0>4ba?2wpm?o50;296?75;k21=ihl;|yb6d<729096<<50;09575d83;n<95r{`0b>5<72;0:>>m::0g3=>{|i;k1<7>52;317f?=9l:o7pun2`83>5<52888oi4>e038y~g5i3:1<7<5131g6?7b9?1vwl7<6::n=6a:xe7g=83:1>7?=3ec95`7b3tqj>l4?:181>444lo0:i?=4}zc1e?6=8381=?=j3;3f62=zsh8j6=4?:38266c028o9n6sta3c94?6=:3;9?hl51d0e?x}f:h0;6=4=:000b5<6m:>0qvo=a;294?4=9;9m97?j389~d4f290;6?4>22db>4c4m2wpm?o50;296?75;ol1=h:<;|yb6d<729096<<;0582a1>50;09572713;n8i5r{`0b>5<72;0:>9>j:0g66>{|i;k1<7>52;31045=9l?<7pun2`83>5<5288?=54>e4a8y~g5i3:1<7<51362`?7b>81vwl7<6:=8967?=43595`0e3tqj>l4?:181>443:j0:i:>4}zc1e?6=8381=?:<1;3f30=zsh8j6=4?:382615128ol51d5e?x}f:h0;6=4=:00705<6m1>0qvo=a;294?4=9;>?97?j889~d4f290;6?4>256b>4c?m2wpm?o50;296?75<=l1=h7<;|yb6d<729096<<;5582a<>50;09572213;n5i5r{`0b>5<72;0:>9;j:0gb6>{|i;k1<7>52;31035=9lk<7pun2`83>5<5288?:54>e`a8y~g5i3:1<7<51365`?7bj81vwl7<6:==967?=46595`de3tqj>l4?:181>443?j0:in>4}zc1e?6=8381=?:71;3fg0=zsh8j6=4?:38261>128ohm6sta3c94?6=:3;985l51dae?x}f:h0;6=4=:007=5<6mm>0qvo=a;294?4=9;>297?jd89~d4f290;6?4>25;b>4ccm2wpm?o50;296?75<0l1=hk<;|yb6d<729096<<;a582a`>50;09572f13;nii5r{`0b>5<72;0:>9oj:0ge6>{|i;k1<7>52;310g5=9ll<7pun2`83>5<5288?n54>ega8y~g5i3:1<7<5136a`?7a881vwl7<6:=i967?=4b595c6e3tqj>l4?:181>443kj0:j<>4}zc1e?6=8381=?:k1;3e50=zsh8j6=4?:38261b128l:m6sta3c94?6=:3;98il51g3e?x}f:h0;6=4=:007a5<6n;>0qvo=a;294?4=9;>n97?i289~d4f290;6?4>25gb>4`5m2wpm?o50;296?7550;09572a13;m?i5r{`0b>5<72;0:>9hj:0d76>{|i;k1<7>52;31155=9o><7pun2`83>5<5288><54>f5a8y~g5i3:1<7<51373`?7a=81vwl7<6:<;967?=50595c3e3tqj>l4?:181>4429j0:j;>4}zc1e?6=8381=?;=1;3e20=zsh8j6=4?:382604128l=m6sta3c94?6=:3;99?l51g4e?x}f:h0;6=4=:00675<6n>>0qvo=a;294?4=9;?897?i789~d4f290;6?4>241b>4`0m2wpm?o50;296?75=:l1=k6<;|yb6d<729096<<:4582b=>50;09573313;m4i5r{`0b>5<72;0:>8:j:0d:6>{|i;k1<7>52;31105=9o3<7pun2`83>5<5288>954>f8a8y~g5i3:1<7<51376`?7ai81vwl7<6:<<967?=57595cge3tqj>l4?:181>442>j0:jo>4}zc1e?6=8381=?;81;3ef0=zsh8j6=4?:382601128lim6sta3c94?6=:3;99:l51g`e?x}f:h0;6=4=:006<5<6nj>0qvo=a;294?4=9;?397?ic89~d4f290;6?4>24:b>4`dm2wpm?o50;296?75=1l1=kj<;|yb6d<729096<<:9582ba>50;09573>13;mhi5r{`0b>5<72;0:>87j:0df6>{|i;k1<7>52;311d5=9oo<7pun2`83>5<5288>m54>fda8y~g5i3:1<7<5137b`?7an81vwl7<6:7?=5c595c`e3tqj>l4?:181>442jj09<=>4}zc1e?6=8381=?;l1;0340=zsh8j6=4?:38260e12;:;m6sta3c94?6=:3;99nl5212e?x}f:h0;6=4=:006`5<588>0qvo=a;294?4=9;?o9724fb>766m2wpm?o50;296?75=ml1>=<<;|yb6d<729096<<:e58147>50;09573b138;>i5r{`0b>5<72;0:>8kj:3206>{|i;k1<7>52;311c5=:99<7pun2`83>5<5288>j54=02a8y~g5i3:1<7<5137e`?47<81vwl7<6:?:96?>;6:xe7g=83:1>7?=6159652e3tqj>l4?:181>4418j09<8>4}zc1e?6=8381=?8>1;0310=zsh8j6=4?:38263712;:>m6sta3c94?6=:3;9:0qvo=a;294?4=9;<997270b>761m2wpm?o50;296?75>;l1>=9<;|yb6d<729096<<9358142>50;095704138;;i5r{`0b>5<72;0:>;=j:32;6>{|i;k1<7>52;31215=:92<7pun2`83>5<5288=854=09a8y~g5i3:1<7<51347`?47181vwl7<6:??96?>66:xe7g=83:1>7?=645965?e3tqj>l4?:181>441=j094}zc1e?6=8381=?891;03e0=zsh8j6=4?:38263012;:jm6sta3c94?6=:3;9:;l521ce?x}f:h0;6=4=:00535<58k>0qvo=a;294?4=9;<<97275b>76em2wpm?o50;296?75>>l1>=m<;|yb6d<729096<<985814f>50;09570?138;oi5r{`0b>5<72;0:>;6j:32g6>{|i;k1<7>52;312<5=:9n<7pun2`83>5<5288=554=0ea8y~g5i3:1<7<5134:`?47m81vwl7<6:?k96?>j6:xe7g=83:1>7?=6`5965ce3tqj>l4?:181>441ij094}zc1e?6=8381=?8nf;03b6=zsh8j6=4?:38263d52;:m:6sta3c94?6=:3;9:o;521d:?x}f:h0;6=4=:005f=<58oi0qvo=a;294?4=9;27`f>777:2wpm?o50;296?75>j;1><>:;|yb6d<729096<<9c58155>50;09570d?38:5<72;0:>;mn:333a>{|i;k1<7>52;312fb=:8;:7pun2`83>5<5288=h=4=1068y~g5i3:1<7<5134g7?469>1vwl7<6:?n=6??>a:xe7g=83:1>7?=6e;9647c3tqj>l4?:181>441lj09=?>4}zc1e?6=8381=?8kf;0266=zsh8j6=4?:38263c52;;9:6sta3c94?6=:3;9:h75200e?x}f:h0;6=4=:005b5<59:20qvo=a;294?4=9;409~d4f290;6?4>27df>773i2wpm?o50;296?75?9?1><;<;|yb6d<729096<<80b8150e50;095716;38::85r{`0b>5<72;0:>:?n:335a>{|i;k1<7>52;31377=:8=<7pun2`83>5<5288<>54=1928y~g5i3:1<7<51351b?46001vwl7<6:>9=6??62:xe7g=83:1>7?=72f964?e3tqj>l4?:181>440<=09=l:4}zc1e?6=8381=?9;9;02e<=zsh8j6=4?:382622b2;;ji6sta3c94?6=:3;9;8=520`0?x}f:h0;6=4=:0041<<59k30qvo=a;294?4=9;=>j7<>bg9~d4f290;6?4>2647>77d<2wpm?o50;296?75??31>50;095710;38:h>5r{`0b>5<72;0:>:97:33g<>{|i;k1<7>52;3132c=:8nn7pun2`83>5<5288<494=1d68y~g5i3:1<7<5135;=?46m01vwl7<6:>2n6??je:xe7g=83:1>7?=781964`43tqj>l4?:181>4401109=k64}zc1e?6=8381=?96d;02ba=zsh8j6=4?:38262g42;8;?6sta3c94?6=:3;9;l75232:?x}f:h0;6=4=:004e`<5:9o0qvo=a;294?4=9;=i?7<=129~d4f290;6?4>26`;>74602wpm?o50;296?75?kn1>??k;|yb6d<729096<<8c38167450;09571d0389>55r{`0b>5<72;0:>:mj:301a>{|i;k1<7>52;313a5=:;987pun2`83>5<52887<6:>o96?<;2:xe7g=83:1>7?=7d5967203tqj>l4?:181>440mm09>9j4}zc1e?6=8381=?9i3;0116=zsh8j6=4?:38262`?2;8>46sta3c94?6=:3;9;kj5237g?x}f:h0;6=4=:00;47<5:?80qvo=a;294?4=9;2;;7<=669~d4f290;6?4>292`>741k2wpm?o50;296?750881>?9=;|yb6d<729096<<7198162>50;0957>6l389;i5r{`0b>5<72;0:>5<=:30;6>{|i;k1<7>52;31<71=:;2<7pun2`83>5<52883>n4=29a8y~g5i3:1<7<513:05?45181vwl7<6:19<6?<67:xe7g=83:1>7?=82f967?c3tqj>l4?:181>44?<;09>l<4}zc1e?6=8381=?6;7;01e2=zsh8j6=4?:3826=2d2;8jo6sta3c94?6=:3;948?523`2?x}f:h0;6=4=:00;13<5:k<0qvo=a;294?4=9;2>o7<=bb9~d4d290;6?4>297g>74en2wpm?m50;296?750?lk;|yb6f<729096<<75g816gc50;0957>18389o=5r{`0b>5<72;0:>58n:30`5>{|i;k1<7>52;31<3`=:;i=7pun2`83>5<52883;94=2b`8y~g5i3:1<7<513:4=?45l91vwl7<6:1=n6?7?=891967bf3tqj>n4?:181>44?0=09>ij4}zc1g?6=8381=?675;01`g=zsh8h6=4?:3826=>12;8oo6sta3a94?6=:3;9459523ff?x}f:h0;6=4=:00;=4<5:ml0qvo=a;294?4=9;22:7<=e59~d4f290;6?4>29;a>74b12wpm?o50;296?750h:1>?kj;|yb6d<729096<<7a4816c550;0957>fi389j55r{`0`>5<72;0:>5om:30ef>{|i;i1<7>52;315<52883mi4=2gc8y~g5k3:1<7<513:ba?45nj1vwl7<6:1h36?7?=8cf966653tqj>l4?:181>44?k;09?=94}zc1e?6=8381=?6l7;004f=zsh8j6=4?:3826=ed2;9:=6sta3c94?6=:3;94i?52235?x}f:j0;6=4=:00;`7<5;830qvo=c;294?4=9;2o?7<<169~d4d290;6?4>29f7>75602wpm?m50;296?750m?1>>?n;|yb6d<729096<<7dg8174d50;0957>b<388>=5r{`0b>5<72;0:>5k6:3111>{|i;k1<7>52;31<`c=::8j7pun2`83>5<52883j>4=33d8y~g5i3:1<7<513:e7<6:1ln6?=7?=916966273tqj>l4?:181>44>8009?9;4}zc1e?6=8381=?7?e;000d=zsh8j6=4?:3826<742;9?j6sta3c94?6=:3;95<652277?x}f:h0;6=4=:00:5a<5;<30qvo=c;294?4=9;3:i7<<5b9~d4d290;6?4>283e>752i2wpm?m50;296?751;:1>>;m;|yb6f<729096<<6208170b50;0957?5j3889h5r{`0b>5<72;0:>4=?:3157>{|i;k1<7>52;31=63=::<37pun2`83>5<52882?l4=37f8y~g5i3:1<7<513;0b?44?;1vwl7<6:0>?6?=87:xe7e=83:1>7?=9579661f3tqj>n4?:181>44>o7<<879~d4f290;6?4>2842>75?j2wpm?o50;296?751?<1>>7?;|yb6d<729096<<66c817<350;0957?1k388555r{`0`>5<72;0:>48k:31:2>{|i;i1<7>52;31=3c=::3<7pun2b83>5<52882:k4=38;8y~g5i3:1<7<513;4=?441h1vwl7<6:0=n6?=6f:xe7g=83:1>7?=991966g33tqj>l4?:181>44>0109?l74}zc1e?6=8381=?77d;00e`=zsh8j6=4?:38260qvo=c;294?4=9;3297<28;5>75e?2wpm?o50;296?751h:1>>l7;|yb6d<729096<<6a4817gb50;0957?fi388o?5r{`0b>5<72;0:>4oi:31`3>{|i;k1<7>52;31=g2=::ih7pun2`83>5<52882n44=3e38y~g5k3:1<7<513;ae?44l=1vwl7<6:0hi6?=k2:xe7e=83:1>7?=9ca966b43tqj>n4?:181>44>jm09?i;4}zc1e?6=8381=?7l7;00`3=zsh8j6=4?:382628g3>75bn2wpm?m50;296?751l;1>>h=;|yb6f<729096<<6e3817c650;0957?b;388j<5r{`0`>5<72;0:>4k;:31e7>{|i;k1<7>52;31=`c=::l?7pun2`83>5<52882j>4=3g;8y~g5i3:1<7<513;e7<6:0lo6?:?3:xe7g=83:1>7?=a109616?3tqj>l4?:181>44f8>098=j4}zc1g?6=8381=?o?8;0755=zsh8h6=4?:3826d6>2;>;i6sta3a94?6=:3;9m=o5252e?x}f:j0;6=4=:00b4g<5<8;0qvo=a;294?4=9;k:97<;139~d4d290;6?4>2`35>726=2wpm?m50;296?75i8=1>9?<;|yb6f<729096<50;0957g6138?=;5r{`0b>5<72;0:>l<<:3623>{|i;k1<7>52;31e7>=:=;h7pun2`83>5<5288j>i4=4338y~g5i3:1<7<513c06?43:?1vwl7<6:h9<6?:=b:xe7g=83:1>7?=a2a961573tqj>n4?:181>44f;m098>=4}zc1g?6=8381=?o8>6sta3a94?6=:3;9m9>52517?x}f:h0;6=4=:00b0d<5<:?0qvo=c;294?4=9;k?n7<;399~d4d290;6?4>2`6`>724>2wpm?m50;296?75i=n1>9=8;|yb6f<729096<50;0957g2038??l5r{`0b>5<72;0:>l;k:360b>{|i;k1<7>52;31e34=:=>?7pun2`83>5<5288j::4=45;8y~g5i3:1<7<513c5g?437<6:h=:6?::3:xe7e=83:1>7?=a60961313tqj>n4?:181>44f?:0988:4}zc1g?6=8381=?o84;0710=zsh8h6=4?:3826d122;>>;6sta3c94?6=:3;9m:h5257;?x}f:j0;6=4=:00b<5<5<2`:1>722i2wpm?m50;296?75i191>9;l;|yb6d<729096<50;0957g>:38?:?5r{`0b>5<72;0:>l78:3653>{|i;k1<7>52;31e5<5288jm<4=4638y~g5i3:1<7<513cb2?43??1vwl7<6:hk<6?:89:xe7e=83:1>7?=a`:961103tqj>n4?:181>44fi0098:64}zc1g?6=8381=?ona;073d=zsh8j6=4?:3826dd32;>i0qvo=c;294?4=9;ki;7<;7e9~d4d290;6?4>2``;>720n2wpm?o50;296?75ij81>96?;|yb6d<729096<50;0957gdk38?4l5r{`0b>5<72;0:>lj>:36;b>{|i;k1<7>52;31ea0=:=3?7pun2`83>5<5288jho4=48;8y~g5i3:1<7<513cf5?431o1vwl7<6:ho96?:n2:xe7e=83:1>7?=ad1961g73tqj>n4?:181>44fm=098l?4}zc1g?6=8381=?oj5;07e6=zsh8j6=4?:3826dca2;>j86sta3c94?6=:3;9mk:525c:?x}f:h0;6=4=:00bb<<52c20>72e02wpm?o50;296?75j921>9lk;|yb6f<729096<50;0957d7i38?nh5r{`0`>5<72;0:>o>m:36ab>{|i;i1<7>52;31f5e=:=i:7pun2`83>5<5288i=;4=4b08y~g5k3:1<7<513`23?43k<1vwl7<6:k;36?:l3:xe7e=83:1>7?=b0;961e33tqj>n4?:181>44e9h098n84}zc1e?6=8381=?l=4;07g2=zsh8j6=4?:3826g4>2;>ho6sta3c94?6=:3;9n?k525f2?x}f:h0;6=4=:00a76<52c1g>72b82wpm?m50;296?75j:o1>9k<;|yb6f<729096<50;0957d3838?i?5r{`0`>5<72;0:>o:>:36f0>{|i;k1<7>52;31f1d=:=o>7pun2b83>5<5288i8n4=4d:8y~g5k3:1<7<513`7`?43m?1vwl7<6:k>n6?:j7:xe7e=83:1>7?=b5d961c>3tqj>l4?:181>44e=0098ho4}zc1e?6=8381=?l:e;07ac=zsh8j6=4?:3826g042;>m86sta3c94?6=:3;9n;6525d:?x}f:h0;6=4=:00a2a<57<:029~d4f290;6?4>2c5;>73712wpm?m50;296?75j>31>8>l;|yb6f<729096<50;0957d0j38>5<72;0:>o9l:373`>{|i;k1<7>52;31f=0=:<:n7pun2`83>5<5288i4o4=5018y~g5i3:1<7<513`:4?42911vwl7<6:k3>6?;>d:xe7g=83:1>7?=b8c960453tqj>l4?:181>44e1o099?94}zc1e?6=8381=?ln5;066a=zsh8h6=4?:3826gg12;?8<6sta3a94?6=:3;9nl95240f?x}f:j0;6=4=:00ae=<5=;l0qvo=c;294?4=9;hj57<:309~d4f290;6?4>2c`0>734:2wpm?o50;296?75jk21>8=8;|yb6d<729096<50;0957dd:38>8<5r{`0b>5<72;0:>om8:3772>{|i;k1<7>52;31ffe=:<>i7pun2`83>5<5288ih?4=5438y~g5k3:1<7<513`g7?42==1vwl7<6:kn?6?;:2:xe7e=83:1>7?=be7960343tqj>n4?:181>44el?0998;4}zc1e?6=8381=?lj0;0613=zsh8j6=4?:3826gc22;?>n6sta3c94?6=:3;9nho52443?x}f:h0;6=4=:00aac<5=??0qvo=a;294?4=9;hm87<:6`9~d4f290;6?4>2cd:>731n2wpm?o50;296?75jol1>89:;|yb6f<729096<50;0957e7938>;;5r{`0`>5<72;0:>n>=:3743>{|i;i1<7>52;31g55=:<=27pun2`83>5<5288h7<6:j;<6?;74:xe7g=83:1>7?=c0a960>>3tqj>l4?:181>44d:80995k4}zc1e?6=8381=?m=6;06=6=zsh8j6=4?:3826f4d2;?256sta3a94?6=:3;9o?j524;`?x}f:j0;6=4=:00`6`<5=0k0qvo=c;294?4=9;i9j7<:9c9~d4d290;6?4>2b13>73>l2wpm?o50;296?75k:k1>87j;|yb6d<729096<50;0957e3<38>m55r{`0b>5<72;0:>n:6:37b`>{|i;k1<7>52;31g1c=:5<5288h9>4=5c58y~g5i3:1<7<513a6=?42jm1vwl7<6:j?m6?;l3:xe7g=83:1>7?=c76960e?3tqj>l4?:181>44d>0099nj4}zc1e?6=8381=?m9e;06`7=zsh8j6=4?:3826f142;?o;6sta3c94?6=:3;9o:6524f`?x}f:h0;6=4=:00`3`<5=l80qvo=a;294?4=9;i387<:e99~d4f290;6?4>2b::>73bl2wpm?o50;296?75k1o1>8h=;|yb6d<729096<50;0957e>k38=5<72;0:>no<:343=>{|i;k1<7>52;31gdg=:?;;7pun2`83>5<5288hn<4=6058y~g5i3:1<7<513aa7<6:jhm6?8=5:xe7g=83:1>7?=cb49634d3tqj>l4?:181>44dkm09:>=4}zc1e?6=8381=?mk4;057d=zsh8j6=4?:3826fbe2;=l0qvo=a;294?4=9;im<7<9579~d4f290;6?4>2bd4>702l2wpm?o50;296?75koo1>;8;;|yb6d<729096<50;0957b7k38=;?5r{`0b>5<72;0:>i?<:344=>{|i;k1<7>52;31`4g=:?2;7pun2`83>5<5288o><4=6958y~g5i3:1<7<513f17<6:m8m6?865:xe7g=83:1>7?=d24963?d3tqj>l4?:181>44c;m09:l=4}zc1e?6=8381=?j;4;05ed=zsh8j6=4?:3826a2e2;kl0qvo=a;294?4=9;n=<7<9c79~d4f290;6?4>2e44>70dl2wpm?o50;296?75l?o1>;j;;|yb6d<729096<50;0957b0k38=i?5r{`0b>5<72;0:>i6<:34f=>{|i;k1<7>52;31`=g=:?l;7pun2`83>5<5288o5<4=6g58y~g5i3:1<7<513f:7<6:m3m6?9?5:xe7g=83:1>7?=d`49626d3tqj>l4?:181>44cim09;<=4}zc1e?6=8381=?jm4;045d=zsh8j6=4?:3826ade2;=9=6sta3c94?6=:3;9hn<5260;?x}f:h0;6=4=:00gg<<5?;l0qvo=a;294?4=9;no<7<8379~d4f290;6?4>2ef4>714l2wpm?o50;296?75lmo1>::;;|yb6d<729096<50;0957bbk38<9?5r{`0b>5<72;0:>ih<:356=>{|i;k1<7>52;31`cg=:><;7pun2`83>5<5288n<<4=7758y~g5i3:1<7<513g3l1vwl7<6:l:m6?985:xe7g=83:1>7?=e049621d3tqj>l4?:181>44b9m09;5=4}zc1e?6=8381=?k=4;04<526;;?x}f:h0;6=4=:00f7<<5?0l0qvo=a;294?4=9;o?<7<8a79~d4f290;6?4>2d64>71fl2wpm?o50;296?75m=o1>:l;;|yb6d<729096<50;0957c2k385<72;0:>h8<:35`=>{|i;k1<7>52;31a3g=:>n;7pun2`83>5<5288n;<4=7e58y~g5i3:1<7<513g47<6:l=m6?9j5:xe7g=83:1>7?=e94962cd3tqj>l4?:181>44b0m09;k=4}zc1e?6=8381=?k64;04bd=zsh8j6=4?:3826`?e2;2;=6sta3c94?6=:3;9il<5292;?x}f:h0;6=4=:00fe<<509l0qvo=a;294?4=9;oi<7<7179~d4f290;6?4>2d`4>7>6l2wpm?o50;296?75mko1>5<;;|yb6d<729096<50;0957cdk383??5r{`0b>5<72;0:>hj<:3:0=>{|i;k1<7>52;31aag=:1>;7pun2`83>5<5288ni<4=8558y~g5i3:1<7<513gf7<6:lom6?6:5:xe7g=83:1>7?=eg496=3d3tqj>l4?:181>44bnm094;=4}zc1e?6=8381=?h?4;0;2d=zsh8j6=4?:3826c6e2;2<=6sta3c94?6=:3;9j<<5295;?x}f:h0;6=4=:00e5<<50>l0qvo=a;294?4=9;l9<7<7879~d4f290;6?4>2g04>7>?l2wpm?o50;296?75n;o1>57;;|yb6d<729096<50;0957`4k383m?5r{`0b>5<72;0:>k:<:3:b=>{|i;k1<7>52;31b1g=:1h;7pun2`83>5<5288m9<4=8c58y~g5i3:1<7<513d67<6:o?m6?6l5:xe7g=83:1>7?=f7496=ed3tqj>l4?:181>44a>m094i=4}zc1e?6=8381=?h84;0;`d=zsh8j6=4?:3826c1e2;2n=6sta3c94?6=:3;9j5<529g;?x}f:h0;6=4=:00e<<<50ll0qvo=a;294?4=9;l2<7<7f79~d4f290;6?4>2g;4>7>al2wpm?o50;296?75n0o1>4>;;|yb6d<729096<50;0957`fk382=?5r{`0b>5<72;0:>kl<:3;2=>{|i;k1<7>52;31bgg=:08;7pun2`83>5<5288mo<4=9358y~g5i3:1<7<513d`:l1vwl7<6:oim6?7<5:xe7g=83:1>7?=fe496<5d3tqj>l4?:181>44alm0959=4}zc1e?6=8381=?hj4;0:0d=zsh8j6=4?:3826cce2;3>=6sta3c94?6=:3;9jk<5287;?x}f:h0;6=4=:00eb<<513124>7?1l2wpm?o50;296?7489o1>49;;|yb6d<729096<=?1481=2d50;095666k3824?5r{`0b>5<72;0:?=<<:3;;=>{|i;k1<7>52;3047g=:03;7pun2`83>5<5289;?<4=9858y~g5i3:1<7<512201l1vwl7<6;99m6?7n5:xe7g=83:1>7?<05496l4?:181>457>:4;0:fd=zsh8j6=4?:382753e2;3h=6sta3c94?6=:3;8<;<528a;?x}f:h0;6=4=:0132<<51jl0qvo=a;294?4=9::<<7<6d79~d4f290;6?4>3154>7?cl2wpm?o50;296?748>o1>4k;;|yb6d<729096<=?8481=`d50;09566?k382j?5r{`0b>5<72;0:?=7<:3;e=>{|i;k1<7>52;3045<5289;m<4=a158y~g5i3:1<7<5122b7<6;9km6?o>5:xe7g=83:1>7?<0c496d7d3tqj>l4?:181>457jm09m?=4}zc1e?6=8381=>>l4;0b6d=zsh8j6=4?:38275ee2;k8=6sta3c94?6=:3;831g4>7g3l2wpm?o50;296?748lo1>l;;;|yb6d<729096<=?f481e0d50;09566ak38j:?5r{`0b>5<72;0:?<><:3c5=>{|i;k1<7>52;3055g=:h=;7pun2`83>5<5289:=<4=a658y~g5i3:1<7<512327<6;8;m6?o75:xe7g=83:1>7?<13496d>d3tqj>l4?:181>456:m09m4=4}zc1e?6=8381=>?<4;0b=d=zsh8j6=4?:382745e2;kj=6sta3c94?6=:3;8=9<52`c;?x}f:h0;6=4=:0120<<5ihl0qvo=a;294?4=9:;><73074>7gel2wpm?o50;296?749lm;;|yb6d<729096<=>6481efd50;095671k38jh?5r{`0b>5<72;0:?<9<:3cg=>{|i;k1<7>52;3052g=:ho;7pun2`83>5<5289:4<4=ad58y~g5i3:1<7<5123;7<6;82m6?oi5:xe7g=83:1>7?<18496d`d3tqj>l4?:181>4561m09n==4}zc1e?6=8381=>?n4;0a4d=zsh8j6=4?:38274ge2;h:=6sta3c94?6=:3;8=o<52c3;?x}f:h0;6=4=:012f<<5j8l0qvo=a;294?4=9:;h<730a4>7d5l2wpm?o50;296?749jo1>o=;;|yb6d<729096<=>d481f6d50;09567ck38i8?5r{`0b>5<72;0:?{|i;k1<7>52;305`g=:k?97pun2`83>5<5289:j<4=b4;8y~g5i3:1<7<5123e91vwl7<6;8lm6?l97:xe7g=83:1>7?<21496g0b3tqj>l4?:181>4558m09n:;4}zc1e?6=8381=><>4;0a3`=zsh8j6=4?:382777e2;h3;6sta3c94?6=:3;8>?<52c;3?x}f:h0;6=4=:0116<<5j030qvo=a;294?4=9:88<73314>7dfj2wpm?o50;296?74::o1>ol;;|yb6d<729096<==4481fgb50;095643k38io;5r{`0b>5<72;0:??;<:3``b>{|i;k1<7>52;3060g=:kn37pun2`83>5<52899:<4=bd38y~g5i3:1<7<512057<6;;7?<26496g`d3tqj>l4?:181>455?m09o=;4}zc1e?6=8381=><74;0`4`=zsh8j6=4?:38277>e2;i:;6sta3c94?6=:3;8>4<52b03?x}f:h0;6=4=:011=<<5k;30qvo=a;294?4=9:8j<733c4>7e4j2wpm?o50;296?74:ho1>n:;;|yb6d<729096<==b481g1b50;09564ek38h9;5r{`0b>5<72;0:??m<:3a6b>{|i;k1<7>52;306fg=:j<37pun2`83>5<52899h<4=c638y~g5i3:1<7<5120g7<6;;nm6?m73:xe7g=83:1>7?<2d496f>d3tqj>l4?:181>455mm09o4;4}zc1e?6=8381=>3234>7edj2wpm?o50;296?74;8o1>nj;;|yb6d<729096<=<2481gab50;095655k38hi;5r{`0b>5<72;0:?>=<:3afb>{|i;k1<7>52;3076g=:jl37pun2`83>5<528988<4=d138y~g5i3:1<7<512177<6;:>m6?j>3:xe7g=83:1>7?<34496a7d3tqj>l4?:181>454=m09h?;4}zc1e?6=8381=>=94;0g6`=zsh8j6=4?:382760e2;n8;6sta3c94?6=:3;8?:<52e63?x}f:h0;6=4=:0103<<5l=30qvo=a;294?4=9:93<732:4>7b2j2wpm?o50;296?74;1o1>i8;;|yb6d<729096<=<9481`3b50;09565>k38o;;5r{`0b>5<72;0:?>o<:3f4b>{|i;k1<7>52;307dg=:m237pun2`83>5<52898n<4=d838y~g5i3:1<7<5121a7<6;:hm6?jn3:xe7g=83:1>7?<3b496agd3tqj>l4?:181>454km09ho;4}zc1e?6=8381=>=k4;0gf`=zsh8j6=4?:38276be2;nh;6sta3c94?6=:3;8?h<52ef3?x}f:h0;6=4=:010a<<5lm30qvo=a;294?4=9:9m<732d4>7bbj2wpm?o50;296?74;oo1>ih;;|yb6d<729096<=;0481`cb50;095627k38n<;5r{`0b>5<72;0:?9?<:3g3b>{|i;k1<7>52;3004g=:l;37pun2`83>5<5289?><4=e338y~g5i3:1<7<512617<6;=8m6?k<3:xe7g=83:1>7?<42496`5d3tqj>l4?:181>453;m09i9;4}zc1e?6=8381=>:;4;0f0`=zsh8j6=4?:382712e2;o>;6sta3c94?6=:3;888<52d43?x}f:h0;6=4=:0171<<5m?30qvo=a;294?4=9:>=<73544>7c0j2wpm?o50;296?74h6;;|yb6d<729096<=;7481a=b50;095620k38n5;5r{`0b>5<72;0:?96<:3g:b>{|i;k1<7>52;300=g=:lk37pun2`83>5<5289?5<4=ec38y~g5i3:1<7<5126:7<6;=3m6?kl3:xe7g=83:1>7?<4`496`ed3tqj>l4?:181>453im09ii;4}zc1e?6=8381=>:m4;0f``=zsh8j6=4?:38271de2;on;6sta3c94?6=:3;88n<52dd3?x}f:h0;6=4=:017g<<5mo30qvo=a;294?4=9:>o<735f4>7`7j2wpm?o50;296?74k?;;|yb6d<729096<=;e481b4b50;09562bk38m>;5r{`0b>5<72;0:?9h<:3d1b>{|i;k1<7>52;300cg=:o937pun2`83>5<5289><<4=f538y~g5i3:1<7<512737<6;<:m6?h:3:xe7g=83:1>7?<50496c3d3tqj>l4?:181>4529m09j;;4}zc1e?6=8381=>;=4;0e2`=zsh8j6=4?:382704e2;l<;6sta3c94?6=:3;89><52g:3?x}f:h0;6=4=:0167<<5n130qvo=a;294?4=9:??<73464>7`>j2wpm?o50;296?74==o1>ko;;|yb6d<729096<=:5481bdb50;095632k38mn;5r{`0b>5<72;0:?88<:3dab>{|i;k1<7>52;3013g=:oi37pun2`83>5<5289>;<4=fe38y~g5i3:1<7<512747<6;<=m6?hj3:xe7g=83:1>7?<59496ccd3tqj>l4?:181>4520m09jk;4}zc1e?6=8381=>;64;0eb`=zsh8j6=4?:38270?e2::;;6sta3c94?6=:3;89l<53133?x}f:h0;6=4=:016e<<48830qvo=a;294?4=9:?i<7=?239~d4f290;6?4>34`4>665j2wpm?o50;296?74=ko1?==;;|yb6d<729096<=:c48046b50;09563dk39;8;5r{`0b>5<72;0:?8j<:227b>{|i;k1<7>52;301ag=;9?37pun2`83>5<5289>i<4<04d8y~g5i3:1<7<5127f11vwl7<6;>9f:xe7g=83:1>7?<5g4975113tqj>l4?:181>452nm08<:j4}zc1e?6=8381=>8?4;13<1=zsh8j6=4?:382736e2::3n6sta3c94?6=:3;8:<<531;1?x}f:h0;6=4=:0155<<48030qvo=a;294?4=9:<9<7=?a19~d4f290;6?4>3704>66f?2wpm?o50;296?74>;o1?=oj;|yb6d<729096<=934804g350;095604k39;nn5r{`0b>5<72;0:?;:<:22`7>{|i;k1<7>52;3021g=;9ij7pun2`83>5<5289=9<4<0e38y~g5i3:1<7<512467<6;??m6>>kf:xe7g=83:1>7?<674975c13tqj>l4?:181>451>m08884;13b1=zsh8j6=4?:382731e2::mn6sta3c94?6=:3;8:5<53021?x}f:h0;6=4=:015<<<49930qvo=a;294?4=9:<2<7=>119~d4f290;6?4>37;4>676?2wpm?o50;296?74>0o1?50;09560fk39:>n5r{`0b>5<72;0:?;l<:2307>{|i;k1<7>52;302gg=;89j7pun2`83>5<5289=o<4<1538y~g5i3:1<7<5124`7<6;?im6>?:1:xe7g=83:1>7?<6e49743f3tqj>l4?:181>451lm08=;=4}zc1e?6=8381=>8j4;122f=zsh8j6=4?:38273c?2:;<<6sta3c94?6=:3;8:hm53057?x}f:h0;6=4=:015b4<49>30qvo=a;294?4=9:7e9~d4f290;6?4>37d:>67?92wpm?o50;296?74>oo1?<69;|yb6d<729096<=803805=g50;095617>39:4h5r{`0b>5<72;0:?:>m:23:7>{|i;k1<7>52;3035`=;83<7pun2`83>5<5289<=>4<18`8y~g5i3:1<7<512527<6;>;h6>?n4:xe7g=83:1>7?<732974g?3tqj>l4?:181>450:<08=lj4}zc1e?6=8381=>9=9;12f4=zsh8j6=4?:382724c2:;i96sta3c94?6=:3;8;><530`b?x}f:h0;6=4=:01473<49ko0qvo=a;294?4=9:=8m7=>c39~d4f290;6?4>361e>67d?2wpm?o50;296?74?=91?50;095613k39:h95r{`0b>5<72;0:?:;?:23g<>{|i;k1<7>52;30302=;8nh7pun2`83>5<5289<944<1d38y~g5i3:1<7<51256`?56m<1vwl7<6;><:6>?j9:xe7g=83:1>7?<774974cb3tqj>l4?:181>450>h08=k<4}zc1e?6=8381=>99e;12b3=zsh8j6=4?:38272142:;mn6sta3c94?6=:3;8;:9530de?x}f:h0;6=4=:0143g<4:990qvo=a;294?4=9:=3<7==099~d4f290;6?4>36:7>647k2wpm?o50;296?74?121????;|yb6d<729096<=88e8064350;09561>9399=45r{`0b>5<72;0:?:7::202`>{|i;k1<7>52;3035<5289<5h4<2348y~g5i3:1<7<5125b6?55:h1vwl7<6;>k<6><=f:xe7g=83:1>7?<7``977543tqj>l4?:181>450io08>>94}zc1e?6=8381=>9m4;117f=zsh8j6=4?:38272d?2:8?<6sta3c94?6=:3;8;om53367?x}f:h0;6=4=:014g4<4:=30qvo=a;294?4=9:=h97==4e9~d4f290;6?4>36a:>64292wpm?o50;296?74?jn1??;:;|yb6d<729096<=8d38060g50;09561c>3999h5r{`0b>5<72;0:?:jn:2056>{|i;k1<7>52;303a`=;;<<7pun2`83>5<52894<27`8y~g5i3:1<7<5125f3?55>o1vwl7<6;>oh6><84:xe7g=83:1>7?<7g29771?3tqj>l4?:181>450n=08>:m4}zc1e?6=8381=>9i9;11<4=zsh8j6=4?:38272`c2:8396sta3c94?6=:3;84=?533::?x}f:h0;6=4=:01;43<4:1o0qvo=a;294?4=9:2;m7==939~d4f290;6?4>392f>64>>2wpm?o50;296?740891??7m;|yb6d<729096<=716806<`50;0956>6j399m>5r{`0b>5<72;0:?5{|i;k1<7>52;30<72=;;kh7pun2`83>5<52893>54<2c28y~g5i3:1<7<512:1`?55j<1vwl7<6;19:6>7?<827977dc3tqj>l4?:181>45?;h08>n<4}zc1e?6=8381=>63977>64ck2wpm?o50;296?740<21??k?;|yb6d<729096<=75b806`250;0956>19399i45r{`0b>5<72;0:?58::20f`>{|i;k1<7>52;30<3?=;;l:7pun2`83>5<52893:h4<2g48y~g5i3:1<7<512:46?55nh1vwl7<6;1==6>7?<86`976643tqj>l4?:181>45??o08?=94}zc1e?6=8381=>673;104g=zsh8j6=4?:3827=>?2:9:<6sta3c94?6=:3;845m53237?x}f:h0;6=4=:01;=5<4;820qvo=a;294?4=9:2297=<1e9~d4f290;6?4>39;:>65592wpm?o50;296?7400n1?><:;|yb6d<729096<=7a38077g50;0956>f>398>h5r{`0b>5<72;0:?5ok:2103>{|i;k1<7>52;30;7pun2`83>5<52893no4<35;8y~g5i3:1<7<512:`6?54=;1vwl7<6;1i26>=:b:xe7g=83:1>7?<8e2976033tqj>l4?:181>45?l>08?;j4}zc1e?6=8381=>6ke;1033=zsh8j6=4?:3827=c22:93822>65f;2wpm?o50;296?741921?>ol;|yb6d<729096<=60g807g350;0956?6>398nh5r{`0b>5<72;0:?4?k:21`3>{|i;k1<7>52;30=72=;:n;7pun2`83>5<52892>o4<3e;8y~g5i3:1<7<512;06?54m;1vwl7<6;0926>=jb:xe7g=83:1>7?<952976`33tqj>l4?:181>45><>08?kj4}zc1e?6=8381=>7;e;1743=zsh8j6=4?:3827<322:>;j6sta3c94?6=:3;858m5353;?x}f:h0;6=4=:01:26<4<;;0qvo=a;294?4=9:3=m7=;2`9~d4f290;6?4>3852>624;2wpm?o50;296?741>21?9=l;|yb6d<729096<=67g8001350;0956??>39?8h5r{`0b>5<72;0:?46k:2663>{|i;k1<7>52;30=<2=;=<;7pun2`83>5<528925o4<47;8y~g5i3:1<7<512;b6?53?;1vwl7<6;0k26>:8b:xe7g=83:1>7?<9c2971>33tqj>l4?:181>45>j>0885l4}zc1e?6=8381=>7me;17=7=zsh8j6=4?:3827256sta3c94?6=:3;85nm535c3?x}f:h0;6=4=:01:`6<438g2>62e=2wpm?o50;296?741l21?9ll;|yb6d<729096<=6eg800f550;0956?a>39?ol5r{`0b>5<72;0:?4hk:26g5>{|i;k1<7>52;30e52=;=n37pun2`83>5<5289j7<6;h;26>:jd:xe7g=83:1>7?l4?:181>45f:>088kl4}zc1e?6=8381=>o=e;1647=zsh8j6=4?:3827d522:?;56sta3c94?6=:3;8m>m53433?x}f:h0;6=4=:01b06<4=8=0qvo=a;294?4=9:k?m7=:1d9~d4f290;6?4>3`72>635=2wpm?o50;296?74i<21?850;0956g1>39>?l5r{`0b>5<72;0:?l8k:2775>{|i;k1<7>52;30e22=;<>37pun2`83>5<5289j;o4<55d8y~g5i3:1<7<512c;6?52=?1vwl7<6;h226>;:d:xe7g=83:1>7?l4?:181>45f1>089;j4}zc1e?6=8381=>o6e;1633=zsh8j6=4?:3827dg22:?3`a2>63f;2wpm?o50;296?74ij21?8ol;|yb6d<729096<=ncg801g350;0956gc>39>nh5r{`0b>5<72;0:?ljk:27`3>{|i;k1<7>52;30e`2=;5<5289jio4<5e;8y~g5i3:1<7<512ce6?52m;1vwl7<6;hl26>;jb:xe7g=83:1>7?l4?:181>45e8>089kj4}zc1e?6=8381=>l?e;1543=zsh8j6=4?:3827g722:<;j6sta3c94?6=:3;8n;;0qvo=a;294?4=9:h9m7=92`9~d4f290;6?4>3c12>604;2wpm?o50;296?74j:21?;=l;|yb6d<729096<=m3g8021350;0956d3>39=8h5r{`0b>5<72;0:?o:k:2463>{|i;k1<7>52;30f02=;?<;7pun2`83>5<5289i9o4<67;8y~g5i3:1<7<512`56?51?;1vwl7<6;k<26>88b:xe7g=83:1>7?33tqj>l4?:181>45e?>08:5j4}zc1e?6=8381=>l8e;15=1=zsh8j6=4?:3827g>22:<2h6sta3c94?6=:3;8n5o537c1?x}f:h0;6=4=:01ah=0qvo=a;294?4=9:h287=9ab9~d4f290;6?4>3c;:>60e92wpm?o50;296?74j0o1?;l9;|yb6d<729096<=ma2802gd50;0956df039=o=5r{`0b>5<72;0:?ook:24`1>{|i;k1<7>52;30fg4=;?ij7pun2`83>5<5289in:4<6bd8y~g5i3:1<7<512`ag?51l=1vwl7<6;ki:6>8k9:xe7g=83:1>7?l4?:181>45ekk08:h=4}zc1e?6=8381=>lk0;15a==zsh8j6=4?:3827gb22:o=0qvo=a;294?4=9:hn87=9fb9~d4f290;6?4>3cg:>61792wpm?o50;296?74jlo1?:>9;|yb6d<729096<=mf28035d50;0956da039<==5r{`0b>5<72;0:?ohk:2521>{|i;k1<7>52;30g54=;>;j7pun2`83>5<5289h<:4<70d8y~g5i3:1<7<512a3g?50:=1vwl7<6;j;:6>9=9:xe7g=83:1>7?l4?:181>45d9k08;>=4}zc1e?6=8381=>m=0;147==zsh8j6=4?:3827f422:=8h6sta3c94?6=:3;8o?o53661?x}f:h0;6=4=:01`6c<4?==0qvo=a;294?4=9:i887=84b9~d4f290;6?4>3b1:>61292wpm?o50;296?74k:o1?:;9;|yb6d<729096<=l428030d50;0956e3039<:=5r{`0b>5<72;0:?n:k:2551>{|i;k1<7>52;30g04=;>5<5289h9:4<77d8y~g5i3:1<7<512a6g?50?=1vwl7<6;j<:6>989:xe7g=83:1>7?l4?:181>45d>k08;5=4}zc1e?6=8381=>m80;14<==zsh8j6=4?:3827f122:=3h6sta3c94?6=:3;8o:m536;7?x}f:h0;6=4=:01`<6<4?0h0qvo=a;294?4=9:i3m7=8a39~d4f290;6?4>3b;2>61f12wpm?o50;296?74k021?:l?;|yb6d<729096<=l9g803g150;0956ef>395<72;0:?nok:25`1>{|i;k1<7>52;30gg2=;>ih7pun2`83>5<5289hno4<7e18y~g5i3:1<7<512a`6?50lh1vwl7<6;ji26>9j1:xe7g=83:1>7?l4?:181>45dl>08;hh4}zc1e?6=8381=>mke;14b3=zsh8j6=4?:3827fc22:=mh6sta3c94?6=:3;8ohm53927?x}f:h0;6=4=:01`b6<409h0qvo=a;294?4=9:imm7=7139~d4f290;6?4>3e22>6>612wpm?o50;296?74l921?550;0956b6>393>h5r{`0b>5<72;0:?i?k:2:01>{|i;k1<7>52;30`72=;19h7pun2`83>5<5289o>o4<8518y~g5i3:1<7<512f06?5?7<6;m926>6:1:xe7g=83:1>7?l4?:181>45c<>0848h4}zc1e?6=8381=>j;e;1;23=zsh8j6=4?:3827a322:2=h6sta3c94?6=:3;8h8m53955?x}f:h0;6=4=:01g26<40>l0qvo=a;294?4=9:n=m7=7899~d4f290;6?4>3e52>6>>92wpm?o50;296?74l>21?57n;|yb6d<729096<=k7g8050;0956b?>393mn5r{`0b>5<72;0:?i6k:2:a1>{|i;k1<7>52;30`<2=;1hn7pun2`83>5<5289o5o4<8b58y~g5i3:1<7<512fb6?5?l91vwl7<6;mk26>6k9:xe7g=83:1>7?l4?:181>45cj>084hl4}zc1e?6=8381=>jme;1;b1=zsh8j6=4?:3827ae22:2mh6sta3c94?6=:3;8hnm53827?x}f:h0;6=4=:01g`6<419h0qvo=a;294?4=9:nom7=6139~d4f290;6?4>3eg2>6?6j2wpm?o50;296?74ll21?4<=;|yb6d<729096<=keg80=7?50;0956ba>392?=5r{`0b>5<72;0:?ihk:2;03>{|i;k1<7>52;30a52=;09n7pun2`83>5<5289n7<6;l;26>7:3:xe7g=83:1>7?l4?:181>45b:>085;;4}zc1e?6=8381=>k=e;1:2`=zsh8j6=4?:3827`522:3<;6sta3c94?6=:3;8i>m538:3?x}f:h0;6=4=:01f06<41130qvo=a;294?4=9:o?m7=6939~d4f290;6?4>3d72>6?>j2wpm?o50;296?74m<21?4o;;|yb6d<729096<=j5g80=db50;0956c1>392n;5r{`0b>5<72;0:?h8k:2;ab>{|i;k1<7>52;30a22=;0i37pun2`83>5<5289n;o4<9e38y~g5i3:1<7<512g;6?5>lh1vwl7<6;l226>7j3:xe7g=83:1>7?l4?:181>45b18085hl4}zc1e?6=8381=>k66;1:b5=zsh8j6=4?:3827`?f2:3m86sta3c94?6=:3;8i4h538d:?x}f:h0;6=4=:01fe6<41on0qvo=a;294?4=9:oj47=n039~d4f290;6?4>3dc`>6g7>2wpm?o50;296?74mk;1?l>m;|yb6d<729096<=jb480e5`50;0956cei39j=95r{`0b>5<72;0:?hlj:2c2<>{|i;k1<7>52;30af5=;h;o7pun2`83>5<5289no:47<6;ln;6>o=a:xe7g=83:1>7?l4?:181>45bl008m>=4}zc1e?6=8381=>kke;1b7==zsh8j6=4?:3827`c52:k8o6sta3c94?6=:3;8ih953`62?x}f:h0;6=4=:01fag<4i=?0qvo=a;294?4=9:om<7=n4`9~d4f290;6?4>3dd7>6g3m2wpm?o50;296?74mo21?l;=;|yb6d<729096<=jfe80e0150;0956`7939j9o5r{`0b>5<72;0:?k>9:2c54>{|i;k1<7>52;30b5g=;h5<5289mm1vwl7<6;o;36>o82:xe7g=83:1>7?l4?:181>45a:808m:l4}zc1e?6=8381=>h=5;1b3c=zsh8j6=4?:3827c4f2:k386sta3c94?6=:3;8j?k53`:;?x}f:h0;6=4=:01e76<4i1n0qvo=a;294?4=9:l8;7=n909~d4f290;6?4>3g1`>6g>>2wpm?o50;296?74n=:1?l7n;|yb6d<729096<=i4480e<`50;0956`3139jm>5r{`0b>5<72;0:?k:j:2cb<>{|i;k1<7>52;30b04=;hkh7pun2`83>5<5289m9:47<6;o<;6>oma:xe7g=83:1>7?l4?:181>45a>008mn=4}zc1e?6=8381=>h9d;1bg2=zsh8j6=4?:3827c152:kho6sta3c94?6=:3;8j:853`f3?x}f:h0;6=4=:01e3g<4im?0qvo=a;294?4=9:l3g:0>6gcl2wpm?o50;296?74n121?lk=;|yb6d<729096<=i8b80e`050;0956`>939jio5r{`0b>5<72;0:?k7::2cfb>{|i;k1<7>52;30b5<5289m5h47<6;ok=6>l?0:xe7g=83:1>7?l4?:181>45aio08n=74}zc1e?6=8381=>hm3;1a4a=zsh8j6=4?:3827cd?2:h:>6sta3c94?6=:3;8jom53c35?x}f:h0;6=4=:01eg5<4j8k0qvo=a;294?4=9:lh97=m1g9~d4f290;6?4>3ga:>6d5;2wpm?o50;296?74njn1?o<8;|yb6d<729096<=id380f7e50;0956`c>39i?=5r{`0b>5<72;0:?kjn:2`00>{|i;k1<7>52;30ba`=;k927pun2`83>5<5289mi>47<6;ooh6>l;6:xe7g=83:1>7?l4?:181>45an=08n9k4}zc1e?6=8381=>hi8;1a17=zsh8j6=4?:3827c`c2:h>;6sta3c94?6=:3;?<=?53c7a?x}f:h0;6=4=:06343<4j?:0qvo=a;294?4=9=:;m7=m659~d4f290;6?4>412e>6d112wpm?o50;296?738891?o8k;|yb6d<729096<:?1980f2450;095166k39i;;5r{`0b>5<72;0:8=<>:2`4f>{|i;k1<7>52;37473=;k=m7pun2`83>5<528>;>l47<6<9986>l7d:xe7g=83:1>7?;02597g?63tqj>l4?:181>427;j08n484}zc1e?6=8381=9>;0;1a=d=zsh8j6=4?:38205222:h2j6sta3c94?6=:3;?<9753cc0?x}f:h0;6=4=:0630`<4jh20qvo=a;294?4=9=:>>7=mab9~d4f290;6?4>4174>6de92wpm?o50;296?73850;095161<39inh5r{`0b>5<72;0:8=87:2``6>{|i;k1<7>52;3743b=;ki<7pun2`83>5<528>;;<47<6<9=j6>lk4:xe7g=83:1>7?;06d97gb>3tqj>l4?:181>4270:08nij4}zc1e?6=8381=9>78;1aa7=zsh8j6=4?:38205>d2:hn:6sta3c94?6=:3;?<4?53cga?x}f:h0;6=4=:063=0<4jll0qvo=a;294?4=9=:2m7=mf59~d4f290;6?4>41;f>6da02wpm?o50;296?738h91?ohk;|yb6d<729096<:?a680g5750;09516fk39h<;5r{`0b>5<72;0:8=l?:2a3e>{|i;k1<7>52;374g3=;j:m7pun2`83>5<528>;n447<6<9i96>m>c:xe7g=83:1>7?;0b497f473tqj>l4?:181>427kk08o?;4}zc1e?6=8381=9>lf;1`6<=zsh8j6=4?:38205b32:i9i6sta3c94?6=:3;?41g5>6e382wpm?o50;296?738lk1?n:;;|yb6d<729096<:?eg80g1?50;09516a;39h8i5r{`0b>5<72;0:8=h7:2a66>{|i;k1<7>52;374ce=;j?=7pun2`83>5<528>:<<47<6<8:j6>m94:xe7g=83:1>7?;11g97f0?3tqj>l4?:181>4269:08o;j4}zc1e?6=8381=9?>7;1`34=zsh8j6=4?:382047d2:i<:6sta3c94?6=:3;?=?>53b5b?x}f:h0;6=4=:06260<4k>l0qvo=a;294?4=9=;957=l829~d4f290;6?4>400f>6e?02wpm?o50;296?739:81?n6l;|yb6d<729096<:>3680g<750;095174j39h585r{`0b>5<72;0:8<:?:2a:e>{|i;k1<7>52;37512=;j3n7pun2`83>5<528>:8441vwl7<6<8?96>mnc:xe7g=83:1>7?;14497fd73tqj>l4?:181>426=k08oo;4}zc1e?6=8381=9?:f;1`f<=zsh8j6=4?:38204032:iii6sta3c94?6=:3;?=;653ba1?x}f:h0;6=4=:0622a<4kj=0qvo=a;294?4=9=;<=7=lcc9~d4f290;6?4>4055>6ec82wpm?o50;296?739>k1?nj;;|yb6d<729096<:>7g80ga?50;09517?;39hhi5r{`0b>5<72;0:8<67:2af6>{|i;k1<7>52;375=e=;jo=7pun2`83>5<528>:5<47<6<83j6>mi4:xe7g=83:1>7?;18g97f`?3tqj>l4?:181>426i:08okj4}zc1e?6=8381=9?n7;1g44=zsh8j6=4?:38204gd2:n;:6sta3c94?6=:3;?=o>53e2b?x}f:h0;6=4=:062f0<4l9l0qvo=a;294?4=9=;i57=k129~d4f290;6?4>40`f>6b602wpm?o50;296?739j81?i?l;|yb6d<729096<:>c680`7750;09517dj39o>85r{`0b>5<72;0:8{|i;k1<7>52;375a2=;m8n7pun2`83>5<528>:h447<6<8o86>j7?;1d:97a253tqj>l4?:181>426mm08h994}zc1e?6=8381=9?i2;1g0f=zsh8j6=4?:38204`02:n>=6sta3c94?6=:3;?=km53e75?x}f:h0;6=4=:06144<4l432a>6b1=2wpm?o50;296?73:8:1?i8n;|yb6d<729096<:=1480`3`50;095146i39o;95r{`0b>5<72;0:8??i:2f4=>{|i;k1<7>52;37672=;m=n7pun2`83>5<528>9>447<6<;986>j7d:xe7g=83:1>7?;22:97a?53tqj>l4?:181>425;m08h494}zc1e?6=8381=9<;2;1g=f=zsh8j6=4?:38207202:nj=6sta3c94?6=:3;?>9m53ec5?x}f:h0;6=4=:06114<4lhh0qvo=a;294?4=9=8>:7=kb19~d4f290;6?4>437a>6be=2wpm?o50;296?73:?:1?iln;|yb6d<729096<:=6480`g`50;095141i39oo95r{`0b>5<72;0:8?8i:2f`=>{|i;k1<7>52;37622=;min7pun2`83>5<528>9;447<6<;286>jkd:xe7g=83:1>7?;29:97ac53tqj>l4?:181>4250m08hh94}zc1e?6=8381=9<62;1gaf=zsh8j6=4?:38207?02:nm=6sta3c94?6=:3;?>4m53ed5?x}f:h0;6=4=:061e4<4loh0qvo=a;294?4=9=8j:7=j019~d4f290;6?4>43ca>6c7=2wpm?o50;296?73:k:1?h>n;|yb6d<729096<:=b480a5`50;09514ei39n=95r{`0b>5<72;0:8?li:2g2=>{|i;k1<7>52;376f2=;l;n7pun2`83>5<528>9o447<6<;n86>k=d:xe7g=83:1>7?;2e:97`553tqj>l4?:181>425lm08i>94}zc1e?6=8381=9hm53d65?x}f:h0;6=4=:061b4<4m=h0qvo=a;294?4=9=8m:7=j519~d4f290;6?4>43da>6c2=2wpm?o50;296?73;9:1?h;n;|yb6d<729096<:<0480a0`50;095157i39n:95r{`0b>5<72;0:8>>i:2g5=>{|i;k1<7>52;37742=;l5<528>8=447<6<:886>k8d:xe7g=83:1>7?;33:97`>53tqj>l4?:181>424:m08i594}zc1e?6=8381=9=<2;1fm53d;5?x}f:h0;6=4=:06004<4m0h0qvo=a;294?4=9=9?:7=ja19~d4f290;6?4>426a>6cf=2wpm?o50;296?73;<:1?hon;|yb6d<729096<:<5480ad`50;095152i39nn95r{`0b>5<72;0:8>;i:2ga=>{|i;k1<7>52;37732=;lhn7pun2`83>5<528>8:447<6<:=86>kld:xe7g=83:1>7?;36:97`b53tqj>l4?:181>424?m08ii94}zc1e?6=8381=9=72;1f`f=zsh8j6=4?:38206>02:on=6sta3c94?6=:3;??5m53dg5?x}f:h0;6=4=:060=4<4mlh0qvo=a;294?4=9=92:7=jf19~d4f290;6?4>42;a>6ca=2wpm?o50;296?73;h:1?hhn;|yb6d<729096<:50;09515f139m<>5r{`0b>5<72;0:8>ok:2d33>{|i;k1<7>52;377g4=;o:h7pun2`83>5<528>8n;47<6<:hm6>h>9:xe7g=83:1>7?;3b697c7b3tqj>l4?:181>424k108j?<4}zc1e?6=8381=9=ld;1e62=zsh8j6=4?:38206b62:l9n6sta3c94?6=:3;??i853g13?x}f:h0;6=4=:060`d<4n:>0qvo=a;294?4=9=9oj7=i389~d4f290;6?4>42g0>6`4l2wpm?o50;296?73;l21?k:=;|yb6d<729096<:50;09515a939m8o5r{`0b>5<72;0:8>h::2d7b>{|i;k1<7>52;377cg=;o??7pun2`83>5<528>8jh47<6<=:<6>h91:xe7g=83:1>7?;41a97c013tqj>l4?:181>4239908j;o4}zc1e?6=8381=9:>4;1e2`=zsh8j6=4?:382017>2:li0qvo=a;294?4=9=>9:7=i819~d4f290;6?4>450a>6`?=2wpm?o50;296?73<;l1?k66;|yb6d<729096<:;3580b=c50;095124039m5?5r{`0b>5<72;0:89=k:2d:3>{|i;k1<7>52;37017=;o3i7pun2`83>5<528>?8;47<6<=>m6>hn9:xe7g=83:1>7?;44197cgc3tqj>l4?:181>423=108jo<4}zc1e?6=8381=9::c;1ef3=zsh8j6=4?:38201062:lin6sta3c94?6=:3;?8;;53g`e?x}f:h0;6=4=:0672d<4nj>0qvo=a;294?4=9=>=i7=ic99~d4f290;6?4>4550>6`dl2wpm?o50;296?73<>=1?kj>;|yb6d<729096<:;7c80ba350;09512?839mhl5r{`0b>5<72;0:896;:2dga>{|i;k1<7>52;370=?=;oo87pun2`83>5<528>?4i47<6<=3=6>hi0:xe7g=83:1>7?;48`97c`23tqj>l4?:181>4231o08jk74}zc1e?6=8381=9:n4;1eb`=zsh8j6=4?:38201g?2=:;>6sta3c94?6=:3;?8lj54124?x}f:h0;6=4=:067f4<389h0qvo=a;294?4=9=>i:7:?119~d4f290;6?4>45`b>166<2wpm?o50;296?7350;09512d03>;>?5r{`0b>5<72;0:89ml:5212>{|i;k1<7>52;370a7=<98i7pun2`83>5<528>?h84;03d8y~g5i3:1<7<5156ge?27;=1vwl7<6<=nn69><8:xe7g=83:1>7?;4d19055c3tqj>l4?:181>423m>0?<9?4}zc1e?6=8381=9:jc;6303=zsh8j6=4?:38201`72=:?m6sta3c94?6=:3;?8k;5416e?x}f:h0;6=4=:067b<<38<90qvo=a;294?4=9=>mi7:?599~d4f290;6?4>4421>162k2wpm?o50;296?73=9<18=8?;|yb6d<729096<::0c8743350;095137n3>;:45r{`0b>5<72;0:88?;:525a>{|i;k1<7>52;3714>=<9=97pun2`83>5<528>>=i4;0658y~g5i3:1<7<515715?27?k1vwl7<6<<8=69>70:xe7g=83:1>7?;53c905>33tqj>l4?:181>422:o0?<574}zc1e?6=8381=9;<3;636sta3c94?6=:3;?9>m541;5?x}f:h0;6=4=:06604<380h0qvo=a;294?4=9=??97:?9g9~d4f290;6?4>446b>16f<2wpm?o50;296?73==o18=o7;|yb6d<729096<::52874db50;095132?3>;n<5r{`0b>5<72;0:88;l:52a2>{|i;k1<7>52;37136=<9hj7pun2`83>5<528>>:84;0cd8y~g5i3:1<7<51575g?27k11vwl7<6<<=869>k1:xe7g=83:1>7?;56c905bf3tqj>l4?:181>422080?a2=:m96sta3c94?6=:3;?948541df?x}f:h0;6=4=:066=a<399=0qvo=a;294?4=9=?j87:>119~d4f290;6?4>44ca>17612wpm?o50;296?73=k818<<=;|yb6d<729096<::b88757d50;09513d83>:?95r{`0b>5<72;0:88m8:530`>{|i;k1<7>52;371fc=<8>=7pun2`83>5<528>>h84;15d8y~g5i3:1<7<5157gg?26=11vwl7<6<7?;5dc9040f3tqj>l4?:181>422n80?=:=4}zc1e?6=8381=9;i8;623f=zsh8j6=4?:38200`a2=;396sta3c94?6=:3;?:=8540:f?x}f:h0;6=4=:0654a<390=0qvo=a;294?4=9=<:87:>a19~d4f290;6?4>473a>17f12wpm?o50;296?73>;81850;09510483>:o95r{`0b>5<72;0:8;=8:53``>{|i;k1<7>52;3726c=<8n=7pun2`83>5<528>=884;1ed8y~g5i3:1<7<51547g?26m11vwl7<67?;64c904`f3tqj>l4?:181>421>80?>==4}zc1e?6=8381=9898;614f=zsh8j6=4?:382030a2=8:96sta3c94?6=:3;?::85433f?x}f:h0;6=4=:0653a<3:;=0qvo=a;294?4=9=<387:=319~d4f290;6?4>47:a>14412wpm?o50;296?73>0818?:=;|yb6d<729096<:9988761d50;09510f83>9995r{`0b>5<72;0:8;o8:506`>{|i;k1<7>52;372dc=<;<=7pun2`83>5<528>=n84;27d8y~g5i3:1<7<5154ag?25?11vwl7<67?;6bc907>f3tqj>l4?:181>421l80?>4=4}zc1e?6=8381=98k8;61=f=zsh8j6=4?:38203ba2=8j96sta3c94?6=:3;?:h8543cf?x}f:h0;6=4=:065aa<3:k=0qvo=a;294?4=9=47da>14d12wpm?o50;296?73?9818?j=;|yb6d<729096<:808876ad50;09511683>9i95r{`0b>5<72;0:8:?8:50f`>{|i;k1<7>52;3734c=<;l=7pun2`83>5<528><>84;2gd8y~g5i3:1<7<51551g?24811vwl7<6<>9869=>1:xe7g=83:1>7?;72c9067f3tqj>l4?:181>420<80????4}zc1e?6=8381=99;8;606==zsh8j6=4?:382022a2=99j6sta3c94?6=:3;?;885421;?x}f:h0;6=4=:0641a<3;=;0qvo=a;294?4=9===87:<4`9~d4f290;6?4>464a>152;2wpm?o50;296?73?>818>;l;|yb6d<729096<:8788773350;09511?83>8:h5r{`0b>5<72;0:8:68:5143>{|i;k1<7>52;373=c=<:2;7pun2`83>5<528><584;39;8y~g5i3:1<7<5155:g?241;1vwl7<6<>k869=6b:xe7g=83:1>7?;7`c906g33tqj>l4?:181>420j80??lj4}zc1e?6=8381=99m8;60f3=zsh8j6=4?:38202da2=9ij6sta3c94?6=:3;?;n8542a;?x}f:h0;6=4=:064ga<3;m;0qvo=a;294?4=9==o87:46fa>15b;2wpm?o50;296?73?l818>kl;|yb6d<729096<:8e8877c350;09511a83>8jh5r{`0b>5<72;0:8:h8:5633>{|i;k1<7>52;373cc=<=;;7pun2`83>5<528>3<84;40;8y~g5i3:1<7<515:3g?23:;1vwl7<6<1;869:=b:xe7g=83:1>7?;80c901533tqj>l4?:181>42?:80?8>j4}zc1e?6=8381=96=8;6703=zsh8j6=4?:3820=4a2=>?j6sta3c94?6=:3;?4>85457;?x}f:h0;6=4=:06;7a<3496a>120;2wpm?o50;296?730<81899l;|yb6d<729096<:758870=550;0951>183>?4l5r{`0b>5<72;0:8588:56:5>{|i;k1<7>52;37<3c=<=337pun2`83>5<528>3;84;48d8y~g5i3:1<7<515:4g?23i?1vwl7<6<12869:nd:xe7g=83:1>7?;89c901d33tqj>l4?:181>42?180?8ol4}zc1e?6=8381=9668;67g1=zsh8j6=4?:3820=?a2=>hh6sta3c94?6=:3;?4l8545f5?x}f:h0;6=4=:06;ea<349`a>12a92wpm?o50;296?730j8189hn;|yb6d<729096<:7c88715550;0951>c83>>5<72;0:85j8:5727>{|i;k1<7>52;375<528>3i84;5338y~g5i3:1<7<515:fg?22:11vwl7<6<1l869;=f:xe7g=83:1>7?;8gc900513tqj>l4?:181>42>880?9>j4}zc1e?6=8381=97?8;6601=zsh8j6=4?:3820<6a2=??n6sta3c94?6=:3;?5<854471?x}f:h0;6=4=:06:5a<3=<30qvo=a;294?4=9=3987::619~d4f290;6?4>480a>131?2wpm?o50;296?731:81888j;|yb6d<729096<:6388712350;0951?383>>;n5r{`0b>5<72;0:84:8:57;7>{|i;k1<7>52;37=1c=<<2j7pun2`83>5<528>2984;5838y~g5i3:1<7<515;6g?22111vwl7<6<0<869;6f:xe7g=83:1>7?;97c900g13tqj>l4?:181>42>?80?9lj4}zc1e?6=8381=9788;66f1=zsh8j6=4?:3820<1a2=?in6sta3c94?6=:3;?558544a7?x}f:h0;6=4=:06:48;a>13cn2wpm?o50;296?731h8188k7;|yb6d<729096<:6a8871c750;0951?e83>>jl5r{`0b>5<72;0:84l8:5437>{|i;k1<7>52;37=gc=5<528>2o84;6078y~g5i3:1<7<515;`g?219l1vwl7<6<0n8698=7:xe7g=83:1>7?;9ec903573tqj>l4?:181>42>m80?:>74}zc1e?6=8381=97j8;6507=zsh8j6=4?:38204`2a>101n2wpm?o50;296?73i8818;97;|yb6d<729096<:n18872=750;0951g583>=4l5r{`0b>5<72;0:8l<8:54:7>{|i;k1<7>52;37e7c=5<528>j?84;6`38y~g5i3:1<7<515c0g?21i11vwl7<68698nf:xe7g=83:1>7?;a5c903d?3tqj>l4?:181>42f=80?:oh4}zc1e?6=8381=9o:8;65g==zsh8j6=4?:3820d3a2=l90qvo=a;294?4=9=k<87:9eb9~d4f290;6?4>4`5a>10a=2wpm?o50;296?73i1818;hj;|yb6d<729096<:n888735150;0951g>83><==5r{`0b>5<72;0:8l78:552=>{|i;k1<7>52;37e897pun2`83>5<528>jm84;73`8y~g5i3:1<7<515cbg?20;=1vwl7<67?;acc902213tqj>l4?:181>42fk80?;9h4}zc1e?6=8381=9ol8;641==zsh8j6=4?:3820dea2====6sta3c94?6=:3;?mi85464b?x}f:h0;6=4=:06b`a<3?>90qvo=a;294?4=9=kn87:87b9~d4f290;6?4>4`ga>11?=2wpm?o50;296?73io818:6j;|yb6d<729096<:nf8873<150;0951d783>5<72;0:8o>8:55b=>{|i;k1<7>52;37f5c=<>h97pun2`83>5<528>i=84;7c`8y~g5i3:1<7<515`2g?20k=1vwl7<67?;b3c902b13tqj>l4?:181>42e;80?;ih4}zc1e?6=8381=9l<8;64a==zsh8j6=4?:3820g5a2==m=6sta3c94?6=:3;?n98546db?x}f:h0;6=4=:06a0a<30990qvo=a;294?4=9=h>87:70b9~d4f290;6?4>4c7a>1>6=2wpm?o50;296?73j?8185?j;|yb6d<729096<:m6887<7150;0951d083>3?=5r{`0b>5<72;0:8o98:5:0=>{|i;k1<7>52;37f2c=<1>97pun2`83>5<528>i484;85`8y~g5i3:1<7<515`;g?2?==1vwl7<67?;b8c90=013tqj>l4?:181>42ei80?4;h4}zc1e?6=8381=9ln8;6;3==zsh8j6=4?:3820gga2=23=6sta3c94?6=:3;?no8549:b?x}f:h0;6=4=:06afa<30090qvo=a;294?4=9=hh87:79b9~d4f290;6?4>4caa>1>f=2wpm?o50;296?73jm8185oj;|yb6d<729096<:md88750;0951db83>3o=5r{`0b>5<72;0:8ok8:5:`=>{|i;k1<7>52;37f`c=<1n97pun2`83>5<528>ij84;8e`8y~g5i3:1<7<515`eg?2?m=1vwl7<67?;c1c90=`13tqj>l4?:181>42d980?4kh4}zc1e?6=8381=9m>5;6:46=zsh8j6=4?:3820f7>2=3;;6sta3c94?6=:3;?o4b0a>1?612wpm?o50;296?73k;l184?k;|yb6d<729096<:l3287=7750;0951e403>2>;5r{`0b>5<72;0:8n=l:5;1e>{|i;k1<7>52;37g16=<08n7pun2`83>5<528>h884;9218y~g5i3:1<7<515a7=?2>;>1vwl7<6o6977?;c4090<273tqj>l4?:181>42d=?0?59:4}zc1e?6=8381=9m:a;6:0==zsh8j6=4?:3820f3a2=3?h6sta3c94?6=:3;?o;=54872?x}f:h0;6=4=:06`22<314b53>1?2m2wpm?o50;296?73k>>1848=;|yb6d<729096<:l7887=3150;0951e0l3>2:o5r{`0b>5<72;0:8n6>:5;5b>{|i;k1<7>52;37g=0=<0=?7pun2`83>5<528>h4l4;96:8y~g5i3:1<7<515a:5?2>081vwl7<67?;c8d90l4?:181>42di?0?54m4}zc1e?6=8381=9mnd;6:e0=zsh8j6=4?:3820fd32=3ji6sta3c94?6=:3;?ool548`4?x}f:h0;6=4=:06`g7<31j:0qvo=a;294?4=9=ih57:6c89~d4f290;6?4>4bf3>1?c:2wpm?o50;296?73km=184jm;|yb6d<729096<:ldd87=`450;0951eb=3>2i45r{`0b>5<72;0:8nkl:5;e4>{|i;k1<7>52;37gc5=<0l<7pun2`83>5<528>hjl4;9gg8y~g5i3:1<7<515f35?2f8<1vwl7<67?;d1d90d743tqj>l4?:181>42c9?0?md;6b64=zsh8j6=4?:3820a432=k946sta3c94?6=:3;?h?l54`0e?x}f:h0;6=4=:06g77<3i:<0qvo=a;294?4=9=n857:n3e9~d4f290;6?4>4e63>1g3<2wpm?o50;296?73l==18l:k;|yb6d<729096<:k4d87e0250;0951b2=3>j9i5r{`0b>5<72;0:8i;l:5c50>{|i;k1<7>52;37`35=5<528>o:l4;a668y~g5i3:1<7<515f45?2f?k1vwl7<67?;d6d90d>>3tqj>l4?:181>42c0?0?m4>4}zc1e?6=8381=9j7d;6b=2=zsh8j6=4?:3820a?32=k2i6sta3c94?6=:3;?h4l54`c4?x}f:h0;6=4=:06ge7<3ik:0qvo=a;294?4=9=nj57:nb89~d4f290;6?4>4e`3>1gd:2wpm?o50;296?73lk=18lmm;|yb6d<729096<:kbd87ea250;0951bd=3>jhi5r{`0b>5<72;0:8iml:5cf2>{|i;k1<7>52;37`a5=5<528>ohl4;ag:8y~g5i3:1<7<515ff5?2e881vwl7<67?;ddd90g743tqj>l4?:181>42cn?0?n4d03>1d3m2wpm?o50;296?73m;=18o;:;|yb6d<729096<:j2d87f0e50;0951c4=3>i:>5r{`0b>5<72;0:8h=l:5`5e>{|i;k1<7>52;37a15=5<528>n8l4;b6:8y~g5i3:1<7<515g65?2e?o1vwl7<67?;e4d90g>c3tqj>l4?:181>42b>?0?n4:4}zc1e?6=8381=9k9d;6a=g=zsh8j6=4?:3820`132=hj>6sta3c94?6=:3;?i:l54cc:?x}f:h0;6=4=:06f<7<3jk:0qvo=a;294?4=9=o357:mb69~d4f290;6?4>4d;3>1dem2wpm?o50;296?73m0=18om:;|yb6d<729096<:j9d87ffe50;0951cf=3>ih85r{`0b>5<72;0:8hol:5`ga>{|i;k1<7>52;37ag5=7pun2`83>5<528>nnl4;bda8y~g5i3:1<7<515gab?2en81vwl7<67?;eb;90g`e3tqj>l4?:181>42bl90?o=:4}zc1e?6=8381=9kk7;6`4a=zsh8j6=4?:3820`bb2=i::6sta3c94?6=:3;?ih;54b3e?x}f:h0;6=4=:06faf<3k;20qvo=a;294?4=9=om?7:l309~d4f290;6?4>4ddb>1e402wpm?o50;296?73n9;18n=i;|yb6d<729096<:i0987g1050;0951`7n3>h8i5r{`0b>5<72;0:8k?9:5a60>{|i;k1<7>52;37b4b=5<528>m>94;c708y~g5i3:1<7<515d1f?2d>01vwl7<67?;f2;90f103tqj>l4?:181>42a<90?o:k4}zc1e?6=8381=9h;7;6`<0=zsh8j6=4?:3820c2b2=i3o6sta3c94?6=:3;?j8;54b;0?x}f:h0;6=4=:06e1f<3k0k0qvo=a;294?4=9=l=?7:la09~d4f290;6?4>4g4b>1ef02wpm?o50;296?73n>;18noi;|yb6d<729096<:i7987gg050;0951`0n3>hni5r{`0b>5<72;0:8k69:5a`0>{|i;k1<7>52;37b=b=5<528>m594;ce08y~g5i3:1<7<515d:f?2dl01vwl7<67?;f`;90fc03tqj>l4?:181>42aj90?ohk4}zc1e?6=8381=9hm7;6`b0=zsh8j6=4?:3820cdb2=imo6sta3c94?6=:3;?jn;54e20?x}f:h0;6=4=:06egf<3l9k0qvo=a;294?4=9=lo?7:k109~d4f290;6?4>4gfb>1b602wpm?o50;296?73nl;18i?i;|yb6d<729096<:ie987`7050;0951`bn3>o>i5r{`0b>5<72;0:8kh9:5f00>{|i;k1<7>52;37bcb=5<528?;<94;d508y~g5i3:1<7<51423f?2c<01vwl7<6=9;969j:0:xe7g=83:1>7?:00;90a303tqj>l4?:181>437:90?h8k4}zc1e?6=8381=8>=7;6g20=zsh8j6=4?:382154b2=n=o6sta3c94?6=:3;><>;54e50?x}f:h0;6=4=:0737f<3l>k0qvo=a;294?4=9<:??7:k809~d4f290;6?4>516b>1b?02wpm?o50;296?728<;18i6i;|yb6d<729096<;?5987`<050;095062n3>o5i5r{`0b>5<72;0:9=89:5fb0>{|i;k1<7>52;3643b=5<528?;;94;dc08y~g5i3:1<7<51424f?2cj01vwl7<6=92969jl0:xe7g=83:1>7?:09;90ae03tqj>l4?:181>437190?hnk4}zc1e?6=8381=8>67;6g`0=zsh8j6=4?:38215?b2=noo6sta3c94?6=:3;>51`b>1ba02wpm?o50;296?728j;18h>>;|yb6d<729096<;?c987a5>50;09506dl3>n5<72;0:9=j=:5g26>{|i;k1<7>52;364a1=5<528?;hh4;e328y~g5i3:1<7<5142f1?2b:01vwl7<6=9oh69k<2:xe7g=83:1>7?:0g190`5e3tqj>l4?:181>437nh0?i9:4}zc1e?6=8381=8??1;6f0a=zsh8j6=4?:382146?2=o>86sta3c94?6=:3;>==h54d7a?x}f:h0;6=4=:07253<3m?80qvo=a;294?4=9<;:h7:j689~d4f290;6?4>5007>1c082wpm?o50;296?729;h18h98;|yb6d<729096<;>3387a2c50;09507413>n485r{`0b>5<72;0:9<:?:5g;g>{|i;k1<7>52;36511=5<528?:8h4;e8c8y~g5i3:1<7<514361?2bi81vwl7<6=8?h69kn8:xe7g=83:1>7?:17190`ga3tqj>l4?:181>436>h0?io84}zc1e?6=8381=8?81;6ffa=zsh8j6=4?:382141?2=oh86sta3c94?6=:3;>=:h54daa?x}f:h0;6=4=:072<3<3mm80qvo=a;294?4=9<;3h7:jd89~d4f290;6?4>50;7>1cb82wpm?o50;296?7290h18hk8;|yb6d<729096<;>a387a`c50;09507f13>nj85r{`0b>5<72;0:9{|i;k1<7>52;365g1=5<528?:nh4;f1c8y~g5i3:1<7<5143`1?2a981vwl7<6=8ih69h>8:xe7g=83:1>7?:1e190c7a3tqj>l4?:181>436lh0?j?84}zc1e?6=8381=8?j1;6e6a=zsh8j6=4?:38214c?2=l886sta3c94?6=:3;>=hh54g1a?x}f:h0;6=4=:072b3<3n=80qvo=a;294?4=9<;mh7:i489~d4f290;6?4>5327>1`282wpm?o50;296?72:9h18k;8;|yb6d<729096<;=1387b0c50;09504613>m:85r{`0b>5<72;0:9?{|i;k1<7>52;36671=5<528?9>h4;f6c8y~g5i3:1<7<514001?2a081vwl7<6=;9h69h78:xe7g=83:1>7?:25190c>a3tqj>l4?:181>435>8h54gca?x}f:h0;6=4=:07123<3nk80qvo=a;294?4=9<8=h7:ib89~d4f290;6?4>5357>1`d82wpm?o50;296?72:>h18km8;|yb6d<729096<;=8387bfc50;09504?13>mh85r{`0b>5<72;0:9?7?:5dgg>{|i;k1<7>52;366<1=5<528?95h4;fdc8y~g5i3:1<7<5140b1?2an81vwl7<6=;kh69hi8:xe7g=83:1>7?:2c190c`a3tqj>l4?:181>435jh0><=84}zc1e?6=8381=8>nh5513g?x}f:h0;6=4=:071`3<28;>0qvo=a;294?4=9<9;<7;?4d9~d4f290;6?4>520b>060m2wpm?o50;296?72;;n19=6;;|yb6d<729096<;<35864=d50;095054j3?;5?5r{`0b>5<72;0:9>:=:42:f>{|i;k1<7>52;3671?==9k?7pun2`83>5<528?89=4:0`f8y~g5i3:1<7<514163?37j?1vwl7<6=:?n68>mf:xe7g=83:1>7?:377915e?3tqj>l4?:181>434>j0>?5?551g`?x}f:h0;6=4=:070<=<28o?0qvo=a;294?4=9<93j7;?fd9~d4f290;6?4>52;5>077?2wpm?o50;296?72;0n1950;09505fj3?:>?5r{`0b>5<72;0:9>l=:431f>{|i;k1<7>52;367g1==89;7pun2`83>5<528?8nn4:1278y~g5i3:1<7<5141`5?36;h1vwl7<6=:i=68?7?:3bc914243tqj>l4?:181>434ko0>=964}zc1e?6=8381=8=k3;720f=zsh8j6=4?:38216b?2<;>=6sta3c94?6=:3;>?im55076?x}f:h0;6=4=:070a4<295d9~d4f290;6?4>52gb>071;2wpm?o50;296?72;lo19<88;|yb6d<729096<;50;09505a?3?:;=5r{`0b>5<72;0:9>hl:4341>{|i;k1<7>52;36056==8=27pun2`83>5<528??<84:16g8y~g5i3:1<7<51463=?360;1vwl7<6==:n68?77:xe7g=83:1>7?:400914>e3tqj>l4?:181>4339?0>=5h4}zc1e?6=8381=8:>d;72===zsh8j6=4?:38211432<;2j6sta3c94?6=:3;>8?l550c;?x}f:h0;6=4=:07777<29k;0qvo=a;294?4=9<>857;>b`9~d4f290;6?4>5563>07d;2wpm?o50;296?72<==1950;095022=3?:hh5r{`0b>5<72;0:99;l:43f3>{|i;k1<7>52;36035==8l;7pun2`83>5<528??:l4:1g;8y~g5i3:1<7<514645?358;1vwl7<6===3687?:46d917733tqj>l4?:181>4330?0>>84l5531;?x}f:h0;6=4=:077e7<2:=;0qvo=a;294?4=9<>j57;=4`9~d4f290;6?4>55`3>042;2wpm?o50;296?7250;09502d=3?9:h5r{`0b>5<72;0:99ml:4043>{|i;k1<7>52;360a7==;=h7pun2`83>5<528??h;4:2938y~g5i3:1<7<5146gf?350?1vwl7<6==o;68<7b:xe7g=83:1>7?:4d6917>a3tqj>l4?:181>433m00>>4:4}zc1e?6=8381=8:jd;71===zsh8j6=4?:38211`52<82h6sta3c94?6=:3;>8k8553c2?x}f:h0;6=4=:077bg<2:h<0qvo=a;294?4=9<>mj7;=a`9~d4f290;6?4>5427>04fn2wpm?o50;296?72=9219?l<;|yb6d<729096<;:0e866g>50;09503693?9nn5r{`0b>5<72;0:98?9:40`5>{|i;k1<7>52;3614g==;i>7pun2`83>5<528?>=k4:2bc8y~g5i3:1<7<514717?35kl1vwl7<6=<83687?:53a917b03tqj>l4?:181>432;90>>il4}zc1e?6=8381=8;<7;71a1=zsh8j6=4?:382105b2<8nn6sta3c94?6=:3;>99;553d7?x}f:h0;6=4=:0760f<2:on0qvo=a;294?4=9?7;<079~d4f290;6?4>547b>057n2wpm?o50;296?72=?;19>?7;|yb6d<729096<;:698677750;095031n3?8>l5r{`0b>5<72;0:9899:4105>{|i;k1<7>52;3612b==:937pun2`83>5<528?>494:32d8y~g5i3:1<7<5147;f?34<11vwl7<6=<3968=:1:xe7g=83:1>7?:58;9163?3tqj>l4?:181>432i90>?8h4}zc1e?6=8381=8;n7;7023=zsh8j6=4?:38210gb2<9=h6sta3c94?6=:3;>9o;55257?x}f:h0;6=4=:076ff<2;>h0qvo=a;294?4=954ab>05?12wpm?o50;296?72=m;19>7?;|yb6d<729096<;:d9867<150;09503cn3?85h5r{`0b>5<72;0:98k9:41b1>{|i;k1<7>52;361`b==:kh7pun2`83>5<528?>j94:3c18y~g5i3:1<7<5147ef?34jh1vwl7<6=?:968=l1:xe7g=83:1>7?:61;916ef3tqj>l4?:181>431990>?i=4}zc1e?6=8381=88>7;70`f=zsh8j6=4?:382137b2<9n96sta3c94?6=:3;>:?;552gf?x}f:h0;6=4=:0756f<2;o=0qvo=a;294?4=9<<8?7;;019~d4f290;6?4>5714>027<2wpm?o50;296?72>:h199>7;|yb6d<729096<;9418605b50;095003<3??=<5r{`0b>5<72;0:9;:6:4622>{|i;k1<7>52;3621b===;j7pun2`83>5<528?=9?4:40d8y~g5i3:1<7<514462?33::1vwl7<6=??i68:=8:xe7g=83:1>7?:64d9114d3tqj>l4?:181>431>=0>8>?4}zc1e?6=8381=8898;7770=zsh8j6=4?:382130c2<>8m6sta3c94?6=:3;>::?5551f?x}f:h0;6=4=:07533<2<=90qvo=a;294?4=9<<575e>023k2wpm?o50;296?72>19199;?;|yb6d<729096<;9898600350;09500?k3??945r{`0b>5<72;0:9;7>:466a>{|i;k1<7>52;362<3===<97pun2`83>5<528?=5l4:4758y~g5i3:1<7<5144:a?33>k1vwl7<6=?k868:80:xe7g=83:1>7?:6`5911133tqj>l4?:181>431ij0>8:74}zc1e?6=8381=88m0;773a=zsh8j6=4?:38213d22<>3>6sta3c94?6=:3;>:o7555:5?x}f:h0;6=4=:075f`<2<1h0qvo=a;294?4=9<57a`>02>12wpm?o50;296?72>m9199o?;|yb6d<729096<;9d`860d150;09500b93??mh5r{`0b>5<72;0:9;k7:46a1>{|i;k1<7>52;362``===hh7pun2`83>5<528?=j;4:4b18y~g5i3:1<7<5144e`?33kh1vwl7<6=>:?68:k1:xe7g=83:1>7?:71`911b?3tqj>l4?:181>4309;0>8ih4}zc1e?6=8381=89>9;77a3=zsh8j6=4?:38212472<>nh6sta3c94?6=:3;>;?9555d7?x}f:h0;6=4=:0746`<2561`>037j2wpm?o50;296?72?=9198?=;|yb6d<729096<;84`8614?50;09501293?>>=5r{`0b>5<72;0:9:;7:4713>{|i;k1<7>52;3630`==<8n7pun2`83>5<528?<:;4:5278y~g5i3:1<7<51455`?32;j1vwl7<6=>=?68;;3:xe7g=83:1>7?:790910133tqj>l4?:181>430190>9l;4}zc1e?6=8381=896e;76`3=zsh8j6=4?:38212gd2<<;;6sta3c94?6=:3;>;oo5571;?x}f:h0;6=4=:074g=<2>?30qvo=a;294?4=9<=o:7;99`9~d4f290;6?4>56g7>00dj2wpm?o50;296?72?lh19;j;;|yb6d<729096<;8f3862ab50;09501a13?=i;5r{`0b>5<72;0:95>?:44fb>{|i;k1<7>52;36<51==?l37pun2`83>5<528?37<6=1;h689>3:xe7g=83:1>7?:8319127d3tqj>l4?:181>43?:h0>;?=4}zc1e?6=8381=86<1;746d=zsh8j6=4?:3821=5?2<=8=6sta3c94?6=:3;>4>h5561;?x}f:h0;6=4=:07;03<2?:l0qvo=a;294?4=9<2?h7;8479~d4f290;6?4>5977>013l2wpm?o50;296?72050;0950>113?<:?5r{`0b>5<72;0:959?:455=>{|i;k1<7>52;36<21==>=;7pun2`83>5<528?3;h4:7658y~g5i3:1<7<514:;1?30?l1vwl7<6=12h68975:xe7g=83:1>7?:881912>d3tqj>l4?:181>43?110>;4?4}zc1e?6=8381=866c;74=0=zsh8j6=4?:3821=g62<=2m6sta3c94?6=:3;>4l;556;f?x}f:h0;6=4=:07;ed<2?h90qvo=a;294?4=9<2ji7;8a69~d4f290;6?4>59`0>01fk2wpm?o50;296?720k=19:l?;|yb6d<729096<;7bb863g350;0950>d83?5<72;0:95m::45aa>{|i;k1<7>52;36i97pun2`83>5<528?3oh4:7b58y~g5i3:1<7<514:g6?30kk1vwl7<6=1n<689k0:xe7g=83:1>7?:8e`912b33tqj>l4?:181>43?m90>;i74}zc1e?6=8381=86j4;74`a=zsh8j6=4?:3821=c>2<=n>6sta3c94?6=:3;>4hj556g5?x}f:h0;6=4=:07;b7<2?lh0qvo=a;294?4=9<2m:7;8eg9~d4f290;6?4>59da>01a<2wpm?o50;296?720ol19:h7;|yb6d<729096<;605863cb50;0950?703?3<<5r{`0b>5<72;0:94>k:4:32>{|i;k1<7>52;36=47==1:j7pun2`83>5<528?2=;4:81d8y~g5i3:1<7<514;2e?3?9:1vwl7<6=0;n686>7:xe7g=83:1>7?:93791=473tqj>l4?:181>43>:j0>4?94}zc1e?6=8381=87<3;7;6`=zsh8j6=4?:3821<5f2<2896sta3c94?6=:3;>59?5591`?x}f:h0;6=4=:07:0=<20=90qvo=a;294?4=9<3?j7;74`9~d4f290;6?4>5875>0>292wpm?o50;296?72150;0950?1j3?3:;5r{`0b>5<72;0:949=:4:5`>{|i;k1<7>52;36=2?==1=?7pun2`83>5<528?24=4:86`8y~g5i3:1<7<514;;3?3?0;1vwl7<6=02n68679:xe7g=83:1>7?:98791=?73tqj>l4?:181>43>1h0>44;4}zc1e?6=8381=876e;7;=<=zsh8j6=4?:38215l9559c1?x}f:h0;6=4=:07:ef<20h=0qvo=a;294?4=9<3i<7;7ac9~d4f290;6?4>58`6>0>e82wpm?o50;296?721k3195l;;|yb6d<729096<;6bd8650;0950?d:3?3ni5r{`0b>5<72;0:94m8:4:`6>{|i;k1<7>52;36=fd==1i=7pun2`83>5<528?2h=4:8b`8y~g5i3:1<7<514;g0?3?ko1vwl7<6=0n2686k4:xe7g=83:1>7?:9ef91=b?3tqj>l4?:181>43>m;0>4ij4}zc1e?6=8381=87j6;7;a4=zsh8j6=4?:38215hh559gb?x}f:h0;6=4=:07:b1<20ll0qvo=a;294?4=9<3m47;7f29~d4f290;6?4>58dg>0>a02wpm?o50;296?72i9;195hl;|yb6d<729096<;n0786=5750;0950g7i3?2<85r{`0b>5<72;0:9l>i:4;3e>{|i;k1<7>52;36e45==0:n7pun2`83>5<528?j=54:9018y~g5i3:1<7<514c2g?3>9>1vwl7<6=h8;687>b:xe7g=83:1>7?:a3591<453tqj>l4?:181>43f:l0>5?74}zc1e?6=8381=8o<5;7:75=zsh8j6=4?:3821d5d2<38;6sta3c94?6=:3;>m9=5581f?x}f:h0;6=4=:07b0d<21=?0qvo=a;294?4=9=7;64b9~d4f290;6?4>5`7;>0?2;2wpm?o50;296?72i50;0950g1l3?2:h5r{`0b>5<72;0:9l9;:4;43>{|i;k1<7>52;36e2d==02;7pun2`83>5<528?j4?4:99;8y~g5i3:1<7<514c;=?3>1;1vwl7<6=h3;6876b:xe7g=83:1>7?:a8591l4?:181>43f1l0>5l74}zc1e?6=8381=8on5;7:f5=zsh8j6=4?:3821dgd2<3i;6sta3c94?6=:3;>mo=558`f?x}f:h0;6=4=:07bfd<21j?0qvo=a;294?4=95`a;>0?c;2wpm?o50;296?72ijl194jl;|yb6d<729096<;nd786=`550;0950gcl3?2il5r{`0b>5<72;0:9lk;:4;e5>{|i;k1<7>52;36e`d==0l37pun2`83>5<528?jj?4:9gd8y~g5i3:1<7<514ce=?3f8?1vwl7<6=k:;68o?d:xe7g=83:1>7?:b1591d733tqj>l4?:181>43e8l0>m5;7b67=zsh8j6=4?:3821g7d2n?=55`13?x}f:h0;6=4=:07a6d<2i:=0qvo=a;294?4=95c1;>0g3=2wpm?o50;296?72j:l19l:l;|yb6d<729096<;m4786e0350;0950d3l3?j9h5r{`0b>5<72;0:9o;;:4c51>{|i;k1<7>52;36f0d==h5<528?i:?4:a678y~g5i3:1<7<514`5=?3f?l1vwl7<6=k=;68o75:xe7g=83:1>7?:b6591d>d3tqj>l4?:181>43e?l0>m4=4}zc1e?6=8381=8l75;7b=d=zsh8j6=4?:3821g>d2n4=55`c;?x}f:h0;6=4=:07a=d<2ihl0qvo=a;294?4=95cc;>0gel2wpm?o50;296?72jhl19lm;;|yb6d<729096<;mb786efd50;0950del3?jh?5r{`0b>5<72;0:9om;:4cg=>{|i;k1<7>52;36ffd==ho;7pun2`83>5<528?ih?4:ad58y~g5i3:1<7<514`g=?3fml1vwl7<6=ko;68oi7:xe7g=83:1>7?:bd591g673tqj>l4?:181>43eml0>n=94}zc1e?6=8381=8li5;7a4`=zsh8j6=4?:3821g`d2o==55c3`?x}f:h0;6=4=:07`4d<2j;90qvo=a;294?4=95b3;>0d492wpm?o50;296?72k8l19o=7;|yb6d<729096<;l2786f6`50;0950e5l3?i8;5r{`0b>5<72;0:9n=;:4`7`>{|i;k1<7>52;36g6d==k??7pun2`83>5<528?h8?4:b4`8y~g5i3:1<7<514a7=?3e>;1vwl7<6=j?;68l99:xe7g=83:1>7?:c4591g173tqj>l4?:181>43d=l0>n:94}zc1e?6=8381=8m95;7a3`=zsh8j6=4?:3821f0d2o:=55c:`?x}f:h0;6=4=:07`3d<2j090qvo=a;294?4=95b:;>0df92wpm?o50;296?72k1l19oo7;|yb6d<729096<;l9786fd`50;0950e>l3?in;5r{`0b>5<72;0:9no;:4`a`>{|i;k1<7>52;36gdd==ki?7pun2`83>5<528?hn?4:bb`8y~g5i3:1<7<514aa=?3el;1vwl7<6=ji;68lk9:xe7g=83:1>7?:cb591gc73tqj>l4?:181>43dkl0>nh74}zc1e?6=8381=8mk5;7ab7=zsh8j6=4?:3821fbd2oh=55b27?x}f:h0;6=4=:07`ad<2k9n0qvo=a;294?4=95bd;>0e6l2wpm?o50;296?72kol19n<;;|yb6d<729096<;k0786g7d50;0950b7l3?h??5r{`0b>5<72;0:9i?;:4a0=>{|i;k1<7>52;36`4d==j>;7pun2`83>5<528?o>?4:c558y~g5i3:1<7<514f1=?3d7<6=m9;68m:5:xe7g=83:1>7?:d2591f3d3tqj>l4?:181>43c;l0>o;=4}zc1e?6=8381=8j;5;7`2d=zsh8j6=4?:3821a2d2h8=55b5;?x}f:h0;6=4=:07g1d<2k>l0qvo=a;294?4=95e4;>0e?l2wpm?o50;296?72l?l19n7;;|yb6d<729096<;k7786g50;0950b0l3?hm?5r{`0b>5<72;0:9i6;:4ab=>{|i;k1<7>52;36`=d==jh;7pun2`83>5<528?o5?4:cc58y~g5i3:1<7<514f:=?3djl1vwl7<6=mk;68ml5:xe7g=83:1>7?:d`591fed3tqj>l4?:181>43cil0>oi=4}zc1e?6=8381=8jm5;7``d=zsh8j6=4?:3821add2hn=55bg;?x}f:h0;6=4=:07ggd<2kll0qvo=a;294?4=95ef;>0eal2wpm?o50;296?72lml19i>9;|yb6d<729096<;ke786`5`50;0950bbl3?o=55r{`0b>5<72;0:9ih;:4f15>{|i;k1<7>52;36`cd==m8j7pun2`83>5<528?n7<6=l;;68j;5:xe7g=83:1>7?:e0591a2b3tqj>l4?:181>43b9l0>h894}zc1e?6=8381=8k=5;7g25=zsh8j6=4?:3821`4d2i>=55e51?x}f:h0;6=4=:07f7d<2l>h0qvo=a;294?4=95d6;>0b?l2wpm?o50;296?72m=l19i79;|yb6d<729096<;j5786`<`50;0950c2l3?om55r{`0b>5<72;0:9h8;:4fa5>{|i;k1<7>52;36a3d==mhj7pun2`83>5<528?n;?4:db18y~g5i3:1<7<514g4=?3ckj1vwl7<6=l2;68jk5:xe7g=83:1>7?:e9591abb3tqj>l4?:181>43b0l0>hh94}zc1e?6=8381=8k65;7gb5=zsh8j6=4?:3821`?d2il=55d21?x}f:h0;6=4=:07fed<2m9h0qvo=a;294?4=95d`;>0c6l2wpm?o50;296?72mkl19h<9;|yb6d<729096<;jc786a7`50;0950cdl3?n?55r{`0b>5<72;0:9hj;:4g75>{|i;k1<7>52;36aad==l>j7pun2`83>5<528?ni?4:e418y~g5i3:1<7<514gf=?3b=j1vwl7<6=ll;68k95:xe7g=83:1>7?:eg591`0b3tqj>l4?:181>43bnl0>i:94}zc1e?6=8381=8h?5;7f<5=zsh8j6=4?:3821c6d2j<=55d;1?x}f:h0;6=4=:07e5d<2m0h0qvo=a;294?4=95g0;>0cfl2wpm?o50;296?72n;n19hl=;|yb6d<729096<;i3386ag150;0950`4?3?nnn5r{`0b>5<72;0:9k=l:4g`5>{|i;k1<7>52;36b17==li=7pun2`83>5<528?m8;4:eb`8y~g5i3:1<7<514d7f?3bl91vwl7<6=o?;68kk5:xe7g=83:1>7?:f4791`bf3tqj>l4?:181>43a=h0>iih4}zc1e?6=8381=8h:f;7fa1=zsh8j6=4?:3821c032j;755dgf?x}f:h0;6=4=:07e2`<2mo90qvo=a;294?4=95g5;>0cal2wpm?o50;296?72n>n19k>=;|yb6d<729096<;i8386b5150;0950`??3?m5<72;0:9k6l:4d25>{|i;k1<7>52;36b<7==o;=7pun2`83>5<528?m5;4:f0`8y~g5i3:1<7<514d:f?3a:91vwl7<6=ok;68h=5:xe7g=83:1>7?:f`791c4f3tqj>l4?:181>43aih0>j?h4}zc1e?6=8381=8hnf;7e71=zsh8j6=4?:3821cd32jo755g1f?x}f:h0;6=4=:07ef`<2n=90qvo=c;294?4=95ga3>0`3=2wpm?m50;296?72nj;19k:9;|yb6f<729096<;ic386b1150;0950`d;3?m855r{`0b>5<72;0:9kmi:4d7=>{|i;k1<7>52;36ba2==o>n7pun2b83>5<528?mh84:f5d8y~g5k3:1<7<514dg2?3a=91vwl7<6=on<68h:1:xe7e=83:1>7?:fe:91c353tqj>n4?:181>43al00>j8=4}zc1e?6=8381=8hj5;7e11=zsh8j6=4?:3821ccf256sta3a94?6=:3;>jhl55g7b?x}f:j0;6=4=:07eaf<2n5ggf>0`2l2wpm?m50;296?72nll19k;j;|yb6d<729096<;ifc86b0`50;09536783?m:95r{`0`>5<72;0::=>>:4d51>{|i;i1<7>52;35454==o<=7pun2b83>5<528<;<>4:f758y~g5k3:1<7<517230?3a>11vwl7<6>9:>68h99:xe7g=83:1>7?900391c0f3tqj>l4?:181>4079?0>j;h4}zc1g?6=8381=;>>7;7e35=zsh8h6=4?:382257?290qvo=c;294?4=9?::n7;i759~d4f290;6?4>6104>0`0=2wpm?o50;296?718;i19k9n;|yb6f<729096<8?2e86b2d50;095365m3?m;n5r{`0`>5<72;0::={|i;i1<7>52;35466==o=n7pun2b83>5<528<;?<4:f6d8y~g5i3:1<7<51720`?3a091vwl7<6>9>968h75:xe7e=83:1>7?905191c>13tqj>n4?:181>407<=0>j594}zc1g?6=8381=;>;5;7e<==zsh8h6=4?:3822521247;i919~d4d290;6?4>617:>0`>92wpm?m50;296?71850;095362k3?m595r{`0`>5<72;0::=;k:4d:1>{|i;k1<7>52;3543?==o3=7pun2`83>5<528<;:h4:f8`8y~g5i3:1<7<517246?3a1o1vwl7<6>9=<68hn4:xe7g=83:1>7?906`91cg?3tqj>l4?:181>407090>jlj4}zc1e?6=8381=;>74;7ef4=zsh8j6=4?:38225>>261;a>0`d02wpm?o50;296?7180l19kml;|yb6d<729096<8?a586ba750;09536f03?mh85r{`0b>5<72;0::=ok:4dge>{|i;k1<7>52;354g7==onn7pun2`83>5<528<;n;4:fd18y~g5i3:1<7<5172ae?3am>1vwl7<6>9hm68hjc:xe7g=83:1>7?90b191c`73tqj>l4?:181>407k10>jk;4}zc1e?6=8381=;>lc;7eb<=zsh8j6=4?:38225b6261g0>36682wpm?o50;296?718l=1:=?;;|yb6d<729096<8?eb8544?50;09536a83<;=i5r{`0b>5<72;0::=h::7216>{|i;k1<7>52;354c?=>98=7pun2`83>5<528<;jh4903`8y~g5i3:1<7<517336?07:o1vwl7<6>8:<6;><4:xe7g=83:1>7?911`9255?3tqj>l4?:181>406990=<>j4}zc1e?6=8381=;?>4;4304=zsh8j6=4?:382247>2?:?:6sta3c94?6=:3;==600a>36202wpm?o50;296?719;l1:=;l;|yb6d<729096<8>358543750;09537403<;:85r{`0b>5<72;0::<=k:725e>{|i;k1<7>52;35517=>95<528<:8;490618y~g5i3:1<7<51737e?07?>1vwl7<6>8>m6;>8c:xe7g=83:1>7?9141925>73tqj>l4?:181>406=10=<5;4}zc1e?6=8381=;?:c;43<<=zsh8j6=4?:38224062?:3i6sta3c94?6=:3;==;;561;1?x}f:h0;6=4=:0422d<180=0qvo=a;294?4=9?;=i78?9c9~d4f290;6?4>6050>36f82wpm?o50;296?719>=1:=o;;|yb6d<729096<8>7b854d?50;09537?83<;mi5r{`0b>5<72;0::<6::72a6>{|i;k1<7>52;355=?=>9h=7pun2`83>5<528<:4h490c`8y~g5i3:1<7<5173:7?07k91vwl7<6>83<6;>l4:xe7g=83:1>7?918a925e>3tqj>l4?:181>406i90=2?:o:6sta3c94?6=:3;==lk561fa?x}f:h0;6=4=:042f7<18ml0qvo=a;294?4=9?;i;78?e59~d4f290;6?4>60`a>36b02wpm?o50;296?719j:1:=kk;|yb6d<729096<8>c5854c750;09537d13<;j;5r{`0b>5<72;0::{|i;k1<7>52;355a4=>9lm7pun2`83>5<528<:h;491118y~g5i3:1<7<5173gf?06811vwl7<6>8nm6;??c:xe7g=83:1>7?91d6924763tqj>l4?:181>406m10==<;4}zc1e?6=8381=;?jd;425d=zsh8j6=4?:38224`62?;:i6sta3c94?6=:3;==k856000?x}f:h0;6=4=:042bd<19;=0qvo=a;294?4=9?;mj78>2b9~d4f290;6?4>6320>37482wpm?o50;296?71:921:<=:;|yb6d<729096<8=0b8556?50;09534693<:?h5r{`0b>5<72;0::??::7376>{|i;k1<7>52;3564?=>8>=7pun2`83>5<528<9=h4915`8y~g5i3:1<7<517016?067<6>;8<6;?:4:xe7g=83:1>7?923`9243?3tqj>l4?:181>405;90==8j4}zc1e?6=8381=;<<4;4224=zsh8j6=4?:382275>2?;=:6sta3c94?6=:3;=>>j5604b?x}f:h0;6=4=:04107<19?l0qvo=a;294?4=9?8?:78>729~d4f290;6?4>636a>37002wpm?o50;296?71:=l1:<9l;|yb6d<729096<8=55855=750;09534203<:485r{`0b>5<72;0::?;k:73;e>{|i;k1<7>52;35637=>82n7pun2`83>5<528<9:;491818y~g5i3:1<7<51705e?061>1vwl7<6>;7?9261924g73tqj>l4?:181>405?10==l;4}zc1e?6=8381=;<8c;42e<=zsh8j6=4?:38227>62?;ji6sta3c94?6=:3;=>5;560`1?x}f:h0;6=4=:041bc9~d4f290;6?4>63;0>37d82wpm?o50;296?71:0=1:50;09534f83<:oi5r{`0b>5<72;0::?o::73g6>{|i;k1<7>52;356d?=>8n=7pun2`83>5<528<9mh491e`8y~g5i3:1<7<5170a6?06lo1vwl7<6>;h<6;?j4:xe7g=83:1>7?92c`924c?3tqj>l4?:181>405k90==hj4}zc1e?6=8381=;2?;m:6sta3c94?6=:3;=>nj560db?x}f:h0;6=4=:041`7<19ol0qvo=a;294?4=9?8o:78=029~d4f290;6?4>63fa>34702wpm?o50;296?71:ml1:?>l;|yb6d<729096<8=e58564750;09534b03<9=85r{`0b>5<72;0::?kk:702e>{|i;k1<7>52;356c7=>;;n7pun2`83>5<528<9j;492318y~g5i3:1<7<5170ee?05:>1vwl7<6>;lm6;<=c:xe7g=83:1>7?9311927573tqj>l4?:181>404810=>>;4}zc1e?6=8381=;=?c;417<=zsh8j6=4?:38226762?88i6sta3c94?6=:3;=?<;56361?x}f:h0;6=4=:0405d<1:==0qvo=a;294?4=9?9:i78=4c9~d4f290;6?4>6200>34282wpm?o50;296?71;;=1:?;;;|yb6d<729096<8<2b8560?50;09535483<99i5r{`0b>5<72;0::>=;:7055>{|i;k1<7>52;3576>=>;<>7pun2`83>5<528<8?n4927;8y~g5i3:1<7<517175?05>l1vwl7<6>:>>6;<82:xe7g=83:1>7?935c927103tqj>l4?:181>404:l4}zc1e?6=8381=;=:3;41<5=zsh8j6=4?:38226302?8386sta3c94?6=:3;=?8m563::?x}f:h0;6=4=:04025<1:1n0qvo=a;294?4=9?9=978=939~d4f290;6?4>624:>34>>2wpm?o50;296?71;?o1:?7m;|yb6d<729096<8<73856<`50;095350?3<9m95r{`0b>5<72;0::>9m:70b<>{|i;k1<7>52;357=6=>;ko7pun2`83>5<528<849492c38y~g5i3:1<7<5171;=?05j?1vwl7<6>:2n6;7?9381927e73tqj>l4?:181>404110=>n;4}zc1e?6=8381=;=6f;41g`=zsh8j6=4?:38226g12?8o;6sta3c94?6=:3;=?lj563g3?x}f:h0;6=4=:040f1<1:l30qvo=a;294?4=9?9in78=f39~d4f290;6?4>62a1>34aj2wpm?o50;296?71;j31:>>;;|yb6d<729096<850;09535c?3<8=;5r{`0b>5<72;0::>jj:712b>{|i;k1<7>52;357`3=>:837pun2`83>5<528<8in493238y~g5i3:1<7<5171e7?04;h1vwl7<6>:lj6;=;3:xe7g=83:1>7?94139262d3tqj>l4?:181>403810=?8;4}zc1e?6=8381=;:?f;401`=zsh8j6=4?:38221712?9=;6sta3c94?6=:3;=8=0qvo=a;294?4=9?>9n78<819~d4f290;6?4>6511>35?12wpm?o50;296?71<:31:>7?;|yb6d<729096<8;4185750;095323?3<8m=5r{`0b>5<72;0::9:j:71b3>{|i;k1<7>52;35003=>:kn7pun2`83>5<5287<6>=7?9463926ef3tqj>l4?:181>403?10=?i?4}zc1e?6=8381=;:8f;40`==zsh8j6=4?:38221>12?9oj6sta3c94?6=:3;=85j562g5?x}f:h0;6=4=:047=1<1;ln0qvo=a;294?4=9?>2n7865c1>35aj2wpm?o50;296?71=;|yb6d<729096<8;b18505?50;09532e?35<72;0::9lj:7623>{|i;k1<7>52;350f3=>=;n7pun2`83>5<5287<6>=nj6;:<3:xe7g=83:1>7?94d39215f3tqj>l4?:181>403m10=89?4}zc1e?6=8381=;:jf;470==zsh8j6=4?:38221`12?>?j6sta3c94?6=:3;=8kj56575?x}f:h0;6=4=:04641<1<6431>321j2wpm?o50;296?71=831:99=;|yb6d<729096<8:218502?50;095335?35<72;0::8{|i;k1<7>52;35163=>=2n7pun2`83>5<528<>?n494878y~g5i3:1<7<517777?031j1vwl7<6><>j6;:n3:xe7g=83:1>7?9543921gf3tqj>l4?:181>402=10=8o?4}zc1e?6=8381=;;:f;47f==zsh8j6=4?:38220012?>ij6sta3c94?6=:3;=9;j565a5?x}f:h0;6=4=:04631<164:1>32cj2wpm?o50;296?71=131:9k=;|yb6d<729096<8:91850`?50;09533>?35<72;0::87j:76ef>{|i;k1<7>52;351d3=><:?7pun2`83>5<528<>mn4951f8y~g5i3:1<7<5177a7?029?1vwl7<6>d:xe7g=83:1>7?95b3920433tqj>l4?:181>402k10=9?l4}zc1e?6=8381=;;lf;4677=zsh8j6=4?:38220b12??856sta3c94?6=:3;=9ij56463?x}f:h0;6=4=:046a1<1===0qvo=a;294?4=9??nn78:4d9~d4f290;6?4>64d1>332=2wpm?o50;296?71=o31:8;l;|yb6d<729096<89018513550;095307?3<>:l5r{`0b>5<72;0::;>j:7745>{|i;k1<7>52;35243=><=37pun2`83>5<528<==n4956d8y~g5i3:1<7<517417?020?1vwl7<6>?8j6;;7d:xe7g=83:1>7?9623920?33tqj>l4?:181>401;10=94l4}zc1e?6=8381=;8n78:bd9~d4f290;6?4>6741>33d?2wpm?o50;296?71>?31:8j?;|yb6d<729096<8971851a?50;095300?3<>i?5r{`0b>5<72;0::;9j:77ff>{|i;k1<7>52;352=3=>5<528<=4n495gf8y~g5i3:1<7<5174:7?018?1vwl7<6>?3j6;8?f:xe7g=83:1>7?96`39237?3tqj>l4?:181>401i10=:??4}zc1e?6=8381=;8nf;456d=zsh8j6=4?:38223d12?<8?6sta3c94?6=:3;=:oj5671`?x}f:h0;6=4=:045g1<1>=?0qvo=a;294?4=9?67f1>302?2wpm?o50;296?71>m31:;8?;|yb6d<729096<89e18523?50;09530b?3<=;?5r{`0b>5<72;0::;kj:744f>{|i;k1<7>52;352c3=>?2?7pun2`83>5<528<=jn4969f8y~g5i3:1<7<517537?011?1vwl7<6>>:?6;867:xe7e=83:1>7?9717923??3tqj>n4?:181>4008?0=:474}zc1g?6=8381=;9?7;45=d=zsh8h6=4?:382226?2?<2n6sta3c94?6=:3;=;<:567;`?x}f:h0;6=4=:0445<<1>h;0qvo=c;294?4=9?=:m789a39~d4d290;6?4>663a>30f;2wpm?m50;296?71?8i1:;o;;|yb6f<729096<881e852d350;095316m3<=m;5r{`0b>5<72;0:::{|i;k1<7>52;3537`=>?kh7pun2b83>5<528<7<6>>996;8nf:xe7e=83:1>7?9721923d73tqj>n4?:181>400;=0=:o?4}zc1e?6=8381=;9;0;45f7=zsh8j6=4?:38222222?k30qvo=c;294?4=9?=?4789b`9~d4d290;6?4>666:>30ej2wpm?m50;296?71?=k1:;ll;|yb6d<729096<8857852gb50;095312j3<=o?5r{`0`>5<72;0:::;l:74`7>{|i;i1<7>52;3530b=>?i?7pun2b83>5<528<<9h496b78y~g5k3:1<7<51756b?01k?1vwl7<6>><;6;8l7:xe7g=83:1>7?977a923e?3tqj>l4?:181>400?80=:nj4}zc1g?6=8381=;982;45g`=zsh8h6=4?:38222142?m;0qvo=c;294?4=9?=<:789d39~d4f290;6?4>66:1>30c;2wpm?o50;296?71?1=1:;j7;|yb6f<729096<8889852a?50;09531?13<=hl5r{`0`>5<72;0:::6n:74gf>{|i;i1<7>52;353=d=>?nh7pun2b83>5<528<<4n496ef8y~g5i3:1<7<5175:7<6>>3o6;8j3:xe7e=83:1>7?978g923c33tqj>n4?:181>4001o0=:h;4}zc1g?6=8381=;9n0;45a3=zsh8h6=4?:38222g62?l30qvo=a;294?4=9?=i?789ed9~d4d290;6?4>66`7>30bn2wpm?m50;296?71?k?1:;h?;|yb6f<729096<88b7852c750;09531e?3<=j?5r{`0`>5<72;0:::l7:74e7>{|i;k1<7>52;353f2=>?l?7pun2`83>5<528<7<6>>ii6;8ib:xe7e=83:1>7?97ba923`d3tqj>n4?:181>400km0=:kj4}zc1g?6=8381=;9le;45b`=zsh8j6=4?:38222bf2?66g1>317?2wpm?m50;296?71?l91::>7;|yb6f<729096<88e58535?50;09531a83<<5<72;0:::h::753b>{|i;i1<7>52;353c0=>>;;7pun2b83>5<528<7<6>>l26;9>3:xe7e=83:1>7?97gc922733tqj>l4?:181>40?8?0=;<;4}zc1e?6=8381=;6?b;445d=zsh8j6=4?:3822=6a2?=:i6sta3c94?6=:3;=4<:56600?x}f:h0;6=4=:04;5=<1?;=0qvo=a;294?4=9?2:h7882b9~d4f290;6?4>6902>31482wpm?o50;296?710;<1::=:;|yb6d<729096<872`8536?50;0953>5n3<5<72;0::5=<:7576>{|i;k1<7>52;35<6>=>>><7pun2`83>5<528<3?n4975`8y~g5i3:1<7<517:75?00=91vwl7<6>1>>6;9:4:xe7g=83:1>7?985c9223>3tqj>l4?:181>40?694:>31002wpm?o50;296?710?o1::9k;|yb6d<729096<8773853=750;0953>0?3<<4;5r{`0b>5<72;0::59m:75;e>{|i;k1<7>52;35<=6=>>2m7pun2`83>5<528<349497818y~g5i3:1<7<517:;=?00111vwl7<6>12o6;96c:xe7g=83:1>7?9880922g63tqj>l4?:181>40?1?0=;l;4}zc1e?6=8381=;66b;44ed=zsh8j6=4?:3822=?a2?=ji6sta3c94?6=:3;=4l:566`0?x}f:h0;6=4=:04;e=<1?k=0qvo=a;294?4=9?2jh788bb9~d4f290;6?4>69`2>31d82wpm?o50;296?710k<1::m:;|yb6d<729096<87b`853f?50;0953>en3<5<72;0::5m<:75g6>{|i;k1<7>52;35=>>n<7pun2`83>5<528<3on497e`8y~g5i3:1<7<517:g5?00m91vwl7<6>1n>6;9j4:xe7g=83:1>7?98ec922c>3tqj>l4?:181>40?ll0=;hj4}zc1e?6=8381=;6j3;44b7=zsh8j6=4?:3822=c02?=m:6sta3c94?6=:3;=4hm566da?x}f:h0;6=4=:04;b5<1?ol0qvo=a;294?4=9?2m9787059~d4f290;6?4>69d:>3>702wpm?o50;296?710oo1:5>k;|yb6d<729096<860385<4750;0953?7?3<3=;5r{`0b>5<72;0::4>m:7:2e>{|i;k1<7>52;35=46=>1;m7pun2`83>5<528<2=9498318y~g5i3:1<7<517;2=?0?:11vwl7<6>0;o6;6=c:xe7g=83:1>7?993092=563tqj>l4?:181>40>:?0=4>;4}zc1e?6=8381=;7=b;4;7d=zsh8j6=4?:3822<4a2?28i6sta3c94?6=:3;=5>:56960?x}f:h0;6=4=:04:7=<10==0qvo=a;294?4=9?38h7874b9~d4f290;6?4>6862>3>282wpm?o50;296?711=<1:5;:;|yb6d<729096<864`85<0?50;0953?3n3<39h5r{`0b>5<72;0::4;<:7:56>{|i;k1<7>52;35=0>=>1<<7pun2`83>5<528<29n4987`8y~g5i3:1<7<517;55?0??91vwl7<6>0<>6;684:xe7g=83:1>7?997c92=1>3tqj>l4?:181>40>>l0=4:j4}zc1e?6=8381=;783;4;<7=zsh8j6=4?:3822<102?23:6sta3c94?6=:3;=5:m569:a?x}f:h0;6=4=:04:<5<101l0qvo=a;294?4=9?339787959~d4f290;6?4>68::>3>>02wpm?o50;296?7111o1:57k;|yb6d<729096<86938550;0953?>?3<3m;5r{`0b>5<72;0::47m:7:be>{|i;k1<7>52;35=d6=>1km7pun2`83>5<528<2m9498c18y~g5i3:1<7<517;b=?0?j11vwl7<6>0ko6;6mc:xe7g=83:1>7?99c092=e63tqj>l4?:181>40>j?0=4n;4}zc1e?6=8381=;7mb;4;gd=zsh8j6=4?:382268f1>3>b92wpm?o50;296?711m<1:5k:;|yb6d<729096<86dc85<`g50;0953?cn3<3ih5r{`0b>5<72;0::4k;:7:e7>{|i;k1<7>52;35=`>=>1l<7pun2`83>5<528<2ii498ga8y~g5i3:1<7<517;e5?0>891vwl7<6>0l=6;7?5:xe7g=83:1>7?99gc92<6>3tqj>l4?:181>40>no0=5=k4}zc1e?6=8381=;o?3;4:57=zsh8j6=4?:3822d6?2?3:;6sta3c94?6=:3;=m=m5683a?x}f:h0;6=4=:04b54<11;:0qvo=a;294?4=9?k:9786259~d4f290;6?4>6`3b>3?512wpm?o50;296?71i8o1:450;0953g5?3<2?;5r{`0b>5<72;0::l{|i;k1<7>52;35e66=>09m7pun2`83>5<528<11vwl7<6>h9n6;7;d:xe7g=83:1>7?9a5092<363tqj>l4?:181>40f<>0=5884}zc1e?6=8381=;o;b;4:1d=zsh8j6=4?:3822d372?3>j6sta3c94?6=:3;=m8:56840?x}f:h0;6=4=:04b1<<11?20qvo=a;294?4=9?k>h7866b9~d4f290;6?4>6`41>3?092wpm?o50;296?71i?<1:49:;|yb6d<729096<8n6c85=2g50;0953g1n3<2;h5r{`0b>5<72;0::l9;:7;;7>{|i;k1<7>52;35e2>=>02<7pun2`83>5<528191vwl7<6>h2=6;765:xe7g=83:1>7?9a9c923tqj>l4?:181>40f0l0=54j4}zc1g?6=8381=;o7f;4:=`=zsh8h6=4?:3822d?72?32j6sta3a94?6=:3;=m4?568c3?x}f:j0;6=4=:04b=7<11h;0qvo=c;294?4=9?k2?786a39~d4f290;6?4>6`;e>3?f;2wpm?o50;296?71ih>1:4o7;|yb6f<729096<8na485=d?50;0953gf>3<2ml5r{`0`>5<72;0::lo8:7;bf>{|i;i1<7>52;35ed>=>0kh7pun2b83>5<528il1vwl7<6>hhj6;7m3:xe7e=83:1>7?9ac`92n4?:181>40fjj0=5o;4}zc1g?6=8381=;omd;4:f3=zsh8h6=4?:3822ddb2?3i;6sta3a94?6=:3;=moh568`;?x}f:h0;6=4=:04bgg<11k30qvo=a;294?4=9?ko<786bd9~d4d290;6?4>6`f2>3?en2wpm?m50;296?71im81:4m?;|yb6f<729096<8nd285=f750;0953gc<3<2o?5r{`0`>5<72;0::lj::7;`7>{|i;k1<7>52;35e`7=>0i?7pun2`83>5<528kh1vwl7<6>ho36;7lb:xe7e=83:1>7?9ad;92n4?:181>40fmh0=5nj4}zc1g?6=8381=;ojb;4:g`=zsh8j6=4?:3822d`02?3hj6sta3c94?6=:3;=mkm568f7?x}f:j0;6=4=:04bba<11m?0qvo=c;294?4=9?kmi786d79~d4d290;6?4>6`de>3?c?2wpm?m50;296?71j9:1:4j7;|yb6f<729096<8m0085=a?50;0953d7l3<2hl5r{`0b>5<72;0::o?=:7;gb>{|i;i1<7>52;35f45=>0o;7pun2b83>5<528m;1vwl7<6>k;=6;7j3:xe7e=83:1>7?9b0592l4?:181>40e::0=5h;4}zc1e?6=8381=;l=8;4:ad=zsh8h6=4?:3822g4>2?3nn6sta3a94?6=:3;=n?o568g`?x}f:j0;6=4=:04a6g<11ln0qvo=c;294?4=9?h9o786ed9~d4d290;6?4>6c0g>3?bn2wpm?o50;296?71j:31:4h?;|yb6d<729096<8m3d85=c350;0953d4n3<2j;5r{`0`>5<72;0::o:?:7;e3>{|i;i1<7>52;35f17=>0l37pun2b83>5<528nh1vwl7<6>k>m6;7ib:xe7g=83:1>7?9b4692d673tqj>n4?:181>40e=<0=m=?4}zc1g?6=8381=;l:6;4b47=zsh8h6=4?:3822g302?k;?6sta3a94?6=:3;=n8656`27?x}f:j0;6=4=:04a1<<1i9?0qvo=a;294?4=9?h=978n079~d4f290;6?4>6c4b>3g7j2wpm?m50;296?71j?h1:l>l;|yb6f<729096<8m6b85e5b50;0953d1l35<72;0::o8j:7c3b>{|i;i1<7>52;35f3`=>h;;7pun2`83>5<5287<6>k2:6;o>7:xe7e=83:1>7?9b9092d7?3tqj>n4?:181>40e0:0=m<74}zc1g?6=8381=;l74;4b5d=zsh8h6=4?:3822g>22?k:n6sta3c94?6=:3;=n4?56`3`?x}f:h0;6=4=:04a=3<1i;;0qvo=c;294?4=9?h2;78n239~d4d290;6?4>6c;;>3g5;2wpm?m50;296?71j031:l<;;|yb6f<729096<8m9`85e7350;0953d>j3;5r{`0b>5<72;0::oo8:7c13>{|i;k1<7>52;35fde=>h8h7pun2b83>5<5287<6>kkm6;o=f:xe7e=83:1>7?9bc292d573tqj>n4?:181>40ej80=m>?4}zc1e?6=8381=;lmd;4b77=zsh8j6=4?:3822ge52?k8;6sta3a94?6=:3;=nn=56`1;?x}f:j0;6=4=:04ag1<1i:30qvo=c;294?4=9?hh978n3`9~d4d290;6?4>6ca5>3g4j2wpm?m50;296?71jj=1:l=l;|yb6d<729096<8md285e6b50;0953dc035<72;0::oj6:7c77>{|i;i1<7>52;35fag=>h>?7pun2b83>5<5287<6>kno6;o;7:xe7g=83:1>7?9bd;92d2?3tqj>l4?:181>40eml0=m9j4}zc1g?6=8381=;ljf;4b0`=zsh8h6=4?:3822g`72?k?j6sta3a94?6=:3;=nk?56`73?x}f:j0;6=4=:04ab7<1i<;0qvo=c;294?4=9?hm?78n539~d4f290;6?4>6cde>3g2;2wpm?o50;296?71k9>1:l;7;|yb6f<729096<8l0485e0?50;0953e7>35<72;0::n>8:7c6f>{|i;i1<7>52;35g5>=>h?h7pun2b83>5<5287<6>j;j6;o93:xe7e=83:1>7?9c0`92d033tqj>n4?:181>40d9j0=m;;4}zc1g?6=8381=;m>d;4b23=zsh8h6=4?:3822f7b2?k=;6sta3a94?6=:3;=o6b12>3g1n2wpm?m50;296?71k:81:l9?;|yb6f<729096<8l3285e2750;0953e4<35<72;0::n=::7c47>{|i;k1<7>52;35g17=>h=?7pun2`83>5<5287<6>j>36;o8b:xe7e=83:1>7?9c5;92d1d3tqj>n4?:181>40di78n879~d4d290;6?4>6b7e>3g??2wpm?m50;296?71k?:1:l67;|yb6f<729096<8l6085e=?50;0953e1l35<72;0::n9=:7c;b>{|i;i1<7>52;35g25=>h3;7pun2b83>5<5287<6>j==6;o63:xe7e=83:1>7?9c6592d?33tqj>l4?:181>40d0:0=m4;4}zc1e?6=8381=;m78;4b=d=zsh8h6=4?:3822f>>2?k2n6sta3a94?6=:3;=o5o56`;`?x}f:j0;6=4=:04`6b:g>3g>n2wpm?o50;296?71k031:lo?;|yb6d<729096<8l9d85ed350;0953ef:35<72;0::no9:7cb`>{|i;k1<7>52;35gdd=>hh97pun2`83>5<5287<6>jh96;om9:xe7e=83:1>7?9cc192ddf3tqj>n4?:181>40dj=0=mol4}zc1g?6=8381=;mm5;4bff=zsh8j6=4?:3822fe62?kih6sta3a94?6=:3;=on<56``f?x}f:j0;6=4=:04`g6<1ikl0qvo=c;294?4=9?ih878nc19~d4d290;6?4>6ba6>3gd92wpm?m50;296?71kj<1:lm=;|yb6d<729096<8ld385ef550;0953ec>35<72;0::njn:7c`f>{|i;k1<7>52;35gac=>him7pun2`83>5<5281vwl7<6>joj6;okb:xe7g=83:1>7?9cdg92dba3tqj>l4?:181>40dn;0=mh=4}zc1e?6=8381=;mi6;4ba2=zsh8j6=4?:3822f`f2?knn6sta3c94?6=:3;=okk56`ge?x}f:h0;6=4=:04g47<1io90qvo=a;294?4=9?n;:78nf69~d4f290;6?4>6e2b>3gaj2wpm?o50;296?71l9o1:lhi;|yb6d<729096<8k1385f5550;0953b6>35<72;0::i?8:7`3e>{|i;i1<7>52;35`4>=>k:37pun2b83>5<5287<6>m8?6;l?c:xe7g=83:1>7?9d3;92g763tqj>l4?:181>40c:l0=n<84}zc1e?6=8381=;j<3;4a5g=zsh8j6=4?:3822a5?2?h9<6sta3c94?6=:3;=h>j56c06?x}f:h0;6=4=:04g07<1j;k0qvo=a;294?4=9?n?;78m2g9~d4f290;6?4>6e6`>3d4<2wpm?o50;296?71l<;1:o=6;|yb6d<729096<8k5785f6c50;0953b2j35r{`0b>5<72;0::i8?:7`7<>{|i;k1<7>52;35`33=>k>o7pun2`83>5<5281vwl7<6>m=?6;l:c:xe7g=83:1>7?9d6;92g063tqj>l4?:181>40c?l0=n;84}zc1e?6=8381=;j73;4a2g=zsh8j6=4?:3822a>?2?h<<6sta3c94?6=:3;=h5j56c56?x}f:h0;6=4=:04g=7<1j>k0qvo=a;294?4=9?n2;78m7g9~d4f290;6?4>6e;`>3d?<2wpm?o50;296?71lh;1:o66;|yb6d<729096<8ka785f=c50;0953bfj35r{`0b>5<72;0::il?:7`:<>{|i;k1<7>52;35`g3=>k3o7pun2`83>5<5281vwl7<6>mi?6;lnc:xe7g=83:1>7?9db;92gd63tqj>l4?:181>40ckl0=no84}zc1e?6=8381=;jk3;4afg=zsh8j6=4?:3822ab?2?hh<6sta3c94?6=:3;=hij56ca6?x}f:h0;6=4=:04ga7<1jjk0qvo=a;294?4=9?nn;78mcg9~d4f290;6?4>6eg`>3dc<2wpm?o50;296?71lo;1:oj6;|yb6d<729096<8kf785fac50;0953baj35r{`0b>5<72;0::h>?:7`f<>{|i;k1<7>52;35a53=>koo7pun2`83>5<5281vwl7<6>l;?6;lic:xe7g=83:1>7?9e0;92f663tqj>l4?:181>40b9l0=o=84}zc1e?6=8381=;k=3;4`4g=zsh8j6=4?:3822`4?2?i:<6sta3c94?6=:3;=i?k56b35?x}f:h0;6=4=:04f71<1k8i0qvo=a;294?4=9?o8m78l239~d4f290;6?4>6d63>3e502wpm?o50;296?71m=<1:n50;0953c2:35<72;0::h;7:7a74>{|i;k1<7>52;35a0c=>j>=7pun2`83>5<52896sta3a94?6=:3;=i;j56b42?x}f:j0;6=4=:04f34<1k<<0qvo=c;294?4=9?o=i78l619~d4d290;6?4>6d4e>3e2m2wpm?m50;296?71m>:1:n;i;|yb6d<729096<8j8485g0150;0953c?>35<72;0::h68:7a6=>{|i;i1<7>52;35a=>=>j?j7pun2b83>5<5287<6>l3=6;m93:xe7e=83:1>7?9e8592f033tqj>n4?:181>40b110=o;;4}zc1g?6=8381=;k69;4`23=zsh8h6=4?:3822`?f2?i=;6sta3a94?6=:3;=i4l56b4;?x}f:h0;6=4=:04fe2<1k?30qvo=a;294?4=9?ojh78l6g9~d4d290;6?4>6dcf>3e082wpm?m50;296?71mhl1:n9>;|yb6f<729096<8jb185g2450;0953ce935r{`0`>5<72;0::hl=:7a40>{|i;k1<7>52;35agc=>j=>7pun2b83>5<5287<6>li:6;m86:xe7e=83:1>7?9eb092f103tqj>n4?:181>40bk:0=o:o4}zc1e?6=8381=;klf;4`3g=zsh8h6=4?:3822`b72?in0qvo=c;294?4=9?oo?78l7g9~d4f290;6?4>6dfg>3e?82wpm?o50;296?71ml91:n69;|yb6d<729096<8je685g=g50;0953cbj35<72;0::hki:7a:6>{|i;k1<7>52;35ac5=>j3=7pun2`83>5<5287<6>llo6;mn0:xe7e=83:1>7?9egg92fg63tqj>n4?:181>40bno0=ol<4}zc1g?6=8381=;h?0;4`e6=zsh8h6=4?:3822c662?ij86sta3c94?6=:3;=j=j56bc6?x}f:h0;6=4=:04e57<1khk0qvo=a;294?4=9?l:;78lag9~d4f290;6?4>6g3`>3ee<2wpm?o50;296?71n;;1:nl6;|yb6d<729096<8i2785ggc50;0953`5j35r{`0b>5<72;0::k=?:7a`<>{|i;k1<7>52;35b63=>jio7pun2`83>5<5287<6>o>:6;mk9:xe7e=83:1>7?9f5092fbd3tqj>n4?:181>40a<:0=oio4}zc1g?6=8381=;h;4;4``g=zsh8h6=4?:3822c222?ioh6sta3c94?6=:3;=j9h56bff?x}f:h0;6=4=:04e16<1kl80qvo=a;294?4=9?l>578le99~d4d290;6?4>6g7b>3eb12wpm?m50;296?71n50;0953`2l35<72;0::k;j:7af`>{|i;k1<7>52;35b3g=>jon7pun2b83>5<5287<6>o7?9f7g92f`53tqj>n4?:181>40a>o0=ok=4}zc1e?6=8381=;h8b;4`b1=zsh8h6=4?:3822c1d2?im;6sta3a94?6=:3;=j:j56bd6?x}f:j0;6=4=:04e3`<1ko<0qvo=c;294?4=9?l6g::>3ea12wpm?m50;296?71n1k1:nhn;|yb6f<729096<8i8c85gcd50;0953`?k35<72;0::k6k:7ae`>{|i;i1<7>52;35b=c=>jln7pun2`83>5<5287<6>o3h6;j?1:xe7e=83:1>7?9f8f92a653tqj>n4?:181>40a1l0=h==4}zc1g?6=8381=;h6f;4g41=zsh8j6=4?:3822cge2?n;96sta3a94?6=:3;=jlm56e2:?x}f:j0;6=4=:04eea<1l9<0qvo=c;294?4=9?lji78k069~d4d290;6?4>6gce>3b702wpm?m50;296?71nk:1:i>n;|yb6d<729096<8ibb85`5d50;0953`d:35<72;0::km9:7f21>{|i;k1<7>52;35bfg=>m;27pun2b83>5<5287<6>oio6;j>b:xe7e=83:1>7?9fbg92a7c3tqj>l4?:181>40al10=h6gg4>3b5>2wpm?m50;296?71nl21:i<;;|yb6f<729096<8ie885`7350;0953`bi3:5r{`0b>5<72;0::kh;:7f1<>{|i;i1<7>52;35bc3=>m827pun2b83>5<5287<6>ol36;j=c:xe7e=83:1>7?9fg;92a4c3tqj>l4?:181>4178<0=h?k4}zc1e?6=8381=:>?9;4g77=zsh8j6=4?:382356c2?n8:6sta3a94?6=:3;<<=k56e1:?x}f:j0;6=4=:0534c<1l:=0qvo=c;294?4=9>::<78k399~d4d290;6?4>7132>3b4i2wpm?o50;296?7088h1:i=m;|yb6d<729096<9?2185`1650;095265935<72;0:;=<=:7f75>{|i;i1<7>52;34475=>m>97pun2b83>5<528=;>949d518y~g5k3:1<7<516211?0c<<1vwl7<6?99:6;j;6:xe7e=83:1>7?802092a2>3tqj>n4?:181>417;:0=h9o4}zc1g?6=8381=:><4;4g02=zsh8h6=4?:38235522?n?46sta3a94?6=:3;<<>856e6a?x}f:h0;6=4=:05307<1l=i0qvo=a;294?4=9>:?478k539~d4f290;6?4>716f>3b202wpm?o50;296?708<>1:i;j;|yb6d<729096<9?5`85`3250;095261835<72;0:;=8>:7f5f>{|i;i1<7>52;34434=>m5<528=;:>49d7a8y~g5k3:1<7<516250?0c>m1vwl7<6?9<>6;j9f:xe7g=83:1>7?806392a173tqj>l4?:181>417?>0=h:84}zc1g?6=8381=:>88;4g32=zsh8h6=4?:382351>2?n<46sta3a94?6=:3;<<:o56e5:?x}f:j0;6=4=:0533g<1l>k0qvo=c;294?4=9>:71:;>3b0k2wpm?o50;296?7081o1:i6=;|yb6d<729096<9?9585`=>50;09526>i35<72;0:;=o?:7f:0>{|i;k1<7>52;344d0=>m3j7pun2`83>5<528=;mn49d`28y~g5i3:1<7<5162a6?0ci?1vwl7<6?9h36;jnc:xe7g=83:1>7?80cg92ad53tqj>l4?:181>417k=0=ho64}zc1e?6=8381=:>la;4gf`=zsh8j6=4?:38235b72?nh86sta3c94?6=:3;<:n>78kd79~d4f290;6?4>71g;>3bck2wpm?o50;296?708lo1:ik=;|yb6d<729096<9?f585``>50;09526ai35<72;0:;<>?:7fe0>{|i;k1<7>52;34550=>mlj7pun2`83>5<528=:7<6?8;36;k?c:xe7g=83:1>7?810g92`753tqj>l4?:181>416:=0=i<64}zc1e?6=8381=:?=a;4f5`=zsh8j6=4?:38234572?o986sta3c94?6=:3;<=>856d0b?x}f:h0;6=4=:0527f<1m::0qvo=a;294?4=9>;?>78j379~d4f290;6?4>706;>3c4k2wpm?o50;296?709=o1:h:=;|yb6d<729096<9>5585a1>50;095272i35<72;0:;<8?:7g60>{|i;k1<7>52;34530=>l?j7pun2`83>5<528=::n49e728y~g5i3:1<7<516346?0b>?1vwl7<6?8=36;k9c:xe7g=83:1>7?816g92`153tqj>l4?:181>4160=0=i:64}zc1e?6=8381=:?7a;4f3`=zsh8j6=4?:38234?72?o386sta3c94?6=:3;<=4856d:b?x}f:h0;6=4=:052=f<1m0:0qvo=a;294?4=9>;j>78j979~d4f290;6?4>70c;>3c>k2wpm?o50;296?709ho1:ho=;|yb6d<729096<9>b585ad>50;09527ei35<72;0:;{|i;k1<7>52;345f0=>lhj7pun2`83>5<528=:on49eb28y~g5i3:1<7<5163g6?0bk?1vwl7<6?8n36;klc:xe7g=83:1>7?81eg92`b53tqj>l4?:181>416m=0=ii64}zc1e?6=8381=:?ja;4f``=zsh8j6=4?:38234`72?on86sta3c94?6=:3;<=k856dgb?x}f:h0;6=4=:052bf<1mo:0qvo=a;294?4=9>8;>78jf79~d4f290;6?4>732;>3cak2wpm?m50;296?70:931:hhk;|yb6f<729096<9=0`85acc50;095247j35<72;0:;?>l:7d34>{|i;i1<7>52;3465b=>o::7pun2`83>5<528=9=449f108y~g5k3:1<7<51602e?0a8:1vwl7<6?;;i6;h?4:xe7e=83:1>7?820a92c623tqj>n4?:181>4159m0=j=84}zc1g?6=8381=:<>e;4e42=zsh8j6=4?:382374f2?l;46sta3c94?6=:3;<>?k56g2`?x}f:j0;6=4=:0516c<1n9l0qvo=c;294?4=9>88<78i0e9~d4d290;6?4>7312>3`7m2wpm?m50;296?70::81:k??;|yb6d<729096<9=3b85b4750;095244l35<72;0:;?=j:7d21>{|i;i1<7>52;3466`=>o;97pun2b83>5<528=98=49f018y~g5k3:1<7<516075?0a9?1vwl7<6?;>o6;h>7:xe7e=83:1>7?825g92c7f3tqj>n4?:181>4158<56g3`?x}f:h0;6=4=:0511`<1n8n0qvo=a;294?4=9>8=878i229~d4f290;6?4>734b>3`512wpm?m50;296?70:?h1:k50;095241l3o5r{`0`>5<72;0:;?8j:7d1`>{|i;k1<7>52;3462>=>o8n7pun2b83>5<528=9;449f3d8y~g5k3:1<7<51604e?0a;91vwl7<6?;=i6;h<1:xe7e=83:1>7?826a92c553tqj>n4?:181>415?m0=j>=4}zc1e?6=8381=:<79;4e71=zsh8h6=4?:38237>f2?l8;6sta3a94?6=:3;<>5l56g1;?x}f:j0;6=4=:05183h78i379~d4d290;6?4>73:f>3`412wpm?o50;296?70:0k1:k=n;|yb6f<729096<9=9c85b6b50;09524>k35<72;0:;?7k:7d0f>{|i;i1<7>52;346o9h7pun2b83>5<528=95k49f2d8y~g5i3:1<7<5160bf?0a<91vwl7<6?;h:6;h;6:xe7g=83:1>7?82c792c2f3tqj>n4?:181>415j?0=j9l4}zc1g?6=8381=:o756g6g?x}f:j0;6=4=:051fd<1n=l0qvo=a;294?4=9>8h:78i519~d4d290;6?4>73a4>3`2:2wpm?m50;296?70:j21:k;;;|yb6f<729096<9=c885b0750;09524di35r{`0`>5<72;0:;?mm:7d61>{|i;k1<7>52;346a1=>o?=7pun2b83>5<528=9h549f458y~g5k3:1<7<5160g=?0a=11vwl7<6?;nj6;h:9:xe7e=83:1>7?82e`92c3f3tqj>l4?:181>415m<0=j8l4}zc1g?6=8381=:h6sta3a94?6=:3;<>h656g7f?x}f:j0;6=4=:051a<<1n8m?78i619~d4d290;6?4>73d7>3`1;2wpm?m50;296?70:o?1:k8>;|yb6f<729096<9=f785b3450;09524a?35<72;0:;>>>:7d51>{|i;i1<7>52;34754=>o<<7pun2b83>5<528=8<>49f7;8y~g5k3:1<7<516130?0a>?1vwl7<6?::>6;h98:xe7e=83:1>7?831492c0f3tqj>l4?:181>4149;0=j;l4}zc1g?6=8381=:=>3;4e2f=zsh8h6=4?:38236732?l=j6sta3a94?6=:3;9:;78i719~d4f290;6?4>7200>3`092wpm?m50;296?70;;>1:k9:;|yb6f<729096<9<2485b2250;095255>35<72;0:;><8:7d47>{|i;i1<7>52;3477>=>o==7pun2`83>5<528=8?949f658y~g5i3:1<7<51610e?0a?m1vwl7<6?:9i6;h8f:xe7e=83:1>7?832a92c>63tqj>n4?:181>414;m0=j:k4}zc1g?6=8381=:=6sta3c94?6=:3;9>>78i8c9~d4d290;6?4>7270>3`?l2wpm?m50;296?70;<>1:k6n;|yb6f<729096<9<5485b=e50;095252>35<72;0:;>8=:7d;b>{|i;i1<7>52;34735=>o3;7pun2b83>5<528=8:949f838y~g5k3:1<7<516151?0a1;1vwl7<6?:<=6;h63:xe7e=83:1>7?837592c?33tqj>l4?:180>414?:0=j4o56g;6?x}f:j0;6=4=:05031<1n0i0qvo=c;294?4=9>9<578i9d9~d4d290;6?4>7256>3`>l2wpm?m50;296?70;><1:k77;|yb6f<729096<9<7685b<050;095250035<72;0:;>6m:7d:b>{|i;i1<7>52;347=e=>ok:7pun2b83>5<528=84i49f`18y~g5k3:1<7<5161;a?0ai91vwl7<6?:2m6;hn2:xe7e=83:1>7?838292cg33tqj>l4?:181>4141j0=jl;4}zc1g?6=8381=:=6d;4ee<=zsh8h6=4?:38236?b2?lj;6sta3a94?6=:3;9j=78ia`9~d4f290;6?4>72cg>3`fj2wpm?o50;296?70;k91:kl>;|yb6d<729096<950;09525ei35<72;0:;>lm:7da=>{|i;i1<7>52;347ge=>ohj7pun2b83>5<528=8ni49fc`8y~g5k3:1<7<5161aa?0ajj1vwl7<6?:ij6;hl1:xe7e=83:1>7?83b`92ce53tqj>n4?:181>414kj0=jn=4}zc1g?6=8381=:=ld;4eg1=zsh8h6=4?:38236eb2?lh96sta3a94?6=:3;9oo78ic99~d4d290;6?4>72fg>3`d12wpm?m50;296?70;mo1:kmn;|yb6f<729096<950;09525b835<72;0:;>kl:7d``>{|i;i1<7>52;347`b=>oin7pun2b83>5<528=8ih49fbd8y~g5k3:1<7<5161fb?0al91vwl7<6?:l;6;hk1:xe7e=83:1>7?83g392cb53tqj>l4?:181>414nm0=ji=4}zc1e?6=8391=::?3;4e`<>;878idc9~d4d290;6?4>7526>=b?m2wpm?m50;296?70<931:kjl;|yb6f<729096<9;078;`=e50;095227?32o4o5r{`0`>5<72;0:;9>7:9f;`>{|i;k1<7>52;3404d=>ono7pun2`83>5<528=?=k49fd38y~g5k3:1<7<516614?0am=1vwl7<6?=8:6;hj5:xe7e=83:1>7?843092cc53tqj>n4?:181>413::0=jh=4}zc1g?6=8381=::=4;4ea3=zsh8j6=4?:38231572?ln;6sta3a94?6=:3;<8>?56gga?x}f:j0;6=4=:05777<1nlk0qvo=c;294?4=9>>8?78ie99~d4d290;6?4>7517>3`b12wpm?m50;296?70<:?1:kkl;|yb6d<729096<9;4085b`b50;095223:35<72;0:;9:<:7dfa>{|i;i1<7>52;34012=>oom7pun2b83>5<528=?8849fg38y~g5i3:1<7<51667b?0an;1vwl7<6?=?;6;hi6:xe7e=83:1>7?844392c`23tqj>n4?:181>413=;0=jk=4}zc1g?6=8381=:::3;4eb1=zsh8h6=4?:38231332?lm;6sta3c94?6=:3;<8;>56gd;?x}f:j0;6=4=:05724<1no30qvo=c;294?4=9>>=>78if`9~d4d290;6?4>7540>3`aj2wpm?m50;296?701:khl;|yb6f<729096<9;6485bcb50;095220935<72;0:;99=:7deb>{|i;i1<7>52;34025=?9:97pun2b83>5<528=?;9480128y~g5k3:1<7<516641?17881vwl7<6?===6:>?3:xe7g=83:1>7?8490935633tqj>l4?:181>4130?0<<=64}zc1e?6=8381=::7a;534f=zsh8h6=4?:38231>e2>:;j6sta3a94?6=:3;<85m5712g?x}f:j0;6=4=:057>3i79?119~d4f290;6?4>75;;>26692wpm?m50;296?70<031;=?;;|yb6f<729096<9;9`8444450;09522>j3=;=>5r{`0`>5<72;0:;97l:6221>{|i;i1<7>52;3405<528=?m4480058y~g5k3:1<7<5166be?179h1vwl7<6?=ki6:>>b:xe7e=83:1>7?84`a9357?3tqj>n4?:181>413im0<<<74}zc1g?6=8381=::ne;535f=zsh8j6=4?:38231df2>::h6sta3a94?6=:3;<8ol5713e?x}f:j0;6=4=:057ff<08;;0qvo=c;294?4=9>>ih79?1d9~d4d290;6?4>75`f>26582wpm?m50;296?7050;09522dk3=;>:5r{`0`>5<72;0:;9mk:6212>{|i;i1<7>52;340fc=?98?7pun2b83>5<528=?ok480378y~g5k3:1<7<5166g4?17:11vwl7<6?=nh6:>=9:xe7e=83:1>7?84ef9354c3tqj>n4?:181>413ll0<:9n6sta3a94?6=:3;<8h?5710f?x}f:h0;6=4=:057aa<08;l0qvo=c;294?4=9>>ni79?339~d4d290;6?4>75ge>264;2wpm?m50;296?7050;09522a:3=;?95r{`0b>5<72;0:;9hj:6201>{|i;i1<7>52;340c`=?9937pun2b83>5<528=><=4802;8y~g5k3:1<7<516735?17;?1vwl7<6?<:96:><7:xe7e=83:1>7?85119355f3tqj>l4?:181>4128o0<<>l4}zc1g?6=8381=:;>0;537`=zsh8h6=4?:38230762>:8j6sta3a94?6=:3;<9<<5711`?x}f:j0;6=4=:05656<08:n0qvo=c;294?4=9>?:879?419~d4f290;6?4>7403>26392wpm?m50;296?70=;;1;=:;;|yb6f<729096<9:238441350;095235;3=;8?5r{`0`>5<72;0:;8<;:6277>{|i;i1<7>52;34173=?9>=7pun2`83>5<528=>?<480558y~g5k3:1<7<516706?177<6?<986:>;b:xe7e=83:1>7?85269352?3tqj>n4?:181>412;<0<<974}zc1g?6=8381=:;<6;530f=zsh8j6=4?:38230252>:?h6sta3a94?6=:3;<99=57173?x}f:j0;6=4=:05601<08<;0qvo=c;294?4=9>??979?4d9~d4d290;6?4>7465>263n2wpm?m50;296?70===1;=;=;|yb6d<729096<9:528440550;095232<3=;9:5r{`0`>5<72;0:;8;::6260>{|i;i1<7>52;34100=?9?>7pun2b83>5<528=>9:480448y~g5k3:1<7<516767<6?<:9:xe7e=83:1>7?85779353d3tqj>n4?:181>412>?0<<8o4}zc1g?6=8381=:;97;531g=zsh8h6=4?:382300?2>:>h6sta3c94?6=:3;<9:<5717f?x}f:j0;6=4=:05636<08?80qvo=c;294?4=9>?<879?5g9~d4d290;6?4>7456>26182wpm?m50;296?70=><1;=8>;|yb6f<729096<9:768443550;09523?;3=;:95r{`0`>5<72;0:;86;:625<>{|i;i1<7>52;341=3=?9<=7pun2b83>5<528=>4;480778y~g5k3:1<7<5167;3?17>>1vwl7<6?<236:>99:xe7g=83:1>7?85869350f3tqj>n4?:181>4121<0<<;l4}zc1g?6=8381=:;66;532f=zsh8h6=4?:38230?02>:=h6sta3a94?6=:3;<9465714f?x}f:j0;6=4=:056=<<08?l0qvo=a;294?4=9>?j979?719~d4f290;6?4>74ca>260>2wpm?m50;296?70=hi1;=96;|yb6f<729096<9:ae8442150;09523fm3=;;55r{`0`>5<72;0:;8oi:624e>{|i;k1<7>52;341g?=?92;7pun2b83>5<528=>nl480938y~g5k3:1<7<5167af?170;1vwl7<6?73:xe7e=83:1>7?85cf935>33tqj>n4?:181>412jl0<<5;4}zc1e?6=8381=:;la;53<3=zsh8h6=4?:38230ee2>:3;6sta3a94?6=:3;<9nm571:;?x}f:j0;6=4=:056ga<08130qvo=c;294?4=9>?hi79?8`9~d4d290;6?4>74ae>26?j2wpm?o50;296?70=mh1;=6l;|yb6f<729096<9:db844=b50;09523cl3=;4h5r{`0`>5<72;0:;8jj:62;b>{|i;i1<7>52;341a`=?93;7pun2b83>5<528=>i=480838y~g5i3:1<7<5167fg?171;1vwl7<6?63:xe7e=83:1>7?85dg935?33tqj>n4?:181>412mo0<<4;4}zc1g?6=8381=:;i0;53=3=zsh8h6=4?:38230`62>:2;6sta3c94?6=:3;<9kj571;;?x}f:j0;6=4=:056b`<080h0qvo=c;294?4=9>?mj79?989~d4d290;6?4>7723>26>i2wpm?m50;296?70>9;1;=7l;|yb6d<729096<990c84450;095207k3=;5h5r{`0`>5<72;0:;;>k:62:b>{|i;i1<7>52;3425c=?9k;7pun2b83>5<528==7<6??;h6:>n3:xe7e=83:1>7?860f935g13tqj>n4?:181>4119l0<f;53e0=zsh8h6=4?:38233472>:j;6sta3c94?6=:3;<:?o571c;?x}f:j0;6=4=:0556g<08h30qvo=c;294?4=9><9o79?a`9~d4d290;6?4>770g>26fj2wpm?m50;296?70>;o1;=ol;|yb6f<729096<992g844db50;095204j3=;mh5r{`0`>5<72;0:;;=l:62bb>{|i;i1<7>52;3426b=?9h;7pun2b83>5<528==?h480c38y~g5k3:1<7<51640b?17j;1vwl7<6??>;6:>m3:xe7g=83:1>7?865a935d33tqj>n4?:181>411:i:6sta3a94?6=:3;<:8>571`;?x}f:h0;6=4=:0551d<08k30qvo=c;294?4=9><>n79?b`9~d4d290;6?4>777`>26el2wpm?m50;296?70>50;095202n3=;nh5r{`0b>5<72;0:;;8m:62ab>{|i;k1<7>52;34227=?9i>7pun2`83>5<528==;:480b`8y~g5i3:1<7<51644g?17l91vwl7<6??=o6:>k1:xe7e=83:1>7?866g935b53tqj>n4?:181>411?o0<62>:o96sta3c94?6=:3;<:5j571f5?x}f:h0;6=4=:055=7<08mh0qvo=c;294?4=9><2?79?db9~d4d290;6?4>77;7>26cn2wpm?m50;296?70>0?1;=jk;|yb6f<729096<9997844ac50;09520>?3=;i=5r{`0b>5<72;0:;;o<:62f5>{|i;i1<7>52;342d2=?9o97pun2b83>5<528==m8480d78y~g5k3:1<7<5164b2?17m:1vwl7<6??k<6:>j4:xe7e=83:1>7?86`:935c13tqj>l4?:181>411j=0<:n56sta3a94?6=:3;<:o9571gb?x}f:j0;6=4=:055f=<08lh0qvo=a;294?4=9>79?eb9~d4d290;6?4>77a0>26bn2wpm?m50;296?70>j>1;=kk;|yb6f<729096<99c4844`c50;09520d>3=;j=5r{`0b>5<72;0:;;j?:62e5>{|i;i1<7>52;342a7=?9l?7pun2b83>5<528==h?480g78y~g5k3:1<7<5164g7?17n;1vwl7<6??n?6:>i3:xe7e=83:1>7?86e7935`13tqj>l4?:181>411m80<:mn6sta3a94?6=:3;<:h:571d:?x}f:j0;6=4=:055a0<08ok0qvo=c;294?4=9>77d1>26al2wpm?o50;296?70>o21;<><;|yb6f<729096<99f88455250;09520ai3=:<:5r{`0`>5<72;0:;;hm:6331>{|i;i1<7>52;342ce=?8:=7pun2b83>5<528==ji4811:8y~g5i3:1<7<51653=?16801vwl7<6?>:j6:??a:xe7e=83:1>7?871`9346c3tqj>n4?:181>4108j0<==l4}zc1g?6=8381=:9?d;524f=zsh8h6=4?:382326b2>;;i6sta3c94?6=:3;<;=:o79>129~d4d290;6?4>763g>27692wpm?m50;296?70?8o1;50;095215j3=:=85r{`0`>5<72;0:;:{|i;i1<7>52;3437b=?8;27pun2b83>5<528=<>h481058y~g5k3:1<7<51651b?16911vwl7<6?>9;6:?>a:xe7g=83:1>7?872a9347e3tqj>l4?:181>410<90<=;9;6sta3c94?6=:3;<;9m5700a?x}f:h0;6=4=:05415<09;l0qvo=a;294?4=9>=>879>329~d4f290;6?4>767;>274?2wpm?o50;296?70?50;095211<3=:8>5r{`0b>5<72;0:;:87:6373>{|i;k1<7>52;3433b=?8>h7pun2`83>5<528=<;?481438y~g5i3:1<7<516541vwl7<6?>=n6:?:d:xe7g=83:1>7?8796934043tqj>l4?:181>4100h0<=;74}zc1e?6=8381=:960;522c=zsh8j6=4?:38232?12>;<96sta3c94?6=:3;<;4m5705a?x}f:h0;6=4=:054e7<091;0qvo=a;294?4=9>=j479>869~d4f290;6?4>76cf>27?l2wpm?o50;296?70?k>1;<7<;|yb6d<729096<98b`84550;09521d83=:5k5r{`0b>5<72;0:;:m9:63b1>{|i;k1<7>52;343fe=?8ki7pun2`83>5<528=1vwl7<6?>nn6:?md:xe7g=83:1>7?87d6934e43tqj>l4?:181>410mh0<=n74}zc1e?6=8381=:9i0;52gc=zsh8j6=4?:38232`12>;o96sta3c94?6=:3;<;km570fa?x}f:h0;6=4=:05;47<09l;0qvo=a;294?4=9>2;479>e69~d4f290;6?4>792f>27bl2wpm?o50;296?7008>1;50;0952>583=:jk5r{`0b>5<72;0:;5<9:6031>{|i;k1<7>52;34<7e=?;:i7pun2`83>5<528=3??482038y~g5i3:1<7<516:01vwl7<6?19n6:<>d:xe7e=83:1>7?882d9377a3tqj>n4?:181>41?<90<>89=6sta3a94?6=:3;<49=57301?x}f:h0;6=4=:05;0c<0:;90qvo=a;294?4=9>2>979=289~d4d290;6?4>7975>245k2wpm?m50;296?700<=1;?50;0952>213=9>i5r{`0b>5<72;0:;58<:601a>{|i;k1<7>52;34<3?=?;9?7pun2`83>5<528=3:k4822c8y~g5i3:1<7<516:41?15<91vwl7<6?1=i6:<;6:xe7g=83:1>7?886d9372f3tqj>n4?:181>41?090<>9l4}zc1g?6=8381=:671;510f=zsh8h6=4?:3823=>52>8?h6sta3a94?6=:3;<45=5736f?x}f:j0;6=4=:05;<1<0:=l0qvo=a;294?4=9>22<79=519~d4d290;6?4>79;2>24292wpm?m50;296?700081;?;=;|yb6f<729096<97928460550;0952>><3=9995r{`0`>5<72;0:;57::6061>{|i;k1<7>52;345<528=3m?482458y~g5k3:1<7<516:b7?15=11vwl7<6?1k?6:<:9:xe7e=83:1>7?88`79373f3tqj>n4?:181>41?i?0<>8l4}zc1e?6=8381=:6m2;511f=zsh8h6=4?:3823=d42>8>h6sta3a94?6=:3;<4o:5737f?x}f:j0;6=4=:05;f0<0:2i:79=619~d4d290;6?4>79`4>24192wpm?o50;296?700j91;?8=;|yb6f<729096<97c58463550;0952>d=3=9:95r{`0`>5<72;0:;5m9:6051>{|i;i1<7>52;345<528=3o5482758y~g5i3:1<7<516:g0?15>11vwl7<6?1n>6:<99:xe7e=83:1>7?88e49370f3tqj>n4?:181>41?l>0<>;l4}zc1g?6=8381=:6k8;512f=zsh8h6=4?:3823=b>2>8=h6sta3c94?6=:3;<4h;5734f?x}f:j0;6=4=:05;a3<0:?l0qvo=c;294?4=9>2n;79=719~d4d290;6?4>79g;>24092wpm?m50;296?700l31;?9=;|yb6f<729096<97e`8462550;0952>a>3=9;95r{`0`>5<72;0:;5h8:6041>{|i;i1<7>52;34=?;==7pun2b83>5<528=3j4482658y~g5k3:1<7<516:ee?15?11vwl7<6?1li6:<89:xe7g=83:1>7?89159371f3tqj>n4?:181>41>810<>:l4}zc1g?6=8381=:7?9;513f=zsh8h6=4?:3823<6f2>8l0qvo=a;294?4=9>3:479=819~d4d290;6?4>783:>24?92wpm?m50;296?7018k1;?6=;|yb6f<729096<961c846=550;0952?6k3=9495r{`0`>5<72;0:;4?k:60;1>{|i;k1<7>52;34=7?=?;2=7pun2b83>5<528=2>l482958y~g5k3:1<7<516;1f?15011vwl7<6?08h6:<79:xe7e=83:1>7?893f937>f3tqj>n4?:181>41>:l0<>5l4}zc1e?6=8381=:783h6sta3a94?6=:3;<5>m573:f?x}f:j0;6=4=:05:7a<0:1l0qvo=c;294?4=9>38i79=919~d4d290;6?4>781e>24>92wpm?o50;296?701=h1;?7=;|yb6f<729096<964b846<550;0952?3l3=9595r{`0`>5<72;0:;4:j:60:1>{|i;i1<7>52;34=1`=?;3=7pun2b83>5<528=29=482858y~g5i3:1<7<516;6g?15111vwl7<6?0?o6:<69:xe7e=83:1>7?894g937?f3tqj>n4?:181>41>=o0<>4l4}zc1g?6=8381=:790;51=f=zsh8h6=4?:3823<062>82h6sta3c94?6=:3;<5;j573;f?x}f:j0;6=4=:05:2`<0:0l0qvo=c;294?4=9>3=j79=a19~d4d290;6?4>7853>24f92wpm?m50;296?701>;1;?o=;|yb6f<729096<9673846d550;0952?0m3=9m95r{`0`>5<72;0:;49i:60b1>{|i;i1<7>52;34==6=?;k37pun2b83>5<528=24<482`48y~g5k3:1<7<516;;6?15i>1vwl7<6?0286:7?899d937gf3tqj>n4?:181>41>190<>ll4}zc1g?6=8381=:761;51ef=zsh8h6=4?:38238jh6sta3a94?6=:3;<54=573cf?x}f:h0;6=4=:05:=a<0:hl0qvo=c;294?4=9>32i79=b39~d4d290;6?4>78;e>24e82wpm?m50;296?701h:1;?l>;|yb6f<729096<96a0846g550;0952?fj3=9n95r{`0b>5<72;0:;4l>:60ae>{|i;k1<7>52;34=g1=?;i;7pun2`83>5<528=2no482b68y~g5i3:1<7<516;`5?15kh1vwl7<6?0i<6:7?89b:937b63tqj>n4?:181>41>k00<>i<4}zc1g?6=8381=:7la;51`6=zsh8h6=4?:38238o86sta3a94?6=:3;<5nm573f6?x}f:h0;6=4=:05:`=<0:m<0qvo=c;294?4=9>3o579=d69~d4d290;6?4>78fb>24c02wpm?m50;296?701mh1;?j6;|yb6f<729096<96db846ag50;0952?cl3=9ho5r{`0b>5<72;0:;4k6:60gg>{|i;k1<7>52;34=`b=?;o;7pun2b83>5<528=2ih482d38y~g5k3:1<7<516;fb?15m;1vwl7<6?0l;6:7?89g3937c33tqj>n4?:181>41>n;0<>h;4}zc1e?6=8381=:7ie;51a3=zsh8j6=4?:3823d632>8no6sta3c94?6=:3;0qvo=a;294?4=9>k:<79=f99~d4f290;6?4>7`37>24ak2wpm?o50;296?70i821;>>?;|yb6d<729096<9n1b8475250;0952g6l3=8<85r{`0`>5<72;0:;l?j:6132>{|i;i1<7>52;34e4`=?::<7pun2b83>5<528=j>=4831:8y~g5i3:1<7<516c1e?14801vwl7<6?h8i6:=?c:xe7e=83:1>7?8a3a9366f3tqj>n4?:181>41f:m09;i6sta3a94?6=:3;757232?x}f:j0;6=4=:05b7d<0;9l0qvo=c;294?4=9>k8n79<119~d4d290;6?4>7`1`>256:2wpm?o50;296?70i=<1;>?<;|yb6f<729096<9n468474050;0952g303=8=85r{`0`>5<72;0:;l:6:6120>{|i;i1<7>52;34e1g=?:;<7pun2`83>5<528=j994830:8y~g5k3:1<7<516c61?149k1vwl7<6?h?=6:=>a:xe7e=83:1>7?8a459367>3tqj>n4?:181>41f=109:i6sta3a94?6=:3;k=:79<209~d4d290;6?4>7`44>255:2wpm?o50;296?70i>91;><<;|yb6f<729096<9n758477050;0952g0=3=8>85r{`0`>5<72;0:;l99:6110>{|i;i1<7>52;34e21=?:8<7pun2b83>5<528=j;54833:8y~g5i3:1<7<516c;0?14:01vwl7<6?h2>6:==a:xe7e=83:1>7?8a949364e3tqj>n4?:181>41f0>0>2>99i6sta3c94?6=:3;k2h79<369~d4f290;6?4>7`c0>254l2wpm?o50;296?70ih=1;>:>;|yb6d<729096<9nac8471350;0952gfn3=8845r{`0b>5<72;0:;ll<:617`>{|i;k1<7>52;34eg1=?:?:7pun2`83>5<528=jno483478y~g5i3:1<7<516cab?14=01vwl7<6?hi86:=:d:xe7e=83:1>7?8ab69363b3tqj>n4?:181>41fk<09==6sta3a94?6=:3;ko979<659~d4d290;6?4>7`f5>251=2wpm?m50;296?70im=1;>89;|yb6f<729096<9nd98473150;0952gc13=8:55r{`0b>5<72;0:;lk::615=>{|i;k1<7>53;34e`d=?:n6:xe7e=83:1?7?8ada936172h:j46sta3a94?6=:3;91m=o8;|yb6f<729096<9neg8472750;0952ga83=8;95r{`0`>5<72;0:;lh>:`2be>{|i;k1<7>53;34f52=?:=>6l>m6:xe7e=83:1?7?8b17936112h:i46sta3a94?6=:3;31m=l8;|yb6f<729096<9m098472150;0952d713=8;l5r{`0`>5<72;0:;o>n:`2ae>{|i;k1<7>53;34f4b=?:=i6l>l6:xe7e=83:1?7?8b0g9361d2h:h46sta3a94?6=:3;l1m=m8;|yb6f<729096<9m208472b50;0952d5:3=84=5r{`0`>5<72;0:;o<<:`2`e>{|i;k1<7>53;34f60=?:2:6l>k6:xe7e=83:1?7?8b25936>52h:o46sta3a94?6=:3;6572:7?x}f:j0;6=4<:05a7<<0;1?1m=j8;|yb6f<729096<9m3`847=550;0952d4j3=84;5r{`0`>5<72;0:;o=l:`2ge>{|i;k1<7>53;34f1`=?:2<6l>j6:xe7e=83:1?7?8b42936>?2h:n46sta3a94?6=:3;50;0952d2<3=84n5r{`0`>5<72;0:;o;::`2fe>{|i;k1<7>53;34f3>=?:2o6l>i6:xe7e=83:1?7?8b7;936>b2h:m46sta3a94?6=:3;50;0952d1l3=85?5r{`0`>5<72;0:;o8j:`2ee>{|i;k1<7>53;34f=7=?:386l??6:xe7e=83:1?7?8b90936?32h;;46sta3a94?6=:3;8;|yb6f<729096<9m84847<350;0952d?>3=8555r{`0`>5<72;0:;o68:`33e>{|i;k1<7>53;34f6:xe7e=83:1?7?8b8`936?f2h;:46sta3a94?6=:3;50;0952d>n3=85h5r{`0`>5<72;0:;oo?:`32e>{|i;k1<7>52;34fg5=?:3m7pun2`83>5<528=in4483`78y~g5i3:1<7<516`ab?14ik1vwl7<6?ki>6:=m1:xe7g=83:1>7?8bb`936d03tqj>l4?:181>41el809h56sta3c94?6=:3;hnj797cd6>25b92wpm?o50;296?70joh1;>k8;|yb6d<729096<9l00847`b50;0952e7?3=8j>5r{`0b>5<72;0:;n>k:61e=>{|i;k1<7>52;34g45=?:lm7pun2`83>5<528=h=4484178y~g5i3:1<7<516a2b?138k1vwl7<6?j8>6::>1:xe7g=83:1>7?8c3`931703tqj>l4?:181>41d;80<8>956sta3c94?6=:3;i?o79;399~d4f290;6?4>7b71>224m2wpm?o50;296?70k<<1;9:=;|yb6d<729096<9l5b8401>50;0952e183=?8n5r{`0b>5<72;0:;n89:6666>{|i;k1<7>52;34g3g=?=?=7pun2`83>5<528=h;=4844a8y~g5i3:1<7<516a42?13>;1vwl7<6?j=<6::94:xe7e=83:1>7?8c6:931043tqj>n4?:181>41d?00<8;;4}zc1g?6=8381=:m8a;5723=zsh8h6=4?:3823f1e2>>=;6sta3c94?6=:3;i2=79;739~d4f290;6?4>7b;6>220>2wpm?o50;296?70k031;99n;|yb6f<729096<9l9`8402d50;0952e>j3=?;n5r{`0`>5<72;0:;n7l:664`>{|i;i1<7>52;34g5<528=hm:4846d8y~g5k3:1<7<516ab7<6?jk26::71:xe7e=83:1>7?8c`c931>53tqj>n4?:181>41dik0<85=4}zc1e?6=8381=:mm5;57<1=zsh8h6=4?:3823fd12>>396sta3a94?6=:3;ii579;899~d4f290;6?4>7ba0>22?12wpm?m50;296?70kj>1;96n;|yb6f<729096<9lc4840=d50;0952ed>3=?4n5r{`0`>5<72;0:;nm8:66;`>{|i;k1<7>52;34ga7=?=2n7pun2b83>5<528=hh?4849d8y~g5k3:1<7<516ag7?13191vwl7<6?jn?6::61:xe7e=83:1>7?8ce7931?53tqj>n4?:181>41dl?0<84=4}zc1e?6=8381=:mj2;57=1=zsh8h6=4?:3823fc42>>2:6sta3a94?6=:3;in:79;999~d4d290;6?4>7bg4>22>12wpm?o50;296?70ko91;97n;|yb6f<729096<9lf584050;0952ea=3=?5i5r{`0`>5<72;0:;nh9:66:f>{|i;i1<7>52;34gc1=?=3h7pun2b83>5<528=hj54848d8y~g5i3:1<7<516f30?13i91vwl7<6?m:>6::n4:xe7e=83:1>7?8d14931g43tqj>n4?:181>41c8>0<8l?4}zc1g?6=8381=:j?8;57e7=zsh8h6=4?:3823a6>2>>j96sta3c94?6=:3;n:;79;a`9~d4d290;6?4>7e3;>22f02wpm?m50;296?70l831;9o6;|yb6f<729096<9k1`840dd50;0952b5>3=?mn5r{`0`>5<72;0:;i<8:66b`>{|i;i1<7>52;34`7>=?=h;7pun2b83>5<528=o>4484`g8y~g5k3:1<7<516f1e?13io1vwl7<6?m8i6::m1:xe7g=83:1>7?8d25931d53tqj>n4?:181>41c;10<8o84}zc1g?6=8381=:j<9;57f0=zsh8h6=4?:3823a5f2>>i?6sta3a94?6=:3;l575`7?x}f:j0;6=4=:05g7f<0n?479;b99~d4d290;6?4>7e6:>22ek2wpm?m50;296?70l=k1;9lm;|yb6f<729096<9k4c840g?50;0952b3k3=?nl5r{`0`>5<72;0:;i:k:66a`>{|i;k1<7>52;34`0?=?=hn7pun2b83>5<528=o9l484cd8y~g5k3:1<7<516f6f?13k91vwl7<6?m?h6::l1:xe7e=83:1>7?8d4f931e53tqj>n4?:181>41c=l0<8n=4}zc1e?6=8381=:j9a;57g1=zsh8h6=4?:3823a0e2>>h96sta3a94?6=:3;n=i79;c69~d4d290;6?4>7e4e>22d12wpm?o50;296?70l>h1;9mn;|yb6f<729096<9k7b840fd50;0952b0l3=?on5r{`0`>5<72;0:;i9j:66``>{|i;i1<7>52;34`2`=?=in7pun2b83>5<528=o4=484bd8y~g5i3:1<7<516f;g?13l91vwl7<6?m2o6::k3:xe7e=83:1>7?8d9g931b53tqj>n4?:181>41c0o0<8i?4}zc1g?6=8381=:j60;57`1=zsh8j6=4?:3823a?f2>>o96sta3c94?6=:3;nj479;e29~d4f290;6?4>7ecf>22b12wpm?o50;296?70lk>1;9ki;|yb6d<729096<9kb`840c350;0952bd83=?jo5r{`0b>5<72;0:;im9:6735>{|i;k1<7>52;34`fe=?<:<7pun2`83>5<528=oh?4851f8y~g5i3:1<7<516fg7<6?mno6:;>8:xe7g=83:1>7?8dd09307c3tqj>l4?:181>41cm>0<9?<4}zc1e?6=8381=:jjc;5662=zsh8j6=4?:3823a`62>?9o6sta3c94?6=:3;o;<79:3c9~d4f290;6?4>7d26>23382wpm?o50;296?70m9k1;8::;|yb6d<729096<9j0g8411g50;0952c6<3=>8k5r{`0b>5<72;0:;h?6:6760>{|i;k1<7>52;34a4c=?5<528=n>>4854g8y~g5i3:1<7<516g1:1vwl7<6?l8o6:;98:xe7g=83:1>7?8e209300c3tqj>l4?:181>41b;?0<9:?4}zc1g?6=8381=:k<7;5631=zsh8h6=4?:3823`5?2>?<>6sta3a94?6=:3;757450?x}f:j0;6=4=:05f7d<0=>?0qvo=a;294?4=9>o?879:779~d4d290;6?4>7d66>23012wpm?m50;296?70m=<1;898;|yb6f<729096<9j468412>50;0952c303=>;l5r{`0b>5<72;0:;h;=:674f>{|i;i1<7>52;34a05=?<=n7pun2b83>5<528=n994856a8y~g5k3:1<7<516g61?12?m1vwl7<6?l?=6:;8f:xe7g=83:1>7?8e72930>73tqj>n4?:181>41b>80<95=4}zc1g?6=8381=:k92;56<4=zsh8h6=4?:3823`042>?3>6sta3a94?6=:3;o=j79:889~d4d290;6?4>7d53>23?02wpm?m50;296?70m>;1;869;|yb6f<729096<9j73841=150;0952c0;3=>4l5r{`0b>5<72;0:;h9i:67;f>{|i;k1<7>52;34a=5=?<2m7pun2`83>5<528=n44485878y~g5k3:1<7<516g;e?12111vwl7<6?l2i6:;66:xe7e=83:1>7?8e9a930?03tqj>n4?:181>41b0m0<9474}zc1e?6=8381=:k67;56=d=zsh8h6=4?:3823`??2>?2h6sta3a94?6=:3;o2n79:9d9~d4f290;6?4>7dc6>23>n2wpm?m50;296?70mh<1;8o=;|yb6f<729096<9ja6841d650;0952cf03=>m<5r{`0`>5<72;0:;ho6:67b7>{|i;k1<7>52;34ag5=?5<528=nn9485`58y~g5k3:1<7<516ga1?12i<1vwl7<6?lh=6:;n6:xe7e=83:1>7?8ec5930g?3tqj>l4?:181>41bk80<9l74}zc1g?6=8381=:kl2;56ef=zsh8h6=4?:3823`e42>?jm6sta3a94?6=:3;ohj79:ad9~d4d290;6?4>7df3>23e92wpm?m50;296?70mm;1;8oi;|yb6f<729096<9jd3841g650;0952cc;3=>n?5r{`0b>5<72;0:;hjk:67a7>{|i;i1<7>52;34aac=?5<528=nhk485c68y~g5k3:1<7<516gf4?12j<1vwl7<6?lo:6:;m7:xe7g=83:1>7?8ed`930d?3tqj>n4?:181>41bmj0<9ol4}zc1g?6=8381=:kjd;56f<=zsh8h6=4?:3823`cb2>?im6sta3a94?6=:3;omm79:c19~d4d290;6?4>7dda>23em2wpm?m50;296?70moi1;8li;|yb6f<729096<9jfe841f750;0952`7?3=>o?5r{`0`>5<72;0:;k>7:67`1>{|i;i1<7>52;34b5?=?5<528=m7<6?o;>6:;l7:xe7e=83:1>7?8f04930ef3tqj>n4?:181>41a9>0<9n64}zc1g?6=8381=:h>8;56g<=zsh8h6=4?:3823c7>2>?hn6sta3c94?6=:3;l9979:ce9~d4d290;6?4>7g05>23dm2wpm?m50;296?70n;=1;8j?;|yb6d<729096<9i30841a750;0952`4:3=>h95r{`0`>5<72;0:;k=<:67g6>{|i;i1<7>52;34b62=?5<528=m?8485e78y~g5i3:1<7<516d0b?12l?1vwl7<6?o>;6:;k9:xe7e=83:1>7?8f53930b03tqj>n4?:181>41a<;0<9i64}zc1g?6=8381=:h;3;56`d=zsh8j6=4?:3823c2c2>?on6sta3a94?6=:3;l><79:de9~d4d290;6?4>7g72>23cn2wpm?o50;296?70n50;0952`2l3=>i<5r{`0`>5<72;0:;k;j:67f6>{|i;i1<7>52;34b0`=?5<528=m:4485d78y~g5k3:1<7<516d5e?12m11vwl7<6?o7?8f7a930c03tqj>n4?:181>41a>m0<9h74}zc1e?6=8381=:h87;56ad=zsh8h6=4?:3823c1?2>?nh6sta3a94?6=:3;l7g:6>23bn2wpm?m50;296?70n1<1;8h=;|yb6f<729096<9i86841c650;0952`?03=>j<5r{`0`>5<72;0:;k66:67e7>{|i;k1<7>52;34b<5=?5<528=m59485g58y~g5k3:1<7<516d:1?12n<1vwl7<6?o3=6:;i6:xe7e=83:1>7?8f85930`?3tqj>l4?:181>41ai80<9k74}zc1g?6=8381=:hn2;56bf=zsh8h6=4?:3823cg42>?mm6sta3a94?6=:3;ljj79:fd9~d4d290;6?4>7g`3>20792wpm?m50;296?70nk;1;8hi;|yb6f<729096<9ib38425650;0952`e;3==5<72;0:;klk:6437>{|i;i1<7>52;34bgc=??:=7pun2b83>5<528=mnk486168y~g5k3:1<7<516d`4?118<1vwl7<6?oi:6:8?7:xe7g=83:1>7?8fb`9336?3tqj>n4?:181>41akj0<:=l4}zc1g?6=8381=:hld;554<=zsh8h6=4?:3823ceb2><;m6sta3a94?6=:3;9n0qvo=c;294?4=9>lom799119~d4d290;6?4>7gfa>207m2wpm?m50;296?70nmi1;;>i;|yb6f<729096<9ide8424750;0952`b?3===?5r{`0`>5<72;0:;kk7:6421>{|i;i1<7>52;34b`?=??;87pun2b83>5<528=mil486068y~g5k3:1<7<516dff?119?1vwl7<6?ol>6:8>7:xe7e=83:1>7?8fg49337f3tqj>n4?:181>41an>0<:<64}zc1g?6=8381=:hi8;555<=zsh8h6=4?:3823c`>2><:n6sta3c94?6=:3;3<==5773`?x}f:j0;6=4=:0:341<0>8l0qvo=c;294?4=91:;97991e9~d4d290;6?4>8125>206m2wpm?m50;296?7?89=1;;50;095=66:3==>95r{`0`>5<72;0:4=?<:6416>{|i;i1<7>52;3;442=??887pun2b83>5<5282;=8486378y~g5i3:1<7<51922b?11:?1vwl7<6098>6:8=c:xe7g=83:1>7?703;933573tqj>l4?:181>4>7:m0<:>:4}zc1e?6=8381=5><1;557==zsh8j6=4?:382<5502><8i6sta3c94?6=:3;3<>l57761?x}f:h0;6=4=:0:37c<0>=<0qvo=c;294?4=91:?<799489~d4d290;6?4>8162>203?2wpm?m50;296?7?8=81;;:7;|yb6f<729096<6?428421g50;095=63l3==8o5r{`0`>5<72;0:4=:j:647`>{|i;i1<7>52;3;41`=??>m7pun2b83>5<5282;9=4865a8y~g5k3:1<7<519265?117<609?96:8:0:xe7g=83:1>7?704g933363tqj>l4?:181>4>7>=0<:894}zc1e?6=8381=5>9a;551a=zsh8j6=4?:382<5172><=?6sta3c94?6=:3;3<:85774:?x}f:h0;6=4=:0:33f<0>?l0qvo=c;294?4=91:815f>20082wpm?m50;296?7?8>l1;;9>;|yb6f<729096<6?818422550;095=6?i3==;95r{`0b>5<72;0:4=7?:644e>{|i;k1<7>52;3;4<3=??=m7pun2`83>5<5282;5o486978y~g5i3:1<7<5192b5?110k1vwl7<609k<6:861:xe7e=83:1>7?70`:933?33tqj>n4?:181>4>7i00<:4<4}zc1g?6=8381=5>na;55=6=zsh8h6=4?:382<5ge2><296sta3c94?6=:3;30i0qvo=a;294?4=91:h<799a09~d4f290;6?4>81a5>20f?2wpm?o50;296?7?8ji1;;ok;|yb6d<729096<6?d3842g550;095=6c;3==n;5r{`0`>5<72;0:4=j;:64a0>{|i;i1<7>52;3;4a3=??h>7pun2b83>5<5282;h;486c58y~g5i3:1<7<5192f4?11j11vwl7<609o=6:8me:xe7g=83:1>7?70d`933e43tqj>l4?:181>4>7n80<:n74}zc1e?6=8381=5>i7;55gc=zsh8j6=4?:382<5`c2>m<0qvo=c;294?4=91;;<799d69~d4d290;6?4>8022>20c12wpm?o50;296?7?99h1;;jn;|yb6d<729096<6>10842`650;095=76>3==i85r{`0b>5<72;0:4{|i;k1<7>52;3;574=??l:7pun2`83>5<5282:>5486g58y~g5k3:1<7<51931=?11nh1vwl7<6088j6:8i8:xe7e=83:1>7?713`933`>3tqj>n4?:181>4>6:j0<:kl4}zc1e?6=8381=5?<6;55bf=zsh8j6=4?:382<45d2>=;>6sta3c94?6=:3;3=9?57624?x}f:h0;6=4=:0:202<0?9n0qvo=a;294?4=91;?h798129~d4f290;6?4>8070>21612wpm?m50;296?7?9<>1;:?l;|yb6f<729096<6>548434g50;095=72>3=<=o5r{`0`>5<72;0:4<;8:652`>{|i;k1<7>52;3;537=?>;n7pun2`83>5<5282:::487368y~g5i3:1<7<51935g?10:01vwl7<608=96:9=f:xe7g=83:1>7?716:932523tqj>l4?:181>4>6?l0<;>l4}zc1g?6=8381=5?8f;547`=zsh8h6=4?:382<4>72>=8o6sta3a94?6=:3;3=5?5761g?x}f:j0;6=4=:0:2<7<0?:l0qvo=a;294?4=91;3o798419~d4f290;6?4>80;1>213>2wpm?o50;296?7?90=1;::m;|yb6d<729096<6>9e8430750;095=7f;3=<9:5r{`0b>5<72;0:4{|i;i1<7>52;3;5dg=?><;7pun2b83>5<5282:mo4874g8y~g5k3:1<7<5193bg?10=o1vwl7<608ko6:991:xe7g=83:1>7?71c5932053tqj>l4?:181>4>6jm0<;;64}zc1e?6=8381=5?l2;542a=zsh8j6=4?:382<4e?2>=l0qvo=c;294?4=91;o9798839~d4d290;6?4>80f5>21?82wpm?m50;296?7?9m=1;:6>;|yb6f<729096<6>d9843=550;095=7b:3=<495r{`0b>5<72;0:4{|i;k1<7>52;3;5`b=?>2m7pun2`83>5<5282:j>487878y~g5i3:1<7<5193e=?101k1vwl7<608lm6:9n1:xe7e=83:1>7?7212932g33tqj>n4?:181>4>5880<;l<4}zc1g?6=8381=5=j96sta3c94?6=:3;3>=j576c5?x}f:h0;6=4=:0:156<0?hi0qvo=a;294?4=918:4798b09~d4f290;6?4>833f>21e?2wpm?o50;296?7?:;>1;:lk;|yb6d<729096<6=2`843f550;095=45j3=5<72;0:4?{|i;i1<7>52;3;67b=?>i>7pun2b83>5<52829>h487b58y~g5i3:1<7<519007<60;9n6:9le:xe7g=83:1>7?7251932b43tqj>l4?:181>4>5<00<;i74}zc1e?6=8381=5<;f;54`c=zsh8j6=4?:382<7322>=n96sta3a94?6=:3;3>88576g;?x}f:j0;6=4=:0:112<0?l<0qvo=c;294?4=918>4798e69~d4d290;6?4>837:>21b12wpm?o50;296?7?:?91;:kn;|yb6d<729096<6=68843c650;095=41m3=5<72;0:4?9;:65ef>{|i;k1<7>52;3;62g=?1::7pun2`83>5<528294=488158y~g5k3:1<7<5190;5?1?8h1vwl7<60;296:6?8:xe7e=83:1>7?729193=6>3tqj>n4?:181>4>50=0<4=l4}zc1e?6=8381=5<7e;5;4f=zsh8j6=4?:382<7?32>2:>6sta3c94?6=:3;3>4757934?x}f:h0;6=4=:0:1=c<008n0qvo=a;294?4=918j9797229~d4f290;6?4>83ca>2>512wpm?m50;296?7?:hi1;550;095=4fm3=3>o5r{`0`>5<72;0:4?oi:6:1`>{|i;k1<7>52;3;6g?=?18n7pun2`83>5<52829nk488268y~g5i3:1<7<5190`0?1?;01vwl7<60;ij6:67?72e293=223tqj>l4?:181>4>5l?0<49l4}zc1g?6=8381=52?o6sta3a94?6=:3;3>i75796g?x}f:j0;6=4=:0:1`d<00=l0qvo=a;294?4=918n8797519~d4f290;6?4>83gb>2>2>2wpm?o50;296?7?:ll1;5;m;|yb6d<729096<6=f484<3750;095=4aj3=3::5r{`0b>5<72;0:4>>>:6:5`>{|i;i1<7>52;3;754=?1=;7pun2b83>5<52828<>4887g8y~g5k3:1<7<519130?1?>o1vwl7<60::>6:681:xe7g=83:1>7?731d93=153tqj>l4?:181>4>49<0<4:64}zc1e?6=8381=5=>a;5;3a=zsh8j6=4?:382<6472>23?6sta3c94?6=:3;3??8579::?x}f:h0;6=4=:0:06f<001l0qvo=c;294?4=9199h797939~d4d290;6?4>820f>2>>82wpm?m50;296?7?;;l1;57>;|yb6f<729096<6<3184<<550;095=54i3=3595r{`0b>5<72;0:4>:?:6::e>{|i;k1<7>52;3;713=?13m7pun2`83>5<528288o488`78y~g5i3:1<7<519165?1?ik1vwl7<60:?<6:6m1:xe7e=83:1>7?734:93=d33tqj>n4?:181>4>4=00<4o<4}zc1g?6=8381=5=:a;5;f6=zsh8h6=4?:382<63e2>2i96sta3c94?6=:3;3?;;579`5?x}f:h0;6=4=:0:02g<00ki0qvo=a;294?4=919<<797c09~d4f290;6?4>8255>2>d?2wpm?o50;296?7?;>i1;5mk;|yb6d<729096<6<838450;095=5?;3=3h;5r{`0`>5<72;0:4>6;:6:g0>{|i;i1<7>52;3;7=3=?1n>7pun2b83>5<528284;488e58y~g5i3:1<7<5191:4?1?l11vwl7<60:3=6:6ke:xe7g=83:1>7?738`93=c43tqj>l4?:181>4>4i80<4h74}zc1e?6=8381=5=n7;5;ac=zsh8j6=4?:382<6gc2>2m96sta3a94?6=:3;3?lk579d;?x}f:j0;6=4=:0:0ec<00o<0qvo=c;294?4=919i<797f69~d4d290;6?4>82`2>2>a12wpm?o50;296?7?;kh1;5hn;|yb6d<729096<650;095=5d>3=2<85r{`0b>5<72;0:4>ml:6;3f>{|i;k1<7>52;3;7a4=?0;:7pun2`83>5<52828h5489058y~g5k3:1<7<5191g=?1>9h1vwl7<60:nj6:7>8:xe7e=83:1>7?73e`93<7>3tqj>n4?:181>4>4lj0<539>6sta3c94?6=:3;3?k?57804?x}f:h0;6=4=:0:0b2<01;n0qvo=a;294?4=919mh796329~d4f290;6?4>8520>2?412wpm?m50;296?7?<9>1;4=l;|yb6f<729096<6;0484=6g50;095=27>3=2?o5r{`0`>5<72;0:49>8:6;0`>{|i;k1<7>52;3;047=?09n7pun2`83>5<5282?=:489568y~g5i3:1<7<51962g?1><01vwl7<60=896:7;f:xe7g=83:1>7?743:93<323tqj>l4?:181>4>3:l0<58l4}zc1g?6=8381=5:=f;5:1`=zsh8h6=4?:382<1572>3>o6sta3a94?6=:3;38>?5787g?x}f:j0;6=4=:0:777<018o796619~d4f290;6?4>8561>2?1>2wpm?o50;296?7?<==1;48m;|yb6d<729096<6;4e84=2750;095=22;3=2;:5r{`0b>5<72;0:49;6:6;4`>{|i;i1<7>52;3;00g=?02;7pun2b83>5<5282?9o4896g8y~g5k3:1<7<51966g?1>?o1vwl7<60=?o6:771:xe7g=83:1>7?747593<>53tqj>l4?:181>4>3>m0<5564}zc1e?6=8381=5:82;5:32?6sta3c94?6=:3;38:k578;:?x}f:h0;6=4=:0:7<1<010l0qvo=c;294?4=91>39796a39~d4d290;6?4>85:5>2?f82wpm?m50;296?7?<1=1;4o>;|yb6f<729096<6;8984=d550;095=2>:3=2m95r{`0b>5<72;0:4977:6;be>{|i;k1<7>52;3;05<5282?m>489c78y~g5i3:1<7<5196b=?1>jk1vwl7<60=km6:7l1:xe7e=83:1>7?74c293n4?:181>4>3j80<5n<4}zc1g?6=8381=5:m2;5:g6=zsh8h6=4?:382<1d42>3h96sta3c94?6=:3;38oj578a5?x}f:h0;6=4=:0:7g6<01ji0qvo=a;294?4=91>h4796d09~d4f290;6?4>85af>2?c?2wpm?o50;296?7?1;4jk;|yb6d<729096<6;d`84=`550;095=2cj3=2i;5r{`0`>5<72;0:49jl:6;f0>{|i;i1<7>52;3;0ab=?0o>7pun2b83>5<5282?hh489d58y~g5i3:1<7<5196fm11vwl7<60=on6:7je:xe7g=83:1>7?74g193<`43tqj>l4?:181>4>3n00<5k74}zc1e?6=8381=5:if;5:bc=zsh8j6=4?:382<0622>k;96sta3a94?6=:3;39=857`2;?x}f:j0;6=4=:0:642<0i9<0qvo=c;294?4=91?;479n069~d4d290;6?4>842:>2g712wpm?o50;296?7?=891;l>n;|yb6d<729096<6:1884e4650;095=36m3=j=85r{`0b>5<72;0:48<;:6c2f>{|i;k1<7>52;3;17g=?h8:7pun2`83>5<5282>?=48a358y~g5k3:1<7<519705?1f:h1vwl7<60<996:o=8:xe7e=83:1>7?752193d4>3tqj>n4?:181>4>2;=0k8>6sta3c94?6=:3;399757`14?x}f:h0;6=4=:0:60c<0i:n0qvo=a;294?4=91?>979n429~d4f290;6?4>847a>2g312wpm?m50;296?7?=50;095=32m3=j8o5r{`0`>5<72;0:48;i:6c7`>{|i;k1<7>52;3;13?=?h>n7pun2`83>5<5282>:k48a468y~g5i3:1<7<519740?1f=01vwl7<60<=j6:o:f:xe7g=83:1>7?759293d023tqj>l4?:181>4>20?0?2>k=o6sta3a94?6=:3;395757`4g?x}f:j0;6=4=:0:684;b>2g0>2wpm?o50;296?7?=0l1;l9m;|yb6d<729096<6:a484e=750;095=3fj3=j4:5r{`0b>5<72;0:48l>:6c;`>{|i;i1<7>52;3;1g4=?h3;7pun2b83>5<5282>n>48a9g8y~g5k3:1<7<5197a0?1f0o1vwl7<606:o61:xe7g=83:1>7?75cd93d?53tqj>l4?:181>4>2k<0kj?6sta3a94?6=:3;39i?57`c7?x}f:j0;6=4=:0:6`7<0ih?0qvo=c;294?4=91?o?79na79~d4d290;6?4>84f7>2gf?2wpm?m50;296?7?=m?1;lo7;|yb6d<729096<6:e084ed?50;095=3b?3=jmk5r{`0b>5<72;0:48km:6ca7>{|i;k1<7>52;3;1``=?hh<7pun2`83>5<5282>j>48ac`8y~g5i3:1<7<5197e=?1fk81vwl7<607?761393de>3tqj>l4?:181>4>18<0ko86sta3a94?6=:3;3:=l57`f1?x}f:j0;6=4=:0:54f<0im90qvo=c;294?4=91<;h79nd49~d4f290;6?4>8734>2gc>2wpm?m50;296?7?>821;lj8;|yb6f<729096<691884ea>50;095=06i3=jh45r{`0`>5<72;0:4;?m:6cge>{|i;i1<7>52;3;24e=?hni7pun2`83>5<5282=>548aea8y~g5k3:1<7<51941=?1flm1vwl7<60?8j6:oke:xe7e=83:1>7?763`93dba3tqj>n4?:181>4>1:j04}zc1g?6=8381=58=d;5ba4=zsh8j6=4?:382<35>2>kn>6sta3a94?6=:3;3:>o57`g0?x}f:j0;6=4=:0:57g<0il>0qvo=c;294?4=91<8o79ne49~d4d290;6?4>871g>2gb>2wpm?m50;296?7?>:o1;lk8;|yb6d<729096<694`84e`>50;095=03j3=jin5r{`0`>5<72;0:4;:l:6cff>{|i;i1<7>52;3;21b=?ho27pun2b83>5<5282=8h48adc8y~g5k3:1<7<51947b?1fmm1vwl7<60??i6:oje:xe7e=83:1>7?764a93dca3tqj>n4?:181>4>1=m04}zc1g?6=8381=58:e;5bb4=zsh8h6=4?:382<33a2>km>6sta3a94?6=:3;3:;>57`d0?x}f:h0;6=4=:0:52f<0io>0qvo=a;294?4=91<<<79nf99~d4d290;6?4>8752>2gaj2wpm?m50;296?7?>>81;lh6;|yb6f<729096<697284ecg50;095=00<3=jjn5r{`0b>5<72;0:4;9j:6ce`>{|i;k1<7>52;3;2=4=?k::7pun2`83>5<5282=4548b158y~g5i3:1<7<5194;a?1e8m1vwl7<60?396:l>1:xe7g=83:1>7?768493g723tqj>l4?:181>4>11h0h9=6sta3c94?6=:3;3:l857c06?x}f:h0;6=4=:0:5ed<0j;30qvo=a;294?4=9187`1>2d492wpm?o50;296?7?>k<1;o=:;|yb6d<729096<69b`84f6?50;095=0em3=i?i5r{`0b>5<72;0:4;m=:6`75>{|i;k1<7>52;3;2f0=?k>>7pun2`83>5<5282=ol48b5;8y~g5i3:1<7<5194`a?1e7<60?n96:l:1:xe7e=83:1>7?76e193g333tqj>n4?:181>4>1l=0h>96sta3c94?6=:3;3:h>57c75?x}f:j0;6=4=:0:5a4<0j<30qvo=c;294?4=9179m569~d4d290;6?4>87g0>2d202wpm?m50;296?7?>l>1;o;n;|yb6d<729096<69ed84f0d50;095=0bn3=i9h5r{`0`>5<72;0:4;h?:6`6g>{|i;i1<7>52;3;2c7=?k?o7pun2b83>5<5282=j?48b4d8y~g5i3:1<7<5194eg?1e>91vwl7<60?lo6:l93:xe7e=83:1>7?76gg93g063tqj>n4?:181>4>1no0h=96sta3a94?6=:3;3;=l57c4;?x}f:j0;6=4=:0:44f<0j?<0qvo=c;294?4=91=;h79m669~d4d290;6?4>862f>2d112wpm?o50;296?7??821;o8n;|yb6f<729096<681884f3b50;095=16i3=i:o5r{`0`>5<72;0:4:?m:6`5g>{|i;i1<7>52;3;34e=?k5<5282<>;48b7d8y~g5k3:1<7<519513?1e?91vwl7<60>836:l81:xe7e=83:1>7?773;93g153tqj>n4?:181>4>0:h0h<;6sta3a94?6=:3;3;>857c56?x}f:j0;6=4=:0:472<0j><0qvo=c;294?4=91=8479m799~d4f290;6?4>8661>2d012wpm?m50;296?7??=91;o9n;|yb6f<729096<684584f2d50;095=13=3=i;n5r{`0`>5<72;0:4::9:6`4`>{|i;k1<7>52;3;306=?k=n7pun2b83>5<5282<9<48b6d8y~g5k3:1<7<519566?1e091vwl7<60>?86:l71:xe7e=83:1>7?774693g>53tqj>l4?:181>4>0=l0h396sta3a94?6=:3;3;;?57c:5?x}f:j0;6=4=:0:427<0j1=0qvo=a;294?4=91==o79m899~d4d290;6?4>864g>2d?12wpm?m50;296?7???o1;o6n;|yb6f<729096<686g84f=d50;095=1083=i4n5r{`0`>5<72;0:4:9>:6`;`>{|i;k1<7>52;3;32b=?k2n7pun2b83>5<5282<;h48b9d8y~g5k3:1<7<51954b?1e191vwl7<60>2;6:l61:xe7e=83:1>7?779393g?53tqj>n4?:181>4>00;0a2>h246sta3a94?6=:3;3;4>57c;4?x}f:j0;6=4=:0:4=4<0j0?0qvo=c;294?4=91=2>79m979~d4d290;6?4>86;0>2d>12wpm?o50;296?7??0l1;o7n;|yb6f<729096<68a184f50;095=1f93=i5i5r{`0`>5<72;0:4:o=:6`:f>{|i;i1<7>52;3;3d5=?k3h7pun2b83>5<52827<60>h:6:ln4:xe7e=83:1>7?77c093gg43tqj>n4?:181>4>0j:0hj96sta3c94?6=:3;3;n?57cc5?x}f:j0;6=4=:0:4g7<0jhk0qvo=c;294?4=91=h?79ma89~d4d290;6?4>86a7>2df?2wpm?m50;296?7??j?1;oo7;|yb6f<729096<68c784fdd50;095=1c:3=imn5r{`0`>5<72;0:4:j<:6`a4>{|i;i1<7>52;3;3a2=?kkm7pun2b83>5<52827<60>n<6:lm1:xe7g=83:1>7?77d193gd53tqj>n4?:181>4>0m=0hi?6sta3a94?6=:3;3;h957c`7?x}f:j0;6=4=:0:4a=<0jk=0qvo=a;294?4=91=m879mb99~d4d290;6?4>86d6>2dek2wpm?m50;296?7??o<1;olm;|yb6f<729096<68f684fg?50;095=1a03=inl5r{`0`>5<72;0:4:h6:6`a`>{|i;k1<7>52;3;<53=?khn7pun2b83>5<52823<;48bb08y~g5k3:1<7<519:33?1ek81vwl7<601:36:lmf:xe7e=83:1>7?781;93ge73tqj>n4?:181>4>?8h06;5ag1=zsh8h6=4?:382<=702>hh46sta3a94?6=:3;34<657ca4?x}f:j0;6=4=:0:;5<<0jj?0qvo=c;294?4=912:m79mc79~d4d290;6?4>893a>2dd12wpm?o50;296?7?0;=1;omn;|yb6f<729096<672984ffc50;095=>513=ioi5r{`0`>5<72;0:45{|i;i1<7>52;3;<7d=?kih7pun2b83>5<52823>n48bbd8y~g5i3:1<7<519:07<601926:lk4:xe7e=83:1>7?782c93gb43tqj>n4?:181>4>?;k0ho96sta3c94?6=:3;349757cf5?x}f:j0;6=4=:0:;0d<0jmk0qvo=c;294?4=912?n79md89~d4d290;6?4>896`>2dc?2wpm?m50;296?7?0=n1;oj7;|yb6f<729096<674d84fad50;095=>2i3=ihn5r{`0`>5<72;0:45;m:6`f4>{|i;i1<7>52;3;<0e=?knm7pun2b83>5<528239i48bef8y~g5k3:1<7<519:6a?1ell1vwl7<601?m6:lj1:xe7g=83:1>7?787`93gc53tqj>n4?:181>4>?>j0hn?6sta3a94?6=:3;34;h57cg7?x}f:j0;6=4=:0:;35<0jl=0qvo=a;294?4=912895g>2dbk2wpm?m50;296?7?0>o1;okm;|yb6f<729096<677g84f`?50;095=>?83=iil5r{`0`>5<72;0:456>:6`f`>{|i;k1<7>52;3;<=b=?kon7pun2b83>5<528234h48bg08y~g5k3:1<7<519:;b?1en81vwl7<6013;6:ljf:xe7e=83:1>7?788393g`73tqj>n4?:181>4>?1;0hm46sta3a94?6=:3;34l>57cd4?x}f:j0;6=4=:0:;e4<0jo?0qvo=c;294?4=912j>79mf79~d4d290;6?4>89c0>2da12wpm?o50;296?7?0hl1;ohn;|yb6f<729096<67b184fcc50;095=>e93=iji5r{`0`>5<72;0:45l=:6`ef>{|i;i1<7>52;3;5<52823n948bgd8y~g5i3:1<7<519:`4?1d891vwl7<601i:6:m?4:xe7e=83:1>7?78b093f643tqj>n4?:181>4>?k:0i;96sta3c94?6=:3;34i?57b25?x}f:j0;6=4=:0:;`7<0k9k0qvo=c;294?4=912o?79l089~d4d290;6?4>89f7>2e7?2wpm?m50;296?7?0m?1;n>7;|yb6f<729096<67d784g5d50;095=>b:3=h5<72;0:45k<:6a24>{|i;i1<7>52;3;<`2=?j:m7pun2b83>5<52823i848c1f8y~g5k3:1<7<519:f2?1d8l1vwl7<601o<6:m>1:xe7g=83:1>7?78g193f753tqj>n4?:181>4>?n=0i:?6sta3a94?6=:3;34k957b37?x}f:j0;6=4=:0:;b=<0k8=0qvo=a;294?4=913;879l199~d4d290;6?4>8826>2e6k2wpm?m50;296?7?19<1;n?m;|yb6f<729096<660684g4?50;095=?703=h=l5r{`0`>5<72;0:44>6:6a2`>{|i;k1<7>52;3;=43=?j;n7pun2b83>5<52822=;48c308y~g5k3:1<7<519;23?1d:81vwl7<600;36:m>f:xe7e=83:1>7?790;93f473tqj>n4?:181>4>>9h0i946sta3a94?6=:3;35?657b04?x}f:j0;6=4=:0::6<<0k;?0qvo=c;294?4=9139m79l279~d4d290;6?4>880a>2e512wpm?o50;296?7?1:=1;n50;095=?413=h>i5r{`0`>5<72;0:44=n:6a1f>{|i;i1<7>52;3;=6d=?j8h7pun2b83>5<52822?n48c3d8y~g5i3:1<7<519;77<600>n6:m<6:xe7g=83:1>7?794693f5d3tqj>n4?:181>4>>=<04}zc1g?6=8381=57:6;5`7a=zsh8h6=4?:382<<302>i8i6sta3a94?6=:3;358657b1e?x}f:j0;6=4=:0::1<<0k=;0qvo=a;294?4=913=979l439~d4d290;6?4>8845>2e3;2wpm?m50;296?7?1?=1;n:;;|yb6f<729096<666984g1350;095=?113=h8;5r{`0`>5<72;0:448n:6a73>{|i;k1<7>52;3;=20=?j>37pun2b83>5<52822;:48c5`8y~g5k3:1<7<519;47<600=26:m;9:xe7e=83:1>7?796c93f2f3tqj>n4?:181>4>>?k0e2>i>>6sta3c94?6=:3;355h57b75?x}f:j0;6=4=:0::=5<0k<30qvo=c;294?4=9132=79l569~d4d290;6?4>88;1>2e202wpm?m50;296?7?1091;n;n;|yb6d<729096<669e84g0d50;095=?>m3=h9k5r{`0`>5<72;0:447i:6a6g>{|i;i1<7>52;3;=d6=?j?o7pun2b83>5<52822m<48c4g8y~g5k3:1<7<519;b6?1d>91vwl7<600kn6:m91:xe7g=83:1>7?79c693f003tqj>l4?:181>4>>j10i=o6sta3a94?6=:3;35ol57b4g?x}f:j0;6=4=:0::ff<0k?l0qvo=a;294?4=913h:79l719~d4d290;6?4>88a4>2e092wpm?m50;296?7?1j21;n9=;|yb6f<729096<66c884g2550;095=?di3=h;95r{`0`>5<72;0:44mm:6a41>{|i;k1<7>52;3;=a1=?j==7pun2b83>5<52822h548c658y~g5k3:1<7<519;g=?1d?11vwl7<600nj6:m89:xe7e=83:1>7?79e`93f1f3tqj>n4?:181>4>>lj0i3>6sta3c94?6=:3;35k<57b:5?x}f:h0;6=4=:0::b3<0k1k0qvo=a;294?4=913mm79l8d9~d4f290;6?4>88df>2e>:2wpm?o50;296?7?i981;n79;|yb6d<729086<6n0784g5<5282j<:48c8`8y~g5k3:1<7<519c37<60h:i6:m6d:xe7e=83:1>7?7a1;9=`343tqj>n4?:181>4>f8h02i8:4}zc1g?6=8381=5o?c;;f13=zsh8j6=4?:382i2i6sta3c94?6=:3;3m?=57bc7?x}f:h0;6=4=:0:b6<<0khk0qvo=a;294?4=91k9j79lb19~d4d290;6?4>8`13>2ee92wpm?m50;296?7?i:;1;nl=;|yb6f<729096<6n3384gg550;095=g4;3=hn95r{`0b>5<72;0:4l=k:6aa1>{|i;i1<7>52;3;e6c=?jh37pun2b83>5<5282j?k48cc48y~g5k3:1<7<519c74?1dj>1vwl7<60h>:6:mm9:xe7g=83:1>7?7a5`93fdf3tqj>n4?:181>4>fiio6sta3a94?6=:3;3m9h57b`f?x}f:h0;6=4=:0:b1<<0kkl0qvo=a;294?4=91k>j79lc49~d4f290;6?4>8`46>2edj2wpm?o50;296?7?i?h1;nj>;|yb6d<729096<6n7084ga150;095=g0?3=hhi5r{`0b>5<72;0:4l9k:6af7>{|i;k1<7>52;3;e=7=?jo<7pun2`83>5<5282j4:48cdf8y~g5i3:1<7<519c;`?1dn:1vwl7<60h3:6:mi7:xe7g=83:1>7?7a8493f`d3tqj>l4?:181>4>f1k0n;n6sta3c94?6=:3;3mlo57e33?x}f:h0;6=4=:0:bec<0l8?0qvo=a;294?4=91ki879k1`9~d4f290;6?4>8``:>2b6n2wpm?o50;296?7?iko1;i<;;|yb6d<729096<6nc284`7?50;095=gd03=o>h5r{`0b>5<72;0:4lmk:6f07>{|i;k1<7>52;3;ea4=?m937pun2`83>5<5282jh:48d2f8y~g5i3:1<7<519cgg?1c<;1vwl7<60ho:6:j;7:xe7e=83:1>7?7ad093a2>3tqj>n4?:181>4>fm:0n?m6sta3a94?6=:3;3mh857e6`?x}f:h0;6=4=:0:bb7<0l=n0qvo=c;294?4=91km?79k519~d4d290;6?4>8`d7>2b3n2wpm?m50;296?7?io?1;i:j;|yb6f<729096<6nf784`0750;095=ga?3=o9?5r{`0b>5<72;0:4o><:6f67>{|i;i1<7>52;3;f52=?m?=7pun2b83>5<5282i<848d468y~g5k3:1<7<519`32?1c=<1vwl7<60k:<6:j:7:xe7g=83:1>7?7b0393a3?3tqj>n4?:181>4>e9;03;5g1d=zsh8h6=4?:382n>n6sta3a94?6=:3;3n<;57e7`?x}f:j0;6=4=:0:a53<0l79k5d9~d4d290;6?4>8c00>2b2n2wpm?m50;296?7?j;>1;i8?;|yb6f<729096<6m2484`3750;095=d5>3=o:?5r{`0`>5<72;0:4o<8:6f57>{|i;k1<7>52;3;f65=?m5<5282i?948d778y~g5k3:1<7<519`01?1c>?1vwl7<60k9=6:j97:xe7e=83:1>7?7b2593a0?3tqj>n4?:181>4>e;10n=h6sta3a94?6=:3;3n9857e4a?x}f:j0;6=4=:0:a02<0l?i0qvo=c;294?4=91h?479k6d9~d4f290;684>8c71>2b1n3=o;>4m9c193ce43tqj>n4?:180>4>e=:057e57?x}f:j0;6=4=:0:a11<0l>;0qvo=c;294?4=91h>n79k739~d4d290;6?4>8c76>2b0=2wpm?m50;296?7?j50;095=d2l3h2n55r{`0`>5<72;0:4o;8:c;a2>{|i;i1<7>52;3;f0>=j0h?7pun2b83>5<5282i944m9c78y~g5k3:1<7<519`6e?1ak=1vwl7<60k286:j87:xe7e=83:1>7?7b9693a1f3tqj>n4?:181>4>e0<002>n8cc0>2b?m2wpm?o50;296?7?jh31;i7;;|yb6d<729096<6mag84`50;095=de=3=om=5r{`0b>5<72;0:4olm:6fb2>{|i;k1<7>52;3;ff7=?mkh7pun2`83>5<5282io:48dc08y~g5i3:1<7<519```?1cj11vwl7<60kn86:jme:xe7g=83:1>7?7be;93ae33tqj>l4?:181>4>elo0no:6sta3a94?6=:3;3nhm57ef:?x}f:j0;6=4=:0:aaa<0lmk0qvo=c;294?4=91hni79kd69~d4d290;6?4>8cge>2bc02wpm?m50;296?7?jo:1;ijm;|yb6d<729096<6mfb84`ae50;095=dal3=ohi5r{`0`>5<72;0:4ohj:6ff4>{|i;i1<7>52;3;fc`=?mnn7pun2b83>5<5282h<=48ded8y~g5k3:1<7<519a35?1cm81vwl7<60j:o6:jj2:xe7g=83:1>7?7c0193ac?3tqj>l4?:181>4>d900f;5gb1=zsh8j6=4?:382nmm6sta3c94?6=:3;3o?l57d23?x}f:h0;6=4=:0:`74<0m9<0qvo=a;294?4=91i8;79j0b9~d4f290;6?4>8b1g>2c6:2wpm?o50;296?7?k=91;h?7;|yb6d<729096<6l4884a4c50;095=e3i3=n><5r{`0`>5<72;0:4n:m:6g2b>{|i;i1<7>52;3;g1e=?l8;7pun2b83>5<5282h8i48e308y~g5i3:1<7<519a63?1b::1vwl7<60j?36:k=7:xe7e=83:1>7?7c4;93`413tqj>n4?:181>4>d=h0o946sta3c94?6=:3;3o;657d0:?x}f:h0;6=4=:0:`2f<0m;n0qvo=a;294?4=91i<<79j309~d4f290;6?4>8b55>2c4?2wpm?o50;296?7?k>i1;h=k;|yb6d<729096<6l8184a1750;095=e?>3=n8:5r{`0b>5<72;0:4n6l:6g7`>{|i;k1<7>52;3;g<6=?l?:7pun2`83>5<5282h5;48e458y~g5i3:1<7<519a:g?1b=m1vwl7<60jk;6:k91:xe7g=83:1>7?7c`493`003tqj>l4?:181>4>dij0o<;6sta3c94?6=:3;3oom57d5g?x}f:h0;6=4=:0:`g5<0m1;0qvo=a;294?4=91ih:79j869~d4f290;6?4>8bab>2c?j2wpm?o50;296?7?km:1;h7>;|yb6d<729096<6ld584a<350;095=eci3=n5o5r{`0b>5<72;0:4njj:6g:b>{|i;k1<7>52;3;g`2=?lk>7pun2`83>5<5282hil48e``8y~g5i3:1<7<519afa?1bio1vwl7<60jl?6:km5:xe7g=83:1>7?7cgc93`de3tqj>l4?:181>4>c890ohn6sta3c94?6=:3;3h=h57df3?x}f:h0;6=4=:0:g51<0mm?0qvo=a;294?4=91n:579jd`9~d4f290;6?4>8e3f>2ccn2wpm?o50;296?7?l;91;hk;;|yb6d<729096<6k2984a`?50;095=b513=nin5r{`0`>5<72;0:4i{|i;i1<7>52;3;`7d=?loi7pun2b83>5<5282o>n48edf8y~g5i3:1<7<519f02?1bml1vwl7<60m9h6:ki4:xe7g=83:1>7?7d5393``>3tqj>l4?:181>4>cl;56sta3c94?6=:3;3h8857g2f?x}f:h0;6=4<:0:g1f<0n821;k?;;|yb6f<729096<6k5e84b4350;095=b2m3=m=;5r{`0`>5<72;0:4i8=:6d2`>{|i;i1<7>52;3;`0`=?o;27pun2b83>5<5282o:=48f0`8y~g5k3:1<7<519f55?1a9h1vwl1<60m=?6:h>e;``3590qvo=c;294?4=91n<97ll759~d4d290;6?4>8e55>ge0=2wpm?m50;296?7?l>k1;k<<;|yb6f<729096<6k7684b4`50;095=b003=m><5r{`0`>5<72;0:4i96:6d14>{|i;k1<7>54;3;`=e=?o8?6om87;``3d=zsh8h6=4?:382c2ki8e;3>2`5?2wpm?m50;296?7?l0;1;k<9;|yb6d<7290?6<6ka584b7g=jj=n6om71:xe7e=83:1>7?7d`79ff>53tqj>n4?:181>4>ci?0io5=4}zc1g?6=8381=5jna;5e6c=zsh8h6=4?:382l9n6sta3a94?6=:3;3hl657g0g?x}f:j0;6=4=:0:ge<<0n;i0qvo=a;294?4=91nio79i319~d4f290;694>8ea2>2`4=3hh484mc9:8y~g5k3:1<7<519f`6?dd001vwl7<60mi86om7a:xe7e=83:1>7?7db593c5f3tqj>n4?:181>4>ck=084}zc1g?6=8381=5jl5;5e7==zsh8h6=4?:382l8;6sta3c94?6=:3;3hi757g1a?x}f:h0;6=4=:0:g`a<0n:l0qvo=c;294?4=91noi79i409~d4d290;6?4>8efe>2`382wpm?m50;296?7?ll:1;k:=;|yb6f<729096<6ke084b1550;095=bb:3=m895r{`0b>5<72;0:4ikj:6d71>{|i;i1<7>52;3;```=?o><7pun2b83>5<5282oj=48f5;8y~g5k3:1<7<519fe5?1a7<60ml96:h;8:xe7e=83:1>7?7dg193c2f3tqj>l4?:181>4>cno0l?o6sta3a94?6=:3;3i=<57g6f?x}f:j0;6=4=:0:f46<0n=l0qvo=c;294?4=91o;879i519~d4f290;6?4>8d33>2`292wpm?m50;296?7?m8;1;k;=;|yb6f<729096<6j1384b0550;095=c6;3=m995r{`0`>5<72;0:4h?;:6d61>{|i;i1<7>52;3;a43=?o?=7pun2`83>5<5282n><48f458y~g5k3:1<7<519g16?1a=11vwl7<60l886:h:9:xe7e=83:1>7?7e3693c3f3tqj>n4?:181>4>b:<0l>h6sta3a94?6=:3;3i>=57g7f?x}f:j0;6=4=:0:f71<0n8d15>2`192wpm?m50;296?7?m:=1;k8=;|yb6d<729096<6j4284b3550;095=c3<3=m:95r{`0`>5<72;0:4h:::6d51>{|i;i1<7>52;3;a10=?o<=7pun2b83>5<5282n8:48f758y~g5k3:1<7<519g711vwl7<60l??6:h99:xe7e=83:1>7?7e4793c0d3tqj>n4?:181>4>b=?0l=n6sta3a94?6=:3;3i8757g4f?x}f:h0;6=4=:0:f20<0n?l0qvo=c;294?4=91o=:79i739~d4d290;6?4>8d44>2`0;2wpm?m50;296?7?m?21;k9?;|yb6f<729096<6j6884b2750;095=c1i3=m;95r{`0b>5<72;0:4h99:6d41>{|i;i1<7>52;3;a21=?o=37pun2b83>5<5282n;548f6;8y~g5k3:1<7<519g4=?1a??1vwl7<60l=j6:h87:xe7e=83:1>7?7e6`93c1f3tqj>l4?:181>4>b0>0>2>ln0qvo=c;294?4=91o3o79i819~d4f290;6?4>8d;;>2`?92wpm?m50;296?7?m031;k6;;|yb6f<729096<6j9`84b=350;095=c>j3=m4?5r{`0`>5<72;0:4h7l:6d;7>{|i;i1<7>52;3;a5<5282nm448f958y~g5k3:1<7<519gbe?1a0h1vwl7<60lki6:h7b:xe7e=83:1>7?7e`a93c>?3tqj>n4?:181>4>bim0l3h6sta3a94?6=:3;3iol57g;3?x}f:j0;6=4=:0:fff<0n0;0qvo=c;294?4=91oih79i8d9~d4d290;6?4>8d`f>2`?n2wpm?m50;296?7?mkl1;k7=;|yb6d<729096<6jcc84b<550;095=cdk3=m5;5r{`0`>5<72;0:4hmk:6d:3>{|i;i1<7>52;3;afc=?o3?7pun2b83>5<5282nok48f878y~g5k3:1<7<519gg4?1a111vwl7<60lnh6:h69:xe7e=83:1>7?7eef93c?d3tqj>n4?:181>4>bll0l2n6sta3a94?6=:3;3ih?57g;f?x}f:h0;6=4=:0:faa<0n0l0qvo=c;294?4=91oni79ia39~d4d290;6?4>8dge>2`f;2wpm?m50;296?7?mo:1;ko?;|yb6f<729096<6jf084bd750;095=ca:3=mm95r{`0b>5<72;0:4hhj:6db1>{|i;i1<7>52;3;ac`=?ok37pun2b83>5<5282m<=48f`;8y~g5k3:1<7<519d35?1ai?1vwl7<60o:96:hn7:xe7e=83:1>7?7f1193cgf3tqj>l4?:181>4>a8o00;5ee`=zsh8h6=4?:382ljj6sta3a94?6=:3;3j<<57gc`?x}f:j0;6=4=:0:e56<0nhn0qvo=c;294?4=91l:879ib19~d4f290;6?4>8g03>2`e92wpm?m50;296?7?n;;1;kl;;|yb6f<729096<6i2384bg350;095=`5;3=mn?5r{`0`>5<72;0:4k<;:6da7>{|i;i1<7>52;3;b73=?oh=7pun2`83>5<5282m?<48fc58y~g5k3:1<7<519d06?1ajh1vwl7<60o986:hmb:xe7e=83:1>7?7f2693cd?3tqj>n4?:181>4>a;<0lih6sta3c94?6=:3;3j9657ga:?x}f:h0;6=4=:0:e0`<0njl0qvo=c;294?4=91l?j79id19~d4d290;6?4>8g73>2`c;2wpm?m50;296?7?n<;1;kj=;|yb6f<729096<6i5384ba750;095=`2;3=mh95r{`0b>5<72;0:4k;i:6dg1>{|i;i1<7>52;3;b36=?on37pun2b83>5<5282m:<48fe48y~g5k3:1<7<519d56?1al>1vwl7<60o<86:hk9:xe7g=83:1>7?7f7f93cbf3tqj>l4?:181>4>a?:04}zc1g?6=8381=5h84;5ea4=zsh8h6=4?:382ln>6sta3a94?6=:3;3j:857gg0?x}f:j0;6=4=:0:e32<0nl>0qvo=a;294?4=91l3=79ie49~d4f290;6?4>8g:4>2`bj2wpm?o50;296?7?n1n1;kh>;|yb6d<729096<6i9284bc150;095=`>?3=mjo5r{`0b>5<72;0:4k7m:6deb>{|i;k1<7>52;3;b<`=09:87pun2`83>5<5282mm>470158y~g5i3:1<7<519db3?>78k1vwl7<60ok365>?c:xe7e=83:1>7?7f`;9<56c3tqj>n4?:181>4>aih03<=k4}zc1g?6=8381=5hnb;:34c=zsh8j6=4?:3828g`g>=66>2wpm?m50;296?7?nko14=?8;|yb6f<729096<6ibg8;44g50;095=`dj32;=o5r{`0`>5<72;0:4kml:922a>{|i;i1<7>52;3;bfb=09;m7pun2b83>5<5282moh4700a8y~g5k3:1<7<519d`b?>79m1vwl7<60on;65>=0:xe7g=83:1>7?7fea9<5463tqj>n4?:181>4>alm036sta3a94?6=:3;3jh>58100?x}f:j0;6=4=:0:ea48ggf>=65i2wpm?m50;296?7?nll14=50;095=`a932;>45r{`0`>5<72;0:4kh=:921g>{|i;k1<7>52;3;bcc=098o7pun2b83>5<5282mjk470228y~g5k3:1<7<518234?>7;81vwl7<619::65>=e:xe7e=83:1>7?60109<54a3tqj>n4?:181>4?78:03<><4}zc1e?6=8381=4>?f;:376=zsh8h6=4?:382=57721:8:6sta3a94?6=:3;2<0qvo=c;294?4=90::?76?349~d4d290;6?4>9137>=6402wpm?o50;296?7>8;:14==6;|yb6f<729096<7?208;46e50;095<65:32;?i5r{`0`>5<72;0:5=<<:920e>{|i;i1<7>52;3:472=099i7pun2b83>5<5283;>84702g8y~g5i3:1<7<518205?>7;o1vwl7<6199965>;2:xe7e=83:1>7?60219<5243tqj>n4?:181>4?7;=03<9>4}zc1g?6=8381=4><5;:304=zsh8h6=4?:382=55121:?86sta3c94?6=:3;2<9<58166?x}f:j0;6=4=:0;3069166>=63>2wpm?m50;296?7>8=<14=:8;|yb6f<729096<7?468;41g50;095<62;32;8o5r{`0`>5<72;0:5=;;:927a>{|i;i1<7>52;3:403=09>m7pun2b83>5<5283;9;4705a8y~g5k3:1<7<518263?>77<619?365>:0:xe7g=83:1>7?60769<5363tqj>n4?:181>4?7><03<8:4}zc1g?6=8381=4>96;:310=zsh8h6=4?:382=50021:>>6sta3a94?6=:3;2<;658170?x}f:j0;6=4=:0;32<9155>=62i2wpm?m50;296?7>8>=14=;m;|yb6f<729096<7?798;40>50;095<60132;945r{`0`>5<72;0:5=9n:926g>{|i;k1<7>52;3:4=0=09?o7pun2b83>5<5283;4:4704g8y~g5k3:1<7<5182;7=o1vwl7<6192265>90:xe7e=83:1>7?609c9<5063tqj>n4?:181>4?70k03<;<4}zc1e?6=8381=4>67;:326=zsh8h6=4?:382=5??21:=86sta3a94?6=:3;2<4758146?x}f:j0;6=4=:0;3=d91;`>=6102wpm?o50;296?7>8h214=86;|yb6d<729096<7?ab8;43b50;095<6e:32;;>5r{`0`>5<72;0:5=l<:9242>{|i;i1<7>52;3:4g2=09=?7pun2b83>5<5283;n8470678y~g5k3:1<7<5182a2?>7?>1vwl7<619i;65>88:xe7e=83:1>7?60b39<51e3tqj>n4?:181>4?7k;03<:74}zc1g?6=8381=4>l3;:33d=zsh8h6=4?:382=5e321:91f2>=60n2wpm?m50;296?7>8m814=9j;|yb6f<729096<7?d28;4=450;095<6cn32;4>5r{`0b>5<72;0:5=k::92;=>{|i;k1<7>52;3:4`?=092o7pun2`83>5<5283;ik470818y~g5i3:1<7<5182e7?>71>1vwl7<619l265>6d:xe7g=83:1>7?60gf9<5g63tqj>l4?:181>4?68:039006>=6d12wpm?o50;296?7>9;h14=mi;|yb6d<729096<7>308;4a350;195<74?32;ho469d08y~g5k3:1<7<518307lm1vwl7<6189265>kc:xe7e=83:1>7?612g9<5c73tqj>n4?:181>4?6;h03j598g6?x}f:h0;6=4=:0;217476?e69~d4d290;6?4>907:>=6b02wpm?m50;296?7>95c8;4`g50;095<72k32;io5r{`0`>5<72;0:5<;k:92fg>{|i;k1<7>52;3:53?=09oo7pun2b83>5<5283::l470g38y~g5k3:1<7<51835f?>7ml1vwl7<618jf:xe7e=83:1>7?617f9<5`73tqj>n4?:181>4?6>l03721:m56sta3c94?6=:3;2=5:581dg?x}f:h0;6=4=:0;2089~d4f290;6>4>90;5>=77n332ii5r{`0`>5<72;0:5<78:9325>{|i;i1<7>52;3:5<>=08;;7pun2b83>5<5283:5i471068y~g5k3:1<7<5183:=?>69;1vwl7<6183j647je:xe7e=83:1>7?618`9=n4?:181>4?61j025k>4}zc1e?6=8381=4?m1;:250=zsh8j6=4?:382=4d021;:n6sta3a94?6=:3;2=o65803`?x}f:j0;6=4=:0;2f<1d9~d4d290;6?4>90`a>=76n2wpm?m50;296?7>9ki14<c98;57750;095<7d132:>?5r{`0`>5<72;0:5{|i;i1<7>52;3:5fd=088?7pun2b83>5<5283:on471378y~g5k3:1<7<5183``?>6:?1vwl7<618n265?=7:xe7e=83:1>7?61ec9<44?3tqj>n4?:181>4?6lk03=?74}zc1g?6=8381=4?kc;:26d=zsh8h6=4?:382=4bc21;9n6sta3a94?6=:3;2=ik5800`?x}f:h0;6=4=:0;2ad329~d4f290;6?4>90d7>=74?2wpm?o50;296?7>9ok14<=k;|yb6d<729086<7=018;515=10l37pun2b83>5<52839<<471578y~g5k3:1<7<518036?>6<=1vwl7<61;:<65?;8:xe7e=83:1>7?62119<4213tqj>n4?:181>4?58=025k74}zc1g?6=8381=476>519~d4d290;6?4>9300>=7292wpm?m50;296?7>:;>14<;=;|yb6f<729096<7=248;50550;095<45>32:995r{`0b>5<72;0:5?==:9361>{|i;i1<7>52;3:665=08?=7pun2b83>5<52839?9471458y~g5k3:1<7<518001?>6=11vwl7<61;9=65?:9:xe7e=83:1>7?62259<43f3tqj>l4?:181>4?5<:03=8l4}zc1g?6=8381=4<;4;:21f=zsh8h6=4?:382=72221;>h6sta3a94?6=:3;2>985807f?x}f:j0;6=4=:0;102619~d4f290;6?4>9377>=7192wpm?o50;296?7>:50;095<41<32:;<5r{`0b>5<72;0:5?8n:9343>{|i;k1<7>52;3:626=08=o7pun2`83>5<52839;;471918y~g5i3:1<7<51804g?>6001vwl7<61;2965?7f:xe7g=83:1>7?629:9<4?23tqj>l4?:181>4?50l03=4l4}zc1e?6=8381=4<64;:2e4=zsh8h6=4?:382=7?221;j>6sta3a94?6=:3;2>48580c0?x}f:j0;6=4=:0;1=20qvo=c;294?4=9082476>a49~d4d290;6?4>93;:>=7f>2wpm?o50;296?7>:h?1450;095<4f?32:mo5r{`0`>5<72;0:5?o7:93b=>{|i;i1<7>52;3:6d?=08kj7pun2b83>5<52839ml471`a8y~g5i3:1<7=5180a2?>6j803=lj4}zc1g?6=8381=4o7580`1?x}f:j0;6=4=:0;1ffb59~d4d290;6?4>93`a>=7e;2wpm?o50;296?7>:jo1450;095<4c832:n45r{`0`>5<72;0:5?j>:93ae>{|i;i1<7>52;3:6a4=08hi7pun2b83>5<52839h>471ca8y~g5i3:1<7<5180gb?>6jm1vwl7<61;o;65?me:xe7e=83:1>7?62d39<4e63tqj>n4?:181>4?5m;03=oh4}zc1g?6=8381=46sta3c94?6=<3;2>k>580a0>gbd83hoo>5r{`0`>5<72;0:5?h>:cf`0>{|i;i1<7>52;3:6c4=jmi>7pun2b83>5<52839j>471b68y~g5k3:1<7<5180e2?>6k11vwl7<61;l?65?l6:xe7e=83:1>7?62g79<4e23tqj>l4?:181>4?48103=n74}zc1e?6=8381=4=?e;:2gc=zsh8j6=4?:282=67321;o977n029~d4d290;6?4>9236>=7c?2wpm?m50;296?7>;8<1450;095<56?32:h55r{`0`>5<72;0:5>?7:8c30>{|i;i1<7>52;3:74?=1h:>7pun2b83>5<52838=l46a148y~g5i3:1<7<51811b?>6lk1vwl7<61:9>65?j1:xe7e=83:1>7?63249<4c53tqj>n4?:181>4?4;>03=h=4}zc1g?6=8381=4=<8;:2a1=zsh8h6=4?:382=65>21;n96sta3a94?6=:3;2?>o580g5?x}f:h0;6=4=:0;003e99~d4d290;6?4>926;>=7b12wpm?m50;296?7>;=31450;095<53j32:in5r{`0b>5<72;0:5>;8:93f`>{|i;i1<7>52;3:70>=08on7pun2b83>5<5283894471dd8y~g5k3:1<7<51816e?>6n91vwl7<61:?i65?i1:xe7e=83:1>7?634a9<4`53tqj>l4?:181>4?4>103=k=4}zc1e?6=8381=4=9e;:2b<=zsh8j6=4?:382=61521;mh6sta3c94?6=:3;2?:658320?x}f:h0;6=4<:0;03`j;|yb6f<729096<7<7g8;65d50;095<5?83295<72;0:5>6::903a>{|i;i1<7>52;3:7=7=0;:h7pun2b83>5<528384?46a1d8y~g5k3:1<7<5181;7??f991vwl7<61:2?64o>1:xe7g=83:1>7?638;9<76a3tqj>l4?:181>4?41o03><;4}zc1g?6=8381=4=n0;:153=zsh8h6=4?:382=6g6218:;6sta3a94?6=:3;2?l<5833;?x}f:j0;6=4=:0;0e692`3>=46j2wpm?m50;296?7>;k;14??l;|yb6f<729096<750;095<5e;329=h5r{`0`>5<72;0:5>l;:902b>{|i;i1<7>52;3:7g3=0;8;7pun2`83>5<52838o<472338y~g5k3:1<7<5181`6?>5:;1vwl7<61:i865<=3:xe7e=83:1>7?63b69<7433tqj>n4?:181>4?4k<03>?;4}zc1g?6=8381=4=l6;:163=zsh8j6=4?:382=6b52189;6sta3c94?6=:3;2?i65830g?x}f:h0;6=4=:0;0`f92ff>=44;2wpm?m50;296?7>;ml14?==;|yb6f<729096<750;095<5bi329?;5r{`0b>5<72;0:5>h?:900g>{|i;k1<7>52;3:7c0=0;>97pun2`83>5<52838jn4725:8y~g5k3:1<7<5181e`?>5<01vwl7<61:ln65<;a:xe7e=83:1>7?63gd9<72e3tqj>n4?:181>4?38903>9m4}zc1g?6=8381=4:?1;:10a=zsh8j6=4?:382=16c218?i6sta3a94?6=:3;28=k5836e?x}f:j0;6=4=:0;74c:<76=509~d4d290;6?4>9532>=42:2wpm?m50;296?7><8814?;<;|yb6d<729096<7;1d8;60250;095<26n329985r{`0`>5<72;0:59{|i;i1<7>52;3:077=0;?<7pun2b83>5<5283?>?4724:8y~g5k3:1<7<518617?>5=01vwl7<61=8m65<94:xe7e=83:1>7?64229<7003tqj>n4?:181>4?3;803>;84}zc1g?6=8381=4:<2;:120=zsh8h6=4?:382=154218=46sta3c94?6=:3;28>j5834:?x}f:h0;6=4=:0;706?576=749~d4d290;6?4>956b>=40>2wpm?m50;296?7><=h14?98;|yb6f<729096<7;4b8;62>50;095<23l329;45r{`0`>5<72;0:59:j:904e>{|i;k1<7>52;3:00g=0;=i7pun2b83>5<5283?9o4726a8y~g5k3:1<7<51866g?>5?m1vwl7<61=?o65<8e:xe7e=83:1>7?644g9<71a3tqj>n4?:181>4?3=o03>5>4}zc1e?6=8381=4:9b;:1<4=zsh8h6=4?:382=10d2183>6sta3a94?6=:3;28;j583:0?x}f:j0;6=4=:0;72`0qvo=c;294?4=90>=j76=849~d4d290;6?4>9553>=4?>2wpm?o50;296?7><>i14?7>;|yb6d<729096<7;838;6<150;095<2?;329555r{`0`>5<72;0:596;:90:=>{|i;i1<7>52;3:0=3=0;3j7pun2b83>5<5283?4;4728`8y~g5k3:1<7<5186;3?>51j1vwl7<61=3865<6d:xe7e=83:1>7?64869<7?b3tqj>n4?:181>4?31<03>4h4}zc1g?6=8381=4:66;:1e5=zsh8h6=4?:382=1?0218j=6sta3a94?6=:3;2846583c1?x}f:h0;6=4=:0;7e1j976=a59~d4d290;6?4>95c5>=4f=2wpm?m50;296?7>50;095<2f1329m55r{`0b>5<72;0:59l::90a7>{|i;k1<7>52;3:0gd=0;h27pun2b83>5<5283?nn472cc8y~g5k3:1<7<5186a`?>5jk1vwl7<61=hn657?64cd9<7dc3tqj>n4?:181>4?3k903>ok4}zc1e?6=8381=4:lc;:1fc=zsh8h6=4?:382=1ec218h<6sta3a94?6=:3;28nk583a2?x}f:j0;6=4=:0;7gco<76=c29~d4d290;6?4>95f2>=4d<2wpm?o50;296?7>50;095<2cn329o:5r{`0`>5<72;0:59k?:90`<>{|i;i1<7>52;3:0`7=0;i27pun2b83>5<5283?i?472bc8y~g5i3:1<7<5186fa?>5l<1vwl7<61=l?657?64g79<7bd3tqj>n4?:181>4?3n?03>ij4}zc1g?6=8381=4:i7;:1``=zsh8h6=4?:382=1`?218oj6sta3a94?6=:3;28k7583g3?x}f:h0;6=4=:0;6409424>=4b;2wpm?m50;296?7>=9214?k;;|yb6f<729096<7:088;6`350;095<37i329i;5r{`0b>5<72;0:58?9:90f3>{|i;i1<7>52;3:141=0;o37pun2b83>5<5283>=5472d;8y~g5k3:1<7<51872=?>5mh1vwl7<61<;j657?650`9<7cd3tqj>l4?:181>4?2:>03>k94}zc1e?6=8381=4;=d;:1ba=zsh8j6=4?:382=054219;?6sta3c94?6=:3;29>75822:?x}f:h0;6=4=:0;67c946a>=56j2wpm?o50;296?7>=<;14><>;|yb6f<729096<7:538;77250;095<32;328>85r{`0`>5<72;0:58;;:9116>{|i;i1<7>52;3:103=0:887pun2b83>5<5283>9;473348y~g5i3:1<7<518756?>4:>1vwl7<61<<865==a:xe7e=83:1>7?65769<64e3tqj>n4?:181>4?2><03??64}zc1g?6=8381=4;96;:06<=zsh8h6=4?:382=0002199o6sta3c94?6=:3;29:=5820g?x}f:h0;6=4=:0;63<94:3>=54k2wpm?m50;296?7>=1;14>=k;|yb6f<729096<7:838;76g50;095<3?;328?o5r{`0`>5<72;0:586;:910a>{|i;k1<7>52;3:1<6=0:9m7pun2b83>5<5283>5<473508y~g5k3:1<7<5187:6?>4<:1vwl7<61<3865=;0:xe7e=83:1>7?65869<6263tqj>n4?:181>4?21<03?9:4}zc1e?6=8381=4;n1;:000=zsh8h6=4?:382=0g5219?46sta3a94?6=:3;29l=58265?x}f:j0;6=4=:0;6e194ce>=53i2wpm?o50;296?7>=k?14>;?;|yb6d<729096<7:bc8;70050;095<3d93289n5r{`0`>5<72;0:58m=:916b>{|i;i1<7>52;3:1f5=0:?o7pun2b83>5<5283>o94734g8y~g5k3:1<7<5187`1?>4>91vwl7<617?65e79<6003tqj>l4?:181>4?2lk03?;j4}zc1e?6=8381=4;j1;:036=zsh8h6=4?:382=0c5219<:6sta3a94?6=:3;29h=58254?x}f:j0;6=4=:0;6a1>0qvo=c;294?4=90?n976<749~d4d290;6?4>94g5>=5002wpm?o50;296?7>=o814>96;|yb6f<729096<7:f28;72g50;095<3a<328;o5r{`0`>5<72;0:58h::914g>{|i;i1<7>52;3:1c0=0:=o7pun2b83>5<5283>j:4736g8y~g5i3:1<7<518437?>4?o1vwl7<61?:?65=70:xe7e=83:1>7?66179<6>43tqj>n4?:181>4?18?03?5?4}zc1g?6=8381=48?7;:0<7=zsh8h6=4?:382=36?219386sta3c94?6=<3;2:<:582:6>gc1<3hn::5r{`0`>5<72;0:5;?::cg5<>{|i;i1<7>52;3:240=jl<27pun2b83>5<5283==:473948y~g5k3:1<7<51842e?>40h1vwl7<61?;365=78:xe7e=83:1>7?660;9<6>03tqj>l4?:181>4?1:j03?5l4}zc1g?6=8381=48=d;:0971g>=5>92wpm?m50;296?7>>:o14>7=;|yb6f<729096<793g8;7<350;095<0383285>5r{`0`>5<72;0:5;:>:91:0>{|i;i1<7>52;3:214=0:3=7pun2`83>5<3283=8h473859f`0e2ko=i6sta3a94?6=:3;2:9h5bd4e?x}f:j0;6=4=:0;515:0qvo=c;294?4=90<>=76<999~d4d290;6?4>9777>=5>k2wpm?m50;296?7>><814>7n;|yb6f<729096<79528;750;095<01>328m<5r{`0b>5<72;0:5;8l:91b3>{|i;i1<7>52;3:23b=0:k37pun2b83>5<5283=:h473`;8y~g5k3:1<7<51845b?>4ih1vwl7<61?=;65=nb:xe7e=83:1>7?66639<6gd3tqj>l4?:181>4?1?m03?lj4}zc1g?6=8381=488e;:0e`=zsh8h6=4?:382=31a219jj6sta3a94?6=:3;2:5>582`3?x}f:j0;6=4=:0;5<47697:f>=5e;2wpm?m50;296?7>>1l14>l9;|yb6f<729096<79918;7g250;095<0>9328n85r{`0`>5<72;0:5;7=:91a3>{|i;k1<7>52;3:25<5283=5i473c;8y~g5k3:1<7<5184:a?>4jh1vwl7<61?3m65=mb:xe7e=83:1>7?66`29<6dd3tqj>n4?:181>4?1i803?oj4}zc1e?6=8381=48nd;:0f`=zsh8h6=4?:382=3gb219ij6sta3a94?6=:3;2:lh582a3?x}f:j0;6=4=:0;5f597`1>=5d;2wpm?o50;296?7>>ko14>m;;|yb6f<729096<79bg8;7f150;095<0d8328o85r{`0`>5<72;0:5;m>:91`2>{|i;i1<7>52;3:2f4=0:i37pun2`83>5<5283=on473b;8y~g5k3:1<7<5184``?>4kh1vwl7<61?in65=lb:xe7e=83:1>7?66bd9<6ed3tqj>n4?:181>4?1l903?nj4}zc1g?6=8381=48k1;:0g`=zsh8j6=4?:382=3bc219hj6sta3a94?6=:3;2:ik582f3?x}f:j0;6=4=:0;5`c97g2>=5c;2wpm?m50;296?7>>l814>j;;|yb6d<729096<79ed8;7a350;095<0bn328h55r{`0`>5<72;0:5;h?:91g2>{|i;i1<7>52;3:2c7=0:n<7pun2b83>5<5283=j?473e;8y~g5i3:1<7<5184eg?>4lh1vwl7<61?lo65=kb:xe7e=83:1>7?66gg9<6bd3tqj>n4?:181>4?1no03?ij4}zc1g?6=8381=49?0;:0``=zsh8h6=4?:382=266219oj6sta3c94?6=:3;2;=j582g3?x}f:h0;6=4=:0;4569636>=5b02wpm?m50;296?7>?8<14>k6;|yb6f<729096<78168;7`g50;095<160328io5r{`0b>5<72;0:5:<;:91fg>{|i;k1<7>52;3:37g=0:l97pun2b83>5<5283<>o473g18y~g5k3:1<7<51851g?>4n=1vwl7<61>8o65=i5:xe7e=83:1>7?673g9<6`13tqj>n4?:181>4?0:o03?k94}zc1e?6=8381=49j582df?x}f:j0;6=4=:0;47`9663>=2792wpm?o50;297?7>?=i149>=:91e<>{|i;i1<7>52;3:31b=0:l27pun2b83>5<5283<8h473gc8y~g5k3:1<7<51857b?>38?1vwl7<61>?965:?7:xe7e=83:1>7?67429<1633tqj>n4?:181>4?0=8038=;4}zc1e?6=8381=4994;:74==zsh8j6=4?:382=20f21>;i6sta3c94?6=:3;2;;k58531?x}f:h0;6=4=:0;4319655>=26i2wpm?m50;296?7>?>=149?m;|yb6f<729096<78798;04e50;095<10132?=i5r{`0b>5<72;0:5:6::962a>{|i;i1<7>52;3:3=0=0=;m7pun2b83>5<5283<4:474328y~g5k3:1<7<5185;3:81vwl7<61>2265:=2:xe7e=83:1>7?679c9<1443tqj>l4?:181>4?01?038?:4}zc1g?6=8381=4967;:760=zsh8h6=4?:382=2??21>9:6sta3a94?6=:3;2;4758504?x}f:j0;6=4=:0;4=d96c4>=25i3hnn44meca8y~g5k3:1<7<5185b7<61>k26okme:xe7e=83:1>7?67`c9<14b3tqj>n4?:181>4?0im038?h4}zc1g?6=8381=49nb;:76f=zsh8h6=4?:382=2gd21>9h6sta3c94?6=:3;2;oh58513?x}f:h0;6=4=:0;4g096ae>=2382wpm?m50;296?7>?m:149:>;|yb6f<729096<78d08;01450;095<1c:32?8>5r{`0`>5<72;0:5:j<:9670>{|i;i1<7>52;3:3a2=0=>>7pun2`83>5<528337<61>o965:;c:xe7e=83:1>7?67d19<12c3tqj>n4?:181>4?0m=0389k4}zc1g?6=8381=49j5;:70c=zsh8j6=4?:282=2`621>><76;479~d4d290;6?4>96d1>=23?2wpm?m50;296?7>?o9149:7;|yb6f<729096<78f58;00250;095<1a?32?985r{`0`>5<72;0:5:h::9666>{|i;i1<7>52;3:3c0=0=?87pun2`83>5<52833<4474448y~g5i3:1<7<518:3b?>3=j1vwl7<611;865:90:xe7g=83:1>7?680;9<1013tqj>n4?:181>4??9h038;94}zc1g?6=8381=46>b;:72==zsh8h6=4?:382==7d21>=56sta3a94?6=:3;24990a>=21l2wpm?m50;296?7>0;i1498j;|yb6f<729096<772e8;03`50;095<>5m32?;=5r{`0`>5<72;0:55{|i;k1<7>52;3:<6d=0==97pun2b83>5<52833?n474648y~g5k3:1<7<518:0`?>3?:1vwl7<6119n65:84:xe7e=83:1>7?682d9<1123tqj>n4?:181>4??<9038:94}zc1e?6=8381=46;c;:73==zsh8j6=4?:382==3521>h76;889~d4d290;6?4>997f>=2?i2wpm?m50;296?7>050;095<>1932?4i5r{`0b>5<72;0:558k:96;a>{|i;i1<7>52;3:<3c=0=2m7pun2b83>5<52833:k474828y~g5k3:1<7<518:44?>3181vwl7<611=:65:62:xe7e=83:1>7?68609<1?43tqj>l4?:181>4???l0384:4}zc1g?6=8381=468f;:7===zsh8h6=4?:382==>721>296sta3a94?6=:3;245?585;5?x}f:j0;6=4=:0;;<799:e>=2>i2wpm?o50;296?7>00?149o?;|yb6d<729096<77988;0d250;095<>>n32?ml5r{`0`>5<72;0:55o?:96bf>{|i;i1<7>52;3:5<52833m?474`f8y~g5k3:1<7<518:b7?>3il1vwl7<611k?65:nf:xe7g=83:1>7?68c29<1d73tqj>l4?:181>4??j<038o;4}zc1g?6=8381=46m6;:7f3=zsh8h6=4?:382==d021>i56sta3a94?6=:3;24o6585`4?x}f:j0;6=4=:0;;f<99a5>=2ej2wpm?o50;296?7>0ji149m>;|yb6d<729096<77d18;0f350;095<>c>32?oo5r{`0`>5<72;0:55j8:96`g>{|i;i1<7>52;3:=0=io7pun2b83>5<52833h4474bg8y~g5k3:1<7<518:ge?>3ko1vwl7<611ni65:k0:xe7g=83:1>7?68d59<1b63tqj>n4?:181>4??m1038i<4}zc1g?6=8381=46j9;:7`6=zsh8h6=4?:382==cf21>o86sta3a94?6=:3;24hl585f6?x}f:j0;6=4=:0;;af99d:>=2cj2wpm?m50;296?7>0ok149j7;|yb6f<729096<77fc8;0a?50;095<>ak32?hl5r{`0`>5<72;0:55hk:96gg>{|i;k1<7>52;3:=5?=0=no7pun2`83>5<528323m>1vwl7<610;265:jd:xe7e=83:1>7?690c9<1cb3tqj>n4?:181>4?>9k038hh4}zc1g?6=8381=47>c;:7b5=zsh8h6=4?:382=<7c21>m=6sta3a94?6=:3;25980`>=2a=2wpm?m50;296?7>1;n149h9;|yb6f<729096<762d8;0c150;0955<72;0:54=m:96e=>{|i;i1<7>52;3:=6e=0=lo7pun2b83>5<52832?i474gc8y~g5k3:1<7<518;0a?>3nk1vwl7<6109m65:ic:xe7e=83:1>7?69529<1`b3tqj>l4?:181>4?>9842>=36;2wpm?m50;296?7>1?8148?;;|yb6f<729096<76628;14050;095=:5r{`0b>5<72;0:549<:972`>{|i;i1<7>52;3:=22=0<;n7pun2b83>5<52832;84750d8y~g5k3:1<7<518;42?>2:91vwl7<610=<65;=1:xe7e=83:1>7?696:9<0453tqj>l4?:181>4?>0=039?=4}zc1e?6=8381=4779;:66==zsh8h6=4?:382=<>f21?956sta3a94?6=:3;255l5840`?x}f:j0;6=4=:0;:98:f>=35l2wpm?o50;296?7>10k14850;095?55r{`0b>5<72;0:54o6:970`>{|i;k1<7>52;3:=d`=0<>87pun2b83>5<52832n=475568y~g5k3:1<7<518;a5?>2<<1vwl7<610h965;;6:xe7e=83:1>7?69c19<0203tqj>n4?:181>4?>j=039964}zc1e?6=8381=47l0;:60<=zsh8h6=4?:382=98a6>=33m2wpm?o50;296?7>1m;148:i;|yb6f<729096<76d38;10550;0959=5r{`0`>5<72;0:54j;:9765>{|i;i1<7>52;3:=a3=05<52832h;475468y~g5i3:1<7<518;f6?>2=<1vwl7<610o365;:b:xe7g=83:1>7?69da9<03a3tqj>l4?:181>4?>n8039;:4}zc1e?6=8381=47i7;:62d=zsh8h6=4?:382=<`?21?=n6sta3a94?6=:3;25k75844`?x}f:j0;6=4=:0;:bd98d`>=31n2wpm?o50;296?7>i921489?;|yb6f<729096<7n088;12750;095;?5r{`0`>5<72;0:5l>m:9747>{|i;i1<7>52;3:e5e=0<=?7pun2b83>5<5283j2??1vwl7<61h;j65;8a:xe7e=83:1>7?6a0`9<0103tqj>n4?:181>4?f9j039:64}zc1g?6=8381=4o>d;:63<=zsh8h6=4?:382=d7b21?9`1:>=3?j2wpm?o50;296?7>i:l1487>;|yb6f<729096<7n418;1<450;0955>5r{`0`>5<72;0:5l:=:97:0>{|i;i1<7>52;3:e15=0<3>7pun2b83>5<5283j89475848y~g5i3:1<7<518c64?>21>1vwl7<61h?:65;68:xe7e=83:1>7?6a409<0?>3tqj>n4?:181>4?f=:0394o4}zc1g?6=8381=4o:4;:6=g=zsh8h6=4?:382=d3221?2o6sta3c94?6=:3;2m;?584;g?x}f:j0;6=4=:0;b279`47>=3>n2wpm?m50;296?7>i??148o?;|yb6f<729096<7n678;1d450;095m>5r{`0b>5<72;0:5l97:97b=>{|i;k1<7>52;3:e2e=05<5283j4<475c08y~g5i3:1<7<518c;3?>2j11vwl7<61h2365;m9:xe7e=83:1>7?6a9;9<0df3tqj>n4?:181>4?f0h039ol4}zc1g?6=8381=4o7b;:6ff=zsh8h6=4?:382=d>d21?ih6sta3c94?6=:3;2m46584`f?x}f:j0;6=4=:0;b=<9`;a>=3d92wpm?m50;296?7>i0i148m=;|yb6f<729096<7n9e8;1f550;095o95r{`0`>5<72;0:5lon:97`<>{|i;i1<7>52;3:edd=07pun2b83>5<5283jmn475b48y~g5k3:1<7<518cb`?>2k>1vwl7<61hkn65;l9:xe7g=83:1>7?6acc9<0ef3tqj>l4?:181>4?fjo039nh4}zc1e?6=8381=4ol5;:6`0=zsh8h6=4?:382=de121?o:6sta3a94?6=:3;2mn9584f4?x}f:j0;6=4=:0;bg=9`ab>=3ci2wpm?o50;296?7>im<148jm;|yb6f<729096<7nd68;1ae50;095hi5r{`0`>5<72;0:5lj6:97ga>{|i;i1<7>52;3:eag=05<5283jho475d28y~g5i3:1<7<518cf3?>2m81vwl7<61ho365;j5:xe7e=83:1>7?6ad;9<0c53tqj>n4?:181>4?fmh039h=4}zc1g?6=8381=4ojb;:6a1=zsh8h6=4?:382=dcd21?n:6sta3c94?6=:3;2mk6584g4?x}f:h0;6=4=:0;bba9c27>=3a;2wpm?m50;296?7>j9?148h;;|yb6f<729096<7m078;1c350;095j;5r{`0`>5<72;0:5o>7:97e3>{|i;k1<7>52;3:f42=05<5283i=8475g;8y~g5k3:1<7<518`22?>2nh1vwl7<61k;<65;ib:xe7e=83:1>7?6b0:9<0`d3tqj>n4?:181>4?e90039kj4}zc1e?6=8381=4l=5;:6b`=zsh8h6=4?:382=g4121<;>6sta3a94?6=:3;2n?9584de?x}f:j0;6=4=:0;a6=9:0qvo=c;294?4=90h95769009~d4d290;6?4>9c0b>=07;2wpm?o50;296?7>j:<14;>;;|yb6d<729096<7m3b8;25g50;0955<72;0:5o=j:943f>{|i;i1<7>52;3:f6`=0?:h7pun2b83>5<5283i8=4761g8y~g5i3:1<7<518`7e?>18o1vwl7<61k?;658>5:xe7g=83:1>7?6b449<37e3tqj>l4?:181>4?e=j03:??4}zc1e?6=8381=4l90;:560=zsh8j6=4?:382=g0121<9n6sta3a94?6=:3;2n;95870e?x}f:j0;6=4=:0;a2=;n0qvo=c;294?4=90h=57692b9~d4d290;6?4>9c4b>=05m2wpm?m50;296?7>j?h14;=?;|yb6d<729096<7m768;26750;0955<72;0:5o9k:940=>{|i;i1<7>52;3:f2c=0?9<7pun2b83>5<5283i;k4762:8y~g5k3:1<7<518`;4?>1;h1vwl7<61k2j6587?6b829<3263tqj>n4?:181>4?e1803:9<4}zc1g?6=8381=4l62;:506=zsh8h6=4?:382=g?421=<0qvo=a;294?4=90hj=769469~d4d290;6?4>9cc1>=0302wpm?m50;296?7>jh914;:6;|yb6f<729096<7ma58;21g50;0955<72;0:5oo9:947g>{|i;k1<7>52;3:fg4=0?>o7pun2b83>5<5283in>476438y~g5k3:1<7<518`a0?>17<61kh>658;f:xe7e=83:1>7?6bc49<3373tqj>n4?:181>4?ej>03:8<4}zc1e?6=8381=4ll3;:516=zsh8j6=4?:382=ge?21<>46sta3c94?6=:3;2nnk5877f?x}f:j0;6=4=:0;agc9cf2>=0192wpm?m50;296?7>jm814;8=;|yb6f<729096<7md28;23550;0955<72;0:5ok?:9451>{|i;i1<7>52;3:f`7=0?<=7pun2b83>5<5283ii?476758y~g5k3:1<7<518`f7?>1>11vwl7<61ko?65899:xe7g=83:1>7?6bg29<30f3tqj>n4?:181>4?en803:;k4}zc1g?6=8381=4li2;:52g=zsh8h6=4?:382=g`421<=o6sta3a94?6=:3;2nk:5874g?x}f:j0;6=4=:0;ab0?l0qvo=a;294?4=90i;=769719~d4f290;6?4>9b25>=00=2wpm?o50;296?7>k9i14;9m;|yb6f<729096<7l0e8;22e50;0955<72;0:5n>i:944a>{|i;i1<7>52;3:g46=0?=m7pun2b83>5<5283h=<476928y~g5i3:1<7<518a2`?>1081vwl7<61j;n65872:xe7e=83:1>7?6c0d9<3>43tqj>n4?:181>4?d:903:5:4}zc1g?6=8381=4m=1;:5<0=zsh8h6=4?:382=f4521<3:6sta3c94?6=:3;2o?k587:4?x}f:j0;6=4=:0;`6c1h0qvo=c;294?4=90i8<769899~d4d290;6?4>9b12>=0?12wpm?m50;296?7>k:814;6n;|yb6f<729096<7l328;2=e50;0955<72;0:5n:;:94:6>{|i;k1<7>52;3:g1g=0?337pun2b83>5<5283h8o4768;8y~g5k3:1<7<518a7g?>11h1vwl7<61j>o6586b:xe7e=83:1>7?6c5g9<3?d3tqj>n4?:181>4?dh;0qvo=c;294?4=90i>j769a39~d4d290;6?4>9b43>=0f;2wpm?o50;296?7>k?i14;o;;|yb6f<729096<7l6e8;2d>50;0955<72;0:5n8i:94b2>{|i;i1<7>52;3:g26=0?k<7pun2b83>5<5283h;<476`;8y~g5i3:1<7<518a4`?>1ih1vwl7<61j29658nf:xe7g=83:1>7?6c9:9<3d23tqj>n4?:181>4?d0003:o84}zc1g?6=8381=4m7a;:5f2=zsh8h6=4?:382=f>e21kk0qvo=a;294?4=90i25769bc9~d4d290;6?4>9b;b>=0ek2wpm?m50;296?7>k0h14;lk;|yb6f<729096<7l9b8;2gc50;095l32=nk5r{`0`>5<72;0:5n7j:94`4>{|i;k1<7>52;3:gdg=0?i:7pun2b83>5<5283hmo476b78y~g5k3:1<7<518abg?>1k;1vwl7<61jko658l3:xe7e=83:1>7?6c`g9<3e33tqj>n4?:181>4?dio03:n84}zc1e?6=8381=4mmb;:5g2=zsh8j6=4?:382=fe721m90qvo=c;294?4=90ih4769d59~d4d290;6?4>9ba:>=0c=2wpm?m50;296?7>kjk14;j9;|yb6f<729096<7lcc8;2a150;0955<72;0:5nj7:94gg>{|i;i1<7>52;3:ga?=0?n27pun2b83>5<5283hhl476ec8y~g5k3:1<7<518agf?>1lk1vwl7<61jnh658kd:xe7g=83:1>7?6cd:9<3bb3tqj>l4?:181>4?dmm03:h=4}zc1e?6=8381=4mi2;:5a==zsh8j6=4?:382=f`021o=0qvo=a;294?4=90n;:769fb9~d4f290;6?4>9e2a>=1792wpm?o50;296?7>l8:14:>9;|yb6d<729096<7k148;35d50;0955<72;0:5i?i:9521>{|i;k1<7>52;3:`72=0>;j7pun2`83>5<5283o>44770d8y~g5i3:1<7<518f1a?>0:=1vwl7<61m9?659=a:xe7g=83:1?7?6d2c9<25720k:56sta3a94?6=:3;2h>l58611?x}f:j0;6=4=:0;g7f9e1g>=14;2wpm?m50;296?7>l:o15l?n;|yb6f<729096<7k3g8:e4d50;0955<72;0:5i;::9502>{|i;k1<7>52;3:`0d=0>9h7pun2b83>5<5283o9n4772f8y~g5k3:1<7<518f6`?>0;l1vwl7<61m?n6597?6d4d9<2273tqj>n4?:181>4?c>903;9?4}zc1e?6=8381=4j9c;:407=zsh8h6=4?:382=a0c21=??6sta3a94?6=:3;2h;k58667?x}f:j0;6=4=:0;g2c9e52>=13?2wpm?o50;296?7>l>n14::7;|yb6f<729096<7k7d8;31e50;0955<72;0:5i6?:957e>{|i;i1<7>52;3:`=7=0>>i7pun2b83>5<5283o4?4775f8y~g5i3:1<7<518f;a?>07<61m39659:2:xe7g=83:1>7?6d8:9<23?3tqj>l4?:181>4?c1k03;8l4}zc1e?6=8381=4j6e;:b``=zsh8j6=4?:382=ag521kn>6sta3c94?6=:3;2hl858`g5?x}f:h0;6=4=:0;ged9e`1>=ga:2wpm?o50;296?7>lk<14lh9;|yb6d<729096<7kb`8;ecg50;0955<72;0:5im=:9`36>{|i;i1<7>52;3:`f5=0k:=7pun2b83>5<5283oo947b118y~g5k3:1<7<518f`1?>e8<1vwl7<61mi=65l?4:xe7e=83:1>7?6db59l4?:181>4?cl:03n=64}zc1e?6=8381=4jk9;:a4`=zsh8j6=4?:382=aba21h:86sta3c94?6=:3;2hh;58c3b?x}f:h0;6=4=:0;gag9egg>=d5<2wpm?m50;296?7>llo14o<>;|yb6f<729096<7keg8;f7450;09585r{`0b>5<72;0:5ihl:9`12>{|i;i1<7>52;3:`cb=0k8<7pun2b83>5<5283ojh47b3:8y~g5k3:1<7<518feb?>e:01vwl7<61l:;65l=a:xe7e=83:1>7?6e139l4?:181>4?b8m03n?m4}zc1e?6=8381=4k>3;:a77=zsh8h6=4?:382=`7321h8?6sta3a94?6=:3;2i<;58c17?x}f:j0;6=4=:0;f539d3;>=d4?2wpm?o50;296?7>m;>14o=7;|yb6d<729096<7j2`8;f6c50;0955<72;0:5h{|i;i1<7>52;3:a7b=0k>:7pun2b83>5<5283n>h47b508y~g5k3:1<7<518g1b?>e<:1vwl7<61l9i65l;4:xe7g=83:1>7?6e539n4?:181>4?b<;03n9l4}zc1g?6=8381=4k;3;:a0f=zsh8h6=4?:382=`2321h?h6sta3a94?6=:3;2i9;58c6f?x}f:j0;6=4=:0;f03>76m519~d4f290;6?4>9d7;>=d2>2wpm?m50;296?7>m<314o;6;|yb6f<729096<7j5`8;f0150;0955<72;0:5h;l:9`6e>{|i;i1<7>52;3:a0b=0k?i7pun2`83>5<5283n:447b4a8y~g5i3:1<7<518g5b?>e>;1vwl7<61l=>65l98:xe7g=83:1>7?6e6`9l4?:181>4?b0803n::4}zc1e?6=8381=4k77;:a3d=zsh8j6=4?:382=`>c21h3<6sta3c94?6=:3;2i4=58c:5?x}f:h0;6=4=:0;f=<9dc6>=d>02wpm?o50;296?7>mhh14o7j;|yb6d<729096<7jb08;fd250;0955<72;0:5hlk:9`a4>{|i;k1<7>52;3:af5=0kh=7pun2`83>5<5283no447bca8y~g5i3:1<7<518g`b?>ek;1vwl7<61ln?65ll7:xe7g=83:1>7?6ee;9l4?:181>4?bll03ni?4}zc1e?6=8381=4kj3;:a`3=zsh8j6=4?:382=`c?21hon6sta3c94?6=:3;2ihj58cg3?x}f:h0;6=4=:0;fb79dd`>=dbn2wpm?o50;296?7>n9;14oh;;|yb6d<729096<7i078;fc?50;095<`7j32ijh5r{`0`>5<72;0:5k>l:9`eb>{|i;i1<7>52;3:b5b=0j:;7pun2b83>5<5283md8;1vwl7<61o;;65m?3:xe7g=83:1>7?6f0a9n4?:181>4?a9m03o=;4}zc1g?6=8381=4h>e;:`43=zsh8h6=4?:382=c7a21i;;6sta3a94?6=:3;2j?>58b2;?x}f:j0;6=4=:0;e649g10>=e682wpm?m50;296?7>n:>14n?>;|yb6f<729096<7i348;g4450;095<`4>32h=>5r{`0`>5<72;0:5k=8:9a20>{|i;i1<7>52;3:b6>=0j;>7pun2`83>5<5283m8947c048y~g5i3:1<7<518d7e?>d9j1vwl7<61o>i65m>d:xe7e=83:1>7?6f5a9n4?:181>4?a76l289~d4d290;6?4>9g40>=e5i2wpm?m50;296?7>n?>14n50;095<`1>32h>i5r{`0b>5<72;0:5k9=:9a1a>{|i;k1<7>52;3:b2>=0j9?7pun2b83>5<5283m;447c278y~g5k3:1<7<518d4e?>d;?1vwl7<61o=i65m<7:xe7e=83:1>7?6f6a9n4?:181>4?a?m03o>74}zc1e?6=8381=4h79;:`7d=zsh8j6=4?:382=c>a21i?<6sta3a94?6=:3;2j4>58b62?x}f:j0;6=4=:0;e=476l429~d4d290;6?4>9g;0>=e3<2wpm?m50;296?7>n0>14n::;|yb6d<729096<7ia18;g1050;095<`f>32h8n5r{`0`>5<72;0:5ko8:9a7`>{|i;i1<7>52;3:bd>=0j>n7pun2b83>5<5283mm447c5d8y~g5k3:1<7<518dbe?>d=91vwl7<61oki65m:1:xe7g=83:1>7?6fc59l4?:181>4?ajm03o864}zc1g?6=8381=4hme;:`1<=zsh8h6=4?:382=cda21i>m6sta3a94?6=:3;2jn>58b7a?x}f:j0;6=4=:0;eg476l5e9~d4f290;6?4>9gaf>=e2m2wpm?o50;296?7>nm>14n8;;|yb6f<729096<7id48;g3350;095<`c>32h:;5r{`0`>5<72;0:5kj8:9a53>{|i;i1<7>52;3:ba>=0j<37pun2b83>5<5283mh447c7;8y~g5i3:1<7<518df1?>d>h1vwl7<61ooi65m80:xe7e=83:1>7?6fda9n4?:181>4?amm03o:<4}zc1g?6=8381=4hje;:`36=zsh8h6=4?:382=cca21i<86sta3a94?6=:3;2jk>58b56?x}f:h0;6=4=:0;ebf<0qvo=a;294?4=9h:;>76l7b9~d4d290;6?4>a120>=e0l2wpm?m50;296?7f89>14n9j;|yb6f<72909650;095d67>32h4=5r{`0`>5<72;0:m=>8:9a;5>{|i;k1<7>52;3b445=0j297pun2`83>5<528k;=447c9:8y~g5k3:1<7<51`22e?>d001vwl7<6i9;i65m7a:xe7e=83:1>7?n00a9e3tqj>n4?:181>4g79m03o5m4}zc1g?6=8381=l>>e;:`>58b;7?x}f:j0;6=4=:0c37476l979~d4d290;6?4>a110>=e>?2wpm?m50;296?7f8:>14n77;|yb6f<72909650;095d63932h5l5r{`0b>5<72;0:m=:8:9ab4>{|i;i1<7>52;3b41>=0jk:7pun2b83>5<528k;8447c`08y~g5k3:1<7<51`27e?>di:1vwl7<6i9>i65mn4:xe7e=83:1>7?n05a9l4?:181>4g7=103ol84}zc1e?6=8381=l>:e;:`ef=zsh8h6=4?:382e53a21ijh6sta3a94?6=:3;j<;>58bcf?x}f:j0;6=4=:0c32476lb19~d4d290;6?4>a140>=ee92wpm?o50;296?7f8?l14nl=;|yb6d<72909650;095d60>32hn45r{`0`>5<72;0:m=98:9aae>{|i;i1<7>52;3b42>=0jhi7pun2b83>5<528k;;447cca8y~g5k3:1<7<51`24e?>djm1vwl7<6i92=65mme:xe7g=83:1>7?n09a9n4?:181>4g70m03on;4}zc1g?6=8381=l>7e;:`g3=zsh8h6=4?:382e5>a21ih;6sta3a94?6=:3;j<4>58ba;?x}f:j0;6=4=:0c3=4a1c0>=ec82wpm?m50;296?7f8h>14nj>;|yb6f<72909650;095d6f>32hh>5r{`0`>5<72;0:m=o8:9ag0>{|i;i1<7>52;3b4d>=0jn>7pun2`83>5<528k;n947ce48y~g5i3:1<7<51`2ae?>dlj1vwl7<6i9hi65mkd:xe7e=83:1>7?n0ca9n4?:181>4g7jm03oih4}zc1g?6=8381=l>me;:`a5=zsh8h6=4?:382e5da21in=6sta3c94?6=:3;j76le89~d4d290;6?4>a1f0>=ebi2wpm?m50;296?7f8m>14nkm;|yb6f<72909650;095d6c>32hii5r{`0b>5<72;0:m=k=:9afa>{|i;k1<7>52;3b4`>=0jl?7pun2b83>5<528k;i447cg78y~g5k3:1<7<51`2fe?>dn?1vwl7<6i9oi65mi7:xe7e=83:1>7?n0da9n4?:181>4g7mm03ok74}zc1e?6=8381=l>i9;:`bd=zsh8j6=4?:382e5`a21n;<6sta3a94?6=:3;j==>58e22?x}f:j0;6=4=:0c24476k029~d4d290;6?4>a020>=b7<2wpm?m50;296?7f99>14i>:;|yb6d<729096118;`5050;095d76>32o5<72;0:m{|i;i1<7>52;3b54>=0m:n7pun2b83>5<528k:=447d1d8y~g5k3:1<7<51`32e?>c991vwl7<6i8;i65j>1:xe7g=83:1>7?n1359l4?:181>4g6:m03h<64}zc1g?6=8381=l?=e;:g5<=zsh8h6=4?:382e44a21n:m6sta3a94?6=:3;j=>>58e3a?x}f:j0;6=4=:0c27476k1e9~d4f290;6?4>a01f>=b6m2wpm?o50;296?7f9=>14i<;;|yb6f<729096448;`7350;095d73>32o>;5r{`0`>5<72;0:m<:8:9f13>{|i;i1<7>52;3b51>=0m837pun2b83>5<528k:8447d3;8y~g5i3:1<7<51`361?>c:h1vwl7<6i8?i65j<0:xe7e=83:1>7?n14a9n4?:181>4g6=m03h><4}zc1g?6=8381=l?:e;:g76=zsh8h6=4?:382e43a21n886sta3a94?6=:3;j=;>58e16?x}f:h0;6=4=:0c22f76k3b9~d4d290;6?4>a050>=b4l2wpm?m50;296?7f9>>14i=j;|yb6f<729096748;`6`50;095d70>32o8=5r{`0`>5<72;0:m<98:9f75>{|i;k1<7>52;3b5=5=0m>97pun2`83>5<528k:4447d5:8y~g5k3:1<7<51`3;e?>c<01vwl7<6i82i65j;a:xe7e=83:1>7?n19a9n4?:181>4g60m03h9m4}zc1g?6=8381=l?7e;:g0a=zsh8j6=4?:382e4?f21n?i6sta3c94?6=:3;j=l>58e77?x}f:j0;6=4=:0c2e476k579~d4d290;6?4>a0c0>=b2?2wpm?m50;296?7f9h>14i;7;|yb6f<729096a48;`0?50;095d7e932o9l5r{`0b>5<72;0:m{|i;i1<7>52;3b5g>=0m<:7pun2b83>5<528k:n447d708y~g5k3:1<7<51`3ae?>c>:1vwl7<6i8hi65j94:xe7e=83:1>7?n1ca9l4?:181>4g6k103h;84}zc1e?6=8381=l?le;:g2f=zsh8h6=4?:382e4ea21n=h6sta3a94?6=:3;j=i>58e4f?x}f:j0;6=4=:0c2`476k719~d4d290;6?4>a0f0>=b092wpm?o50;296?7f9ml14i9=;|yb6d<729096e48;`2>50;095d7b>32o;45r{`0`>5<72;0:m{|i;i1<7>52;3b5`>=0m=i7pun2b83>5<528k:i447d6a8y~g5k3:1<7<51`3fe?>c?m1vwl7<6i8l=65j8e:xe7g=83:1?7?n1ga932?lih6sta3a94?6=:3;j=kj58e:5?x}f:j0;6=4=:0c2b`<1nko0qvo=c;294?4=9h;mj78ibg9~d4d290;6?4>a321>=b?12wpm?m50;296?7f:9:14i6:;|yb6f<72909650;095d46<32o5=5r{`0b>5<72;0:m??n:9f:2>{|i;k1<7>52;3b64`=0m3i7pun2`83>5<528k9>947d`28y~g5i3:1<7<51`01=?>ci<1vwl7<6i;8n65jna:xe7g=83:1>7?n2219l4?:181>4g5;103ho:4}zc1e?6=8381=l<9958ea0?x}f:h0;6=4=:0c10f=76kce9~d4f290;6?4>a375>=bc:2wpm?o50;296?7f:50;095d41=32oi<5r{`0b>5<72;0:m?8n:9ff2>{|i;k1<7>52;3b63`=0moi7pun2`83>5<528k9;947dg28y~g5i3:1<7<51`04=?>cn<1vwl7<6i;=n65jia:xe7g=83:1>7?n2919l4?:181>4g50103i=:4}zc1e?6=8381=l<7d;:f4<=zsh8j6=4?:382e7?521o;i6sta3c94?6=:3;j>4958d30?x}f:h0;6=4=:0c1=fa3c5>=c5:2wpm?o50;296?7f:hh14h<8;|yb6d<72909650;095d4e=32n?<5r{`0b>5<72;0:m?ln:9g02>{|i;k1<7>52;3b6g`=0l9i7pun2`83>5<528k9o947e528y~g5i3:1<7<51`0`=?>b<<1vwl7<6i;in65k;a:xe7g=83:1>7?n2e19<`2a3tqj>l4?:181>4g5l>03i8=4}zc1e?6=8381=ln6sta3c94?6=:3;j>h:58d43?x}f:h0;6=4=:0c1a<a3d0>=c1n2wpm?o50;296?7f:o214h9;;|yb6d<72909650;095d57:32n;h5r{`0b>5<72;0:m>>8:9g;7>{|i;k1<7>52;3b75e=0l237pun2`83>5<528k8=<47e9f8y~g5i3:1<7<51`122?>b1;1vwl7<6i:;i65k67:xe7g=83:1>7?n3329<`?d3tqj>l4?:181>4g4:<03il?4}zc1e?6=8381=l==a;:fe3=zsh8j6=4?:382e65721ojo6sta3c94?6=:3;j?>858d`1?x}f:h0;6=4=:0c07f76jbd9~d4f290;6?4>a26;>=cd<2wpm?o50;296?7f;=o14hmn;|yb6d<72909650;095d52=32nh95r{`0`>5<72;0:m>;9:9gg6>{|i;i1<7>52;3b701=0ln:7pun2b83>5<528k89547ee18y~g5k3:1<7<51`16=?>bl<1vwl7<6i:<>65kk6:xe7g=83:1>7?n37`9<`bd3tqj>l4?:181>4g4?803ih<4}zc1e?6=8381=l=87;:fa==zsh8h6=4?:382e61?21onn6sta3a94?6=:3;j?:758dg:?x}f:j0;6=4=:0c03da2:6>=cbl2wpm?m50;296?7f;1<14hh?;|yb6f<72909650;095d5?032nik5r{`0`>5<72;0:m>66:9ge5>{|i;k1<7>52;3b7<5=0ll97pun2b83>5<528k85947eg78y~g5k3:1<7<51`1:1?>bn:1vwl7<6i:3=65ki4:xe7e=83:1>7?n3859<``13tqj>l4?:181>4g4i803ik94}zc1g?6=8381=l=n2;:fbd=zsh8h6=4?:382e6g421om46sta3a94?6=:3;j?l:58dd:?x}f:j0;6=4=:0c0e0a2`3>=can2wpm?m50;296?7f;k;14hhk;|yb6f<72909650;095d5e;32m<=5r{`0b>5<72;0:m>lk:9d35>{|i;i1<7>52;3b7gc=0o:?7pun2b83>5<528k8nk47f108y~g5k3:1<7<51`1`4?>a8:1vwl7<6i:i:65h?5:xe7g=83:1>7?n3b`9n4?:181>4g4kj03j=74}zc1g?6=8381=l=ld;:e42=zsh8h6=4?:382e6eb21l;46sta3a94?6=:3;j?nh58g2b?x}f:h0;6=4=:0c0`<a2fa>=`7k2wpm?m50;296?7f;mi14k>k;|yb6f<72909650;095d5b?32m==5r{`0`>5<72;0:m>k7:9d27>{|i;i1<7>52;3b7`?=0o;:7pun2b83>5<528k8il47f008y~g5k3:1<7<51`1ff?>a9=1vwl7<6i:l>65h>5:xe7e=83:1>7?n3g49n4?:181>4g4n>03j<84}zc1g?6=8381=l=i8;:e52=zsh8h6=4?:382e6`>21l:56sta3c94?6=:3;j8==58g3b?x}f:h0;6=4=:0c74<;j76i279~d4f290;6?4>a536>=`5k2wpm?m50;296?7f<8<14k50;095d26032m>h5r{`0`>5<72;0:m9?6:9d04>{|i;k1<7>52;3b075=0o9:7pun2b83>5<528k?>947f268y~g5k3:1<7<51`611?>a;;1vwl7<6i=8=65h<3:xe7e=83:1>7?n4359l4?:181>4g3;803j>84}zc1g?6=8381=l:<2;:e7<=zsh8h6=4?:382e15421l8;6sta3a94?6=:3;j8>:58g1;?x}f:j0;6=4=:0c7708j76i3c9~d4d290;6?4>a563>=`4m2wpm?m50;296?7f<=;14k=l;|yb6f<72909650;095d23;32m?k5r{`0b>5<72;0:m9:k:9d74>{|i;i1<7>52;3b01c=0o>87pun2b83>5<528k?8k47f538y~g5k3:1<7<51`664?>a<;1vwl7<6i=?:65h;4:xe7g=83:1>7?n44`9n4?:181>4g3=j03j964}zc1g?6=8381=l::d;:e03=zsh8h6=4?:382e13b21l?;6sta3a94?6=:3;j88h58g6:?x}f:h0;6=4=:0c72<=m76i4e9~d4d290;6?4>a54a>=`3j2wpm?m50;296?7f50;095d20?32m8k5r{`0`>5<72;0:m997:9d66>{|i;i1<7>52;3b02?=0o?;7pun2b83>5<528k?;l47f438y~g5k3:1<7<51`64f?>a=:1vwl7<6i=2>65h:4:xe7e=83:1>7?n4949n4?:181>4g30>03j8;4}zc1g?6=8381=l:78;:e13=zsh8h6=4?:382e1>>21l>46sta3c94?6=:3;j84=58g7:?x}f:j0;6=4=:0c7=12976i5`9~d4d290;6?4>a5;5>=`2j2wpm?m50;296?7f<0=14k;k;|yb6d<72909650;095d2f:32m:<5r{`0`>5<72;0:m9o<:9d6b>{|i;i1<7>52;3b0d2=0o<;7pun2b83>5<528k?m847f708y~g5i3:1<7<51`6bb?>a>:1vwl7<6i=h;65h96:xe7e=83:1>7?n4c39n4?:181>4g3j;03j;;4}zc1g?6=8381=l:m3;:e22=zsh8j6=4?:382e1dc21l=46sta3a94?6=:3;j8ok58g4a?x}f:j0;6=4=:0c7fch<76i6`9~d4d290;6?4>a5a2>=`1k2wpm?o50;296?7f50;095d2dl32m:h5r{`0`>5<72;0:m9mj:9d5b>{|i;i1<7>52;3b0f`=0o=:7pun2`83>5<528k?h447f608y~g5k3:1<7<51`6ge?>a?<1vwl7<6i=ni65h83:xe7e=83:1>7?n4ea9n4?:181>4g3lm03j:84}zc1e?6=8381=l:j7;:e32=zsh8h6=4?:382e1c?21l30qvo=c;294?4=9h>nn76i7c9~d4f290;6?4>a5d6>=`0k2wpm?m50;296?7f50;095d2a032m;h5r{`0`>5<72;0:m9h6:9d;4>{|i;k1<7>52;3b155=0o2:7pun2b83>5<528k><947f968y~g5k3:1<7<51`731?>a0;1vwl7<6i<:=65h73:xe7e=83:1>7?n515923tqj>l4?:181>4g29803j584}zc1g?6=8381=l;>2;:e<<=zsh8h6=4?:382e07421l3;6sta3a94?6=:3;j9<:58g:;?x}f:j0;6=4=:0c650a403>=`?m2wpm?m50;296?7f=;;14k6l;|yb6f<72909650;095d35;32m4k5r{`0b>5<72;0:m8{|i;i1<7>52;3b17c=0o387pun2b83>5<528k>>k47f838y~g5k3:1<7<51`704?>a1;1vwl7<6i<9:65h64:xe7g=83:1>7?n52`9n4?:181>4g2;j03j464}zc1g?6=8381=l;h58g;:?x}f:h0;6=4=:0c60<a46a>=`>j2wpm?m50;296?7f==i14k7l;|yb6f<72909650;095d32?32m5k5r{`0`>5<72;0:m8;7:9db6>{|i;i1<7>52;3b10?=0ok;7pun2b83>5<528k>9l47f`38y~g5k3:1<7<51`76f?>ai:1vwl7<6i<<>65hn4:xe7e=83:1>7?n5749n4?:181>4g2>>03jl;4}zc1g?6=8381=l;98;:ee3=zsh8h6=4?:382e00>21lj46sta3c94?6=:3;j9:=58gc:?x}f:j0;6=4=:0c631a455>=`fj2wpm?m50;296?7f=>=14kok;|yb6d<72909650;095d3?:32mn<5r{`0`>5<72;0:m86<:9dbb>{|i;i1<7>52;3b1=2=0oh;7pun2b83>5<528k>4847fc08y~g5i3:1<7<51`7;b?>aj:1vwl7<6i<3;65hm6:xe7e=83:1>7?n5839n4?:181>4g21;03jo;4}zc1g?6=8381=l;63;:ef2=zsh8j6=4?:382e0?c21li46sta3a94?6=:3;j94k58g`a?x}f:j0;6=4=:0c6=ca4c2>=`ek2wpm?o50;296?7f=hh14klk;|yb6f<72909650;095d3fl32mnk5r{`0`>5<72;0:m8oj:9daa>{|i;i1<7>52;3b1d`=0oi:7pun2`83>5<528k>n447fb08y~g5i3:1<7<51`7aa?>ak>1vwl7<6i7?n5b59l4?:181>4g2kj03ji;4}zc1g?6=8381=l;ld;:e`<=zsh8h6=4?:382e0eb21lo:6sta3a94?6=:3;j9nh58gf4?x}f:j0;6=4=:0c6`5a4fg>=`cj2wpm?o50;296?7f=l914kk>;|yb6d<72909650;095d3bn32mii5r{`0b>5<72;0:m8h::9de7>{|i;k1<7>52;3b1cd=0ol27pun2`83>5<528k=<<47fgd8y~g5i3:1<7<51`433??78<1vwl7<6i?:o64>?b:xe7g=83:1>7?n6019=5763tqj>l4?:181>4g19002<<94}zc1e?6=8381=l8>f;;35a=zsh8h6=4?:382e34720:9<6sta3a94?6=:3;j:??5913e?x}f:j0;6=4=:0c567<>88o0qvo=c;294?4=9h<9?77?209~d4f290;6?4>a70g><65:2wpm?m50;296?7f>;o15=<:;|yb6f<72909650;095d04833;>>5r{`0`>5<72;0:m;=>:8210>{|i;i1<7>52;3b264=198<7pun2`83>5<528k=?h4603:8y~g5k3:1<7<51`40b??7:k1vwl7<6i?>;64>=9:xe7e=83:1>7?n6539=54f3tqj>n4?:181>4g1<;028:l0qvo=a;294?4=9h<=?77?459~d4f290;6?4>a74;><6312wpm?o50;296?7f>?o15=:i;|yb6d<72909650;095d00i33;9o5r{`0b>5<72;0:m;6?:8255>{|i;k1<7>52;3b2=0=19<<7pun2`83>5<528k=4n4607f8y~g5i3:1<7<51`4:6??7?:1vwl7<6i?3364>89:xe7g=83:1>7?n68g9=51a3tqj>l4?:181>4g1i=02<5;4}zc1e?6=8381=l8na;;38080qvo=c;294?4=9ha7`7><6>=2wpm?o50;296?7f>ko15=79;|yb6f<72909650;095d0d833;5l5r{`0`>5<72;0:m;m>:82:<>{|i;i1<7>52;3b2f4=19327pun2b83>5<528k=o>4608`8y~g5i3:1<7:51`4`b??71j0ion>5bba0?x}f:j0;6=4=:0c5`50qvo=c;294?4=9ha7f1>ged>2wpm?m50;296?7f>m<15=o>;|yb6f<72909650;095d0c<33;5h5r{`0`>5<72;0:m;j::82:b>{|i;k1<7>52;3b2`g=19k97pun2b83>5<528k=io460`18y~g5k3:1<7<51`4fg??7i=1vwl7<6i?oo64>n5:xe7e=83:1>7?n6dg9=5g13tqj>n4?:181>4g1mo028k20qvo=c;294?4=9h=;577?b49~d4d290;6?4>a62b><6e>2wpm?m50;296?7f?9h15=l8;|yb6f<72909650;095d16033;nl5r{`0`>5<72;0:m:?6:82a`>{|i;i1<7>52;3b34g=19hi7pun2b83>5<528k<=o460ca8y~g5k3:1<7<51`52g??7jl1vwl7<6i>;o64>mf:xe7g=83:1>7?n73;9=5e73tqj>n4?:181>4g0:h028j?0qvo=a;294?4=9h=8m77?c79~d4d290;6?4>a61a><6di2wpm?m50;296?7f?:i15=m8;|yb6f<72909650;095d14m33;o55r{`0`>5<72;0:m:=i:82`f>{|i;k1<7>52;3b31d=19ih7pun2b83>5<528k<8n460e28y~g5k3:1<7<51`57`??7km1vwl7<6i>>n64>lf:xe7e=83:1>7?n75d9=5eb3tqj>n4?:181>4g0=9028m?0qvo=c;294?4=9h==<77?d59~d4d290;6?4>a642><6c?2wpm?o50;296?7f??n15=j7;|yb6d<72909650;095d10133;i95r{`0b>5<72;0:m:9i:82fe>{|i;i1<7>52;3b3=6=19oo7pun2b83>5<528k<4<460d`8y~g5k3:1<7<51`5;6??7mj1vwl7<6i>2864>je:xe7g=83:1>7?n79f9=5ca3tqj>l4?:181>4g01:028oi0qvo=c;294?4=9h=2h77?fe9~d4d290;6?4>a6;f><7782wpm?o50;296?7f?hk15<>>;|yb6d<72909650;095d1e=33:5<72;0:m:l9:8324>{|i;i1<7>52;3b3g1=18:n7pun2b83>5<528k7<6i>hj64?>1:xe7g=83:1>7?n7b49=4753tqj>n4?:181>4g0k>02=<;4}zc1g?6=8381=l9l8;;253=zsh8h6=4?:382e2e>20;:?6sta3a94?6=:3;j;no59037?x}f:j0;6=4=:0c4gg<>98=0qvo=a;294?4=9h=o;77>199~d4f290;6?4>a6fg><76m2wpm?o50;296?7f?l915<<;;|yb6d<72909650;095d1bn33:?=5r{`0b>5<72;0:m:h::8302>{|i;k1<7>52;3b3cd=189h7pun2`83>5<528k3<<461508y~g5i3:1<7<51`:33??6<11vwl7<6i1:o64?;e:xe7g=83:1>7?n8019=4333tqj>l4?:181>4g?9002=8o4}zc1e?6=8381=l6>f;;225=zsh8j6=4?:382e=4220;=:6sta3c94?6=:3;j4?l5904`?x}f:h0;6=4=:0c;74<>9>80qvo=a;294?4=9h28;77>799~d4f290;6?4>a91g><70m2wpm?o50;296?7f0=915<6;;|yb6d<72909650;095d>3n33:5=5r{`0b>5<72;0:m5;::83:2>{|i;k1<7>52;3b<0d=183h7pun2`83>5<528k3:<461`08y~g5i3:1<7<51`:53??6i11vwl7<6i17?n8619=4d33tqj>l4?:181>4g??002=oo4}zc1e?6=8381=l68f;;2g5=zsh8j6=4?:382e=>220;h:6sta3c94?6=:3;j45l590a`?x}f:h0;6=4=:0c;=4<>9m80qvo=a;294?4=9h22;77>d99~d4f290;6?4>a9;g><7cm2wpm?o50;296?7f0h91550;095d>fn33:j=5r{`0b>5<72;0:m5l::83e2>{|i;k1<7>52;3b5<528k3o<462108y~g5i3:1<7<51`:`3??5811vwl7<6i1io647?n8e19=7733tqj>l4?:181>4g?l002>::80qvo=a;294?4=9h2m;77=399~d4f290;6?4>a9dg><44m2wpm?o50;296?7f19915?:;;|yb6d<72909650;095d?7n3399=5r{`0b>5<72;0:m4?::8062>{|i;k1<7>52;3b=4d=1;?h7pun2`83>5<528k2><462708y~g5i3:1<7<51`;13??5>11vwl7<6i08o64<9e:xe7g=83:1>7?n9219=7133tqj>l4?:181>4g>;002>:o4}zc1e?6=8381=l7:080qvo=a;294?4=9h3>;77=999~d4f290;6?4>a87g><4>m2wpm?o50;296?7f1?915?o;;|yb6d<72909650;095d?1n339n=5r{`0b>5<72;0:m49::80a2>{|i;k1<7>52;3b=2d=1;hh7pun2`83>5<528k24<462b08y~g5i3:1<7<51`;;3??5k11vwl7<6i02o647?n9819=7b33tqj>l4?:181>4g>1002>h>4}zc1g?6=8381=l76a;;1a6=zsh8h6=4?:382e:l80qvo=c;294?4=9h32i77=e49~d4f290;6?4>a8cb><4b>2wpm?o50;296?7f1k:15?kl;|yb6d<72909650;095d?ek339j55r{`0b>5<72;0:m4m=:80ea>{|i;k1<7>52;3b=f>=1::?7pun2`83>5<528k2oh4631c8y~g5i3:1<7<51`;g0??4991vwl7<6i0nj64=>6:xe7g=83:1>7?n9d29=67d3tqj>l4?:181>4g>m?02??<4}zc1e?6=8381=l7jc;;06==zsh8j6=4?:382e<`52099i6sta3c94?6=:3;j5k659217?x}f:h0;6=4=:0c:b`<>;:k0qvo=a;294?4=9hk;877<419~d4f290;6?4>a`2b><53>2wpm?o50;296?7fi8:15>:l;|yb6d<72909650;095dg6k338955r{`0b>5<72;0:ml<=:816a>{|i;k1<7>52;3be7>=1:5<528kj>h4637c8y~g5i3:1<7<51`c00??4?91vwl7<6ih9j64=86:xe7g=83:1>7?na529=61d3tqj>l4?:181>4gf;0k0qvo=a;294?4=9hk=877a`4b><5f>2wpm?o50;296?7fi>:15>ol;|yb6d<72909650;095dg0k338n55r{`0b>5<72;0:ml6=:81aa>{|i;k1<7>52;3be=>=1:i?7pun2`83>5<528kj4h463bc8y~g5i3:1<7<51`c:0??4l91vwl7<6ih3j64=k6:xe7g=83:1>7?na`29=6bd3tqj>n4?:181>4gfi802?ih4}zc1g?6=8381=lon2;;0``=zsh8h6=4?:382edg4209oh6sta3a94?6=:3;jml:592g3?x}f:h0;6=4=:0cbe`<>;l;0qvo=a;294?4=9hki877a``b><5bl2wpm?o50;296?7fij:15>h<;|yb6d<72909650;095dgdk338jk5r{`0b>5<72;0:mlj=:8631>{|i;k1<7>52;3bea>=1=:i7pun2`83>5<528kjhh464038y~g5i3:1<7<51`cf0??39>1vwl7<6ihoj64:>d:xe7g=83:1>7?nag29=1443tqj>l4?:181>4gfn?028?74}zc1e?6=8381=loic;;76c=zsh8j6=4?:382eg6520>896sta3c94?6=:3;jn=65951a?x}f:h0;6=4=:0ca4`<><=;0qvo=c;294?4=9hh;j77;429~d4d290;6?4>ac33><23:2wpm?m50;296?7fj8;159:;;|yb6f<72909650;095dd6k33?8;5r{`0`>5<72;0:mo?k:867=>{|i;i1<7>52;3bf4c=1=>j7pun2b83>5<528ki=k464558y~g5k3:1<7<51``14??3<11vwl7<6ik8:64:;b:xe7g=83:1>7?nb3f9=12d3tqj>l4?:181>4ge;:0288<4}zc1e?6=8381=ll<9;;71==zsh8j6=4?:382eg5a20>>i6sta3c94?6=:3;jn9;59547?x}f:h0;6=4=:0ca0g<>=77;719~d4f290;6?4>ac74><20>2wpm?o50;296?7fj50;095dd1133?455r{`0b>5<72;0:mo8i:86;a>{|i;k1<7>52;3bf23=1=3?7pun2`83>5<528ki;o4648c8y~g5k3:1<7<51``4g??31j1vwl7<6ik=o64:6e:xe7e=83:1>7?nb6g9=1?e3tqj>n4?:181>4ge?o0284j4}zc1g?6=8381=ll70;;7=c=zsh8j6=4?:382eg>d20>j<6sta3c94?6=:3;jn4<595c5?x}f:h0;6=4=:0ca==<>acc7><2e02wpm?o50;296?7fjhk159lj;|yb6f<72909650;095ddfk33?o=5r{`0`>5<72;0:mook:86`5>{|i;i1<7>52;3bfdc=1=i97pun2b83>5<528kimk464b18y~g5i3:1<7<51``af??3k=1vwl7<6ikhh64:l5:xe7e=83:1>7?nbcf9=1e13tqj>n4?:181>4gejl028n94}zc1g?6=8381=llmf;;7g==zsh8h6=4?:382ege720>h56sta3c94?6=:3;jnnm595ab?x}f:j0;6=4=:0caga<>acae><2dj2wpm?m50;296?7fjm:159mk;|yb6f<72909650;095ddcl33?h=5r{`0`>5<72;0:mojj:86g5>{|i;i1<7>52;3bfa`=1=n97pun2b83>5<528kii=464e18y~g5k3:1<7<51``f5??3l=1vwl7<6iko964:k5:xe7g=83:1?7?nbdg9=1b12>:acd2><2c?2wpm?m50;296?7fjo81;=9j;|yb6f<72909650;095dda<3=;;i5r{`0b>5<72;0:mn>6:86gg>{|i;k1<7>52;3bg5`=1=o97pun2`83>5<528kh=9464d58y~g5i3:1<7<51`a2=??3mj1vwl7<6ij;n64:i1:xe7g=83:1>7?nc319=1`13tqj>l4?:181>4gd:0028km4}zc1g?6=8381=lm=a;;7bc=zsh8h6=4?:382ef4e20?;<6sta3a94?6=:3;jo?m595df?x}f:j0;6=4=:0c`6a<>ab1b><37:2wpm?o50;296?7fk=:158>7;|yb6f<72909650;095de3:33>5<72;0:mn:<:873e>{|i;i1<7>52;3bg12=1<:27pun2b83>5<528kh884651f8y~g5i3:1<7<51`a65??28l1vwl7<6ij?<64;>4:xe7e=83:1>7?nc4:9=07?3tqj>n4?:181>4gd=0029<94}zc1g?6=8381=lm:a;;653=zsh8h6=4?:382ef3e20?:96sta3a94?6=:3;jo8m5943:?x}f:h0;6=4=:0c`2=<>=8k0qvo=a;294?4=9hi=i77:219~d4d290;6?4>ab4e><35;2wpm?m50;296?7fk>:158<;;|yb6f<72909650;095de0:33>><5r{`0`>5<72;0:mn9<:8711>{|i;k1<7>52;3bg2`=1<8=7pun2`83>5<528kh484653a8y~g5k3:1<7<51`a;2??2:o1vwl7<6ij2<64;<0:xe7e=83:1>7?nc9:9=04b3tqj>n4?:181>4gd00029?j4}zc1g?6=8381=lm7a;;674=zsh8j6=4?:382ef?120?8>6sta3a94?6=:3;jo4959410?x}f:j0;6=4=:0c`==<>=:>0qvo=c;294?4=9hi2577:349~d4d290;6?4>ab;b><34>2wpm?m50;296?7fk0h158=8;|yb6d<72909650;095def033>?o5r{`0`>5<72;0:mno6:870g>{|i;i1<7>52;3bgdg=1<927pun2b83>5<528khmo4652c8y~g5k3:1<7<51`abg??2;m1vwl7<6ijh364;7?ncc;9=05a3tqj>n4?:181>4gdjh0299>4}zc1g?6=8381=lmmb;;604=zsh8h6=4?:382efdd20??>6sta3a94?6=:3;jooj59460?x}f:h0;6=4=:0c`g<<>==>0qvo=c;294?4=9hihm77:479~d4d290;6?4>abaa><3302wpm?m50;296?7fkji158::;|yb6f<72909650;095dedm33>845r{`0b>5<72;0:mnjn:877e>{|i;i1<7>52;3bgad=1<>i7pun2b83>5<528khhn4655g8y~g5k3:1<7<51`ag`??27<6ijnn64;;d:xe7e=83:1>7?nced9=02a3tqj>l4?:181>4gdmk0298>4}zc1g?6=8381=lmjc;;617=zsh8h6=4?:382efcc20?>86sta3a94?6=:3;johk59472?x}f:j0;6=4=:0c`ac<>=<90qvo=c;294?4=9him<77:549~d4f290;6?4>abd`><32>2wpm?o50;296?7fl98158;l;|yb6f<72909650;095db7<33>9i5r{`0`>5<72;0:mi>::876a>{|i;i1<7>52;3b`50=1<<;7pun2`83>5<528ko==465738y~g5k3:1<7<51`f25??2>;1vwl7<6im;964;93:xe7e=83:1>7?nd019=0033tqj>n4?:181>4gc9=029;;4}zc1g?6=8381=lj>5;;623=zsh8j6=4?:382ea4620?=;6sta3a94?6=:3;jh?<5944;?x}f:j0;6=4=:0cg66<>=?30qvo=c;294?4=9hn9877:6`9~d4d290;6?4>ae06><31j2wpm?m50;296?7fl;<1588l;|yb6d<72909650;095db4;33>:h5r{`0`>5<72;0:mi=;:875b>{|i;i1<7>52;3b`63=1<=;7pun2b83>5<528ko?;465638y~g5k3:1<7<51`f03??2?;1vwl7<6im>864;83:xe7e=83:1>7?nd569=0133tqj>n4?:181>4gc<<029:;4}zc1g?6=8381=lj;6;;633=zsh8h6=4?:382ea2020?<;6sta3a94?6=:3;jh965945;?x}f:h0;6=4=:0cg11<>=>30qvo=c;294?4=9hn>977:7`9~d4d290;6?4>ae75><30j2wpm?m50;296?7fl<=1589l;|yb6f<72909650;095db2133>;h5r{`0b>5<72;0:mi8::874b>{|i;i1<7>52;3b`30=1<2;7pun2b83>5<528ko::465938y~g5k3:1<7<51`f57<6im<264;73:xe7e=83:1>7?nd7c9=0>33tqj>l4?:181>4gc??0295;4}zc1e?6=8381=lj8b;;6=1n0qvo=c;294?4=9hnae:3><3?n2wpm?o50;296?7fl1i1587?;|yb6d<72909650;095db><33>555r{`0b>5<72;0:mi77:87:g>{|i;k1<7>52;3b`5<528kom=465`68y~g5i3:1<7<51`fb0??2i11vwl7<6imk364;nc:xe7g=83:1>7?nd`a9=0d73tqj>l4?:181>4gcj9029o:4}zc1e?6=8381=ljm4;;6f==zsh8j6=4?:382ead?20?io6sta3c94?6=:3;jhom594a3?x}f:j0;6=4=:0cgfa<>=j;0qvo=c;294?4=9hnii77:c39~d4d290;6?4>ae`e><3d;2wpm?m50;296?7flj:158m;;|yb6f<72909650;095dbdl33>o;5r{`0b>5<72;0:mij>:87`e>{|i;k1<7>52;3b`a3=15<528koh4465e08y~g5i3:1<7<51`fg`??2l?1vwl7<6imo:64;ka:xe7g=83:1>7?ndd79=0bb3tqj>l4?:181>4gcm0029h<4}zc1e?6=8381=ljjd;;6a3=zsh8j6=4?:382ea`620?nm6sta3c94?6=:3;jhk;594gf?x}f:j0;6=4=:0cgb3<>=ll0qvo=c;294?4=9hnm;77:f19~d4d290;6?4>aed;><3a92wpm?m50;296?7flo3158h=;|yb6f<72909650;095dc7>33>j95r{`0`>5<72;0:mh>8:87e1>{|i;i1<7>52;3ba5>=15<528kn<4465g58y~g5k3:1<7<51`g3e??2n11vwl7<6il:i64;i9:xe7g=83:1>7?ne059=0`f3tqj>n4?:181>4gb91029kl4}zc1g?6=8381=lk>9;;6bf=zsh8h6=4?:382e`7f20?mh6sta3a94?6=:3;ji=ol0qvo=a;294?4=9ho94779019~d4d290;6?4>ad0:><0792wpm?m50;296?7fm;k15;>=;|yb6f<72909650;095dc5k33=<95r{`0`>5<72;0:mh{|i;k1<7>52;3ba6?=1?:=7pun2b83>5<528kn?l466158y~g5k3:1<7<51`g0f??1811vwl7<6il9h648?9:xe7e=83:1>7?ne2f9=36f3tqj>n4?:181>4gb;l02:=l4}zc1e?6=8381=lk;a;;54f=zsh8h6=4?:382e`2e20<;h6sta3a94?6=:3;ji9m5972f?x}f:j0;6=4=:0cf0a<>>9l0qvo=c;294?4=9ho?i779119~d4d290;6?4>ad6e><0692wpm?o50;296?7fm50;095dc2l33==95r{`0`>5<72;0:mh;j:8421>{|i;i1<7>52;3ba0`=1?;=7pun2b83>5<528kn:=466058y~g5i3:1<7<51`g5g??1911vwl7<6il9:xe7e=83:1>7?ne7g9=37f3tqj>n4?:181>4gb>o02:>;80qvo=a;294?4=9ho39779279~d4f290;6?4>ad::><05i2wpm?o50;296?7fm1n15;50;095dc>=33=?;5r{`0b>5<72;0:mh76:840e>{|i;k1<7>52;3ba5<528knm<466508y~g5i3:1<7<51`gb1??17<6ilk2648;a:xe7g=83:1>7?ne`f9=32b3tqj>l4?:181>4gbj802:8<4}zc1e?6=8381=lkm5;;513=zsh8j6=4?:382e`d>20<>m6sta3c94?6=:3;jioj5977f?x}f:j0;6=4=:0cff`<>>?;0qvo=c;294?4=9hoij7795g9~d4d290;6?4>ada3><0182wpm?m50;296?7fmj;15;8=;|yb6d<72909650;095dcdk33=:;5r{`0`>5<72;0:mhmk:8450>{|i;i1<7>52;3bafc=1?<>7pun2b83>5<528knok466758y~g5k3:1<7<51`gg4??1>11vwl7<6ilnh64899:xe7e=83:1>7?neef9=30f3tqj>n4?:181>4gbll02:;l4}zc1g?6=8381=lkkf;;52f=zsh8h6=4?:382e`c720<=h6sta3c94?6=:3;jiho5974f?x}f:j0;6=4=:0cfag<>>?l0qvo=c;294?4=9hono779739~d4d290;6?4>adgg><0082wpm?m50;296?7fmlo15;9>;|yb6f<72909650;095dcaj33=;95r{`0`>5<72;0:mhhl:8441>{|i;i1<7>52;3bacb=1?=37pun2b83>5<528knjh466648y~g5k3:1<7<51`geb??1?>1vwl7<6io:;64889:xe7g=83:1>7?nf1a9=31f3tqj>l4?:181>4ga9902::k4}zc1e?6=8381=lh>4;;5<7=zsh8j6=4?:382ec7f20<346sta3a94?6=:3;jj>130qvo=c;294?4=9hl:h7798`9~d4d290;6?4>ag3f><0?k2wpm?o50;296?7fn;215;6k;|yb6f<72909650;095d`5i33=4k5r{`0`>5<72;0:mk{|i;i1<7>52;3bb7e=1?3:7pun2b83>5<528km>i466808y~g5i3:1<7<51`d0=??11:1vwl7<6io9o64867:xe7e=83:1>7?nf2g9=3?f3tqj>n4?:181>4ga;o02:464}zc1g?6=8381=lh;0;;5=<=zsh8h6=4?:382ec2620<2n6sta3c94?6=:3;jj9l597;`?x}f:j0;6=4=:0ce0f<>>0n0qvo=c;294?4=9hl?h7799d9~d4d290;6?4>ag6f><0>n2wpm?m50;296?7fn=l15;o?;|yb6f<72909650;095d`2k33=m?5r{`0`>5<72;0:mk;k:84b7>{|i;i1<7>52;3bb0c=1?k?7pun2b83>5<528km9k466`78y~g5k3:1<7<51`d54??1i?1vwl7<6io<:648n7:xe7g=83:1>7?nf7f9=3g?3tqj>n4?:181>4ga>l02:ll4}zc1g?6=8381=lh9f;;5e<=zsh8h6=4?:382ec1720>hn0qvo=c;294?4=9hlag5g><0fm2wpm?m50;296?7fn>o15;oi;|yb6f<72909650;095d`?133=n?5r{`0`>5<72;0:mk6n:84a1>{|i;i1<7>52;3bb=d=1?h87pun2b83>5<528km4n466c68y~g5k3:1<7<51`d;`??1j?1vwl7<6io3<648m7:xe7e=83:1>7?nf8:9=3df3tqj>n4?:181>4ga1002:ol4}zc1g?6=8381=lh6a;;5f==zsh8h6=4?:382ec?e20>kn0qvo=a;294?4=9hljh779c39~d4f290;6?4>ag`1><0d?2wpm?m50;296?7fnk915;mn;|yb6f<72909650;095d`e=33=o45r{`0`>5<72;0:mkl9:84`f>{|i;k1<7>52;3bbf6=1?ih7pun2`83>5<528kmo8466e38y~g5k3:1<7<51`d`2??1l<1vwl7<6ioi<648k2:xe7e=83:1>7?nfb:9=3b43tqj>n4?:181>4gak002:i:4}zc1g?6=8381=lhla;;5`3=zsh8j6=4?:382ecb120>l90qvo=c;294?4=9hln?779e59~d4d290;6?4>agg7><0b=2wpm?m50;296?7fnl?15;k9;|yb6f<72909650;095d`a833=i55r{`0b>5<72;0:mkh9:84fa>{|i;i1<7>52;3bbc1=1?om7pun2b83>5<528kmj5466g28y~g5k3:1<7<51`de=??1n81vwl7<6iolj648i2:xe7g=83:1>7?m0169=3`43tqj>l4?:181>4d78h02:k74}zc1g?6=8381=o>?b;;5bd=zsh8h6=4?:382f56d20>on0qvo=a;294?4=9k::4779fd9~d4f290;6?4>b13f><17<2wpm?o50;296?7e8;>15:>n;|yb6d<72909650;095g65j33<=?5r{`0`>5<72;0:n={|i;i1<7>52;3a47b=1>;:7pun2b83>5<528h;>h467068y~g5i3:1<7<51c207<6j99n649>b:xe7e=83:1>7?m02d9=27c3tqj>n4?:181>4d7<902;;1;;45f=zsh8h6=4?:382f52520=:j6sta3c94?6=:3;i<9m59603?x}f:h0;6=4=:0`317<>?;<0qvo=c;294?4=9k:>?778269~d4d290;6?4>b177><1512wpm?m50;296?7e850;095g61833<>o5r{`0b>5<72;0:n=89:8505>{|i;k1<7>52;3a43e=1>9<7pun2`83>5<528h;;?4672f8y~g5i3:1<7<51c247<6j9=n649;9:xe7e=83:1>7?m06d9=22d3tqj>n4?:181>4d70902;9j4}zc1g?6=8381=o>71;;40d=zsh8h6=4?:382f5>520=?n6sta3a94?6=:3;i<5=5966f?x}f:h0;6=4=:0`3?=l0qvo=c;294?4=9k:2<778529~d4d290;6?4>b1;2><12:2wpm?m50;296?7e80815:;?;|yb6f<72909650;095g6><33<995r{`0b>5<72;0:n=o?:8561>{|i;k1<7>52;3a4d0=1>?i7pun2`83>5<528h;mn467738y~g5i3:1<7<51c2a6??0>>1vwl7<6j9h36499d:xe7g=83:1>7?m0cg9=2143tqj>l4?:181>4d7k=02;:74}zc1e?6=8381=o>la;;43c=zsh8h6=4?:382f5ee20=3?6sta3a94?6=:3;i?1:0qvo=c;294?4=9k:hi778809~d4d290;6?4>b1ae><1?<2wpm?o50;296?7e8mh15:6:;|yb6f<72909650;095g6cl33<455r{`0`>5<72;0:n=jj:85;2>{|i;i1<7>52;3a4a`=1>2<7pun2b83>5<528h;i=4679c8y~g5i3:1<7<51c2fg??00k1vwl7<6j9oo6497f:xe7e=83:1>7?m0dg9=2>b3tqj>n4?:181>4d7mo02;5m4}zc1g?6=8381=o>i0;;4?0=0qvo=c;294?4=9k;;87789c9~d4d290;6?4>b026><1>i2wpm?m50;296?7e99<15:77;|yb6f<729096068:350;095g77033<5n5r{`0b>5<72;0:n{|i;i1<7>52;3a543=1>k:7pun2b83>5<528h:=;467`28y~g5k3:1<7<51c323??01l1vwl7<6j8;36496f:xe7e=83:1>7?m10;9=2g53tqj>l4?:181>4d6:<02;l=4}zc1g?6=8381=o?=6;;4e2=zsh8h6=4?:382f44020=j:6sta3a94?6=:3;i=?6596c7?x}f:j0;6=4=:0`26<<>?h?0qvo=c;294?4=9k;9m778a99~d4f290;6?4>b015><1f12wpm?m50;296?7e9:=15:ok;|yb6f<729096398:3de50;095g741335<72;0:n<=n:85bf>{|i;i1<7>52;3a56d=1>kn7pun2`83>5<528h:8:467`d8y~g5k3:1<7<51c377<6j8>2649m2:xe7e=83:1>7?m15c9=2d73tqj>n4?:181>4d6?k<0qvo=c;294?4=9k;>n778b69~d4d290;6?4>b07`><1e12wpm?o50;296?7e9?<15:ln;|yb6f<729096668:3gb50;095g710335<72;0:n<86:85ag>{|i;i1<7>52;3a53g=1>hn7pun2`83>5<528h:;9467cd8y~g5k3:1<7<51c341??0k;1vwl7<6j8==649l0:xe7e=83:1>7?m1659=2e63tqj>n4?:181>4d6?102;n=4}zc1e?6=8381=o?72;;4g1=zsh8h6=4?:382f4>420=h;6sta3a94?6=:3;i=5:596a6?x}f:j0;6=4=:0`2<0<>?j<0qvo=c;294?4=9k;3:778c99~d4f290;6?4>b0;3><1d12wpm?m50;296?7e90;15:ml;|yb6f<729096938:3fg50;095g7>;335<72;0:n<7;:85``>{|i;k1<7>52;3a5in7pun2b83>5<528h:5k467e38y~g5k3:1<7<51c3b4??0ko1vwl7<6j8k:649k0:xe7e=83:1>7?m1`09=2b53tqj>l4?:181>4d6ij02;i=4}zc1g?6=8381=o?nd;;4`3=zsh8h6=4?:382f4gb20=o86sta3a94?6=:3;i=lh596f6?x}f:j0;6=4=:0`2f5<>?m=0qvo=a;294?4=9k;im778d99~d4d290;6?4>b0`a><1cj2wpm?m50;296?7e9ki15:j6;|yb6f<729096be8:3ag50;095g7em335<72;0:n{|i;i1<7>52;3a5f?=1>o;7pun2b83>5<528h:ol467d38y~g5k3:1<7<51c3`f??0ll1vwl7<6j8ih649kf:xe7e=83:1>7?m1bf9=2c53tqj>l4?:181>4d6l002;h=4}zc1g?6=8381=o?ka;;4a3=zsh8h6=4?:382f4be20=n;6sta3a94?6=:3;i=im596g7?x}f:j0;6=4=:0`2`a<>?l?0qvo=c;294?4=9k;oi778e99~d4f290;6?4>b0gb><1b12wpm?m50;296?7e9lh15:kl;|yb6f<729096eb8:3`g50;095g7bl335<72;0:n{|i;k1<7>52;3a5c>=1>on7pun2b83>5<528h:j4467g38y~g5k3:1<7<51c3ee??0n;1vwl7<6j8li649jf:xe7e=83:1>7?m1ga9=2`73tqj>n4?:181>4d6nm02;k=4}zc1e?6=8381=o=l596d;?x}f:j0;6=4=:0`14f<>?o?0qvo=c;294?4=9k8;h778f79~d4d290;6?4>b32f><1a12wpm?o50;296?7e:8k15:hn;|yb6f<72909650;095g46k335<72;0:n??k:85eg>{|i;i1<7>52;3a64c=1>ln7pun2`83>5<528h9>5467gd8y~g5k3:1<7<51c01=???8;1vwl7<6j;8j646?3:xe7e=83:1>7?m23`9==673tqj>n4?:181>4d5:j024=?4}zc1g?6=8381=o<=d;;;41=zsh8j6=4?:382f75>202;96sta3a94?6=:3;i>>o59925?x}f:j0;6=4=:0`17g<>0920qvo=c;294?4=9k88o777069~d4d290;6?4>b31g><>712wpm?o50;296?7e:==155>n;|yb6d<72909650;095g42;333=;5r{`0`>5<72;0:n?;;:8:2e>{|i;i1<7>52;3a603=11;27pun2b83>5<528h99;468058y~g5k3:1<7<51c063???911vwl7<6j;?3646>b:xe7g=83:1>7?m2769==7d3tqj>n4?:181>4d5><024?>4}zc1g?6=8381=o<96;;;5c=zsh8h6=4?:382f700202:h6sta3a94?6=:3;i>;65993f?x}f:j0;6=4=:0`12<<>0;;0qvo=a;294?4=9k8<9777239~d4d290;6?4>b355><>5<2wpm?m50;296?7e:>=155<:;|yb6f<72909650;095g401333>;5r{`0`>5<72;0:n?9n:8:13>{|i;k1<7>52;3a6=0=11837pun2b83>5<528h94:4683`8y~g5k3:1<7<51c0;7<6j;22646=9:xe7e=83:1>7?m29c9==4d3tqj>n4?:181>4d50k024?j4}zc1e?6=8381=o<67;;;6`=zsh8h6=4?:382f7??2028<6sta3a94?6=:3;i>475990e?x}f:j0;6=4=:0`1=d<>0:;0qvo=c;294?4=9k82n777339~d4f290;6?4>b3c6><>4;2wpm?m50;296?7e:h<155=:;|yb6f<72909650;095g4f0333?95r{`0`>5<72;0:n?o6:8:03>{|i;i1<7>52;3a6dg=11937pun2`83>5<528h9n;4682;8y~g5k3:1<7<51c0a3???;k1vwl7<6j;h36467?m2c;9==5d3tqj>n4?:181>4d5jh024>j4}zc1e?6=8381=on859962?x}f:j0;6=4=:0`1g2<>0=:0qvo=c;294?4=9k8h4777439~d4f290;6?4>b3f1><>3;2wpm?m50;296?7e:m9155:;;|yb6f<72909650;095g4c=333885r{`0`>5<72;0:n?j9:8:73>{|i;k1<7>52;3a6`6=11>37pun2b83>5<528h9i<4685;8y~g5k3:1<7<51c0f6???7<6j;o8646;b:xe7e=83:1>7?m2d69==2d3tqj>n4?:181>4d5m<0249j4}zc1e?6=8381=o>6sta3a94?6=:3;i>k=5996e?x}f:j0;6=4=:0`1b1<>0<:0qvo=c;294?4=9k8m9777509~d4d290;6?4>b3d5><>2;2wpm?o50;296?7e;98155;;;|yb6f<72909650;095g57<333985r{`0`>5<72;0:n>>::8:62>{|i;i1<7>52;3a750=11?37pun2`83>5<528h8==4684;8y~g5k3:1<7<51c125???=h1vwl7<6j:;9646:d:xe7e=83:1>7?m3019==3e3tqj>n4?:181>4d49=0248m4}zc1g?6=8381=o=>5;;;1`=zsh8j6=4?:382f646202>j6sta3a94?6=:3;i??<59943?x}f:j0;6=4=:0`066<>0?;0qvo=c;294?4=9k998777639~d4d290;6?4>b206><>1;2wpm?m50;296?7e;;<1558;;|yb6d<72909650;095g540333:o5r{`0b>5<72;0:n>=j:8:45>{|i;k1<7>52;3a712=11=<7pun2`83>5<528h88l4686f8y~g5i3:1<7<51c164???0:1vwl6<6j:?=6467f;;;<<=zsh8h6=4?:382f6302023o6sta3a94?6=:3;i?86599:b?x}f:j0;6=4=:0`01a<>0090qvo=c;294?4=9k9>5777919~d4d290;6?4>b27b><>>92wpm?m50;296?7e;50;095g50=333595r{`0b>5<72;0:n>9n:8::=>{|i;k1<7>52;3a7=6=113m7pun2`83>5<528h848468`68y~g5i3:1<7<51c1;f???ih1vwl7<6j:3;646nf:xe7g=83:1>7?m3849==d23tqj>l4?:181>4d41k024oo4}zc1e?6=8381=o=n1;;;g5=zsh8j6=4?:382f6g1202h96sta3c94?6=:3;i?lm599aa?x}f:h0;6=4=:0`0f4<>0m:0qvo=a;294?4=9k9i;777d79~d4f290;6?4>b2``><>cj2wpm?o50;296?7e;j8155k>;|yb6d<72909650;095g5dl333in5r{`0b>5<72;0:n>j=:8:e5>{|i;k1<7>52;3a7a>=11l<7pun2`83>5<528h8hi468ga8y~g5i3:1<7<51c1f7??>8;1vwl7<6j:o3647?7:xe7g=83:1>7?m3dg9=<6c3tqj>l4?:181>4d4n:025<<4}zc1e?6=8381=o=i9;;:5==zsh8j6=4?:382f6`b203:h6sta3c94?6=:3;i8=:59800?x}f:h0;6=4=:0`74<<>1;20qvo=a;294?4=9k>;j7762d9~d4f290;6?4>b537>50;095g25=332895r{`0b>5<72;0:n9{|i;k1<7>52;3a066=10>m7pun2`83>5<528h??8469468y~g5i3:1<7<51c60f??>=h1vwl7<6j=>;647:f:xe7g=83:1>7?m4549=<023tqj>l4?:181>4d311:0qvo=a;294?4=9k>=;776879~d4f290;6?4>b54`>81547>;|yb6d<72909650;095g20l3325n5r{`0`>5<72;0:n99j:8;:`>{|i;i1<7>52;3a02`=103n7pun2b83>5<528h?4=4698d8y~g5k3:1<7<51c6;5??>i91vwl0<6j=2i647n1;a04415l7?;|yb6f<72909650;095g2>=332m;5r{`0`>5<72;0:n96k:8;b1>{|i;i1<7>52;3a0=c=10k87pun2b83>5<528h?4k469`68y~g5k3:1<7<51c6:4?e48?1vwl7<6j=3:6n=?7:xe7e=83:1>7?m4809=d?63tqj>n4?:181>4d31:02m4<4}zc1g?6=8381=o:64;;b=6=zsh8j6=4?:382f1d0203j;6sta3a94?6=:3;i8o6598c;?x}f:j0;6=4=:0`7f<<>1h30qvo=c;294?4=9k>im776a`9~d4d290;6?4>b5`a>50;095g2d?332mh5r{`0`>5<72;0:n9m7:8;bb>{|i;i1<7>52;3a0f?=10h;7pun2`83>5<528h?h>469c38y~g5k3:1<7<51c6g0??>j;1vwl7<6j=n>647m3:xe7e=83:1>7?m4e49=n4?:181>4d3l>025o;4}zc1e?6=8381=o:j1;;:f3=zsh8h6=4?:382f1c5203i;6sta3a94?6=:3;i8h=598`;?x}f:j0;6=4=:0`7a1<>1k30qvo=c;294?4=9k>n9776b`9~d4f290;6?4>b5ge>50;095g2a:332nh5r{`0`>5<72;0:n9h<:8;ab>{|i;k1<7>52;3a0cb=10i;7pun2b83>5<528h?jh469b38y~g5k3:1<7<51c6eb??>k=1vwl7<6j<:;647l2:xe7e=83:1>7?m5139=n4?:181>4d28;025n;4}zc1e?6=8381=o;?e;;:g3=zsh8h6=4?:382f06a203h56sta3a94?6=:3;i9<>598a4?x}f:j0;6=4=:0`654<>1j20qvo=c;294?4=9k?:>776c`9~d4f290;6?4>b43`>50;095g36n332oi5r{`0`>5<72;0:n8{|i;i1<7>52;3a177=10n;7pun2`83>5<528h>>i469e38y~g5k3:1<7<51c71a??>l=1vwl7<6j<8m647k2:xe7e=83:1>7?m5229=n4?:181>4d2;8025i;4}zc1e?6=8381=o;1mo0qvo=c;294?4=9k??8776dg9~d4d290;6?4>b466>;|yb6d<72909650;095g32;332i55r{`0`>5<72;0:n8;;:8;f=>{|i;i1<7>52;3a103=10oj7pun2b83>5<528h>9;469d`8y~g5k3:1<7<51c763??>mj1vwl7<6j<<8647i2:xe7e=83:1>7?m5769=<`43tqj>n4?:181>4d2><025k:4}zc1g?6=8381=o;96;;:b0=zsh8h6=4?:382f000203m:6sta3a94?6=:3;i9;6598d4?x}f:h0;6=4=:0`631<>1on0qvo=c;294?4=9k?<9776fd9~d4d290;6?4>b455>=15l>?;|yb6f<72909650;095g30133j5<72;0:n86::8c3<>{|i;i1<7>52;3a1=0=1h:27pun2b83>5<528h>4:46a1c8y~g5k3:1<7<51c7;7<6j<2264o?c:xe7e=83:1>7?m59c9=d6c3tqj>l4?:181>4d21?02m<=4}zc1g?6=8381=o;67;;b51=zsh8h6=4?:382f0??20k:96sta3a94?6=:3;i94759`35?x}f:j0;6=4=:0`6=d<>i8=0qvo=c;294?4=9k?2n77n199~d4f290;6?4>b4c4>50;095g3fi33j><5r{`0`>5<72;0:n8om:8c16>{|i;k1<7>52;3a1g3=1h887pun2b83>5<528h>n;46a368y~g5k3:1<7<51c7a3??f:?1vwl7<6j7?m5c;9=d403tqj>l4?:181>4d2k:02m?64}zc1g?6=8381=o;l4;;b6<=zsh8h6=4?:382f0e220k9n6sta3a94?6=:3;i9n859`0b?x}f:j0;6=4=:0`6g2<>i;i0qvo=a;294?4=9k?o=77n2e9~d4d290;6?4>b4f1>50;095g3c=33j?<5r{`0b>5<72;0:n8ji:8c06>{|i;i1<7>52;3a1`6=1h987pun2b83>5<528h>i<46a278y~g5k3:1<7<51c7f6??f;=1vwl7<6j7?m5df9=d503tqj>n4?:181>4d2ml02m>64}zc1g?6=8381=o;jf;;b7d=zsh8h6=4?:382f0`720k856sta3a94?6=:3;i9k?59`1a?x}f:h0;6=4=:0`6bg<>i:i0qvo=c;294?4=9k?mo77n3e9~d4d290;6?4>b4dg>50;095g07133j8<5r{`0`>5<72;0:n;>n:8c76>{|i;i1<7>52;3a25d=1h>>7pun2b83>5<528h=7<6j?:n64o;6:xe7g=83:1>7?m60c9=d203tqj>n4?:181>4d19k02m964}zc1g?6=8381=o8>c;;b0g=zsh8h6=4?:382f37c20k?56sta3a94?6=:3;i:i=i0qvo=a;294?4=9k<9n77n4e9~d4d290;6?4>b70`>;n15l;>;|yb6f<72909650;095g05n33j9=5r{`0`>5<72;0:n;=?:8c66>{|i;k1<7>52;3a26e=1h?87pun2b83>5<528h=?i46a468y~g5k3:1<7<51c40a??f=>1vwl7<6j?9m64o:5:xe7e=83:1>7?m6529=d313tqj>n4?:181>4d1<802m864}zc1e?6=83>1=o8;d;;b1<50;095g03n3i9j95r{`0`>5<72;0:n;;::8c6a>{|i;i1<7>52;3a206=1h?h7pun2b83>5<528h=9<46a4c8y~g5k3:1<7<51c466??f=k1vwl7<6j??86n7?m6469g7`23tqj>l4?:181>4d1>o02m8h4}zc1g?6=8381=o880;;b25=zsh8h6=4?:382f31620k==6sta3a94?6=:3;i::<59`41?x}f:j0;6=4=:0`536<>i?90qvo=a;294?5=9k<50;095g00m33j:;5r{`0`>5<72;0:n;9i:8c51>{|i;i1<7>52;3a2=6=1h<<7pun2b83>5<528h=4946a7g8y~g5k3:1<7<51c4;5??f>m1vwl7<6j?2964o9b:xe7e=83:1>7?m6919=d0d3tqj>l4?:180>4d11102m::59`4e?x}f:j0;6=4=:0`5=<<>i>;0qvo=c;294?4=9k<2m77n719~d4d290;6?4>b7;a>0l15l96;|yb6f<72909650;095g0>l33j;85r{`0`>5<72;0:n;7j:8c4<>{|i;k1<7>53;3a2g5=1h=m64o8a:xe7e=83:1>7?m6c69=d1d3tqj>n4?:181>4d1j<02m:l4}zc1g?6=8381=o8m6;;b3a=zsh8h6=4?:382f3df20k386sta3a94?6=:3;i:o959`:0?x}f:j0;6=4=:0`5f=<>i1;0qvo=c;294?4=9k4>b7af>5<72;0:n;mi:8c;3>{|i;i1<7>52;3a2a6=1h2=7pun2b83>5<528h=h<46a9:8y~g5k3:1<7<51c4g1??f0o1vwl7<6j?n964o7c:xe7e=83:1>7?m6e19=d>e3tqj>n4?:181>4d1l=02m5k4}zc1e?6=83?1=o8j9;;b=d<>i0?14?;j:906e>{|i;i1<7>52;3a2`g=0;<;7pun2b83>5<528h=io472738y~g5k3:1<7<51c4e0??f1o1vwl7<6j?oh64o6c:xe7e=83:1>7?m6df9=d?c3tqj>n4?:181>4d1ml02m484}zc1g?6=8381=o8jf;;b=2=zsh8h6=4?:382f3`720k246sta3a94?6=:3;i:k?5837e?x}f:j0;6=4=:0`5b7b63;>03tqj>n4?:181>4d09003>5j4}zc1g?6=8381=o9>a;:1<`=zsh8h6=4?:382f24420kjm6sta3a94?6=:3;i;ih20qvo=c;294?4=9k=:h77na09~d4d290;6?4>b63f>50;095g159329455r{`0`>5<72;0:n:<=:90;=>{|i;k1<7>55;3a311=1hh;64onb;:1eab66:>=4e82wpm?m50;296?7e?<815ll:;|yb6f<72909650;095g13j33jn>5r{`0`>5<72;0:n::l:8cbg>{|i;i1<7>52;3a31b=1hko7pun2b83>5<528h<8h46a`g8y~g5k3:1<7<51c57b?>5il1vwl7<6j>?;657?m7439<7ge3tqj>l4?:186>4d0??02mol59``5>=4dn329oo5r{`0`>5<72;0:n:98:90g5>{|i;i1<7>52;3a32>=0;n97pun2b83>5<528h<4<46ab28y~g5k3:1<7<51c54=??fjm1vwl7<6j>=j64ome:xe7e=83:1>7?m76`9=dd03tqj>n4?:181>4d0?j02mo64}zc1g?6=8381=o98d;;bf<=zsh8h6=4?:382f21b218o<6sta3a94?6=:3;i;:h583a`?x}f:j0;6=4=:0`4<57?m7`49<7`43tqj>n4?:181>4d0i>03>k:4}zc1g?6=8381=o9m0;;bgg=zsh8h6=4?:382f2g?20kh46sta3a94?6=:3;i;l759`a:?x}f:j0;6=4=:0`4ed<>ij80qvo=c;294?4=9k=jn77nc29~d4d290;6?4>b6c`>50;095g1fn329ik5r{`0b>5<72;0:n:j;:8c`g>{|i;k1<7>53;3a3ag=1hn<64ok2:xe7e=83:1>7?m7e`9=db43tqj>n4?:181>4d0lj02mi:4}zc1g?6=8381=o9kd;;b`0=zsh8h6=4?:382f2c620koo6sta3a94?6=:3;i;ik59`fb?x}f:j0;6=4=:0`4`c<>im20qvo=c;294?4=9k=n<77nd89~d4f290;6>4>b6d6>5<72;0:n:h9:8cga>{|i;i1<7>52;3a3c1=1hnm7pun2b83>5<528h1vwl7<6j>l264oj5:xe7e=83:1>7?m7gc9=dc43tqj>n4?:181>4d0nk02mh:4}zc1e?6=8381=o6>0;;ba==zsh8j6=4?:382f=7220knh6sta3c94?6=:3;i4io30qvo=a;294?4=9k29;77nfg9~d4d290;6?4>b90;><;|yb6f<72909650;095g>5j33i<<5r{`0`>5<72;0:n5{|i;k1<7>52;3a<6>=1k:>7pun2`83>5<528h3?h46b1`8y~g5k3:1<7<51c:0b??e8o1vwl7<6j1>;64l?c:xe7e=83:1>7?m8539=g6c3tqj>n4?:181>4d?<;02n=k4}zc1g?6=8381=o6;3;;a55=zsh8j6=4?:382f=2a20h:=6sta3a94?6=:3;i48>59c36?x}f:j0;6=4=:0`;14<>j880qvo=c;294?4=9k2>>77m129~d4d290;6?4>b970>15o?9;|yb6d<72909650;095g>1933i=o5r{`0`>5<72;0:n58=:8`2<>{|i;i1<7>52;3a<35=1k;27pun2b83>5<528h3:946b0c8y~g5k3:1<7<51c:51??e9j1vwl7<6j1=:64l>d:xe7e=83:1>7?m8609=g463tqj>n4?:181>4d??:02nj;90qvo=c;294?4=9k23?77m269~d4d290;6?4>b9:7>50;095g>??33i>55r{`0b>5<72;0:n57<:8`1=>{|i;i1<7>52;3a<<2=1k8o7pun2b83>5<528h35846b3c8y~g5k3:1<7<51c::2??e:k1vwl7<6j13<64l=c:xe7e=83:1>7?m88:9=g4b3tqj>l4?:181>4d?i=02n?h4}zc1g?6=8381=o6n5;;a76=zsh8h6=4?:382f=g120h8<6sta3a94?6=:3;i4l959c12?x}f:j0;6=4=:0`;e=<>j:80qvo=c;294?4=9k2j577m359~d4f290;6?4>b9`6>50;095g>e033i?:5r{`0`>5<72;0:n5l6:8`0<>{|i;i1<7>52;3a5<528h3o;46b2`8y~g5k3:1<7<51c:`3??e;o1vwl7<6j1i364l7?m8b;9=g5c3tqj>n4?:181>4d?kh02n>k4}zc1g?6=8381=o6lb;;a05=zsh8j6=4?:382f=b020h?=6sta3a94?6=:3;i4i659c66?x}f:j0;6=4=:0`;`<<>j=80qvo=c;294?4=9k2om77m429~d4d290;6?4>b9fa>50;095g>b133i8o5r{`0`>5<72;0:n5kn:8`7<>{|i;i1<7>52;3a<`d=1k>27pun2b83>5<528h3in46b5c8y~g5k3:1<7<51c:f`??e7<6j1l264l;d:xe7e=83:1>7?m8gc9=g363tqj>n4?:181>4d?nk02n9k4}zc1g?6=8381=o6ic;;a0c=zsh8h6=4?:382f=`c20h><6sta3a94?6=:3;i4kk59c71?x}f:h0;6=4=:0`:4d<>j<90qvo=c;294?4=9k3;n77m569~d4d290;6?4>b82`>50;095g?7n33i955r{`0b>5<72;0:n4?m:8`6=>{|i;i1<7>52;3a=4e=1k?o7pun2b83>5<528h2=i46b4c8y~g5k3:1<7<51c;2a??e=k1vwl7<6j0;m64l:c:xe7e=83:1>7?m9329=g3b3tqj>l4?:181>4d>:j02n8h4}zc1g?6=8381=o7=d;;a26=zsh8h6=4?:382f<4b20h=<6sta3a94?6=:3;i5?h59c42?x}f:j0;6=4=:0`:75<>j?80qvo=c;294?4=9k38=77m659~d4f290;6?4>b81g>50;095g?3833i::5r{`0`>5<72;0:n4:>:8`5<>{|i;i1<7>52;3a=14=1k5<528h28h46b7`8y~g5k3:1<7<51c;7b??e>o1vwl7<6j0?;64l9c:xe7e=83:1>7?m9439=g0c3tqj>n4?:181>4d>=;02n;k4}zc1g?6=8381=o7:3;;a35=zsh8j6=4?:382f<3a20h<=6sta3a94?6=:3;i5;>59c56?x}f:j0;6=4=:0`:24<>j>80qvo=c;294?4=9k3=>77m729~d4d290;6?4>b840>15o99;|yb6d<72909650;095g?0933i;o5r{`0`>5<72;0:n49=:8`4<>{|i;i1<7>52;3a=25=1k=27pun2b83>5<528h2;946b6c8y~g5k3:1<7<51c;41??e?j1vwl7<6j02:64l8d:xe7e=83:1>7?m9909=g>63tqj>n4?:181>4d>0:02n:k4}zc1g?6=8381=o774;;a3c=zsh8h6=4?:382f<>220h3<6sta3a94?6=:3;i55859c:1?x}f:h0;6=4=:0`:=7<>j190qvo=c;294?4=9k32?77m869~d4d290;6?4>b8;7>50;095g?>?33i455r{`0b>5<72;0:n4o<:8`;=>{|i;i1<7>52;3a=d2=1k2o7pun2b83>5<528h2m846b9c8y~g5k3:1<7<51c;b2??e0k1vwl7<6j0k<64l7c:xe7e=83:1>7?m9`:9=g>b3tqj>l4?:181>4d>j=02n5h4}zc1g?6=8381=o7m5;;a=6=zsh8h6=4?:382fj080qvo=c;294?4=9k3i577m959~d4f290;6?4>b8a6>=2wpm?m50;296?7e1j<15o78;|yb6f<72909650;095g?d033i5;5r{`0`>5<72;0:n4m6:8`:<>{|i;i1<7>52;3a=fg=1k3j7pun2`83>5<528h2h;46b8`8y~g5k3:1<7<51c;g3??e1m1vwl7<6j0n364l6f:xe7e=83:1>7?m9e;9=g?d3tqj>n4?:181>4d>lh02n4k4}zc1g?6=8381=o7kb;;ae5=zsh8j6=4?:382fjh>0qvo=c;294?4=9k3nm77ma39~d4d290;6?4>b8ga>50;095g?a133imo5r{`0`>5<72;0:n4hn:8`be>{|i;i1<7>52;3a=cd=1kk37pun2b83>5<528h2jn46b`;8y~g5k3:1<7<51c;e`??eij1vwl7<6jh:264lnd:xe7e=83:1>7?ma1c9=gd63tqj>n4?:181>4df8k02no>4}zc1g?6=8381=oo?c;;ae`=zsh8h6=4?:382fd6c20hjj6sta3a94?6=:3;im=k59c`1?x}f:h0;6=4=:0`b5d<>jk90qvo=c;294?4=9kk:n77mb69~d4d290;6?4>b`3`>2wpm?m50;296?7ei8n15ol;;|yb6f<72909650;095gg6n33in55r{`0b>5<72;0:nl{|i;i1<7>52;3ae7e=1kho7pun2b83>5<528hj>i46bca8y~g5k3:1<7<51cc1a??ejh1vwl7<6jh8m64lmb:xe7e=83:1>7?ma229=gdb3tqj>l4?:181>4df;j02noh4}zc1g?6=8381=oo6sta3a94?6=:3;im>h59ca3?x}f:j0;6=4=:0`b05<>jj;0qvo=c;294?4=9kk?=77mc59~d4f290;6?4>b`6g>50;095gg2833io;5r{`0`>5<72;0:nl;>:8``3>{|i;i1<7>52;3ae04=1kij7pun2`83>5<528hj9h46bb`8y~g5k3:1<7<51cc6b??eko1vwl7<6jh<;64lle:xe7e=83:1>7?ma739=ged3tqj>n4?:181>4df>;02nnj4}zc1g?6=8381=oo93;;a`5=zsh8j6=4?:382fd0a20ho=6sta3a94?6=:3;im:>59cf6?x}f:j0;6=4=:0`b34<>jm>0qvo=c;294?4=9kk<>77md39~d4d290;6?4>b`50>>15oj9;|yb6d<72909650;095gg?933ihl5r{`0`>5<72;0:nl6=:8`gf>{|i;i1<7>52;3ae=5=1kn37pun2b83>5<528hj4946be;8y~g5k3:1<7<51cc;1??elj1vwl7<6jh3:64lkd:xe7e=83:1>7?ma809=gc73tqj>n4?:181>4df1:02nih4}zc1g?6=8381=oo64;;a``=zsh8h6=4?:382fd?220hn=6sta3a94?6=:3;im4859cg1?x}f:h0;6=4=:0`be7<>jl90qvo=c;294?4=9kkj?77me79~d4d290;6?4>b`c7>50;095gge833ii55r{`0b>5<72;0:nll9:8`fa>{|i;k1<7>52;3aege=1kl?7pun2b83>5<528hjni46bg58y~g5k3:1<7<51ccaa??en<1vwl7<6jhhm64li6:xe7e=83:1>7?mab29=g`?3tqj>l4?:181>4dfkh02nk74}zc1e?6=8381=ook0;;abc=zsh8j6=4?:382fdb120i;96sta3a94?6=:3;imi959b25?x}f:j0;6=4=:0`b`=<>k9=0qvo=c;294?4=9kko577l099~d4d290;6?4>b`fb>n;|yb6d<72909650;095ggbl33h=<5r{`0`>5<72;0:nlkj:8a26>{|i;i1<7>52;3ae``=1j;>7pun2b83>5<528hjj=46c018y~g5k3:1<7<51cce5??d9=1vwl7<6jhl964m>6:xe7g=83:1>7?magg9=f703tqj>n4?:181>4dfno02o<64}zc1g?6=8381=ol?0;;`5g=zsh8h6=4?:382fg6620i:56sta3a94?6=:3;in=<59b3b?x}f:j0;6=4=:0`a46<>k8i0qvo=a;294?4=9kh;j77l1e9~d4d290;6?4>bc33>;|yb6f<72909650;095gd6;33h>=5r{`0`>5<72;0:no?;:8a16>{|i;k1<7>52;3af76=1j887pun2b83>5<528hi><46c378y~g5k3:1<7<51c`16??d:=1vwl7<6jk8864m=6:xe7e=83:1>7?mb369=f403tqj>l4?:181>4de:l02o?64}zc1g?6=8381=ol=f;;`6d=zsh8h6=4?:382fg5720i956sta3a94?6=:3;in>?59b0a?x}f:j0;6=4=:0`a77<>k;i0qvo=a;294?4=9kh8o77l2e9~d4f290;6?4>bc61>50;095gd3=33h?;5r{`0`>5<72;0:no:9:8a03>{|i;i1<7>52;3af11=1j937pun2`83>5<528hi9>46c2;8y~g5i3:1<7<51c`6=??d;o1vwl7<6jk?j64m;3:xe7e=83:1>7?mb4`9=f253tqj>n4?:181>4de=j02o9>4}zc1g?6=8381=ol:d;;`04=zsh8h6=4?:382fg3b20i?86sta3c94?6=:3;in;o59b66?x}f:j0;6=4=:0`a2g<>k=30qvo=c;294?4=9kh=o77l479~d4d290;6?4>bc4g>50;095gd0j33h8o5r{`0`>5<72;0:no9l:8a7b>{|i;i1<7>52;3af2b=1j>n7pun2b83>5<528hi;h46c5a8y~g5k3:1<7<51c`4b??d7<6jk2;64m:0:xe7g=83:1>7?mb9a9=f363tqj>n4?:181>4de0m02o8;4}zc1g?6=8381=ol7e;;`17=zsh8h6=4?:382fg>a20i>86sta3a94?6=:3;in4>59b70?x}f:j0;6=4=:0`a=4<>k<<0qvo=a;294?4=9kh2h77l569~d4d290;6?4>bc;f>50;095gdf933h945r{`0`>5<72;0:noo=:8a6g>{|i;k1<7>52;3afdc=1j?o7pun2b83>5<528himk46c738y~g5k3:1<7<51c`a4??d=l1vwl7<6jkh:64m90:xe7e=83:1>7?mbc09=f3a3tqj>n4?:181>4dej:02o;<4}zc1e?6=8381=olmf;;`26=zsh8h6=4?:382fge720i=86sta3a94?6=:3;inn?59b46?x}f:j0;6=4=:0`ag7<>k?<0qvo=c;294?4=9khh?77l669~d4d290;6?4>bca7>50;095gdc:33h:o5r{`0`>5<72;0:noj<:8a5g>{|i;i1<7>52;3afa2=1j5<528hih846c7g8y~g5i3:1<7<51c`f5??d>o1vwl7<6jko964m80:xe7e=83:1>7?mbd19=f163tqj>n4?:181>4dem=02o:<4}zc1g?6=8381=olj5;;`36=zsh8h6=4?:382fgc120i<86sta3c94?6=:3;ink<59b56?x}f:j0;6=4=:0`ab6<>k><0qvo=c;294?4=9khm877l769~d4d290;6?4>bcd6>50;095ge7;33h;o5r{`0`>5<72;0:nn>;:8a4g>{|i;i1<7>52;3ag53=1j=o7pun2b83>5<528hh<;46c6g8y~g5k3:1<7<51ca33??d?o1vwl7<6jj:364m70:xe7g=83:1>7?mc069=f>63tqj>n4?:181>4dd9<02o5<4}zc1g?6=8381=om>6;;`<6=zsh8h6=4?:382ff7020i386sta3a94?6=:3;io<659b:6?x}f:j0;6=4=:0``5<<>k1<0qvo=a;294?4=9ki9977l869~d4d290;6?4>bb05>50;095ge5133h4o5r{`0`>5<72;0:nn{|i;k1<7>52;3ag60=1j2o7pun2b83>5<528hh?:46c9g8y~g5k3:1<7<51ca07<6jj9264m60:xe7e=83:1>7?mc2c9=f?63tqj>n4?:181>4dd;k02o4<4}zc1e?6=8381=om;7;;`=6=zsh8h6=4?:382ff2?20i286sta3a94?6=:3;io9759b;6?x}f:j0;6=4=:0``0d<>k0<0qvo=c;294?4=9ki?n77l969~d4d290;6?4>bb6`>02wpm?o50;296?7ek<215n76;|yb6f<72909650;095ge2i33h5o5r{`0`>5<72;0:nn;m:8a:g>{|i;i1<7>52;3ag0e=1j3o7pun2b83>5<528hh9i46c8g8y~g5i3:1<7<51ca5=??d1o1vwl7<6jj7?mc7`9=fg73tqj>n4?:181>4dd>j02ol<4}zc1g?6=8381=om9d;;`e6=zsh8h6=4?:382ff0b20ij86sta3c94?6=:3;io:o59bc6?x}f:j0;6=4=:0``3g<>kh=0qvo=c;294?4=9kibb5g>o15no6;|yb6f<72909650;095ge?j33hmo5r{`0`>5<72;0:nn6l:8abg>{|i;i1<7>52;3ag=b=1jkm7pun2b83>5<528hh4h46c`f8y~g5k3:1<7<51ca;b??dil1vwl7<6jj3;64mm0:xe7g=83:1>7?mc8a9=fd63tqj>n4?:181>4dd1m02oo;4}zc1g?6=8381=om6e;;`f6=zsh8h6=4?:382ff?a20ii>6sta3a94?6=:3;iol>59b`7?x}f:j0;6=4=:0``e4<>kk<0qvo=a;294?4=9kijh77lb69~d4f290;6?4>bb`0>50;095ged=33hok5r{`0`>5<72;0:nnm9:8ag7>{|i;i1<7>52;3agf1=1jn:7pun2b83>5<528hho546ce28y~g5k3:1<7<51ca`=??dl;1vwl7<6jjij64mk4:xe7g=83:1>7?mce49=fb23tqj>n4?:181>4ddl>02oi74}zc1g?6=8381=omk8;;``==zsh8h6=4?:382ffb>20io:6sta3a94?6=:3;ioio59bf4?x}f:j0;6=4=:0```g<>kmk0qvo=a;294?4=9kin;77ldc9~d4d290;6?4>bbg;>50;095gebj33hhh5r{`0`>5<72;0:nnkl:8af4>{|i;k1<7>52;3agc>=1jo:7pun2b83>5<528hhj446cd78y~g5k3:1<7<51caee??dm=1vwl7<6jjli64mj2:xe7e=83:1>7?mcga9=fc43tqj>n4?:181>4ddnm02oh84}zc1e?6=8381=oj?9;;`a2=zsh8h6=4?:382fa6f20inn6sta3a94?6=:3;ih=l59bgb?x}f:j0;6=4=:0`g4f<>kl20qvo=c;294?4=9kn;h77le89~d4d290;6?4>be2f>50;095gb6k33hik5r{`0`>5<72;0:ni?k:8afa>{|i;i1<7>52;3a`4c=1jl;7pun2b83>5<528ho=k46cg08y~g5i3:1<7<51cf1f??dn:1vwl7<6jm8h64mi7:xe7e=83:1>7?md3f9=f`13tqj>n4?:181>4dc:l02ok:4}zc1g?6=8381=oj=f;;`b0=zsh8h6=4?:382fa5720im46sta3c94?6=:3;ih>m59bd:?x}f:j0;6=4=:0`g7a<>kon0qvo=c;294?4=9kn8i77lfc9~d4d290;6?4>be1e>50;095gb3l33hjk5r{`0`>5<72;0:ni:j:8f37>{|i;i1<7>52;3a`1`=1m:97pun2b83>5<528ho9=46d128y~g5k3:1<7<51cf65??c881vwl7<6jm?964j?4:xe7g=83:1>7?md4g9=a623tqj>n4?:181>4dc=o02h=74}zc1g?6=8381=oj90;;g42=zsh8h6=4?:382fa0620n;:6sta3a94?6=:3;ih;<59e2;?x}f:j0;6=4=:0`g26<>l9k0qvo=a;294?4=9kn=j77k0c9~d4d290;6?4>be53>;15i>j;|yb6f<72909650;095gb0;33o5<72;0:ni9;:8f24>{|i;k1<7>52;3a`=6=1m;:7pun2b83>5<528ho4<46d078y~g5k3:1<7<51cf;6??c9:1vwl7<6jm2864j>2:xe7e=83:1>7?md969=a733tqj>n4?:181>4dc0<02h<84}zc1e?6=8381=oj61;;g52=zsh8h6=4?:382fa?520n:n6sta3a94?6=:3;ih4=59e3b?x}f:j0;6=4=:0`g=1<>l820qvo=c;294?4=9kn2977k189~d4d290;6?4>be;5>50;095gbf<33o=k5r{`0`>5<72;0:nio::8f2a>{|i;i1<7>52;3a`d0=1m8;7pun2b83>5<528hom:46d308y~g5i3:1<7<51cfa7??c::1vwl7<6jmh?64j=7:xe7e=83:1>7?mdc79=a413tqj>n4?:181>4dcj?02h?:4}zc1g?6=8381=ojm7;;g60=zsh8h6=4?:382fad?20n946sta3c94?6=:3;ihn:59e0:?x}f:j0;6=4=:0`gg0<>l;n0qvo=c;294?4=9knh:77k2c9~d4d290;6?4>bea4>50;095gbc=33o>k5r{`0`>5<72;0:nij9:8f07>{|i;i1<7>52;3a`a1=1m997pun2b83>5<528hoh546d228y~g5k3:1<7<51cfg=??c;81vwl7<6jmnj64j<4:xe7g=83:1>7?mdd49=a523tqj>l4?:181>4dcmj02h>l4}zc1e?6=8381=oji2;;g04=zsh8h6=4?:382fa`420n?>6sta3a94?6=:3;ihk:59e66?x}f:j0;6=4=:0`gb0<>l=90qvo=c;294?4=9knm:77k459~d4d290;6?4>bed4>2wpm?o50;296?7em9915i:8;|yb6d<72909650;095gc7k33o9=5r{`0b>5<72;0:nh?=:8f62>{|i;k1<7>52;3aa41=1m?i7pun2`83>5<528hn=i46d738y~g5i3:1<7<51cg17??c>>1vwl7<6jl8364j9c:xe7g=83:1>7?me3f9=a163tqj>l4?:181>4db;;02h:84}zc1e?6=8381=ok<7;;g3g=zsh8j6=4?:382f`5d20n3<6sta3c94?6=:3;ii9?59e:6?x}f:h0;6=4=:0`f03<>l1k0qvo=a;294?4=9ko?n77k8g9~d4f290;6?4>bd73><2wpm?o50;296?7em50;095gc2n33om>5r{`0b>5<72;0:nh8;:8fb<>{|i;k1<7>52;3aa3g=1mkn7pun2`83>5<528hn:k46dc18y~g5i3:1<7<51cg40??cj11vwl7<6jl=j64jme:xe7e=83:1>7?me6`9=ae63tqj>n4?:181>4db?j02hoh4}zc1g?6=8381=ok8d;;gg5=zsh8h6=4?:382f`1b20nh>6sta3c94?6=:3;ii5659ea0?x}f:h0;6=4=:0`flj20qvo=a;294?4=9ko2?77kcd9~d4f290;6?4>bd;:>50;095gcf833ohl5r{`0`>5<72;0:nho>:8fgf>{|i;i1<7>52;3aad4=1mno7pun2`83>5<528hnmn46deg8y~g5i3:1<7<51cga6??cm=1vwl7<6jlh<64jj9:xe7g=83:1>7?mecf9=aca3tqj>l4?:181>4dbk:02hk;4}zc1e?6=8381=okl8;;gbd=zsh8j6=4?:382f`eb20o;<6sta3c94?6=:3;iii:59d25?x}f:h0;6=4=:0`f`<<>m9h0qvo=a;294?4=9kooj77j109~d4f290;6?4>bdg6>50;095gcbk33n=i5r{`0`>5<72;0:nhkk:8g2a>{|i;i1<7>52;3aa`c=1l8;7pun2`83>5<528hnj546e338y~g5i3:1<7<51cge`??b:?1vwl7<6jo:964k=b:xe7g=83:1>7?mf1:9=`563tqj>l4?:181>4da8l02i>94}zc1e?6=8381=oh>3;;f7f=zsh8j6=4?:382fc7>20o?>6sta3a94?6=:3;ijm=90qvo=c;294?4=9kl:o77j459~d4d290;6?4>bg3g>2wpm?o50;296?7en;=15h:8;|yb6d<72909650;095g`5l33n8i5r{`0`>5<72;0:nk{|i;i1<7>52;3ab7`=1l>n7pun2b83>5<528hm?=46e5d8y~g5k3:1<7<51cd05??b=81vwl7<6jo9o64k:7:xe7g=83:1>7?mf509=`3d3tqj>n4?:181>4da<:02i8h4}zc1g?6=8381=oh;4;;f1a=zsh8h6=4?:382fc2220o>i6sta3a94?6=:3;ij9859d43?x}f:h0;6=4=:0`e15<>m?;0qvo=c;294?4=9kl>=77j639~d4d290;6?4>bg71>50;095g`2=33n:;5r{`0b>5<72;0:nk8>:8g53>{|i;k1<7>52;3ab30=1l5<528hm::46e7f8y~g5k3:1<7<51cd57<6jo<264k9e:xe7e=83:1>7?mf7c9=`0a3tqj>n4?:181>4da>k02i:?4}zc1e?6=8381=oh87;;f37=zsh8j6=4?:382fc1c20o<46sta3c94?6=:3;ij5<59d5g?x}f:j0;6=4=:0`e<6<>m>o0qvo=c;294?4=9kl3877j809~d4d290;6?4>bg:6>50;095g`>;33n4>5r{`0b>5<72;0:nk76:8g;=>{|i;k1<7>52;3ab5<528hmm946e868y~g5k3:1<7<51cdb1??b111vwl7<6jok=64k67:xe7e=83:1>7?mf`59=`?23tqj>n4?:181>4dai102i484}zc1g?6=8381=ohn9;;f=<=zsh8j6=4?:382fcd220o2m6sta3c94?6=:3;ijoo59d;e?x}f:h0;6=4=:0`efc<>mh>0qvo=a;294?4=9klh977ja`9~d4f290;6?4>bgab>50;095g`ci33nnk5r{`0b>5<72;0:nkji:8g`0>{|i;k1<7>52;3ab`3=1lij7pun2`83>5<528hmil46ebd8y~g5i3:1<7<51cdfb??bl=1vwl7<6jol>64kka:xe7g=83:1>7?mfgc9=`ba3tqj>l4?:181>4dano02ih:4}zc1e?6=8381=n>?5;;fad=zsh8j6=4?:382g56f20onj6sta3c94?6=:3;h<=h59dd7?x}f:h0;6=4=:0a350<>mok0qvo=a;294?4=9j::m77jfg9~d4f290;6?4>c13e><`7<2wpm?o50;296?7d8;?15k>n;|yb6d<72909650;095f65n33m=95r{`0b>5<72;0:o==::8d2e>{|i;k1<7>52;3`46g=1o;m7pun2`83>5<528i;?k46f368y~g5k3:1<7<51b274??a:11vwl7<6k9>:64h=7:xe7e=83:1>7?l0509=c423tqj>n4?:181>4e7<:02j?84}zc1g?6=8381=n>;4;;e6<=zsh8j6=4?:382g53720l9m6sta3c94?6=:3;h<8;59g0e?x}f:h0;6=4=:0a31d<>n:>0qvo=c;294?4=9j:>n77i399~d4d290;6?4>c17`><`4?2wpm?m50;296?7d850;095f62n33m?45r{`0b>5<72;0:o=8m:8d0e>{|i;k1<7>52;3`426=1o9m7pun2`83>5<528i;;;46f578y~g5k3:1<7<51b243??a<11vwl7<6k9=364h;9:xe7e=83:1>7?l06;9=c213tqj>n4?:181>4e7?h02j994}zc1g?6=8381=n>8b;;e0d=zsh8j6=4?:382g5>020l?n6sta3c94?6=:3;h<5m59g73?x}f:j0;6=4=:0a3n<90qvo=c;294?4=9j:3i77i509~d4d290;6?4>c1:e><`2:2wpm?m50;296?7d80:15k;;;|yb6d<72909650;095f6f833m9o5r{`0b>5<72;0:o=o::8d54>{|i;i1<7>52;3`4d0=1o5<528i;m:46f718y~g5k3:1<7<51b2b81vwl7<6k9k264h92:xe7e=83:1>7?l0`c9=c023tqj>l4?:181>4e7j?02j;84}zc1g?6=8381=n>m7;;e22=zsh8h6=4?:382g5d?20l=46sta3a94?6=:3;hn?k0qvo=a;294?4=9j:h877i6c9~d4f290;6?4>c1a:><`082wpm?o50;296?7d8jl15k99;|yb6d<72909650;095f6ci33m4<5r{`0b>5<72;0:o=k?:8d;3>{|i;k1<7>52;3`4`0=1o2o7pun2`83>5<528i;io46f808y~g5i3:1<7<51b2e5??a111vwl7<6k9l<64h6e:xe7g=83:1>7?l0ga9=cg43tqj>l4?:181>4e68;02jl74}zc1e?6=8381=n??8;;eec=zsh8j6=4?:382g46c20li86sta3c94?6=:3;h=<=59g`b?x}f:h0;6=4=:0a25<<>nj:0qvo=a;294?4=9j;:i77ic49~d4f290;6?4>c007><`dj2wpm?o50;296?7d9;k15kj>;|yb6d<7290962g8:ba050;095f74833mh45r{`0`>5<72;0:o<=>:8dg<>{|i;i1<7>52;3`564=1on<7pun2b83>5<528i:?>46fec8y~g5i3:1<7<51b30`??alk1vwl7<6k8>864hj1:xe7g=83:1>7?l15:9=cc13tqj>n4?:181>4e6<002jh74}zc1g?6=8381=n?;a;;ea==zsh8h6=4?:382g42e20ln;6sta3a94?6=:3;h=9m59ggb?x}f:h0;6=4=:0a213<>nlh0qvo=a;294?4=9j;>o77if09~d4f290;6?4>c042><`a>2wpm?m50;296?7d9?815kh6;|yb6f<729096628:bc>50;095f71<33mj:5r{`0`>5<72;0:o<8::8dee>{|i;k1<7>52;3`53`=1oli7pun2`83>5<528i:;84n0138y~g5i3:1<7<51b34e?g78?1vwl7<6k8=i6l>?9:xe7e=83:1>7?l16a9e56?3tqj>n4?:181>4e6?m0j<=94}zc1g?6=8381=n?8e;c34d=zsh8j6=4?:382g4>?2h:;n6sta3c94?6=:3;h=5k5a132?x}f:h0;6=4=:0a2=6c0;6>d66?2wpm?m50;296?7d90<1m=?7;|yb6f<729096968b44g50;095f7f93k;=o5r{`0b>5<72;0:o{|i;k1<7>52;3`5de=i98=7pun2b83>5<528i:mi4n03;8y~g5k3:1<7<51b3ba?g7:>1vwl7<6k8km6l>=8:xe7e=83:1>7?l1c29e54f3tqj>l4?:181>4e6jh0jc0a:>d64i2wpm?o50;296?7d9m91m==m;|yb6d<729096d88b41750;095f7cm3k;8;5r{`0`>5<72;0:o{|i;i1<7>52;3`5`6=i9><7pun2b83>5<528i:i<4n05:8y~g5k3:1<7<51b3f6?g77<6k8oh6l>;b:xe7g=83:1>7?l1g09e5363tqj>l4?:181>4e6n>0j<884}zc1g?6=8381=n?i8;c31<=zsh8h6=4?:382g4`>2h:>;6sta3a94?6=:3;h=ko5a17;?x}f:j0;6=4=:0a2bgc32a>d6192wpm?o50;296?7d:8:1m=89;|yb6f<72909650;095f46:3k;::5r{`0`>5<72;0:o??<:`25<>{|i;i1<7>52;3`642=i95<528i9=h4n07`8y~g5i3:1<7<51b010?g7?81vwl7<6k;826l>86:xe7e=83:1>7?l23c9e51>3tqj>n4?:181>4e5:k0j<:94}zc1g?6=8381=n<=c;c33==zsh8h6=4?:382g74c2h:>95a15a?x}f:h0;6=4=:0a17a7o?879~d4d290;6?4>c360>d6?12wpm?m50;296?7d:=>1m=68;|yb6f<72909650;095f43>3k;4l5r{`0b>5<72;0:o?;?:`2;f>{|i;k1<7>52;3`600=i93:7pun2`83>5<528i99o4n0848y~g5k3:1<7<51b06g?g71>1vwl7<6k;?o6l>6a:xe7e=83:1>7?l24g9e5??3tqj>n4?:181>4e5=o0j<474}zc1g?6=8381=n<90;c3=g=zsh8j6=4?:382g70d2h:2o6sta3c94?6=:3;h>:?5a1c2?x}f:h0;6=4=:0a133c3:2>d6ej2wpm?o50;296?7d:1=1m=m>;|yb6d<72909650;095f4>:3k;h<5r{`0b>5<72;0:o?78:`2gf>{|i;k1<7>52;3`65<528i9m?4n0d`8y~g5i3:1<7<51b0b7<6k;ko6l>ib:xe7g=83:1>7?l2c19e4663tqj>l4?:181>4e5j10j==l4}zc1e?6=8381=nn75a002?x}f:h0;6=4=:0a1g`289~d4d290;6?4>c3f3>d75?2wpm?m50;296?7d:m;1m<<7;|yb6f<72909650;095f4ck3k:>o5r{`0b>5<72;0:o?k=:`305>{|i;k1<7>52;3`6`1=i89=7pun2b83>5<528i9i54n12;8y~g5k3:1<7<51b0f=?g6;>1vwl7<6k;oj6l?<8:xe7e=83:1>7?l2d`9e45f3tqj>l4?:181>4e5n<0j=>l4}zc1e?6=8381=n499~d4d290;6?4>c227>d73i2wpm?o50;296?7d;9o1m<:m;|yb6d<72909650;095f5613k:9;5r{`0`>5<72;0:o>?n:`36=>{|i;i1<7>52;3`74d=i8?<7pun2b83>5<528i8=n4n14:8y~g5k3:1<7<51b12`?g6=h1vwl7<6k:8<6l?:b:xe7g=83:1>7?l33f9e4063tqj>l4?:181>4e4;;0j=;84}zc1g?6=8381=n=<3;c22<=zsh8h6=4?:382g6532h;=;6sta3a94?6=:3;h?>;5a04;?x}f:j0;6=4=:0a0736c9~d4f290;6?4>c265>d7092wpm?o50;296?7d;=h1m<99;|yb6f<72909650;095f53l3k:;:5r{`0`>5<72;0:o>:j:`34<>{|i;i1<7>52;3`71`=i8=j7pun2`83>5<528i8944n16`8y~g5i3:1<7<51b16b?g6081vwl7<6k:7?l3779e4>>3tqj>n4?:181>4e4>?0j=594}zc1g?6=8381=n=97;c2<==zsh8h6=4?:382g60?2h;3m6sta3c94?6=:3;h?:<5a0:a?x}f:h0;6=4=:0a03=979~d4d290;6?4>c25f>d7>12wpm?m50;296?7d;>l1m<78;|yb6f<72909650;095f5?93k:5l5r{`0b>5<72;0:o>6m:`3:f>{|i;k1<7>52;3`7<7=i8k:7pun2`83>5<528i85;4n1`48y~g5k3:1<7<51b1:3?g6i01vwl7<6k:336l?n7:xe7e=83:1>7?l38;9e4g?3tqj>n4?:181>4e41h0j=lo4}zc1e?6=8381=n=n4;c2eg=zsh8j6=4?:382g6gf2h;i=6sta3c94?6=:3;h?lh5a0`5?x}f:j0;6=4=:0a0f5b69~d4d290;6?4>c2`1>d7e02wpm?m50;296?7d;k91m50;095f5d;3k:o<5r{`0b>5<72;0:o>m7:`3`2>{|i;i1<7>52;3`7f?=i8i27pun2b83>5<528i8ol4n1b58y~g5k3:1<7<51b1`f?g6k11vwl7<6k:ih6l?la:xe7g=83:1>7?l3e49e4ee3tqj>l4?:181>4e4lj0j=i?4}zc1e?6=8381=n=j1;c2`3=zsh8h6=4?:382g6c52h;o56sta3a94?6=:3;h?h=5a0f4?x}f:j0;6=4=:0a0a1d`9~d4f290;6?4>c2ge>d7cj2wpm?o50;296?7d;o?1m;|yb6d<72909650;095f5aj3k:i45r{`0`>5<72;0:o>hl:`3f3>{|i;i1<7>52;3`7cb=i8o37pun2b83>5<528i8jh4n1dc8y~g5i3:1<7<51b637<6k=:n6l?i1:xe7g=83:1>7?l4019e4`13tqj>n4?:181>4e39=0j=k74}zc1g?6=8381=n:>5;c2b2=zsh8h6=4?:382g1712h;m46sta3a94?6=:3;h8<95a0db?x}f:h0;6=4=:0a7649;7o=009~d4f290;6?4>c50`>d47>2wpm?m50;296?7d<;n1m?>6;|yb6f<72909650;095f25n3k9<55r{`0`>5<72;0:o9=?:`03e>{|i;k1<7>52;3`06g=i;:i7pun2`83>5<528i?8=4n2038y~g5i3:1<7<51b671?g59?1vwl7<6k=>=6l<>9:xe7e=83:1>7?l4559e7703tqj>n4?:181>4e3<10j><64}zc1g?6=8381=n:;9;c15d=zsh8j6=4?:382g1342h8:n6sta3c94?6=:3;h8875a302?x}f:h0;6=4=:0a71`>j7o=289~d4d290;6?4>c543>d45?2wpm?m50;296?7d50;095f21k3k9>o5r{`0b>5<72;0:o99=:`005>{|i;k1<7>52;3`021=i;9=7pun2b83>5<528i?;54n22;8y~g5k3:1<7<51b64=?g5;>1vwl7<6k==j6l<<8:xe7e=83:1>7?l46`9e75f3tqj>l4?:181>4e30<0j>>l4}zc1e?6=8381=n:7b;c104=zsh8j6=4?:382g1?72h8?:6sta3a94?6=:3;h84?5a36:?x}f:j0;6=4=:0a7=72?7o=499~d4d290;6?4>c5;7>d43i2wpm?o50;296?7d<0o1m?:m;|yb6d<72909650;095f2f13k99;5r{`0`>5<72;0:o9on:`06=>{|i;i1<7>52;3`0dd=i;?<7pun2b83>5<528i?mn4n24:8y~g5k3:1<7<51b6b`?g5=h1vwl7<6k=h<6l<:b:xe7g=83:1>7?l4cf9e7063tqj>l4?:181>4e3k;0j>;84}zc1g?6=8381=n:l3;c12<=zsh8h6=4?:382g1e32h8=;6sta3a94?6=:3;h8n;5a34;?x}f:j0;6=4=:0a7g3o<7o=6c9~d4f290;6?4>c5f5>d4092wpm?o50;296?7d50;095f2cl3k9;:5r{`0`>5<72;0:o9jj:`04<>{|i;i1<7>52;3`0a`=i;=j7pun2`83>5<528i?i44n26`8y~g5i3:1<7<51b6fb?g5081vwl7<6k=l?6l<76:xe7e=83:1>7?l4g79e7>>3tqj>n4?:181>4e3n?0j>594}zc1g?6=8381=n:i7;c1<==zsh8h6=4?:382g1`?2h83m6sta3c94?6=:3;h9=<5a3:a?x}f:h0;6=4=:0a64=c42f>d4>12wpm?m50;296?7d=9l1m?78;|yb6f<72909650;095f3693k95l5r{`0b>5<72;0:o8?m:`0:f>{|i;k1<7>52;3`177=i;k:7pun2`83>5<528i>>;4n2`48y~g5i3:1<7<51b71g?g5ij1vwl7<6k<996l7?l5259e7d03tqj>l4?:181>4e2;m0j>oj4}zc1e?6=8381=n;;3;c1g6=zsh8j6=4?:382g02?2h8h46sta3c94?6=;3;h99j5a3ag>=5>l2wpm?m50;296?7d==o1m?j>;|yb6f<72909650;095f3283285k5r{`0`>5<72;0:o8;<:`0g6>{|i;i1<7>52;3`107=i;in7pun2b83>5<528i>9?4n2e28y~g5i3:1<7<51b751?g5l:1vwl7<6k<7?l57`9e7be3tqj>n4?:181>4e2>j0j>io4}zc1g?6=8381=n;9d;c1`<=zsh8h6=4?:382g00b2h8oo6sta3c94?6=:3;h9:65a3fg?x}f:h0;6=4=:0a63ac4:0>d4bj2wpm?o50;296?7d=1<1m?kj;|yb6d<72909650;095f3?k3k9j95r{`0b>5<72;0:o86i:`0e3>{|i;k1<7>52;3`1<4=i;lj7pun2`83>5<528i>584n2gf8y~g5i3:1<7<51b7:7<6k<3i6l=?3:xe7g=83:1>7?l58g9e6613tqj>l4?:181>4e2i80j?=74}zc1e?6=8381=n;n4;c04f=zsh8j6=4?:382g0g02h9;j6sta3c94?6=:3;h9lo5a231?x}f:h0;6=4=:0a6eac4`0>d56j2wpm?o50;296?7d=k<1m>?j;|yb6d<72909650;095f3ek3k8>95r{`0b>5<72;0:o8li:`113>{|i;k1<7>52;3`1f4=i:8j7pun2`83>5<528i>o84n33f8y~g5i3:1<7<51b7`7<6k7?l5bg9e6513tqj>l4?:181>4e2l80j?>74}zc1e?6=8381=n;k4;c07f=zsh8j6=4?:382g0b02h98j6sta3c94?6=:3;h9io5a261?x}f:h0;6=4=:0a6`ac4g0>d53j2wpm?o50;296?7d=l<1m>:j;|yb6d<72909650;095f3bk3k8995r{`0b>5<72;0:o8ki:`163>{|i;k1<7>52;3`1c4=i:?j7pun2`83>5<528i>j84n34f8y~g5i3:1<7<51b7e91vwl7<6k7?l5gg9e6013tqj>l4?:181>4e1880j?;74}zc1e?6=8381=n8?4;c02f=zsh8j6=4?:382g3602h9=j6sta3c94?6=:3;h:=o5a251?x}f:h0;6=4=:0a54a?0qvo=a;294?4=9j<:<7o<799~d4f290;6?4>c730>d50j2wpm?o50;296?7d>8<1m>9j;|yb6d<72909650;095f06k3k8495r{`0b>5<72;0:o;?i:`1;3>{|i;k1<7>52;3`274=i:2j7pun2`83>5<528i=>84n39f8y~g5i3:1<7<51b417<6k?8i6l=63:xe7g=83:1>7?l63g9e6?13tqj>l4?:181>4e1;80j?474}zc1e?6=8381=n8<4;c0=f=zsh8j6=4?:382g3502h92j6sta3c94?6=:3;h:>o5a2c1?x}f:h0;6=4=:0a57ac760>d5fj2wpm?o50;296?7d>=<1m>oj;|yb6d<72909650;095f03k3k8n95r{`0b>5<72;0:o;:i:`1a3>{|i;k1<7>52;3`204=i:hj7pun2`83>5<528i=984n3cf8y~g5i3:1<7<51b467<6k??i6l=l3:xe7g=83:1>7?l64g9e6e13tqj>l4?:181>4e1>80j?n74}zc1e?6=8381=n894;c0gf=zsh8j6=4?:382g3002h9hj6sta3c94?6=:3;h:;o5a2f1?x}f:h0;6=4=:0a52ac750>d5cj2wpm?o50;296?7d>><1m>jj;|yb6d<72909650;095f00k3k8i95r{`0b>5<72;0:o;9i:`1f3>{|i;k1<7>52;3`2=4=i:oj7pun2`83>5<528i=484n3df8y~g5i3:1<7<51b4;7<6k?2i6l=i3:xe7g=83:1>7?l69g9e6`13tqj>l4?:181>4e1180j?k74}zc1e?6=8381=n864;c0bf=zsh8j6=4?:382g3?02h9mj6sta3c94?6=:3;h:4o5a521?x}f:h0;6=4=:0a5=ac7c0>d27j2wpm?o50;296?7d>h<1m9>j;|yb6d<72909650;095f0fk3k?=95r{`0b>5<72;0:o;oi:`623>{|i;k1<7>52;3`2g4=i=;j7pun2`83>5<528i=n84n40f8y~g5i3:1<7<51b4a7<6k?hi6l:=3:xe7g=83:1>7?l6cg9e1413tqj>l4?:181>4e1k80j8?74}zc1e?6=8381=n8l4;c76f=zsh8j6=4?:382g3e02h>9j6sta3c94?6=:3;h:no5a511?x}f:h0;6=4=:0a5gac7f0>d24j2wpm?o50;296?7d>m<1m9=j;|yb6d<72909650;095f0ck3k?895r{`0b>5<72;0:o;ji:`673>{|i;k1<7>52;3`2`4=i=>j7pun2`83>5<528i=i84n45f8y~g5i3:1<7<51b4f7<6k?oi6l::3:xe7g=83:1>7?l6dg9e1313tqj>l4?:181>4e1n80j8874}zc1e?6=8381=n8i4;c71f=zsh8j6=4?:382g3`02h>>j6sta3c94?6=:3;h:ko5a541?x}f:h0;6=4=:0a5bac620>d21j2wpm?o50;296?7d?9<1m98j;|yb6d<72909650;095f17k3k?;95r{`0b>5<72;0:o:>i:`643>{|i;k1<7>52;3`344=i==j7pun2`83>5<528i<=84n46f8y~g5i3:1<7<51b527<6k>;i6l:73:xe7g=83:1>7?l70g9e1>13tqj>l4?:181>4e0:80j8574}zc1e?6=8381=n9=4;c73j6sta3c94?6=:3;h;?o5a5;1?x}f:h0;6=4=:0a46ac610>d2>j2wpm?o50;296?7d?:<1m9h=;|yb6d<72909650;095f14l3k?jh5r{`0b>5<72;0:o::>:`732>{|i;k1<7>52;3`31>=i<:m7pun2`83>5<528i<8k4n50f8y~g5i3:1<7<51b566?g2:91vwl7<6k>?=6l;=8:xe7g=83:1>7?l74f9e0563tqj>l4?:181>4e0>=0j9>h4}zc1e?6=8381=n997;c607=zsh8j6=4?:382g20e2h??m6sta3c94?6=:3;h;:<5a470?x}f:h0;6=4=:0a43<c6:3>d31k2wpm?o50;296?7d?1=1m89:;|yb6d<72909650;095f1>93k>4;5r{`0b>5<72;0:o:7::`7;a>{|i;k1<7>52;3`35<528i4n5`78y~g5i3:1<7<51b5b2?g2i11vwl7<6k>kj6l;m0:xe7g=83:1>7?l7c39e0d>3tqj>l4?:181>4e0j10j9n94}zc1e?6=8381=n9mb;c6gd=zsh8j6=4?:382g2da2h?o>6sta3c94?6=:3;h;n85a4fa?x}f:h0;6=4=:0a4gac6f7>d3a<2wpm?o50;296?7d?mh1m8hk;|yb6d<72909650;095f1b=3k=5<72;0:o:k6:`422>{|i;k1<7>52;3`3c6=i?;m7pun2`83>5<528i7<6k>ln6l8<8:xe7g=83:1>7?l8179e3263tqj>l4?:181>4e?8j0j:9h4}zc1e?6=8381=n6?f;c517=zsh8j6=4?:382g=742h<>m6sta3c94?6=:3;h4>;0qvo=a;294?4=9j2987o9759~d4f290;6?4>c90;>d00k2wpm?o50;296?7d0;l1m;6:;|yb6d<72909650;095f>413k=5;5r{`0b>5<72;0:o5=k:`4:a>{|i;k1<7>52;3`<12=i?k<7pun2`83>5<528i38o4n6c78y~g5i3:1<7<51b:7a?g1j11vwl7<6k1?96l8l0:xe7g=83:1>7?l84;9e3e>3tqj>l4?:181>4e?>90j:i94}zc1e?6=8381=n693;c5`d=zsh8j6=4?:382g=002h6sta3c94?6=:3;h4;k5a7ga?x}f:h0;6=4=:0a;30o30qvo=a;294?4=9j2<47o9fb9~d4f290;6?4>c95`>d17<2wpm?o50;296?7d0191m:>k;|yb6d<72909650;095f>?l3k<=h5r{`0b>5<72;0:o57>:`512>{|i;k1<7>52;3`<<>=i>8m7pun2`83>5<528i35k4n72f8y~g5i3:1<7<51b:b6?g0<91vwl7<6k1k=6l9;8:xe7g=83:1>7?l8`f9e2363tqj>l4?:181>4e?j=0j;8h4}zc1e?6=8381=n6m7;c427=zsh8j6=4?:382g=de2h==m6sta3c94?6=:3;h4n<5a650?x}f:h0;6=4=:0a;g<c9f3>d1?k2wpm?o50;296?7d0m=1m:7:;|yb6d<72909650;095f>b93k5<72;0:o5k::`5ba>{|i;k1<7>52;3`<`e=i>h<7pun2`83>5<528i3j>4n7b78y~g5i3:1<7<51b:e2?g0k11vwl7<6k1lj6l9k0:xe7g=83:1>7?l9139e2b>3tqj>l4?:181>4e>810j;h94}zc1e?6=8381=n7?b;c4ad=zsh8j6=4?:382g<6a2h=m>6sta3c94?6=:3;h5<85a6da?x}f:h0;6=4=:0a:5ac807>d>6<2wpm?o50;296?7d1;h1m5?k;|yb6d<72909650;095f?4=3k3>h5r{`0b>5<72;0:o4=6:`:02>{|i;k1<7>52;3`=16=i19m7pun2`83>5<528i28:4n85f8y~g5i3:1<7<51b;7e?g?=91vwl7<6k0>n6l6:8:xe7g=83:1>7?l9479e=063tqj>l4?:181>4e>=j0j4;h4}zc1e?6=8381=n7:f;c;37=zsh8j6=4?:382g<042h2c85;>d>>k2wpm?o50;296?7d1>l1m5o:;|yb6d<72909650;095f??13k3n;5r{`0b>5<72;0:o46k:`:aa>{|i;k1<7>52;3`=<2=i1i<7pun2`83>5<528i25o4n8e78y~g5i3:1<7<51b;:a?g?l11vwl7<6k0k96l6j0:xe7g=83:1>7?l9`;9e=c>3tqj>l4?:181>4e>j90j4k94}zc1e?6=8381=n7m3;c;bd=zsh8j6=4?:382g6sta3c94?6=:3;h5ok5a82a?x}f:h0;6=4=:0a:g0c8a`>d?5<2wpm?o50;296?7d1m91m450;095fg?n3k2955r{`0b>5<72;0:oo:;:`;;=>{|i;k1<7>52;3`fc?=i0hj7pun2`83>5<528ihmh4n9d`8y~g5i3:1<7<51bf57?gf9j1vwl7<6kl;36lo;d:xe7g=83:1>7?lebf9ed1b3tqj>l4?:181>4ea0;0jmlh4}zc1e?6=8381=i><7;cba5=zsh8j6=4?:382`5cd2hh;56sta3c94?6=:3;o=l?5ac11?x}f:h0;6=4=:0f113d2a3>ddfl2wpm?o50;296?7c<>?1moj9;|yb6d<72909650;095a3cn3kh>55r{`0b>5<72;0:h;7;:`a6=>{|i;k1<7>52;3g31?=ij2j7pun2`83>5<528n7<6l1:j6lj;1:xe7g=83:1>7?k81d9ea213tqj>l4?:181>4b?9<0jh9m4}zc1e?6=8381=i6>b;cg17=zsh8j6=4?:382`=472hn>;6sta3c94?6=:3;o4?85ae7g?x}f:h0;6=4=:0f;6fd914>db1m2wpm?o50;296?7c0:n1mi9;;|yb6d<72909650;095a>3;3ko;l5r{`0`>5<72;0:h5:;:`f4f>{|i;i1<7>52;3g<13=im=h7pun2b83>5<528n38;4nd6f8y~g5k3:1<7<51e:73?gc?l1vwl7<6l1?86lj8f:xe7g=83:1>7?k84:9ea>33tqj>l4?:181>4b?=l0jh5o4}zc1g?6=8381=i6:f;cgd94e>db>82wpm?o50;296?7c0>>1mi7:;|yb6f<72909650;095a>0>3ko5:5r{`0`>5<72;0:h598:`f:<>{|i;i1<7>52;3g<2>=im327pun2b83>5<528n3;44nd8c8y~g5i3:1<7<51e:;1?gc1k1vwl7<6l12j6ljn0:xe7g=83:1>7?k89g9eag33tqj>l4?:181>4b?1;0jhl64}zc1e?6=8381=i667;cgea=zsh8j6=4?:382`=?e2hni=6sta3c94?6=:3;o44h5ae`6?x}f:h0;6=4=:0f;e1d9c5>dbel2wpm?m50;296?7c0h=1milm;|yb6f<72909650;095a>f13konk5r{`0b>5<72;0:h5l::`f`4>{|i;i1<7>52;3g5<528n3n:4ndb38y~g5k3:1<7<51e:a7<6l1h26ljl4:xe7g=83:1>7?k8b19eae23tqj>l4?:181>4b?k10jhno4}zc1g?6=8381=i6l9;cggg=zsh8h6=4?:382`=ef2hnho6sta3a94?6=:3;o4nl5aeag?x}f:j0;6=4=:0f;gfd9f:>dbc82wpm?o50;296?7c0mo1mij:;|yb6f<72909650;095a>b83koh45r{`0`>5<72;0:h5k>:`fg2>{|i;i1<7>52;3g<`4=imn<7pun2b83>5<528n3i>4ndec8y~g5i3:1<7<51e:fb?gclk1vwl7<6l1l?6ljj0:xe7g=83:1>7?k8gc9eac13tqj>l4?:181>4b?no0jhhl4}zc1e?6=8381=i7?5;cgb4=zsh8j6=4?:382`<6f2hnm:6sta3c94?6=:3;o5<>5aed`?x}f:h0;6=4=:0f:50d803>dc7k2wpm?o50;296?7c1;?1mh?>;|yb6d<72909650;095a?483kn=n5r{`0b>5<72;0:h4=9:`g16>{|i;k1<7>52;3g=6d=il8<7pun2`83>5<528n28<4ne3f8y~g5i3:1<7<51e;72?gb;;1vwl7<6l0>h6lk<8:xe7g=83:1>7?k9439e`5c3tqj>l4?:181>4b>=>0ji9=4}zc1e?6=8381=i7:c;cf0==zsh8j6=4?:382`<052ho?i6sta3c94?6=:3;o5;95ad70?x}f:h0;6=4=:0f:2a7oj5d9~d4f290;6?4>d85;>dc1<2wpm?o50;296?7c1>n1mh86;|yb6d<72909650;095a??03kn;95r{`0b>5<72;0:h46j:`g4e>{|i;k1<7>52;3g=<5=il=m7pun2`83>5<528n2544ne978y~g5i3:1<7<51e;:a?gb0h1vwl7<6l0k?6lk60:xe7g=83:1>7?k9`;9e`?23tqj>l4?:181>4b>io0ji4l4}zc1e?6=8381=i7m4;cfe5=zsh8j6=4?:382`d8f3>dcek2wpm?o50;296?7c1m<1mhm=;|yb6d<72909650;095a?b93knoi5r{`0`>5<72;0:h4k=:`g`a>{|i;i1<7>52;3g=`5=ilim7pun2b83>5<528n2i94nee28y~g5k3:1<7<51e;f1?gbl81vwl7<6l0o=6lkk2:xe7g=83:1>7?k9g09e`b43tqj>l4?:181>4b>n>0jii64}zc1g?6=8381=i7i8;cf`<=zsh8h6=4?:382`<`>2hoom6sta3a94?6=:3;o5ko5adfa?x}f:j0;6=4=:0f:bgd`2;>dccm2wpm?o50;296?7ci9n1mhk<;|yb6d<72909650;095ag613knik5r{`0b>5<72;0:hl?j:`ge0>{|i;k1<7>52;3ge72=illj7pun2`83>5<528nj>54negg8y~g5i3:1<7<51ec1`?ga8:1vwl7<6lh986lh?9:xe7g=83:1>7?ka2:9ec6b3tqj>n4?:181>4bf;00jj<>4}zc1g?6=8381=iom5ag31?x}f:j0;6=4=:0fb7ad`6f>d`612wpm?o50;296?7ci<>1mk?i;|yb6d<72909650;095ag2l3km>55r{`0`>5<72;0:hl;j:`d1=>{|i;i1<7>52;3ge0`=io8j7pun2b83>5<528nj:=4nf3`8y~g5k3:1<7<51ec55?ga:j1vwl7<6lh<96lh=d:xe7g=83:1>7?ka7g9ec4b3tqj>l4?:181>4bf?:0jj>=4}zc1e?6=8381=io89;ce7<=zsh8j6=4?:382`d1b2hl8i6sta3c94?6=:3;om5=5ag60?x}f:h0;6=4=:0fb<<d`;7>d`2<2wpm?o50;296?7ci0k1mk;n;|yb6d<72909650;095agf=3km:85r{`0b>5<72;0:hlon:`d5e>{|i;i1<7>52;3gedd=io5<528njmn4nf7g8y~g5k3:1<7<51ecb`?ga>k1vwl7<6lhkn6lh9c:xe7e=83:1>7?ka`d9ec0a3tqj>l4?:181>4bfjk0jj:>4}zc1g?6=8381=iomc;ce34=zsh8h6=4?:382`ddc2hl<>6sta3a94?6=:3;omok5ag50?x}f:j0;6=4=:0fbfc>0qvo=c;294?4=9mkh<7oi749~d4f290;6?4>d`a`>d`0>2wpm?o50;296?7cim;1mk9m;|yb6f<72909650;095agc;3km;k5r{`0`>5<72;0:hlj;:`d4`>{|i;i1<7>52;3gea3=io=n7pun2b83>5<528njh;4nf928y~g5i3:1<7<51ecf6?ga081vwl7<6lho<6lh76:xe7e=83:1>7?kad:9ec>03tqj>n4?:181>4bfm00jj564}zc1g?6=8381=ioja;ce<<=zsh8h6=4?:382`dce2hl3m6sta3a94?6=:3;omhm5ag:a?x}f:h0;6=4=:0fbb=dc20>d`>?2wpm?o50;296?7cj921mk7l;|yb6d<72909650;095ad7n3kmm>5r{`0`>5<72;0:ho??:`db0>{|i;i1<7>52;3gf47=iok>7pun2b83>5<528ni=?4nf`48y~g5k3:1<7<51e`27?gai>1vwl7<6lk;m6lhn8:xe7g=83:1>7?kb369ecgc3tqj>l4?:181>4be:00jjo<4}zc1e?6=8381=il=e;cef2=zsh8j6=4?:382`g542hlio6sta3c94?6=:3;on>65aga2?x}f:h0;6=4=:0fa7a7oicc9~d4f290;6?4>dc64>d`c82wpm?o50;296?7cj=i1mkj:;|yb6d<72909650;095ad2?3kmi=5r{`0`>5<72;0:ho;7:`df7>{|i;i1<7>52;3gf0?=ioo:7pun2b83>5<528ni9l4nfd08y~g5k3:1<7<51e`6f?gam=1vwl7<6lk<>6lhj5:xe7g=83:1>7?kb7c9eccf3tqj>l4?:181>4be?90jjk>4}zc1g?6=8381=il81;ceb6=zsh8h6=4?:382`g152hlm=6sta3a94?6=:3;on:=5agd1?x}f:j0;6=4=:0fa310qvo=a;294?4=9mhdc:0>d`ai2wpm?o50;296?7cj131n=>?;|yb6f<72909650;095ad?j3h;<<5r{`0`>5<72;0:ho6l:c236>{|i;i1<7>52;3gf=b=j9:?7pun2`83>5<528ni5:4m0178y~g5i3:1<7<51e`:g?d78h1vwl7<6lkk96o>>0:xe7g=83:1>7?kb`59f5723tqj>n4?:181>4bei10i<<64}zc1g?6=8381=iln9;`352=zsh8h6=4?:382`ggf2k:::6sta3a94?6=:3;onll5b13:?x}f:j0;6=4=:0faefdc`g>g6582wpm?o50;296?7cjj91n=<9;|yb6d<72909650;095addm3h;?<5r{`0b>5<72;0:hoj<:c202>{|i;k1<7>52;3gfa?=j99h7pun2`83>5<528nihk4m0508y~g5i3:1<7<51e`f0?d7<>1vwl7<6lkoj6o>;d:xe7g=83:1>7?kbdd9f5353tqj>n4?:181>4ben90i<8=4}zc1g?6=8381=ili1;`311=zsh8h6=4?:382`g`52k:>96sta3a94?6=:3;onk=5b175?x}f:j0;6=4=:0fab1db26>g62l2wpm?m50;296?7ck9<1n=;j;|yb6f<72909650;095ae703h;:=5r{`0`>5<72;0:hn>6:c255>{|i;i1<7>52;3gg5g=j9<97pun2`83>5<528nh=;4m0718y~g5i3:1<7<51ea2f?d7>11vwl7<6lj;h6o>99:xe7e=83:1>7?kc0f9f50f3tqj>n4?:181>4bd9l0i<;l4}zc1g?6=8381=im>f;`32f=zsh8h6=4?:382`f472k:=h6sta3c94?6=:3;oo?m5b14f?x}f:h0;6=4=:0f`7490qvo=a;294?4=9mi897l?769~d4f290;6?4>db1a>g60l2wpm?o50;296?7ck=:1n=6=;|yb6f<72909650;095ae3:3h;4;5r{`0`>5<72;0:hn:<:c2;7>{|i;i1<7>52;3gg12=j92?7pun2b83>5<528nh884m0958y~g5i3:1<7<51ea65?d7011vwl7<6lj?96o>79:xe7e=83:1>7?kc419f5>d3tqj>n4?:181>4bd==0i<5o4}zc1g?6=8381=im:5;`3db51>g6>m2wpm?o50;296?7ck>21n=o;;|yb6d<72909650;095ae?;3h;mk5r{`0b>5<72;0:hn67:c2a0>{|i;k1<7>52;3gg=c=j9hj7pun2`83>5<528nh5>4m0cd8y~g5i3:1<7<51ea:=?d7k<1vwl7<6lj3n6o>la:xe7g=83:1>7?kc`69f5b73tqj>l4?:181>4bdi00idbab>g6a>2wpm?o50;296?7ckm:1n=hl;|yb6d<72909650;095aecj3h:<:5r{`0b>5<72;0:hnk?:c33g>{|i;k1<7>52;3gg`0=j8;97pun2`83>5<528nhio4m1058y~g5i3:1<7<51eae5?d69m1vwl7<6ljl=6o?=2:xe7g=83:1>7?kcga9f44?3tqj>l4?:181>4bc880i=?j4}zc1e?6=8381=ij?7;`276=zsh8j6=4?:382`a6d2k;846sta3c94?6=:3;oh<<5b01f?x}f:h0;6=4=:0fg52489~d4f290;6?4>de01>g73m2wpm?o50;296?7cl;21n<;;;|yb6d<72909650;095ab4;3h:9k5r{`0b>5<72;0:hi=7:c350>{|i;k1<7>52;3g`6c=j85<528no8>4m17d8y~g5i3:1<7<51ef7=?d6?<1vwl7<6lm>n6o?8a:xe7g=83:1>7?kd469f4>73tqj>l4?:181>4bc=00i=5;4}zc1e?6=8381=ij:f;`2a09~d4f290;6?4>de5b>g7f>2wpm?o50;296?7cl1:1n50;095ab?j3h:n:5r{`0b>5<72;0:hi7?:c3ag>{|i;k1<7>52;3g`<0=j8i97pun2`83>5<528no5o4m1b58y~g5i3:1<7<51efb5?d6km1vwl7<6lmk=6o?k2:xe7g=83:1>7?kd`a9f4b?3tqj>l4?:181>4bcj80i=ij4}zc1e?6=8381=ijm7;`2a6=zsh8j6=4?:382`add2k;n46sta3c94?6=:3;ohn<5b0gf?x}f:h0;6=4=:0fgg2f89~d4f290;6?4>def1>g7am2wpm?o50;296?7clm21n?>;;|yb6d<72909650;095abb;3h95<72;0:hik7:c020>{|i;k1<7>52;3g``c=j;;j7pun2`83>5<528noj>4m20d8y~g5i3:1<7<51efe=?d5:<1vwl7<6lmln6o<=a:xe7g=83:1>7?ke169f7573tqj>l4?:181>4bb800i>>;4}zc1e?6=8381=ik?f;`17g=zsh8j6=4?:382``732k8?<6sta3c94?6=:3;oi<75b366?x}f:j0;6=4=:0ff5ddd3`>g4302wpm?m50;296?7cm8n1n?:6;|yb6f<72909650;095ac5i3h98o5r{`0b>5<72;0:hh{|i;k1<7>52;3ga65=j;??7pun2`83>5<528nn?:4m24:8y~g5i3:1<7<51eg0`?d5=l1vwl7<6ll>96o<93:xe7e=83:1>7?ke519f7023tqj>n4?:181>4bb<=0i>;94}zc1g?6=8381=ik;5;`121=zsh8h6=4?:382``212k8=:6sta3a94?6=:3;oi995b34;?x}f:h0;6=4=:0ff1647l=6d9~d4d290;6?4>dd7:>g4082wpm?m50;296?7cm50;095ac2k3h9;<5r{`0`>5<72;0:hh;k:c047>{|i;k1<7>52;3ga3?=j;=?7pun2`83>5<528nn:h4m26;8y~g5k3:1<7<51eg5b?d5?h1vwl7<6ll=;6o<8b:xe7e=83:1>7?ke639f71d3tqj>n4?:181>4bb?;0i>:j4}zc1g?6=8381=ik83;`13`=zsh8j6=4?:382``1a2k85b3:3?x}f:j0;6=4=:0ff<47l=839~d4d290;6?4>dd:0>g4?;2wpm?m50;296?7cm1>1n?6;;|yb6d<72909650;095ac>=3h94l5r{`0`>5<72;0:hh79:c0;f>{|i;i1<7>52;3ga<1=j;2h7pun2b83>5<528nn554m29f8y~g5k3:1<7<51eg:=?d50l1vwl7<6ll3j6o<7f:xe7g=83:1>7?ke`49f7?73tqj>n4?:181>4bbi>0i>4?4}zc1g?6=8381=ikn8;`1=7=zsh8h6=4?:382``g>2k82?6sta3a94?6=:3;oilo5b3;7?x}f:j0;6=4=:0ffegdd``>g4>j2wpm?m50;296?7cmkn1n?7l;|yb6f<72909650;095acen3h95h5r{`0`>5<72;0:hhm?:c0:b>{|i;i1<7>52;3gaf7=j;k;7pun2`83>5<528nnoi4m2`38y~g5k3:1<7<51eg`a?d5i;1vwl7<6llim6o7?kee29f7g33tqj>n4?:181>4bbl80i>l;4}zc1g?6=8381=ikk2;`1e3=zsh8j6=4?:382``bb2k8j;6sta3c94?6=:3;oih=5b3c`?x}f:h0;6=4=:0ffa2ddd2>g4ei2wpm?o50;296?7cmo?1n?lj;|yb6d<72909650;095acan3h9o55r{`0`>5<72;0:hk>?:c0`f>{|i;i1<7>52;3gb57=j;i27pun2b83>5<528nm7<6lo:o6o7?kf1g9f7eb3tqj>n4?:181>4ba8o0i>nh4}zc1g?6=8381=ih>0;`1`5=zsh8h6=4?:382`c762k8o=6sta3a94?6=:3;oj<<5b3f1?x}f:h0;6=4=:0fe5`dg0:>g4cm2wpm?m50;296?7cn;k1n?k>;|yb6f<72909650;095a`5k3h9hk5r{`0`>5<72;0:hk{|i;i1<7>52;3gb7c=j;o87pun2`83>5<528nm?l4m2d68y~g5i3:1<7<51ed0b?d5m01vwl7<6lo>86o7?kf569f7ca3tqj>n4?:181>4ba<<0i>k?4}zc1g?6=8381=ih;6;`1a`=zsh8h6=4?:382`c202k8m<6sta3a94?6=:3;oj965b3d1?x}f:h0;6=4=:0fe1157l=f99~d4f290;6?4>dg40>g57:2wpm?o50;296?7cn?k1n>>6;|yb6d<72909650;095a`0j3h8=l5r{`0b>5<72;0:hk6::c110>{|i;k1<7>52;3gb=e=j:8i7pun2`83>5<528nm5;4m3278y~g5i3:1<7<51ed:`?d4;j1vwl7<6lok<6o=;6:xe7g=83:1>7?kf`g9f62c3tqj>l4?:181>4baj10i?894}zc1e?6=8381=ihmf;`01`=zsh8j6=4?:382`ce>2k9=46sta3c94?6=:3;oji>5b24e?x}f:h0;6=4=:0fe`d30qvo=a;294?4=9mln=7l<819~d4f290;6?4>dgga>g5?i2wpm?o50;296?7cno81n>7>;|yb6d<72909650;095`67;3h8m?5r{`0b>5<72;0:i=>k:c1bg>{|i;k1<7>52;3f442=j:h87pun2`83>5<528o;=h4m3cf8y~g5i3:1<7<51d211?d4k=1vwl7<6m98m6o=le:xe7g=83:1>7?j0249f6b23tqj>l4?:181>4c7<90i?ih4}zc1e?6=8381=h>;7;`0a3=zsh8j6=4?:382a5362k9m<6sta3c94?6=:3;n<865b2d4?x}f:h0;6=4=:0g327e150>g26:2wpm?o50;296?7b8>k1n9?6;|yb6d<72909650;095`6?j3h?>l5r{`0b>5<72;0:i=7::c600>{|i;k1<7>52;3f45<528o;m;4m4578y~g5i3:1<7<51d2b`?d37<6m9h<6o::6:xe7g=83:1>7?j0cg9f13c3tqj>l4?:181>4c7k10i8;94}zc1e?6=8381=h>lf;`72`=zsh8j6=4?:382a5b>2k><46sta3c94?6=:3;n5b55e?x}f:h0;6=4=:0g3ade1da>g2>i2wpm?o50;296?7b9981n9o>;|yb6d<7290960b8a0dd50;095`76;3h?n?5r{`0b>5<72;0:i{|i;k1<7>52;3f572=j=i87pun2`83>5<528o:>h4m4bf8y~g5i3:1<7<51d301?d3l=1vwl7<6m89m6o:ke:xe7g=83:1>7?j1549f1c23tqj>l4?:181>4c6=90i8hh4}zc1e?6=8381=h?:7;`7b3=zsh8j6=4?:382a4062k?;<6sta3c94?6=:3;n=;65b424?x}f:h0;6=4=:0g237e0:0>g34<2wpm?o50;296?7b91k1n8:<;|yb6d<729096958a10150;095`7>j3h>:;5r{`0b>5<72;0:i{|i;k1<7>52;3f5de=j<227pun2`83>5<528o:n;4m58f8y~g5i3:1<7<51d3a`?d2ij1vwl7<6m8i<6o;l0:xe7g=83:1>7?j1bg9f0ea3tqj>l4?:181>4c6l10i9h=4}zc1e?6=8381=h?kf;`6b7=zsh8j6=4?:382a4c>2k<;:6sta3c94?6=:3;n=k>5b736?x}f:h0;6=4=:0g2bd;30qvo=a;294?4=9l8;=7l9399~d4f290;6?4>e32a>g03k2wpm?o50;296?7b:881n;;m;|yb6d<72909650;095`45;3h=;h5r{`0b>5<72;0:i?{|i;k1<7>52;3f662=j?k:7pun2`83>5<528o9?h4m6c78y~g5i3:1<7<51d071?d1k=1vwl7<6m;>m6o8k8:xe7g=83:1>7?j2449f3c03tqj>l4?:181>4c5>90i:kl4}zc1e?6=8381=h<97;`44d=zsh8j6=4?:382a7162k=:i6sta3c94?6=:3;n>:65b60g?x}f:h0;6=4=:0g1<7e3;0>g11<2wpm?o50;296?7b:0k1n:9<;|yb6d<72909650;095`4fj3h<5;5r{`0b>5<72;0:i?l::c5be>{|i;k1<7>52;3f6ge=j>h27pun2`83>5<528o9o;4m7bf8y~g5i3:1<7<51d0``?d0lj1vwl7<6m;n<6o9i0:xe7g=83:1>7?j2eg9f2`a3tqj>l4?:181>4c5m10i4<=4}zc1e?6=8381=h2k28:6sta3c94?6=:3;n?=>5b966?x}f:h0;6=4=:0g04de23a>g>0k2wpm?o50;296?7b;;81n56m;|yb6d<72909650;095`54;3h3mh5r{`0b>5<72;0:i>=k:c:`6>{|i;k1<7>52;3f712=j1n:7pun2`83>5<528o88h4m8d78y~g5i3:1<7<51d161?d?n=1vwl7<6m:?m6o7?8:xe7g=83:1>7?j3749f<703tqj>l4?:181>4c4?90i5?l4}zc1e?6=8381=h=87;`:7d=zsh8j6=4?:382a6>62k3?i6sta3c94?6=:3;n?565b87g?x}f:h0;6=4=:0g0=7;0qvo=a;294?4=9l9257l6819~d4f290;6?4>e2c0>g?><2wpm?o50;296?7b;hk1n47m;|yb6d<72909650;095`5e83h2m<5r{`0b>5<72;0:i>l<:c;b0>{|i;k1<7>52;3f7g0=j0kj7pun2b83>5<528o8n:4m9``8y~g5k3:1<7<51d1aij1vwl7<6m:h26o7nd:xe7e=83:1>7?j3cc9fn4?:181>4c4jk0i5lh4}zc1e?6=8381=h=l7;`:ff=zsh8h6=4?:382a6e?2k3ij6sta3a94?6=:3;n?n75b8`g?x}f:j0;6=4=:0g0gde2f6>g?d<2wpm?m50;296?7b;m<1n4m8;|yb6f<72909650;095`5c03h2o;5r{`0`>5<72;0:i>j6:c;`<>{|i;k1<7>52;3f7`5=j0ih7pun2b83>5<528o8i94m9bd8y~g5k3:1<7<51d1f1?d>km1vwl7<6m:o=6o7le:xe7e=83:1>7?j3d59fl4?:181>4c4n80i5i:4}zc1g?6=8381=h=i2;`:`2=zsh8h6=4?:382a6`42k3o96sta3a94?6=:3;n?k:5b8f5?x}f:j0;6=4=:0g0b0e520>g?b;2wpm?o50;296?7b<9=1n4kn;|yb6f<72909650;095`2713h2io5r{`0`>5<72;0:i9>n:c;fg>{|i;i1<7>52;3f05d=j0on7pun2`83>5<528o?=84m9g08y~g5k3:1<7<51d622?d>n:1vwl7<6m=;<6o7i4:xe7e=83:1>7?j40:9f<`23tqj>n4?:181>4c3900i5k84}zc1g?6=8381=h:>a;`:b2=zsh8j6=4?:382a1412k3mn6sta3c94?6=:3;n8?o5b`21?x}f:j0;6=4=:0g76g9o7ln049~d4d290;6?4>e50g>gg7;2wpm?m50;296?7b<;o1nl>;;|yb6f<72909650;095`24j3hj5<72;0:i9=i:cc26>{|i;k1<7>52;3f013=jh;i7pun2`83>5<528o?8o4ma368y~g5i3:1<7<51d665?df:m1vwl7<6m=?<6oo<6:xe7g=83:1>7?j44f9fd5a3tqj>n4?:181>4c3=l0im9>4}zc1g?6=8381=h::f;`b04=zsh8h6=4?:382a1072kk?>6sta3a94?6=:3;n8;?5b`60?x}f:j0;6=4=:0g7270qvo=a;294?4=9l>=i7ln499~d4f290;6?4>e557>gg292wpm?o50;296?7b<>k1nl;n;|yb6d<72909650;095`2?>3hj:n5r{`0b>5<72;0:i96l:cc41>{|i;k1<7>52;3f0<4=jh=n7pun2`83>5<528o?554ma958y~g5i3:1<7<51d6:a?df191vwl7<6m=k?6oo69:xe7g=83:1>7?j4`c9fdg53tqj>l4?:181>4c3j90imll4}zc1e?6=8381=h:m6;`bf1=zsh8j6=4?:382a1dd2kkih6sta3c94?6=:3;n8n<5b`a5?x}f:h0;6=4=:0g7g=hi7lnd99~d4f290;6?4>e5f7>ggb92wpm?o50;296?7b50;095`2b>3hjjn5r{`0b>5<72;0:i9kl:c`31>{|i;k1<7>52;3f0c4=jk:n7pun2`83>5<528o?j54mb058y~g5i3:1<7<51d6ea?de:91vwl7<6m<:?6ol=9:xe7g=83:1>7?j51c9fg553tqj>l4?:181>4c2990in>l4}zc1e?6=8381=h;>6;`a01=zsh8j6=4?:382a07d2kh?h6sta3c94?6=:3;n9?<5bc75?x}f:h0;6=4=:0g66=e417>gd092wpm?o50;296?7b=:k1no9n;|yb6d<72909650;095`33<3hi4l5r{`0b>5<72;0:i8:7:c`:5>{|i;k1<7>52;3f11e=jk337pun2`83>5<528o>9<4mb`28y~g5k3:1<7<51d766?dei:1vwl7<6m7?j5469fgg53tqj>n4?:181>4c2=<0inl:4}zc1e?6=8381=h;:f;`ae==zsh8h6=4?:382a0072khjm6sta3a94?6=:3;n9;?5bcc:?x}f:j0;6=4=:0g627e44g>gde82wpm?m50;296?7b=?o1nol<;|yb6f<72909650;095`3083hin?5r{`0`>5<72;0:i89>:c`a0>{|i;k1<7>52;3f12d=jkh37pun2b83>5<528o>;n4mbc`8y~g5k3:1<7<51d74`?dej01vwl7<6m<=n6olma:xe7e=83:1>7?j56d9fgdd3tqj>l4?:181>4c2000inn>4}zc1g?6=8381=h;7a;`ag6=zsh8h6=4?:382a0>e2khh=6sta3a94?6=:3;n95m5bca1?x}f:j0;6=4=:0g60qvo=a;294?4=9l?2;7lmc99~d4d290;6?4>e4;;>gddj2wpm?m50;296?7b=031nom6;|yb6f<72909650;095`3>j3hion5r{`0b>5<72;0:i8o::c`g4>{|i;i1<7>52;3f1d0=jkn87pun2b83>5<528o>m:4mbe38y~g5k3:1<7<51d7b7<6m7?j5`c9fgb23tqj>l4?:181>4c2j?0ini74}zc1e?6=8381=h;ma;`aa5=zsh8h6=4?:382a0de2khn=6sta3a94?6=:3;n9om5bcg1?x}f:j0;6=4=:0g6fae4`e>gdb=2wpm?o50;296?7b=jh1nok6;|yb6d<72909650;095`3c;3hij:5r{`0b>5<72;0:i8j8:c`ea>{|i;k1<7>52;3f1ad=jj:>7pun2b83>5<528o>hn4mc1:8y~g5k3:1<7<51d7g`?dd8?1vwl7<6m7?j5ed9ff6>3tqj>l4?:181>4c2m00io=j4}zc1g?6=8381=h;ja;``55=zsh8h6=4?:382a0ce2ki;i6sta3a94?6=:3;n9hm5bb2e?x}f:j0;6=4=:0g6aae4dg>ge6m2wpm?o50;296?7b>991nn<8;|yb6d<72909650;095`0703hh?<5r{`0`>5<72;0:i;>6:ca1b>{|i;i1<7>52;3f25g=jj9;7pun2b83>5<528o=7<6m?;=6om<9:xe7e=83:1>7?j6059ff503tqj>n4?:181>4c1910io>64}zc1g?6=8381=h8>9;``7d=zsh8j6=4?:382a3442ki8i6sta3a94?6=:3;n:?:5bb62?x}f:j0;6=4=:0g560e704>ge3:2wpm?o50;296?7b>:;1nn:9;|yb6d<72909650;095`04l3hh955r{`0b>5<72;0:i;:<:ca55>{|i;k1<7>52;3f21?=jj5<528o=8l4mc7`8y~g5k3:1<7<51d47f?dd>j1vwl7<6m?>h6om9d:xe7e=83:1>7?j65f9ff0b3tqj>n4?:181>4c1e741>ge>i2wpm?m50;296?7b>?91nn7m;|yb6d<72909650;095`0083hhm?5r{`0`>5<72;0:i;9>:cab5>{|i;i1<7>52;3f224=jjk;7pun2b83>5<528o=;>4mc`18y~g5k3:1<7<51d440?ddi=1vwl7<6m?2;6omn8:xe7e=83:1>7?j6939ffgf3tqj>n4?:181>4c10;0ioll4}zc1g?6=8381=h873;``e<=zsh8h6=4?:382a3>32kijo6sta3a94?6=:3;n:5;5bbcg?x}f:h0;6=4=:0g5=47llb39~d4d290;6?4>e7;0>gee;2wpm?m50;296?7b>0>1nnl;;|yb6f<72909650;095`0>>3hhn;5r{`0b>5<72;0:i;o=:caae>{|i;i1<7>52;3f2d5=jjhn7pun2b83>5<528o=m94mccf8y~g5k3:1<7<51d4b1?ddjk1vwl7<6m?k=6ommc:xe7e=83:1>7?j6`59ffda3tqj>l4?:181>4c1j:0ionl4}zc1g?6=8381=h8m4;``g`=zsh8h6=4?:382a3d22kiho6sta3a94?6=:3;n:o85bbag?x}f:j0;6=4=:0g5f2e7a6>geci2wpm?m50;296?7b>j<1nnjk;|yb6f<72909650;095`0d03hhhn5r{`0`>5<72;0:i;m6:caga>{|i;k1<7>52;3f2a5=jjo97pun2`83>5<528o=h44mcd`8y~g5i3:1<7<51d4gb?ddn=1vwl7<6m?o;6omi8:xe7e=83:1>7?j6d39ff`03tqj>n4?:181>4c1m;0iok84}zc1g?6=8381=h8j3;``b0=zsh8h6=4?:382a3c32kim56sta3c94?6=:3;n:k>5bbdg?x}f:j0;6=4=:0g5b47lk019~d4d290;6?4>e7d0>geam2wpm?m50;296?7b>o>1nnhi;|yb6f<72909650;095`1793ho<;5r{`0`>5<72;0:i:>=:cf3<>{|i;i1<7>52;3f355=jm:j7pun2b83>5<528o<<94md158y~g5k3:1<7<51d531?dc801vwl7<6m>:=6oj?b:xe7g=83:1>7?j7009fa6a3tqj>l4?:181>4c0910ih<64}zc1e?6=8381=h9>e;`g64=zsh8h6=4?:382a27a2kn9>6sta3a94?6=:3;n;?>5be00?x}f:j0;6=4=:0g4640qvo=c;294?4=9l=9>7lk249~d4d290;6?4>e600>gb5>2wpm?o50;296?7b?;l1ni50;095`14j3ho?n5r{`0b>5<72;0:i::>:cf71>{|i;k1<7>52;3f311=jm>n7pun2`83>5<528o<8i4md458y~g5i3:1<7<51d567?dc>91vwl7<6m>?26oj99:xe7g=83:1>7?j74d9fa153tqj>l4?:181>4c0><0ih:l4}zc1e?6=8381=h99b;`g<1=zsh8j6=4?:382a2162kn3h6sta3a94?6=:3;n;:<5be:f?x}f:j0;6=4=:0g436e656>gb?n2wpm?m50;296?7b?><1ni7=;|yb6d<72909650;095`1?03ho5k5r{`0b>5<72;0:i:6j:cfb<>{|i;k1<7>52;3f3<2=jmh:7pun2`83>5<528o<5l4mdcc8y~g5k3:1<7<51d5:f?dcjj1vwl7<6m>3h6ojme:xe7e=83:1>7?j78f9fade3tqj>n4?:181>4c01l0ihoj4}zc1g?6=8381=h96f;`gfc=zsh8j6=4?:382a2ge2knhm6sta3c94?6=:3;n;o?5bef0?x}f:h0;6=4=:0g4f2e6a0>gbbm2wpm?o50;296?7b?j31nih8;|yb6d<72909650;095`1c=3hn<45r{`0b>5<72;0:i:jm:cg26>{|i;k1<7>52;3f3`7=jl;i7pun2`83>5<528o7<6m>l86ok<6:xe7e=83:1>7?j7g69f`5>3tqj>n4?:181>4c0n<0ii>94}zc1g?6=8381=h9i6;`f7==zsh8h6=4?:382a2`02ko8m6sta3c94?6=:3;n4=?5bd1f?x}f:j0;6=4=:0g;47e927>gc382wpm?m50;296?7b09?1nh:=;|yb6d<72909650;095`>683hn855r{`0`>5<72;0:i5?>:cg7=>{|i;i1<7>52;3f<44=jl><7pun2b83>5<528o3=>4me5c8y~g5i3:1<7<51d:2`?db7<6m1;n6ok:0:xe7e=83:1>7?j80d9f`363tqj>n4?:181>4c?:90ii9h4}zc1g?6=8381=h6=1;`f17=zsh8j6=4?:382a=4e2ko>:6sta3a94?6=:3;n4?m5bd7:?x}f:j0;6=4=:0g;6ae90e>gc2i2wpm?o50;296?7b0:31nh;j;|yb6f<72909650;095`>4j3hn:?5r{`0`>5<72;0:i5=l:cg54>{|i;i1<7>52;3f<6b=jl<:7pun2b83>5<528o3?h4me718y~g5i3:1<7<51d:7e?db?<1vwl7<6m1>i6ok86:xe7e=83:1>7?j85a9f`103tqj>n4?:181>4c?l0qvo=c;294?4=9l2>h7lj819~d4d290;6?4>e97f>gc?92wpm?m50;296?7b050;095`>1k3hn4:5r{`0`>5<72;0:i58k:cg;<>{|i;i1<7>52;3f<3c=jl227pun2b83>5<528o3:k4me9c8y~g5k3:1<7<51d:44?db0k1vwl7<6m1=:6ok7c:xe7g=83:1>7?j86f9f`?73tqj>n4?:181>4c??l0ii4=4}zc1g?6=8381=h68f;`f=4=zsh8h6=4?:382a=>72ko2>6sta3a94?6=:3;n45?5bd;7?x}f:h0;6=4=:0g;e9;1>gcf:2wpm?m50;296?7b0091nho<;|yb6f<72909650;095`>>=3hnm85r{`0`>5<72;0:i579:cgb2>{|i;k1<7>52;3f5<528o3m54mec18y~g5i3:1<7<51d:ba?dbk:1vwl7<6m1h?6oklc:xe7g=83:1>7?j8cc9f`b23tqj>n4?:181>4c?jk0iii84}zc1g?6=8381=h6mc;`f`2=zsh8h6=4?:382a=dc2koo46sta3a94?6=:3;n4ok5bdf:?x}f:j0;6=4=:0g;fce9f2>gcb?2wpm?o50;296?7b0m=1nhh?;|yb6d<72909650;095`>b;3hm5<72;0:i5k6:cd3f>{|i;k1<7>52;3f<``=jo;?7pun2`83>5<528o3j84mf0f8y~g5i3:1<7<51d:ef?da:?1vwl7<6m0::6oh=f:xe7g=83:1>7?j9159fc5?3tqj>l4?:181>4c>8m0ij9?4}zc1g?6=8381=h7?e;`e01=zsh8h6=4?:382a<6a2kl?>6sta3a94?6=:3;n5<>5bg60?x}f:j0;6=4=:0g:54e83`>g`3i2wpm?m50;296?7b18n1nk:m;|yb6f<72909650;095`?6n3hm8i5r{`0`>5<72;0:i4{|i;k1<7>52;3f=7e=jo?97pun2`83>5<528o2??4mf4`8y~g5i3:1<7<51d;0=1vwl7<6m09n6oh9d:xe7g=83:1>7?j9569fc113tqj>l4?:181>4c>e84f>g`e=2wpm?o50;296?7b1>>1nklj;|yb6d<72909650;095`?0m3hmoh5r{`0`>5<72;0:i49i:cd`b>{|i;i1<7>52;3f==6=jon;7pun2b83>5<528o24<4mfe38y~g5k3:1<7<51d;;6?dal;1vwl7<6m0286ohk3:xe7g=83:1>7?j99d9fcb03tqj>l4?:181>4c>1<0ijh>4}zc1e?6=8381=h76b;`ea<=zsh8j6=4?:382a6sta3c94?6=:3;n5l95bgda?x}f:h0;6=4=:0g:ea0qvo=a;294?4=9l3i?7m?0e9~d4f290;6?4>e8`:>f66>2wpm?o50;296?7b1kl1o=?i;|yb6d<72909650;095`?dj3i;?<5r{`0b>5<72;0:i4j>:b20e>{|i;k1<7>52;3f=a1=k9>87pun2`83>5<528o2hi4l05a8y~g5i3:1<7<51d;f6?e7==1vwl7<6m0o<6n>:c:xe7g=83:1>7?j9da9g5033tqj>l4?:181>4c>n80h<;m4}zc1e?6=8381=h7i6;a331=zsh8j6=4?:382a<`e2j:e`2f>f6>j2wpm?m50;296?7bi9l1o=7n;|yb6f<72909650;095`g693i;545r{`0`>5<72;0:il?=:b2:g>{|i;k1<7>52;3fe4c=k9k;7pun2`83>5<528oj>>4l0`:8y~g5k3:1<7<51dc10?e7ih1vwl7<6mh8>6n>nb:xe7e=83:1>7?ja349g5g>3tqj>n4?:181>4cf:>0h;5c1`1?x}f:j0;6=4=:0gb73e`1;>f6e<2wpm?m50;296?7bi:31o=l9;|yb6d<72909650;095`g3>3i;no5r{`0`>5<72;0:il:8:b2aa>{|i;i1<7>52;3fe1>=k9hh7pun2b83>5<528oj844l0cf8y~g5k3:1<7<51dc7e?e7jo1vwl7<6mh?=6n>l3:xe7e=83:1>7?ja459g5e33tqj>n4?:181>4cf=10he`4:>f6dl2wpm?m50;296?7bi?k1o=mi;|yb6f<72909650;095`g1k3i;h<5r{`0b>5<72;0:il97:b2g1>{|i;i1<7>52;3fe2?=k9n27pun2b83>5<528oj;l4l0e48y~g5k3:1<7<51dc4f?e7l11vwl7<6mh=h6n>k7:xe7e=83:1>7?ja6f9g5bf3tqj>l4?:181>4cf000he2j:oj6sta3a94?6=:3;nm5m5c1g3?x}f:j0;6=4=:0gbe`;g>f6bn2wpm?m50;296?7bi0o1o=h<;|yb6f<72909650;095`gf83i;j<5r{`0`>5<72;0:ilo>:b2e6>{|i;i1<7>52;3fed4=k9l?7pun2`83>5<528ojmh4l0g:8y~g5k3:1<7<51dcbb?e7nj1vwl7<6mhh;6n>i9:xe7e=83:1>7?jac39g5`f3tqj>n4?:181>4cfj;0h5c026?x}f:j0;6=4=:0gbg47m>029~d4d290;6?4>e`a0>f77<2wpm?m50;296?7bij>1o<>9;|yb6d<72909650;095`gc93i:5<72;0:ilj=:b33f>{|i;i1<7>52;3fea5=k8:h7pun2b83>5<528ojh94l11f8y~g5k3:1<7<51dcg1?e68o1vwl7<6mho:6n?>3:xe7e=83:1>7?jad09g4713tqj>n4?:181>4cfm:0h=<94}zc1g?6=8381=hoj4;a251=zsh8h6=4?:382adc22j;:96sta3a94?6=:3;nmh85c03;?x}f:h0;6=4=:0gbb7259~d4f290;6?4>e`d`>f75k2wpm?o50;296?7bj9;1o<=;;|yb6d<72909650;095`d7j3i:895r{`0`>5<72;0:io>l:b372>{|i;i1<7>52;3ff5b=k8>37pun2b83>5<528oi1vwl7<6mk;;6n?;9:xe7g=83:1>7?jb0a9g42c3tqj>n4?:181>4ce9m0h=9h4}zc1g?6=8381=hl>e;a214=zsh8h6=4?:382ag7a2j;?i6sta3a94?6=:3;nn?>5c073?x}f:j0;6=4=:0ga64579~d4d290;6?4>ec0f>f72?2wpm?m50;296?7bj;l1o<;n;|yb6f<72909650;095`d493i:945r{`0`>5<72;0:io==:b36f>{|i;k1<7>52;3ff6c=k8?m7pun2b83>5<528oi?k4l1728y~g5k3:1<7<51d`74?e6>:1vwl7<6mk>:6n?91:xe7e=83:1>7?jb509g4053tqj>n4?:181>4ce<:0h=;:4}zc1e?6=8381=hl;f;a22==zsh8h6=4?:382ag372j;=56sta3a94?6=:3;nn8?5c04`?x}f:j0;6=4=:0ga17?7m>6c9~d4d290;6?4>ec77>f71l2wpm?o50;296?7bj?:1o<9>;|yb6f<72909650;095`d1:3i:;85r{`0`>5<72;0:io8<:b347>{|i;i1<7>52;3ff32=k8=?7pun2b83>5<528oi:84l1648y~g5i3:1<7<51d`45?e6?h1vwl7<6mk=96n?8d:xe7e=83:1>7?jb619g41e3tqj>n4?:181>4ce?=0h=:m4}zc1g?6=8381=hl85;a23`=zsh8j6=4?:382ag1a2j;3>6sta3a94?6=:3;nn5>5c0:6?x}f:j0;6=4=:0ga<47m>859~d4d290;6?4>ec:0>f7?>2wpm?o50;296?7bj1n1o<6n;|yb6f<72909650;095`d?n3i:4o5r{`0`>5<72;0:io7?:b3;g>{|i;i1<7>52;3ff<7=k82n7pun2`83>5<528oi5o4l1808y~g5k3:1<7<51d`:g?e61<1vwl7<6mk3o6n?63:xe7e=83:1>7?jb8g9g4?33tqj>n4?:181>4ce1o0h=484}zc1e?6=8381=hln9;a2=d=zsh8h6=4?:382aggf2j;2h6sta3a94?6=:3;nnll5c0;a?x}f:j0;6=4=:0gaef9d9~d4f290;6?4>ec`4>f7f:2wpm?m50;296?7bjk21o50;095`dei3i:m95r{`0`>5<72;0:iolm:b3b2>{|i;k1<7>52;3fff3=k8kj7pun2b83>5<528oio;4l1`f8y~g5k3:1<7<51d``3?e6ik1vwl7<6mki36n?nc:xe7e=83:1>7?jbb;9g4gb3tqj>l4?:181>4cel:0h=o<4}zc1g?6=8381=hlk4;a2f0=zsh8h6=4?:382agb22j;i?6sta3a94?6=:3;nni85c0`7?x}f:j0;6=4=:0ga`2b`9~d4d290;6?4>ecg1>f7ej2wpm?m50;296?7bjl91o50;095`db=3i:nh5r{`0`>5<72;0:iok9:b3ab>{|i;k1<7>52;3ffc4=k8i87pun2b83>5<528oij>4l1b68y~g5k3:1<7<51d`e0?e6k<1vwl7<6mkl>6n?l6:xe7e=83:1>7?jbg49g4e03tqj>n4?:181>4cen>0h=n64}zc1e?6=8381=hm?3;a2gf=zsh8h6=4?:382af632j;hh6sta3a94?6=:3;no=;5c0af?x}f:j0;6=4=:0g`43d19~d4d290;6?4>eb2;>f7c92wpm?o50;296?7bk8>1o50;095`e6>3i:h:5r{`0`>5<72;0:in?8:b3g<>{|i;i1<7>52;3fg4>=k8n27pun2b83>5<528oh=44l1ec8y~g5i3:1<7<51da11?e6ll1vwl7<6mj8=6n?kf:xe7e=83:1>7?jc359g4c73tqj>n4?:181>4cd:10h=h?4}zc1g?6=8381=hm=9;a2a7=zsh8h6=4?:382af4f2j;n?6sta3c94?6=:3;no>85c0g4?x}f:j0;6=4=:0g`72e89~d4d290;6?4>eb1:>f7bi2wpm?m50;296?7bk:k1o50;095`e3?3i:j=5r{`0`>5<72;0:in:7:b3e5>{|i;i1<7>52;3fg1?=k8l97pun2b83>5<528oh8l4l1g18y~g5k3:1<7<51da7f?e6n=1vwl7<6mj>h6n?i5:xe7g=83:1>7?jc4:9g4`>3tqj>n4?:181>4cd=00h=ko4}zc1g?6=8381=hm:a;a2bg=zsh8h6=4?:382af3e2j;mo6sta3a94?6=:3;no8m5c0dg?x}f:j0;6=4=:0g`1aeb4b>f47;2wpm?m50;296?7bk?h1o?>;;|yb6f<72909650;095`e1l3i9<;5r{`0`>5<72;0:in8j:b033>{|i;k1<7>52;3fg2g=k;:i7pun2b83>5<528oh;o4l21a8y~g5k3:1<7<51da4g?e58m1vwl7<6mj=o6n7?jc6g9g76a3tqj>n4?:181>4cd?o0h><>4}zc1e?6=8381=hm7b;a151=zsh8h6=4?:382af>d2j8:96sta3a94?6=:3;no5j5c335?x}f:j0;6=4=:0g`<`eb;3>f4612wpm?o50;296?7bk0i1o??k;|yb6f<72909650;095`e>m3i9=k5r{`0`>5<72;0:in7i:b014>{|i;i1<7>52;3fgd6=k;8:7pun2b83>5<528ohm<4l2308y~g5i3:1<7<51dab`?e5:?1vwl7<6mjkn6n<=7:xe7e=83:1>7?jc`d9g74?3tqj>n4?:181>4cdj90h>?74}zc1g?6=8381=hmm1;a16d=zsh8h6=4?:382afd52j89n6sta3c94?6=:3;nook5c30e?x}f:j0;6=4=:0g`fceba2>f44:2wpm?m50;296?7bkj81o?=<;|yb6f<72909650;095`edn3i9?55r{`0`>5<72;0:inj?:b00=>{|i;i1<7>52;3fga7=k;9j7pun2b83>5<528ohh?4l22`8y~g5k3:1<7<51dag7?e5;j1vwl7<6mjn?6n<7?jcd29g7263tqj>n4?:181>4cdm80h>9<4}zc1g?6=8381=hmj2;a106=zsh8h6=4?:382afc42j8?86sta3a94?6=:3;noh:5c366?x}f:j0;6=4=:0g`a0ebd1>f43j2wpm?m50;296?7bko91o?:l;|yb6f<72909650;095`ea=3i98h5r{`0`>5<72;0:inh9:b07b>{|i;k1<7>52;3f`54=k;?87pun2b83>5<528oo<>4l2468y~g5k3:1<7<51df30?e5=<1vwl7<6mm:>6n<:6:xe7e=83:1>7?jd149g7303tqj>n4?:181>4cc8>0h>864}zc1e?6=8381=hj>3;a11f=zsh8h6=4?:382aa732j8>h6sta3a94?6=:3;nh<;5c37f?x}f:j0;6=4=:0gg53ee3;>f4192wpm?o50;296?7bl;>1o?8:;|yb6f<72909650;095`b5>3i9::5r{`0`>5<72;0:ii<8:b05<>{|i;i1<7>52;3f`7>=k;<27pun2b83>5<528oo>44l27c8y~g5i3:1<7<51df01?e5>l1vwl7<6mm9=6n<9f:xe7e=83:1>7?jd259g7173tqj>n4?:181>4cc;10h>:?4}zc1g?6=8381=hj<9;a137=zsh8h6=4?:382aa5f2j820qvo=c;294?4=9ln?47m=789~d4d290;6?4>ee6:>f40i2wpm?m50;296?7bl=k1o?9m;|yb6f<72909650;095`b2?3i94=5r{`0`>5<72;0:ii;7:b0;5>{|i;i1<7>52;3f`0?=k;297pun2b83>5<528oo9l4l2918y~g5k3:1<7<51df6f?e50=1vwl7<6mm?h6n<75:xe7g=83:1>7?jd7:9g7>>3tqj>n4?:181>4cc>00h>5o4}zc1g?6=8381=hj9a;a14>ee5e>f4>j339hl5r{`0`>5<72;0:ii6?:80ga>{|i;i1<7>52;3f`=0=k;k;7pun2b83>5<428oo4<4l28g9=7be3tqj>n4?:181>4cc0;0h>4m4}zc1g?6=8381=hj73;a1=a=zsh8h6=4?:382aa>3208oh6sta3a94?6=:3;nh5;593f`?x}f:h0;6=4=:0gg=c0qvo=c;294?4=9lnj<7m=a69~d4d290;6?4>eec2>f4f=2wpm?m50;296?7blh81o?o9;|yb6f<72909650;095`bfl3i9mn5r{`0`>5<72;0:iioj:b0bb>{|i;i1<7>52;3f`d`=k;ko7pun2b83>5<528oon=4l2`g8y~g5k3:1<7<51dfa5?e5j91vwl7<6mmhi6n7?jdb39g7dc3tqj>l4?:181>4cck>0h>n84}zc1e?6=8381=hjld;a1gc=zsh8j6=4?:382aab42j8o46sta3a94?6=:3;nhi:5c3f:?x}f:j0;6=4=:0gg`0eef4>f4cj2wpm?m50;296?7blm21o?jk;|yb6d<72909650;095`bb=3i9i?5r{`0`>5<72;0:iik9:b0f1>{|i;i1<7>52;3f``1=k;o87pun2b83>5<528ooi54l2d68y~g5k3:1<7<51dff=?e5m?1vwl7<6mml>6n7?jdg49g7ce3tqj>n4?:181>4ccn>0h>hm4}zc1g?6=8381=hji8;a1aa=zsh8h6=4?:382aa`>2j8ni6sta3c94?6=:3;ni==5c3da?x}f:h0;6=4=:0gf4<ed36>f56n2wpm?o50;296?7bm8h1o><7;|yb6d<72909650;095`c5?3i8?l5r{`0b>5<72;0:ih{|i;k1<7>52;3fa65=k:>h7pun2`83>5<528on?44l3478y~g5i3:1<7<51dg0b?e4=l1vwl7<6ml>>6n=97:xe7g=83:1>7?je5`9g6173tqj>l4?:181>4cb=80h?:74}zc1e?6=8381=hk:7;a0<7=zsh8j6=4?:382a`3c2j93n6sta3c94?6=:3;ni;=5c2;7?x}f:h0;6=4=:0gf2<ed56>f5fn2wpm?o50;296?7bm>h1o>l7;|yb6d<72909650;095`c??3i8ol5r{`0b>5<72;0:ih6k:b1g7>{|i;k1<7>52;3fa<5=k:nh7pun2b83>5<528on594l3ed8y~g5k3:1<7<51dg:1?e4lm1vwl7<6ml3=6n=ke:xe7e=83:1>7?je859g6c73tqj>l4?:181>4cbi80h?h:4}zc1e?6=8381=hkn7;a0aa=zsh8h6=4?:382a`g?2j9ni6sta3a94?6=:3;nil75c2ge?x}f:j0;6=4=:0gfededc`>f5a:2wpm?o50;296?7bmk21o>h9;|yb6d<72909650;095`cen3i?<>5r{`0`>5<72;0:ihm?:b635>{|i;i1<7>52;3faf7=k=:;7pun2b83>5<528ono?4l4108y~g5k3:1<7<51dg`7?e38=1vwl7<6mlim6n:?5:xe7g=83:1>7?jee39g1603tqj>l4?:181>4cbl:0h8=74}zc1e?6=8381=hkk5;a74g=zsh8j6=4?:382a`b02j>;h6sta3c94?6=:3;nii75c52e?x}f:h0;6=4=:0gf`gedfe>f26=2wpm?o50;296?7bml;1o9?8;|yb6d<72909650;095`cb=3i?=o5r{`0b>5<72;0:ihk8:b62`>{|i;k1<7>52;3fa`?=k=;m7pun2`83>5<528onio4l4338y~g5i3:1<7<51dgf`?e3::1vwl7<6mlom6n:=5:xe7g=83:1>7?jeg39g1403tqj>l4?:181>4cbn:0h8?74}zc1e?6=8381=hki5;a76g=zsh8j6=4?:382a``02j>9h6sta3c94?6=:3;nik75c50e?x}f:h0;6=4=:0gfbgedde>f24=2wpm?o50;296?7bn9;1o9=8;|yb6d<72909650;095``7=3i??o5r{`0b>5<72;0:ik>8:b60`>{|i;k1<7>52;3fb5?=k=9m7pun2`83>5<528om7<6mo:m6n:;5:xe7g=83:1>7?jf039g1203tqj>l4?:181>4ca9:0h8974}zc1e?6=8381=hh>5;a70g=zsh8j6=4?:382ac702j>?h6sta3c94?6=:3;nj<75c56e?x}f:h0;6=4=:0ge5geg3e>f22=2wpm?o50;296?7bn;;1o9;8;|yb6d<72909650;095``5=3i?9o5r{`0b>5<72;0:ik<8:b66`>{|i;k1<7>52;3fb7?=k=?m7pun2`83>5<528om>o4l4738y~g5i3:1<7<51dd1`?e3>:1vwl7<6mo8m6n:95:xe7g=83:1>7?jf239g1003tqj>l4?:181>4ca;:0h8;74}zc1e?6=8381=hh<5;a72g=zsh8j6=4?:382ac502j>=h6sta3c94?6=:3;nj>75c54e?x}f:h0;6=4=:0ge7g;0qvo=a;294?4=9ll8i7m;759~d4f290;6?4>eg63>f20>2wpm?o50;296?7bn=919=6>;|yb6f<72909650;095``3=3?;4>5r{`0b>5<72;0:ik:j:424f>{|i;i1<7>52;3fb1`==9=h7pun2b83>5<528om9=4:06f8y~g5i3:1<7<51dd6=?37?11vwl7<6mo?j68>89:xe7e=83:1>7?jf4`9151f3tqj>l4?:1811?7bn?>1884;7;`:g>>=3h3;?4m85d9f=4d2k2;57l8e78a3f5=j>k;6o98d;`41dg01=3h=8?4m60d9f0`d2k?o57l:b78a1<5=j<=;6o;;d;`66d<6;180qvo=a;294?45>3;nj;;5b8c4>g?e83h2n44m9b39f2k3o=7l6d88a=`6=j0o<6o7jf;`:b=7:cc3b?df910im??5b`0b>gg4;3hj?n4ma579fd2b2kk>;7ln618ae3?=jh=96oo8b;`b<1ggd;3hjon4mae79fdbb2kkn;7lnf18aec?=jk:96ol?b;`a51gd2;3hi9n4mb779fg0b2kh<;7lm818af=1=jk2n6ol65;`a=agdc>3hihi4mbd49fgcc2khm87lmfc8ag54=jj:j6om>2;``5g1nnge2=3hh9h4mc759ff>d2ki2?7ll9b8agd3=jjkn6omm7;``g=gb7;3ho96oj;b;`g11gb>;3ho5n4md`79fagb2kni;7lkc68a`a6=jmn26ojj2;`gag1nihk:cg32?db8o0ii<65bd02>gc5i3hn?>4me2`9f`242ko?n7lj528aa0d=jl=96ok8b;`f<10iio>5bda3>gcd13hnh?4mee`9f`c32konh7ljf78aac`=jo:36oh>1;`e5dg`203hm:<4mf7c9fc142klg`b>3hmik4mfg:9g5662j:;m7m?128`44e=k98>6n>=e;a372f6093i;;44l0909g5>e2j:287m?9e8`4d3=k9kn6n>m7;a3g5f6am3i:<:4l1029g47>2j;9=7m>288`567=k8926n?;1;a20dl0h=:95c05e>f7??3i:4k4l1859g4?a2j;j;7m>ag8`5g1=k8i;6n?l9;a2`7f4693i9=l4l2319g74d2j8897m=3d8`611=k;?;6n<:9;a1275h5c3;;>f4f93i9m44l2c39g7df2j8h?7m=cb8`6a3=k;nn6n?<:b12g?e4:<0h??k5c214>f5383i8844l3409g63e2j9=87m<6e8`720=k:=m6n=78;a0=4o<:b1bg?e4j<0h?ok5c2a4>f5c83i8h44l3d39g6cf2j9m?7m?:l03;;k58911>=10:323:?477649<=0121=2=6597a;:4`70lh03;48586;b>=1>m32hj659me;:4g70ll03;h<586g5>=1bi32?9l034?<58915>=>4i323?h478509<=21212?m7674d8;<04=01?=656:a;:;1`???034:o5895f>=>?:3234;4789c9<=>b2122>767978;<?j?034oo589`f>=>d:323o;478bc9<=eb212o>767d78;?n?034ko589df>=?7:322<;4791c9<<6b213:>766178;=4g=00;n657=2;::63>;?035>o5881f>=?3:3228;4795c9<<2b213>>766578;=0g=00?n65792;::23>??035:o5885f>=??:3224;4799c9<<>b2132>766978;=>j?035oo588`f>=?d:322o;479bc9<766d78;=ag=00nn657j2;::a3>n?035ko588df>=g7:32j<;47a1c976n178;e60=0h;j65o=6;:b5`f;;03m>o58`1f>=g3:32j8;47a5c9>76n578;e0g=0h?n65o92;:b23f??03m:o58`5f>=g?:32j4;47a9c9b21k2>76n978;efj?03moo58``f>=gd:32jo;47abc976nd78;eag=i;o96l:6e;c7e41m9o8:`6be?g3im0j8o>5a5`0>d2e>3k?n44n4ca9e1da2h>h>7o;c48b0f>=i=ii6l:le;c7`41m9j8:`6ge?g3lm0j8h>5a5g0>d2b>3k?i44n4da9e1ca3twKL]ur@A \ No newline at end of file diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.prj b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.prj deleted file mode 100644 index 9eec4c42..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.prj +++ /dev/null @@ -1,53 +0,0 @@ -vhdl work "zpu_config.vhd" -vhdl work "../../../../../zpupkg.vhd" -vhdl work "../../zpuino_config.vhd" -vhdl work "../../../../../zpuinopkg.vhd" -vhdl work "../../../../../prescaler.vhd" -vhdl work "../../../../../uart_brgen.vhd" -vhdl work "../../../../../tx_unit.vhd" -vhdl work "../../../../../timer.vhd" -vhdl work "../../../../../spiclkgen.vhd" -vhdl work "../../../../../spi.vhd" -vhdl work "../../../../../zpuino_uart_rx.vhd" -vhdl work "../../../../../zpuino_uart_mv_filter.vhd" -vhdl work "../../../../../fifo.vhd" -vhdl work "prom-generic-dp-32.vhd" -vhdl work "../../../../../zpuino_uart.vhd" -vhdl work "../../../../../zpuino_timers.vhd" -vhdl work "../../../../../zpuino_spi.vhd" -vhdl work "../../../../../zpuino_sigmadelta.vhd" -vhdl work "../../../../../zpuino_intr.vhd" -vhdl work "../../../../../zpuino_gpio.vhd" -vhdl work "../../../../../zpuino_serialreset.vhd" -vhdl work "../../../../../zpuino_crc16.vhd" -vhdl work "../../../../../zpuino_adc.vhd" -vhdl work "../../../../../zpuino_empty_device.vhd" -vhdl work "../../../../../dualport_ram.vhd" -vhdl work "../../../../../zpuino_io.vhd" -vhdl work "../../../../../wishbonepkg.vhd" -vhdl work "../../../../../zpuino_top.vhd" -vhdl work "../../../../../pulse.vhd" -vhdl work "../../../../../shifter.vhd" -vhdl work "../../../../../mult.vhd" -vhdl work "../../../../../zpu_core_extreme.vhd" -vhdl work "../../../../../wb_rom_ram.vhd" -vhdl work "../../../../../wbmux2.vhd" -vhdl work "../../../../../wbarb2_1.vhd" -vhdl work "../../../../../zpuino_debug_spartan3e.vhd" -vhdl work "../../../../../zpuino_debug_core.vhd" -vhdl work "../../../../../zpuino_debug_jtag.vhd" -vhdl work "../../../../../jtag_chain.vhd" -vhdl work "../../../../../contrib/simple_sigmadelta.vhd" -vhdl work "../../../../../contrib/zpuino_YM2149_linmix.vhd" -vhdl work "../../../../../contrib/NetSID/src/wb_sid6581.vhd" -vhdl work "../../../../../contrib/NetSID/src/sid_components.vhd" -vhdl work "../../../../../contrib/NetSID/src/sid_voice.vhd" -vhdl work "../../../../../contrib/NetSID/src/sid_6581.vhd" -vhdl work "../../../../../zpuino_vga_ram.vhd" -vhdl work "../../../../../wb_char_ram_8x8_sp.vhd" -vhdl work "../../../../../zpuino_vga.vhd" -vhdl work "../../../../../generic_dp_ram.vhd" -vhdl work "../../stack.vhd" -vhdl work "clkgen.vhd" -vhdl work "papilio_one_top.vhd" -vhdl work "../../../../../pad.vhd" diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.psr b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.psr deleted file mode 100644 index ec7bbb24..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.psr +++ /dev/null @@ -1,35 +0,0 @@ -Release 14.2 Physical Synthesis Report P.28xd (nt64) -Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. - -TABLE OF CONTENTS - 1) Physical Synthesis Options Summary - 2) Optimizations statistics and details - - -========================================================================= -* Physical Synthesis Options Summary * -========================================================================= ----- Options -Global Optimization : OFF - Retiming : OFF - Equivalent Register Removal : OFF -Timing-Driven Packing and Placement : ON - Logic Optimization : ON - Register Duplication : ON - ----- Intelligent clock gating : OFF - ----- Target Parameters -Target Device : 3s500evq100-4 - -========================================================================= - - -========================================================================= -* Optimizations * -========================================================================= ----- Statistics -No sequential optimizations have been performed. - - Flops added for Enable Generation -------------------------- diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ucf b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ucf deleted file mode 100644 index 9853e6b2..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ucf +++ /dev/null @@ -1,80 +0,0 @@ -################################################################################## -## papilio_one.ucf -## -## Author: Alvaro Lopes, Jack Gasset -## -## Contains assignment and iostandard information for -## all used pins as well as timing and area constraints for -## Papilio One Version 2.03 and greater that uses 32Mhz oscillator. -## -################################################################################## - -# Crystal Clock - use 32MHz onboard oscillator -NET "clk" LOC = "P89" | IOSTANDARD = LVCMOS25 | PERIOD = 31.25ns ; -#NET "clk" LOC = "P89" | IOSTANDARD = LVCMOS25 | PERIOD = 31.00ns ; - -# Wing1 Column A -NET "WING_A<0>" LOC = "P18" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A0 -NET "WING_A<1>" LOC = "P23" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A1 -NET "WING_A<2>" LOC = "P26" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A2 -NET "WING_A<3>" LOC = "P33" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A3 -NET "WING_A<4>" LOC = "P35" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A4 -NET "WING_A<5>" LOC = "P40" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A5 -NET "WING_A<6>" LOC = "P53" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A6 -NET "WING_A<7>" LOC = "P57" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A7 -NET "WING_A<8>" LOC = "P60" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A8 -NET "WING_A<9>" LOC = "P62" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A9 -NET "WING_A<10>" LOC = "P65" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A10 -NET "WING_A<11>" LOC = "P67" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A11 -NET "WING_A<12>" LOC = "P70" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A12 -NET "WING_A<13>" LOC = "P79" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A13 -NET "WING_A<14>" LOC = "P84" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A14 -NET "WING_A<15>" LOC = "P86" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A15 - -# Wing1 Column B -NET "WING_B<0>" LOC = "P85" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B0 -NET "WING_B<1>" LOC = "P83" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B1 -NET "WING_B<2>" LOC = "P78" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B2 -NET "WING_B<3>" LOC = "P71" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B3 -NET "WING_B<4>" LOC = "P68" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B4 -NET "WING_B<5>" LOC = "P66" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B5 -NET "WING_B<6>" LOC = "P63" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B6 -NET "WING_B<7>" LOC = "P61" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B7 -NET "WING_B<8>" LOC = "P58" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B8 -NET "WING_B<9>" LOC = "P54" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B9 -NET "WING_B<10>" LOC = "P41" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B10 -NET "WING_B<11>" LOC = "P36" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B11 -NET "WING_B<12>" LOC = "P34" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B12 -NET "WING_B<13>" LOC = "P32" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B13 -NET "WING_B<14>" LOC = "P25" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B14 -NET "WING_B<15>" LOC = "P22" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B15 - -# Wing2 Column A -NET "WING_C<0>" LOC = "P91" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C0 -NET "WING_C<1>" LOC = "P92" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C1 -NET "WING_C<2>" LOC = "P94" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C2 -NET "WING_C<3>" LOC = "P95" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C3 -NET "WING_C<4>" LOC = "P98" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C4 -NET "WING_C<5>" LOC = "P2" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C5 -NET "WING_C<6>" LOC = "P3" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C6 -NET "WING_C<7>" LOC = "P4" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C7 -NET "WING_C<8>" LOC = "P5" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C8 -NET "WING_C<9>" LOC = "P9" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C9 -NET "WING_C<10>" LOC = "P10" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C10 -NET "WING_C<11>" LOC = "P11" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C11 -NET "WING_C<12>" LOC = "P12" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C12 -NET "WING_C<13>" LOC = "P15" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C13 -NET "WING_C<14>" LOC = "P16" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C14 -NET "WING_C<15>" LOC = "P17" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C15 - -## RS232 -NET "rxd" LOC = "P88" | IOSTANDARD = LVTTL ; -NET "txd" LOC = "P90" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = FAST ; - -## SPI flash -NET "SPI_CS" LOC = "P24" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; -NET "SPI_SCK" LOC = "P50" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8; -NET "SPI_MISO" LOC = "P44" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8; -NET "SPI_MOSI" LOC = "P27" | IOSTANDARD = LVCMOS33 ; - -#NET "vgaclk" TIG; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ut b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ut deleted file mode 100644 index f23d2ae0..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.ut +++ /dev/null @@ -1,21 +0,0 @@ --w --g DebugBitstream:No --g Binary:no --g CRC:Enable --g ConfigRate:25 --g ProgPin:PullUp --g DonePin:PullUp --g TckPin:PullUp --g TdiPin:PullUp --g TdoPin:PullUp --g TmsPin:PullUp --g UnusedPin:PullDown --g UserID:0xFFFFFFFF --g StartUpClk:CClk --g DONE_cycle:4 --g GTS_cycle:5 --g GWE_cycle:6 --g LCK_cycle:NoWait --g Security:None --g DonePipe:No --g DriveDone:No diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.xst b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.xst deleted file mode 100644 index d70ccce2..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one.xst +++ /dev/null @@ -1,58 +0,0 @@ -set -tmpdir "xst/projnav.tmp" -set -xsthdpdir "xst" -run --ifn papilio_one.prj --ifmt mixed --ofn papilio_one --ofmt NGC --p xc3s500e-4-vq100 --top papilio_one_top --opt_mode Speed --opt_level 2 --iuc NO --keep_hierarchy No --netlist_hierarchy As_Optimized --rtlview Yes --glob_opt AllClockNets --read_cores YES --write_timing_constraints YES --cross_clock_analysis NO --hierarchy_separator / --bus_delimiter <> --case Maintain --slice_utilization_ratio 100 --bram_utilization_ratio 100 --verilog2001 YES --fsm_extract YES -fsm_encoding Auto --safe_implementation No --fsm_style LUT --ram_extract Yes --ram_style Auto --rom_extract Yes --mux_style Auto --decoder_extract YES --priority_extract Yes --shreg_extract YES --shift_extract YES --xor_collapse YES --rom_style Auto --auto_bram_packing No --mux_extract Yes --resource_sharing NO --async_to_sync NO --mult_style Auto --iobuf YES --max_fanout 500 --bufg 24 --register_duplication YES --register_balancing Yes --move_first_stage YES --move_last_stage YES --slice_packing YES --optimize_primitives YES --use_clock_enable Auto --use_sync_set Auto --use_sync_reset Auto --iob Auto --equivalent_register_removal No --slice_utilization_ratio_maxmargin 5 diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_routed.bin b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_routed.bin deleted file mode 100644 index 86167bd322fa06121da12ebff20d8e5239f1889d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 283776 zcmeFa4|pV3btii2PfOK3C8&NuOA*ft@~ zJl1(>i1T7t7GG(UAdd&!fZ?-wCSPN4c2Jz44c>2Ofp1e|*?7R>d9qG6elKy{{*!!p zhG!HUCw^w2-|yU8x4XL4(rCs6lkdxss_r@Go_p@Of2-=Ay48(Fqp^JZmDk3k93twC zoic~z*bxrJ7yotaB#v{h_+(58^C^|f$AtJ6?ZhOh9zm>BaN`J5}=k^ChiJMGqnh^#r$7e-zkWEm6DU2Ou{xF07zF*4DX z-MP|jiC;pZ({63bzueTlge{V0jeF}h=o~9bwMD;VM5kTnO21@=cVygYcSp1)y}Zn@ zJ#zB(4E@rfPQKih`PpgFCjXN{IQiOErg$6~*jpna&;kAyw@UNlh)eCd&*pWmv`zO? zhn;r6)b{*K{{K<~FG&rkZRaYtE%{>;^gD9u@1Q&5JLwMbmyF!5U7d2B^h;6ziK`Qi zCID}yaL(I_>SZ+ z8M(DxJW5hfX_zgTg14$2R6EI!5@eM~#Hnm@mIbXi)F8XY+gJ|ggSN>e;`BCywo3tD z7~1Abj^(F+g`)-18mC&kbHU_h!eX-s=BrF(=ZZuoYEv{!W2!pjDhRo}e%q|jO5LT- zTamyr@r;T?odrJsq=DGVAZPO-+Gu?DNkz${ zoyi-GXP5A@Q{y{piB$CaJ8b-yYK?})vmwh``uX)0VwyqGxB43DJ{xu3VMEnwztyO( zJ7|#`8_UNV-%pguq47ea@g|)7sd2Nr0Z@29n@GT+8X2Q>&web4Gdhj zws&vinHvZG-IoUR{J4DA`oQ|SO=Cin2I@9}Y~C!=NwY0R2cH?g^2$z`O;m52?L^JH z^!7C9n{Jn@Zs?N&zj@tour%Uzk!x4BIfYwym3@?PB3bsyt6>SX+~dA}o|G&*bn(j9 zLs|CRk%d2B1m7>d`&&vTJ`L6aUnV{NgzUSIM2{bwJV3HcG@$|=j;p`X;pX|{PC$0X zwwGHZM6ZAdhdf|eenS@q|NKE;LR6RZn=CuI!#YLt%EN8IwRpZTPVE*PVOkaMJHq*> z@du7b4yTeQ7d3zNBL{W(txpCzOy%PLhaev)`m>{2-?%d2nEHgKr#7|FL}Vr;)1RQ+ zz=BR+R5siopUO28XgW!`U4mNY^Jps5JxRTb2Akj%BZ5yn57sGR6c5%l9h}QaYa(;z zbPjpy!Bvs0j^BS7{c=sMK0Na!RE=r8DjRbVvaJ*dNz^x(s4_vRL@a@4)8_&RxBcKz0)p;h{ZwriC>Npv3}#YTN@ zO9L?3Ms16T^s0o|maG=aOg@2TU`7L&vqsPRBq_Hb$4HF0GC?c5iI|C4@33D(Ejv-L zFjFPu@1da1BKe8Cgc?^e*om6glD@}nK#o7gVM0=v=+@Uf`R<8o5Wqh-y8KpiztrXbg6}k!>Z=0T#C1qq|gvzE&BL!_%Ty=T>zd z&|$~voQ*Nvszb|9I$pR{XBXq2aP_s!nbMRDg`d*l|BGki5t&4mu_yn@r$ zaPn2F4MCm{pu(czdMyZQJ%4xu7RON^uN`(#$8}EvH~JIW6;Mw#CO23_CehG;l?Z|g znYN%hD2BQ|@`J=Rc74c#IQ?-QtgH$r#PvOVpB;K_Rf z`DR-9NHZb^wn>Ljh?1xdC0!TKvnF>5aR+Q&2n^u!go)~Sk`tJ0-Z1DC9jv*QF%jXV zaop!5kEXt>VD*grCXZ5jq@tjMhG_uxNXxW*pNsd65^YI&=FoU8lq9geB_(z z6Q*dJDbuz!wRA^n-kX$uv?}xb(Sn$!cpOx)&Rq0va|6%dq-*y*H|)6OW7> z6?@4p#DvpZmARF(#oBX;XlL*M=1k$tPm{b9s$NH~BqjRagaiXvx1W!2F(Cf|+#wEJ z%1vJU_-{)P&a`s`R6A_ajhaHZn<8>*?c>)`&G>f2+pJrrV%>cTR>w8;#<2LRq)4uk zs$*=HAf)d6Oo2vvrIpv+r%ALSx>NZ*Bk#Cq#DA;8{5*%M@x{p0;<_k8Qo3A6+lRJ3 z5hS|13Y-8FZHSt6u>^dq+wk% zyPs;^+(SlRD}mLg^Uch0Er}M^-lYs*PY$Vw#ZB)pRDjWou7GA>Aw8~L;1YyPzT5}!@Z78^$k{zUJD&)qP&y5yqVdM3y@-hooWz8_P6l`U^%!(Od z+fyhZiy-1OFjtW$80^l)-7qQfMuN|#(`oFDhjl|o=3dK@>7@H>McclR+7($KOB(X)JHl^o7WV_Ag>D|qvIL4ZjkwS_t+*H8C zaE+wdZ>>L#p7!;dj;;Q<)4a~vCd{;Cpt?bY!)}eYocA`bpzNT z))Z_aT>He6x2eo-`o+eY<$Tf_)b`j3!_R4T&B&C>23y*n75p*cFQPLz`#0LTp~q|e zn`SaoG%BpXyxOR;OB=9|O=579ub(mlz9l#-Y)9s-O3qDSdHHDb%Jc(X^aikoM&obp zY&4!*QuG|Z?V_R4c;V6IWwp$5%xFBu(hMioo?bqt*VP+zEHu354;??=fCun}M_+)4 z(ZY>DblObFah3-^!y(2QET8e^PcJW9-m}ok@cqWR{6S-xo~bt)kKJ0>gZS*}@EPO=nJn6leMl9%3dcX)JKTX3kC5@~q2nzd z@|=c!o*Y^^DAhQJFY9@$B)-xy=rEHX^jH`SrnQ}%C&4BihBs(j`&9iKn)a~olH&)% z8~mYS><-#&Otbb z@*+>)_$ryz;ZwX2zDn)OWLsV}*7K?F<=qSa$Z+brM2!u~FgrN@t-S+nsRX2;Wd&BQ&wo=E1e*BUh& zewN=KdFRFZ(@|bX+k>abNTiPp=PnIufbz6=%9f2CBaNoRi~>sRjd?|SN}gr zlwCL8VSS}X;p6oD3H5*u$N$q`onjxRbHu^Q66|^F%rM(Eyys_iIUMRYcFlexEmshj za&@UEh1zJ=i;Z_8lo`alWV@m`LA`mtm7}r@%<4krBbO+ zvYF;)?K>no$|2xpxD!ZWh%M@noP%I0qML?w=scOq+jQ2ac~fBIc5?|7&C)Om$VPKI zW4uO8{_ec?wTpb+^qC&Jd_l`kD?|aX{Kf(L6U&|rhA8oz z2R$Varm{mrr}VmdLeM|tKH++GXXq3qF4fU3sP~EFlb=k;b3N}>2_yhsFK9%4es%TK zP?9`+`3(GiP7Qp7h_;#&76P2YQTLKwO6t(bV9t!4(l{hM9PmK`63%v>AA48vp&8}m zoF_hqT&vcxqc(t}T%9c7l&B-5{3bsW2KlGKkix$PW!sLi?(w>Rv{b zTjKW+$*D5?s&Eg1_J{X=lrJeXs77P(;L?<=9r}V5t?yE2O7r1lL3jg}TJKQGNc12eMotV(;qJ=a^6Amdmodi^Q&>CVi|`7&eV%4?$6J;N8M}am2^?Lb{%S7 z#GjHV3(0BnxVDBXyx{H3)0C4)dNL8y&DB)a(GDx@kfh1VBncngjRc8h1b*NlPsZWazZR)aejD{hq)A1JV758emE@1 z#T_z_0`$q%FevMV*t%ra2RP2PNrKFMo}oPeht3w=kr3B$+FVn%f&Q( zB?fW>^z0BM9r{MN&q3c_H1p@>=-9j^0oEi%LrQST^}k5Y|>m0HvW?1L0wc-YJl zfj_K>{s&ExuZnp=`<&Ob<_;F@fPIe0ayTagIzL|yzqkH!iRpBTs}D`hrxY~964}r% zrz2Z4V~V6kFms>;iJNGuvdA2donQ<7(!SranS6|7^O;MxUFwE>(ZWY>gw5;+P5a&ovM zsugli7BR{BYMw6@g1fQ|kV3fMfIa9NSOJv^Q-wPbg#>q}^aly?kt{600Nr4)A_}C4 zyElQ(ehfK6x)YF+@&YGZc10~3=c>yYAyGCVp9L&wQ5Jg-^(wz^DIHEJUjgxO3xYBA z>ic3W!Tt^y%#&{7?^34DE-~GRE;-Io^=sy**FEmjF5YWEKYx5_IzeGZdZ&s47QxDZ z^_e2{GtK&->Z6s+M$D(^w?^Z1a$^aAmgEp}JbrW?%;c)UR2};Nwv0=Ss_mrw1s9QG zpJi~Igh_<*2Eoe@45z-!ef6k64lhfl$z7X;qI>GF7QXZ1<5hC+#Nu}D!O7N$gurG{ zZ;Ozi*e(sTP7*|BE=t?#Br;)O683h1!`$?KW^*InML5oKK1q!C-$nU$kt2DT9>8@- z2aBFUPa+HlRBl4Ux@nzipdt!UVI3+(kBnGv4MKGs zxEsLaC~>VAKHR(N(B~-n8tZXXDLxF#5$f!Ae~j*N6v5q1E4ivf%FTei7#YCjGX>ZO z2ZnT~uSb}0yTA@3i?ZwM221cX!hr6A{}w<}H&+1PqnpQ2MICb0x>P7o$@c|l4H5ia z60!vW622*k`yrYY6LbY46yf${4rPY4Ko^W6Ur5wfP=a)b2b93SqKI^;eyJp+_#i1s z?2{w1;A2r!&g-0DkK%`vw+E5HeVUjBEmdKDkgam~S#EZgX2|zpC|q}Y*j+b)ln1!X zej%8JgE2&;PqT8r@9gn+`ho9<{s955GmeSKKM+c~$aj4z_%jpiTg%TvA996Nn6o5t zp5V-YgDi13C>LjO)3~@Oxn7XJ0?U{FtW;`-T&Wfy=tGkrxd0g-$zkohL?!JI6G#`Ei9C$yOQKc~FLn;@> z{ov6G4l0GXXA8dw1oOrU7~G}H`4AQFb1D)mk^`a>73ee~Wsq_7##fh5ATvyIF|3R! z$5n(=&+VW$0b>~}V#w8Wj<_GrQLd_4d}6y=5VcTXIa=w#Lp-ucq1Hmdfytp69A+qS z5fsq^yozwka~+lFtvJxpsj%~q)RvY?l1O3Uh-Tc_ z9YqGg+%NGve^C@2nhwwnxZ_{#Ob@%m?^?_stjKOXFbj%+(WydQ7>BB@J*_LbiB}U>UwqQ=Ra80K)iX$Z(2&*2eOJ@YaASCD$(?$2}>a1FQ~VpF~&n{hmHFk-k^PV+vQ9 zNzXE_69^X0;dUU0p1>il0a)n3Vh2x4Mm>x6a9$`47dOk-=1D8Z+3>pz3|Oo-NO~5! ztAVh>%m*%r6uq2@O`u)PCPH8v(>QQZ@|K)IamGZBM=d5X{jfAH*NosVP0NV{pLos( z=;lIE-U6(sX}*mM+=5QU61`Fy{or$&89u>h$_iKoA4N~}?f3V2_ z!fW45Kcn|AJ@Xtqe9vX$v-pV<&pex@*OI57RXYv1PH2&|SS(#28 zJ1Kw2Je#i#;g$q^|G}r1Tgh#l)sdyY@|BHi`y9{k1FYn8nrCL8ddjBVP6*pibNco5 zFVpkuN1HZg({g;4-Wtc%WCJj-o$m-s+c-;aNKDp`*NQP|wBHiGOQU=9^qj8gOAS&v z8*00ZKC8cFo8cJ0p}{xWf}w)4=rpO$W?m-AHqIu!NoV$ab|yNfJ(|web-}uw38@d8 zk0T7v(%TI=T_-rjP7W?F6LV!(T4vpb(&=5Qt5S-tsKdt~{A=6-U1x8nKrV>$;OpU=?KPiM31HfA6F^RNEa-Yv%R zGxW?d&udvd%ge{I*Scnu*6;IqsmXgbIdMV=5<0HQJvRh1*?&{;v1(r}6lGI)>Rs!I z&&qBW$8%|tUhH)e~M3cn5 z3Hvr%Fo|0OT!`_Qqq25ef$%o=u{w(HN+8#~53})S4UJuGVr}^&rdz&k3C@wYnKi0b zBC~I?MQ_0D>PJUJ>dS0$^_^&&W)o%g>vAY6r=he7O^+6qq)y)|nf7oz*>1N@V0@U7 zN>^#LdK?TUU<+;*^Kn*ana}s60QWmh5m>8F6$_14Ivh;YZvF_4k zSoXE-a|->WC0_5?kC}APMlzG2m2~@&)GIZn~wW8Iz$_EQ_1ODkWFCv68b_S&a(~7K2EFMRcXJ{46J>((MBqpzfHG! zxGr!9SmL)03WBkb#Rg5hdFcOIp~ z&Mo~eiTzWgdN_6yDC6xp-HNJBKr6&LN6`(uBX7$@9gN!^KMD3TXn6re4lmYZz^PW? z^Aya%Nrw{nHUSD17E#LX=Z1rcTlDp$LqE#j0%J>y-VVltQ+l!~il=Wk5UxZIE-lx4 z4usaqL^MPW>9wM4eW+|MQOxpF6Z zxwEiDgW6C}Wzy(}=Kc)hJW#)5qDjbX;PVUbiFA&&t?Cff>&)w1Bhzs)G^c0KHLsv` zqC0WB;!{HW-@WL0T2PTr-x<%ngM{LSCAxqK1E`TrKxuyLkZ1{Lqe_Mz=71T#!s#3U z<6uy7UxH1rMx-S*8gw0jYd~1!96~4R>Ccw_aJn{@2W|b8#zluBnD~y@~BwA;!nKwIGCkqX1bnPTWiB z`}Ih0l3{6E75r&OT{6sz=)hxN>E0j-;dY@0eUL5Juldut+j_B|6o6aZ4rtER+J=tE zvyye(wYl(Drhp6B6%_1H3FwQ~={N$kvGrx9LYW|tw2Qu{m;*ZoXfDpnLK$6V`7?OS zM%`!Xo41PQd=Rot#J6h;w^6S4sYy%x3Vn1IMM-nFfcFfh}fFc)w5A#Mo_RHuN}A! zd@O>;u81O30Z;V=Hzx)KzvHDR`p|)ZZlnbTZyKXZgzc&fw^3D5q}ZVb?+dB`l#YNd zaCMz%wWfN_8T=*IhhodLSmRn<0VeQdCrCnuAzix!tE%uk@rw;{g_}FhX;FP=>aiNWSvd-1yUU8{GfVF#1Oy zU5JEz6kz9Nx~vZ?Bbdq;v=HT~p^^)C_mL=ZJ?J(?#|mD{(fmFN!e&3aG+kZxSJ+7h z4-tDC`ne>LPFXO00vgdl(7`SuM5QGYBE`EvWz>jqZ8F>9z%K0hB6rQ4< zDCS-L*YJpOP>2XESE*<WjQS+xu)-rnHe^2IHnjZn4>z0HS)++_1cxzY_fQ(%*>h#TqV?-F z(`$bw?j<_D$=ak-A0x{%a9$iY=-=SmeD!`uZI>2lo>-1$I9~f2wXU=r;5BUk-p$Y1 zRKy6@ReLk+h+qTIak#ofTVj7IP*f%G5ftaU1Z=Aa;a_ zwV|Qd=bW)8ljmR%_?!c0=CTbQ^HKo5R&8pYEsZTQmDDJLS-I74wqR*XTs(C+Y3APCsh)VSYVq?Q`t( z0Ww{HqX*%gHQn?iEd1>2e8kcZ+<3bRR0R>a zUT^QiV4!uoI3clPQUkYrg2RUF+gk;-`uXt(d*4j; z9OLyTw5p_@fF6I#D(&DH5E_PtV3#(8b52qp;ws%Y^uV|0DS>DWd~7Vm-b(20#mMwv zWRmPQ;y7pE8)#|P_TcVMo+L;-Ne_H-Hx_>%@ILu%uD|pfvAw8X)CD_p`KyuL>cJ@0 zwSjc2ycHi}*gU=4h$AWfw+Al7xbaBviFfm1DX z+Mq();4X5pTL8JlPy&Hq9lpZiMS|~Gb8qkqGLT?ur3l->`VeEV1JpjRD;iKp8%b8D zZSr+`EPtlaX&_T$5NhjuDP7?VlH`SH$VzJ*aTN$~I6MnLY7rV(O5)FiNT)fP-11b{ zOJ|sL>r>I80jkTM{<*g+fi#7cEfcmPb+M5<7drOT(lHtkKy@9oq*_=dMYRCT#HC0v ztI9?{u1LLlPD`-f>%p7W6p5ka#7LrClsRUqKYwkpNgY^rt_hPV2bC_Ea8yO*fhz`zg-`j-tT(`jRuTPz7sJ zW%w4=A>;sVQ4?&xHX_D3teiksuKOyD;=sQ9k+lco%yXSG#{T~L@FitbblOmJ@OK2) z)bv=PIwuEsLIKjhT0zi-MP zQXIvAYeA%*GPpe-)?)*{P%tVk9i?}B{its}e^w=x_dWR$lJLVG=IcRXQ?-RsQ+3PR zks@iB@?*n=H*gE+;qh+g<^h>XnvmOLJ0OgZLjf>2&V}B`LbFTg6I_$%8Ilo2{2gg> zm-tR32$bSDS^%G<8z`|9l+aN_e-^vU6ue}rgbM_mSxZpFDWJQ=DcC8ij)4l)L=|ce zVK)Yxw?HMnh7YSTp0)CTa}#{GAvqHbxXTd`@#meYg5T0{E?HDQUO~W90qpbf)FPJn zrp}M%6x^93p}&72QY0lU37<>DTU78TkULu61!oQqbV8UhCdDFWqcD#-N-3Z|(+ohA zt`0-$h&Ea)7RVqK*iKNTDm7l6?QVJ=S>AqfR} zOnPj6Smg=BT)eQg5a>I(WL))tL%Hx$zQ^Fjd|Ottuy4+s6cF{DFbD--2$3oE1;~tB z{WDW598)dCdVB=6rkXT?R*lJw$sV1*jR3EYu1qOYMr{bpsWoMQbggcDa4D^qtmr1V z?!r1w;mp_JlQ0u{eWoWP?QaCePu{qFXIt?`5#OjOpw()s7TD#7QF*6R4tG>hrysbMU12YaoEW1q z7%kGzz!v25OGsa4Cm9b)uKOSkp%Z#seQsRMk{ z5>r6%Ef1yv*b-?`#JfFdEu#0i3b?KpGdJ<5!_Z4PND8ATxM%D13Mnv`q+rdobQ-3m z?P;<_69Xcd(8?G|p_8`!u3Ki0y0%A`U9&M&GuTty3gJ#~HjkdiH&b z?K;@U*LDb8NBMyNkf()g$heM6?97fV|Y=65%d6fq}5owM07A!+EM zo(q2C)o;1*H!kYY$NQaD`2Kv)M=1kZ5ALPd_c$crw?ujd>!Z}TnX=HXI!d1gaSP`N zLuW&a4_#z@oNTK-_#3nKxWwT4yR*PA{PLLlQI@m7yg$OR7vH1>&Ii+doA^Qd*zSDB zKC7o0dQI#_BRuZD`At55H8CHUW}E>u;705#9~hvnz}ot9b1uSHZPyB6hKM3xb{l??Y(yIuK?`#t2vLox9^4A z-rL{shAVGJyq4j!{dG(mn%~Pbzmd6)Qd#@{DZ^vhXBW{oQlIC~r{8G(xikzB@A{-p zX3~qI0wHO%CJUKlMFg1px8-(Sm37 zfN;6sJERnTRr??XeI6H{HuVLZXk) zqb~9LmTxutHOJ^CUWry?_S>*;J;L(JJ$WJLZfV zKJ~I!Q&D-z*U>wdbTCGCziH=w(NtSmi{WI;VQCvKb=;C#pQq6~u1q+lj`*CvTes={ zX+v));S37Bv#abeygYgG33hJ|!Fw4z_#k-?*LT3F`0)MSYEu7O`1n?zOjZRFz*Ctd z2v&E%aR`LHke*AYawqRcPa1mA^PV2MjCOkge25P`fOyjTDiii69$~U=1Lio{MtsZHt#9a_{peM0U{f*TG$dkH^68!UlB{)Q(B3uELx zFmy!!?&gEe>d9ot+kIr{Vb6R~-1EGlueYWDCnNAY3*CVqxdl=RA4S2VmD0K4i9ix| zuV9gagm4xma}!TS2_sl9P&xFKIjpT=9Ssa+R(fM!p%38`IQRk!5w6P^M-uT^-9S#- zcex011E?s=5`=X`!*K*66b^;}_YVjnsKU}<1~N}ChRZd+5fn+oMBpfLBrUQ&JgG14 z9EA2oEUDo8JTNtIwCx;uegG3E17xr%h_)C-pHsVvsd=_8E~&yatjA-;PYdIL9cu9% zMNQe4`FBwT%b%!Qu55;})2OiWSkIgI!=Tdrw474|J+VXku>K^;tD&v%)a)hY3Jpk| z0;jkRH>Xh`n5P^icUH7PyQUTD+)?={^!zfi!YZGv$bXAFQ2%W=pp_BwcN1ZrFQ4C$ z40C$g?+??hqNZ`2@DPXA!{v+jrXnB6N$wB^^mrM=H&mIdXr5c;*teVb^FNy+Y%jf= z@D2}M3HS{<12KYf&X0m?etU-e%R(xfWl`o&;i!-+B|=m=%FjiFFFPjed6oS!Dr$Nm z2p3)N4!qgXALXL(@M-9Gz62*3eGGjtG?m54bh`%AoUb|yM6#SN!-9_RMJT7t zUqVE7O8*iVeMorI5TOrYdO_&K2rEwFz;EGVhmo^y!FLg@A;PyZ^|xp_Uj^HpggAd~ zwFyUW)sk=+))|Sk9VzR)18wkTsjG-E228L>SSBxebBWP-2`5Aewh<^-2v zMv`Dz@ENgXKu0C1my8`>xU}=K=uZFU(2t0I)7g*ag|9MN0)@*upOKNkOdyz{At#8I z^rT>DpQI>AZeFep?4Ne>IqVcIJK(q^#vOHVrx*I-q#k}g%|J>L4@fB>vD4wrX95XR z7}FbhpwF%06U-c%2ww+2gc*1}MiORNh8Rb)c$#yLCuFhJOk}j77EnSIv6nCo-1pO~ zna}ING>bmzi%r(WjPa~L{hd>hsc%We=ydwVfH4OD17fZ{}h0I*0vB@G%6j^E;X;cQ4U9NH5YJC|!rP_0-j+ z3H**HItadSr~Jo{qD94w7RCu3B0dAkP$cJ&yMJsCUuJAmsR@pWM#KU)G5~257ho1%)Zo|w z)E>T#NPrti<9o3&(SO88K~eJ2DqXemnINc^=o9_o z5GKm-*036jQIr55lBJ$Pl*8^lXf9B^(&xW(1!;lt*J%T~6y8w0&_T}V${*0kBz_4~ zToqm%qoDX`@NNB3KV(9>19i0G*U$@AR^rhp+E@A7fBxN-xP-Ou(Q2VDSfM-MxE%Q~ zDvEZ!BmQi)6pvmi`mnonSMDw|`=Po%3#WH%-Z${^9a}D}A5+XL{`mB3o?Fv}Y|8ba51Zp5kNS)zjg1hx74IQS_l&^s)~{R~Pn`l=!KL zs7@#1_;3`x$q%MW@##pto_0oM8NctR*YJ^94|CSl`yza8nhIw^6yXb~uJW)O6wt4o zc}EZrJvL(wZvy#mkorFVs?+~-WTiaaQ-D4uz)LdhI-AcUrVnbv?bie)4WQ-;MUET(b9v+5MAnU?MdTdB1%9kJUXMJl8^ z72ru&!%`~1g&|td6^AH+k6EiU$_FBFXRV1Ud=k@~43K`O{ zk8|Xshn(2;!#?y4p1%#?DxRWurW_L}OarDGSk0pLPm{~tik+JXH&&qtkxMMCW)@9> za|dwzmXNLYrYx9p%T$|13Pk9N=_0^!Y-sm*Jz45he0d`0-Hb;xQN()~paIxR!DoC; z+7`D{6US@di=zInKTEi*&vlV{1Qe&m;qFJs8RQqx;u^OnSOT5}o0p}Hh9=dDZdPQA z)gfyVWuh(GOxjcnWMgBevB7+2xwFX(&g<-U9i7+ptpwu?&x}7aekNYS#-Zgk{K(t- z+WMIo%l=sE|8C=l_-iO$d3ZF(=}Zdv75@0E3Ht&by2b2~T3`E8?MpTOiMX}(A3S#a zD9bIceRb&!e%a3EXVd>vN0%O5V%+{--uHEefAwD*jl1t=J_Gv?xJ+3v zF&STG%3v8A$06^m+6>rl`2+hSqe#cQp%^xkZ(g+LHO& zlAMqA>v4LEv(J!yrOsr97}vjJ3EKRgC4R#)_4$@?(v zUQC1=c;XnTO zU;hU_^WT5?tHuwX-ou{Fx_k=r#v_lMSpQ@7{YIhi_&w(LbL;X>^+M^n+G%xKJy$z% z;+x+zGm5qCk72`&I4qqFpZ#j%ADRB*@7Z@V`0KI>@eKJx?a=)7f5^&P9O~d#HU3pT zv!9hct;X}p82`#9dt$90c3|MjE7||LzHW8ckab}yUSHeGdolTUIM?>_FLK)W7Zc(h zPWuE?Ute=@Id|ak9z8w{f7SRVqf7WA4gMZQcyjjfp(89GvfP06A)5V`GNmg$@Ns(n z>%UDBx`^JhbCi9I(YyP#?{m1>TLz#9@%oaR-Wuy-6>t~HG`hcH#{aH;i$tgKdzzf@ z2Dr`G{jarM&K{a%J0sVD-OxX4o}c&fYaC*4;V~T^?UXwo z8a`27I-S4bnlj+8Yb$?LJN8+jP}}uBiz!dTi=enA%5XpQ-!!lGZnoxA|MiP3T#p z-%7Q@)c1MQ&AL2jgM(bI;(SZuMPMF1{xE7l_nss{cVjR?;wPuc8^2s6@2-24z?b;| zg2a`VCkfr`)pydLU`9y3JT!YRc08WKFH|NZ6EArpS%pt?H+`Pk*Jh zIg5b2u_S+lhCZhw^l6pP*yxxb;3EYVRtkp%;sE)JL~nykyt-EhtE0{E8LJEJj$~hom1xViEW6 zRZi$6Ik448>_=E7SaN6J?i<4c9M3N%k5bW64a1lx{UAz`CmiS0D~qP_)RQ(|ReENdv5f z-MHjRCq}Oj%yyRe{Gvf(i4C^N)?U0_$cUj&7bihIdDZ+3bFNX*_3lG|K)Y{I@8K6s z$FA&u_xFBQ(mVGb_**OjO;rlGZ<+|4Ywi#ByZ+mP-~RdF1~e}FW~T0(zcBo@%ipp- zqe?~Re)3mns-m}Xv10B4vILskIu)jz8nX-pG|4_scYF@!C|(*8F$yo;;poH&sTYv+ zo(~_;rkVr;x;%_e83s28R%yA80S=$_Sdc)p-&qUjM{DcV%IP?cZ$VJr<6KT5O7x~7vB=)zBf_7b8P-*crJBtEWsD7Q#|h>PUAT{=h~ zSXjTlCP{uI`4UP=7YmqH+i{Uw_UqH|d&gq`uc5rVDha(tI?09MVN&^0bm5Y>m|%>- zwWy|{)j}VyZc`nV>LiwjI@7qKZ#h@^bpOwB1nM@t&n-_vK~E*;zZNX6<`y{c6#-(+ zDF>263BNH=&M*XclWUSVWI5j>qj+D=GbRRA zAQxbjp+W0%R=RU$$#v9l^wtL0bDqzJ^kxfeZ@NU z!~)+@zW=vh=AJ4?bMuRQ$>diAFmE&g839JHI_xJJH77Z$C@1LzEC_nX!E=vu)xq}& zCBdwaZ@K`jl!3RQM`RauVvH9ZjkkqlQ`D)OBu-*N>b-!ClHB0sl+_-T_JN)wQ%^C3 zvxB%@R8we!o-XWeEdB}m47dtJ4ikdmm08cu2Tqkb=i_?GW}G#wsN0M73eVq7La;0tt-Q!V*~hWC*WVCdgtj)1tj zYB^;~tgOq~q8Wn;zl(t9q;7!w6NP*9pozZdo#pv6S{;qJrrM^2UD)ZL;yV~KUxiikdVZI zN@WZ@;Tms;xFLb9z)Fe> zF>V@yd-@}MyHvYz!%@2O7vrkPeKvRDXi&i&_Mswve4KENjQU63u)ja($6yVs`It^% z59X)hfNtv#OHr`W7xwj!j*NzVg?E1Kf4l7!CyG^gFz@LbnJUC9@o>Q(j_`Ae3@cQ? zh>Y2{UM<~$@4~~JgOv-a=#0@__=(k+%C%i97fulHH^F-DTPJYS3V2icfm?HB(<2+) z>3%dcps@0$9Ph*oFS$_DxCWK-RbARbuA0^aLIzzM5YPnSp;EA1Tr%`|ol&1?O?M$y zI(Y;S6~HM)alFpskh6s0ABu?7{z`CgA8I_Vlos(`UYJA2EsDv>Um$Tc+{?j~-#>j~ zB%1#EUq;2Lu>8{sF5f~hJ>vVXs^St8DVJm%jU3AF!#-QeiCiq`EB?hO#=aGlqY_6q zl>Jg4=O1AiQUO73yl0Z1pQi<0mcVxeMoO=Y-1vjVMAwtn*g2zB3zodD#O1UMK*qkuHFNhmbi>)EByTL^(^N^OWbl{%(o*b zkS;x5+F+p!;OBEILeu7~1UdoJF}lH~(5YopLEYhPY}({zn3^+>0l+v1d^p%e3o#$f zh!L*2IxiW~pd=}Xa7KiWvrd3C2XMF&ZHmf=Pf}Pu0fgyjxW_1iGQT>A^ynF^TZ7)> z1Z$)rl@45~19CVNI3(^A5!h4;H~^+0(MTD?)*a79`Y|-i4~?21qgB(upA~jBeatF$i=fsWEIw z?@J_ zPBw{b>&Q(ryp7UgBTI9dWliI4ZcTYypHK%sYm;kv7P4bEjX?KaG$WL25d!!(I&c|G zGZ7J81{qvFX}?6Q(A7(hc?(?Wbpn#|jbFmF9&HFQgzjXx`X=J+%97fwA>H-12-&K%$SRrYY2_59^ED&RLY*Z6y` z4D7$;xb=0l*7)Naf&JhQlzI%|-`ojTq=p;uso&fdC z>~pm<@tK(Y0SxO%@o3{;Yuu;CclQn%9rpZ&Vaq8-}&h8fAOdO{>}gG3x?C}oEeqfqC0qb>|fM}z<$LP zC*Sz)STx`C+ZnwMaZDTCoO`Q$1~;^p!MAW(f`Pw_YY)Tea^9vd zGxb~Ay^5cO&$8*qWdEfzA;}S#8W1u#0;d!lk@7?HJD8fbJTkJJZb;x+1AGqo(7w%7 zAJY)s5*z_tMI*wv-G9kA1IO8ej>(qnq`~!m&QwOr3)CT*e7BQ7r?J33)$C#UUBfO{ zgKl!yUVG*8-nD)Et_86FlId%&z3M7HU%7nMRgK2V%5v?@Iu^|M{*hjIDEph4Jf3+Z zx}}`w9hQ6K5yqaYE$hAB<~M@zsn@mV4>i_Vely^- z43|WWV-8WWT(}$WRebZCM*Wv+|M+Kh=%3ZUo@pe_{Auy{XMW)qZcOK&8!?G6|MjoW z;dhGvUE{`|Y5W%F`+b;?`4ltLneSLT8jmfh#__r)f4FYKM&ld5_wB#`O5t1o@1x1* z-gW51R`y2`qHG$hZ;-9(P0>`pZ_01>XM)wS z@3TviZL@TK3i)#VW(%x>^_rj?Cm$1 zbd>r?`B|_HIF~@Ru{2?Qs%d>#pY2A$bLT83NTWN0-nKYC%Y;YcG%i2sznh6uN4)#7 z1Ci+dQ-bzmHZafq*sSS2cqBRL4M}>q_GCh}hfiH5S7#GieGA>UDiJyh?B#ftPOW|( zt6UFW_NwtyPY)$eocelld9ON!xP*GO#b`Fc&r%W;o+E(66=H%6 z5|{k;h_}~yVFE3HsE!8Jl}II1X>qv z^O+Vo*OhuHzJT{W*}nvHmul%!3M3Vtd6)bWp4q$zpJd02y+P3lar>Tg6TC6)(u`Qp zVpw(!Br+KTh2*%=7QRqWfT;ijUjuYQq14*-u7F?!oM;3O62hg#JOv5?8B!wxt_L|Y zGN;rGjffGf)4||iac0C93ofg`21LoC6ciOH=O7tQ4h}4yVWm7Genn^-qDYKS@J8Wcj?z-*m@lVr>e5Y zpV>2doJIqD!|?+0=djju)xJ4LDZabp^(iMo=#$M#QGq8C``<(U6sFXc##uuXK)cSV@BcpT>E_i`&1$bQHy0;KU9&D6Uo>B8Z^~*^=njpYFPmY8? z{|Lr}+Bf(F9=CfR$7$d~<*1KM6$h|7_-{?d+V|Y+ zf~NP2p3w`?x&4_hkA8Xlis&$EH(yo|@~^JuR1qSm7a5d;X!Na5_Kso@TwOdW(1$R} z@?Q|T(jY{CizBbN?oecoCpyk2KtS}m>D;grBzGN%1Lv*5HJ>LnlMK&~CA(CeF8#$K zi(o9cqKD;+IGl9~Ib5JWr}$UBlek09b5py5eV87-d%Op(RV-XP3Q_|h<+wUfVCcc3 zZQ%du%RdDtlf+&;n1~-#od61$a}xaSr$_HEIwiCLx6O+Hq0@RUDTP7mRIeGv`x7n| zj~rW)wPCC+PAvRfh=~!d0IUFENyNie8>G<-52z!50^J;Jksth_~-CE>tuxj?}Z{H(M)flu`E5nH-HOA z8B5`~;h^8Tdzx}r)8Ex&lothl51OHuo?9Qd<14@XV&@{AnId;7!BBopx!x}?^*%4& zi|TN^n=j?;=-(?8M%@xn-=rJN4OhLRxR=wz9brGOx${pt4HQ38cawXOaM%y06YR@G zL?xjD-Z7;2k#oVmx2vAy^w`@f(PA(y#8i=fmYjnH%me>Newz9*Md*$t$V<=*QA=LJ z6=H$Axrcr%-Sz3hl0bJ%AnD83RA7&P&2K|w7kVal(-Z_6!#Pb?JfSX(|IJbl zVnRjqF2u^%SwOkP1;ug>x4geo+(*55d8UlXt9L2=%y6EYLw)c9h`*9PNIBL!8ha1X zZI~(4;=sEq_5OFQ{PJnGZ8P9|{}h zoe@|1lv9|3x6E|~dW{@hD9+MFq%L;E*t9HO1+V{9aENBb?7?w4dx;xV7ezeg2eWge zK0f%y;lafN#RKqs99U4tWO3BTjY=3S(rkeW;mDw0a(spHwG=hC)E^Zrhm5(2|MV3B3rQsh4;|Uas zCKir43f_r{!sP5QjZ?Td<@<~H*e!cH7=XZ$<{w?e8wKOogMvmOMB%i6#>PKR5Q3&L zASun*=FsX7o6G1Jzp8sPI<6)$2OPvavjW3<_=5~9m~^2Fp5B%C(kL#x>%u}j(jQE{ zi$0U5*yr#1{)Od|Xjq_$CV8cqpEJMfhLv%asBS z)DMk}=Hf8Qed_pU@7VXjd>ri?sTL?G1RsiCalxKGiuU-gc@KP)xSu=-&%w)bhuAQ7 zhJ}ZM7-+aM<-pnlmtN8rzcb$-#=jfhB`{s7LKsu6_=*oYD@!ru2rnLS$!>UKmHt-@ zy3`z?sucFd~8&6J06C?Pj#LC4Yx2SFvrM=U^ zbP&`?o#>BqYFLO^@GW}jPm>GLpUa2gk30qgswylGQWTHu8YzuNm2l+W(}$-~o46nH zJ}@=6D~vu=AO0X}QU!a~>CkjZjb41{FM~IQuZwn8Cq;Dk4TAhHz!H8;z+0DBV;?5k zG8&GNnIDV>5ei>Kt4@aj_T+|ej-ym)TJ~sCAolIS2~EmwO*29S3WnYoLC9aC^$|VA zp!0F6EdhPG4Yr!MQbF4iL^6}Kh3lr`*Pr=Cn4<}_E5EcVb_xRufM zr62*eDG-utm@xq^geaiEUPx!g?CA3q`QxY}7lP6VaoSKIy;dRcKqd*hJ26u%8UcNU zN@dZhRv3k4K=I0ioXXhC;Vcojh3*)qo9E1dLGnGXF>3XRO__h~WY(SIYN8K_QUbTr;C#ceR7 z3ipo@zE$DlQ`_870BwaqB}hIPz_yDEhLJhjcU#QeKqewZTlIJT4f67hYF`9LThufw z;6Wf#bttIzB2I9Z7XkAlNTM2n4T$z0Sfs)(kLwL;U~5y$^I;#eFaSy?5_Qch^_) z)gK(;tew$%18YBpUK_y?jvynk34%JwLhbh`hbBwvCQe>{uS@DQZXO9ES>QD_WJ%mt z)8phVfwYe52IYnKj#@}^jhrArc{qpU9e!o>_}KqVHBTSnKWwby$G|R*;MA1SZai0HKOa*e zqz6wv86H2*+M0iR>>rP^Oml873>9|cXHL%GDmeeU-~HAYD4wZ2RC)aI-T5O4Bp-B53~RuA6;4b1m!crpy$lX!vY z-~RUOXUtKLNJ*qaIa8Q<@t3oAa9kQ@UgUJfaO#=4xxYPjie|>*G+eyoY+06l-ujBN zV*llv!Lmu`Wtaksnp~OK&A=wR&kcw}PgIQFr4@y@AS2{(9gtNrj>yO#+ucZhi5I28 zV;JBtn#RNuyE#1DS2bNNjhxZsN8`btdB=E;kL_$So)Di-1E&MOMQ)DEHF4^=B7dfd zf%Q5U!9K`g_btdV7x}%KBe8_ftI%3Gi87M}x#qkcCd)V@xu%wLehcTZ#w=3#*Svpn z>3x(--7S{j@+S>!a{LUISir-nA=dM>5N`~u)5&&AG{`pL&oow#)aJYl6EyR9w(~EJ zkLfx8z%@cDa%0i0<7xC;wmq?I%K1LZGR=#pKl;&&r&+#v1Ay%;<=i&Le=$dWQzeZ7 z%#D-r*{iW>ZlPp9b3-NDAhMi}%~>4G`uqncFfuL393OgNy2kwD5yD76Po8AlX{w7C z!iOpo!FS>POzlOCKd*e_`R8Zqui$%$LKOysp%=7HpH1uU%|_{}jIyt=jJ{;|-G8j(>kW-;^MYq1*<<*}IgYnG zlBH5AVPvFXEOTWp46)48xKJ**78U8ZDt5mWCgg&4N4QSQ=Mrt$EyKLCnIlT@y^%bq z#d5?b_NUl<4E_o0OW`EZmErEkjy@H_oftmaae}@FS>sb3iV{;tc0Y^bSa;JA+$T8+ zR@Qx!9gooyC!X5f%(78t9@cQ*C5ub7vtuej37H5J^+~%Kcu>?yu;1i5?A!9!{`V!R z!wn~KB`0C-JEfPR2(c%DAHUNwcHG*K{M!N(Q zyMcDxqV0EMHRX?DCFE0aAc-9lm*jwB`Hn*9Vp4*4NJRuFA_nOMctVvk39SU+5FHAH zp$0ze#1D5rDSSH3g@R!WPmYTclDZWmkX#I#355V=!Qlgq-8kVYBv&y%yECj<5@x%m zK+L?fMkpOSqV%mUmQ%6Ffthd^_HO_IdsGe*-(KLT!3NK_!kTQ!CzL29LL63wSz0^m zyF7sMTcV4Aj`{Sw~k|J*}%2a04?|^E`Kv|H!PtC5c%KXfF??qt# zfeIe>IAwl@^p$M-6Sofe8CH^gIB(TN-te>)Z(O5xeC6B7)LRM1z&xRJD;%MkE^~Bl zlp(cr{*%PUoy_ylya<#g>!~<<$gSh;X@&1{^G%t~CQX$O>M{?xu~$C98#={SuzT*jliDmA#2_Nz zY6RsdI9=uAp+CvK9vh%8HtrmB;33CZr_0gJce?Lg!J9?Cle?M&oOgb12*&L+n6gNs z|0KIHdLWCwaK9Lpc+<@~&a6yOQ(qJH_Mxz?5Zkm|{a!KUb}JLz>_UPVldpr$x0L^%k)!LI2m1IG zTeNy7*bKRS;{_aGT(cpq?xG!ee2)OuzH8wKb?fA(Rnr!f0uCr;K6ZFXrSYAJB|3li zP(r&U^n)F>sWf$jP57qc(!MI)DW5&s-#e9Di!*pEnHM;dv?VCvG(41j z84@AXaXL`o5Z;7krC0@93O|ORbA>{wC!lw_lJ{+|adAwg_K6?XXkg#z(sX18O1JGrNK?#Q@3%?HsWkSIEU7clP`64KMw zsn{ZDJTb)OFL_M01@BfsH^`P4M_2GT->EDa*N+~T(Oe|iO2SX^`|V+pS=fIikY@>v z&GiK=TZPYVh0nBb9Eshhc-qkCei0rhGZ3_kjcv-e?kHjjGva53Li)B+uG7vry~A5A z=u77NynJ7#kQ2Fr-K*%p`l3|%e9qa@2^Go>)ZGg%`-chlXu5JQh96vf5TGvbp@1vf z#q}^&dt20jHYNJn_7}Dm(ph&@I)%aXu;<);Pa!9}M~8?C7;-$ZL0W}@P4@79F*tNx zaJN$s+3p@}gzszHH-eWvx>=yGqcP&K{vf_hMZoS&$e$yIoSb6ab|2@yYfutKL9ji* zvx31FMd6PkoP#{-aq5d`7O(-7el9%3f_1^B7Ocy$`6Z@81T9)rG>&+wgT}fT zidw4Z{xy!j^!$l~pT#Qc2D9V5gfu?z~Ge{MypCfNvRed#Hq@86qZGZOLXyh18g7GvCHrh2t-|V}j zj28?>Z(FF`xcxtg4~WEg==_miy@EcC*V{pyid$=;)-^#un`>n%ehrGbsTS_c;AAci zfXSbCaAz{FWif`bU`QhO7`02C)wXVUNVto;I`U+ z>lZ~a<6VBt?QhL@dyoq6$MAz32FLm>s`QDZB20g5)U=PgtlbJq&+`8i>amdaZ|TCQ zcC@!^U%yxE?K$B2L7#usH4r#%zQxaP^xoCq2b+pC@K<;_M2)TAbC#aC`o0z8bT*!Nd8voMANZjXFViXbAMPtS@%mscXf-j4e=R zq>P9bjI)(3Mv!F#m&Tc3G3u;d+6Q%4;=vsQ3;qG9Lr0><2og+~1S-sDEgORx69f`Z zNd;RXFHk^7q7ecOzyp>6-W&u5HGu^GRM0|ROThk4_6KBz_Oi3IMS^+@DOZOVkk;7< zfa(Gvl8ss1IOrvs`8+VsMe9w&6G%sARQu0UbK=edQsb78o`vr9q2^O^W-ye>nKc}z zP4FB84o;7US&#;aYQPIG#|~GdI(bIR0VIP%w1f{nqL0=7Im={BVee%QV{h2{)4>5s5mPjIeQ;Eg{6jtRtqdT_EOW23gB4SXDN zCF1~Qc4Ue#jvQ!hwWUrrleuhb@Yguq8rWuFG`76OChwygO-v)#JT>);UvQL+XNaTc z6KB~y9nRIJr+*yzUwARL?=}2<%;;=FN9t4?{mU<2nfvFLPR^aI331i7m;PY7_KR=* zTw>$@Jx|Y#g)vVKxX6CIE`Bn7oPIL>IDKPm0#*9b+;=tinHT2{L(7xlc?cQ*{=0Lt zE9W3OcF(EXwS2=$+PWxgrSnOPe%M#GZ#4k1% zWO^HAwZ`avE7@G?rn;XW7QIaa?R#nlHL2rxk7ngNyYtO&rXVWuvJ>;Ef-73-Rkf%Y7tF z9LptVI!oXIuyPWzZY({+IaIrpS9R3V^DvCF{HwhE`f^ctJaGl#0)3JGKD*noX1FPwo`b?ce9zG1!MfFp~r^70GC^0wl zd|g2%I9-_wXXupfvWIEP<@00tyNZ{lW@gRj4Kp)yFR|_P>0i1(mveJ99tWPE8=IMV z;K`>e%zN%uj2aqVVa4q^*5Vu+d;D>mIGpRgyz%kJr>AEMwUa-WntpEE*zw~th00j1 zf~z!Vw_U6+=fn}Q+0UduoP2=CuNcP0%$UjH7=Afh6KwK%xwEyIF~~%x71bV^qdU@b zvvYq7{qTnn_LZ6Erl&vo7~7Iej!Hc>V=QmYQ#wY5s63WAntLK6nVzx`rOQJpMrKdT z3@uxFqJ(P@fWYz|^ji*?a0B}dpC_~o(NV{>tTKmB16k%MbT44O2nK%Oh$r}Ci%Nv- ze!9oVHZ5uRggRV~29%H%i6Ya0UJzuMkd?Z_`pjCwnTPBjl#jt=n!l)UdK~wNWISfb zF2|2CX;i1T#IJJTc?qVN-k7#RA6Gix2TZ_Ml#5~)>aUyh5IKXKB+G;y$Y0}6Qp!Ly5leGQidPOLF({wMDr&aiQ?VM^x#|7 z;Y1|M?3OxJib{KV7f$7@y`yY)TYIH8rGi12Ax~>{KMpnYi8f=3cu?5g*Jn zwJkOk%Q6*6ES{i2hG}G_*wy@X0AgVgW8$$R35Y9UX%2BkB?jgWi?=@k9Xc5h@6b`` z)jZw->SmS=o}fhbzU)-??O}ZqB$MC-Ng)$oEM!*sQyt{&CU?Wuud+1KQundf&;AaY znH?QdY-f5na2w@hM`FcOPkm`}iWyuL!e{sUlE)GW(FHfA<|B{j9tnInspMq+dr%l` zt|!Ch1oSnT9FPp*OOxT-{p2KW)nwlM3xQ@27^)s##SY_wSyf0!2Py-`3sY7|&F%`6 z0M5rnD8wFS4ZRNZ7Ctc8@KVy1-4fkYxB?p%W+P83S1m+rmRU6}5hNOKP;`F1B`Sfc zVwRs23L_WSn&ixzprH^v3}Ne9@PHHpV$#5o!_%%&LORL`sfMuv+tDadG*rbFMI&U7 z;x)-2-9*tzq5*UQ&tgdl0jFW+bBwkYPGBk}&B6sKRSpMKSb+FY3l!`?I1`2=aFG`S zB8fiWx=1nhV8KZu6h^ej_pLRs^g=mU1sRYor&2HWj&O4ujwj=`#M29u#xh9oNl29mZ6@3>M0leb@kJT#YUYca!7nVbFAbosc`%J^n2S~ zTN3Ld@tU@q7iNe%k{32f7dvb1!mWOyx}v2oY?d_qo7hjHYkKO!n-!fY?6yM2MXF3v ze!BU;9inFkJ3h7UF0aRuEgQeT2Y!Vw_EEF%??)T(S7co4D(0~PgJ&xRKT>v5x!^(1Oll0$pI<}HP^)UQg) zKzwDR_;nlUv%;Rh@Av@6oJX5L(%sZ1hxR*#id&+QQEKuIg=B?jI8Vfr+FGN4F>IedJ*&vopxj<;Q8T&c}hBXHlx-i*Fj7LS}!a0o185R;Iz0tnG zQQEJl^wqo+#KTnu{;E>yu@$7CNlGdqtUw_9EBLytRrf<*%@!=IgEFKVARj?!m`K3j zHw88;P{dRbFzkeAqU>H#ay(Zi;iD)o^IdKjbUJF|M-Zm(Z7F35>)rw1zGwtH7ww1o zJoK1yI7&kG2t`!G5C3$a>RL!K_P7{^q5YH^ei8$2 zhW=G?%Wy5nvM>ZVXnu){ImlZH63jhnl9)DPvwDg)?yz|H++pP*UpLi&y~;uUNJJ4G zKk1h5vcnzD`|j#3>FlJ+StNN4hSJ?h8vhR`JJZT_@8bZ^@IyVbDi%Nx7ZNI6Vwur7q&GBqCyLTaM(Ql7tJH_{)$>g|{B zO6*ySVMs&yA8xm>o3~1hr&LfXW_JF6|Aayxap}JQ+ga_{>Pw|gFEJA)t5+CHDo+gOLfYDk_+ zR8?md8}zupvh=S_;(uDVT{Me+-nmu2qm#uoe~x|a5KaHLc59Y9ZqbHMbu?V7>7ZZ4 zp^(r64sLq?+R}q*%5S|gRob9dY*LA)?IJDdrs^shbTaurm~=N1_VI42+8@17`JIUq z_IaU|L0FPdUrbhcQ#W5G`a%gP1CJ=|;NEsM9LVlUR69!W_T7PNP5k_Ztq?0#2Zfy*bb9)7 z>s4VZ#-VP@>8Wn)wDzywlFN-!yR=4!X zd33k%wzgr{gm4Zk-ZF(@p+>Pij$6bqxZpfm%Na&q;qPGdfdv!6;)vk0cuj{)~X2fs}Z-+;9H-${Gt`>74-mWf>YU@N5$ z23s@vi^_r6-}lvr`wx0whDKn<%i|qC{#h(i=|=z0ejAw<7$ba1X{aM!-+vIF?}=)V zDmN8t{^~yJ?{mLW_DV^*=N5lUkO{`9Ulfz~Uw#iAu4a5Eb#3Z~^RE96?pZ}f`@ruf%i0S{Dh#VOkW$P)cau;tB~d$_WaiGBq1CvA~ks7eVs@m z#P4aB!D;L3cwm05sUdAOq0X$g1#SunF@RPFp)n?+_FX{O>0&SedBKg>?@fE}o}f>) zbgkT%3XZLzzJ4DP%p14#w?9H%gWkBi31crPSNmR&@4@@F$Ikuo&+hX20>4u1@BNTZ z4?EvP#qIX|2X5T>owenlSW;EXykeemX5WuMo+#_4xc`-0--#g>OfANjk~yEFOAXg%K9)bCIi zat|%mok$p@v|d+_KYm!t&iW9S%Wa)4rVF%K3f7|N671$~Fb@ve=X^Qhb%>1eDq|@` z1-%sgI^9a0B>?w_#h~!cmgvk7OSTkfNW$07q6OJDtVUpFQpjYKMUH&V6z@mehSxI; zvqb;~re(lc@G66n1#Cc)4G#0J1mi`QazY;rtr zH6XkEayl!B#aJ#Vro@|7=n(utbUgeqDc-oiJ0$zle_Ajo?NS zjAC)_)<*mru_x6V>@}_l$MQT2zZkU45a+pS86QmTW|~-r$+LMfVVFkC4%siJfj`R` zH4X4FE&IoK#%VnB((+3TBpe<;mR+)6Y_i?_V8G5iIt26I2s(rV5B-C@bKb7gxZuZh zXF@2tQnUN(Fo zBjxmB)cYx$G3b0ie#!2};+dQ4_;8%ZrGAZcZ?zrU4?Kw|W0e%b#Js}D6hjiy!W++X z*lndt@J~4I$T0LWaqlGvwG2}>!_DD*#q`3l3fNu2=n$P1>xVhPGC^qfXp@=_82w6) z3V2L$gk(Dd!X?P0hw$E&%!dK|ODdv!1o3K|p_5HIeYT3im5hwj`Q-bwj1^a>^l-dE z!ZkA2|IA?-uv$~vqD?bh;`ID`fG&4MayRExE$B<`<;1A&NPp5Ej?RqTJLxc89&0X5 zU)mFe8!gO ztRuuTVTD?9nV2$IplZni{9k~ZrmhM@y6*?I#1r4M=!H6 zxFmjLO*#p;gRr$5h70O?N9*+t67Y_DO`;x#lTFQ2iA4C+B=GpLg!C!IeL_bETm+og zDHOiWb2GH3kltSr>^BmghZUCtVhv1|Y-kSbHXNlfFzHvAy4#kpfx+lQ*y$u_=3qka zDp!)*1w6R<3Wh>*zydAQVvQ*|fMW=O1^^3yLpW%U;9!wLIdfzZKWJKEpKU-0s>uZV zR5cov;=;k8WYmvv5}1HpgOQ_>)eX%|&jwdq*vX=iB;o-V&Zmrep9!$^DU?u4albCN zG!dnRDH~lz(kF{p2xW&7YiJyT)qWR`E>>4QSv!O>A8@Ylnyf2V4Ia6)?QoByO9g8K z`FdV`awQTzWdm0GM>NoXNHGRP6zfHH0+4`WC*lG zABHLt+K}9m*zVEQkLD{ksgO>i9|!xUAyPA6S{< z$FT$0y@#%w!g*(o^u7I|eOoc~rE{AsTaV%`uw^A-Jfr`sm?N2DRcV~Gs@-M%G>;vq z)-uN)gB8MN5E5tET7-Ni6!*n{M@XJD1tu;>xi&kZ$ z>?9tkZnMPtEm@4ZfmM?-aM}dgo!#OW)Apb*13No4@K0oeWyxa3=m-1&V#K)*<5Wl@ zm&LUzf(A=u+Y!?4_ zdm_1t-pTA8v7v zJO54jrQQG)?#?DM_^u^ON2zt|`WjLnf~zmd^ZTI>s^HM^z|@6|8oDBYV;+#F25@m) z-}{5bxCZZEk5%$e#O(lifg#~GpwlIqs2d{|ig3qQFUst4-dWiQwZLy$Q?7iZDQ_2V ztCX;9+HR?RJCEgkYu#fEwl zI0yX@-8XrM1l|DBYg1Oip_QOu;vP*6eo!-(=!t6Kw#Iz{^(5T$6!~i-zh93r97Q9H z>FXwn$5s+{UI|;-lGIjvSPco0wIzmVPACq$c5T_)PJK?6+I(AzyR+Txls|x>qg!;l zqL39r4bsN-1w|s|R@-@VnmP|q4|Z5Zk?`$??Nr?JbB=I^*KZv5<)+;FUWl+aba|QF z`9XRkv^gDHwyX7{*AF^q*HpkcpCae_g-v7$Ri&W~oz`^@AJI7oQZcj%XYzZ!LwC#E z7LrbZ7cPCY#Tnuq($4*Q;bo6Vi<_1rXl23__`$@kEL%uu#g!aM5U{s_GcB>tIZUNF zox}6h(B(kCnM8?+FdGq&>_Y>)RA#rJs&Mh`Cy;GvtSwt{WzUQyps(=0gZ1msVnLmHKEG8$e@V?x#+Dmzz zJuPFnt2Geg!MIPgAX!|CI&rMt_2a>MT;jWHe0?iz^Sj1d|Hi47d(`UUWfNWH^NW8) zEo)m#rF?mfzjpk9U*_d5t?9?a?Yx5<#*)Qy?YxpxMMu~b6fwi1q@TWmiU+R1J7OGP zkU?H~$LeGa@=O{pODF?jdKS<&7AE$9nm~aq1L&XPPtOY3&Ax9DL>-jc6e?e$=W@rW zV7@|GH-Hq=zh(1a;J0*r5#qqq)4|b;e9vah z%auGeffnEC2DkLz;?|#T@yl1_eg98(t)g4Xfz_vieOP%1P%Rwy?ajR{ZvVbOG^va$ zcdhbPrCG&2-V~eLXk=wd7leb_Et}ZswP01Ip{EIX7d_2@nYnK7tF?B~+8A zVDs4tFT96#m(>CV$ECyIIERs*7A0WE&(LGEDsTZdWHw%5=_0inN>(BuvcyZ926Al9#f2Ql zr0Fw7#u%^T(G~EZ7FFge|s|tMQVc{=_MD|;#gmcBm0ZSFxW$YQ!pBwxH%bM zJfj8nUMU~@aBdbysNIltqC`Vd3YOG=p{oy(5X_9vl(hu2(*B97-ihEj{OK|Tp1qg_ zWYCUNLbcznYnwn1JlyIDU{DG{ULr)6k9roSHfLd>!`Fryf_d?+er6bI-+5 z;u5>*sj1VmvHR&cO1Si%ce9&=&J^a1)X_*cpI0cjIV}vBp2hi$&!0{}73mw#O;@H* zKlBWpdS-0f++3@3>Tl}xUq+Hg=CS}|-dI?fn5e|@u}>UVjAOY-jJx$#8k^hpLEes; zhXrm6wt3IxScZ8l2_i+|;*G?5&U zaay*h<(aUH0GNdzyZP77@=(yQo#Vx>#rz$W?ACE|+-#4+Xgl0muBqjwU=3ir1~)R{ zU|H+}j_nJ6jOQ>c(`@`{`eiC60kp&P&T^Y%NnUM8mQHs<6Vk*T?2&K}D=;{-JNTY~Eczns)2-%%OU zTlvK@%vhG$o|Gn@NS4ZUOCb&$=Z*cbh{m9{m{Q{wqh7ZQ8{o-bbu40iagG>L%ZsNk z=3{0jC%^W!d+up8@44q|Uz@)8o_l`(-#+)9-~Ts${@1@}+kgFgW1pBfe*BPIsZB$1 za_-3oYPf+HquFVE8&RaWC!tI^JNKlH<9Vt~JoF4?pQnmH{i*5yPd^R4%I_Nci}dne zzwpD;!SwWT04QFWdui^WnJmt^eV~GA)-+_E6$)th84(dWLag_r-UhdHaSnF(McAcR!JF)~0W zyG6?`ZQ`?86VAm-ZDCnsZ)ru9B?LsnA-nxecr}M`s_6d8To}zPviJ-J{8Cm`NX$Dy zg7o4Ah>!o_#;}va%m{D9Ezy0P16mK1UwzCspdaY_HqB}teDrIV&EYQgr}tV~FO*Mj zGEe& z+UzEjSOhwDMFmWA*nc24WB=DOb%DEf9bwUKeq}KA*FlpXf%uRTxEr(nR6;(BdJQ{5 zdW27vwI1uP!(CW+qC;1AsMRvRcY+-_*A)#hL5UMc2|O4VQggT)PX%_sFocOPgtXGg z8evR5MSqvrLytbS;gNxkNA2c1O@@g*_b~?v@?(=L!EaK_RG~XcxK)#ynb}c-9pUSc zr2_PW1J&8ZiD~A$J2kLIsYy}@v%^*NTN-a3NR945&^ZMla!?y?#{adRjwZlZ^k#rG zO#GxI3yUV)+JqT4dbp!}6yhkxCt(TPF3EK0()dTub1T@B(@S05qJXtT)Xtd82o%1?N-hx5P>ahK}wQ7JsQ?rEeAx-c&4V;)<@7Q*9OpdfRRp`3h}WjJLUvy-AZMo0yxxEf^t{uh^YPS_ z^sQr55|+*(52H>Eo;9b^UcS)XvEus~$P=#r=DJ#jc8qN4?S{TZMkPSE)>27%-ap;m zmcK#XIg32?BDc<|k9&R957x%v*6BQ<-^YNn8baF0>@)X(TcJFGvZ1BtNhQuKfVZq?(n2@gE>0e`$rV&yiU8B^UT zPSh4Ew6dn$P&$v)*ZS-0VxAZ!-vdEa$`ZZL=ADJv?_Wi3Qf2#+qrH_2wzdp`FkkARUC&N=-&P4+ z?HcM7mFfGLytBtwR!z^?trxK4hV99~YNqkw8hU-A^Ri)O?ZjD`<5lUCHT+y>;y1Dz zLrdQFyR??2Yr9m^`&1}1v_cRPg!`O)m~su2_N0HL*_X{%-Wa!WBqIZwc__0C5W28H z1lU&rSS@rs>JZv(9=!L_OUh+4NGPU%9gvWk*gRiHxW%MMs9;P1MTulUJCmRxNp=pk z>tI&xh^z3~my=U;-7)M)687OzsYFvwRi>n>K`RPj_4dJT+R-$^jZ$=_FxDuWK5zgB zHTx)=^LTg35f)cYI|)U#9*NTE{0P`#@t<$yV5lt|;VJHg)ow!Nh%ToB&9h+z1lcrf zWB&^z)vt zZJ8ekUz_w*C`w!wT^Wh8u#yV)-Fm(iptUmOA64yL*7sAWqLI6;V{PrDdot?$y7Wiy zY?VZpT9AzFd}erX2%6gHBf)1;Qz)t}L89vST6J^c+&`kcnr+;F);x|qX;fu`oX3>4 zeYWjRrP3MnM1S16?LP*|FSQm*xY*J9e>*L^qy1u3fGRt(Wrz}N*ue+B5Whl!|BGx%g?SE;#&?F^%C^T?(7hB8Jz8MysZv%1JQ6o$js1KfB3 zQa|7DBS1)<=vphpwZDn+pO@b67+{9iQc}{!yC54}ftTwZ*qS zx`C6$F$!87BZ1f1U;+&|xOu$3P&#E!$3i_Z3F>DhQP`x(&4b6UyG$hSXBZHXBCB)vX#$!?L+{%fSaaOL+1uKb(L{V)cS`dMBnv!r#Rl_-Pq!bYQG$(wRpL$ zu2ub1OONkC#hng%NgSs5or7hf`|lrb+jzkJd~nGnYg^Y_?j=?FPUgJTze82GCAg73 zaeyYOvXiy$9fKiYF3qb}XvOTjzIb*1<=AJ>su)!9_UTk#WR`p}K#doBquVSU#I zpZx2HK3Ak$O8$X^{Z{qHn_1m&;;@rEKXdJ$csQc{t8`sIPQ88j>-XG}Zl$6hw2E=$ zqh-8?CrXoxku%AeV*_q>R#7E50fLfvyO0yjZ9v0*yr~MICj6HH9AE+11un|~3}0vp z3<0DoC78bIZ|yn;clq0x&Uv2-cJ|=F)t0W{md$uuW-?A+uQ>RiJ9K~V=Fg!`cU^fY zu9bGH`{?q`SRnlNnnR;2cI~2lUm1m1@a9n(X!>EVx}~F7%v|{!J*(GdZ3jxSRvq2J zr%#HWw!Xj-{EblgX1w`*I^U!OKPM4OB4}4K%)LGuj)~k#S&u3VryQy>V6Wc%)Nq3AJ>v{x%Q)yOq;H78DY%S z;FVzrV`o$8f4E^^UP*%X4TDYuSA(evA{nvbx{q-h1&591tJ+~I$k({akP)CkYH`38 zf#z&UP&d)I{x(lxE;R^uBvS;bSx9jZfO+EkE!d@?kCb3Gfg%3sz4+M>g26r@CA>lG zk-+_#SZlzKoB)A_WPtXTao6LYiH$oJlLCHp+rEibe&s13KdXr6Q_@VF?LtO1v zY+-MnMRIC5ODK09J1qfc!i)i2a$UWdWu0pBh9siPdlvQA!}V0buqa z7QA}|5Mb$y!?Z>*)j>Hokpy#=S`(`s3b;TjTNF$KiVY)!;R%1h(`il#2223X{@^D+ zBtLX*32i(3V?N=Fym^FLJ|Ma#6~(&zP-jxcFCaAP*i$PRn_7sU@G_Nz;?ZO%HbAs_ z0P&$l`U0g0(8B>+*q^=dLL5|Z3Bg##4Afv~K_@;j!QByx>$(AKOwmB+;0TkP zHANjg<1q87Hwc}weC)Xl^GZ!)_%DMzrcS7cc-R!da)Rw*88&Wtxy{GW_MCSM0gdGsm&G;&Tw^#%iavW)##eC+6_c zi{#z+=lLqja6So4XM~q*11RVDkQ=hjCFFS8WP9|B{rJ4qMwur2H_9D(AYj@BJxSwkJfqfvu4GJS&FPZoYLXu6o5@%s>lO&8(7dpm-*$$nyiCiZ9g6z~j|z+Q%BWTI?molpi#fL|oLgcEhv5HIAVmM}bG zJ|NM+X*~mQgaZTT>CJ$7z0Bd)#|=VD{P7)`3t;ZhTyV3kzyG5jz2Sz*$!99hR9G)_ z7Gux^{-&qZ*ekC*U+4Zj7iS=A>iX!euv82#KFI znJ(3@>F9_0ELwbNbd+VIo9GB+nn;KL5sla1BqBV5MKA?C_~pP#!4^j8lRAI?z=F@~w<%*(+|MY7P+ z2^~L&G+-0Lodrz#@+=cYI`UVYg=Bds#E<50ojsQ2uz)@#h4nDOC#*I*vVQ!k4q9=d zx$yH)(xY`5CXhB4w^c%d2ze%intBD42r0oGcwGWVjw%c$PZ^0K`~~<~kOp?Z+Xxx! zn5aW}ljzBioqU9 zzJyy^zLH5;$RU9`fOL`r@IEy+N=GPH!2}&YiG+}jB#Gt%^(Eyi+;nCqBv^A$E2Pks zw#3dj_I4C@_pu@5@UBh_Y2hijaReK2iyLJx9FU2)9BzGrx8_g+_y`#1Wpb46nT?Xs zi$NHt5=9%uEXK_W+MpvM#-NJjVBM73$xiKF&a-1=xs_7dR)5;25xmI!yDqlnqt7LXlluNhQJ# zYaUmjA!X2Kc;iqvK^J0}@4~OmGx;{Ie5}+hK3}ZKsyFYOz~O)F4ice-HLf*bL1ql- zvw8F2YWL27n%r6$=jSH(;4E5RDqAIL-iJVb-#`sz$ge<`WkB<~MF9<6guVX}6cY}Y zN=WCo!}gCKJw_M;u{oH8OjE51xQ?)qHiX?us7bN%g@r@sC~i?W!xtMzGE+uIp_iFL zqj#|y*aEuz5c0s`M`|Q@>=yPew>i^y(;a$KpVJP=;P_vuZFoq0GJ@-MknwlG)yymO zjI3*&l9=R;nTk8<>jkZOjRH^e);fpZ;8J$fI(Q9|MOplkW+To@x$wswbU@`(epkDH zT{7??pihys#Sv^VyjN0nxQP;2FIdq>|2jPiA+}=>0r!AVVT68whATW}leim!P{{Y< z;|-GVM&;?P8$9@cE>P>?jw>SC0?Bcm+-tRyU-Nl8s#B>@c(zDoRX_0vD%<2x)gNN1 z0BSr2)fL;=tdOel>jMLs!)}KxVM(2TcD{ZPCWx)#pbb&SsB@W4bC4%m)n%=%1b(ys z;JSP7-1!pq>^$<1pF_UeZ@Ij!+LGKu4_dV~7I;Ye>N_u?M3Y^2;rw3aK`SwhLToQl zV#`6g}^?bAq(`oDhr7EqMV z)B94WXOx|?!i1dA-C1^80hhY(DQr?pNApAw^De4OP_ta>(?xo{`tupgMX1!o_#yMN2#>*pJ_Ygl?uF--3 zPCNXCSmh=p-p?pHfj|~XE+_a>b-Bj=olY;5(EQO&R;Qi(XK_ zwDUAT8-Nm!432H5Q#=-uLxdiY5T6pQRZL-1L?O18A8bv~p6y z5a^^X<`p@C<&aAQkZg62R&uW6X1xKmAxDl>qeQuONMrmiMgHJ0-GfR}qjwLsrP>{| zLYG8VK`BZVHnu^7(uUHcnSl}`oAZmVLb%Wkds#qQA@^(s_NR{6s{ z>+`ZIa9pf|=Dom# zf3Mgz@%3*6Y0U2EPVt@MjiR_YsBZ4biSw<#gXahC!IlHTU3X?sD;U7bUh8_CV@q4b zAM%Zy<1~J0m%vPSb=9wp(`D6intF@lTYCR+{2s{HTFcx0yXbS{0hRl1@roCXQ`5)S z)6KzUXwRQ_zwG(d2WvHqT?hW!xumaC4nesY19PKXN%QzwTNjK z%h>?q{6OKGp2b!Wb9FR;Xs|ECT8(IIQfe9G3nX25fg*lS1VSUr?RruG_&S<_m=C6=`txF2d=BpZywHX z`Lq5lKT*>8P^EamofR}dl`HCc} zJ10o{pA!fn{C<3BhwnVJNY3|tolE`x&^Ge|5RS%UW@5Y>p73ZbHMq}V)fJ8C;BBIa z5a%FoL@#;=*?L$qgA)%>8eqY{;ub>SBnK3ap`t zJtEU2C#nlg%;6d0kj7=)XJru+oWsP#+=Rxv@uoJVQVK`O%}NADEk|DX2@mcnZhRzK7;c z$Kjc-5m;yHyWgT3>oplkfh@*yf>Tp7b-ris7g2zvvdpnc(9^POE0}hC+V5Wi?z9j z_-xs^7v^4IK2WQyD0SL9m99)zLWn%UX?Bd$!Mu6Q;UDXO|Kuka?_ip73R2JK5c;QM zW6xyG`Lp0S$A^P_lmpJ)IzQ&bd17pAUzRBw${Aykr5ZzBDH>hpw4*m-VLT75`?YLP zvW(LL){{cZ1lN)XnJC0slTFLem9B|gT>pxJ7=<73HQ$dZ$tJ!l^VsYptCLNE?<_ffL0gP$l>RsP zbAIkLvQIv)LV>HQ_)K?!^z<3J9m6ZN{->EzbF_`1(-9*~dScgE%yr7l2H<7c$82Tx zvtq)0Y->>;4Bl9G4k0qssBf2;~Ug-nc_C|Qak0QOx?zTv_O2bU2aMmsJhZ*Z#M)!F} zdYt}VTgUG!<1gwX96#mnHZso(9^+^lAxejw$1N$Jd3>V=BOeE5(Q(HD`R$pTHg&9o2+WVH6$JaieCaqh?~9K4+*kRRUBY#G=6Q)ti7R z+=MpE6#Vr94RS=e1@U$1KuWl2Iy+=oRgE#uS$%42-iYhOS z1*eET$RUMUKQ_b>KRX4R5)`24VleDDI$ME{6pkEK*q4=>DbS078?#!BdKDK(&JXP|J?87u(lG|cZrMMg}>vsE&wl2 zbtO68%qQxI5CceFtYFXJ=y1fN2x4>)a+cy#C0W zO_$zgZ6NAKt8=$%H{^!eKa5+pp{`?M?rz}XhrXr;+;y3d=$A}Q0A}mab4Jv5tvSAO3-?^sak^k8YcfJ zvAY#;F%+YkYv-_VLi>B>Tjr)0(97Oma1DU6$S>a+-{N`cjOVWNRBey@LrG%CxmcHU|PakPjYeE8SE7bY+U7MK^7o_ z9tr|0OSu8dZ-es=bZn@zOAzsfl05_ix$x712g+b0Gw~U>6wZZTN_P%7viJJH=kGuS z)VbKK<5fUMekAcM(=p03D=Q2RD_goam=9TE%tlVQJw|zz_!uL&9soGuk)UgAg`HA4 zO=wF2p_EY;p8Bz(5|*xnk+{!K^p&pMw9 zZra_IA=$pM4oQF$Zc0-!L*x0-==4+9soD5@&$U<5}=$5H3OJaEzO`XaYSBE+Jj& zY*xVVHy(hUq{u0xsovbA8%TKtV|#<`EAR~q?c7ynC;1Qa^Y~ZrkenYg6tQv+5NPD0 z&v$4X8>XE54%#nHfP zCEf>}ZYcpmj4$`o`DLrdlo)G7<}q5q>0bg4yU&7OLe}Wi<;=Yu<%f9Lf>+j%HVrPa zp4D)X2hlAMY%rfib}P7FMr3{3ghN^tURr4%!cB7AZgToIvT?~a-|f8^ZwfScx&rjwTH*)LwJYYd*fI3T~?`5%N5j? zK@hq`w9@Kv6wK+;J4HgP4;7 zChV6u0a|ENxkD}F#pnFQ^Dn}9 z?^fNNWmG6q;=}(S3V#i{Dt|Az)e!+2vhR<(X?O<#6Cq@g=gcUcB3xR3kjdBPBJ{ybsSmd)T!&E3fUeEw3CuTW?g^=j>$cqFb`o;1x^m6!M z%;C9*NfS{P*+8quzr^)|iY+*@1A94M&DZY~2Z@IbXaq+nUtq+@!GjTw+;lmyU6$@2)IN@OuB34)g4{{O6*n*fAtU3)u3YaMW^8pr! z&T7+i_`c}P0TV0k$JD@G7WJ^eI*1ZCkI@ByW{862cOmLQv60evg|&9l#_i}R=pqV( zeMY&K?N2hP019gLwWyS^x>X7z2ZM@pAJ9Glx3BrJ4=k3T771%3Sn#MJ99I!o==7r+ zH0bnO6te_Q7!Q6*e)#<0))hmPe;qQ$o!fM9f`4?LonR`%?%edR;d4d{5(5w z+>5{&!bpSu}8LWvbT^2&Av4U!IFrU!nU0_`dk~<3^Ur z_g~IE16|9nj?K=zFh;CLIXyOuH|E$ZY;f~gv~$m}>~rqLxo7yEOwa?*y5VyV%<@^Z z4?O=oo6kSbIXYY1eD5y%#ot!2oxv;2jlJ;Dsi|8rs5kMc zcLkTn4{v;>DXVBNuvnNBu*{K{E9`CrtP_Z3i!q+vmapl=`_0*~aIuV$ry0hyl$Kp? zALwT{cBi87(8ttne7DQ|#4t>pCELxD66VX{b=+MV|1qEyQr4K9MRwNfjMK#)(fyc_ zj8hynveBGmKA1VHX~)Ps7cqI094J#HfYZA?$dXC9ej=2`izhZYILvu4yF#>=5eh%! zj_00*NFVarNIx<@Jva(2I=XseqgzqPyBYGew3Vdd{aS za!2XWGEP_ZhQ?oD=Lk7d6hh|dVsAh#V)7<9OliJuMl9@O(2Xn$vpIHXbo7XuV5qm> zfD9X&CG14vsoEan8%lPEdeR*x|9|G*2Rg3mx*Og1&YjVn@kqXs2O@~<9La(V{sg@; zLzmzQawG;2uH#OKX&@ghW1#(@?%eU$Qy8p6WQG1mL-bMBoP{WJ2PeEqgG=g&U-?DPNJbI-o} zoIug-)PsX*?Wuh>^es(LjRHQ$RWpf!G|Vz;!vsJ;oe471ti|t;Kv)XDvUXLnJ#rsy_AXx{WisW zfBg1^{b6EaLtP5Pb#04?#ka9b0Foj&!Ry4+( z1>z|Deo>%KhjA1MHM%H-1(Z>ssjSGil3T*|adC~>@<6Bcj5A^N$d26J>XpDH{@{Dm z$l~CI zXvA|zn@IfbDtsT~GuVYMYz`4QHnF{Z};pYe#XC3C&&T`qK91PHlJSlkLlwBvv z8kf6Op~LRIAN^aX%k~DXb`h_&7f@IFuGb59kxb^S>Y8oVi$m*+E8G(53>%sHh*VCu z4`G))NUkzTENwD@A2hJ#S-Rc5Yt;3MO_gMC%(^rwXN`k5} zFXP4B6a=RM<}BXc#HRxt`w&$~O3?WV*W;OtBp{UFZU7QJFfv0^3Zs3?E$GLDRn!5NfW<{YIw8o&aaQc`4Y~ekS`O1F>s*xOKz3kpsxE@C*57|^ z&Rsj4uxoe)y6x(OzxM&({}E6g|7KbEL`pkNH5!?O<9 zH|1e=O2Bzy=o&Qkn$R}Bh2|Qy5JyG(OA?i&>;U@vx1=`V*oBS1v-RpR96^ry9ea=< zkG8ft7m`Dp`-sGwslWHKBHn^JN?BWDO7+q?nek2x3FRI9^@lbg2@IDw1Yy)?J9-Bj zb+!>C zKDkf10$+wQLXc1_>KE+f$;pA>j|Bl)3n--oi`P&TX(@y`q<1BpA)MOojQV4_jhNiC zWpet*`k>g~W0#3`K)2G}AaP7)M-pEh<;popqj+D!QIMSz>&4jU;4lV->kjzh2H{oK zA^DChC(&6DSndd^Ix#%(8JOT2Hwz%R#*^?dM)FIM>-BJEeK$`^bOSqv+)F{uu;;jr zLjJKN@|8sC@`%Lo8Xy&fSaoj{CQ#N70efyZhOma`v3tXgHsd{s`D7TTdl~XS=>vh^ z>LgU`;D=Z~A*S^= z{Lf}Yo7>h}Y5TiN5-752O}mwKPFri=YWEVq;+{j5&YfN_Zm3TEQKsaS$a$jE`+QsF zs*ByKuI)diQB=FXhc21a`jy^!x0^ILJ{<#8QQAaP|Q3y#Zp*^ojmchu6Xncr_QTzTVIs@9if})d!Q1b!e z-B-Y?256Goo+N>5lW?y&^iqSB=UX>xGU!8Urrd;FZNUlO?9)S=Fbx&_~CaUyA) z$&nQWpBvV!6D+-f( zn4ty{lbI<~$#6+2H7%SBQkx-vU#oU%9twDYpHnAhOal}g&dXP7ffU!wdfU1!JG#7&cUuCfe&ntKqf&hwtJnYXRV0E_?=pBPFu(A{p zhHMke@igI^o#yEXf$^N`X{Km)H);@F5rdybkK5$?n2vd(39;xjvGtUSj{Bmr>`5I1X{ClQljVT6p^J$P8hw~`e zj_~YmhNbY$G)uZic{ut@1}_y5$sH|WsgbXvB%<&zB-{^T+(AQ;^ zMuvzJZR&h9Z?m&&hn8cm82z$b3TiWuz+_*%4k7 zHW@mPP5x7HhI*N&62;I$-pBfve~q(c+175HEz5eCS{L(z;nm+X?npQD;V2PH7?+j_ zMsh>oEd1)S$nLqa$t1#9#yCHm<5*dQ0W4wM>}U6Uu*7`K;{K)Rei1UvCC-Au+tETeJzQ~pZgGqXQGas)Hd z?%7Wq|KQ#CStj}FtM66I9pBWptC{4B*+w7$H+i_=Ea}G>++RsSxRAs1O)=im^mNDf_5GSQJre3ij%xi$eAsMcm5|Tr zbnIka%Qg#ApIuAN5M+>?u8}R;{2GY#@v5cIvc2q&1MsmC9s!3(?vvUkU;6Vu#McjM z=7X0GWjnF${D5xe+stbzd^63WZi@n2EgEuC4b`kT<>bTqyg>Qkyv))>l0dqzY3 zO_M0hDBU_@2}j6BMl#pXZnw2wChe%>=f~%>W={k3g4D%Mr8=$1_FdtGO(1^Lw*C;J+UBqF@diEd>7~G!|BXLgmt*U1&sC9k9q;*?+ileVGQ{| zOlXACW|N^KKu1B0u@Zn7!rlfnkQ`iNgN-~%$`SZ-8}xSBlfpqB5kW|(dMc!ixy{$Zj68NYj2o{EMoltv$6m`tma&Spc6}J71L|=E%#>HRH zk%v`>f>p$$!5oj*CUPEGpToP6vK}Dl7h&(()M|+nL{+j?gm`M}+OM~HF}2e^@3o}& z2G{ikT?iN0M#|c?HZT3uGnd@5@M_UB z<9TamNx;C;_nL(SV7Z~hk1;L~Hz0l4)iXK#=aEW}ZSPkN@tUyh${Yq6G6Za4xJE zJCk=B1fflq1~agl!V_h9xb9_$?1~&@Raw~PSv_IM{~(svg{r`DtxOW@pv~^#K$7TnWZEL_*Svwtby}Y{6!653% z+)}&UbbFHcz zbg?wFyWJ~)t?1lRn|w3lPw7+Ycn1gI+1n_^gMsUhq47d){1M*xVgWi)y}6JFYi>B^ zR6__e#^bwQ!^rp9hPXT(juls={x$0f9BPTpc=RDu!a8hGLVw!JVl;l&mmr({*P`SSJ%qC37n?@W5v%HhudBbB+!AlhG!WTw&987qv zMRLK1KJR=Sz22ShZ{OeowR+tT|BBNM=l>SI&lSzRB-ATWQ!G}KG4z8b;AM9Nxd5(C z-Q06zpHcYf+x1jJhY+X6OYaHdq2l6O9~aZ*$fFP|;?(_=_c&Q7rHeyjdFJ7c@8Sek ztw9e2W*fX53;}EJ9Of0EkizePc3G;Yx06QFG@44f19opVKdw^tu;>`N-rC_pLGq#} zhO#(;zq~^{NLZ6u6JDlFxRBSgMsUd=`ayXV$x+TzJn|sH;m%#bW2Is=jk?7Uh;X!W zPoC*C2$&8sn-}#72f9P!B)Df;y*RGH>-JTlS9Ssa6^TNI?QOcn-Bz5b^mA z;fp=|*yy?i@7$Hr9(NG6Fgdj8CQ5y+=QlTg_2MVIR&i5q5`ua$dC~d1`l+8P_xM+( zE2~FPR}g8_jX3xGW{BtF9(jLcy8TtqK=-Ih?E@^|vN&l5L4V_GcO@Q=a#{esR6)32 zsc14xXiu^}VBGt5cCv8QB#>Iu4Qi%~05dofkUBDVr4IvSQUl6^>J(<)I- zHN(2nr6PZk0?6U$SWbRxm#^5LLS;f_6dIf<%7irR#pH*g;bMbwFRr*HC~5X*z4V18 za0T>x^$)!~jabCs0A1Ea!kSQqp+X8B(aby^GKgywu#jD$ zTl1p?->2YnFAzsT-l!gNiX3I7OtwZ%u|u>u zFqCmLm1VK~H`S;f^N1AM3#k`kOcEzEPbZG%rwg@^bJAou$}3ap#i*w2)02WJdPHF+ zF2hJ*I^Y-rWo+l1br`&=SCYWB1wf_+OUz6Y*<*0Q2L(@nWMe)cRF_kci{w-zSTvk) zaSvXsSW#Bz5Ni(@&x7XaY+lepuLaJDP%m>~=qX^LRy1~)Usw^oiIp&J9fEC&&=)#j z;h)zC`oj#tq1rH}rgHZ&7IZ={RKl1VXN-Mgp@-|U2_j0Y6#(-BqpXUi2unEYe3l50 z2FOyu^YF+`eU&^qKUec;7xA{Yi#faYOk#HL8?ry_{MYp*bvP+4EsWSP{c-<;zv7b> zj{~%RRKx_bL=AIp$Al(l2oVoOzdwtsJL~X1&Vpso=|M;t(KX@fvIEA2x7^inOwTwz z50`;NXajFt4OxN?j}ka-+t)EqM=z7lc8zp0En)bu9i6wzvOktDHiMRLHsLs2%O)|6 zb6nPojPAYEvdIv~VVYMc*KFp?vPP{>=^C1dhe@}Qesdn9JTcDYc(9v6^8{am57P#L z&J*wvH0LA2ow98=_?>E8mhbV;!f!E%&UkGOvqZzk?j(LXKFc-XW?;Ym^-Ar>hmLdf zE;T(uI4(n-N9fkbMGQ+Uf}DryuoCBd-b$>V_j_39XBqM5v0I)OYeEewv`@jh8`_J{ zK5OyU5p%|IG(LMSeQxIPk@xpEvy8$R$NJw8Q)<906xtyow3zOlcfMX_ndUq1eC=zI z43qIMh4ajNKQ>qVGVZx|8*>l)n}Jz2`xkRxdMV*->y;}n1<)*;H{OVh9>|BY&|-1U z8jjW7P7!c(eGqfbvq{SsJ>u=7Xi;Hgds;uTCt}-LF)~M9%pg2A;fVnB9`?@y8y|E9 z`m9;fvQ3-?tJ-&JnPqY)JR4RSKMiS{pXfp|Z`@~re8jd}=Kjs!VH{+gg6(Dq+)&1e zn4G`n{DMBpdIQhTOZ@O2p>PZ#@Irzpl6AV4r^^fT%)w?BE~!)HwB6iWxqXyZGT4F8 zg^!X|D-S8alB> z0nM;o)6^v(d-? z|3HXMiP$SskP|{zGRBuzHuAy6CrH=M}k$QA^r8e!ktTU97CxNIO$XodPozB zhguT|)H@L9a~+O^>`{sUZ)LWt%zT9xX$K7OOO=5GEtC!jlMm7|G4&LH5Dis`S0T?3 zp_ki_0nz6GI55jPaE_v>B(jA@g$(qWK1|hVsWM8S8uZME_g&5^fd8q%wkma8PES)2 zC7Yj@tzcEezEGPvKQv-o&4o>YM*?&nKe2r=7iPL;Z| zmp#g$n1lgabW8>)j#lF+US;-_x!S45%NR-!zV4ieW-$dpSa2{x(XrdvoP+&P{>yF#DW9$0~LL|r(OVdoy_gkN933X=KjUfRMg1_-*iaME5|_1xHNZS?v>8V|CJPetQ~=~wYx z1HR~j{-0OAL!-NR86zCHTuma<@ zv?ZuV!2vary=?zNA?k6VR75VIawe%$WIgmrObfuHt|r)0W+F;r0i@@Y0=gz* z+7e?hf>o|aZwJ!O zdcG_q6?NlTF`C3I23@$(ymH9yRWyb)h$lJ*NyGYF3mPdfT7zROtH;=t&1~cQ4T;TI zcJ=g*L!wD)jG3gmkr$~lN?=tc6_bn$<{%I{rPqJDhp)*MZKBO{p*SeefP4IlzIw&rH_pMC`M90gZS#u0_qV>6 zO5(!;*ZK~=d4(X^X-narPNa9M-pMPJ%jJ?Dtg#&xFnrYjAp= zU-s2`7F1pDL{${Ag#TIvu^#icbTLDhR^EkJ1-}Syx?~l7A5u-CA}B*}JB?e*IF(!7 z=Htp!gt+zRK z-$Z7?&A&OIvw=ndQlcbm&jDT24U7z(+!b_pKztNH;tyU;tU?lDY~WDG85%TxA9Q#p zM3_K}c@Cc!uPY@j^_GrdJ7nU5VcO>TsScKLO`05IpF`(gf>{X2#bUtI(Gsvkj3uZe zbeNIIyIh;|u^=zFnSkR<{P4!4M+N(V;eo%P(KIp8bv}_S5M2WS5zMU!JN*GUSUe@#EH&uHAqjVA6V zC#;9Y>aNpuG>Xj}oTl>5<#^J(sfZR-QM*Ja*aH9fkv+^@G{F%2HJAmKapOY`ui>wA z(lv{S5blSONJWkXcAaEFNCPpq&<*^5U)OAteh{B^IP*(p(?7}ud@GgScZXslAi^)# zeho57rVVCnJBkmPL!FP7U!K4XcA*^Mm9IC#>hw+NGS0v;NIp*djCqHMSJ@ruWJda! zp!s)%BSl=u31FJ{-kY1oy$EFdnZW7jWj50D>(1ytNlQPN4Vq<{X4TP<1=b@h!=dGq zOc%;8O_WBO9B$GxxH>%uqkKd*$7Oee-o(+eSgkvXWe#MN9L}}@lW&$+Mts;EfpItd z5&o3z*y-~~_L=ioBbg@K(TQFky?E1OKfid>lTAPKG-%48#q@y4Ha_ED6emCtP5}$T zISeo#Z?ML+dL{91K1BJq1h+#|sEa%5;s54ETL1J(=%KPMs@6k=F6zTgl&9;|Gvw*$ zWpaYEvzE2{FOSD~jDGtsXJ?PJV~mQ~*?``|)eZBPGr0T`_5qyv3e-H{xSBS! zqhQ7}ME;1zU_9QJ#lqKFci66Tr^_i5E|UV7oCMH)($Su{<8Zcs#n_!mV?T^@hR6 zc)f}+bfF6NNX+9?(=s0P%91*iDfADPhVV?=$Xl0Lj_G{%t2W$=37M$PV-0*#U#f7v z+a)<#rfTtqIe3~BLoS@ZGXix+u|xgKtTK9-J<8}$<{q?7$?>YswUFJuo&74ie|~CZ zjp)WUDMPn5*)aUNRa9EkKjM2qsPCx^e_MqzOG=pZP;ZwpMsYy zdVTv;K$zViu3UwBfo7x~+rMhN+PgQlYMSMb3n4-_heF@7iLixuV6CzZG8Sm=0TURB zW}HLu$Me#v8Vmr(5BMJr*dbWRY|G2)Mc!d>4Zfbxr&*m75q}WU2kd*1_OGJX8I5i- zMGoVd_!*h#0~&iehHg`is7@z#7)NJH_Z@*50IxMM>Tx3i*oyFQWKkt*!BRRvM{`B}O#;2C89>Uf5WHj2^sa$O*gUkQp=3+(RVA84 zpj`lh-zph&(e$jutoeOIzp9C5eoPlq8s{mRQQ1w3rx;n2>s;oH@J3jl=1P7yK$ccE zF-!EJ<^*;@yHpc)5vSl{EX|gW<=4Mus0V+jLsxZ+g1i)@ z;Q)Z^Laqju9i^$|dh2jgrQ;)dA9{m;K{HkJe(oI5_2txfx5cs?I zMcd$GB?MX99H#I$sTl4XA4~KEOk2$$n>|pAV?Xw> zNujUT0l7Ozuulr;sNd($!528p9hyGKF1ze3Q=S<_MLR_V0(%$gK)T6Cv+Xz_ z60fm3(5m3V_HsnGn9bi>&=;XivlVRNv<6_P9$b4=ypDrVt!NOZfNZ0dg-1%^zRjV) z!Z%bByYJYhkE=UuiNz4cP5hB_5Cb1!{09U2{fI3sbS)m2lAMo*88YIzTucoyVlbvc z{Iaa9rWSgxCW0MGTX~|vXNStGa3uw)uJt9sV*|SV0pU*G4!BPSdbqLS%_yeJOv8{i z-I?ROqrEj~8&t@1_RGq?3o_ZkEVjk1a>|;CsgjL+RhjY<{8pH;$==fkOpStLYl9sp z0j0xW3OEYri|GBn=wU3n2qPKO01RR=To?A#@s@U>D9yGqKHRtg3Ad|I*E|7OmM=8< zqvXjxOvqNj5ij6CU)5Oaptefrvh476-_a;-sJinW(hseg3Ay7H?iJMU)C3gVOBPiuESr7g$9Iyd zLTwO13T~+hoOSDZ?w~`x_lVvi8p`d)ASt-i%Po-ORUu+Vx}518dJF)ZkR{lj7ICt3 zxd3jb4$4H)mNf3rL$>H$go|QXy~nP$q=PVM+u_sRMM^g3Dya z&^EgbW_hMEWJ}c9vUmul(v4pySK+{navTPrx-I!ZHKX1RmEGs)j^A zsuNC7p%!dT26eff9tNh71p)LhekNNES|J1+rVU_2u`##QQH~(DC(C3jg&Gezc%~ID+;@^ zyHy$CHTv!&CCEkh(2-+3_Wu3Ta(B-z@f=Np`h%md(4Kl$;D4Lt2Jg-u_&#&uGaZp) zJv?%7Smd5>pDb)XZDV3EA(2kyLb?XV5@zTc8-o}A2-l#(jKMO%CfQ$e*z(ASr4?1Lp~!NP`0BVhZ{Vi0$9Gu@=S9kZokxScc3x84H?XS zS$EEJSV(Kx5c5t-YGoG&TY~c`bpT$9>Um?lhNd|o#C)i=SUU3~C3}tR)DrVUke_+D zB>Z(8=f^R6pNwA&_I^kL>81Y=Ly;wR?+*!yikG(Zr zeq$Db#@XWscplR84?G<26Q5vn_QVY4sH23rY4)wR-hFO%_9z`Y62N!-$n5*%99xfB zY}agc_U$@fh%kTr<0H^HKYnC)&qIpwuR#YBS9Ai595#}{;zVwQu!I&ja>8WkEX!&S z9Dv^DJh=4Il`A2+#UJZ+vYT~0ckiA@wxl;&cZCEB?;!Zig##|jD1pO|ZR>Ho*29)0 zBvS_3HZPIDf9RmZnp<(aB|#w#1STMJG#|;Ebm$44uEaV;&B=Gg_@lFEYlz30o!ZE< zO2dokEx6g=63mUyGE3kENDN@D3;#7nW-HW2&966<;r6JAEV7eY2Fmh4PL~T8<~JfZ ziLJ|_P?aG>GRepv+2Pr)`lD&aSzO(!ah7bEkthO}5%{aM_asInn_P;-k_b^m4-j&w zNCogRgy|Mztf{6nN*j^>XLuHE(#Svsy*_T}B7RmM$PsU?;ag`A=DQdn!gQ`Td~(Xz zJ)zwV4s#*YH$@vDJ%Fi?xim}q0*D8L#^eN%Bw%_m@|Zdd4H9exs;?7ERisp1)e=kvFC(_gi&q?63n%D< zSnReu_Ks4?gBIAd>7rO=__}bT`QoNJC6C@tKAz6l3@8XcN(H4{ zv~E)}tH$t2Y&XOj0+os%RSoxLm}(g`C|%!xq3cSQoHjz1a-}F@JaU{BTj9MJz1Pd( znAfA;O7btnCeU9xbXoDw1cWRd>$-z3%e%0+$8JfccR6Y6(AW8fA&Gu~T~X*QbO-m} z0hxlWR<=4n0k_&_oPXp}&h`@VH$k(doQ{(i9Pma^ESbuhT(8X1eW*8V_~bPdlP@URaWS4^)B zcAPm_oF6E4)YfDujx*DWY4s1PCmmqlY;1+yAzRWT8N5jEeIPwq^kUxk|JhQ9om)_O z>5TQ~SPVE9r#&a(yZdGT_N&wF-PmPKIbXG?O@Zd)-l4$FW>mKLY~VsZ!P{}jyu*62 zT@tD*ND^nR#v}?zIjedhhch5g8X`ONHY~f?^mY$4H|DZ|Q(OG)y_p5S6X5?J3 zu;Fp-G~+l6G-~RcOD6FPZFVjM9czqu$z~|^Cmsvwmcp2VFBNL=z8~KgvZqb~^M@-70pNioySB&qgMOvB|hv|ZYFt$NWLweD+^0m;dj8nnFsf=%vP z=eJ&Jb62UI9sFb%AoSlERMw1gYu$;ovps%G-oL%ecgBl6t53ElDoxe8CfmpV-jgvu z+ZkJnNe2n)@0m6rL+O|#%GWqsof)U4C1DB|W5h{8g$-H63pnq9pjEYO_N0;K2I_*( zMa}hu+4cAFDZZr@BqgEh;kL)3PQ#w;Q|=T4j_{HB}X0XX<<+XVgR7cPWA z8S6g9V))b~<&#@d}_XMwX)yc@m|KL)AInl@o9EHAPsbtoDV zGj!w(T}xVe5<%RPfkGg-V#J3IjC=-5Pkvd6EDMb=d;7*&e~HlDA;N{WP7ref;zCt+e~XrYawOv<^SQK_m$oOz^VSVkPlZHsR46b^)3|IG zU@WqBH>?gzB3oGjlfMuVC;}!jLHxM|8z8}w%cHzq5$FoChwI1bCA$}gcuOHrCCD;Z z!!jTu$6~e)6jWR+Y%UHDx;kRgxZ3pEw8)DHgD_k5#;vvNO4#hL5GG({kYSK-?1!3> zaC;@)I2APjtyl6VF}HAxz3kTN#V40X6`0O zh>P9F>Au~CB=nhcsqgR_GkwnUt&lmUCT$#Dns%>rd#N>j6#}?j1%2yzK{)Y(Acv?& zBpVBWsnawAIiYsIF!7x}Mx^cDht5_~-X|S8Y7Fp?{s%jyd0xSB-y>SiN$<^G4i?x2|~4`B3qs zK_`=d*P<{=1=V+wSbaVa@$0+@R<5 zqfnl(vY6kDK55lM6F6j%DCmOt=Kkcc!rXL;a%rCnz@klP9J+K**I*ixmyaqzYYB)@ z4U`tE9~A~JW;J^IVxe`MCbKP09RRx-%==!{yd0u*@YA7Prx!p=6hkluoY-$ZXm$%E zuuqiibR-%~vr6a5l8S~4 z!ID~Mnb81+Fy8wjzsO#mRWv9pXN`+Lw`gz(aj>8i+VC`7vfjqn5!32B zKA*EJ@FK0UctGQfk401^uG zZ|Z+xeH+nqNDhm|p^E?~d8$yavXew?rfGIZQ4B6@pn5wkbVMb_=MEgzA+zVoZcJE& zFD5WEg(5|)RO?BBlZ*Q^1x$hUJKATAo2irWh#LVX$YYHAdf1co7u85b@PAAJ%^NP< zei{S^0JIrprkSM)YZsl^JzYM&>o^@baBSxI%z=qoP@~;XL##k*=18ro zp8NXGkKTJP?!$a(w)PyoMEm#eSMSK0{OQ37b@1RWcmMvNeDL6Ybs#_OzW?-0FgyFs z+nhh-U*7_Hgle=4x?J!Z<QM&9cxAEys+~>6beALyZQX4<(%^%j{VEjMeDORjl3&G|Bo{?pMc0;iqjkjrgQ6 zT&ygf1o$yDyQ6R&kZzK5I&k+&)cFWx#^%Q~T3+cIJIepN-CAz>aFY3BDRzGAhR2?} zc*E-d>EaDf!hRC=>SjOO4=*Cq#KQ@B6EH%6{^pb4?5^u`^1Y(0*SUB-#iCfo=JQpJ z?9I4XBF7j$X%z#sPJAzP!kho<0dSnjJaqi+UFG95M-I%sH+wHsIU%9sZzu3w1m+)h zpV<8t&DN&NJU<=YjTz|ZZpbgI>gdr|bvV;0%>4Wv#l*yfdY4|M-KlE%)>}_}`|DH= zjvV{oXmB7XH|L$*U;EneBgg;0H}a5o-uUdZU-`<^)K>t{K6~I&W3qqujV6qxm@MyP z*(OUo4;(<e`UsZIL+O>}3-5aG9e7|Id@ z&@sPF(=5xhsj$oNjd!xXCpJu_*85atP2Yh{2@w!os+dpAxlC>;p-{V;pc%P z(`j4s+@+%YAjCkJzsP$gHu4FWH)2rlykbyc{lktxw}(Piog&y|Vn*?I^n!uHnX`;n zIn;v=87=Gl2)*sYNtpXAJ@v=EOqV5dW-YbfZ}>sc5}>F!HO}FrM)TTFYc)Lzrtw}~ zHfdy#-O8MG3z;SBYf|n(!*{LHi!Wj+4db&)!Q|J7vbGz>e19Wk8LopNjoBl>!VZfK z!U7?JaX2`6p=FrdHuKxZoH2`lQvoB-#QCx@O7a^$1dk+N#~HJBfLVNMx`kCT2@{XU z8kvGSJYb4iMxB^d+h@fN{$Ss}sUJl231xF~+ zU3M@QEh(U@P?a8yVLwgS(vdJ>suYRG+c{&hO+J3Dgu5ju1pTTi@mQ7!{?<4t^mv&J5zCZJ>Id|o^N_X1p z_kB;QyM{N?n{6Hyx8Gm%AWOp%mQdM99;b=jPq=8Q4ONahl~Q8c90iAUa*!_QxxMUQ z4VtwLXDjOWynNFp^2s?sbw+wxh&Y@-h(ZzFb`4^{M*0REIjDEWoxr_ZWUy-mp9sUW z<+9!*9-*fQaie6ntrY|B{`8mJ6)$caD8{$!uvU1PE!7L1;TO9y|Fj|zANmV#>wlR@ zcZyBacWC_A?y^uzca?2tXggLulqiw&gmQ51+CP6JdBts?p{<9$=emcU9Zu~HWX1pM zwqb!ykwa7GT0eO(<9%U#;;<@drv26gk_}yYaaVBf*syTY&givIlgji~R;?t37IiyyX7e~njBbNJ>!Md?v0sgX zBY#qtklkENV{4B#@HS@^;Tom1BPoVUkgA9wc;5>4av&~mV={b8Vn^hX9mq)pwnQbj zD4fIX$zn@-Kk!~H3as1dx@)-($6Mp0L~kG_?%zz)zQk82$i~MJEv?z0;;nc8_2TSI z=YVRR>Yz7wt@+&-?jP}Qk3I2U5B-crM(_LH9df0;;*Y6w3$>7oC$sbtIkiPi+|61c z0@W%CX}4G&^)5zpIahDm@KkMN^Fe#)XED`Ru3^_Xut;uK$n8N31%y(p{zwpdmtQ#7 zyNwj#tXtQM)k_oAePkm5o7hBo+~wwzJwXY__bWCPAs+QAExHfu-dX3cv_sdn#S;(% zlGe7=rZ!>N&JXxGu8y2LtK##MwA9;$Z>{|Lu_oKo$SIWW3e%yKZ(I$m3jXNv7pUO~PiLtE842*S-Q@VX)>Za~WUoPhi zi1aAhJ5QF6$IpY3+aKk(C~sM69ZM~}f+fzEWQ$%bM;s1DC~Nsx0v561kg!n|h=-re z{ya>9{Sc{fLJ%rVKb-AnY!0{oCi(7^l6~|<$1XgJo=Cds zj2JlYA@BEa=_y?{D4dH*$@J6diQdaf;sR>Dtl~SXFUa<}lTSLyjc!*w-nCi`i|_1= z;{w{>NIvhSC*2$+2mN?!{EB!R3k;mrPLaA?aCNq_BoqIuQ*kapJ)6}=wc-KEK@m*C zftFaXDEY8O3KH=m9^8+0wa_|ampFvEX0exFh{#+V@C|r1jE4;a9pYKqs-G;|U~BNa zqLL;xKb^pwohnhMFF)&c@nI8gs`bv{-}63u^Lo$f+W6Aoj@xd?b+vbX`u@US6+6mr ze~Y#hzBuT2+4p#<>-locVkadx4@~}i)3=oU|I&t=#bD*BkEL(=E%bqY(SE*{-E8?4 z@9|42cYA+Z#8+`{ChZMeQOS9b`)tk>?)rA-i>f^OS3M`ZsSPci6T znAn}kESdrj6##$3*Nanc+3jn;(2c?fAFeb@QcK#$BwDnD0mV)xXNYX$TVU4Pcy0*S z{zBj-@O+R!DE!#24+PVkz;Fb`b_kX*e%)x&AUO+g3O-RDLmy00vX7t4D&d0nizU5U5}VP|pK7&wobV=HfOnT9_&anrCMdS?Cn=QC5UVOYPFBaMv)8 z8PAkTaF|Q|Y;iMS>5CUbP3uD=)8&=5P1jn(e5CV;?8jR!rtwly`mK;$N+Gduv_al0 zNGQ&nPzf+W;ycg}<4GkPQjm5s2B92cDfFXWv^4PeNQF}+!O3b6p_Y;nsX)U*oKSqUH5reO=0IzFQQv=2tS}1MEFCS30uD8aM#5w z=?sk{03JaCt27|bmo5Uxy$E>B3uQ9{I7Klfe74Dng%C8xn%oZrr^)z`paB^t(?-1)DwgN^orYrPOz4ze_XK6S{3y&i)%V=jMVwewKwLA#i8|_lNa*jAAia zF=V^d@cfKWHeDtD#$s2MWt@R(Z$Hv@{J=YySE}?b=ApOn z6~yd2M`~|Z)$S8C`-igu^fgPO%JU9Bl{j`{_lci=ZFd=S-|U`O4@{_^O-`yK$34s; zKYt4~2iw5=@9%yVa}@L``R>dc0c;;Q@U!DVtw9H2Cc|@wkNkM%mHM5;n!|}5I~w|% zksc>&b1u~5)I35%k&x`Hg!YJ*)g|eZfe3I$ESg>f+yRVz9(3NC{d~@8v;T4>Lq5!N zIS|P%`8lfJKI@URY?1=Owx_WcOwh0_viHkKm;Iw@Bcpu9Gk#04ED`~Db%{A{84Td( zO+O)-{tkq*%>cSPu=Z5!w)Fj+w9Z0O2=8TFGZ;EsF%I#D2<3!*JKOltMx0g4`8P7r zsJMU7nXuP zCF2#z2c))X2k%({KSjpBomZ18_h5LB<{=}Sq#dKu(0$o8bQbCh@rG=U2N>mdPeog_ z5BwR|3?ZEg<6ZY)0->t+I;DvqOVzT^=&am@_0`O4F?TGsmQPiUaoE~!xytE&;Rg^* zu8O^|mydppSzm@25B93qUJJ^Jpk8G`@P}(JEsOOPS-xb&{*7&DF*2LAizPE3R|Ue2 z*#z0*6r3w-Eo?KEx7@Eqf877)NJP>#l=@M$jEoJhumd%8E%Ngt+RwDtAtymB?yQ1R zWI$H1eeKZRo~ciNWO~K)kKX#xkL*teKgzFq6_QQRu8k2ZbB$X62RSW70iRUMFpc*F z`?__!lN2sj&B#N+Jf>n`O3=mF-GU<~k@FxH@b{A%30*_n%$Y~|S$`8Zg0408i$I{B zIM&I4+tx-dG>w#akCK*)mrYAz9}`}@&y2H8PE5jPI%%;V6uil%|JcWV`Ju__WVC^m zx@8jy7NO}qka6~4<3o|=PTc#15bSqpNLmW&r)oSH8*+^Tkz(Ztw=botsEIxTwtlJc1>dF0*MA>)j{kK4*_L4U@F+5!y|)} zV8gD|4x+C{yb1aeFY+1;J!h*p;HwIGZVpU9Lz5QHfj&}W*V z)a;q-R+upzSv#Rh%{| zYuL&?{puQOf5fHghO~dzbzM8AMMo|ZPX~w8mAUiAhlhTK)$Nxb>q9;THz3J%B4FKXqK0TcP8u^ST(6WFzd)1jNsuUfiYgeIOFFYfv)%-4J6fKom`)I9-$jDM7-zuYdVk!Hq*(_dopX4bnpeglyS>*vtPI`UHmsi zRHSngFL+(syu3Ps$AHT;d!x~LjllE9G4M!qJ#F?Dxajj5u4w|=r2iZ?6U?e>BZ@`RJn7~ z%J~kqED%9mh=`)s{$p#@O5*-wG2(p+dY6z~-t6ISukF$Q1?NXt$Cp!Jp;6_2HOb=wX62InpgLetQ zTctvlb?x%HteslEq-gRtu!@t2axU4JQoWeshGB5w_e4y)x!3R4k|%EGVu<@N%TilG zlFyxcj^BP?LA@oRZvPQ-|GbQCn+@msFaJf_n;P5awFj3`EFi0f52|KjiOe2eczoQ? zCGh0%FW55VSvzr{*Pqb$WGdYezrlUaJLl5dS`r@-SGQ;Os`Yngt{%JS4%-{bK)@T@ zhv$tPO#immHgI0c&TKK(I`hW0V>^qn=?WEHYZOLb7Z>+TqT zgB_C*9n&%lLfO!R3?Ja%&1U={3|q1DRH1|js8*iOG?Z$oTf7-=dxjNl90-tXsPPq& z{py*N_VYXm2U-qz4dJ|5Grpxv^eS%K)~vmf@~K2B*ZqQAzhNDf4vpaRqRfWT->G~= z4xyZf9;444YDv2- zsF%)p9nXROo!<1;_y|1}(6&QQU+W{@wx8VB$B)|*%Pc9e=F09*Lrpw{r(N2LZ)&Kv zrkq2!^wHF*B9)6M?|RY?1(Y)c?vl20_mo;BV|=bZv?QK$BkZS|HXHWb=SdjYWFZZ% zM&b1c7CcC#1Y1a!>*=6Q3@P3+20-SjtDN0Uj-AbX+PoE7uK`vm0;e z>vMb3P~6AM;+S2@mN$&*pJ~Tti_L1_%UOddN6=vbz*9M&J`NMr$`& z0ye6Xt1bGG3}XWKAs`T*0yS*>>t+$!r~MqJp=2yPxGQjp(1&TZjs76=Xgj2zg9|;+ zhw?t`D)Fs|_^bueEuzVrR$tueLb#TuTbw7lzT-WQuu|ooO7Y?UM&6+7rYU>NP3IME z{N-~e*9@RvZfkRs_hk0C={=ioe*A(xlh6ER8~tg|PH-K3HtoCq?>~P3_Is$Kz{Q-;W37~I>_41Xb`U!)UVL>d>1ft1Jcv$H`)96hhr6#v=iaD5~ z@fAF}&G*g+b*g{@lqfxrF34Mose^R>|6TO25cZl?YmU}7uK2?L`B45-SG*njTW_lC zhE;|1;5}=8H+_Elljqyluk!YOw*AqZcX!q;Ki2V$KzM_l-k*v=C_%Y5ZM70mBz*Gj zj!QiM^2daD@AucaIe)M%mtA+RmyP?KeOGQ9@Vx z!5Q&-GX>AjRRv2ktM?3c8YzvRV~KDiu~Bei(51QC@IUWGFbE^d1TNZf)o5*a4dnuk1&ahBeo;sHjO^xj$8O*s7FFy7Fl+z4#!4p;V>)kRHOtPz-VPz z&V$7P^}^}HY=NOLuRgmYaM-~CKBq{e(0LHKiDq7*ndnqNC(wvc&s7~Ytm@819rMEH z@mnMcCjlza2;w;Cx(4{OLhZ(!V@o#^L)+NHx}&kgJv2=0$ zbk!TxOLUQr{ZL`ufeM=t%x;vKgRy6l2|xI6WLCRC9)gG*b8HdPo6shj2A?_f|D$-Y z&;I^wtri^L{K2jdu=juL=!}9S6LJKc$au6?H9PsojXvZDM``n|x8BOQW7E)$nyyu= z)guQ!0FPQwRYz(y$U&=0(TU@M+Ru8F(4z`eRqX)0N2xDmI|EUvu2M?pRC?Exs!#TJWQNC_!k&z z&Tz%J4ds&h*~ZT|{44?e%L|VZ%NdQ1r;&Lw4!xRKh8W2_*{ykSJa$74$#m>yKKx@D zrVay5vph3$bDI2PolgFlG%+tRzc37rB_X0;lHgXkZ3$LDzAFXG2Ek^HibL!3yqsUtTTIxS3u5v>lY zPmE23XX=c$8o=kZStG-IEgV;`&6dj%4w{bmvO=9{YIEY(f@yl2stV^)KY|$mXG)(q zP*pPuGRRrXMZt`MTyhU)89H%*WtGRK@y*01E*(7av)NbQtCBpv8Pd(!A0Pa2S<#Pi zQ0!~7I4<@*raCcu`Mn5#=dIUX<8!Uc2g%*D-tIx#x~ezdAEhI)IpOcubGnj|w za}$y=0U6tzsfwYE#~0&eh-dfNgpW^XnPi=1p&}%&P0-~OWP~x!fQ{bYj`V>SREDI) zp><=9ks0E9I;OLRln$5QBQ3++^1~;zO?xMdjB^}#=Ce6#Y^NNhYs$+R0Drx{1i#t#_~FT^1(3tbuGiB8z1O3_#G3Po_0I%qbct_lOvGM4^y2F+)wQZ6FjB~%MNNU@ zi*()LYb^WfYo_*Fqx*ujRtxQWovxm;TUP9?wNyuISna&j|NiSAW$kS8+Ki()<4ykM0z+6(%#29lhRm*n)CQ^Y5rQP^2a zPBTI>D&(jj8siyY%++Or)ZId@VCn8b{{yL8O1U7AW0)`gXADdQQ=9i@VVzB0N>UFpMq`YhL zA6_2bvi1;eGFPkps~>UQo?C72B^vz}WS0AWh)KOB6aG)V-|%<5mhj@rD%T!Kc$02A zd)~`-vFcW6#|x->cQE_87dIWHRR1q;O;JhyYMQWH`}t(I%+l@dp43+%wplqejPoB$ z&j0FC9AhHVryVcAlJ5nR>s&oHcOaGGce8;I5T-q9gGcUYEDHK z?SaJJ@2ycg9wPgKM8Pfod2-yE=_;x14`L_jp8WRhM0;uQ+`aeRbm{P~y6tO4;&Xy` zGi18|8>(HlE5A)TZnh)7$vqr+kJ<81oHxilXUl!Jr}yrK{s_KJurIXlq`$i8Zw~&; z;6SWJ77tt((Cy>D^!aDI=M_t1-7vgrITJ_Q!$Mcev`!uH0)D0ZRG zkM@n{RD#~ejaT#S|$-Z;z4t?D&YrKiaC zJ4S8aaxlI-I?HkCMT>nUq6adWo<#X2aY&oPfns!3*0CtW6oGh zTxM3%xa(J23xQ`1L+$c`Y{n~)<*mW3kI20f+vvu-?aiH@xGdg_a{Y-CBzi~SjPgv#*VlCBR{5M2^14vD6ZC8?GZFu+7LUDp=d6$j!V<#3}Q=Pk${gAAPeeaUTxQ)K?+UtqNTXlAZ;hli?UuE(Yunz@ zR><<(ema7ck=p;n#@666ANxGM_x{ayW5b$u!O4BYIOi2ha2ztwEk)m@mr5C}UGHQnT{7g3}&x@$=fT#)wni-=(azo?E zc-G(=8?U{izv`YFtnp&MQ*q_L1hn;2QzLZve}f?Y-*yfA7m>f^-@fMAyVB1WGueNC zp-fj+=n{N#flS_*rPx#MF8dKGergx3y8!ikFys5`Mwbphq|QZ@9;L3{&n27wpOfS z?17Ar`CkTP`SC~e5*?MT7O8;DpsuAwLQV-KFyojAdiHU(o7-3Rq8R`Nqn*ajp9(g? z5^wRskf;WwBsZ9;@9OUU#`jjXe%!>|Uom>kfC0@e_r@ zqiGdiG1I11!lc_0u4Vr8PFh`uu_t7mbu{^(EVPO%ikrKb%0s1DQM$oCIU05Dy=}Hb ztJcpb?p)*0f)i*)Lz$h7tiIq!br*D=NMPu&PRac^dPlf8OOsn_joJu>XVW8YG)If? z-Lr*P{9Q>gUN<2h>>)22fgb2J=YO_9gl6f#h+K0^k8K^VJBKf}ysL zwfn5dKVeYeHe>W|Iki#i#KnGp*dNyXL_MjE-%|`Qcm7j-9dUk$c^vs% z;TX+dQY-2xRxD0#qC3z%VJCe&nzODpuxqH=yb`xwmdEPK%XT5Cudb)f)mQrZTF? ziVBy*f!-(3_$l>0r$Q!NA6xJm?9lL&ae}6+k~a!|k6qyOczwL#SklcE@c5u(wqk_Q zi9y6zQe2E6&}J<*q>yA*1?h*z$)X_?!NktYy4a*;*&tl;lruOce8T z(sPI|jPunv`t{%g9HSxuRgw`An!z?WCDX9g5;hV^!y{q4nl#{qh&fh+*> zD++GaXQs$A`zoVBaZpS zSLE;TNs4Go@z&e*(9To~tVW>^Flkg;xnnqH!8CcdEP4eT1cIpG#{MXnyg`%Qs7rQ$ zf~TS!F!GK*e*xcT52nB;`bdYv@%-~x6#rEJx_Abwh|QP@S?xfvq5&zZhCCx6Bj+U zlFfT=>vyy7iHp8-iElr)l6^iO-es|O+jYG?h;0K&nWJ6N-roDw zz76hCdOW+iQ(l+s?uI+}YxF?7y1Qr_G(E9%Vo$fXErY&{p6>PU%b{#2lRT6y!ru(K!y^$7H_ zUe^nczVO1Bm4Q$outlfMl;iXn#uNp+io2>J3s2j!dEsV-MagZ@Jy$UxPeq81lSk4y z-cc=d7#`jp+CA5Lf(?h7C=v=@dNT9kQuOlvYwQ=YB|Pzb{nhAlO$%mqsAR;V}Oj{#Ey z7ZDr6Xf1^tA{N7LaQNMXC<*4I&xN_V01{veNkQb8otQx=+^`v_ZibeEtVokC(b3q$ zoj(sxQQw;(5j?iN%(avM5O9vV`D-14TW+S{J<(eFtlpp<*J5@r)I0xheI~N#8`;Iw zR6>i4lsP$FzO2~@nc6L`VVBQeTw0cD+VQK98}LMj*6uFelq2VyHLm+@kDh40g`Bmc zt#EACXlkBWt_QXkE%xf&m$WlyFWj;Tseemr`6V5#^^A6%%2cy<<(a7qtL`hIlAN%+ zo0oOAH0u|x-C#Mw{W`s>;hagy=W9w@$X|=m{v&*ERd7h5^G_NoUSJO_FN8S^4Zy^R z9_QwEUurM%DAZat+tLGZEu=y2j;3tA(rCGx1HYkPZk?kA8gb6=TGL5q12vUsfGu=I zQtK?&oGsL_<=be;LGQu(9NF1f(P=w!bjIaWzPaP^vdG1cR*jtpX~7G|0^_$uT4#6L zTpSa)h8_zPYo}lm-Ezv@^g3El)-oxI!vpW^xF~MLCf`yVsFXLKm@~>BY-_4Ds7UX; z0kXZdm?~;HNAJ228Bl!?*FmCCA;V((oey3(u6!7!{D!I*kS&E|$9n|Ud6f0__*NRH z*jOwm>BQcLv4PTNTy{%(wpFj3WH+A(-N;5eVxA?iG5X|F&Fm?fn`t7|lR+2J9i=5g z%dqS=O_058bRbA(3%0xH>yT-!l?)vEyOu=Ebu|%+qp!LcA71n|u?8n51bU6>~))<2bVc|t~xAyg}){9mq zsrw6*Sk^(_n5`#Hr`zge$^V2qR;I_fm`CYitYsH#tBfr-Jotk@U3`uc>@t%RLSK@j z4BX2YtvGuTohqlLC@rii({7wdJB?E3BQK40WUyUd=bD)}R+OLE5yNacYX=^4n~z)A zJWhjds1}fuw6f^(X%~8z%ObzV= z)_Vk2W+lz(E?QM~wi`~OR-lXBtB2i_6NKK#p8oDpn5e?D6r zyX)rW86~%=Q&$tgEfvY`3z|?a(+gz6_vQjxQz%@SxWsF6@5)?|T(~mq%#yAX+}>d4 zDj`2#i4mAN4==ywx+wOTm6$#$^5|YYsU8CrY*tHY z)Kq1l%LvysnA2M4NysNN)NWQan^wcw6iFKv_|cx>Rawx&p1R*$~L zL_Amq=fhtc6BDvV!q%!A(~TmX#z=^2Y#~xpun$a|+gR_W6QXL0t3l5!onECUDr?uI z8dt*xxRq=+bvSkb1s`7_J~(P$^s#O&tKxtE%&!|Q&qrs+tVO~-E zaIkqn#5~cuFk)BVXS#NEvpx3ShkqDpw{2Q_`wvzdlO5+fxsF(EwC-H{+V8A7C2E`> z8b{{I9Zsb7M^NI!IkKgZXeeon&m|%WI+^I7l0S~(2F>7%Vr$~qQq#S<0@sU2=*sN; zXhaWDaX5)ECm_@3@Gx~CsM-<0bmp=7+VM+2kn>R7(iaC0V3o=(Mg0I=zmusd2YD3x z`$4iZ{{UFSS+}e?)s{WMUSK^u_l#V|iuKH0V8`c}v1v2Td)Bh&)GZ&cFQ409{dY~P zGwl;}G3USR>N=Vco;xb~4;6E6n)lfmC9%58zq0CtCn<96s2_hMI;z5abyQTN`6aaO z0-W2idUCGgfvHaOS;1@Xk!6XJpSEpVW!>o{Dg?F*EvcA4Jv&*LchuNr@p2nef^yy@ zViv~|)lT)*wqaF6mRna-(^S6N7Ui|cN>S(F^yv9X(-96AQ&11fjCoEn03694HXL>U z3byYXWDcyYL4^H#_UVxFY=ctJfaKp$U`;*-SDF0=q*CyxC=`QcWAKfXbiDFZ)ef3g zgB24up-Y~^x!S39brc8M(yHh|-D&@h)FV_}Ca~lhU#L~;m5o~F<`ac^k`Q`n5G^9o zWatf`v=S!qg6ryAz!4o}PE{d!O>qH}oKHmj~)~S4QaST^E zfg1#>O5`9EJPJD$d3gM)YURFhxdTy{&F**J$TiKm8~of+ZiRQ*MEvM#CAN2}l)z~g zgNzRWnUxF7oUUQY0EJ_X>Pb6R3uf#qFt{-)A0Xv)m)NhCPWgS zg3|IFS27lngs4X~+S*joIu9;JkVf_1Qw&XH6R41hB(<)=1^n*Sj8oV^1cKA z{g4~bl;ify$9bjC%)By)7%B*$8c?4STI^J$#>A2km`j56+T( z>rY?)GR{}r4cgv~8~1emd2=wWTC9vqC=yAf(l4y2abUh}r~>~)^Y z?A+;jujk%CoIUDnMd(e**SrmKSL2H>a*-fO*e$7h7oRP=dv`}hLN~LwM?!MY1DU}d z;xivvPxFs|+|zY0w66Acc;23_4&dLAugvXz>pHWy_sI=AH@qQtCwrfMUcPPN-W5^w zg}r?!vjOEMqLlC;cyn&&%>lg$HjRPazy=1$u#}5I_65 z9DQ+tSA(B_j4QYc{z1H;7wUj{)sNFU+%Q0vS$c?fWxm%egoPi&P+4ZFFUP#|`;!|c z@^88?zvO!+)$jY2N~U?|@p(IsXFhcoWg*^y`QtP+q{lzj(^NK`1shJ~*5c65%=0pi zLCHP`$TX22r*)H0J&dMWit^+0@5JPDRrz<$IljsFWU>#pLcT|UF7|b-|Cq@@rua%X zWSOt$&?~%!?&3*keRuba<~u6+R?2P1->q({^lzE1AyHMaFO0vh8@b z@4owI-~V@9z1xx7^~$bY8JVNr4(OjdJ=LJ*=MfdEnrY#eko9O#1qieLSvDmk6grndz)XC4-#KbQLb1&!13uf0d1C zemM8B{F$$(dctG=(d{I;eE7_%@aAeiJ$$nz(+i-v!c~66k8~C9Kzf1iaN1n=B$3tu z?8B9oHzXpiE0wNqx4h7Zl1f!%#i`0IQDt%E4X4tuC7sn5u2K0Z<=Yo3E*SZ6Ej#1N z1!Y0l63;8IkC~^_jV$|QyzASA@F{l4O7u`ZMvYRX?;bW&^-D#X2jQO0iLl=Y@TskE zRvngP5wAglHf@1OQvpvfHn9uIcyI%@c=ErWdHk78>{G=TjOD>2G?Tm{Y%=gr!GVC` z(Wo}p@N~D)b7`TzbnPfRP=v`Z*7^}n2!rklQd_P&_jq9I3oFNTZROGLAI21Qxo+EQ zMbAi%-1BJDQ*B%R`RyK z2Dn$S24{2Xn{J>QbPKiknI)Ue>64C0B=km-Yp-8aw#kb+|Ha9yCwhraCqq(Wa|rT) zHm#Ago91DQd?U{6N*1M$^T3tS*5A}Bjo3`Ol*?!>vz@tGwm7YlRkRJSM1@G&B}#E$yQXtsArS z@3uZbMeT{$oc+y2?)#^x^N+vTWRZQiKWr8Sgztzz5~ZVZkpxykqcj}Rxetr;2b_E zHWtuDGFsYHq~15(MN)ygqI9=W0{cCui*n!{L!VvpA7R?mt<2_s$tiPlr^(t-pcDYvKIa6*xU*r^J5(~!`^>{Re=*SAmKVwJlw?PQIX(jr^?;t6t1Y+6Z) zwmUtewiJYoRqN)ZE2V$ zf6cM4ZV4ydaS56i%t(r6r6%XPcP%HmeN1ec_6xgbKFy*{UE00$k(k{OT_A(_J`E!X zNy3kQ$mf@_u1_gA(4dEaltU2k=DBoqDuQFcO{_vsVW_dfrupgBk!2Afb=?zb(Ryl(Yphi0{n}+VN!ChuF(CxV47C@dr4=IPW*jp^~XmKn3lwJOmVHXs1CG*^@96g9+aw5&C?VSK$#D^_Cwn5~oP zDSBhP!Kt?!8d8>9WlgK8$(V7eEpKmXsFq@R3g3oCh#+_s6(6t+UI>yX3-AL#hg;_T zf(ZY8zJi0^5kSXfFziPjIhf%PeEcaxyjkkZ!DZXl?PV-&FSgubIvt{gr0HIJa$;t! zQ{h-wnxS!_(7ByRC z6yz=6vyaJ~5ubk_+WrvOxZ&)B=SUdaN9l9;tjR(M{>}~oo$EariJD8?C3gJ`WMzJM zf>l>V^Bt1YZSm_^TG}^Jva@_XX=c0GysG%&m~3BttZqsiw7j^vBU){&4wTo|Ev}nk zS5J(!zq(+;v`?OYUemX#OJZg+oIAI<#Hg*YH$FTg6CX9N!fJBNlyjz8JO8Bc(rUB# z*ma$zaa+tazcjO{B38TQtL=z z3fAq=u8$x5^7Qd*14Cgxru>4?WuRYcbeSrsfjzT{XJ|5K9z!9CaZDANrKS{Q z2=oFHBNWomtC4+--ipR(MlFaWb=QnG>t;vsakd@|odM~xtLu7eO+7_L=v0?-n%)3? z>ITso=4zZSjLR#~O(YNxIaT^g-e*-sgWFfz;KZyMpuUu z+_#OauM&N(11GKFxibvr=<}gyc|eS)BIS3v;%%2SK>*1H-dR*C3MyQ|#SNKhrU(RT z#82o3>cr%uMZ%U*Tux$HJJJ9{Sn|VYU1fx(3Jj1cml|e#Zk&Q5WUMW7Fs7M{I>#WG z>iMcBT6DUc7EGh=sl~D|fCWH)rq>i}0;5k&vhF9Ihlvq1Djr`T<^T_89{XG{bUU1o zue*GdgMBK|!XP=)B!2{%z9CV zW9eP0LA9RV2?DlCF0}?c$pJ=|8Ugmrhy}01dYnE-1|u=KQ|FBq5!9=NJIP` zKWnpT*)fs>r39P&-Q|qpp6)m(rJlw$z56 z=Q4Xcp6_j@>$d$&?&@ZJ&7B)I_I&?%NE#q9Wnjr_A+PV<7VkZZgT~;1!~ZE+qml>q z;|A(u_D98Z?8Al5B;lUPg8cGN+KG4a@3l(bF8K2{=F9zZN|r;iUxkIvrb;`Xk3xP5 zbPc=(c`B#L=gcyV;|SvmuJQ;yPWE#=KAm%T7ytwJkpz|(7ShuMW(Dyk^<(!SfZVYF zrM?W2<%sGBnWBgKw+lU#{@iv?LidsOOy3n{eawt}Yr{6^K=yP)D-s&x1%9^Q z`o%W9?SA|xt~u$76W8?redZ4T&&RUK{&O$?%pJ`0nLGON=U#r&6`#2S(e&^h3TR*w z%PLj>^YZAAqo+cjvuy)($e(-~eb960VSa*NUe*a2C-+c$J6JEA?Iij(?u%GsoX?_F z=h5c#xW9TROs`VIM+R^fh&sL{O=Q~3m0<^1XKiNqb-I9 z$>|o5icF6eSaVC^#!v5pfRc0ej5i7akgx77-R>mv{OHGwdgl8oxlP{6gH=wc(0&)ml`h$H;3CKVX;9=LNhk?C-fYQzKi_Z-c(Ms9M zZU_;m5|-&gwGuu!dG4Q|2S|8b{Q5z_DGdDB)FlQsh%!R0j-*x=R6;Oog2&(=>7^h7 zG#AR7)EYC~O3dDciz$i8cucurV0Hlg2O&v!4G#=P7R>*>6JLykO%r+Mt)v|6m%_&Li z4Rhv=slD)NM}Mk=oYK_S|?C4VEkt4B~Oxr1C5q_ zqAfKI`vqzO?G;AwhO?|d+iAv>?Gx$3r!YjAt0f*!hm2+_PnNX(VrfH|U!0T?PMM!h z1oR~@xo(Gd%IV}5k+Cpfq3NF7>^D%kqJE0-VXSgz7|G+(4Xz!b+J$cYx(# z{Nc^EL!u#-zZOa|H%%-o!ZyHTo`yaO4YsV!rCb~jW!YA(nO0S{)K4QF-32QXxJD8B z7gJ*JZU%8)y(_Tj5#R-%W$4FBQ}qHjZb^qbanoKKp+?t+Y_gqlqewQS+^TK*QuI+K z243}8CZ+K%)Hbm)>bW1M28c*|NCYh!g^q|S(Ux2fF1rMI`=Go9q=El&d+GO#~VcXPKXs8!d9r~lMg;7_yr_x`#v9-TI#m~B#LY_VC zs;6=k9}}e=ZF;G=&N^kKwPspn+ljNaw*UC^6LlwQ;s&ex&&^PE%W2bheACe`8g;Mk zL1$mP0eKj2b);@^G<(ycX@SXEdaNmIw5*#xeaBJN?oPKnRT`bxa9LaV1KKJ*x#+GI z_w<`hdNgBUXyk^qr}ZEvnDoWF&cJ8IaejY8NWx3qYRaEPnA18lcObiIzxY2dV$*+(h^IXPWQt1)x5M&hUl*4)t%Zo=bO4I z^z~z#+$kY5bl27<2Onu_UEXBBheT*i4DB@OAxPvXa5ImpWD=P~GZ`6>1Cw##X#z&TW)R9WrLufeIbona4Gj25Z-_S- zx?Y(+59(;>5h`_Ox~s2_m564Mm@68>bJ5ipM%*3WjN8x1%+Ae7a?4WjxHhkhs>0JE zXP23kw`ymVlw-5St#>q++h>{WcFLY0#;1f1O;}vam}pH!+G%!m#?%@s>8w`VpN*&I zMvya2W1kSq;#g?a+j%EhQ+Z^zt_hr=2TvkhUnz|GxM{?#Mm-}MEZu0Nc7ZeUB({FU zbgfKl(BN!9X)96l8eUORD62q8`ISXP43eZ!4wv0SK@3G4$e+yK~C1IM0P&iSC+7Qu-ccC(qgqpn@EELm54$BEjpGxTWt zbS<*ZtUY0QB>dy?C!6bXOTKr`Vly}|M%Usd&8u+>XU?MX;19mKgw|fsU<57}}RER=URY@6KvXdO5q z&SOYoPZ0S|7RUeuT7wR5zt&Njd0f3_IYa|S)5Fy z?R8g0pQU*le{4EeT63G5OIM4M$ODUiTHCqJHfGh#t!;vQptfeo*ha`umYfiqFjtR7 zs?Xb~nKP}2ohI~6mNn{!wbhwKvUc@x(kx%?)@_Vh&D))fL-Iao{m0~LiOVqwq8n3e z=LTz-wUWQ)?f6ZvE~}oAF0YQ5Wk$ks9N?@P61X#!VKHxlLZ>6pH)>82g(AE?kaI8* z;8y_9Oi|!tK=&O5G~4@P22zK*@xB#c-$q`b46q0A55W4)JWXmLl-LK?GSu-oMsY}( z#$0Sjq$VNwVY@h)K;TN{6SWr7-G)Y8)FX(Mp(f*uxUlMHCR%ZHsjek-j9#$eROlV1 zD3%l|M(=J0i)-f0Y^oNRjuoS&V#rj5dT&4sS|yBXx)*zx&`fj!9*;uD=bD6$c^Yzt z4u#th@CZt}^1xyTAfNTVs`jyLs&M_@ylem1ec0Xx!7=1hYXfi6v6jOv1y%%g64@OK)YSzsSHFUnZ zlM!erSi0uoD21T-ZA?Rkqmi2sa-jqXUlx*7Hka4>0C*7miZ%qv3fVadRuj}?Q8a0Y z6gV|5C5i;g!ViZ9b0J*z=K&dJ@CkXGvJlSz=qvjm>;Q&$<{VV7`vmQy_NCg#(nspU zz_AbV)4<^PSBm4ct!e=sHadw?h%{kA2^Q-Cs0nO(L&=+rSOQ-Pt{H@YS)0n3F&0{) zwmBfp79c?^kF8z8&`;OQ(|An-X`<{>2c1WGfBXIIZ}n`$TE3DY zU~L~a;63@rSFkP*t;h_dhb(8v;n%%u16J!h_P+HemSaNtNqe{9jM%C!NGrRbN!8`; z=|b3Nf702z4N`!}D@qOlX(Y6*B-|aetNX1V^Ey6*ulKYEl8STA-{#>$J`aDu?%6&1 zOg`K3XT5*y-QLUc1y4@hu+8i7SYNYS6#+MUUN^8T?Cu?nn>e4o|9<|x{(5ikw%!d& zXbM5d@!Q~g?zx}*r1zZHUw`ho*Iw&ACzsPDH%_& z`8Uwbh4A^=)eq`!&1%Ru`G@od*#&&TPSmP^J`Xl6VB_ zTm`wQ)r9)@e;U#+xZ(2M%F%DI9_v+=p@~;&@9Ni=KVGjWlqX%mKHuL@XROcZ^Rl1s z*<=|e>u&l#*7#)iw&Q&b&%5vL?K6jTbBOcgT zwS(lsphr!`7nh!)WSv-KVi_gA*}w-3{yej-*JFVd`3dwC;w#uJ3k81_`(f7)vG`rXSqqpb{!zdH(*G-cJ{7Yh(=6Yym{UVe4+d6%*6^)c{~5lepe>I9jCv7mG9vSgy2ywLO%}1)ub65L!{w5<~^kz>^e8 zFu);A0Uaq8P#T2&)euZ*nsgBmB8XtAaC2!2VuGj(TNr4sMvekO!)5iLd>;KseN#}_ zpe)!qXIKcq1V><+=OSxZ@`CyfRwBV^gk8{GsO;+gicUbyLk7k)Y;KKc!GOxZs1njFJ_iwbD%0oF(;Zj%l7-d*!Ebg=0&k z=Dea%*>`1=RrwDz_87N(O6B9%_e?hA*vc(M*-5!*Lh4zkvg7FKlbi8fE>ZQ9IOxrB7KrO?SEC1bx0u-@IeFO$+a6qNlE>F6|af(#|x^ zbXi3*u&KOO9E}HhTzQ&CUy6A42PkG@h`AhBr4Z9@_XM8P(xDK?r6mfEyzH4sC9 zpV(mryrDFp`J~<1Ycw>J&{WrU+UCS+J)(y`VnSYDX=gu%Q=&{NpDMSsx#m#|#u0cl ztt3^0oNwAvPH7|cAPy8v*rUn&jyLV0=h6>*-<3&w^+$B~_p-d^vh?-;yrau@v%zK? z`zOXd)=0sk8|vo03>hRA^=xe5ts&@dULvg)Q@it_izOm{k!D{s$#o&nc3O>SsL}(k zmoVx*YHI!Tx-@Mn3zu|NV*acZ9RTVZ=s z>MN^IGG!wO`FtqbDH_%{TLGlh98mwIAI79~yB#Dm4g;OxzG+X2FlNK|e z?f@GmUI9I8!qiBnnQ1U^GKU?I|9-f#yTx|8$^Kt*!*2Ml-)Q#Z^fB*-aW!2b(kn9^ zwzf9wye^M}igsD%LbTUoAefP53$CIbliC9IV!G<)bnBSUw2UFWCl6puw;cZ<5WoKgr(hM z6n6v~n`_3Wqv*`HKl` zHZA>j7YU%o5u^nRlijKsl*~cJ(9Pzc=%A0Mz|Gr-%|a%Sl-Czu4{3tNw&@i9Ot;4Hw`#O-mPx-$P*FlO zQD~GklLCX@X^xKUN*u`td-E+Yumv)}_ICvg?BwWPNV??r0FObT7!4?js(K+h!}lu_ zffq)p&RVk+FtxZ*wFyfIowzf}=B(%7Q z&n5_}QnIODYc$ex(uwM@o6%;=D!r;oZ#1mP>_}QRRK*QFA{rZv^z=FHrX?dQ4Di>x zc58Z$C9pN6Jz^%bpC=&D(4#!Fiv5fKFzyLh^cX9yn#ZcKrx^Y7|CU%J4CHe?Ox_SofkkZgG-X zyOZ-6wHmEeRJ_2ZDa9Rb40%1n!k|r$oLJkrI;005j;<-I30u)*@W&_JhvG)cm*CrV zfnI!`eSS;Yp_ZBDt7$i_&LrSo(0?68quA3N!lE_VEt~_2u-{ zXta1{q?T4kD((x$%H}U`p;ILK_lR*bq&z59F1oDtr0e6w;aV#k3|;YqU{u#brWv#> z6qkbfeK=-5Xc<9_X=}`44DkGA_Na~i1z3QTs)%W2`&~Uh56UTY!BEw&@akz5&^$s@ z;0&oT$_pe5&kszR&nbPY&s5TnK3~7X#77Z6eav(mpz>>{)GBYCUmnAUal4h#>cZ{W z$r>eBnH>ugRn?X>tE0kxy!4mlwVj=@FV>h&o#SlG*b}U3$N9BYY?hhn1rKejomy92 zleyxGz{c|ALnqh7l3mjm)MUzWjYP#Y4%8>7of@;MpS?Esk6DU$UU>rK^6@JE5}Ikc z%^DS}O&T}L3f;LD(n>S}G8d2#G$UcsgPok&wNy)y1&i_3bc{Hcq}*^^)H#|=9(v%C za7$oKIFe}epaXofU<;Zr7;6JB6sbU0EqMUH&&6hcD$4in4SrCnX$*?O7~sq0pSlHk z6}kk5sBlqUovT5^yauBg^cN6(Nx z6(X@3FpQdu6O(~T^Yhn>agQU$ygfrH1oJ z>U##EI1EER-@fO_G<$oycR@3A?@v0_>5jNP?zMGKFUNhBEK{5=$&+v44oudCWSPS9 z<UdU(t$!(OunX{mG!M{gR*PhSY2Jp#yxg}DhG!_zS8@APBIc{L!R1AJ>D+G0~(~De|%e=FJ|P6J5_)I z1|*n$xaXdG{q+H)KBp=9)e(LEk#TVM;{TMsBy=1#_08(XGrb^_WIf0N|J&L_bv^e9 z$ED-A&?zaJa^{Uf8tjGJTI~N6bs#Ozz8`CUdYS`qEW_LnNNFQK0kI#_Frb1>WuKfp z=gyzt2YVvh_)UbH{lyxx!M{OZH2L0wJ%Ff*0UmbZELfIR;-}81g-ny>naU6QrXk!1 z_geY12XI1mdE1|~5Oj0*`T4{60lY(aZsKXi0io~#Iv~U0AwV^9w0{=thI0yM#ro&S zZa9ZeiS6|~xfeoA^ftIpcW*~dzSYx-mH$^>dF#&|gu8vCyP|tLHt=1Rxt#2v*VeJr z^Q~=rdb$a6&lmUX@lTw+{dSgB@(q_Pue=+;=hWVP_rOwc-*nx8zN5GIl~;Omy>AqF zK>FEULiBlnU(xsm&0gy1*|4Dp2XC{B#h7fO3j-AR+2(V+2mbLMjDhq%w?y;7Z@2*? z6P3zb5+0|852dn~+bk=@Csrs~BPha!K^jaAb}B1ew!J(a&H~7SL)q{#-yMbcT_wu| z#!tr`8Xn)+{1`|D-;cpEN^?K>1EP?Aa(_gm!>wFm7%X590)H@vyvRZ5VezQlY={}U z87lsQoj=?4w3`hdpUtPPe3GD(3V`jgJpc+a&H{>`8S}N~_{G{ao@ZNBWQIIm_)R761@_0px zu>}WI;ow;ehPpOk(K3)@2`P2yz(WTlUp6kcx33(ruyC*9tl}-Gw5}1Xei~}%%Mom6 zgHy|cmygzFlvltKRkGSAhXr1thp3PCbF!2Js ze=7`!*#i=WU#HB-A5)Ej`4KPt1qkqnlUy$%uTGQ~xx2NsWOVbR-7mN*uKkh@BNCOs z0G+TED2R5Yr!_g`TJ@FDa;HUx-5t4;-P8@Fi?B^MJZWC(hPFA$hA9@L?G0^9En|Ds zPOJzd8se^5C%0CH+v9W#t-#2OAz#VmNUkO?Vqd1ggnG=4O+aNtRnM4ZNoUM_51Yh{0CRP8bIaC z69_&89}fO>>p88WSNzew9udm{|Kc$eSh>srnGo zE_0=7-n`x2Wr~YP6bN<*<#d&aJmR^*DU??kxb6yd3z@jaJH$b38SupW+1?kIb`$Po zwW$g#X5MM?QYvYskFAQzZWppFo2CcTuhU0Y+86)!)~jo~kFNL?o&z$ED8c2_wnv}@m1>@*NnHB6%&IdcACuhPQUXfI z@vtrDjis4zV0n4wi=L@J0iCt=W2t++=_G%93i_ydmb2rQW0GSsHIC8lI$pw}veB}P zqGidRh6K${k@H0vq8t>kqSWrX!Sdu)GNB>zRY3{U2Mh!3x(hwo=Qkp&^p-QZW)&Fq zrd6jw^gaOkh>L*a?*iV9w*iD5?It%%u=)L2&D$`8G+2)I_PpCnP?QQ=;l?I|51BP0Q;k+^r!pG(U`MmH zBy4GEoZeU+4jY;tj%kjTS*9BZMWq>NZyU|hPDe74N*;PO>Ac&78m3Ciur$3@T99Ej z2w+f=Mp_4pbg5md60HJ@%a~AqR>vysXvMw|sqDKC#;e};s8KJvCpz&bUV537& z>yVSdiIr`PFTkNX1SoW)IJg2+)2(90x=~2qHBE3KTM#2=Rzf#50WX74rf+brbaZxB zUsr;SDXNp;z>d!pVlGvO1MET#Dw@wXz(l48!=SntOgfMEs0l3qDy8!=OV>nxRcI5dkC5#WG>_nZV*(om5TY2Cb z6Ux06rXpaP4)F3mH~ffW%+%l{->ZLcZ1C|jct2oJy5jxF z!J(#Uuea9^=FP+h`iIgF<{uc=cbkLa+m}9En1SgIdLF}-;NRkX+ZyQm(7Y|z`$v>= zaN0xL%uxEl{DWgVLi(binL48UP=_?-pd4w1VWh$irL*4~z(3SKfRuNtCAWRi!;Tr+ zmWDmScb7nbM>yDNJJ}uf6oxH<{l3EoYs})n*%{g|ADHv-Qm_X6{=lHR;>N1S zS>LdLX0en`DQUDqRFDQ;(Ty*kX%4a0Syyi5+q^40GSb`gE~B2 zWl(W27vPz-jJW(ymc9S$=!@g1Trrw%C#K)|-TrTyty5YBP8K zH=FHj^R&+S+46Mf#%r?`k%?Jt_2T4`;-r03^uFwBa_ppi-ZzuD;rCc4+dLDOjIZfz zmYolO^SB(zM=oHAQ7nZAkWBR{NJ3Nu=!C*mGRZE%MjlX<5db+fjeQV98TRMT2eM?| zkwTNRu;FS^?ag3Mkv@tdQvMZ!+IC8;u+~7LXk}=*WtOm_StnV`M_V`9Ry)qwg+zz! zl=BL8g{GPG)8nA0wlQl@tAk*0eBFG+Io*sw@H>qr=;fBokhE>v;U*5T53Eci`+o>fcvJJX zie*4Spt=3=Ulc-Q$d0kKLA7Be zQ)U{mK;Dc_MYRk}Sdc!u)CHN5GEY+s*bKm4(17+U6Am8~#g|qWP;3v3SosTi9mqr^ z273?g^HV=K-}}dL@K;y0Ut8r+49O?_#!#Hk(vQtI|H9kwj=Pt>@P5P}LKC~DOC|bH z8T@A{npFX9^OSQ{qm1n~G+VEKr}RO!lywbHA^PJVL?15C+oc=H4Ogn~I$rF0R3pRz zZ-mTGktIj^F6bPrANGyEpEjJX!Rca;X*NKrbkz=dHL$^tQ@+~H>~C6dmj1D*}}cEW@_J44K(2(5B&S^fOY%y zN1s0G8>D^Zp{K^gt<__WJLAsya%V9e#fMx7`M)DyZ@*fm%jY;%$lAZZ6j6suo$V7j=XdNbH-nD*=1iL z{c9b;Tp13N`c>%JkALkEmx^EdL@p@Yk;*amL;IwKzQ~aMSRS(;`Qz69{2r>S;jS}o zs=E_?(SJP@f2iwLQ6^rWwCa}6NP6S)H8-9eZ#vX?-YegxyuLN9h6)1)8|p(eq{1~9 zsmS-5(vcTlwidY)&7-j_(leG8;EN}gt+;2_(~|1m%ALD%KL;@kQxTSNyb|r4H9lY~ z?T`U`7J$uzS8#l2>@9(x-}2GFdz`eHUpTg|Cnboc&ibF5o{{w2CwE-WOI9NUO6MAB z`I5gx-3S8vku3d7&?k6O*uYUZGN9WuFR>ff^u!3Pf z4D$4&;gambV@Q68Iwcvf*T1mxZqll*-f}C}djw@F7q7oiQsYE7J9I&a%Rg)|+TR;) zYgZ_3P5+A^hgLPu4D=kYZFLV@PDVOnEfZ=PPnX~$m>e%#P~wHbOsU=FQ6w{=Wi}qk z2mxBYPAzmCl7r%|Ou%+ADmqZ=BicF+l!?QImn$27UNPf%3jE=xpK{_-j-zKMZU1_s zN7sD*rJLS%kHF!|fgcZsKyg&8IFwj<+GdWDhr;?n;qk^$C0b#23^zO7952q;{BbM? zym)DL#fTPRu1d|s8RnXd!tL%a^&}$&)K|6U{gFSAxUZEicy{{lT1l_{&TH!%u^=nQ zmDO(#_j{sWT)FDX5^u!nrNe_p{c$FY5;bV{2C%+c7RG zqOlSh@%r`4D`FED68%TK&V68Hi!k&Y)B~qpIP3Vp93Lb~)5>fAdev)^0zV4x`5CEJ zKpi1a^)Jail;p<9F}mixoviIpVmX3%+<=U5(L=b{5<9Lf;cThD37Q_iJbTNmAiUOf>%z8S`_^Fe`l6A`q-V>W9VaewBZ~p?%WCQ`* zWaXhHXHzsm;?Q_M09?WJID3v(izCRJ&#iC4N=(a^8(#XxeJ<_(`(4dIAF1%r2(D!f zcOA3@etzSLI6+NYaqPXvWRY6eYm*+m_X@zm$t)NrRhFn*H~P^TD(c^mhke!kx( ziX(_5i?)BgLC0d9_=bBrlx@YEzy0esT}kO1ul@J$yCaydCT-N=A7Q|Li9>+gJJw4%gh<~%mdf@Ut_+$J zu+PpL>&?O%*;P+JfBEU3m-MIC-gL0NVuay9eas-dD7=a}919K#V40WRI_Iy(1vkfUusiWR_1&7a@(_orRr z(TU{`$zL5Y+1y8DAdZ-{!2ufO?U_h0JK&428@-M*ipCw%&tAIoYaZ6F@BWu>t>5r9 zNq@O)*RMY29=#sCW126WM*6sOUaH7oy-2(E*j>N>2GO-^cdwwoJ%bT>c)N8>(wZ?( zc1C%aAH?t;yg6zw(NCUw?SIew+cOx6XRz(l$DcU$+?$F1-&bDQndP;xH^VPaTkg?} zf4z6{-@eHs@d!vu7WJ4OFH2^R_P&g8Cl?EE;!rvG;3Kes%4$_-C1(~rn9nnz@=-gTyyJrKF`JLh9x#l}~rj*H()g3eue#)Q{B`u*&S>tj{% zcOCJt1Qdl>9cX6uc?!r6svSO2Uw!9=V<<3fZ^f09>&e+Pr>3a&IZ2nyp0a)F!iz_$ zT=qLk$hG?p8-7ROj!fqIR&mA+qb888fP?q1uc(01(-r?w5yRehac$}^ZJ+y| zM-z^|VP^SpTD8VjTl;Ej6x*WxmsxMaQ-q!1WdE7e=z4Oj5uWU&3L{X%}jKK0C zqmyedzLB(@J!=!I{K1;7*A2brlHWM=|F6Ao_O}y@4|DcK^+2H1j>xp<1ft_p+zsrS z8Ox4e|HGGwe*fq7P2dzkI{XNXdg^~pUm?rMqYZv-@H*s|J^AOq`ONJO?QH*T^}pDv z>ETD?!^xqd>CB!}_>cbr_CyEUY5LV4f2Zf!PNKK$mwP5UAC6HUv0Sg8^gl~S=7*AJ z@z8&ib!o8q_Z|` z!2z`&-L=dQun7o~+7h2dGGwE4TQH-QWYN3WHm_$FO?aJiheH z-cIcU%D$KlBVdxigF)Z-2Q+-jD1?{b6()rueLx7fRX}cU;2g2L$%7Ie+lusIA%HsI z!HJ!J7ZTLrr!nZuilj&1NSP@Se@9eNiJ<*N2=xw}7*Y9H9Xqc} zU{*d`FCUV<1O_M`aX}{Jf}+~n2|}65XHfa@Ef=!Dl}k-Sj64LPscXwnh9=0fELVl} zX?PhZTO<_ghuk!3nR!qWeNq=Ewh!mcupf$H5o_!vu8cg*!cp)77}~^tvAl==G@|je zZDYHiQyBVlgZPW6`%w<7vFJy$^|VRN`$-Q2LsO*iIX?g0P)C)@^uATi=y|;nSTsCMPkZG_EFXv%Ie^cm*i=%fE1q~r{$RB^D;D!CV&kDs-NUw*JB8 z_M=ZDafGSkENaMQb#n3BWLp8>_Bp@+t?7)rCj+&7rIP64RFV%Z4v8UIeQ0zzYOJEX ztzBXe9DoD>RM-yFQc_`qpzvJGwUV+$QyPmBy>g?QJF6n8?$@^u;;whz+m~$pa@qwL z>4_v)WcArz8iHz|(%>hWZuc$FAmATfijf$kpe()4XyaCCj<=gU)hs&P6t?~HZH;KrEp9~qOg!&5m{wJP8yZ%Z< zblo)1Dy<@wD!JD|lXgf2L~wyfu1#RAx;uGvQqvcdT6Rn}41?OZom!UvIxbEzf=*M zv11_n4uxDacwt0n?EuFH^RGTMP z1H*<(&D{L1Kx=gP?8I`1J~L<2Tg`)c;yEZ8spiko(p!j{7qoU7jly4Y!9Pa+Ih*V$qQ^HNd7 zCv9~(%qzF6e{jw*SDjr$%k>yWthyR9lET>3*s%75Ee-~(3iZ_HZyeOPLnI80zB(Tb z-9}pal@rS<#t3UzQmx9|L3GVCPj}F?$^Ltmt=keDOxkCDb&6pe|3Kg?q?V2PvZ5=u zw&pG`?VPvK{LaYsO5BRhTb@Z)bce>D7QIJbMbz|{(KjveY@e4@H_;6`(a+}2dzxZR zq1rK_eBx(BlV_~ynUI+^P%*^J#M#=}7;C6y=jN4xHd&DKX z+9y1ym96)b+ zl^dQNk{tFjdGs$o{6_ON^G}$cs{7BSzmfFh(mSqLxghz&V?&jtzq^O@$+4Mlymrit zvCmc-<7ly@CE@34j%xbqKxNocGc{Tr`ESz7HsNk^HH{xUfwoLK2hT5Ed0joFr>i3L z<{-@Z(-RjBTeP9aZ1rkKop&zuPg$|`6BFm?Wqyg&uuQ^lFOAiF~a!<=Z_8kiOo2NHCxbB>( z_)hLIr{@~A=VRY^;%RxxXi1)ui!U0Q`RhtMTz%6i81HW$as8GN`Hqp_81;AY`70dy z{dILa;@`TeW6Tv*2kVpe%a!`=Qcm``+>@E`PRtk>i!u-qrZ2=qZNM&dK$#6<~xs;bVcjr z>n6^BXTXj!$UUvZ1wwfeplvzGrH)5EYkOXInY zF+WHQ3T&uVbmHm}C5X36B&!wVLj z_-d!Q)W*H36<%8Ev;Oprm6atw9M(eG+`67`&cCr|{3Kt`h|FgtojN(uRNk?EAo~u5 z{PwTS-Cpqq9?>^FH1DTV>Nkm#)}2ew{6W#-UR z^v}mfQt_jS7XRp_CA#ltXWaVu(qShqCjGJRy_ucg+Ea7=BcDk4^F()D*!lUL502Ov zxqB5I`DOB~dGdbJsv;wQyt#Y9mrs>+<5drr%=!x^!-LbEmziqWo2bM8H~*yg?kj{%dtN1aO{}k36_^#RxJaA0;YZ|IqG{i%ipPUd?cUULUvp@ye#P9om)!hn z_qV^}`RZUigVNuh@{WBpe){xgGqPUMj+|y^my{2eZO8k!<5URW_wF61{w9y?h|kaN zxY422UDkMc+2(^=cW9(*M_N~=Q)p-BUH6Nom9M;1Tk?z0kx@<2&XY=M)Dg*7(jWWe zIL&3KhZ2plIO{1uBp+#84W!Dk8ee^ z7bLy**|k?CH@4q0>8Pp3`InPt=nZEsZ+KviG2+2-%jqFW+pdmuP0@ceP$^b4Tyy!f z@7;}ODBrJ+dGimm?W*J2%I5u*D61ylyy1tJ?8vPfm0gbc=wtrQS4zGf9^X8_Y5S|c zQq-c_{X5#W$0Frtzcgt$=})d){GDH)G22)f`RU!i#hm-ezYST`_4?$sqfeN#t@1L` zrmE_vGJ!chx@>XjgBLq==>u21vU!QSj5Cmp?^kY$$Q$vm?<9SV+*x_`Xu}v*RkwOB z?j`^Dr&(`bBz}4RudgK8j$ym9dS>a)+Lgn9K6p`O{*#JkKX+;5Xyd_)pEvIp8T_5R z@6#(*em>v~ee02EqB8H$kvq>+wb_SW>^!z=JR@{T!-J;c7 zsS{tg^twf*@rOuX(2!Yq^_*y(F{L3o>NQEX*vm(CSE0lG^JKltr1oQGi}s=-y~a4V zW$CNkdOMQ7(H<-U|X)81HG^F-zm@>E2&wLSYE)}YmiDbJpDv_qR?b85cO z^w*i4lhS3wZz8(G`(k%wOPAly-l^yA#NWfHC*K-geil_N@)^@H-jWX=dRn-^)Qe)LqvXC*AGp>bufFxd$Hu_PW_%R3!Cve$NTetzyEHi{h?TIKjBf}pQyM;ZS#hy1Y^T;rk(`@YYGHZIm77B2 z?E#)yu{>#}QcBF$q&I_iO*-cpDkPe#(@S(Cnj(COHzaIDAzSHWg^+$E)}BL6`x~@3 zXD${4O=07GQk+4b>~u^!-JuB8Q)1y7Zd0 zf^ds$0ACrlyE+?6PzT+ftj`WfJJH~^46KV{^MFJH8Mf_A^}rfT6JL&-aw_#1M2 z1yZ1VDLt!)qN$mQ&6-gQ`&vya8p}(|E_WttT!$HySPW#|A(2a&DP)zl%xDrS?dr@@ zo!g1WV+5miwE_=CB3?I6r4`nWv3w8ADT7Iagu-?M)`@Xn!B?e(P$(`ExF_k_LU}aP z#M(B-BD{fBEzOp>o>rD)*9z?{2EoB8gIyaTIS!l#g>-2j_rn574X3x8u@vlMr&D2L zqF|pyt1*S3R-LyAFkitwv>PFvjLzvhVK-Mw>tcT}hDTC}xu1kmYZX#J`=kTrkmHGy{l|qbQbk z@IoExSofJ>44$gQWI1X6klpf%BFgjN#QhrfiIkH@r-glZNHe1%54k2wxO}h=jW0!M zya|Ikz}W5f6B{qZU~>oZgud&&J)|yD5rHBCMFffn6cH#QP(+}JKoNl=0!0Lh2ow=0 zB2Yx2h(Hm6!-0S}97>Bi-xC6Ov$see5(2z(%QWy}eIZ`)T123TKoNl=0!0Lh2ow=0 zB2Yx2h(Hm6A_7GOiU<@DC?Zfqpol;bfg%D$1d0e05hx;1MBx7(0^KFcLN1xL^ZkKm&L2sxi-v^(4A$w7K=pTXTz!@mB*Ezje-)%)hTXLumF!uRC&jK8lR^21s_sE_WAx$fCJyst&Y z@S#OOix%{iirsom&u)LwclZ(L8;Aa}aqscyz2+p5jNJW?{K6fA)^9uIY zZS7AkUHfYKwT}d++`oLfJJIOC-<@!FjX-Xwzs&wE_@aL+O?+A(|M#Q!*#`I1_bwTg zeet&AD1|-fKql@X{P%DLKNxRy!C z;o6AD$K!B(igr^C96s@(f+L~M!@Y7ojl~Z+A4XK6AZmk)9}X0C<9i^lXcDeYfwUav zd`&2`;o1vu8gR2fY78u65q99gNk%>izrsmflC(gs8_WV}s$d_wzD6zGJTUOt8m=&i zYfU%OMRCe=3Ihqk!x1KoyKFyGCG6%h4A0@@WK^vQTdAqr?P&tCA+XD8A{r{eS13B{ zH4)ywnd?fk-6)9Y612WMvXGAF6TZ9UAxv+?1^)WpiTiQr8Zg zIO3AUeAwlZBwfOzBWx+?gTKtd1*9w2p%Qi=H+x;zV<4_9@T-}x@RQ)N-Z*}U?5Tr` z@DMorkPWP$p^x6(^8wB{p)9~;JF?u^5njn!WFdR_h%oQt%o9H1#3jLY0wRtkn8V*I zWRJoR@iaJmVtOALUic6{sNhPZYe00gEykVWb6ATKl7I@taWlmn zh@eY-E}AvLE{zi)IrK`ty6Fwp3(QKHg&(V7djuT*nJB-OgGb>9is2`Ee{lF#i!}B$ z_PX$vLXm4f^O=-;B@N}-O?%A_yV(cu1vr1yv5*B}paU-Q1@tZr?v=+V_>jg@6|gBWeGzlTrOOMEzYl}(2NH+VpxjXu9UBW z^+7(eSFZ-w-c*oVEJu3I%xYnK(7)yrH8aQ{zKR~WG05LS0D7!NEGe`BH$CJQq>;YVE`H#-`^7m(}LBbr12dPgu50mrqZO+q6#5|m5RAlI{|o+(6<5Y*x^z~J$B7ipSnW}D(vC`8t63v!oyZx6%1 zi){Kh`l!9hq}y{~MhpFaEYWRbmYEaDd^8lkmL>+0kDKw>h!OVci4(82%~*#W;9DR2 zHk|gm1_$}k?W^-u^k~=&FA5}sRz0;mKHIipmNnw>5op6!G7wmpBQro&99<+%xd2Z6 zvjQ=Sb(H0K#H;u6)jevhgD3tNS&vXMkTYXpqr)V`1UlfFbgkbs4T?RE;WJ=eTV~x# zl!xjLT7_iE;ZdF{?U>zRAtP@G)wSdnnn-F>3o^isotM1Vc2LU%1Taa(tS|*OKrPV* z{6Ll$Yz|YPBarOyP7HWW+UTW4UIqwRaWby609R2T<-j#zrDe2$<(kU{IsheM#8vz7 zahTy8Q`0gQ^m;VJAPZi=$3BW%xL9c5n0EDc%bE>9(iVvM(uvfj~FI-O|!yM z=pslpxQ+7wR%j6@k9#JZA8pda;c#EYJtOfUacMMhsKzyqByDYEX`E-3<<9%cq_LM< z?NFf5<%o75lX|NS=RA^GI!GJQ9rZyCYlbT1fqshq2t`fkX(qBYtpG$8p{bw@wbX72 zl*@9$Fq&r`q^ULPA#Z1^Sc##VJes*7JH{NB+in@9P+p5uuQ`%iLc@XzzK`BTErt=} z(#Fa;RjeJx#z>;kya&=?wcD!;X}GLRVs}39F&JbBHqE_5BukXKe!lck09gZX6ch0T z>ny}C$gP4D0ZB6;=b$SGr>PJ{xjJ{PVoxh@1xpJSf>T%;0LOw?X9*C*QGu?x30yH0 zxj;jPLDn?~v5*sn2!t^xNgk6-R>|qfl6-}ma2?3u8r^NrOJl3qfPQGQ^1*1fVkOP3Ld29 zE>SQ|fWcHKjH%eK@yx!L<)UDNCLjj_ZY++u4;7T`!~7?LJWKXk#Hn|wwRib;^IY|a zzB?Ww^~LLR3(`G9Zh}HQj&D-k0u?0S_7P{&=XZT(V_&e3-{gDb0g3^m6(aO)Vixx* z-7VesyjMFg%&IOt(r;5)mPfbp^*~h6-~V1@F2bp*HyCHjMBDLI~2 z&vt!EVpd|7OeUtt3ldYN`x1$!S&1_eQ!YrzS@ROp6REv)yMd>=9onIAqdEF0P+t^EdPm|G+Scv4GFypxBKwexEKf<8C;VFHSm~%g|nRDfk1bRkgi0` zu*uP-2!=?HQBq<>KsUXHpA+sw8cDJhY2G%pXU?b}+T&5;fHA}YxgQdfp94KTxG1ch zcknt7?hsc~sa02KU#IxL_|ARXui zCn_jMcG&_fd*GQws2B0iq0%Qzi2R=Hw$*#~u{?|lFJ%l=Vb7C#^>du}OT52Srl1A(@9`Lk zK*0t)kGflUV7O&qS6{T-Poov5GZ;7>W!F(HD5y`3gR40{N@}XY(HwpBe5J>=Evmda zPf|M?lN*72X=GoPLsYNu%!pyl;TYjzK7NLzSGH(Jq=dB)=&5<+3u)Cvo%!03woM@d z6C@N~fD3TaBy7Ns+Y2uay2SXgd?Q?DA#ThIMyrZ`uJ|c45 zLqS?}_gxqoKgXvz z2!N1W z6MHcxaL~!GVfaU|aSU%^*6PzDBC6{`pJw@Mh9#gYrc66FJ|g_FC`Frs$#Dse4y8uA zT4-&0HI-&`HA@#%Jw0JcJu!VojggQwO3s?q=+_gOL}P@~DxvA2YN@c6u2a2UTZ56w zmT6d3idAY;wMVL>*vwJwt2Lu^v~dz_TBccT{84Cb8lBDI$n1^_>XRE6J(6EkzUEqM;~9o^t`^U4%zw_j-ij|@T~Ge_ zoKUTqr;vB{*=ynz$<V?>8QujbhZ%hLbR|8!frZ%ng)83Cxxd3fB_j zhkI=6#t;du97CcPg+sv0UCte);8i3b-luWADKz+-VgW{a(WuT9?~6`KE@) z5?3fy+$wXu3^VY@UZ*SYkmW(!5ZL^%49l-6i^6fVP>*HR*XyGewPWsTf5|i=9o%(c{`ZV`k=U?EZ%{)D|eym(%dYU$<_u>StN>mW??$aI7%S5C-X_-J9id$A2RpZXrYzx=5lXA;~{Ys1XU@Tc^7R$s-A<3_Sxw6ng5erS3o(8WEGO3L{-vQsRX` zsn5`{jY|u8zsk3eG*vqdIZ2AeQQ<5|igiLkLqCL9@2j)Teuu z?vE;(7H+pPnm!|hMsoiOoBSA)@nB)-6Zox&LU*hywPYDKWps@PP9J3iJlwpvY=%CA z^o+Z$iBc8{*GPsyQpC{RX4u39aEXgqh_fdoy+U?e9Qxwy!T+bcg`9ftm3uY2inJ`8 zY;P4Y?(QtaO@Vuy#s%}i&p(icF&4y6358d2jW!~MnFCwuKq5sF2B%Qw$e2Qos#Z}t zs&tjk2xVqvhnAuxqshEu88}4k=>{XSScobk=AR)kOejq9mZ>K&5SV$T>oZ9ONf1p5 zalVB23niLu%$>mywvEzEB*QHiuyg0;nG2J*Yao0aGVt0;p{W~)$edlo0iGQ3+r``8 zgXv^{=Aq;W#;Sl9tKIG65Ns3}H`v=tZb#) --- --- Version: 1.0 --- --- The FreeBSD license --- --- Redistribution and use in source and binary forms, with or without --- modification, are permitted provided that the following conditions --- are met: --- --- 1. Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- 2. Redistributions in binary form must reproduce the above --- copyright notice, this list of conditions and the following --- disclaimer in the documentation and/or other materials --- provided with the distribution. --- --- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY --- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE --- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, --- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES --- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS --- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) --- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF --- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. --- --- - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -library work; -use work.zpupkg.all; -use work.zpuinopkg.all; -use work.zpuino_config.all; -use work.zpu_config.all; -use work.pad.all; - -library unisim; -use unisim.vcomponents.all; - -entity papilio_one_top is - port ( - CLK: in std_logic; - --RST: in std_logic; -- No reset on papilio - - SPI_SCK: out std_logic; - SPI_MISO: in std_logic; - SPI_MOSI: out std_logic; - SPI_CS: inout std_logic; - - WING_A: inout std_logic_vector(15 downto 0); - WING_B: inout std_logic_vector(15 downto 0); - WING_C: inout std_logic_vector(15 downto 0); - - TXD: out std_logic; - RXD: in std_logic - - ); -end entity papilio_one_top; - -architecture behave of papilio_one_top is - - component wb_char_ram_8x8_sp is - port ( - wb_clk_i: in std_logic; - wb_rst_i: in std_logic; - wb_dat_o: out std_logic_vector(wordSize-1 downto 0); - wb_dat_i: in std_logic_vector(wordSize-1 downto 0); - wb_adr_i: in std_logic_vector(maxIObit downto minIObit); - wb_we_i: in std_logic; - wb_cyc_i: in std_logic; - wb_stb_i: in std_logic; - wb_ack_o: out std_logic; - wb_inta_o:out std_logic - ); - end component; - - component clkgen is - port ( - clkin: in std_logic; - rstin: in std_logic; - clkout: out std_logic; - vgaclkout: out std_logic; - rstout: out std_logic - ); - end component clkgen; - - component zpuino_serialreset is - generic ( - SYSTEM_CLOCK_MHZ: integer := 92 - ); - port ( - clk: in std_logic; - rx: in std_logic; - rstin: in std_logic; - rstout: out std_logic - ); - end component zpuino_serialreset; - - signal sysrst: std_logic; - signal sysclk: std_logic; - signal vgaclk: std_logic; - signal sysclk_1mhz: std_logic; - signal dbg_reset: std_logic; - signal clkgen_rst: std_logic; - signal gpio_o: std_logic_vector(zpuino_gpio_count-1 downto 0); - signal gpio_t: std_logic_vector(zpuino_gpio_count-1 downto 0); - signal gpio_i: std_logic_vector(zpuino_gpio_count-1 downto 0); - - signal rx: std_logic; - signal tx: std_logic; - - constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) := - "0" & - "1111111111111111" & - "1111111111111111" & - "1111111111111111"; - constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) := - "0" & - "1111111111111111" & - "1111111111111111" & - "1111111111111111"; - - -- I/O Signals - signal slot_cyc: slot_std_logic_type; - signal slot_we: slot_std_logic_type; - signal slot_stb: slot_std_logic_type; - signal slot_read: slot_cpuword_type; - signal slot_write: slot_cpuword_type; - signal slot_address: slot_address_type; - signal slot_ack: slot_std_logic_type; - signal slot_interrupt: slot_std_logic_type; - - signal spi_enabled: std_logic; - - signal spi2_enabled: std_logic; - signal spi2_mosi: std_logic; - signal spi2_miso: std_logic; - signal spi2_sck: std_logic; - - signal uart_enabled: std_logic; - - -- SPP signal is one more than GPIO count - signal gpio_spp_data: std_logic_vector(zpuino_gpio_count-1 downto 0); - signal gpio_spp_read: std_logic_vector(zpuino_gpio_count-1 downto 0); - - --signal gpio_spp_en: std_logic_vector(zpuino_gpio_count-1 downto 1); - - signal timers_interrupt: std_logic_vector(1 downto 0); - signal timers_pwm: std_logic_vector(1 downto 0); - - signal ivecs: std_logic_vector(17 downto 0); - - signal sigmadelta_spp_en: std_logic_vector(1 downto 0); - signal sigmadelta_spp_data: std_logic_vector(1 downto 0); - - -- For busy-implementation - signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0); - signal write_save_q: std_logic_vector(wordSize-1 downto 0); - --- signal io_address: std_logic_vector(maxAddrBitIncIO downto 0); --- signal io_write: std_logic_vector(wordSize-1 downto 0); --- signal io_cyc: std_logic; --- signal io_stb: std_logic; --- signal io_we: std_logic; - --- signal io_device_ack: std_logic; - - signal spi_pf_miso: std_logic; - signal spi_pf_mosi: std_logic; - signal spi_pf_sck: std_logic; - - signal adc_mosi: std_logic; - signal adc_miso: std_logic; - signal adc_sck: std_logic; - signal adc_seln: std_logic; - signal adc_enabled: std_logic; - - signal wb_clk_i: std_logic; - signal wb_rst_i: std_logic; - - signal uart2_tx, uart2_rx: std_logic; - - signal jtag_data_chain_out: std_logic_vector(98 downto 0); - signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0); - --- signal TCK,TDI,CAPTUREIR,UPDATEIR,SHIFTIR,CAPTUREDR,UPDATEDR,SHIFTDR,TLR,TDO_IR,TDO_DR: std_logic; - - --- component zpuino_debug_jtag is --- port ( - -- Connections to JTAG stuff - --- TCK: in std_logic; --- TDI: in std_logic; --- CAPTUREIR: in std_logic; --- UPDATEIR: in std_logic; --- SHIFTIR: in std_logic; --- CAPTUREDR: in std_logic; --- UPDATEDR: in std_logic; --- SHIFTDR: in std_logic; --- TLR: in std_logic; - --- TDO_IR: out std_logic; --- TDO_DR: out std_logic; - - --- jtag_data_chain_in: in std_logic_vector(98 downto 0); - -- jtag_ctrl_chain_out: out std_logic_vector(11 downto 0) --- ); --- end component; - - component zpuino_debug_spartan3e is - port ( - TCK: out std_logic; - TDI: out std_logic; - CAPTUREIR: out std_logic; - UPDATEIR: out std_logic; - SHIFTIR: out std_logic; - CAPTUREDR: out std_logic; - UPDATEDR: out std_logic; - SHIFTDR: out std_logic; - TLR: out std_logic; - TDO_IR: in std_logic; - TDO_DR: in std_logic - ); - end component; - - component zpuino_io_YM2149 is - generic ( - FREQMHZ: integer := 96 - ); - port ( - wb_clk_i: in std_logic; - wb_rst_i: in std_logic; - wb_dat_i: in std_logic_vector(wordSize-1 downto 0); - wb_dat_o: out std_logic_vector(wordSize-1 downto 0); - wb_adr_i: in std_logic_vector(maxIOBit downto minIOBit); - wb_we_i: in std_logic; - wb_cyc_i: in std_logic; - wb_stb_i: in std_logic; - wb_ack_o: out std_logic; - wb_inta_o: out std_logic; - - data_out: out std_logic_vector(7 downto 0) - ); - end component; - - component wb_sid6581 is - port ( - wb_clk_i: in std_logic; - wb_rst_i: in std_logic; - wb_dat_o: out std_logic_vector(wordSize-1 downto 0); - wb_dat_i: in std_logic_vector(wordSize-1 downto 0); - wb_adr_i: in std_logic_vector(maxIObit downto minIObit); - wb_we_i: in std_logic; - wb_cyc_i: in std_logic; - wb_stb_i: in std_logic; - wb_ack_o: out std_logic; - wb_inta_o:out std_logic; - - clk_1MHZ: in std_logic; - audio_data: out std_logic_vector(17 downto 0) - - ); - end component wb_sid6581; - - component zpuino_vga is - generic( - vgaclk_divider: integer := 2 - ); - port ( - wb_clk_i: in std_logic; - wb_rst_i: in std_logic; - wb_dat_o: out std_logic_vector(wordSize-1 downto 0); - wb_dat_i: in std_logic_vector(wordSize-1 downto 0); - wb_adr_i: in std_logic_vector(maxIObit downto minIObit); - wb_we_i: in std_logic; - wb_cyc_i: in std_logic; - wb_stb_i: in std_logic; - wb_ack_o: out std_logic; - wb_inta_o:out std_logic; - wb_intb_o:out std_logic; - - -- VGA interface - vgaclk: in std_logic; - - vga_hsync: out std_logic; - vga_vsync: out std_logic; - vga_r: out std_logic_vector(2 downto 0); - vga_g: out std_logic_vector(2 downto 0); - vga_b: out std_logic_vector(1 downto 0) - ); - end component; - - signal sid_audio_data: std_logic_vector(17 downto 0); - signal sid_audio: std_logic; - - component simple_sigmadelta is - generic ( - BITS: integer := 8 - ); - port ( - clk: in std_logic; - rst: in std_logic; - data_in: in std_logic_vector(BITS-1 downto 0); - data_out: out std_logic - ); - end component simple_sigmadelta; - - signal VGA_RED: std_logic_vector(3 downto 0); - signal VGA_GREEN: std_logic_vector(3 downto 0); - signal VGA_BLUE: std_logic_vector(3 downto 0); - signal VGA_HSYNC: std_logic; - signal VGA_VSYNC: std_logic; - - signal ym2149_data_out: std_logic_vector(7 downto 0); -begin - - wb_clk_i <= sysclk; - wb_rst_i <= sysrst; - - rstgen: zpuino_serialreset - generic map ( - SYSTEM_CLOCK_MHZ => 96 - ) - port map ( - clk => sysclk, - rx => rx, - rstin => clkgen_rst, - rstout => sysrst - ); - --sysrst <= clkgen_rst; - - clkgen_inst: clkgen - port map ( - clkin => clk, - rstin => '0' , - vgaclkout => vgaclk, - clkout => sysclk, - rstout => clkgen_rst - ); - - zpuino:zpuino_top - port map ( - clk => sysclk, - rst => sysrst, - - slot_cyc => slot_cyc, - slot_we => slot_we, - slot_stb => slot_stb, - slot_read => slot_read, - slot_write => slot_write, - slot_address => slot_address, - slot_ack => slot_ack, - slot_interrupt=> slot_interrupt, - - m_wb_dat_o => open, - m_wb_dat_i => (others => 'X'), - m_wb_adr_i => (others => 'X'), - m_wb_we_i => '0', - m_wb_cyc_i => '0', - m_wb_stb_i => '0', - m_wb_ack_o => open, - - dbg_reset => dbg_reset, - jtag_data_chain_out => open,--jtag_data_chain_out, - jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in - - ); - --- dbgport: zpuino_debug_jtag --- port map ( --- jtag_data_chain_in => jtag_data_chain_out, --- jtag_ctrl_chain_out => jtag_ctrl_chain_in, - --- TCK => TCK, --- TDI => TDI, --- CAPTUREIR => CAPTUREIR, --- UPDATEIR => UPDATEIR, --- SHIFTIR => SHIFTIR, --- CAPTUREDR => CAPTUREDR, --- UPDATEDR => UPDATEDR, --- SHIFTDR => SHIFTDR, --- TLR => TLR, - --- TDO_IR => TDO_IR, --- TDO_DR => TDO_DR - -- ); - - --- dbgport_s3e: zpuino_debug_spartan3e --- port map ( --- --- TCK => TCK, --- TDI => TDI, --- CAPTUREIR => CAPTUREIR, --- UPDATEIR => UPDATEIR, --- SHIFTIR => SHIFTIR, - -- CAPTUREDR => CAPTUREDR, - -- UPDATEDR => UPDATEDR, - -- SHIFTDR => SHIFTDR, --- TLR => TLR, --- --- TDO_IR => TDO_IR, --- TDO_DR => TDO_DR --- - -- ); - - - - - -- - -- - -- ---------------- I/O connection to devices -------------------- - -- - -- - - -- - -- IO SLOT 0 - -- - - slot0: zpuino_spi - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(0), - wb_dat_i => slot_write(0), - wb_adr_i => slot_address(0), - wb_we_i => slot_we(0), - wb_cyc_i => slot_cyc(0), - wb_stb_i => slot_stb(0), - wb_ack_o => slot_ack(0), - wb_inta_o => slot_interrupt(0), - - mosi => spi_pf_mosi, - miso => spi_pf_miso, - sck => spi_pf_sck, - enabled => spi_enabled - ); - - -- - -- IO SLOT 1 - -- - - uart_inst: zpuino_uart - generic map ( - bits => 4 - ) - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(1), - wb_dat_i => slot_write(1), - wb_adr_i => slot_address(1), - wb_we_i => slot_we(1), - wb_cyc_i => slot_cyc(1), - wb_stb_i => slot_stb(1), - wb_ack_o => slot_ack(1), - - wb_inta_o => slot_interrupt(1), - - enabled => uart_enabled, - tx => tx, - rx => rx - ); - - -- - -- IO SLOT 2 - -- - - gpio_inst: zpuino_gpio - generic map ( - gpio_count => zpuino_gpio_count - ) - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(2), - wb_dat_i => slot_write(2), - wb_adr_i => slot_address(2), - wb_we_i => slot_we(2), - wb_cyc_i => slot_cyc(2), - wb_stb_i => slot_stb(2), - wb_ack_o => slot_ack(2), - wb_inta_o => slot_interrupt(2), - - spp_data => gpio_spp_data, - spp_read => gpio_spp_read, - - gpio_i => gpio_i, - gpio_t => gpio_t, - gpio_o => gpio_o, - spp_cap_in => spp_cap_in, - spp_cap_out => spp_cap_out - ); - - -- - -- IO SLOT 3 - -- - - timers_inst: zpuino_timers - generic map ( - A_TSCENABLED => true, - A_PWMCOUNT => 1, - A_WIDTH => 16, - A_PRESCALER_ENABLED => true, - A_BUFFERS => true, - B_TSCENABLED => false, - B_PWMCOUNT => 1, - B_WIDTH => 24, - B_PRESCALER_ENABLED => false, - B_BUFFERS => false - ) - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(3), - wb_dat_i => slot_write(3), - wb_adr_i => slot_address(3), - wb_we_i => slot_we(3), - wb_cyc_i => slot_cyc(3), - wb_stb_i => slot_stb(3), - wb_ack_o => slot_ack(3), - - wb_inta_o => slot_interrupt(3), -- We use two interrupt lines - wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4 - - pwm_a_out => timers_pwm(0 downto 0), - pwm_b_out => timers_pwm(1 downto 1) - ); - - -- - -- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller) - -- - - -- - -- IO SLOT 5 - -- - - sigmadelta_inst: zpuino_sigmadelta - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(5), - wb_dat_i => slot_write(5), - wb_adr_i => slot_address(5), - wb_we_i => slot_we(5), - wb_cyc_i => slot_cyc(5), - wb_stb_i => slot_stb(5), - wb_ack_o => slot_ack(5), - wb_inta_o => slot_interrupt(5), - - spp_data => sigmadelta_spp_data, - spp_en => sigmadelta_spp_en, - sync_in => '1' - ); - - -- - -- IO SLOT 6 - -- - - slot1: zpuino_spi - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(6), - wb_dat_i => slot_write(6), - wb_adr_i => slot_address(6), - wb_we_i => slot_we(6), - wb_cyc_i => slot_cyc(6), - wb_stb_i => slot_stb(6), - wb_ack_o => slot_ack(6), - wb_inta_o => slot_interrupt(6), - - mosi => spi2_mosi, - miso => spi2_miso, - sck => spi2_sck, - enabled => open - ); - - - - -- - -- IO SLOT 7 - -- - - crc16_inst: zpuino_crc16 - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(7), - wb_dat_i => slot_write(7), - wb_adr_i => slot_address(7), - wb_we_i => slot_we(7), - wb_cyc_i => slot_cyc(7), - wb_stb_i => slot_stb(7), - wb_ack_o => slot_ack(7), - wb_inta_o => slot_interrupt(7) - ); - - -- - -- IO SLOT 8 (optional) - -- - - adc_inst: zpuino_empty_device - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(8), - wb_dat_i => slot_write(8), - wb_adr_i => slot_address(8), - wb_we_i => slot_we(8), - wb_cyc_i => slot_cyc(8), - wb_stb_i => slot_stb(8), - wb_ack_o => slot_ack(8), - wb_inta_o => slot_interrupt(8) - ); - --- slot9: zpuino_empty_device --- port map ( --- wb_clk_i => wb_clk_i, --- wb_rst_i => wb_rst_i, --- wb_dat_o => slot_read(9), --- wb_dat_i => slot_write(9), --- wb_adr_i => slot_address(9), --- wb_we_i => slot_we(9), --- wb_cyc_i => slot_cyc(9), --- wb_stb_i => slot_stb(9), --- wb_ack_o => slot_ack(9), --- wb_inta_o => slot_interrupt(9) --- ); - - -- - -- IO SLOT 9 - -- - - slot9: zpuino_vga - generic map ( - vgaclk_divider => 1 - ) - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(9), - wb_dat_i => slot_write(9), - wb_adr_i => slot_address(9), - wb_we_i => slot_we(9), - wb_cyc_i => slot_cyc(9), - wb_stb_i => slot_stb(9), - wb_ack_o => slot_ack(9), - wb_inta_o => slot_interrupt(9), - - vgaclk => vgaclk, - vga_hsync => vga_hsync, - vga_vsync => vga_vsync, - vga_r => vga_red(3 downto 1), - vga_g => vga_green(3 downto 1), - vga_b => vga_blue(3 downto 2) - ); - - vga_blue(0) <= '0'; - vga_blue(1) <= '0'; - vga_red(0) <= '0'; - vga_green(0) <= '0'; - - -- - -- IO SLOT 10 - -- - - slot10: wb_char_ram_8x8_sp - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(10), - wb_dat_i => slot_write(10), - wb_adr_i => slot_address(10), - wb_we_i => slot_we(10), - wb_cyc_i => slot_cyc(10), - wb_stb_i => slot_stb(10), - wb_ack_o => slot_ack(10), - wb_inta_o => slot_interrupt(10) - ); - - -- - -- IO SLOT 11 - -- - - slot11: zpuino_io_YM2149 - generic map ( - FREQMHZ => 92 - ) - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(11), - wb_dat_i => slot_write(11), - wb_adr_i => slot_address(11), - wb_we_i => slot_we(11), - wb_cyc_i => slot_cyc(11), - wb_stb_i => slot_stb(11), - wb_ack_o => slot_ack(11), - - wb_inta_o => slot_interrupt(11), - data_out => ym2149_data_out - - ); - - -- - -- IO SLOT 12 - -- - - slot12: zpuino_empty_device - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(12), - wb_dat_i => slot_write(12), - wb_adr_i => slot_address(12), - wb_we_i => slot_we(12), - wb_cyc_i => slot_cyc(12), - wb_stb_i => slot_stb(12), - wb_ack_o => slot_ack(12), - wb_inta_o => slot_interrupt(12) - ); - - -- - -- IO SLOT 13 - -- - - slot13: zpuino_empty_device - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(13), - wb_dat_i => slot_write(13), - wb_adr_i => slot_address(13), - wb_we_i => slot_we(13), - wb_cyc_i => slot_cyc(13), - wb_stb_i => slot_stb(13), - wb_ack_o => slot_ack(13), - wb_inta_o => slot_interrupt(13) - ); - - -- - -- IO SLOT 14 - -- - - slot14: zpuino_empty_device - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(14), - wb_dat_i => slot_write(14), - wb_adr_i => slot_address(14), - wb_we_i => slot_we(14), - wb_cyc_i => slot_cyc(14), - wb_stb_i => slot_stb(14), - wb_ack_o => slot_ack(14), - wb_inta_o => slot_interrupt(14) - --, - - --clk_1MHZ => sysclk_1mhz, - --audio_data => sid_audio_data - - ); - - -- - -- IO SLOT 15 - -- - - slot15: zpuino_empty_device - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(15), - wb_dat_i => slot_write(15), - wb_adr_i => slot_address(15), - wb_we_i => slot_we(15), - wb_cyc_i => slot_cyc(15), - wb_stb_i => slot_stb(15), - wb_ack_o => slot_ack(15), - wb_inta_o => slot_interrupt(15) - ); - - - -- Audio - ym_sd: simple_sigmadelta - generic map ( - BITS => 8 - ) - port map ( - clk => wb_clk_i, - rst => wb_rst_i, - data_in => ym2149_data_out, - data_out => sid_audio - ); - - pin00: IOPAD port map(I => gpio_o(0),O => gpio_i(0),T => gpio_t(0),C => sysclk,PAD => WING_A(0) ); - pin01: IOPAD port map(I => gpio_o(1),O => gpio_i(1),T => gpio_t(1),C => sysclk,PAD => WING_A(1) ); - pin02: IOPAD port map(I => gpio_o(2),O => gpio_i(2),T => gpio_t(2),C => sysclk,PAD => WING_A(2) ); - pin03: IOPAD port map(I => gpio_o(3),O => gpio_i(3),T => gpio_t(3),C => sysclk,PAD => WING_A(3) ); - pin04: IOPAD port map(I => gpio_o(4),O => gpio_i(4),T => gpio_t(4),C => sysclk,PAD => WING_A(4) ); - pin05: IOPAD port map(I => gpio_o(5),O => gpio_i(5),T => gpio_t(5),C => sysclk,PAD => WING_A(5) ); - pin06: IOPAD port map(I => gpio_o(6),O => gpio_i(6),T => gpio_t(6),C => sysclk,PAD => WING_A(6) ); - pin07: IOPAD port map(I => gpio_o(7),O => gpio_i(7),T => gpio_t(7),C => sysclk,PAD => WING_A(7) ); - pin08: IOPAD port map(I => gpio_o(8),O => gpio_i(8),T => gpio_t(8),C => sysclk,PAD => WING_A(8) ); - pin09: IOPAD port map(I => gpio_o(9),O => gpio_i(9),T => gpio_t(9),C => sysclk,PAD => WING_A(9) ); - pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) ); - pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) ); - pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) ); - pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) ); - pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) ); - pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) ); - - --pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) ); - --pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) ); - -- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) ); - -- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) ); - -- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) ); - -- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(11),T => gpio_t(21),C => sysclk,PAD => WING_B(5) ); - -- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) ); - -- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) ); - --pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) ); - --pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) ); - --- Arcade MegaWing 2.1 - -- pin16: OPAD port map (I => VGA_HSYNC, PAD => WING_B(0) ); - -- pin17: OPAD port map (I => VGA_VSYNC, PAD => WING_B(1) ); - - -- pin18: OPAD port map (I => VGA_BLUE(0), PAD => WING_B(2) ); - -- pin19: OPAD port map (I => VGA_BLUE(1), PAD => WING_B(3) ); - -- pin20: OPAD port map (I => VGA_BLUE(2), PAD => WING_B(4) ); - -- pin21: OPAD port map (I => VGA_BLUE(3), PAD => WING_B(5) ); - - -- pin22: OPAD port map (I => VGA_GREEN(0), PAD => WING_B(6) ); - -- pin23: OPAD port map (I => VGA_GREEN(1), PAD => WING_B(7) ); - -- pin24: OPAD port map (I => VGA_GREEN(2), PAD => WING_B(8) ); - -- pin25: OPAD port map (I => VGA_GREEN(3), PAD => WING_B(9) ); ---Arcade MegaWing 2.1 End - --- Arcade MegaWing 1.2 - pin16: OPAD port map (I => VGA_BLUE(0), PAD => WING_B(0) ); - pin17: OPAD port map (I => VGA_BLUE(1), PAD => WING_B(1) ); - pin18: OPAD port map (I => VGA_BLUE(2), PAD => WING_B(2) ); - pin19: OPAD port map (I => VGA_BLUE(3), PAD => WING_B(3) ); - - pin20: OPAD port map (I => VGA_GREEN(0), PAD => WING_B(4) ); - pin21: OPAD port map (I => VGA_GREEN(1), PAD => WING_B(5) ); - pin22: OPAD port map (I => VGA_GREEN(2), PAD => WING_B(6) ); - pin23: OPAD port map (I => VGA_GREEN(3), PAD => WING_B(7) ); ---Arcade MegaWing 1.3 End - - - - - pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) ); - pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) ); - pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) ); - pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) ); - pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) ); - pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) ); - - pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) ); - pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) ); - --pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) ); - pin34: OPAD port map (I => VGA_VSYNC, PAD => WING_C(2) ); - --pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) ); - pin35: OPAD port map (I => VGA_HSYNC, PAD => WING_C(3) ); - -- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) ); - -- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) ); - -- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) ); - -- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) ); - pin36: OPAD port map(I => VGA_RED(0), PAD=>WING_C(4)); - pin37: OPAD port map(I => VGA_RED(1), PAD=>WING_C(5)); - pin38: OPAD port map(I => VGA_RED(2), PAD=>WING_C(6)); - pin39: OPAD port map(I => VGA_RED(3), PAD=>WING_C(7)); - pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) ); - pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) ); - -- pin38: OPAD port map(I => VGA_RED(0), PAD=>WING_C(6)); - -- pin39: OPAD port map(I => VGA_RED(1), PAD=>WING_C(7)); - -- pin40: OPAD port map(I => VGA_RED(2), PAD=>WING_C(8)); - -- pin41: OPAD port map(I => VGA_RED(3), PAD=>WING_C(9)); - - pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) ); - pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) ); - pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) ); - pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) ); - pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) ); - pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) ); - - - -- Other ports are special, we need to avoid outputs on input-only pins - - ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk ); --- ibufmiso: IPAD port map ( PAD => SPI_MISO, O => spi_pf_miso, C => sysclk ); - spi_pf_miso <= SPI_MISO; - obuftx: OPAD port map ( I => tx, PAD => TXD ); - ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_SCK ); - ospics: OPAD port map ( I => gpio_o(48), O => gpio_i(48), PAD => SPI_CS ); - ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_MOSI ); - - - process(gpio_spp_read, - sigmadelta_spp_data, - timers_pwm, - spi2_mosi,spi2_sck) - begin - - gpio_spp_data <= (others => DontCareValue); - - gpio_spp_data(0) <= sigmadelta_spp_data(0); -- PPS0 : SIGMADELTA DATA - gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0 - gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1 - gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI - gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK - gpio_spp_data(5) <= sigmadelta_spp_data(1); -- PPS5 : SIGMADELTA1 DATA - gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA - gpio_spp_data(8) <= sid_audio; - spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO - uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO - - end process; - - -end behave; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_vhdl.prj b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_vhdl.prj deleted file mode 100644 index 18c1a26f..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/papilio_one_vhdl.prj +++ /dev/null @@ -1,53 +0,0 @@ -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\boards\papilio_one\s3e500\variants\arcade-megawing1.2\zpu_config.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpupkg.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\boards\papilio_one\s3e500\zpuino_config.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuinopkg.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\prescaler.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\uart_brgen.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\tx_unit.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\timer.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\spiclkgen.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\spi.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_uart_rx.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_uart_mv_filter.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\fifo.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\boards\papilio_one\s3e500\variants\arcade-megawing1.2\prom-generic-dp-32.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_uart.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_timers.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_spi.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_sigmadelta.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_intr.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_gpio.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_serialreset.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_crc16.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_adc.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_empty_device.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\dualport_ram.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_io.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\wishbonepkg.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_top.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\pulse.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\shifter.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\mult.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpu_core_extreme.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\wb_rom_ram.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\wbmux2.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\wbarb2_1.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_debug_spartan3e.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_debug_core.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_debug_jtag.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\jtag_chain.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\contrib\simple_sigmadelta.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\contrib\zpuino_YM2149_linmix.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\contrib\NetSID\src\wb_sid6581.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\contrib\NetSID\src\sid_components.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\contrib\NetSID\src\sid_voice.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\contrib\NetSID\src\sid_6581.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_vga_ram.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\wb_char_ram_8x8_sp.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\zpuino_vga.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\generic_dp_ram.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\boards\papilio_one\s3e500\stack.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\boards\papilio_one\s3e500\variants\arcade-megawing1.2\clkgen.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\boards\papilio_one\s3e500\variants\arcade-megawing1.2\papilio_one_top.vhd" -vhdl work "C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\pad.vhd" diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/planAhead.jou b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/planAhead.jou deleted file mode 100644 index 80b01ac1..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/planAhead.jou +++ /dev/null @@ -1,10 +0,0 @@ -#----------------------------------------------------------- -# PlanAhead v13.1 -# Build 117799 by hdbuild on Thu Feb 17 11:35:36 PST 2011 -# Start of session at: Thu Jun 7 09:50:28 2012 -# Process ID: 4643 -# Log file: /home/alvieboy/zpu/zpu/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/hyperion/planAhead.log -# Journal file: /home/alvieboy/zpu/zpu/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/hyperion/planAhead.jou -#----------------------------------------------------------- -start_gui -exit diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/prom-generic-dp-32.vhd b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/prom-generic-dp-32.vhd deleted file mode 100644 index 28260acf..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/prom-generic-dp-32.vhd +++ /dev/null @@ -1,160 +0,0 @@ -library IEEE; -use IEEE.std_logic_1164.all; -use IEEE.std_logic_unsigned.all; -use ieee.numeric_std.all; - -entity prom_generic_dualport is - port ( - CLK: in std_logic; - WEA: in std_logic; - ENA: in std_logic; - MASKA: in std_logic_vector(3 downto 0); - ADDRA: in std_logic_vector(13 downto 2); - DIA: in std_logic_vector(31 downto 0); - DOA: out std_logic_vector(31 downto 0); - WEB: in std_logic; - ENB: in std_logic; - ADDRB: in std_logic_vector(13 downto 2); - DIB: in std_logic_vector(31 downto 0); - MASKB: in std_logic_vector(3 downto 0); - DOB: out std_logic_vector(31 downto 0) - ); -end entity prom_generic_dualport; - -architecture behave of prom_generic_dualport is - - subtype RAM_WORD is STD_LOGIC_VECTOR (7 downto 0); - type RAM_TABLE is array (0 to 4095) of RAM_WORD; - shared variable RAM0: RAM_TABLE := RAM_TABLE'( -x"98",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"98",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"08",x"09",x"05",x"83",x"52",x"00",x"00",x"00",x"08",x"73",x"81",x"83",x"06",x"ff",x"0b",x"00",x"05",x"73",x"06",x"06",x"06",x"00",x"00",x"00",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"06",x"10",x"10",x"0a",x"51",x"00",x"00",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"2b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"0b",x"a6",x"00",x"00",x"00",x"00",x"00",x"ff",x"2a",x"0a",x"05",x"51",x"00",x"00",x"00",x"51",x"06",x"09",x"05",x"2b",x"06",x"04",x"00",x"05",x"70",x"06",x"53",x"00",x"00",x"00",x"00",x"05",x"70",x"06",x"06",x"00",x"00",x"00",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"04",x"00",x"00",x"00",x"00",x"00",x"08",x"09",x"05",x"2a",x"52",x"00",x"00",x"00",x"08",x"9e",x"06",x"08",x"0b",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"0a",x"05",x"06",x"74",x"06",x"51",x"00",x"81",x"0a",x"ff",x"71",x"72",x"05",x"51",x"00",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"0c",x"00",x"00",x"00",x"00",x"00",x"00",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"95",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"51",x"ff",x"06",x"83",x"10",x"fc",x"51",x"72",x"81",x"09",x"71",x"0a",x"72",x"51",x"88",x"90",x"99",x"50",x"90",x"88",x"88",x"90",x"98",x"50",x"90",x"88",x"88",x"90",x"2d",x"0c",x"ff",x"0b",x"33",x"38",x"70",x"70",x"38",x"94",x"9f",x"08",x"f0",x"0b",x"98",x"0d",x"3d",x"0b",x"80",x"0b",x"80",x"09",x"38",x"04",x"9f",x"0b",x"3f",x"04",x"0d",x"80",x"08",x"70",x"51",x"38",x"04",x"80",x"84",x"70",x"81",x"51",x"73",x"0c",x"04",x"75",x"81",x"54",x"2e",x"81",x"8a",x"14",x"81",x"54",x"38",x"04",x"74",x"80",x"70",x"ff",x"51",x"26",x"fd",x"2d",x"51",x"84",x"72",x"2d",x"04",x"83",x"83",x"80",x"a0",x"0d",x"0d",x"08",x"52",x"2d",x"06",x"2d",x"8a",x"3d",x"3d",x"80",x"72",x"06",x"9f",x"08",x"38",x"51",x"27",x"80",x"71",x"0c",x"82",x"88",x"0d",x"af",x"80",x"80",x"80",x"9f",x"0a",x"3d",x"08",x"c8",x"70",x"80",x"0c",x"3d",x"3d",x"80",x"08",x"ff",x"52",x"0d",x"0b",x"9f",x"84",x"2d",x"73",x"0c",x"91",x"0c",x"70",x"ff",x"83",x"fa",x"7a",x"57",x"73",x"38",x"52",x"72",x"0c",x"71",x"84",x"72",x"56",x"ff",x"06",x"3d",x"3d",x"80",x"83",x"9e",x"8a",x"c6",x"8c",x"9c",x"10",x"84",x"84",x"56",x"84",x"0c",x"88",x"70",x"0c",x"ff",x"80",x"88",x"38",x"8a",x"39",x"79",x"54",x"78",x"8d",x"51",x"78",x"76",x"80",x"a0",x"a0",x"74",x"9c",x"38",x"8a",x"39",x"8a",x"0a",x"ff",x"0c",x"85",x"2d",x"3d",x"08",x"a8",x"90",x"70",x"72",x"83",x"80",x"a0",x"80",x"ec",x"2d",x"04",x"80",x"84",x"2d",x"80",x"08",x"06",x"52",x"71",x"3d",x"f9",x"57",x"80",x"c6",x"33",x"71",x"05",x"80",x"85",x"53",x"05",x"0c",x"73",x"17",x"33",x"29",x"80",x"27",x"58",x"73",x"53",x"34",x"74",x"38",x"aa",x"2d",x"8a",x"88",x"ec",x"8a",x"54",x"92",x"70",x"8a",x"14",x"26",x"b5",x"0d",x"0d",x"c0",x"55",x"86",x"51",x"8c",x"ad",x"81",x"18",x"80",x"19",x"84",x"0c",x"78",x"53",x"77",x"72",x"c1",x"86",x"0c",x"76",x"51",x"8f",x"08",x"71",x"14",x"26",x"c6",x"0c",x"aa",x"2d",x"8a",x"b5",x"0d",x"2d",x"73",x"33",x"11",x"52",x"aa",x"39",x"76",x"82",x"90",x"2b",x"33",x"88",x"33",x"52",x"54",x"8f",x"ff",x"2d",x"80",x"08",x"70",x"51",x"38",x"80",x"80",x"86",x"fe",x"a8",x"88",x"53",x"38",x"81",x"ec",x"8a",x"b5",x"0d",x"0d",x"a8",x"2d",x"8a",x"dc",x"72",x"54",x"ec",x"52",x"09",x"38",x"84",x"fe",x"0b",x"8b",x"82",x"2d",x"80",x"c6",x"0a",x"80",x"71",x"53",x"72",x"72",x"8a",x"b0",x"51",x"9f",x"8a",x"a8",x"51",x"8b",x"3d",x"3d",x"9f",x"0b",x"0c",x"93",x"0d",x"0d",x"ac",x"2d",x"93",x"0d",x"0d",x"80",x"51",x"8c",x"9c",x"8c",x"88",x"91",x"71",x"53",x"80",x"72",x"0b",x"73",x"2d",x"8c",x"3d",x"3d",x"80",x"52",x"2d",x"8b",x"80",x"94",x"0c",x"77",x"0a",x"8d",x"51",x"8a",x"dd",x"0c",x"04",x"0d",x"ac",x"9f",x"0b",x"a0",x"84",x"80",x"84",x"80",x"fb",x"08",x"75",x"80",x"94",x"76",x"53",x"80",x"84",x"80",x"9f",x"c0",x"2d",x"0b",x"80",x"f2",x"0c",x"80",x"52",x"8c",x"51",x"8c",x"72",x"8c",x"77",x"5a",x"0a",x"2d",x"78",x"38",x"fe",x"38",x"fd",x"38",x"26",x"80",x"80",x"a0",x"80",x"05",x"52",x"81",x"d6",x"53",x"88",x"2e",x"ff",x"57",x"7b",x"5b",x"39",x"9d",x"2e",x"80",x"56",x"27",x"83",x"0c",x"53",x"27",x"dc",x"72",x"15",x"0c",x"53",x"f2",x"75",x"05",x"33",x"72",x"7e",x"55",x"73",x"06",x"74",x"8a",x"38",x"9e",x"52",x"52",x"a9",x"fe",x"3d",x"8c",x"a0",x"70",x"8c",x"81",x"0a",x"0d",x"0d",x"51",x"83",x"80",x"8d",x"ff",x"88",x"0d",x"55",x"75",x"80",x"38",x"52",x"e1",x"54",x"85",x"30",x"0c",x"04",x"81",x"dc",x"55",x"80",x"ec",x"0d",x"55",x"75",x"75",x"81",x"32",x"74",x"88",x"80",x"88",x"73",x"3d",x"30",x"d7",x"0d",x"54",x"74",x"55",x"98",x"2e",x"72",x"71",x"75",x"54",x"38",x"83",x"70",x"3d",x"81",x"2a",x"80",x"71",x"38",x"75",x"81",x"2a",x"54",x"3d",x"79",x"55",x"27",x"75",x"51",x"a7",x"52",x"98",x"81",x"74",x"56",x"52",x"09",x"38",x"86",x"74",x"84",x"71",x"53",x"84",x"71",x"53",x"84",x"71",x"53",x"84",x"71",x"53",x"52",x"c9",x"27",x"70",x"08",x"05",x"12",x"26",x"54",x"fc",x"79",x"05",x"57",x"83",x"38",x"51",x"a2",x"52",x"93",x"70",x"34",x"71",x"81",x"74",x"3d",x"74",x"07",x"2b",x"51",x"a5",x"70",x"0c",x"84",x"72",x"05",x"71",x"53",x"52",x"dd",x"27",x"71",x"53",x"52",x"f2",x"ff",x"3d",x"70",x"06",x"70",x"73",x"56",x"08",x"38",x"52",x"81",x"54",x"9d",x"55",x"09",x"38",x"14",x"81",x"56",x"e5",x"55",x"06",x"06",x"88",x"87",x"71",x"fb",x"06",x"82",x"51",x"97",x"84",x"54",x"75",x"38",x"52",x"80",x"87",x"ff",x"b8",x"70",x"70",x"38",x"12",x"52",x"09",x"38",x"04",x"3f",x"00",x"ff",x"ff",x"ff",x"00",x"81",x"b3",x"5b",x"cf",x"0a",x"21",x"65",x"08",x"ce",x"35",x"60",x"80",x"00",x"01",x"0a",x"00",x"00",x"0a",x"00",x"0d",x"00",x"00",x"00",x"00",x"c0",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); - shared variable RAM1: RAM_TABLE := RAM_TABLE'( -x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"82",x"2a",x"06",x"00",x"00",x"00",x"06",x"ff",x"09",x"05",x"09",x"ff",x"0b",x"04",x"81",x"73",x"09",x"73",x"81",x"04",x"00",x"00",x"24",x"07",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"81",x"0a",x"0a",x"05",x"51",x"04",x"00",x"26",x"07",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"9f",x"05",x"88",x"00",x"00",x"00",x"00",x"00",x"2a",x"06",x"09",x"ff",x"53",x"00",x"00",x"00",x"53",x"04",x"06",x"82",x"0b",x"fc",x"51",x"00",x"81",x"09",x"09",x"06",x"00",x"00",x"00",x"00",x"81",x"09",x"09",x"81",x"04",x"00",x"00",x"00",x"81",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"09",x"51",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"83",x"10",x"06",x"00",x"00",x"00",x"06",x"0b",x"83",x"05",x"0b",x"04",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"70",x"06",x"ff",x"71",x"72",x"05",x"51",x"00",x"70",x"06",x"06",x"54",x"09",x"ff",x"51",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"53",x"04",x"00",x"00",x"00",x"00",x"00",x"3f",x"04",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"53",x"81",x"83",x"05",x"10",x"72",x"51",x"04",x"72",x"05",x"05",x"72",x"53",x"51",x"04",x"08",x"75",x"50",x"56",x"0c",x"04",x"08",x"75",x"50",x"56",x"0c",x"04",x"08",x"f6",x"8c",x"04",x"0b",x"98",x"a6",x"08",x"52",x"92",x"9f",x"2d",x"70",x"70",x"0b",x"9f",x"3d",x"80",x"0b",x"08",x"38",x"0b",x"2e",x"85",x"0d",x"0b",x"0b",x"81",x"0d",x"3d",x"80",x"71",x"2a",x"51",x"f3",x"0d",x"0d",x"80",x"08",x"70",x"51",x"38",x"0a",x"0d",x"0d",x"70",x"54",x"80",x"72",x"51",x"81",x"70",x"54",x"eb",x"0d",x"0d",x"dc",x"0c",x"06",x"54",x"81",x"80",x"a0",x"32",x"2d",x"04",x"a0",x"0d",x"0d",x"0b",x"0c",x"8a",x"3d",x"3d",x"0a",x"2a",x"ec",x"ff",x"ec",x"51",x"83",x"fe",x"c4",x"53",x"81",x"70",x"ac",x"f0",x"08",x"71",x"71",x"0c",x"0a",x"2d",x"08",x"3d",x"e7",x"cc",x"0c",x"cc",x"0c",x"90",x"ff",x"70",x"80",x"84",x"84",x"72",x"83",x"ff",x"c8",x"70",x"ff",x"0c",x"3d",x"90",x"0c",x"a0",x"da",x"0d",x"71",x"52",x"72",x"0c",x"ff",x"0c",x"04",x"78",x"1e",x"53",x"a7",x"84",x"0c",x"18",x"52",x"74",x"08",x"16",x"73",x"81",x"88",x"f8",x"c0",x"57",x"0b",x"59",x"8c",x"51",x"9f",x"08",x"c0",x"70",x"0c",x"80",x"75",x"0b",x"80",x"77",x"83",x"56",x"0b",x"89",x"51",x"ff",x"ff",x"80",x"53",x"51",x"76",x"2d",x"74",x"38",x"8a",x"39",x"55",x"84",x"89",x"51",x"ff",x"51",x"90",x"70",x"72",x"8a",x"dd",x"ff",x"a8",x"9f",x"83",x"08",x"06",x"52",x"04",x"8b",x"81",x"8a",x"b5",x"0d",x"0d",x"80",x"c6",x"0c",x"72",x"ff",x"51",x"2d",x"84",x"04",x"79",x"80",x"8c",x"17",x"33",x"29",x"71",x"38",x"55",x"81",x"76",x"54",x"83",x"18",x"80",x"52",x"75",x"73",x"0c",x"08",x"73",x"54",x"ed",x"8c",x"a0",x"51",x"74",x"8a",x"51",x"80",x"27",x"17",x"52",x"81",x"74",x"8b",x"3d",x"3d",x"80",x"84",x"2d",x"74",x"2d",x"81",x"0c",x"82",x"82",x"83",x"0c",x"78",x"33",x"53",x"73",x"38",x"80",x"16",x"76",x"33",x"74",x"2d",x"88",x"52",x"82",x"74",x"8c",x"75",x"8c",x"a0",x"51",x"8b",x"3d",x"c6",x"0c",x"11",x"87",x"0c",x"8c",x"b8",x"0d",x"33",x"71",x"88",x"14",x"07",x"16",x"51",x"57",x"51",x"81",x"a0",x"80",x"72",x"2a",x"51",x"f3",x"80",x"c4",x"0c",x"04",x"8f",x"08",x"06",x"f3",x"2d",x"8a",x"51",x"8b",x"3d",x"3d",x"9f",x"a0",x"51",x"9e",x"52",x"05",x"8a",x"12",x"2e",x"ec",x"2d",x"04",x"80",x"0c",x"81",x"ec",x"80",x"8c",x"f9",x"c0",x"0c",x"52",x"2d",x"0c",x"51",x"9f",x"2a",x"2d",x"51",x"8f",x"08",x"2d",x"84",x"80",x"0b",x"80",x"0a",x"8f",x"3d",x"3d",x"9f",x"91",x"8f",x"3d",x"3d",x"80",x"8a",x"2d",x"9f",x"53",x"72",x"10",x"05",x"05",x"fb",x"ad",x"dc",x"0c",x"aa",x"2d",x"85",x"fc",x"c0",x"70",x"aa",x"2d",x"76",x"80",x"75",x"54",x"d0",x"51",x"74",x"2d",x"8c",x"88",x"0d",x"3d",x"9f",x"0b",x"80",x"0b",x"57",x"0b",x"80",x"c8",x"53",x"73",x"06",x"54",x"80",x"70",x"0c",x"0b",x"80",x"0b",x"0c",x"8a",x"91",x"88",x"80",x"81",x"0a",x"80",x"52",x"2d",x"71",x"2d",x"84",x"51",x"76",x"5e",x"d0",x"d6",x"53",x"bc",x"80",x"d2",x"80",x"be",x"9f",x"79",x"38",x"08",x"5a",x"77",x"05",x"34",x"8b",x"08",x"38",x"fe",x"06",x"78",x"ff",x"77",x"a2",x"ff",x"80",x"38",x"58",x"77",x"38",x"7b",x"18",x"72",x"80",x"88",x"72",x"79",x"13",x"26",x"16",x"75",x"70",x"70",x"07",x"51",x"71",x"81",x"38",x"72",x"ba",x"10",x"75",x"51",x"fe",x"5a",x"80",x"08",x"08",x"51",x"0c",x"0c",x"d0",x"3d",x"3d",x"80",x"2d",x"04",x"0d",x"80",x"a0",x"3d",x"55",x"75",x"38",x"9d",x"73",x"80",x"08",x"2e",x"08",x"88",x"0d",x"76",x"54",x"30",x"73",x"38",x"3d",x"57",x"76",x"38",x"54",x"74",x"52",x"3f",x"76",x"38",x"54",x"88",x"74",x"57",x"3d",x"53",x"80",x"52",x"2e",x"80",x"80",x"38",x"10",x"53",x"ea",x"78",x"51",x"86",x"72",x"81",x"72",x"38",x"ef",x"31",x"74",x"81",x"56",x"fc",x"70",x"55",x"72",x"72",x"06",x"2e",x"12",x"2e",x"70",x"33",x"05",x"12",x"2e",x"ea",x"0c",x"04",x"70",x"08",x"05",x"70",x"08",x"05",x"70",x"08",x"05",x"70",x"08",x"05",x"12",x"26",x"72",x"72",x"54",x"84",x"fc",x"83",x"70",x"39",x"76",x"8c",x"33",x"55",x"8a",x"06",x"2e",x"12",x"2e",x"73",x"55",x"52",x"09",x"38",x"86",x"74",x"75",x"90",x"54",x"27",x"71",x"53",x"70",x"0c",x"84",x"72",x"05",x"12",x"26",x"72",x"72",x"05",x"12",x"26",x"53",x"fb",x"79",x"83",x"52",x"71",x"54",x"73",x"c4",x"54",x"70",x"52",x"2e",x"33",x"2e",x"95",x"81",x"70",x"54",x"70",x"33",x"ff",x"ff",x"31",x"52",x"04",x"f7",x"14",x"84",x"06",x"70",x"14",x"08",x"71",x"dc",x"54",x"39",x"0c",x"04",x"9f",x"05",x"52",x"91",x"fc",x"52",x"2e",x"f1",x"0d",x"80",x"00",x"ff",x"ff",x"00",x"ff",x"09",x"09",x"09",x"07",x"0a",x"0a",x"08",x"09",x"07",x"0a",x"04",x"2f",x"cf",x"0e",x"0d",x"00",x"0a",x"0d",x"00",x"5a",x"00",x"00",x"00",x"00",x"0f",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); - shared variable RAM2: RAM_TABLE := RAM_TABLE'( -x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"fd",x"83",x"05",x"2b",x"ff",x"00",x"00",x"00",x"fd",x"ff",x"06",x"82",x"2b",x"83",x"0b",x"a7",x"09",x"05",x"06",x"09",x"0a",x"51",x"00",x"00",x"72",x"2e",x"04",x"00",x"00",x"00",x"00",x"00",x"73",x"06",x"72",x"72",x"31",x"06",x"51",x"00",x"72",x"2e",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0a",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"81",x"0b",x"04",x"00",x"00",x"00",x"00",x"72",x"9f",x"74",x"06",x"07",x"00",x"00",x"00",x"71",x"0d",x"83",x"05",x"2b",x"72",x"51",x"00",x"09",x"05",x"05",x"81",x"04",x"00",x"00",x"00",x"09",x"05",x"05",x"09",x"51",x"00",x"00",x"00",x"09",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"fc",x"83",x"05",x"10",x"ff",x"00",x"00",x"00",x"fc",x"0b",x"73",x"10",x"0b",x"a9",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"09",x"06",x"54",x"09",x"ff",x"51",x"00",x"09",x"09",x"81",x"70",x"73",x"05",x"07",x"04",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"9f",x"04",x"00",x"00",x"00",x"00",x"00",x"81",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"84",x"10",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"71",x"0d",x"00",x"00",x"00",x"00",x"00",x"d4",x"3f",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"73",x"73",x"81",x"10",x"07",x"0c",x"3c",x"80",x"ff",x"06",x"52",x"0a",x"38",x"51",x"8c",x"75",x"2d",x"08",x"8c",x"51",x"8c",x"75",x"2d",x"08",x"8c",x"51",x"8c",x"8e",x"0c",x"0c",x"0d",x"9f",x"70",x"94",x"52",x"2e",x"12",x"70",x"08",x"52",x"81",x"0b",x"83",x"04",x"0b",x"c4",x"8e",x"0b",x"80",x"06",x"3d",x"0b",x"51",x"f6",x"3d",x"ff",x"c4",x"52",x"82",x"06",x"70",x"3d",x"3d",x"80",x"71",x"2a",x"51",x"f3",x"90",x"3d",x"3d",x"33",x"06",x"71",x"38",x"06",x"2d",x"33",x"06",x"71",x"3d",x"3d",x"80",x"88",x"ff",x"11",x"71",x"38",x"8a",x"a0",x"a0",x"0d",x"8a",x"3d",x"3d",x"ff",x"0a",x"51",x"82",x"ff",x"d0",x"88",x"8a",x"81",x"8a",x"fe",x"2d",x"04",x"80",x"84",x"70",x"51",x"9f",x"71",x"a8",x"e8",x"38",x"0a",x"90",x"d3",x"0a",x"84",x"82",x"80",x"88",x"80",x"84",x"83",x"04",x"73",x"51",x"80",x"70",x"07",x"52",x"04",x"80",x"84",x"fb",x"72",x"83",x"a0",x"80",x"0b",x"98",x"3d",x"8b",x"11",x"80",x"72",x"83",x"88",x"0d",x"0d",x"ff",x"58",x"2e",x"56",x"73",x"88",x"12",x"38",x"74",x"ff",x"52",x"09",x"38",x"04",x"80",x"84",x"0a",x"52",x"2d",x"76",x"2d",x"88",x"91",x"71",x"53",x"fb",x"ad",x"dc",x"0c",x"0c",x"08",x"06",x"80",x"27",x"f4",x"2d",x"ff",x"a0",x"08",x"76",x"2d",x"aa",x"55",x"89",x"51",x"ff",x"08",x"a0",x"2e",x"c2",x"2d",x"f8",x"2d",x"08",x"06",x"56",x"8c",x"39",x"9f",x"11",x"51",x"70",x"ff",x"52",x"0d",x"0d",x"72",x"51",x"8b",x"3d",x"3d",x"80",x"8c",x"73",x"0c",x"81",x"53",x"aa",x"0c",x"04",x"0d",x"c0",x"56",x"81",x"18",x"80",x"53",x"94",x"72",x"70",x"33",x"14",x"38",x"84",x"82",x"56",x"73",x"38",x"76",x"76",x"71",x"14",x"26",x"51",x"8b",x"84",x"2d",x"51",x"74",x"2d",x"75",x"73",x"52",x"2d",x"74",x"38",x"89",x"f9",x"56",x"80",x"c6",x"0c",x"aa",x"2d",x"76",x"33",x"71",x"05",x"78",x"33",x"19",x"59",x"54",x"ac",x"73",x"73",x"33",x"11",x"52",x"aa",x"2d",x"06",x"38",x"76",x"38",x"84",x"51",x"8b",x"87",x"2d",x"89",x"8c",x"75",x"86",x"0c",x"76",x"51",x"ff",x"3d",x"11",x"33",x"71",x"83",x"72",x"84",x"07",x"57",x"88",x"2d",x"8a",x"c4",x"53",x"81",x"06",x"71",x"84",x"80",x"84",x"0d",x"0d",x"88",x"81",x"71",x"a0",x"51",x"72",x"2d",x"84",x"fe",x"0b",x"8b",x"81",x"2d",x"8f",x"81",x"51",x"ff",x"ff",x"06",x"b5",x"0d",x"0d",x"a8",x"2d",x"8a",x"c0",x"52",x"81",x"80",x"9c",x"72",x"aa",x"b0",x"2a",x"2d",x"88",x"ec",x"08",x"2d",x"88",x"ec",x"2d",x"04",x"81",x"0c",x"90",x"51",x"82",x"80",x"0b",x"8c",x"51",x"82",x"fd",x"c0",x"54",x"93",x"2d",x"52",x"2d",x"10",x"84",x"84",x"52",x"a1",x"9e",x"14",x"8c",x"85",x"2d",x"04",x"80",x"84",x"8c",x"c6",x"0c",x"80",x"80",x"80",x"83",x"74",x"2d",x"aa",x"2d",x"74",x"3d",x"ad",x"0b",x"80",x"0c",x"f6",x"0c",x"b0",x"80",x"80",x"a4",x"ff",x"72",x"53",x"80",x"08",x"72",x"88",x"80",x"81",x"0a",x"51",x"8c",x"88",x"dc",x"0c",x"90",x"c0",x"70",x"aa",x"2d",x"aa",x"2d",x"71",x"2d",x"3d",x"83",x"8b",x"08",x"2e",x"08",x"80",x"08",x"81",x"82",x"38",x"89",x"88",x"54",x"3d",x"e0",x"72",x"57",x"88",x"c6",x"80",x"81",x"38",x"ff",x"81",x"ff",x"59",x"76",x"97",x"78",x"82",x"8b",x"ff",x"fe",x"78",x"38",x"80",x"58",x"33",x"81",x"73",x"ff",x"54",x"05",x"33",x"2b",x"53",x"52",x"09",x"c3",x"53",x"fe",x"10",x"05",x"08",x"2d",x"81",x"39",x"88",x"90",x"08",x"90",x"8a",x"80",x"82",x"ff",x"52",x"b8",x"0d",x"f8",x"04",x"0d",x"fb",x"79",x"56",x"ab",x"24",x"53",x"51",x"88",x"80",x"88",x"73",x"3d",x"30",x"57",x"74",x"56",x"d2",x"fa",x"7a",x"57",x"a4",x"2c",x"75",x"31",x"9b",x"54",x"85",x"30",x"0c",x"04",x"81",x"fc",x"78",x"53",x"26",x"80",x"70",x"38",x"a4",x"73",x"26",x"72",x"51",x"74",x"0c",x"04",x"72",x"53",x"e6",x"26",x"72",x"07",x"74",x"55",x"39",x"76",x"55",x"8f",x"38",x"83",x"80",x"ff",x"ff",x"72",x"54",x"81",x"ff",x"ff",x"06",x"88",x"0d",x"72",x"54",x"84",x"72",x"54",x"84",x"72",x"54",x"84",x"72",x"54",x"84",x"f0",x"8f",x"83",x"38",x"05",x"70",x"0c",x"71",x"38",x"83",x"0d",x"02",x"05",x"53",x"27",x"83",x"80",x"ff",x"ff",x"73",x"05",x"12",x"2e",x"ef",x"0c",x"04",x"2b",x"71",x"51",x"72",x"72",x"05",x"71",x"53",x"70",x"0c",x"84",x"f0",x"8f",x"83",x"38",x"84",x"fc",x"83",x"70",x"39",x"77",x"07",x"54",x"38",x"08",x"71",x"80",x"75",x"33",x"06",x"80",x"72",x"75",x"06",x"12",x"33",x"06",x"52",x"72",x"81",x"81",x"71",x"52",x"0d",x"70",x"ff",x"f8",x"80",x"51",x"84",x"71",x"54",x"2e",x"75",x"96",x"88",x"0d",x"0d",x"fc",x"52",x"2e",x"2d",x"08",x"ff",x"06",x"3d",x"eb",x"00",x"ff",x"00",x"ff",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"00",x"7b",x"01",x"50",x"00",x"0d",x"3a",x"00",x"0a",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); - shared variable RAM3: RAM_TABLE := RAM_TABLE'( -x"0b",x"c5",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"a6",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"72",x"81",x"83",x"ff",x"04",x"00",x"00",x"71",x"83",x"83",x"05",x"2b",x"73",x"0b",x"83",x"72",x"72",x"09",x"73",x"07",x"53",x"00",x"00",x"72",x"73",x"51",x"00",x"00",x"00",x"00",x"00",x"71",x"71",x"30",x"0a",x"0a",x"81",x"53",x"00",x"72",x"73",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"c3",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"0a",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"09",x"0b",x"05",x"00",x"00",x"00",x"00",x"72",x"73",x"09",x"81",x"06",x"04",x"00",x"00",x"71",x"02",x"73",x"81",x"83",x"07",x"0c",x"00",x"72",x"72",x"81",x"0a",x"51",x"00",x"00",x"00",x"72",x"72",x"81",x"0a",x"53",x"00",x"00",x"00",x"71",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"04",x"00",x"00",x"00",x"00",x"00",x"72",x"73",x"07",x"00",x"00",x"00",x"00",x"00",x"71",x"72",x"81",x"10",x"81",x"04",x"00",x"00",x"71",x"0b",x"a4",x"10",x"06",x"88",x"00",x"00",x"0b",x"f7",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"df",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"81",x"70",x"73",x"05",x"07",x"04",x"72",x"05",x"09",x"05",x"06",x"74",x"06",x"51",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"0b",x"51",x"00",x"00",x"00",x"00",x"00",x"71",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"02",x"10",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"05",x"02",x"00",x"00",x"00",x"00",x"00",x"81",x"f2",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"04",x"06",x"09",x"05",x"2b",x"06",x"04",x"72",x"06",x"72",x"10",x"10",x"ed",x"53",x"08",x"08",x"a5",x"88",x"0c",x"0c",x"08",x"08",x"e1",x"88",x"0c",x"0c",x"08",x"08",x"90",x"88",x"3d",x"0b",x"51",x"9f",x"08",x"80",x"84",x"0c",x"94",x"52",x"38",x"0b",x"34",x"04",x"0d",x"9f",x"2e",x"0b",x"0b",x"81",x"82",x"0b",x"c4",x"0b",x"82",x"04",x"80",x"84",x"70",x"81",x"51",x"83",x"ff",x"c4",x"52",x"81",x"06",x"70",x"82",x"83",x"fd",x"70",x"ff",x"54",x"97",x"ff",x"a0",x"70",x"ff",x"54",x"85",x"fe",x"70",x"80",x"81",x"83",x"53",x"92",x"51",x"72",x"8a",x"3d",x"51",x"84",x"80",x"ff",x"d0",x"fe",x"2d",x"04",x"83",x"70",x"52",x"71",x"51",x"80",x"a0",x"0d",x"0d",x"80",x"08",x"51",x"38",x"52",x"9f",x"87",x"e6",x"d0",x"83",x"98",x"90",x"0c",x"04",x"0b",x"80",x"0b",x"80",x"0b",x"0c",x"0d",x"51",x"80",x"08",x"80",x"52",x"0d",x"0d",x"80",x"70",x"06",x"52",x"04",x"a0",x"9c",x"0c",x"ff",x"51",x"90",x"c0",x"80",x"08",x"06",x"3d",x"3d",x"7d",x"57",x"ff",x"80",x"75",x"08",x"ff",x"f3",x"16",x"0c",x"56",x"2e",x"dd",x"0d",x"0d",x"80",x"d0",x"ec",x"c0",x"2d",x"ec",x"70",x"10",x"05",x"05",x"56",x"a1",x"9e",x"17",x"78",x"76",x"ff",x"df",x"08",x"9e",x"c0",x"83",x"0c",x"88",x"52",x"8b",x"8c",x"08",x"2e",x"c3",x"2d",x"84",x"fa",x"81",x"80",x"a0",x"9e",x"c0",x"70",x"bf",x"56",x"2d",x"ff",x"0d",x"81",x"0c",x"0a",x"fe",x"0c",x"3d",x"3d",x"2d",x"07",x"2d",x"82",x"fe",x"c0",x"53",x"85",x"73",x"70",x"74",x"8c",x"88",x"0d",x"3d",x"80",x"84",x"2d",x"82",x"82",x"53",x"2e",x"17",x"72",x"54",x"ff",x"f3",x"33",x"71",x"05",x"54",x"97",x"77",x"17",x"53",x"81",x"74",x"75",x"2d",x"81",x"ec",x"2a",x"2d",x"ec",x"73",x"38",x"33",x"ec",x"54",x"f0",x"2d",x"04",x"79",x"80",x"8c",x"75",x"8c",x"c6",x"70",x"17",x"33",x"29",x"33",x"19",x"85",x"0c",x"80",x"27",x"58",x"38",x"11",x"87",x"0c",x"8c",x"a8",x"81",x"f6",x"54",x"d8",x"2d",x"74",x"2d",x"81",x"ec",x"2d",x"04",x"77",x"16",x"76",x"33",x"74",x"2d",x"fc",x"81",x"12",x"2b",x"07",x"70",x"2b",x"71",x"53",x"52",x"93",x"51",x"80",x"84",x"70",x"81",x"52",x"73",x"07",x"80",x"3d",x"3d",x"2d",x"08",x"53",x"8b",x"83",x"2d",x"ec",x"2d",x"04",x"80",x"0c",x"81",x"ec",x"53",x"70",x"33",x"2d",x"71",x"81",x"8b",x"3d",x"3d",x"9f",x"a0",x"51",x"80",x"84",x"2d",x"0b",x"80",x"08",x"8c",x"9f",x"90",x"ec",x"08",x"8a",x"b0",x"ec",x"2d",x"8a",x"b5",x"0d",x"0d",x"ac",x"83",x"85",x"2d",x"04",x"80",x"0c",x"86",x"2d",x"04",x"80",x"84",x"8f",x"c6",x"74",x"ec",x"08",x"c0",x"70",x"0c",x"84",x"0c",x"88",x"51",x"8a",x"dd",x"0d",x"0d",x"80",x"55",x"8c",x"75",x"c0",x"0c",x"a0",x"53",x"52",x"8b",x"8c",x"85",x"2d",x"86",x"ff",x"80",x"0c",x"a8",x"8e",x"80",x"80",x"c4",x"0c",x"80",x"ff",x"70",x"0c",x"c8",x"70",x"06",x"53",x"d0",x"0c",x"d0",x"80",x"2d",x"82",x"80",x"84",x"0b",x"80",x"84",x"8c",x"c6",x"8c",x"c6",x"0c",x"aa",x"8f",x"5a",x"5b",x"88",x"80",x"88",x"2e",x"88",x"2e",x"76",x"bf",x"2e",x"0b",x"32",x"d5",x"fd",x"72",x"17",x"2d",x"78",x"08",x"09",x"b0",x"83",x"0c",x"59",x"80",x"39",x"ff",x"7c",x"59",x"ff",x"ff",x"78",x"53",x"98",x"80",x"55",x"70",x"52",x"73",x"38",x"11",x"ff",x"74",x"88",x"08",x"51",x"2e",x"fe",x"33",x"26",x"72",x"b0",x"70",x"71",x"39",x"a4",x"0d",x"08",x"80",x"2d",x"0c",x"0b",x"0c",x"04",x"80",x"95",x"3d",x"ff",x"c6",x"f8",x"04",x"77",x"80",x"24",x"74",x"80",x"74",x"3f",x"75",x"38",x"54",x"87",x"73",x"32",x"39",x"81",x"25",x"39",x"78",x"80",x"24",x"9f",x"53",x"74",x"51",x"08",x"2e",x"08",x"88",x"0d",x"55",x"39",x"76",x"81",x"73",x"72",x"38",x"a9",x"24",x"10",x"72",x"52",x"73",x"38",x"88",x"0d",x"2a",x"53",x"2e",x"74",x"73",x"74",x"2a",x"55",x"e5",x"0d",x"7b",x"55",x"8c",x"07",x"70",x"38",x"71",x"38",x"05",x"70",x"34",x"71",x"81",x"74",x"3d",x"51",x"05",x"70",x"0c",x"05",x"70",x"0c",x"05",x"70",x"0c",x"05",x"70",x"0c",x"71",x"38",x"95",x"84",x"71",x"53",x"52",x"ed",x"ff",x"3d",x"71",x"9f",x"55",x"72",x"74",x"70",x"38",x"71",x"38",x"81",x"ff",x"ff",x"06",x"88",x"0d",x"88",x"70",x"07",x"8f",x"38",x"84",x"72",x"05",x"71",x"53",x"70",x"0c",x"71",x"38",x"90",x"70",x"0c",x"71",x"38",x"90",x"0d",x"72",x"53",x"93",x"73",x"54",x"2e",x"73",x"71",x"ff",x"70",x"38",x"70",x"81",x"81",x"71",x"ff",x"54",x"38",x"73",x"75",x"71",x"0c",x"3d",x"09",x"fd",x"70",x"81",x"51",x"38",x"16",x"56",x"08",x"73",x"ff",x"0b",x"3d",x"3d",x"0b",x"08",x"ff",x"70",x"70",x"70",x"81",x"83",x"04",x"04",x"00",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"01",x"00",x"05",x"a4",x"43",x"00",x"4c",x"53",x"00",x"0d",x"0a",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); -signal rwea: std_logic_vector(3 downto 0); -signal rweb: std_logic_vector(3 downto 0); -signal memaread0: std_logic_vector(7 downto 0); -signal membread0: std_logic_vector(7 downto 0); -signal memaread1: std_logic_vector(7 downto 0); -signal membread1: std_logic_vector(7 downto 0); -signal memaread2: std_logic_vector(7 downto 0); -signal membread2: std_logic_vector(7 downto 0); -signal memaread3: std_logic_vector(7 downto 0); -signal membread3: std_logic_vector(7 downto 0); - -begin - rwea(0) <= WEA and MASKA(0); - rweb(0) <= WEB and MASKB(0); - rwea(1) <= WEA and MASKA(1); - rweb(1) <= WEB and MASKB(1); - rwea(2) <= WEA and MASKA(2); - rweb(2) <= WEB and MASKB(2); - rwea(3) <= WEA and MASKA(3); - rweb(3) <= WEB and MASKB(3); -DOA(7 downto 0) <= memaread0; -DOB(7 downto 0) <= membread0; -DOA(15 downto 8) <= memaread1; -DOB(15 downto 8) <= membread1; -DOA(23 downto 16) <= memaread2; -DOB(23 downto 16) <= membread2; -DOA(31 downto 24) <= memaread3; -DOB(31 downto 24) <= membread3; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(0)='1' then - RAM0( conv_integer(ADDRA) ) := DIA(7 downto 0); - end if; - memaread0 <= RAM0(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(0)='1' then - RAM0( conv_integer(ADDRB) ) := DIB(7 downto 0); - end if; - membread0 <= RAM0(conv_integer(ADDRB)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(1)='1' then - RAM1( conv_integer(ADDRA) ) := DIA(15 downto 8); - end if; - memaread1 <= RAM1(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(1)='1' then - RAM1( conv_integer(ADDRB) ) := DIB(15 downto 8); - end if; - membread1 <= RAM1(conv_integer(ADDRB)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(2)='1' then - RAM2( conv_integer(ADDRA) ) := DIA(23 downto 16); - end if; - memaread2 <= RAM2(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(2)='1' then - RAM2( conv_integer(ADDRB) ) := DIB(23 downto 16); - end if; - membread2 <= RAM2(conv_integer(ADDRB)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(3)='1' then - RAM3( conv_integer(ADDRA) ) := DIA(31 downto 24); - end if; - memaread3 <= RAM3(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(3)='1' then - RAM3( conv_integer(ADDRB) ) := DIB(31 downto 24); - end if; - membread3 <= RAM3(conv_integer(ADDRB)) ; - end if; - end if; - end process; -end behave; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/zpu_config.vhd b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/zpu_config.vhd deleted file mode 100644 index 750f45e4..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/arcade-megawing1.2/zpu_config.vhd +++ /dev/null @@ -1,66 +0,0 @@ --- ZPU --- --- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com --- --- The FreeBSD license --- --- Redistribution and use in source and binary forms, with or without --- modification, are permitted provided that the following conditions --- are met: --- --- 1. Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- 2. Redistributions in binary form must reproduce the above --- copyright notice, this list of conditions and the following --- disclaimer in the documentation and/or other materials --- provided with the distribution. --- --- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY --- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE --- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, --- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES --- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS --- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) --- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF --- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. --- --- The views and conclusions contained in the software and documentation --- are those of the authors and should not be interpreted as representing --- official policies, either expressed or implied, of the ZPU Project. - - -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_unsigned.all; -use ieee.std_logic_arith.all; - -package zpu_config is - constant Undefined: std_logic := '0'; - -- generate trace output or not. - constant Generate_Trace : boolean := false; - constant wordPower : integer := 5; - -- during simulation, set this to '0' to get matching trace.txt - constant DontCareValue : std_logic := 'X'; - -- Clock frequency in MHz. - constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; - -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) - constant maxAddrBitIncIO : integer := 27; - constant maxAddrBitBRAM : integer := 13; - - constant maxIOBit: integer := maxAddrBitIncIO - 1; - constant minIOBit: integer := 2; - - constant stackSize_bits: integer := 9; - - -- start byte address of stack. - -- point to top of RAM - 2*words - constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := - conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); - - constant enable_fmul16: boolean := false; - -end zpu_config; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/hyperion/prom-generic-dp-32.vhd b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/hyperion/prom-generic-dp-32.vhd index ea6717dc..4cce4266 100644 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/hyperion/prom-generic-dp-32.vhd +++ b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/hyperion/prom-generic-dp-32.vhd @@ -1,160 +1,160 @@ -library IEEE; -use IEEE.std_logic_1164.all; -use IEEE.std_logic_unsigned.all; -use ieee.numeric_std.all; - -entity prom_generic_dualport is - port ( - CLK: in std_logic; - WEA: in std_logic; - ENA: in std_logic; - MASKA: in std_logic_vector(3 downto 0); - ADDRA: in std_logic_vector(13 downto 2); - DIA: in std_logic_vector(31 downto 0); - DOA: out std_logic_vector(31 downto 0); - WEB: in std_logic; - ENB: in std_logic; - ADDRB: in std_logic_vector(13 downto 2); - DIB: in std_logic_vector(31 downto 0); - MASKB: in std_logic_vector(3 downto 0); - DOB: out std_logic_vector(31 downto 0) - ); -end entity prom_generic_dualport; - -architecture behave of prom_generic_dualport is - - subtype RAM_WORD is STD_LOGIC_VECTOR (7 downto 0); - type RAM_TABLE is array (0 to 4095) of RAM_WORD; - shared variable RAM0: RAM_TABLE := RAM_TABLE'( -x"98",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"98",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"08",x"09",x"05",x"83",x"52",x"00",x"00",x"00",x"08",x"73",x"81",x"83",x"06",x"ff",x"0b",x"00",x"05",x"73",x"06",x"06",x"06",x"00",x"00",x"00",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"06",x"10",x"10",x"0a",x"51",x"00",x"00",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"2b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"0b",x"a6",x"00",x"00",x"00",x"00",x"00",x"ff",x"2a",x"0a",x"05",x"51",x"00",x"00",x"00",x"51",x"06",x"09",x"05",x"2b",x"06",x"04",x"00",x"05",x"70",x"06",x"53",x"00",x"00",x"00",x"00",x"05",x"70",x"06",x"06",x"00",x"00",x"00",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"04",x"00",x"00",x"00",x"00",x"00",x"08",x"09",x"05",x"2a",x"52",x"00",x"00",x"00",x"08",x"9e",x"06",x"08",x"0b",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"0a",x"05",x"06",x"74",x"06",x"51",x"00",x"81",x"0a",x"ff",x"71",x"72",x"05",x"51",x"00",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"0c",x"00",x"00",x"00",x"00",x"00",x"00",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"96",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"51",x"ff",x"06",x"83",x"10",x"fc",x"51",x"72",x"81",x"09",x"71",x"0a",x"72",x"51",x"88",x"90",x"99",x"50",x"90",x"88",x"88",x"90",x"99",x"50",x"90",x"88",x"88",x"90",x"2d",x"0c",x"ff",x"0b",x"33",x"38",x"70",x"70",x"38",x"b0",x"9f",x"08",x"f0",x"0b",x"b4",x"0d",x"3d",x"0b",x"80",x"0b",x"80",x"09",x"38",x"04",x"9f",x"0b",x"3f",x"04",x"0d",x"80",x"08",x"70",x"51",x"38",x"04",x"80",x"84",x"70",x"81",x"51",x"73",x"0c",x"04",x"74",x"80",x"70",x"ff",x"51",x"26",x"fd",x"2d",x"51",x"84",x"72",x"2d",x"04",x"83",x"83",x"80",x"a0",x"0d",x"0d",x"08",x"52",x"2d",x"06",x"2d",x"8a",x"3d",x"3d",x"80",x"72",x"06",x"9f",x"08",x"38",x"51",x"27",x"80",x"71",x"0c",x"82",x"88",x"0d",x"af",x"80",x"80",x"80",x"9f",x"0a",x"3d",x"08",x"c8",x"70",x"80",x"0c",x"3d",x"3d",x"80",x"08",x"ff",x"52",x"0d",x"0b",x"9f",x"84",x"2d",x"73",x"0c",x"91",x"0c",x"70",x"ff",x"83",x"fa",x"7a",x"57",x"73",x"38",x"52",x"72",x"0c",x"71",x"84",x"72",x"56",x"ff",x"06",x"3d",x"3d",x"80",x"83",x"8c",x"51",x"9f",x"08",x"c0",x"70",x"0c",x"80",x"75",x"0b",x"80",x"77",x"83",x"56",x"0b",x"83",x"83",x"0c",x"88",x"52",x"df",x"8b",x"08",x"2e",x"c3",x"2d",x"84",x"fa",x"81",x"80",x"a0",x"90",x"70",x"72",x"8a",x"b1",x"ff",x"c4",x"9f",x"83",x"08",x"06",x"52",x"04",x"8a",x"81",x"8a",x"89",x"0d",x"0d",x"80",x"9a",x"0c",x"72",x"ff",x"51",x"2d",x"84",x"fc",x"81",x"12",x"80",x"84",x"05",x"70",x"12",x"52",x"80",x"85",x"11",x"53",x"55",x"2e",x"70",x"33",x"70",x"34",x"72",x"81",x"89",x"2d",x"04",x"79",x"80",x"8c",x"17",x"33",x"29",x"71",x"38",x"55",x"81",x"76",x"54",x"83",x"18",x"80",x"52",x"75",x"73",x"0c",x"08",x"73",x"54",x"ed",x"8b",x"f4",x"51",x"74",x"8a",x"51",x"80",x"27",x"17",x"52",x"81",x"74",x"8b",x"3d",x"3d",x"80",x"84",x"2d",x"74",x"2d",x"81",x"0c",x"82",x"82",x"83",x"0c",x"78",x"33",x"53",x"73",x"38",x"80",x"16",x"76",x"33",x"74",x"2d",x"88",x"52",x"82",x"74",x"8c",x"75",x"8b",x"f4",x"51",x"8b",x"3d",x"9a",x"0c",x"11",x"87",x"0c",x"8b",x"b8",x"0d",x"33",x"71",x"88",x"14",x"07",x"16",x"51",x"57",x"51",x"81",x"a0",x"80",x"72",x"2a",x"51",x"f3",x"80",x"c4",x"0c",x"04",x"8e",x"08",x"06",x"f3",x"2d",x"8a",x"51",x"8b",x"3d",x"3d",x"9f",x"f4",x"51",x"9f",x"52",x"05",x"8a",x"12",x"2e",x"ec",x"2d",x"04",x"80",x"0c",x"81",x"c0",x"80",x"8c",x"f9",x"c0",x"0c",x"52",x"2d",x"0c",x"51",x"9f",x"2a",x"2d",x"51",x"8e",x"08",x"2d",x"84",x"80",x"0b",x"80",x"0a",x"8e",x"3d",x"3d",x"9f",x"e5",x"8e",x"3d",x"3d",x"80",x"8a",x"2d",x"9f",x"53",x"72",x"10",x"05",x"05",x"fb",x"ad",x"94",x"0c",x"fe",x"2d",x"85",x"fc",x"c0",x"70",x"fe",x"2d",x"76",x"80",x"75",x"54",x"d0",x"51",x"74",x"2d",x"8c",x"88",x"0d",x"3d",x"9f",x"0b",x"80",x"0b",x"57",x"0b",x"80",x"c8",x"53",x"73",x"06",x"54",x"80",x"70",x"0c",x"70",x"70",x"0c",x"0c",x"0b",x"9d",x"12",x"0b",x"53",x"d0",x"0c",x"d0",x"e5",x"88",x"80",x"81",x"0a",x"80",x"52",x"2d",x"71",x"2d",x"84",x"51",x"76",x"5e",x"d0",x"aa",x"53",x"bc",x"80",x"d2",x"80",x"be",x"9f",x"79",x"38",x"08",x"5a",x"77",x"05",x"34",x"8b",x"08",x"38",x"fe",x"06",x"78",x"ff",x"77",x"a2",x"ff",x"80",x"38",x"58",x"77",x"38",x"7b",x"18",x"72",x"80",x"88",x"72",x"79",x"13",x"26",x"16",x"75",x"70",x"70",x"07",x"51",x"71",x"81",x"38",x"72",x"ba",x"10",x"75",x"51",x"fe",x"5a",x"80",x"08",x"08",x"51",x"0c",x"0c",x"d0",x"3d",x"3d",x"80",x"2d",x"04",x"0d",x"80",x"a0",x"3d",x"55",x"75",x"38",x"9d",x"73",x"80",x"08",x"2e",x"08",x"88",x"0d",x"76",x"54",x"30",x"73",x"38",x"3d",x"57",x"76",x"38",x"54",x"74",x"52",x"3f",x"76",x"38",x"54",x"88",x"74",x"57",x"3d",x"53",x"80",x"52",x"2e",x"80",x"80",x"38",x"10",x"53",x"ea",x"78",x"51",x"86",x"72",x"81",x"72",x"38",x"ef",x"31",x"74",x"81",x"56",x"fc",x"70",x"55",x"72",x"72",x"06",x"2e",x"12",x"2e",x"70",x"33",x"05",x"12",x"2e",x"ea",x"0c",x"04",x"70",x"08",x"05",x"70",x"08",x"05",x"70",x"08",x"05",x"70",x"08",x"05",x"12",x"26",x"72",x"72",x"54",x"84",x"fc",x"83",x"70",x"39",x"76",x"8c",x"33",x"55",x"8a",x"06",x"2e",x"12",x"2e",x"73",x"55",x"52",x"09",x"38",x"86",x"74",x"75",x"90",x"54",x"27",x"71",x"53",x"70",x"0c",x"84",x"72",x"05",x"12",x"26",x"72",x"72",x"05",x"12",x"26",x"53",x"fb",x"79",x"83",x"52",x"71",x"54",x"73",x"c4",x"54",x"70",x"52",x"2e",x"33",x"2e",x"95",x"81",x"70",x"54",x"70",x"33",x"ff",x"ff",x"31",x"52",x"04",x"f7",x"14",x"84",x"06",x"70",x"14",x"08",x"71",x"dc",x"54",x"39",x"0c",x"04",x"9f",x"05",x"52",x"91",x"fc",x"52",x"2e",x"f1",x"0d",x"c7",x"00",x"ff",x"ff",x"ff",x"00",x"9e",x"d0",x"78",x"ec",x"27",x"3e",x"82",x"25",x"8f",x"52",x"60",x"80",x"00",x"01",x"00",x"00",x"00",x"dc",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); - shared variable RAM1: RAM_TABLE := RAM_TABLE'( -x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"82",x"2a",x"06",x"00",x"00",x"00",x"06",x"ff",x"09",x"05",x"09",x"ff",x"0b",x"04",x"81",x"73",x"09",x"73",x"81",x"04",x"00",x"00",x"24",x"07",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"81",x"0a",x"0a",x"05",x"51",x"04",x"00",x"26",x"07",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"9f",x"05",x"88",x"00",x"00",x"00",x"00",x"00",x"2a",x"06",x"09",x"ff",x"53",x"00",x"00",x"00",x"53",x"04",x"06",x"82",x"0b",x"fc",x"51",x"00",x"81",x"09",x"09",x"06",x"00",x"00",x"00",x"00",x"81",x"09",x"09",x"81",x"04",x"00",x"00",x"00",x"81",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"09",x"51",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"83",x"10",x"06",x"00",x"00",x"00",x"06",x"0b",x"83",x"05",x"0b",x"04",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"70",x"06",x"ff",x"71",x"72",x"05",x"51",x"00",x"70",x"06",x"06",x"54",x"09",x"ff",x"51",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"a4",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"53",x"04",x"00",x"00",x"00",x"00",x"00",x"3f",x"04",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"53",x"81",x"83",x"05",x"10",x"72",x"51",x"04",x"72",x"05",x"05",x"72",x"53",x"51",x"04",x"08",x"75",x"50",x"56",x"0c",x"04",x"08",x"75",x"50",x"56",x"0c",x"04",x"08",x"b7",x"8c",x"04",x"0b",x"b4",x"a6",x"08",x"52",x"92",x"9f",x"2d",x"70",x"70",x"0b",x"9f",x"3d",x"80",x"0b",x"08",x"38",x"0b",x"2e",x"85",x"0d",x"0b",x"0b",x"81",x"0d",x"3d",x"80",x"71",x"2a",x"51",x"f3",x"0d",x"0d",x"80",x"08",x"70",x"51",x"38",x"0a",x"0d",x"0d",x"dc",x"0c",x"06",x"54",x"81",x"80",x"a0",x"32",x"2d",x"04",x"a0",x"0d",x"0d",x"0b",x"0c",x"8a",x"3d",x"3d",x"0a",x"2a",x"c0",x"ff",x"c0",x"51",x"83",x"fe",x"c4",x"53",x"81",x"70",x"c8",x"f0",x"08",x"71",x"71",x"0c",x"0a",x"2d",x"08",x"3d",x"e7",x"cc",x"0c",x"cc",x"0c",x"90",x"ff",x"70",x"80",x"84",x"84",x"72",x"83",x"ff",x"c8",x"70",x"ff",x"0c",x"3d",x"90",x"0c",x"a0",x"93",x"0d",x"71",x"52",x"72",x"0c",x"ff",x"0c",x"04",x"78",x"1e",x"53",x"a7",x"84",x"0c",x"18",x"52",x"74",x"08",x"16",x"73",x"81",x"88",x"f8",x"c0",x"57",x"59",x"76",x"2d",x"88",x"91",x"71",x"53",x"fb",x"ad",x"94",x"0c",x"0c",x"08",x"06",x"80",x"27",x"39",x"79",x"54",x"78",x"8c",x"51",x"78",x"76",x"80",x"a0",x"a0",x"74",x"9c",x"38",x"8a",x"39",x"08",x"06",x"56",x"8c",x"39",x"9f",x"11",x"51",x"70",x"ff",x"52",x"0d",x"0d",x"72",x"51",x"8b",x"3d",x"3d",x"80",x"8c",x"73",x"0c",x"81",x"53",x"fe",x"0c",x"04",x"76",x"82",x"81",x"71",x"29",x"33",x"29",x"33",x"a0",x"16",x"ff",x"52",x"57",x"ff",x"73",x"55",x"75",x"57",x"53",x"09",x"38",x"d4",x"0d",x"0d",x"c0",x"56",x"81",x"18",x"80",x"53",x"94",x"72",x"70",x"33",x"14",x"38",x"84",x"82",x"56",x"73",x"38",x"76",x"76",x"71",x"14",x"26",x"51",x"8a",x"84",x"2d",x"51",x"74",x"2d",x"75",x"73",x"52",x"2d",x"74",x"38",x"89",x"f9",x"56",x"80",x"9a",x"0c",x"fe",x"2d",x"76",x"33",x"71",x"05",x"78",x"33",x"19",x"59",x"54",x"ac",x"73",x"73",x"33",x"11",x"52",x"fe",x"2d",x"06",x"38",x"76",x"38",x"84",x"51",x"8a",x"87",x"2d",x"89",x"8c",x"75",x"86",x"0c",x"76",x"51",x"ff",x"3d",x"11",x"33",x"71",x"83",x"72",x"84",x"07",x"57",x"88",x"2d",x"8a",x"c4",x"53",x"81",x"06",x"71",x"84",x"80",x"84",x"0d",x"0d",x"88",x"81",x"71",x"f4",x"51",x"72",x"2d",x"84",x"fe",x"0b",x"8a",x"81",x"2d",x"8f",x"81",x"51",x"ff",x"ff",x"06",x"89",x"0d",x"0d",x"c4",x"2d",x"8a",x"c0",x"52",x"81",x"80",x"9c",x"72",x"fe",x"cc",x"2a",x"2d",x"88",x"c0",x"08",x"2d",x"88",x"c0",x"2d",x"04",x"81",x"0c",x"90",x"51",x"82",x"80",x"0b",x"8b",x"51",x"82",x"fd",x"c0",x"54",x"d4",x"2d",x"52",x"2d",x"10",x"84",x"84",x"52",x"a1",x"9f",x"14",x"8b",x"85",x"2d",x"04",x"80",x"84",x"8b",x"9a",x"0c",x"80",x"80",x"80",x"83",x"74",x"2d",x"fe",x"2d",x"74",x"3d",x"ad",x"0b",x"80",x"0c",x"b7",x"0c",x"b0",x"80",x"80",x"a4",x"ff",x"72",x"53",x"80",x"08",x"72",x"a8",x"71",x"53",x"71",x"8c",x"0c",x"8c",x"97",x"0c",x"80",x"84",x"80",x"8b",x"88",x"dc",x"0c",x"90",x"c0",x"70",x"fe",x"2d",x"fe",x"2d",x"71",x"2d",x"3d",x"83",x"8b",x"08",x"2e",x"08",x"80",x"08",x"81",x"82",x"38",x"89",x"88",x"54",x"3d",x"e0",x"72",x"57",x"88",x"c6",x"80",x"81",x"38",x"ff",x"81",x"ff",x"59",x"76",x"97",x"78",x"82",x"8b",x"ff",x"fe",x"78",x"38",x"80",x"58",x"33",x"81",x"73",x"ff",x"54",x"05",x"33",x"2b",x"53",x"52",x"09",x"c3",x"53",x"fe",x"10",x"05",x"08",x"2d",x"81",x"39",x"88",x"90",x"08",x"90",x"8a",x"80",x"82",x"ff",x"52",x"d5",x"0d",x"f8",x"04",x"0d",x"fb",x"79",x"56",x"ab",x"24",x"53",x"51",x"88",x"80",x"88",x"73",x"3d",x"30",x"57",x"74",x"56",x"d2",x"fa",x"7a",x"57",x"a4",x"2c",x"75",x"31",x"9b",x"54",x"85",x"30",x"0c",x"04",x"81",x"fc",x"78",x"53",x"26",x"80",x"70",x"38",x"a4",x"73",x"26",x"72",x"51",x"74",x"0c",x"04",x"72",x"53",x"e6",x"26",x"72",x"07",x"74",x"55",x"39",x"76",x"55",x"8f",x"38",x"83",x"80",x"ff",x"ff",x"72",x"54",x"81",x"ff",x"ff",x"06",x"88",x"0d",x"72",x"54",x"84",x"72",x"54",x"84",x"72",x"54",x"84",x"72",x"54",x"84",x"f0",x"8f",x"83",x"38",x"05",x"70",x"0c",x"71",x"38",x"83",x"0d",x"02",x"05",x"53",x"27",x"83",x"80",x"ff",x"ff",x"73",x"05",x"12",x"2e",x"ef",x"0c",x"04",x"2b",x"71",x"51",x"72",x"72",x"05",x"71",x"53",x"70",x"0c",x"84",x"f0",x"8f",x"83",x"38",x"84",x"fc",x"83",x"70",x"39",x"77",x"07",x"54",x"38",x"08",x"71",x"80",x"75",x"33",x"06",x"80",x"72",x"75",x"06",x"12",x"33",x"06",x"52",x"72",x"81",x"81",x"71",x"52",x"0d",x"70",x"ff",x"f8",x"80",x"51",x"84",x"71",x"54",x"2e",x"75",x"96",x"88",x"0d",x"0d",x"fc",x"52",x"2e",x"2d",x"08",x"ff",x"06",x"3d",x"ea",x"00",x"ff",x"ff",x"00",x"ff",x"09",x"09",x"09",x"07",x"0a",x"0a",x"08",x"09",x"07",x"0a",x"04",x"2f",x"cf",x"0e",x"00",x"00",x"00",x"0f",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); - shared variable RAM2: RAM_TABLE := RAM_TABLE'( -x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"fd",x"83",x"05",x"2b",x"ff",x"00",x"00",x"00",x"fd",x"ff",x"06",x"82",x"2b",x"83",x"0b",x"a7",x"09",x"05",x"06",x"09",x"0a",x"51",x"00",x"00",x"72",x"2e",x"04",x"00",x"00",x"00",x"00",x"00",x"73",x"06",x"72",x"72",x"31",x"06",x"51",x"00",x"72",x"2e",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0a",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"81",x"0b",x"04",x"00",x"00",x"00",x"00",x"72",x"9f",x"74",x"06",x"07",x"00",x"00",x"00",x"71",x"0d",x"83",x"05",x"2b",x"72",x"51",x"00",x"09",x"05",x"05",x"81",x"04",x"00",x"00",x"00",x"09",x"05",x"05",x"09",x"51",x"00",x"00",x"00",x"09",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"fc",x"83",x"05",x"10",x"ff",x"00",x"00",x"00",x"fc",x"0b",x"73",x"10",x"0b",x"a9",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"09",x"06",x"54",x"09",x"ff",x"51",x"00",x"09",x"09",x"81",x"70",x"73",x"05",x"07",x"04",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"9f",x"04",x"00",x"00",x"00",x"00",x"00",x"81",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"84",x"10",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"71",x"0d",x"00",x"00",x"00",x"00",x"00",x"d4",x"3f",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"73",x"73",x"81",x"10",x"07",x"0c",x"3c",x"80",x"ff",x"06",x"52",x"0a",x"38",x"51",x"8c",x"75",x"2d",x"08",x"8c",x"51",x"8c",x"75",x"2d",x"08",x"8c",x"51",x"8c",x"8e",x"0c",x"0c",x"0d",x"9f",x"70",x"b0",x"52",x"2e",x"12",x"70",x"08",x"52",x"81",x"0b",x"83",x"04",x"0b",x"e0",x"8e",x"0b",x"80",x"06",x"3d",x"0b",x"51",x"f6",x"3d",x"ff",x"c4",x"52",x"82",x"06",x"70",x"3d",x"3d",x"80",x"71",x"2a",x"51",x"f3",x"90",x"3d",x"3d",x"80",x"88",x"ff",x"11",x"71",x"38",x"8a",x"a0",x"a0",x"0d",x"8a",x"3d",x"3d",x"ff",x"0a",x"51",x"82",x"ff",x"d0",x"88",x"8a",x"81",x"8a",x"fe",x"2d",x"04",x"80",x"84",x"70",x"51",x"9f",x"71",x"c4",x"e8",x"38",x"0a",x"90",x"8c",x"0a",x"84",x"82",x"80",x"88",x"80",x"84",x"83",x"04",x"73",x"51",x"80",x"70",x"07",x"52",x"04",x"80",x"84",x"fb",x"72",x"83",x"a0",x"80",x"0b",x"99",x"3d",x"8b",x"11",x"80",x"72",x"83",x"88",x"0d",x"0d",x"ff",x"58",x"2e",x"56",x"73",x"88",x"12",x"38",x"74",x"ff",x"52",x"09",x"38",x"04",x"80",x"84",x"0a",x"2d",x"c0",x"70",x"10",x"05",x"05",x"56",x"a1",x"9f",x"17",x"78",x"76",x"ff",x"df",x"08",x"ff",x"ff",x"80",x"53",x"51",x"76",x"2d",x"74",x"38",x"8a",x"39",x"55",x"84",x"89",x"51",x"ff",x"70",x"bf",x"56",x"2d",x"ff",x"0d",x"81",x"0c",x"0a",x"fe",x"0c",x"3d",x"3d",x"2d",x"07",x"2d",x"82",x"fe",x"c0",x"53",x"85",x"73",x"70",x"74",x"8b",x"88",x"0d",x"0d",x"33",x"71",x"29",x"80",x"14",x"80",x"16",x"05",x"86",x"33",x"57",x"55",x"72",x"38",x"05",x"71",x"05",x"13",x"2e",x"e8",x"8e",x"3d",x"3d",x"80",x"84",x"2d",x"82",x"82",x"53",x"2e",x"17",x"72",x"54",x"ff",x"f3",x"33",x"71",x"05",x"54",x"97",x"77",x"17",x"53",x"81",x"74",x"75",x"2d",x"81",x"c0",x"2a",x"2d",x"c0",x"73",x"38",x"33",x"c0",x"54",x"f0",x"2d",x"04",x"79",x"80",x"8c",x"75",x"8b",x"9a",x"70",x"17",x"33",x"29",x"33",x"19",x"85",x"0c",x"80",x"27",x"58",x"38",x"11",x"87",x"0c",x"8b",x"e9",x"81",x"f6",x"54",x"d8",x"2d",x"74",x"2d",x"81",x"c0",x"2d",x"04",x"77",x"16",x"76",x"33",x"74",x"2d",x"fc",x"81",x"12",x"2b",x"07",x"70",x"2b",x"71",x"53",x"52",x"d4",x"51",x"80",x"84",x"70",x"81",x"52",x"73",x"07",x"80",x"3d",x"3d",x"2d",x"08",x"53",x"8a",x"83",x"2d",x"c0",x"2d",x"04",x"80",x"0c",x"81",x"c0",x"53",x"70",x"33",x"2d",x"71",x"81",x"8b",x"3d",x"3d",x"9f",x"f4",x"51",x"80",x"84",x"2d",x"0b",x"80",x"08",x"8b",x"9f",x"90",x"c0",x"08",x"8a",x"cc",x"c0",x"2d",x"8a",x"89",x"0d",x"0d",x"c8",x"83",x"85",x"2d",x"04",x"80",x"0c",x"86",x"2d",x"04",x"80",x"84",x"8e",x"9a",x"74",x"c0",x"08",x"c0",x"70",x"0c",x"84",x"0c",x"88",x"51",x"8a",x"b1",x"0d",x"0d",x"80",x"55",x"8c",x"75",x"c0",x"0c",x"a0",x"53",x"52",x"df",x"8b",x"85",x"2d",x"86",x"ff",x"80",x"0c",x"c4",x"8e",x"80",x"80",x"c4",x"0c",x"80",x"ff",x"70",x"0c",x"c8",x"70",x"06",x"53",x"96",x"05",x"f3",x"9c",x"12",x"0b",x"95",x"12",x"0b",x"80",x"0b",x"0c",x"82",x"80",x"84",x"0b",x"80",x"84",x"8b",x"9a",x"8b",x"9a",x"0c",x"fe",x"8f",x"5a",x"5b",x"88",x"80",x"88",x"2e",x"88",x"2e",x"76",x"bf",x"2e",x"0b",x"32",x"d5",x"fd",x"72",x"17",x"2d",x"78",x"08",x"09",x"b0",x"83",x"0c",x"59",x"80",x"39",x"ff",x"7c",x"59",x"ff",x"ff",x"78",x"53",x"98",x"80",x"55",x"70",x"52",x"73",x"38",x"11",x"ff",x"74",x"88",x"08",x"51",x"2e",x"fe",x"33",x"26",x"72",x"e8",x"70",x"71",x"39",x"a4",x"0d",x"08",x"80",x"2d",x"0c",x"0b",x"0c",x"04",x"80",x"95",x"3d",x"ff",x"9a",x"f8",x"04",x"77",x"80",x"24",x"74",x"80",x"74",x"3f",x"75",x"38",x"54",x"87",x"73",x"32",x"39",x"81",x"25",x"39",x"78",x"80",x"24",x"9f",x"53",x"74",x"51",x"08",x"2e",x"08",x"88",x"0d",x"55",x"39",x"76",x"81",x"73",x"72",x"38",x"a9",x"24",x"10",x"72",x"52",x"73",x"38",x"88",x"0d",x"2a",x"53",x"2e",x"74",x"73",x"74",x"2a",x"55",x"e5",x"0d",x"7b",x"55",x"8c",x"07",x"70",x"38",x"71",x"38",x"05",x"70",x"34",x"71",x"81",x"74",x"3d",x"51",x"05",x"70",x"0c",x"05",x"70",x"0c",x"05",x"70",x"0c",x"05",x"70",x"0c",x"71",x"38",x"95",x"84",x"71",x"53",x"52",x"ed",x"ff",x"3d",x"71",x"9f",x"55",x"72",x"74",x"70",x"38",x"71",x"38",x"81",x"ff",x"ff",x"06",x"88",x"0d",x"88",x"70",x"07",x"8f",x"38",x"84",x"72",x"05",x"71",x"53",x"70",x"0c",x"71",x"38",x"90",x"70",x"0c",x"71",x"38",x"90",x"0d",x"72",x"53",x"93",x"73",x"54",x"2e",x"73",x"71",x"ff",x"70",x"38",x"70",x"81",x"81",x"71",x"ff",x"54",x"38",x"73",x"75",x"71",x"0c",x"3d",x"09",x"fd",x"70",x"81",x"51",x"38",x"16",x"56",x"08",x"73",x"ff",x"0b",x"3d",x"3d",x"0b",x"08",x"ff",x"70",x"70",x"70",x"81",x"83",x"04",x"04",x"ff",x"00",x"ff",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"00",x"7b",x"01",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); - shared variable RAM3: RAM_TABLE := RAM_TABLE'( -x"0b",x"fe",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"df",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"72",x"81",x"83",x"ff",x"04",x"00",x"00",x"71",x"83",x"83",x"05",x"2b",x"73",x"0b",x"83",x"72",x"72",x"09",x"73",x"07",x"53",x"00",x"00",x"72",x"73",x"51",x"00",x"00",x"00",x"00",x"00",x"71",x"71",x"30",x"0a",x"0a",x"81",x"53",x"00",x"72",x"73",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"c3",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"0a",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"09",x"0b",x"05",x"00",x"00",x"00",x"00",x"72",x"73",x"09",x"81",x"06",x"04",x"00",x"00",x"71",x"02",x"73",x"81",x"83",x"07",x"0c",x"00",x"72",x"72",x"81",x"0a",x"51",x"00",x"00",x"00",x"72",x"72",x"81",x"0a",x"53",x"00",x"00",x"00",x"71",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"04",x"00",x"00",x"00",x"00",x"00",x"72",x"73",x"07",x"00",x"00",x"00",x"00",x"00",x"71",x"72",x"81",x"10",x"81",x"04",x"00",x"00",x"71",x"0b",x"dc",x"10",x"06",x"88",x"00",x"00",x"0b",x"f7",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"df",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"81",x"70",x"73",x"05",x"07",x"04",x"72",x"05",x"09",x"05",x"06",x"74",x"06",x"51",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"0b",x"51",x"00",x"00",x"00",x"00",x"00",x"71",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"02",x"10",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"05",x"02",x"00",x"00",x"00",x"00",x"00",x"81",x"ab",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"04",x"06",x"09",x"05",x"2b",x"06",x"04",x"72",x"06",x"72",x"10",x"10",x"ed",x"53",x"08",x"08",x"de",x"88",x"0c",x"0c",x"08",x"08",x"9a",x"88",x"0c",x"0c",x"08",x"08",x"90",x"88",x"3d",x"0b",x"51",x"9f",x"08",x"80",x"84",x"0c",x"b0",x"52",x"38",x"0b",x"34",x"04",x"0d",x"9f",x"2e",x"0b",x"0b",x"81",x"82",x"0b",x"e0",x"0b",x"82",x"04",x"80",x"84",x"70",x"81",x"51",x"83",x"ff",x"c4",x"52",x"81",x"06",x"70",x"82",x"83",x"fe",x"70",x"80",x"81",x"83",x"53",x"92",x"51",x"72",x"8a",x"3d",x"51",x"84",x"80",x"ff",x"d0",x"fe",x"2d",x"04",x"83",x"70",x"52",x"71",x"51",x"80",x"a0",x"0d",x"0d",x"80",x"08",x"51",x"38",x"52",x"9f",x"87",x"e6",x"d0",x"83",x"99",x"90",x"0c",x"04",x"0b",x"80",x"0b",x"80",x"0b",x"0c",x"0d",x"51",x"80",x"08",x"80",x"52",x"0d",x"0d",x"80",x"70",x"06",x"52",x"04",x"a0",x"b8",x"0c",x"ff",x"51",x"90",x"c0",x"80",x"08",x"06",x"3d",x"3d",x"7d",x"57",x"ff",x"80",x"75",x"08",x"ff",x"f3",x"16",x"0c",x"56",x"2e",x"dd",x"0d",x"0d",x"80",x"d0",x"9a",x"8c",x"b8",x"10",x"84",x"84",x"56",x"84",x"0c",x"88",x"70",x"0c",x"ff",x"80",x"88",x"38",x"ff",x"a0",x"08",x"76",x"2d",x"fe",x"55",x"89",x"51",x"ff",x"08",x"a0",x"2e",x"c2",x"2d",x"0a",x"ff",x"0c",x"85",x"2d",x"3d",x"08",x"c4",x"90",x"70",x"72",x"83",x"80",x"f4",x"80",x"c0",x"2d",x"04",x"80",x"84",x"2d",x"80",x"08",x"06",x"52",x"71",x"3d",x"3d",x"11",x"33",x"0a",x"80",x"83",x"82",x"84",x"71",x"05",x"17",x"51",x"53",x"53",x"9a",x"81",x"52",x"81",x"ff",x"ff",x"06",x"51",x"86",x"f9",x"57",x"80",x"9a",x"33",x"71",x"05",x"80",x"85",x"53",x"05",x"0c",x"73",x"17",x"33",x"29",x"80",x"27",x"58",x"73",x"53",x"34",x"74",x"38",x"fe",x"2d",x"8a",x"88",x"c0",x"8a",x"54",x"92",x"70",x"8a",x"14",x"26",x"89",x"0d",x"0d",x"c0",x"55",x"86",x"51",x"8c",x"ad",x"81",x"18",x"80",x"19",x"84",x"0c",x"78",x"53",x"77",x"72",x"c1",x"86",x"0c",x"76",x"51",x"8e",x"08",x"71",x"14",x"26",x"9a",x"0c",x"fe",x"2d",x"8a",x"89",x"0d",x"2d",x"73",x"33",x"11",x"52",x"fe",x"39",x"76",x"82",x"90",x"2b",x"33",x"88",x"33",x"52",x"54",x"8e",x"ff",x"2d",x"80",x"08",x"70",x"51",x"38",x"80",x"80",x"86",x"fe",x"e9",x"88",x"53",x"38",x"81",x"c0",x"8a",x"89",x"0d",x"0d",x"c4",x"2d",x"8a",x"94",x"72",x"54",x"c0",x"52",x"09",x"38",x"84",x"fe",x"0b",x"8a",x"82",x"2d",x"80",x"9a",x"0a",x"80",x"71",x"53",x"72",x"72",x"8a",x"cc",x"51",x"9f",x"8a",x"e9",x"51",x"8b",x"3d",x"3d",x"9f",x"0b",x"0c",x"d4",x"0d",x"0d",x"c8",x"2d",x"d4",x"0d",x"0d",x"80",x"51",x"8c",x"b8",x"8c",x"88",x"91",x"71",x"53",x"80",x"72",x"0b",x"73",x"2d",x"8c",x"3d",x"3d",x"80",x"52",x"2d",x"8b",x"80",x"94",x"0c",x"77",x"0a",x"8c",x"51",x"8a",x"b1",x"0c",x"04",x"0d",x"c8",x"9f",x"0b",x"a0",x"84",x"80",x"84",x"80",x"fb",x"08",x"75",x"80",x"94",x"76",x"53",x"9a",x"84",x"9a",x"53",x"88",x"9b",x"0c",x"90",x"88",x"80",x"81",x"0a",x"2d",x"0b",x"80",x"f2",x"0c",x"80",x"52",x"8c",x"51",x"8c",x"72",x"8b",x"77",x"5a",x"0a",x"2d",x"78",x"38",x"fe",x"38",x"fd",x"38",x"26",x"80",x"80",x"a0",x"80",x"05",x"52",x"81",x"aa",x"53",x"88",x"2e",x"ff",x"57",x"7b",x"5b",x"39",x"9d",x"2e",x"80",x"56",x"27",x"83",x"0c",x"53",x"27",x"dc",x"72",x"15",x"0c",x"53",x"f2",x"75",x"05",x"33",x"72",x"7e",x"55",x"73",x"06",x"74",x"8a",x"38",x"9e",x"52",x"52",x"a9",x"fe",x"3d",x"8c",x"a0",x"70",x"8c",x"81",x"0a",x"0d",x"0d",x"51",x"83",x"80",x"8d",x"ff",x"88",x"0d",x"55",x"75",x"80",x"38",x"52",x"e1",x"54",x"85",x"30",x"0c",x"04",x"81",x"dc",x"55",x"80",x"ec",x"0d",x"55",x"75",x"75",x"81",x"32",x"74",x"88",x"80",x"88",x"73",x"3d",x"30",x"d7",x"0d",x"54",x"74",x"55",x"98",x"2e",x"72",x"71",x"75",x"54",x"38",x"83",x"70",x"3d",x"81",x"2a",x"80",x"71",x"38",x"75",x"81",x"2a",x"54",x"3d",x"79",x"55",x"27",x"75",x"51",x"a7",x"52",x"98",x"81",x"74",x"56",x"52",x"09",x"38",x"86",x"74",x"84",x"71",x"53",x"84",x"71",x"53",x"84",x"71",x"53",x"84",x"71",x"53",x"52",x"c9",x"27",x"70",x"08",x"05",x"12",x"26",x"54",x"fc",x"79",x"05",x"57",x"83",x"38",x"51",x"a2",x"52",x"93",x"70",x"34",x"71",x"81",x"74",x"3d",x"74",x"07",x"2b",x"51",x"a5",x"70",x"0c",x"84",x"72",x"05",x"71",x"53",x"52",x"dd",x"27",x"71",x"53",x"52",x"f2",x"ff",x"3d",x"70",x"06",x"70",x"73",x"56",x"08",x"38",x"52",x"81",x"54",x"9d",x"55",x"09",x"38",x"14",x"81",x"56",x"e5",x"55",x"06",x"06",x"88",x"87",x"71",x"fb",x"06",x"82",x"51",x"97",x"84",x"54",x"75",x"38",x"52",x"80",x"87",x"ff",x"d4",x"70",x"70",x"38",x"12",x"52",x"09",x"38",x"04",x"3f",x"00",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"01",x"00",x"05",x"a4",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); -signal rwea: std_logic_vector(3 downto 0); -signal rweb: std_logic_vector(3 downto 0); -signal memaread0: std_logic_vector(7 downto 0); -signal membread0: std_logic_vector(7 downto 0); -signal memaread1: std_logic_vector(7 downto 0); -signal membread1: std_logic_vector(7 downto 0); -signal memaread2: std_logic_vector(7 downto 0); -signal membread2: std_logic_vector(7 downto 0); -signal memaread3: std_logic_vector(7 downto 0); -signal membread3: std_logic_vector(7 downto 0); - -begin - rwea(0) <= WEA and MASKA(0); - rweb(0) <= WEB and MASKB(0); - rwea(1) <= WEA and MASKA(1); - rweb(1) <= WEB and MASKB(1); - rwea(2) <= WEA and MASKA(2); - rweb(2) <= WEB and MASKB(2); - rwea(3) <= WEA and MASKA(3); - rweb(3) <= WEB and MASKB(3); -DOA(7 downto 0) <= memaread0; -DOB(7 downto 0) <= membread0; -DOA(15 downto 8) <= memaread1; -DOB(15 downto 8) <= membread1; -DOA(23 downto 16) <= memaread2; -DOB(23 downto 16) <= membread2; -DOA(31 downto 24) <= memaread3; -DOB(31 downto 24) <= membread3; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(0)='1' then - RAM0( conv_integer(ADDRA) ) := DIA(7 downto 0); - end if; - memaread0 <= RAM0(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(0)='1' then - RAM0( conv_integer(ADDRB) ) := DIB(7 downto 0); - end if; - membread0 <= RAM0(conv_integer(ADDRB)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(1)='1' then - RAM1( conv_integer(ADDRA) ) := DIA(15 downto 8); - end if; - memaread1 <= RAM1(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(1)='1' then - RAM1( conv_integer(ADDRB) ) := DIB(15 downto 8); - end if; - membread1 <= RAM1(conv_integer(ADDRB)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(2)='1' then - RAM2( conv_integer(ADDRA) ) := DIA(23 downto 16); - end if; - memaread2 <= RAM2(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(2)='1' then - RAM2( conv_integer(ADDRB) ) := DIB(23 downto 16); - end if; - membread2 <= RAM2(conv_integer(ADDRB)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(3)='1' then - RAM3( conv_integer(ADDRA) ) := DIA(31 downto 24); - end if; - memaread3 <= RAM3(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(3)='1' then - RAM3( conv_integer(ADDRB) ) := DIB(31 downto 24); - end if; - membread3 <= RAM3(conv_integer(ADDRB)) ; - end if; - end if; - end process; -end behave; +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use ieee.numeric_std.all; + +entity prom_generic_dualport is + port ( + CLK: in std_logic; + WEA: in std_logic; + ENA: in std_logic; + MASKA: in std_logic_vector(3 downto 0); + ADDRA: in std_logic_vector(13 downto 2); + DIA: in std_logic_vector(31 downto 0); + DOA: out std_logic_vector(31 downto 0); + WEB: in std_logic; + ENB: in std_logic; + ADDRB: in std_logic_vector(13 downto 2); + DIB: in std_logic_vector(31 downto 0); + MASKB: in std_logic_vector(3 downto 0); + DOB: out std_logic_vector(31 downto 0) + ); +end entity prom_generic_dualport; + +architecture behave of prom_generic_dualport is + + subtype RAM_WORD is STD_LOGIC_VECTOR (7 downto 0); + type RAM_TABLE is array (0 to 4095) of RAM_WORD; + shared variable RAM0: RAM_TABLE := RAM_TABLE'( +x"98",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"98",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"08",x"09",x"05",x"83",x"52",x"00",x"00",x"00",x"08",x"73",x"81",x"83",x"06",x"ff",x"0b",x"00",x"05",x"73",x"06",x"06",x"06",x"00",x"00",x"00",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"06",x"10",x"10",x"0a",x"51",x"00",x"00",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"2b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"0b",x"a6",x"00",x"00",x"00",x"00",x"00",x"ff",x"2a",x"0a",x"05",x"51",x"00",x"00",x"00",x"51",x"06",x"09",x"05",x"2b",x"06",x"04",x"00",x"05",x"70",x"06",x"53",x"00",x"00",x"00",x"00",x"05",x"70",x"06",x"06",x"00",x"00",x"00",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"04",x"00",x"00",x"00",x"00",x"00",x"08",x"09",x"05",x"2a",x"52",x"00",x"00",x"00",x"08",x"9e",x"06",x"08",x"0b",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"0a",x"05",x"06",x"74",x"06",x"51",x"00",x"81",x"0a",x"ff",x"71",x"72",x"05",x"51",x"00",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"0c",x"00",x"00",x"00",x"00",x"00",x"00",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"95",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"51",x"ff",x"06",x"83",x"10",x"fc",x"51",x"72",x"81",x"09",x"71",x"0a",x"72",x"51",x"88",x"90",x"99",x"50",x"90",x"88",x"88",x"90",x"98",x"50",x"90",x"88",x"88",x"90",x"2d",x"0c",x"ff",x"0b",x"33",x"38",x"70",x"70",x"38",x"e8",x"9e",x"08",x"f0",x"0b",x"ec",x"0d",x"3d",x"0b",x"80",x"0b",x"80",x"09",x"38",x"04",x"9f",x"0b",x"3f",x"04",x"0d",x"80",x"08",x"70",x"51",x"38",x"04",x"80",x"84",x"70",x"81",x"51",x"73",x"0c",x"04",x"74",x"80",x"70",x"ff",x"51",x"26",x"fd",x"2d",x"51",x"51",x"84",x"80",x"ff",x"d0",x"fe",x"2d",x"04",x"83",x"70",x"52",x"71",x"51",x"80",x"a0",x"0d",x"af",x"80",x"80",x"80",x"9f",x"0a",x"3d",x"08",x"c8",x"70",x"80",x"0c",x"3d",x"3d",x"80",x"08",x"ff",x"52",x"0d",x"0b",x"9e",x"84",x"2d",x"73",x"0c",x"91",x"0c",x"70",x"ff",x"83",x"fa",x"7a",x"57",x"73",x"38",x"52",x"72",x"0c",x"71",x"84",x"72",x"56",x"ff",x"06",x"3d",x"3d",x"80",x"83",x"8b",x"51",x"9e",x"08",x"c0",x"70",x"0c",x"80",x"75",x"0b",x"80",x"77",x"83",x"56",x"0b",x"83",x"83",x"0c",x"88",x"52",x"9f",x"8b",x"08",x"2e",x"c3",x"2d",x"84",x"fa",x"81",x"80",x"a0",x"90",x"70",x"72",x"8a",x"f1",x"0d",x"81",x"0c",x"0a",x"fe",x"0c",x"3d",x"3d",x"2d",x"07",x"2d",x"82",x"fe",x"c0",x"53",x"85",x"73",x"70",x"74",x"8b",x"88",x"0d",x"0d",x"33",x"71",x"29",x"80",x"14",x"80",x"16",x"05",x"86",x"33",x"53",x"53",x"72",x"38",x"05",x"71",x"05",x"39",x"92",x"0d",x"0d",x"c0",x"56",x"81",x"18",x"80",x"53",x"94",x"72",x"70",x"33",x"14",x"38",x"84",x"82",x"56",x"73",x"38",x"76",x"76",x"71",x"14",x"26",x"51",x"8a",x"84",x"2d",x"51",x"74",x"2d",x"75",x"76",x"52",x"2d",x"ee",x"2d",x"04",x"79",x"80",x"8b",x"75",x"8b",x"da",x"70",x"17",x"33",x"29",x"33",x"19",x"85",x"0c",x"80",x"27",x"58",x"87",x"2d",x"73",x"33",x"11",x"52",x"be",x"2d",x"06",x"38",x"76",x"38",x"84",x"51",x"8a",x"87",x"2d",x"89",x"fc",x"81",x"12",x"2b",x"07",x"70",x"2b",x"71",x"53",x"52",x"92",x"51",x"80",x"84",x"70",x"81",x"52",x"73",x"07",x"80",x"3d",x"3d",x"2d",x"08",x"53",x"8a",x"83",x"2d",x"c0",x"2d",x"04",x"80",x"0c",x"81",x"c0",x"53",x"70",x"33",x"2d",x"71",x"81",x"8b",x"3d",x"3d",x"9e",x"ef",x"51",x"80",x"84",x"2d",x"0b",x"80",x"08",x"8b",x"9f",x"90",x"c0",x"08",x"8a",x"84",x"c0",x"2d",x"8a",x"84",x"0d",x"0d",x"80",x"83",x"85",x"2d",x"04",x"80",x"0c",x"86",x"2d",x"04",x"80",x"84",x"8e",x"da",x"74",x"80",x"08",x"c0",x"70",x"0c",x"84",x"0c",x"88",x"51",x"8a",x"f1",x"0d",x"80",x"55",x"8b",x"75",x"c0",x"0c",x"a0",x"53",x"52",x"9f",x"8b",x"85",x"2d",x"0d",x"80",x"9e",x"0b",x"a0",x"80",x"84",x"b0",x"c8",x"53",x"73",x"06",x"54",x"80",x"70",x"0c",x"70",x"70",x"0c",x"0c",x"0b",x"9c",x"12",x"0b",x"53",x"d0",x"0c",x"53",x"8b",x"88",x"dc",x"0c",x"90",x"c0",x"70",x"be",x"2d",x"be",x"2d",x"71",x"2d",x"75",x"41",x"83",x"78",x"06",x"9d",x"08",x"38",x"52",x"27",x"7e",x"90",x"c4",x"0a",x"80",x"38",x"2e",x"80",x"80",x"80",x"56",x"27",x"83",x"0c",x"53",x"27",x"dc",x"72",x"13",x"0c",x"53",x"f2",x"70",x"05",x"33",x"72",x"7f",x"55",x"73",x"06",x"74",x"8a",x"38",x"9e",x"52",x"52",x"d3",x"fd",x"06",x"5b",x"76",x"9e",x"2e",x"73",x"5b",x"77",x"05",x"34",x"fe",x"5a",x"72",x"09",x"93",x"83",x"0c",x"5a",x"80",x"08",x"08",x"51",x"0c",x"0c",x"d0",x"3d",x"3d",x"80",x"2d",x"04",x"0d",x"80",x"a0",x"3d",x"55",x"75",x"38",x"9d",x"73",x"80",x"08",x"2e",x"08",x"88",x"0d",x"76",x"54",x"30",x"73",x"38",x"3d",x"57",x"76",x"38",x"54",x"74",x"52",x"3f",x"76",x"38",x"54",x"88",x"74",x"57",x"3d",x"53",x"80",x"52",x"2e",x"80",x"80",x"38",x"10",x"53",x"ea",x"78",x"51",x"86",x"72",x"81",x"72",x"38",x"ef",x"31",x"74",x"81",x"56",x"fc",x"70",x"55",x"72",x"72",x"06",x"2e",x"12",x"2e",x"70",x"33",x"05",x"12",x"2e",x"ea",x"0c",x"04",x"70",x"08",x"05",x"70",x"08",x"05",x"70",x"08",x"05",x"70",x"08",x"05",x"12",x"26",x"72",x"72",x"54",x"84",x"fc",x"83",x"70",x"39",x"76",x"8c",x"33",x"55",x"8a",x"06",x"2e",x"12",x"2e",x"73",x"55",x"52",x"09",x"38",x"86",x"74",x"75",x"90",x"54",x"27",x"71",x"53",x"70",x"0c",x"84",x"72",x"05",x"12",x"26",x"72",x"72",x"05",x"12",x"26",x"53",x"fb",x"79",x"83",x"52",x"71",x"54",x"73",x"c4",x"54",x"70",x"52",x"2e",x"33",x"2e",x"95",x"81",x"70",x"54",x"70",x"33",x"ff",x"ff",x"31",x"52",x"04",x"f7",x"14",x"84",x"06",x"70",x"14",x"08",x"71",x"dc",x"54",x"39",x"0c",x"04",x"9f",x"05",x"52",x"91",x"fc",x"52",x"2e",x"f1",x"0d",x"8f",x"00",x"ff",x"ff",x"ff",x"00",x"3c",x"6e",x"16",x"a1",x"c5",x"dc",x"34",x"c3",x"4d",x"f0",x"60",x"80",x"00",x"01",x"00",x"00",x"00",x"94",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); + shared variable RAM1: RAM_TABLE := RAM_TABLE'( +x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"82",x"2a",x"06",x"00",x"00",x"00",x"06",x"ff",x"09",x"05",x"09",x"ff",x"0b",x"04",x"81",x"73",x"09",x"73",x"81",x"04",x"00",x"00",x"24",x"07",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"81",x"0a",x"0a",x"05",x"51",x"04",x"00",x"26",x"07",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"9f",x"05",x"88",x"00",x"00",x"00",x"00",x"00",x"2a",x"06",x"09",x"ff",x"53",x"00",x"00",x"00",x"53",x"04",x"06",x"82",x"0b",x"fc",x"51",x"00",x"81",x"09",x"09",x"06",x"00",x"00",x"00",x"00",x"81",x"09",x"09",x"81",x"04",x"00",x"00",x"00",x"81",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"09",x"51",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"83",x"10",x"06",x"00",x"00",x"00",x"06",x"0b",x"83",x"05",x"0b",x"04",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"70",x"06",x"ff",x"71",x"72",x"05",x"51",x"00",x"70",x"06",x"06",x"54",x"09",x"ff",x"51",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"dc",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"53",x"04",x"00",x"00",x"00",x"00",x"00",x"3f",x"04",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"53",x"81",x"83",x"05",x"10",x"72",x"51",x"04",x"72",x"05",x"05",x"72",x"53",x"51",x"04",x"08",x"75",x"50",x"56",x"0c",x"04",x"08",x"75",x"50",x"56",x"0c",x"04",x"08",x"f5",x"8c",x"04",x"0b",x"ec",x"a6",x"08",x"52",x"92",x"9e",x"2d",x"70",x"70",x"0b",x"9e",x"3d",x"80",x"0b",x"08",x"38",x"0b",x"2e",x"85",x"0d",x"0b",x"0b",x"81",x"0d",x"3d",x"80",x"71",x"2a",x"51",x"f3",x"0d",x"0d",x"80",x"08",x"70",x"51",x"38",x"0a",x"0d",x"0d",x"dc",x"0c",x"06",x"54",x"81",x"80",x"a0",x"32",x"72",x"2d",x"04",x"83",x"83",x"80",x"a0",x"0d",x"0d",x"08",x"52",x"2d",x"06",x"2d",x"8a",x"3d",x"e7",x"cc",x"0c",x"cc",x"0c",x"90",x"ff",x"70",x"80",x"84",x"84",x"72",x"83",x"ff",x"c8",x"70",x"ff",x"0c",x"3d",x"90",x"0c",x"a0",x"cb",x"0d",x"71",x"52",x"72",x"0c",x"ff",x"0c",x"04",x"78",x"1e",x"53",x"a7",x"84",x"0c",x"18",x"52",x"74",x"08",x"16",x"73",x"81",x"88",x"f8",x"c0",x"57",x"59",x"76",x"2d",x"88",x"91",x"71",x"53",x"fb",x"ad",x"cc",x"0c",x"0c",x"08",x"06",x"80",x"27",x"39",x"79",x"54",x"78",x"8c",x"51",x"78",x"76",x"80",x"a0",x"a0",x"74",x"9c",x"38",x"8a",x"39",x"08",x"06",x"56",x"8b",x"3d",x"08",x"fc",x"90",x"70",x"72",x"83",x"80",x"ef",x"80",x"c0",x"2d",x"04",x"80",x"84",x"2d",x"80",x"08",x"06",x"52",x"71",x"3d",x"3d",x"11",x"33",x"0a",x"80",x"83",x"82",x"84",x"71",x"05",x"17",x"53",x"55",x"53",x"91",x"81",x"52",x"81",x"e9",x"8e",x"3d",x"3d",x"80",x"84",x"2d",x"82",x"82",x"53",x"2e",x"17",x"72",x"54",x"ff",x"f3",x"33",x"71",x"05",x"54",x"97",x"77",x"14",x"53",x"81",x"74",x"75",x"2d",x"81",x"c0",x"2a",x"2d",x"c0",x"73",x"38",x"33",x"c0",x"54",x"84",x"0d",x"0d",x"c0",x"55",x"86",x"51",x"8b",x"ad",x"81",x"18",x"80",x"19",x"84",x"0c",x"78",x"53",x"77",x"72",x"2e",x"da",x"0c",x"11",x"87",x"0c",x"8b",x"a7",x"81",x"f6",x"54",x"d1",x"2d",x"74",x"2d",x"81",x"c0",x"2d",x"04",x"76",x"82",x"90",x"2b",x"33",x"88",x"33",x"52",x"54",x"8e",x"ff",x"2d",x"80",x"08",x"70",x"51",x"38",x"80",x"80",x"86",x"fe",x"a7",x"88",x"53",x"38",x"81",x"c0",x"8a",x"84",x"0d",x"0d",x"fc",x"2d",x"8a",x"cc",x"72",x"54",x"c0",x"52",x"09",x"38",x"84",x"fe",x"0b",x"8a",x"82",x"2d",x"80",x"da",x"0a",x"80",x"71",x"53",x"72",x"72",x"8a",x"84",x"51",x"9f",x"8a",x"a7",x"51",x"8b",x"3d",x"3d",x"9f",x"0b",x"0c",x"92",x"0d",x"0d",x"80",x"2d",x"92",x"0d",x"0d",x"80",x"51",x"8b",x"f0",x"8c",x"88",x"91",x"71",x"53",x"80",x"72",x"0b",x"73",x"2d",x"8b",x"3d",x"80",x"52",x"2d",x"8b",x"80",x"94",x"0c",x"77",x"0a",x"8c",x"51",x"8a",x"f1",x"3d",x"9f",x"0b",x"80",x"0b",x"57",x"80",x"80",x"80",x"a4",x"ff",x"72",x"53",x"80",x"08",x"72",x"a8",x"71",x"53",x"71",x"c4",x"0c",x"8c",x"b1",x"0c",x"80",x"84",x"0a",x"0c",x"82",x"80",x"84",x"0b",x"80",x"84",x"8b",x"da",x"8b",x"da",x"0c",x"be",x"76",x"41",x"5b",x"5c",x"81",x"71",x"80",x"f0",x"08",x"72",x"72",x"83",x"98",x"90",x"79",x"b4",x"fe",x"06",x"76",x"38",x"58",x"77",x"38",x"7c",x"18",x"72",x"80",x"88",x"74",x"79",x"13",x"26",x"16",x"75",x"70",x"70",x"07",x"51",x"71",x"81",x"38",x"72",x"e4",x"10",x"75",x"51",x"fe",x"80",x"81",x"81",x"39",x"26",x"80",x"80",x"54",x"3d",x"e0",x"72",x"57",x"80",x"39",x"2e",x"fe",x"57",x"7c",x"5c",x"39",x"88",x"90",x"08",x"90",x"8a",x"80",x"82",x"ff",x"52",x"e8",x"0d",x"f8",x"04",x"0d",x"fb",x"79",x"56",x"ab",x"24",x"53",x"51",x"88",x"80",x"88",x"73",x"3d",x"30",x"57",x"74",x"56",x"d2",x"fa",x"7a",x"57",x"a4",x"2c",x"75",x"31",x"9b",x"54",x"85",x"30",x"0c",x"04",x"81",x"fc",x"78",x"53",x"26",x"80",x"70",x"38",x"a4",x"73",x"26",x"72",x"51",x"74",x"0c",x"04",x"72",x"53",x"e6",x"26",x"72",x"07",x"74",x"55",x"39",x"76",x"55",x"8f",x"38",x"83",x"80",x"ff",x"ff",x"72",x"54",x"81",x"ff",x"ff",x"06",x"88",x"0d",x"72",x"54",x"84",x"72",x"54",x"84",x"72",x"54",x"84",x"72",x"54",x"84",x"f0",x"8f",x"83",x"38",x"05",x"70",x"0c",x"71",x"38",x"83",x"0d",x"02",x"05",x"53",x"27",x"83",x"80",x"ff",x"ff",x"73",x"05",x"12",x"2e",x"ef",x"0c",x"04",x"2b",x"71",x"51",x"72",x"72",x"05",x"71",x"53",x"70",x"0c",x"84",x"f0",x"8f",x"83",x"38",x"84",x"fc",x"83",x"70",x"39",x"77",x"07",x"54",x"38",x"08",x"71",x"80",x"75",x"33",x"06",x"80",x"72",x"75",x"06",x"12",x"33",x"06",x"52",x"72",x"81",x"81",x"71",x"52",x"0d",x"70",x"ff",x"f8",x"80",x"51",x"84",x"71",x"54",x"2e",x"75",x"96",x"88",x"0d",x"0d",x"fc",x"52",x"2e",x"2d",x"08",x"ff",x"06",x"3d",x"eb",x"00",x"ff",x"ff",x"00",x"ff",x"09",x"09",x"09",x"07",x"09",x"09",x"08",x"08",x"07",x"09",x"04",x"2f",x"cf",x"0e",x"00",x"00",x"00",x"0f",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); + shared variable RAM2: RAM_TABLE := RAM_TABLE'( +x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"fd",x"83",x"05",x"2b",x"ff",x"00",x"00",x"00",x"fd",x"ff",x"06",x"82",x"2b",x"83",x"0b",x"a7",x"09",x"05",x"06",x"09",x"0a",x"51",x"00",x"00",x"72",x"2e",x"04",x"00",x"00",x"00",x"00",x"00",x"73",x"06",x"72",x"72",x"31",x"06",x"51",x"00",x"72",x"2e",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0a",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"81",x"0b",x"04",x"00",x"00",x"00",x"00",x"72",x"9f",x"74",x"06",x"07",x"00",x"00",x"00",x"71",x"0d",x"83",x"05",x"2b",x"72",x"51",x"00",x"09",x"05",x"05",x"81",x"04",x"00",x"00",x"00",x"09",x"05",x"05",x"09",x"51",x"00",x"00",x"00",x"09",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"fc",x"83",x"05",x"10",x"ff",x"00",x"00",x"00",x"fc",x"0b",x"73",x"10",x"0b",x"a9",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"09",x"06",x"54",x"09",x"ff",x"51",x"00",x"09",x"09",x"81",x"70",x"73",x"05",x"07",x"04",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"9e",x"04",x"00",x"00",x"00",x"00",x"00",x"81",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"84",x"10",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"71",x"0d",x"00",x"00",x"00",x"00",x"00",x"d4",x"3f",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"73",x"73",x"81",x"10",x"07",x"0c",x"3c",x"80",x"ff",x"06",x"52",x"0a",x"38",x"51",x"8c",x"75",x"2d",x"08",x"8c",x"51",x"8c",x"75",x"2d",x"08",x"8c",x"51",x"8c",x"8d",x"0c",x"0c",x"0d",x"9e",x"70",x"e8",x"52",x"2e",x"12",x"70",x"08",x"52",x"81",x"0b",x"83",x"04",x"0b",x"98",x"8e",x"0b",x"80",x"06",x"3d",x"0b",x"51",x"f6",x"3d",x"ff",x"c4",x"52",x"82",x"06",x"70",x"3d",x"3d",x"80",x"71",x"2a",x"51",x"f3",x"90",x"3d",x"3d",x"80",x"88",x"ff",x"11",x"71",x"38",x"8a",x"a0",x"39",x"a0",x"0d",x"0d",x"0b",x"0c",x"8a",x"3d",x"3d",x"0a",x"2a",x"c0",x"ff",x"c0",x"51",x"83",x"82",x"80",x"88",x"80",x"84",x"83",x"04",x"73",x"51",x"80",x"70",x"07",x"52",x"04",x"80",x"84",x"fb",x"72",x"83",x"a0",x"80",x"0b",x"98",x"3d",x"8b",x"11",x"80",x"72",x"83",x"88",x"0d",x"0d",x"ff",x"58",x"2e",x"56",x"73",x"88",x"12",x"38",x"74",x"ff",x"52",x"09",x"38",x"04",x"80",x"84",x"0a",x"2d",x"80",x"70",x"10",x"05",x"05",x"56",x"a1",x"9e",x"17",x"78",x"76",x"ff",x"df",x"08",x"ff",x"ff",x"80",x"53",x"51",x"76",x"2d",x"74",x"38",x"8a",x"39",x"55",x"84",x"89",x"51",x"ff",x"70",x"bf",x"56",x"2d",x"ff",x"fc",x"9e",x"83",x"08",x"06",x"52",x"04",x"8a",x"81",x"8a",x"84",x"0d",x"0d",x"80",x"da",x"0c",x"72",x"ff",x"51",x"2d",x"84",x"fc",x"81",x"12",x"80",x"84",x"05",x"70",x"12",x"52",x"80",x"85",x"52",x"57",x"13",x"2e",x"70",x"33",x"70",x"34",x"51",x"86",x"f9",x"57",x"80",x"da",x"33",x"71",x"05",x"80",x"85",x"53",x"05",x"0c",x"73",x"17",x"33",x"29",x"80",x"27",x"58",x"76",x"53",x"34",x"74",x"38",x"be",x"2d",x"8a",x"88",x"c0",x"8a",x"54",x"8f",x"70",x"8a",x"14",x"8b",x"3d",x"3d",x"80",x"84",x"2d",x"74",x"2d",x"81",x"0c",x"82",x"82",x"83",x"0c",x"78",x"33",x"53",x"73",x"38",x"80",x"8b",x"75",x"86",x"0c",x"76",x"51",x"8e",x"08",x"71",x"14",x"26",x"da",x"0c",x"be",x"2d",x"8a",x"84",x"0d",x"0d",x"33",x"71",x"88",x"14",x"07",x"16",x"51",x"57",x"51",x"81",x"a0",x"80",x"72",x"2a",x"51",x"f3",x"80",x"c4",x"0c",x"04",x"8e",x"08",x"06",x"f3",x"2d",x"8a",x"51",x"8b",x"3d",x"3d",x"9e",x"ef",x"51",x"9e",x"52",x"05",x"8a",x"12",x"2e",x"ec",x"2d",x"04",x"80",x"0c",x"81",x"c0",x"80",x"8b",x"f9",x"c0",x"0c",x"52",x"2d",x"0c",x"51",x"9f",x"2a",x"2d",x"51",x"8e",x"08",x"2d",x"84",x"80",x"0b",x"80",x"0a",x"8e",x"3d",x"3d",x"9f",x"a5",x"8e",x"3d",x"3d",x"80",x"8a",x"2d",x"9e",x"53",x"72",x"10",x"05",x"05",x"fb",x"ad",x"cc",x"0c",x"be",x"2d",x"fc",x"c0",x"70",x"be",x"2d",x"76",x"80",x"75",x"54",x"d0",x"51",x"74",x"2d",x"8b",x"ab",x"0b",x"80",x"0c",x"f5",x"0c",x"80",x"84",x"0c",x"80",x"ff",x"70",x"0c",x"c8",x"70",x"06",x"53",x"ce",x"05",x"ab",x"9b",x"12",x"0b",x"94",x"12",x"0b",x"80",x"d0",x"73",x"2d",x"0b",x"80",x"f2",x"0c",x"80",x"52",x"8b",x"51",x"8b",x"72",x"8b",x"77",x"3d",x"5b",x"0a",x"70",x"52",x"9f",x"72",x"fc",x"e8",x"38",x"72",x"0c",x"82",x"53",x"81",x"80",x"81",x"38",x"c1",x"78",x"82",x"b5",x"ff",x"fe",x"79",x"38",x"80",x"58",x"33",x"81",x"73",x"ff",x"54",x"05",x"33",x"2b",x"53",x"52",x"09",x"ed",x"53",x"fe",x"10",x"05",x"08",x"2d",x"72",x"09",x"38",x"c5",x"9f",x"7a",x"38",x"32",x"d7",x"fd",x"72",x"17",x"39",x"9d",x"fe",x"06",x"79",x"ff",x"77",x"85",x"0d",x"08",x"80",x"2d",x"0c",x"0b",x"0c",x"04",x"80",x"94",x"3d",x"ff",x"da",x"f8",x"04",x"77",x"80",x"24",x"74",x"80",x"74",x"3f",x"75",x"38",x"54",x"87",x"73",x"32",x"39",x"81",x"25",x"39",x"78",x"80",x"24",x"9f",x"53",x"74",x"51",x"08",x"2e",x"08",x"88",x"0d",x"55",x"39",x"76",x"81",x"73",x"72",x"38",x"a9",x"24",x"10",x"72",x"52",x"73",x"38",x"88",x"0d",x"2a",x"53",x"2e",x"74",x"73",x"74",x"2a",x"55",x"e5",x"0d",x"7b",x"55",x"8c",x"07",x"70",x"38",x"71",x"38",x"05",x"70",x"34",x"71",x"81",x"74",x"3d",x"51",x"05",x"70",x"0c",x"05",x"70",x"0c",x"05",x"70",x"0c",x"05",x"70",x"0c",x"71",x"38",x"95",x"84",x"71",x"53",x"52",x"ed",x"ff",x"3d",x"71",x"9f",x"55",x"72",x"74",x"70",x"38",x"71",x"38",x"81",x"ff",x"ff",x"06",x"88",x"0d",x"88",x"70",x"07",x"8f",x"38",x"84",x"72",x"05",x"71",x"53",x"70",x"0c",x"71",x"38",x"90",x"70",x"0c",x"71",x"38",x"90",x"0d",x"72",x"53",x"93",x"73",x"54",x"2e",x"73",x"71",x"ff",x"70",x"38",x"70",x"81",x"81",x"71",x"ff",x"54",x"38",x"73",x"75",x"71",x"0c",x"3d",x"09",x"fd",x"70",x"81",x"51",x"38",x"16",x"56",x"08",x"73",x"ff",x"0b",x"3d",x"3d",x"0b",x"08",x"ff",x"70",x"70",x"70",x"81",x"83",x"04",x"04",x"ff",x"00",x"ff",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"00",x"7b",x"01",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); + shared variable RAM3: RAM_TABLE := RAM_TABLE'( +x"0b",x"b6",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"97",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"72",x"81",x"83",x"ff",x"04",x"00",x"00",x"71",x"83",x"83",x"05",x"2b",x"73",x"0b",x"83",x"72",x"72",x"09",x"73",x"07",x"53",x"00",x"00",x"72",x"73",x"51",x"00",x"00",x"00",x"00",x"00",x"71",x"71",x"30",x"0a",x"0a",x"81",x"53",x"00",x"72",x"73",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"c3",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"0a",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"09",x"0b",x"05",x"00",x"00",x"00",x"00",x"72",x"73",x"09",x"81",x"06",x"04",x"00",x"00",x"71",x"02",x"73",x"81",x"83",x"07",x"0c",x"00",x"72",x"72",x"81",x"0a",x"51",x"00",x"00",x"00",x"72",x"72",x"81",x"0a",x"53",x"00",x"00",x"00",x"71",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"04",x"00",x"00",x"00",x"00",x"00",x"72",x"73",x"07",x"00",x"00",x"00",x"00",x"00",x"71",x"72",x"81",x"10",x"81",x"04",x"00",x"00",x"71",x"0b",x"94",x"10",x"06",x"88",x"00",x"00",x"0b",x"f7",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"df",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"81",x"70",x"73",x"05",x"07",x"04",x"72",x"05",x"09",x"05",x"06",x"74",x"06",x"51",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"0b",x"51",x"00",x"00",x"00",x"00",x"00",x"71",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"02",x"10",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"05",x"02",x"00",x"00",x"00",x"00",x"00",x"81",x"e3",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"04",x"06",x"09",x"05",x"2b",x"06",x"04",x"72",x"06",x"72",x"10",x"10",x"ed",x"53",x"08",x"08",x"96",x"88",x"0c",x"0c",x"08",x"08",x"d2",x"88",x"0c",x"0c",x"08",x"08",x"90",x"88",x"3d",x"0b",x"51",x"9e",x"08",x"80",x"84",x"0c",x"e8",x"52",x"38",x"0b",x"34",x"04",x"0d",x"9f",x"2e",x"0b",x"0b",x"81",x"82",x"0b",x"98",x"0b",x"82",x"04",x"80",x"84",x"70",x"81",x"51",x"83",x"ff",x"c4",x"52",x"81",x"06",x"70",x"82",x"83",x"fe",x"70",x"80",x"81",x"83",x"53",x"8d",x"51",x"72",x"83",x"8a",x"3d",x"3d",x"ff",x"0a",x"51",x"82",x"ff",x"d0",x"88",x"8a",x"81",x"8a",x"fe",x"2d",x"04",x"0b",x"80",x"0b",x"80",x"0b",x"0c",x"0d",x"51",x"80",x"08",x"80",x"52",x"0d",x"0d",x"80",x"70",x"06",x"52",x"04",x"a0",x"f0",x"0c",x"ff",x"51",x"90",x"c0",x"80",x"08",x"06",x"3d",x"3d",x"7d",x"57",x"ff",x"80",x"75",x"08",x"ff",x"f3",x"16",x"0c",x"56",x"2e",x"dd",x"0d",x"0d",x"80",x"d0",x"da",x"8c",x"f0",x"10",x"84",x"84",x"56",x"84",x"0c",x"88",x"70",x"0c",x"ff",x"80",x"88",x"38",x"ff",x"a0",x"08",x"76",x"2d",x"be",x"55",x"89",x"51",x"ff",x"08",x"a0",x"2e",x"c2",x"2d",x"0a",x"ff",x"0c",x"85",x"2d",x"9e",x"11",x"51",x"70",x"ff",x"52",x"0d",x"0d",x"72",x"51",x"8b",x"3d",x"3d",x"80",x"8b",x"73",x"0c",x"81",x"53",x"be",x"0c",x"04",x"76",x"82",x"81",x"71",x"29",x"33",x"29",x"33",x"a0",x"16",x"57",x"55",x"ff",x"ff",x"73",x"55",x"75",x"57",x"89",x"2d",x"04",x"79",x"80",x"8b",x"17",x"33",x"29",x"71",x"38",x"55",x"81",x"76",x"54",x"83",x"18",x"80",x"52",x"75",x"73",x"0c",x"08",x"73",x"54",x"ed",x"8b",x"ef",x"51",x"74",x"8a",x"51",x"80",x"27",x"14",x"52",x"81",x"39",x"89",x"f9",x"56",x"80",x"da",x"0c",x"be",x"2d",x"76",x"33",x"71",x"05",x"78",x"33",x"19",x"59",x"54",x"b3",x"73",x"38",x"77",x"16",x"76",x"33",x"74",x"2d",x"88",x"52",x"82",x"74",x"8b",x"75",x"8b",x"ef",x"51",x"8b",x"3d",x"3d",x"11",x"33",x"71",x"83",x"72",x"84",x"07",x"57",x"88",x"2d",x"8a",x"c4",x"53",x"81",x"06",x"71",x"84",x"80",x"84",x"0d",x"0d",x"88",x"81",x"71",x"ef",x"51",x"72",x"2d",x"84",x"fe",x"0b",x"8a",x"81",x"2d",x"8f",x"81",x"51",x"ff",x"ff",x"06",x"84",x"0d",x"0d",x"fc",x"2d",x"8a",x"c0",x"52",x"81",x"80",x"9c",x"72",x"be",x"84",x"2a",x"2d",x"88",x"c0",x"08",x"2d",x"88",x"c0",x"2d",x"04",x"81",x"0c",x"90",x"51",x"82",x"80",x"0b",x"8b",x"51",x"82",x"fd",x"c0",x"54",x"92",x"2d",x"52",x"2d",x"10",x"84",x"84",x"52",x"a1",x"9e",x"14",x"8b",x"85",x"2d",x"80",x"84",x"8b",x"da",x"0c",x"80",x"80",x"80",x"83",x"74",x"2d",x"be",x"2d",x"ff",x"80",x"0c",x"fc",x"8d",x"80",x"c4",x"55",x"75",x"80",x"fb",x"08",x"75",x"80",x"94",x"76",x"53",x"99",x"84",x"9a",x"53",x"88",x"d3",x"0c",x"90",x"88",x"80",x"80",x"81",x"a5",x"88",x"80",x"81",x"0a",x"80",x"52",x"2d",x"71",x"2d",x"84",x"51",x"76",x"93",x"5b",x"d0",x"08",x"51",x"38",x"53",x"9e",x"87",x"e6",x"0c",x"0a",x"2d",x"08",x"2e",x"72",x"09",x"f4",x"2e",x"7d",x"5a",x"ff",x"ff",x"79",x"53",x"98",x"80",x"55",x"70",x"52",x"73",x"38",x"16",x"ff",x"74",x"88",x"08",x"51",x"2e",x"fe",x"33",x"26",x"72",x"a0",x"70",x"71",x"39",x"2e",x"86",x"fe",x"82",x"38",x"87",x"a0",x"80",x"05",x"52",x"81",x"a2",x"fe",x"80",x"81",x"38",x"ff",x"81",x"fe",x"3d",x"8c",x"a0",x"70",x"8c",x"81",x"0a",x"0d",x"0d",x"51",x"83",x"80",x"8c",x"ff",x"88",x"0d",x"55",x"75",x"80",x"38",x"52",x"e1",x"54",x"85",x"30",x"0c",x"04",x"81",x"dc",x"55",x"80",x"ec",x"0d",x"55",x"75",x"75",x"81",x"32",x"74",x"88",x"80",x"88",x"73",x"3d",x"30",x"d7",x"0d",x"54",x"74",x"55",x"98",x"2e",x"72",x"71",x"75",x"54",x"38",x"83",x"70",x"3d",x"81",x"2a",x"80",x"71",x"38",x"75",x"81",x"2a",x"54",x"3d",x"79",x"55",x"27",x"75",x"51",x"a7",x"52",x"98",x"81",x"74",x"56",x"52",x"09",x"38",x"86",x"74",x"84",x"71",x"53",x"84",x"71",x"53",x"84",x"71",x"53",x"84",x"71",x"53",x"52",x"c9",x"27",x"70",x"08",x"05",x"12",x"26",x"54",x"fc",x"79",x"05",x"57",x"83",x"38",x"51",x"a2",x"52",x"93",x"70",x"34",x"71",x"81",x"74",x"3d",x"74",x"07",x"2b",x"51",x"a5",x"70",x"0c",x"84",x"72",x"05",x"71",x"53",x"52",x"dd",x"27",x"71",x"53",x"52",x"f2",x"ff",x"3d",x"70",x"06",x"70",x"73",x"56",x"08",x"38",x"52",x"81",x"54",x"9d",x"55",x"09",x"38",x"14",x"81",x"56",x"e5",x"55",x"06",x"06",x"88",x"87",x"71",x"fb",x"06",x"82",x"51",x"97",x"84",x"54",x"75",x"38",x"52",x"80",x"87",x"ff",x"8c",x"70",x"70",x"38",x"12",x"52",x"09",x"38",x"04",x"3f",x"00",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"01",x"00",x"05",x"a4",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); +signal rwea: std_logic_vector(3 downto 0); +signal rweb: std_logic_vector(3 downto 0); +signal memaread0: std_logic_vector(7 downto 0); +signal membread0: std_logic_vector(7 downto 0); +signal memaread1: std_logic_vector(7 downto 0); +signal membread1: std_logic_vector(7 downto 0); +signal memaread2: std_logic_vector(7 downto 0); +signal membread2: std_logic_vector(7 downto 0); +signal memaread3: std_logic_vector(7 downto 0); +signal membread3: std_logic_vector(7 downto 0); + +begin + rwea(0) <= WEA and MASKA(0); + rweb(0) <= WEB and MASKB(0); + rwea(1) <= WEA and MASKA(1); + rweb(1) <= WEB and MASKB(1); + rwea(2) <= WEA and MASKA(2); + rweb(2) <= WEB and MASKB(2); + rwea(3) <= WEA and MASKA(3); + rweb(3) <= WEB and MASKB(3); +DOA(7 downto 0) <= memaread0; +DOB(7 downto 0) <= membread0; +DOA(15 downto 8) <= memaread1; +DOB(15 downto 8) <= membread1; +DOA(23 downto 16) <= memaread2; +DOB(23 downto 16) <= membread2; +DOA(31 downto 24) <= memaread3; +DOB(31 downto 24) <= membread3; + + process (clk) + begin + if rising_edge(clk) then + if ENA='1' then + if rwea(0)='1' then + RAM0( conv_integer(ADDRA) ) := DIA(7 downto 0); + end if; + memaread0 <= RAM0(conv_integer(ADDRA)) ; + end if; + end if; + end process; + + process (clk) + begin + if rising_edge(clk) then + if ENB='1' then + if rweb(0)='1' then + RAM0( conv_integer(ADDRB) ) := DIB(7 downto 0); + end if; + membread0 <= RAM0(conv_integer(ADDRB)) ; + end if; + end if; + end process; + + process (clk) + begin + if rising_edge(clk) then + if ENA='1' then + if rwea(1)='1' then + RAM1( conv_integer(ADDRA) ) := DIA(15 downto 8); + end if; + memaread1 <= RAM1(conv_integer(ADDRA)) ; + end if; + end if; + end process; + + process (clk) + begin + if rising_edge(clk) then + if ENB='1' then + if rweb(1)='1' then + RAM1( conv_integer(ADDRB) ) := DIB(15 downto 8); + end if; + membread1 <= RAM1(conv_integer(ADDRB)) ; + end if; + end if; + end process; + + process (clk) + begin + if rising_edge(clk) then + if ENA='1' then + if rwea(2)='1' then + RAM2( conv_integer(ADDRA) ) := DIA(23 downto 16); + end if; + memaread2 <= RAM2(conv_integer(ADDRA)) ; + end if; + end if; + end process; + + process (clk) + begin + if rising_edge(clk) then + if ENB='1' then + if rweb(2)='1' then + RAM2( conv_integer(ADDRB) ) := DIB(23 downto 16); + end if; + membread2 <= RAM2(conv_integer(ADDRB)) ; + end if; + end if; + end process; + + process (clk) + begin + if rising_edge(clk) then + if ENA='1' then + if rwea(3)='1' then + RAM3( conv_integer(ADDRA) ) := DIA(31 downto 24); + end if; + memaread3 <= RAM3(conv_integer(ADDRA)) ; + end if; + end if; + end process; + + process (clk) + begin + if rising_edge(clk) then + if ENB='1' then + if rweb(3)='1' then + RAM3( conv_integer(ADDRB) ) := DIB(31 downto 24); + end if; + membread3 <= RAM3(conv_integer(ADDRB)) ; + end if; + end if; + end process; +end behave; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/Makefile b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/Makefile deleted file mode 100644 index 185ba130..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/Makefile +++ /dev/null @@ -1,42 +0,0 @@ -PROJECT=papilio_one -PART=xc3s500e-vq100-4 - -# For bootloader -BOARD=PAPILIO_ONE -SIZE=16384 -DEFINES="-D__S3E_500__ -DBOARD_ID=0xA4010E01 -DBOARD_MEMORYSIZE=0x4000 -DCLK_FREQ=92000000" - -BOOTPATH=../../../../../ - -all: ${PROJECT}_routed.bit ${PROJECT}_routed.bin - -prom-generic-dp-32.vhd: - $(MAKE) -C $(BOOTPATH)bootloader BOARD=$(BOARD) SIZE=$(SIZE) DEFINES=$(DEFINES) - cp $(BOOTPATH)bootloader/prom-generic-dp-32.vhd . - -${PROJECT}.ngc: prom-generic-dp-32.vhd - mkdir -p xst/projnav.tmp/ - xst -intstyle ise -ifn ${PROJECT}.xst -ofn ${PROJECT}.syr - -${PROJECT}.ngd: ${PROJECT}.ngc - ngdbuild -intstyle ise -dd _ngo -nt timestamp \ - -uc ${PROJECT}.ucf -p ${PART} ${PROJECT}.ngc ${PROJECT}.ngd - -${PROJECT}.ncd: ${PROJECT}.ngd - map -intstyle ise -p ${PART} \ - -cm speed -detail -ir off -ignore_keep_hierarchy -pr b -register_duplication on \ - -timing -ol high -logic_opt on \ - -o ${PROJECT}.ncd ${PROJECT}.ngd ${PROJECT}.pcf - -${PROJECT}_routed.ncd: ${PROJECT}.ncd - par -w -intstyle ise -ol high -t 1 ${PROJECT}.ncd ${PROJECT}_routed.ncd ${PROJECT}.pcf - -${PROJECT}_routed.bit: ${PROJECT}_routed.ncd - bitgen -f ${PROJECT}.ut ${PROJECT}_routed.ncd - -${PROJECT}_routed.bin: ${PROJECT}_routed.bit - promgen -w -spi -p bin -o ${PROJECT}_routed.bin -s 1024 -u 0 ${PROJECT}_routed.bit - -clean: - @rm -rf ${PROJECT}.{ngc,ngd,ncd,_routed.ncd,pcf,bit,_routed.bit} - $(MAKE) -C $(BOOTPATH)bootloader clean diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/clkgen.vhd b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/clkgen.vhd deleted file mode 100644 index 0ebd12af..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/clkgen.vhd +++ /dev/null @@ -1,205 +0,0 @@ --- --- System Clock generator for ZPUINO (papilio one) --- --- Copyright 2010 Alvaro Lopes --- --- Version: 1.0 --- --- The FreeBSD license --- --- Redistribution and use in source and binary forms, with or without --- modification, are permitted provided that the following conditions --- are met: --- --- 1. Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- 2. Redistributions in binary form must reproduce the above --- copyright notice, this list of conditions and the following --- disclaimer in the documentation and/or other materials --- provided with the distribution. --- --- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY --- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE --- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, --- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES --- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS --- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) --- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF --- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. --- --- - -library IEEE; -use IEEE.std_logic_1164.all; -use IEEE.std_logic_unsigned.all; -use ieee.numeric_std.all; - -library UNISIM; -use UNISIM.VCOMPONENTS.all; - -entity clkgen is - port ( - clkin: in std_logic; - rstin: in std_logic; - clkout: out std_logic; - vgaclkout: out std_logic; - rstout: out std_logic - ); -end entity clkgen; - -architecture behave of clkgen is - -signal dcmlocked: std_logic; -signal dcmclock: std_logic; - -signal rst1_q: std_logic; -signal rst2_q: std_logic; -signal clkout_i: std_logic; -signal clkin_i: std_logic; -signal clkin_i_2: std_logic; -signal clkfb: std_logic; -signal clk0: std_logic; - -signal vgaclk_0_b, vgaclk_fb, vgaclk_fx_b, vgaclk_in: std_logic; - -begin - - clkout <= clkout_i; - - rstout <= rst1_q; - - process(dcmlocked, clkout_i, rstin) - begin - if dcmlocked='0' or rstin='1' then - rst1_q <= '1'; - rst2_q <= '1'; - else - if rising_edge(clkout_i) then - rst1_q <= rst2_q; - rst2_q <= '0'; - end if; - end if; - end process; - - -- Clock buffers - - clkfx_inst: BUFG - port map ( - I => dcmclock, - O => clkout_i - ); - - clkin_inst: IBUFG - port map ( - I => clkin, - O => clkin_i - ); - - clkin2_inst: BUFG - port map ( - I => clkin_i, - O => clkin_i_2 - ); - - --clkfb_inst: BUFG - -- port map ( - -- I=> clk0, - -- O=> clkfb - -- ); - - -DCM_inst : DCM - generic map ( - CLKDV_DIVIDE => 2.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5,7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0 - CLKFX_DIVIDE => 8, -- Can be any integer from 1 to 32 - CLKFX_MULTIPLY => 23, -- Can be any integer from 1 to 32 - CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by two feature - CLKIN_PERIOD => 31.25, -- Specify period of input clock - CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift of NONE, FIXED or VARIABLE - CLK_FEEDBACK => "NONE", -- Specify clock feedback of NONE, 1X or 2X - DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or an integer from 0 to 15 - DFS_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for frequency synthesis - DLL_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for DLL - DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE - FACTORY_JF => X"C080", -- FACTORY JF Values - PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 255 - STARTUP_WAIT => FALSE -- Delay configuration DONE until DCM LOCK, TRUE/FALSE - ) - port map ( - CLK0 => open,--clk0, -- 0 degree DCM CLK ouptput - CLK180 => open, -- 180 degree DCM CLK output - CLK270 => open, -- 270 degree DCM CLK output - CLK2X => open, -- 2X DCM CLK output - CLK2X180 => open, -- 2X, 180 degree DCM CLK out - CLK90 => open, -- 90 degree DCM CLK output - CLKDV => open, -- Divided DCM CLK out (CLKDV_DIVIDE) - CLKFX => dcmclock, -- DCM CLK synthesis out (M/D) - CLKFX180 => open, -- 180 degree CLK synthesis out - LOCKED => dcmlocked, -- DCM LOCK status output - PSDONE => open, -- Dynamic phase adjust done output - STATUS => open, -- 8-bit DCM status bits output - CLKFB => '0',--clkfb, -- DCM clock feedback - CLKIN => clkin_i, -- Clock input (from IBUFG, BUFG or DCM) - PSCLK => '0', -- Dynamic phase adjust clock input - PSEN => '0', -- Dynamic phase adjust enable input - PSINCDEC => '0', -- Dynamic phase adjust increment/decrement - RST => '0' -- DCM asynchronous reset input - ); - - vgaclkfx_inst: BUFG - port map ( - I => vgaclk_fx_b, - O => vgaclkout - ); - - --vgaclkfb_inst: BUFG - -- port map ( - -- I=> vgaclk_0_b, - -- O=> vgaclk_fb - -- ); - - - VGADCM_inst : DCM -- Generate 50Mhz - generic map ( - CLKDV_DIVIDE => 2.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5,7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0 - CLKFX_DIVIDE => 16,--8, -- Can be any integer from 1 to 32 - CLKFX_MULTIPLY => 25,--23, -- Can be any integer from 1 to 32 - CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by two feature - CLKIN_PERIOD => 31.25, -- Specify period of input clock - CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift of NONE, FIXED or VARIABLE - CLK_FEEDBACK => "NONE", -- Specify clock feedback of NONE, 1X or 2X - DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or an integer from 0 to 15 - DFS_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for frequency synthesis - DLL_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for DLL - DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE - FACTORY_JF => X"C080", -- FACTORY JF Values - PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 255 - STARTUP_WAIT => FALSE -- Delay configuration DONE until DCM LOCK, TRUE/FALSE - ) - port map ( - CLK0 => open,--vgaclk_0_b, -- 0 degree DCM CLK ouptput - CLK180 => open, -- 180 degree DCM CLK output - CLK270 => open, -- 270 degree DCM CLK output - CLK2X => open, -- 2X DCM CLK output - CLK2X180 => open, -- 2X, 180 degree DCM CLK out - CLK90 => open, -- 90 degree DCM CLK output - CLKDV => open, -- Divided DCM CLK out (CLKDV_DIVIDE) - CLKFX => vgaclk_fx_b, -- DCM CLK synthesis out (M/D) - CLKFX180 => open, -- 180 degree CLK synthesis out - LOCKED => open,--dcmlocked_b, -- DCM LOCK status output - PSDONE => open, -- Dynamic phase adjust done output - STATUS => open, -- 8-bit DCM status bits output - CLKFB => '0',--vgaclk_fb, -- DCM clock feedback - CLKIN => clkin_i_2, -- Clock input (from IBUFG, BUFG or DCM) - PSCLK => '0', -- Dynamic phase adjust clock input - PSEN => '0', -- Dynamic phase adjust enable input - PSINCDEC => '0', -- Dynamic phase adjust increment/decrement - RST => '0' -- DCM asynchronous reset input - ); - - -end behave; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.map b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.map deleted file mode 100644 index cc298ee2..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.map +++ /dev/null @@ -1,211 +0,0 @@ -Release 13.2 Map O.61xd (nt64) -Xilinx Map Application Log File for Design 'papilio_one_top' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s500e-vq100-4 -cm speed -detail -ir off --ignore_keep_hierarchy -pr b -register_duplication on -timing -ol high --logic_opt on -o papilio_one.ncd papilio_one.ngd papilio_one.pcf -Target Device : xc3s500e -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3e -- $Revision: 1.55 $ -Mapped Date : Tue Jul 31 00:46:28 2012 - -vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv -INFO:Security:54 - 'xc3s500e' is a WebPack part. -WARNING:Security:42 - Your software subscription period has lapsed. Your current -version of Xilinx tools will continue to function, but you no longer qualify for -Xilinx software updates or new releases. ----------------------------------------------------------------------- -Mapping design into LUTs... -Running directed packing... -WARNING:Pack:504 - The I/O component SPI_SCK has conflicting SLEW property - values. The symbol SPI_SCK has property value FAST. The symbol - ospiclk/obufi has property value . The system will use the property value - attached to symbol SPI_SCK. -WARNING:Pack:501 - The I/O component SPI_SCK has conflicting DRIVE property - values. The symbol SPI_SCK has property value 8. The symbol ospiclk/obufi - has property value . The system will use the property value attached to - symbol SPI_SCK. -WARNING:Pack:504 - The I/O component TXD has conflicting SLEW property values. - The symbol TXD has property value FAST. The symbol obuftx/obufi has property - value . The system will use the property value attached to symbol TXD. -WARNING:Pack:501 - The I/O component TXD has conflicting DRIVE property values. - The symbol TXD has property value 8. The symbol obuftx/obufi has property - value . The system will use the property value attached to symbol TXD. -WARNING:Pack:504 - The I/O component WING_B<0> has conflicting SLEW property - values. The symbol WING_B<0> has property value FAST. The symbol - pin16/obufi has property value . The system will use the property value - attached to symbol WING_B<0>. -WARNING:Pack:501 - The I/O component WING_B<0> has conflicting DRIVE property - values. The symbol WING_B<0> has property value 8. The symbol pin16/obufi - has property value . The system will use the property value attached to - symbol WING_B<0>. -WARNING:Pack:504 - The I/O component WING_B<1> has conflicting SLEW property - values. The symbol WING_B<1> has property value FAST. The symbol - pin17/obufi has property value . The system will use the property value - attached to symbol WING_B<1>. -WARNING:Pack:501 - The I/O component WING_B<1> has conflicting DRIVE property - values. The symbol WING_B<1> has property value 8. The symbol pin17/obufi - has property value . The system will use the property value attached to - symbol WING_B<1>. -WARNING:Pack:504 - The I/O component WING_B<2> has conflicting SLEW property - values. The symbol WING_B<2> has property value FAST. The symbol - pin18/obufi has property value . The system will use the property value - attached to symbol WING_B<2>. -WARNING:Pack:501 - The I/O component WING_B<2> has conflicting DRIVE property - values. The symbol WING_B<2> has property value 8. The symbol pin18/obufi - has property value . The system will use the property value attached to - symbol WING_B<2>. -WARNING:Pack:504 - The I/O component WING_B<3> has conflicting SLEW property - values. The symbol WING_B<3> has property value FAST. The symbol - pin19/obufi has property value . The system will use the property value - attached to symbol WING_B<3>. -WARNING:Pack:501 - The I/O component WING_B<3> has conflicting DRIVE property - values. The symbol WING_B<3> has property value 8. The symbol pin19/obufi - has property value . The system will use the property value attached to - symbol WING_B<3>. -WARNING:Pack:504 - The I/O component WING_B<4> has conflicting SLEW property - values. The symbol WING_B<4> has property value FAST. The symbol - pin20/obufi has property value . The system will use the property value - attached to symbol WING_B<4>. -WARNING:Pack:501 - The I/O component WING_B<4> has conflicting DRIVE property - values. The symbol WING_B<4> has property value 8. The symbol pin20/obufi - has property value . The system will use the property value attached to - symbol WING_B<4>. -WARNING:Pack:504 - The I/O component WING_B<5> has conflicting SLEW property - values. The symbol WING_B<5> has property value FAST. The symbol - pin21/obufi has property value . The system will use the property value - attached to symbol WING_B<5>. -WARNING:Pack:501 - The I/O component WING_B<5> has conflicting DRIVE property - values. The symbol WING_B<5> has property value 8. The symbol pin21/obufi - has property value . The system will use the property value attached to - symbol WING_B<5>. -WARNING:Pack:504 - The I/O component WING_B<6> has conflicting SLEW property - values. The symbol WING_B<6> has property value FAST. The symbol - pin22/obufi has property value . The system will use the property value - attached to symbol WING_B<6>. -WARNING:Pack:501 - The I/O component WING_B<6> has conflicting DRIVE property - values. The symbol WING_B<6> has property value 8. The symbol pin22/obufi - has property value . The system will use the property value attached to - symbol WING_B<6>. -WARNING:Pack:504 - The I/O component WING_B<7> has conflicting SLEW property - values. The symbol WING_B<7> has property value FAST. The symbol - pin23/obufi has property value . The system will use the property value - attached to symbol WING_B<7>. -WARNING:Pack:501 - The I/O component WING_B<7> has conflicting DRIVE property - values. The symbol WING_B<7> has property value 8. The symbol pin23/obufi - has property value . The system will use the property value attached to - symbol WING_B<7>. -WARNING:Pack:504 - The I/O component WING_B<8> has conflicting SLEW property - values. The symbol WING_B<8> has property value FAST. The symbol - pin24/obufi has property value . The system will use the property value - attached to symbol WING_B<8>. -WARNING:Pack:501 - The I/O component WING_B<8> has conflicting DRIVE property - values. The symbol WING_B<8> has property value 8. The symbol pin24/obufi - has property value . The system will use the property value attached to - symbol WING_B<8>. -WARNING:Pack:504 - The I/O component WING_B<9> has conflicting SLEW property - values. The symbol WING_B<9> has property value FAST. The symbol - pin25/obufi has property value . The system will use the property value - attached to symbol WING_B<9>. -WARNING:Pack:501 - The I/O component WING_B<9> has conflicting DRIVE property - values. The symbol WING_B<9> has property value 8. The symbol pin25/obufi - has property value . The system will use the property value attached to - symbol WING_B<9>. -WARNING:Pack:501 - The I/O component SPI_CS has conflicting DRIVE property - values. The symbol SPI_CS has property value 8. The symbol ospics/obufi has - property value . The system will use the property value attached to symbol - SPI_CS. -Running delay-based LUT packing... -Updating timing models... -Running timing-driven placement... -Total REAL time at the beginning of Placer: 29 secs -Total CPU time at the beginning of Placer: 24 secs - -Phase 1.1 Initial Placement Analysis -Phase 1.1 Initial Placement Analysis (Checksum:64ab70a9) REAL time: 35 secs - -Phase 2.7 Design Feasibility Check -Phase 2.7 Design Feasibility Check (Checksum:64ab70a9) REAL time: 35 secs - -Phase 3.31 Local Placement Optimization -Phase 3.31 Local Placement Optimization (Checksum:64ab70a9) REAL time: 35 secs - -Phase 4.2 Initial Clock and IO Placement - -Phase 4.2 Initial Clock and IO Placement (Checksum:2e7cddba) REAL time: 39 secs - -Phase 5.30 Global Clock Region Assignment -Phase 5.30 Global Clock Region Assignment (Checksum:2e7cddba) REAL time: 39 secs - -Phase 6.36 Local Placement Optimization -Phase 6.36 Local Placement Optimization (Checksum:2e7cddba) REAL time: 39 secs - -Phase 7.8 Global Placement -............................ -.............................................................................................................. -.............................................. -........................................................................................................ -................ -................ -............................................................................... -.............................. -Phase 7.8 Global Placement (Checksum:9ec3b1e4) REAL time: 2 mins 15 secs - -Phase 8.5 Local Placement Optimization -Phase 8.5 Local Placement Optimization (Checksum:9ec3b1e4) REAL time: 2 mins 15 secs - -Phase 9.18 Placement Optimization -Phase 9.18 Placement Optimization (Checksum:fbd001a7) REAL time: 4 mins 31 secs - -Phase 10.5 Local Placement Optimization -Phase 10.5 Local Placement Optimization (Checksum:fbd001a7) REAL time: 4 mins 32 secs - -Total REAL time to Placer completion: 4 mins 34 secs -Total CPU time to Placer completion: 4 mins 21 secs -Running physical synthesis... -.......... -Physical synthesis completed. -Running post-placement packing... - -Design Summary --------------- - -Design Summary: -Number of errors: 0 -Number of warnings: 25 -Logic Utilization: - Number of Slice Flip Flops: 2,338 out of 9,312 25% - Number of 4 input LUTs: 4,028 out of 9,312 43% -Logic Distribution: - Number of occupied Slices: 2,999 out of 4,656 64% - Number of Slices containing only related logic: 2,999 out of 2,999 100% - Number of Slices containing unrelated logic: 0 out of 2,999 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 4,297 out of 9,312 46% - Number used as logic: 3,940 - Number used as a route-thru: 269 - Number used for Dual Port RAMs: 16 - (Two LUTs used per Dual Port RAM) - Number used as Shift registers: 72 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 55 out of 66 83% - IOB Flip Flops: 50 - Number of RAMB16s: 20 out of 20 100% - Number of BUFGMUXs: 3 out of 24 12% - Number of DCMs: 2 out of 4 50% - Number of MULT18X18SIOs: 3 out of 20 15% - -Average Fanout of Non-Clock Nets: 3.36 - -Peak Memory Usage: 367 MB -Total REAL time to MAP completion: 4 mins 53 secs -Total CPU time to MAP completion: 4 mins 38 secs - -Mapping completed. -See MAP report file "papilio_one.mrp" for details. diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.mrp b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.mrp deleted file mode 100644 index 965c9291..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.mrp +++ /dev/null @@ -1,2620 +0,0 @@ -Release 13.2 Map O.61xd (nt64) -Xilinx Mapping Report File for Design 'papilio_one_top' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s500e-vq100-4 -cm speed -detail -ir off --ignore_keep_hierarchy -pr b -register_duplication on -timing -ol high --logic_opt on -o papilio_one.ncd papilio_one.ngd papilio_one.pcf -Target Device : xc3s500e -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3e -- $Revision: 1.55 $ -Mapped Date : Tue Jul 31 00:46:28 2012 - -Design Summary --------------- -Number of errors: 0 -Number of warnings: 25 -Logic Utilization: - Number of Slice Flip Flops: 2,338 out of 9,312 25% - Number of 4 input LUTs: 4,028 out of 9,312 43% -Logic Distribution: - Number of occupied Slices: 2,999 out of 4,656 64% - Number of Slices containing only related logic: 2,999 out of 2,999 100% - Number of Slices containing unrelated logic: 0 out of 2,999 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 4,297 out of 9,312 46% - Number used as logic: 3,940 - Number used as a route-thru: 269 - Number used for Dual Port RAMs: 16 - (Two LUTs used per Dual Port RAM) - Number used as Shift registers: 72 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 55 out of 66 83% - IOB Flip Flops: 50 - Number of RAMB16s: 20 out of 20 100% - Number of BUFGMUXs: 3 out of 24 12% - Number of DCMs: 2 out of 4 50% - Number of MULT18X18SIOs: 3 out of 20 15% - -Average Fanout of Non-Clock Nets: 3.36 - -Peak Memory Usage: 367 MB -Total REAL time to MAP completion: 4 mins 53 secs -Total CPU time to MAP completion: 4 mins 38 secs - -Table of Contents ------------------ -Section 1 - Errors -Section 2 - Warnings -Section 3 - Informational -Section 4 - Removed Logic Summary -Section 5 - Removed Logic -Section 6 - IOB Properties -Section 7 - RPMs -Section 8 - Guide Report -Section 9 - Area Group and Partition Summary -Section 10 - Timing Report -Section 11 - Configuration String Information -Section 12 - Control Set Information -Section 13 - Utilization by Hierarchy - -Section 1 - Errors ------------------- - -Section 2 - Warnings --------------------- -WARNING:Security:42 - Your software subscription period has lapsed. Your current -version of Xilinx tools will continue to function, but you no longer qualify for -Xilinx software updates or new releases. -WARNING:Pack:504 - The I/O component SPI_SCK has conflicting SLEW property - values. The symbol SPI_SCK has property value FAST. The symbol - ospiclk/obufi has property value . The system will use the property value - attached to symbol SPI_SCK. -WARNING:Pack:501 - The I/O component SPI_SCK has conflicting DRIVE property - values. The symbol SPI_SCK has property value 8. The symbol ospiclk/obufi - has property value . The system will use the property value attached to - symbol SPI_SCK. -WARNING:Pack:504 - The I/O component TXD has conflicting SLEW property values. - The symbol TXD has property value FAST. The symbol obuftx/obufi has property - value . The system will use the property value attached to symbol TXD. -WARNING:Pack:501 - The I/O component TXD has conflicting DRIVE property values. - The symbol TXD has property value 8. The symbol obuftx/obufi has property - value . The system will use the property value attached to symbol TXD. -WARNING:Pack:504 - The I/O component WING_B<0> has conflicting SLEW property - values. The symbol WING_B<0> has property value FAST. The symbol - pin16/obufi has property value . The system will use the property value - attached to symbol WING_B<0>. -WARNING:Pack:501 - The I/O component WING_B<0> has conflicting DRIVE property - values. The symbol WING_B<0> has property value 8. The symbol pin16/obufi - has property value . The system will use the property value attached to - symbol WING_B<0>. -WARNING:Pack:504 - The I/O component WING_B<1> has conflicting SLEW property - values. The symbol WING_B<1> has property value FAST. The symbol - pin17/obufi has property value . The system will use the property value - attached to symbol WING_B<1>. -WARNING:Pack:501 - The I/O component WING_B<1> has conflicting DRIVE property - values. The symbol WING_B<1> has property value 8. The symbol pin17/obufi - has property value . The system will use the property value attached to - symbol WING_B<1>. -WARNING:Pack:504 - The I/O component WING_B<2> has conflicting SLEW property - values. The symbol WING_B<2> has property value FAST. The symbol - pin18/obufi has property value . The system will use the property value - attached to symbol WING_B<2>. -WARNING:Pack:501 - The I/O component WING_B<2> has conflicting DRIVE property - values. The symbol WING_B<2> has property value 8. The symbol pin18/obufi - has property value . The system will use the property value attached to - symbol WING_B<2>. -WARNING:Pack:504 - The I/O component WING_B<3> has conflicting SLEW property - values. The symbol WING_B<3> has property value FAST. The symbol - pin19/obufi has property value . The system will use the property value - attached to symbol WING_B<3>. -WARNING:Pack:501 - The I/O component WING_B<3> has conflicting DRIVE property - values. The symbol WING_B<3> has property value 8. The symbol pin19/obufi - has property value . The system will use the property value attached to - symbol WING_B<3>. -WARNING:Pack:504 - The I/O component WING_B<4> has conflicting SLEW property - values. The symbol WING_B<4> has property value FAST. The symbol - pin20/obufi has property value . The system will use the property value - attached to symbol WING_B<4>. -WARNING:Pack:501 - The I/O component WING_B<4> has conflicting DRIVE property - values. The symbol WING_B<4> has property value 8. The symbol pin20/obufi - has property value . The system will use the property value attached to - symbol WING_B<4>. -WARNING:Pack:504 - The I/O component WING_B<5> has conflicting SLEW property - values. The symbol WING_B<5> has property value FAST. The symbol - pin21/obufi has property value . The system will use the property value - attached to symbol WING_B<5>. -WARNING:Pack:501 - The I/O component WING_B<5> has conflicting DRIVE property - values. The symbol WING_B<5> has property value 8. The symbol pin21/obufi - has property value . The system will use the property value attached to - symbol WING_B<5>. -WARNING:Pack:504 - The I/O component WING_B<6> has conflicting SLEW property - values. The symbol WING_B<6> has property value FAST. The symbol - pin22/obufi has property value . The system will use the property value - attached to symbol WING_B<6>. -WARNING:Pack:501 - The I/O component WING_B<6> has conflicting DRIVE property - values. The symbol WING_B<6> has property value 8. The symbol pin22/obufi - has property value . The system will use the property value attached to - symbol WING_B<6>. -WARNING:Pack:504 - The I/O component WING_B<7> has conflicting SLEW property - values. The symbol WING_B<7> has property value FAST. The symbol - pin23/obufi has property value . The system will use the property value - attached to symbol WING_B<7>. -WARNING:Pack:501 - The I/O component WING_B<7> has conflicting DRIVE property - values. The symbol WING_B<7> has property value 8. The symbol pin23/obufi - has property value . The system will use the property value attached to - symbol WING_B<7>. -WARNING:Pack:504 - The I/O component WING_B<8> has conflicting SLEW property - values. The symbol WING_B<8> has property value FAST. The symbol - pin24/obufi has property value . The system will use the property value - attached to symbol WING_B<8>. -WARNING:Pack:501 - The I/O component WING_B<8> has conflicting DRIVE property - values. The symbol WING_B<8> has property value 8. The symbol pin24/obufi - has property value . The system will use the property value attached to - symbol WING_B<8>. -WARNING:Pack:504 - The I/O component WING_B<9> has conflicting SLEW property - values. The symbol WING_B<9> has property value FAST. The symbol - pin25/obufi has property value . The system will use the property value - attached to symbol WING_B<9>. -WARNING:Pack:501 - The I/O component WING_B<9> has conflicting DRIVE property - values. The symbol WING_B<9> has property value 8. The symbol pin25/obufi - has property value . The system will use the property value attached to - symbol WING_B<9>. -WARNING:Pack:501 - The I/O component SPI_CS has conflicting DRIVE property - values. The symbol SPI_CS has property value 8. The symbol ospics/obufi has - property value . The system will use the property value attached to symbol - SPI_CS. - -Section 3 - Informational -------------------------- -INFO:Security:54 - 'xc3s500e' is a WebPack part. -INFO:LIT:243 - Logical network slot11/fifo_instance/Mram_memory1/SPO has no - load. -INFO:LIT:243 - Logical network slot11/fifo_instance/Mram_memory2/SPO has no - load. -INFO:LIT:243 - Logical network slot11/fifo_instance/Mram_memory3/SPO has no - load. -INFO:LIT:243 - Logical network slot11/fifo_instance/Mram_memory4/SPO has no - load. -INFO:LIT:243 - Logical network slot11/fifo_instance/Mram_memory5/SPO has no - load. -INFO:LIT:243 - Logical network slot11/fifo_instance/Mram_memory8/SPO has no - load. -INFO:LIT:243 - Logical network slot11/fifo_instance/Mram_memory6/SPO has no - load. -INFO:LIT:243 - Logical network slot11/fifo_instance/Mram_memory7/SPO has no - load. -INFO:MapLib:562 - No environment variables are currently set. -INFO:MapLib:159 - Net Timing constraints on signal CLK are pushed forward - through input buffer. -INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range: - -40.000 to 100.000 Celsius) -INFO:Pack:1720 - Initializing voltage to 1.140 Volts. (default - Range: 1.140 to - 1.320 Volts) -INFO:Pack:1650 - Map created a placed design. -INFO:PhysDesignRules:772 - To achieve optimal frequency synthesis performance - with the CLKFX and CLKFX180 outputs of the DCM comp clkgen_inst/VGADCM_inst, - consult the device Interactive Data Sheet. -INFO:PhysDesignRules:772 - To achieve optimal frequency synthesis performance - with the CLKFX and CLKFX180 outputs of the DCM comp clkgen_inst/DCM_inst, - consult the device Interactive Data Sheet. - -Section 4 - Removed Logic Summary ---------------------------------- - 43 block(s) optimized away - 568 Block(s) redundant - -Section 5 - Removed Logic -------------------------- - -Optimized Block(s): -TYPE BLOCK -GND XST_GND -VCC XST_VCC -MUXCY slot9/Madd_vga_ram_address_cy<0> - -Redundant Block(s): -TYPE BLOCK -LOCALBUF gpio_inst/input_mapper_q_0_and000061/LUT3_D_BUF -LOCALBUF gpio_inst/input_mapper_q_0_and000041/LUT3_D_BUF -LOCALBUF timers_inst/timer1_inst/tmrr_intr_not0001_SW0/LUT4_L_BUF -LOCALBUF zpuino/io/slot_cyc_i_1_mux000011/LUT2_D_BUF -LOCALBUF zpuino/io/slot_cyc_i_3_mux00001/LUT4_D_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<31>21/LUT3_D_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<32>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<30>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/w1_tos_6_mux000264/LUT2_D_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<10>11/LUT3_D_BUF -LOCALBUF zpuino/core/exu_busy4/LUT4_L_BUF -LOCALBUF zpuino/core/exu_busy33/LUT2_D_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<10>_SW0/LUT3_L_BUF -LOCALBUF timers_inst/timer1_inst/tmrr_intr_not0001311/LUT4_D_BUF -LOCALBUF zpuino/core/stack_a_enable21/LUT4_D_BUF -LOCALBUF zpuino/core/stack_a_addr<10>11/LUT4_D_BUF -LOCALBUF zpuino/core/stack_a_addr<6>9/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<5>9/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<4>9/LUT4_L_BUF -LOCALBUF gpio_inst/input_mapper_q_33_and000041/LUT3_D_BUF -LOCALBUF timers_inst/timer0_inst/tmrr_cmp_not000111/LUT4_D_BUF -LOCALBUF timers_inst/timer1_inst/tmrr_pwmr<0>_cmphigh_not00011/LUT4_D_BUF -LOCALBUF gpio_inst/input_mapper_q_32_and0000530/LUT3_D_BUF -LOCALBUF zpuino/core/stack_a_write<9>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<8>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<7>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<6>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<5>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<4>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<3>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<30>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<2>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<29>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<28>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<27>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<26>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<25>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<24>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<23>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<22>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<21>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<20>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<1>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<19>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<18>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<17>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<16>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<15>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<14>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<13>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<12>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<11>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<10>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_write<0>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<7>4/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<9>4/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<8>4/LUT4_L_BUF -LOCALBUF zpuino/core/stack_a_addr<10>41/LUT4_L_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_7_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_3_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_15_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_14_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_13_and00001/LUT2_D_BUF -LOCALBUF zpuino/io/intr_inst/masked_ivecs_12_and00001/LUT2_D_BUF -LOCALBUF slot13/reg_1_not000111/LUT3_D_BUF -LOCALBUF slot13/reg_0_not000111/LUT3_D_BUF -LOCALBUF slot13/noise_gen_cnt_and000050/LUT2_D_BUF -LOCALBUF zpuino/io/slot_cyc_i_5_mux000011/LUT2_D_BUF -LOCALBUF zpuino/io/slot_cyc_i_9_mux00001/LUT3_D_BUF -LOCALBUF zpuino/io/slot_cyc_i_13_mux000011/LUT3_D_BUF -LOCALBUF gpio_inst/input_mapper_q_17_and000041/LUT3_D_BUF -LOCALBUF gpio_inst/input_mapper_q_16_and000041/LUT3_D_BUF -LOCALBUF slot13/wb_adr_i<5>41/LUT4_D_BUF -LOCALBUF slot13/wb_dat_o_6_mux000041/LUT4_L_BUF -LOCALBUF slot13/wb_dat_o_5_mux000041/LUT4_L_BUF -LOCALBUF zpuino/core/decode_jump_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_0_mux00024161/LUT4_L_BUF -LOCALBUF zpuino/core/poppc_inst1/LUT3_D_BUF -LOCALBUF slot13/wb_dat_o_5_mux0000210/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<20>11/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<12>11/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<10>11/LUT3_D_BUF -LOCALBUF zpuino/core/decr_pcint_mux0000<0>31/LUT4_D_BUF -LOCALBUF zpuino/core/decr_pcint_mux0000<0>21/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_0_mux0002311/LUT2_D_BUF -LOCALBUF slot13/wb_adr_i<5>317/LUT4_L_BUF -LOCALBUF slot13/wb_adr_i<5>217/LUT4_L_BUF -LOCALBUF slot13/wb_adr_i<5>117/LUT4_L_BUF -LOCALBUF slot13/wb_adr_i<5>171/LUT4_L_BUF -LOCALBUF slot13/env_gen_comp_cmp_eq000012/LUT4_L_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<0>21/LUT2_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<2>11/LUT3_D_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<5>51/LUT4_D_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<5>21/LUT4_D_BUF -LOCALBUF gpio_inst/spp_read<1>248/LUT4_L_BUF -LOCALBUF gpio_inst/spp_read<1>567/LUT4_L_BUF -LOCALBUF gpio_inst/spp_read<1>642/LUT4_L_BUF -LOCALBUF gpio_inst/spp_read<1>712/LUT4_L_BUF -LOCALBUF gpio_inst/spp_read<1>826/LUT4_D_BUF -LOCALBUF timers_inst/timer0_inst/tmrr_cnt_and00011/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_1_mux000214/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_1_mux000268/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_1_mux000292/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_1_mux0002141/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_2_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_2_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_2_mux0002148/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_3_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_3_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_3_mux0002148/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<3>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<2>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<1>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<13>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<12>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<11>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<10>4/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<0>4/LUT4_L_BUF -LOCALBUF slot13/chan_amp_mux0002<1>21/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_4_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_4_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_4_mux0002148/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_5_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_5_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_5_mux0002148/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_6_mux00027/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_7_mux00027/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_7_mux000237/LUT4_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<8>11/LUT3_D_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<4>11/LUT3_D_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<22>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<19>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<16>5/LUT3_L_BUF -LOCALBUF slot13/chan_amp_mux0002<1>32/LUT4_D_BUF -LOCALBUF slot13/tone_gen_comp_3_cmp_eq000012/LUT4_L_BUF -LOCALBUF slot13/tone_gen_comp_2_cmp_eq000012/LUT4_L_BUF -LOCALBUF slot13/tone_gen_comp_1_cmp_eq000012/LUT4_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<10>21/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_11_mux000272/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_11_mux0002126/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_12_mux000272/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_12_mux0002101/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_12_mux0002149/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_13_mux0002126/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_14_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_15_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_16_mux0002127/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_17_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_18_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/sampledTosSource_cmp_eq000311/LUT3_D_BUF -LOCALBUF zpuino/core/sampledDecodedOpcode_cmp_eq00001/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_19_mux0002127/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_20_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/nos<30>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_21_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/nos<29>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_22_mux0002131/LUT4_L_BUF -LOCALBUF zpuino/core/nos<28>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_23_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_24_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/nos<26>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_25_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<1>18/LUT2_D_BUF -LOCALBUF zpuino/core/exu_busy42/LUT4_D_BUF -LOCALBUF zpuino/core/nos<25>1/LUT3_D_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<4>111/LUT2_D_BUF -LOCALBUF zpuino/core/sampledDecodedOpcode_and00001/LUT4_D_BUF -LOCALBUF zpuino/core/nos<24>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_27_mux000239/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_27_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/nos<23>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_28_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/nos<22>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_29_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/nos<21>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_30_mux0002108/LUT4_L_BUF -LOCALBUF zpuino/core/nos<20>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_31_mux0002112/LUT4_L_BUF -LOCALBUF zpuino/core/nos<19>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<18>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<17>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<16>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<15>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<14>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<13>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<12>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<11>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<10>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<9>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<8>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<7>1/LUT3_D_BUF -LOCALBUF zpuino/core/nos<6>1/LUT3_D_BUF -LOCALBUF zpuino/core/decr_stackOperation_mux0000<1>62/LUT4_L_BUF -LOCALBUF zpuino/core/nos<0>1/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_0_mux00024/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_0_mux000256/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_0_mux0002173/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_6_mux000244/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_7_mux000244/LUT4_L_BUF -LOCALBUF zpuino/io/intr_inst/do_interrupt_mux000177_SW0/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_2_mux0002124_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_3_mux0002124_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_4_mux0002124_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_5_mux0002124_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_stackOperation_mux0000<2>110_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<4>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<27>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<0>21/LUT4_D_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<13>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<9>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<15>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_decodedOpcode_mux0000<14>_SW0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<1>0/LUT3_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<17>_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<10>31/LUT4_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<16>11/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<13>21/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<11>21/LUT3_D_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<10>31/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_6_mux0002121/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_6_mux0002111/LUT3_D_BUF -LOCALBUF zpuino/core/w1_tos_6_mux000211/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_1_mux000284/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_8_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_9_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_10_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_11_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_12_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_13_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_14_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_15_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_16_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_17_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_18_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_19_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_20_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_21_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_22_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_23_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_24_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_25_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_26_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_28_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_29_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_30_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_31_mux000226/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_9_mux0002143/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_8_mux0002143/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_7_mux0002164/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_6_mux0002164/LUT4_L_BUF -LOCALBUF slot13/noise_gen_cnt_and0000141/LUT3_L_BUF -LOCALBUF zpuino/core/w1_tos_10_mux0002143/LUT4_L_BUF -LOCALBUF timers_inst/timer0_inst/tmrr_intr_not000111/LUT4_D_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<9>38_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<8>38_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<7>38_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<6>38_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_0_mux000241103/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_8_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_9_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_10_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_12_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_13_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_14_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_15_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_16_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_17_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_18_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_19_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_20_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_21_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_22_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_23_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_24_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_25_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_26_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_28_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_29_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_30_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_31_mux000210_SW0/LUT4_L_BUF -LOCALBUF zpuino/io/intr_inst/do_interrupt_mux000144_SW1/LUT4_L_BUF -LOCALBUF zpuino/core/shl/idx_mux0001<12>21/LUT3_D_BUF -LOCALBUF zpuino/core/decr_fetchpc_mux0000<6>111/LUT4_D_BUF -LOCALBUF zpuino/core/w1_tos_6_mux000244_SW0_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/w1_tos_26_mux0002140_SW0/LUT4_L_BUF -LOCALBUF zpuino/core/decr_tosSource_mux0000<4>52_SW0/LUT4_L_BUF -LOCALBUF zpuino/io/io_device_ack117_SW0_SW0/LUT4_L_BUF -LUT1 slot9/Madd_vga_ram_address_cy<0>_rt -XORCY slot9/Madd_vga_ram_address_xor<0> -LUT1 slot9/Madd_vga_ram_address_cy<1>_rt -LUT1 slot9/Mcount_hdisp_cy<1>_rt -LUT1 slot9/Madd_vga_ram_address_cy<2>_rt -LUT1 slot9/Mcount_hdisp_cy<2>_rt -LUT1 slot9/Madd_vga_ram_address_cy<3>_rt -LUT1 slot9/Mcount_hdisp_cy<3>_rt -LUT1 slot9/Madd_vga_ram_address_cy<4>_rt -LUT1 slot9/Mcount_hdisp_cy<4>_rt -LUT1 slot9/Madd_vga_ram_address_cy<12>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<12>_rt -LUT1 slot9/Madd_vga_ram_address_cy<13>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<13>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<1>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<2>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<3>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<4>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<5>_rt -LUT1 zpuino/core/Madd_stack_b_addr_addsub0000_cy<5>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<6>_rt -LUT1 zpuino/core/Madd_stack_b_addr_addsub0000_cy<6>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_cy<7>_rt -LUT1 zpuino/core/Madd_stack_b_addr_addsub0000_cy<7>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<1>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<2>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<3>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<4>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<5>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<6>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<7>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<8>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<9>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<10>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<11>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_cy<12>_rt -LUT1 zpuino/core/Madd_stack_a_addr_addsub0000_cy<5>_rt -LUT1 zpuino/core/Madd_stack_a_addr_addsub0000_cy<6>_rt -LUT1 zpuino/core/Madd_stack_a_addr_addsub0000_cy<7>_rt -LUT1 zpuino/core/Msub_prefr.spnext_addsub0000_cy<0>_rt -LUT1 slot9/Mcount_vcount_q_cy<1>_rt -LUT1 slot9/Mcount_vcount_q_cy<2>_rt -LUT1 slot9/Mcount_vcount_q_cy<3>_rt -LUT1 slot9/Mcount_vcount_q_cy<4>_rt -LUT1 slot9/Mcount_vcount_q_cy<5>_rt -LUT1 slot9/Mcount_vcount_q_cy<6>_rt -LUT1 slot9/Mcount_vcount_q_cy<7>_rt -LUT1 slot9/Mcount_vcount_q_cy<8>_rt -LUT1 slot9/Mcount_hcount_q_cy<1>_rt -LUT1 slot9/Mcount_hcount_q_cy<2>_rt -LUT1 slot9/Mcount_hcount_q_cy<3>_rt -LUT1 slot9/Mcount_hcount_q_cy<4>_rt -LUT1 slot9/Mcount_hcount_q_cy<5>_rt -LUT1 slot9/Mcount_hcount_q_cy<6>_rt -LUT1 slot9/Mcount_hcount_q_cy<7>_rt -LUT1 slot9/Mcount_hcount_q_cy<8>_rt -LUT1 slot9/Mcount_hcount_q_cy<9>_rt -LUT1 slot9/Mcount_hdisp_cy<5>_rt -LUT1 slot9/Mcount_hdisp_cy<6>_rt -LUT1 slot9/Mcount_hdisp_cy<7>_rt -LUT1 slot9/Mcount_hdisp_cy<8>_rt -LUT1 slot9/Mcount_hdisp_cy<9>_rt -LUT1 slot9/Mcount_hdisp_cy<10>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<6>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<8>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<9>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<10>_rt -LUT1 slot9/Maccum_vga_v_offset_cy<11>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<10>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<9>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<8>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<7>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<6>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<5>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<4>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<3>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<2>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_cy<1>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<14>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<13>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<12>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<11>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<10>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<9>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<8>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<7>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<6>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<5>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<4>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<3>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<2>_rt -LUT1 slot13/Mcount_env_gen_cnt_cy<1>_rt -LUT1 slot13/Maccum_audio_mix_cy<8>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<10>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<9>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<8>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<7>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<6>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<5>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<4>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<3>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<2>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_cy<1>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<10>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<9>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<8>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<7>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<6>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<5>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<4>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<3>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<2>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_cy<1>_rt -LUT1 slot13/Mcount_predivcnt_cy<0>_rt -LUT1 slot13/Msub_tone_gen_comp_1_addsub0000_cy<0>_rt -LUT1 slot13/Msub_tone_gen_comp_2_addsub0000_cy<0>_rt -LUT1 slot13/Msub_env_gen_comp_addsub0000_cy<0>_rt -LUT1 slot13/Msub_tone_gen_comp_3_addsub0000_cy<0>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<8>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<7>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<6>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<5>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<4>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<3>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<2>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_cy<1>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<8>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<7>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<6>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<5>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<4>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<3>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<2>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_cy<1>_rt -LUT1 uart_inst/fifo_instance/Msub_full_v_addsub0000_cy<0>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_cy<1>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_cy<2>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_cy<3>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_cy<4>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_cy<5>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_cy<6>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_cy<7>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_cy<8>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_cy<9>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_cy<1>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_cy<2>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_cy<3>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_cy<4>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_cy<5>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_cy<6>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_cy<7>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_cy<8>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_cy<9>_rt -LUT1 uart_inst/rx_timer/Msub_cnt_addsub0000_cy<0>_rt -LUT1 uart_inst/tx_timer/Msub_cnt_addsub0000_cy<0>_rt -LUT1 uart_inst/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<1>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<2>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<3>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<4>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<5>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<6>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<7>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<8>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<9>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<10>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<11>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<12>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<13>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<14>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<15>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<16>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<17>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<18>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<19>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<20>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<21>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<22>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<23>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<24>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<25>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<26>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<27>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<28>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<29>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_cy<30>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<8>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<7>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<6>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<5>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<4>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<3>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<2>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_cy<1>_rt -LUT1 slot11/rx_timer/Msub_cnt_addsub0000_cy<0>_rt -LUT1 slot11/tx_timer/Msub_cnt_addsub0000_cy<0>_rt -LUT1 slot11/rx_inst/baudgen/Msub_cnt_addsub0000_cy<0>_rt -LUT1 slot9/Madd_vga_ram_address_xor<14>_rt -LUT1 slot9/Maccum_vga_v_offset_xor<14>_rt -LUT1 zpuino/core/Madd_stack_b_addr_add0000_xor<8>_rt -LUT1 zpuino/core/Madd_stack_b_addr_addsub0000_xor<8>_rt -LUT1 zpuino/core/Madd_pcnext_add0000_xor<13>_rt -LUT1 zpuino/core/Madd_stack_a_addr_addsub0000_xor<8>_rt -LUT1 slot9/Mcount_vcount_q_xor<9>_rt -LUT1 slot9/Mcount_hcount_q_xor<10>_rt -LUT1 slot9/Mcount_hdisp_xor<11>_rt -LUT1 slot13/Mcount_tone_gen_cnt_3_xor<11>_rt -LUT1 slot13/Mcount_env_gen_cnt_xor<15>_rt -LUT1 slot13/Maccum_audio_mix_xor<9>_rt -LUT1 slot13/Mcount_tone_gen_cnt_2_xor<11>_rt -LUT1 slot13/Mcount_tone_gen_cnt_1_xor<11>_rt -LUT1 slot1/zspiclk/pr/Mcount_counter_xor<9>_rt -LUT1 slot0/zspiclk/pr/Mcount_counter_xor<9>_rt -LUT1 uart_inst/fifo_instance/Mcount_rdaddr_xor<10>_rt -LUT1 uart_inst/fifo_instance/Mcount_wraddr_xor<10>_rt -LUT1 timers_inst/timer0_inst/Mcount_TSC_q_xor<31>_rt -LUT1 timers_inst/timer0_inst/pr.tmr0prescale_inst/Mcount_counter_xor<9>_rt -LUT1 slot_address<0><26>_6_f5_59_rt -LUT1 slot_address<0><26>_6_f5_57_rt -LUT1 slot_address<0><26>_6_f5_55_rt -LUT1 slot_address<0><26>_6_f5_53_rt -LUT1 slot_address<0><26>_6_f5_51_rt -LUT1 slot_address<0><26>_6_f5_49_rt -LUT1 slot_address<0><26>_6_f5_47_rt -LUT1 slot_address<0><26>_6_f5_45_rt -LUT1 slot_address<0><26>_6_f5_43_rt -LUT1 slot_address<0><26>_6_f5_41_rt -LUT1 slot_address<0><26>_6_f5_39_rt -LUT1 slot_address<0><26>_6_f5_37_rt -LUT1 slot_address<0><26>_6_f5_35_rt -LUT1 slot_address<0><26>_6_f5_33_rt -LUT1 slot_address<0><26>_6_f5_31_rt -LUT1 slot_address<0><26>_6_f5_29_rt -LUT1 slot_address<0><26>_6_f5_27_rt -LUT1 slot_address<0><26>_6_f5_25_rt -LUT1 slot_address<0><26>_6_f5_23_rt -LUT1 slot_address<0><26>_6_f5_21_rt -LUT1 slot_address<0><26>_6_f5_19_rt -LUT1 slot_address<0><26>_6_f5_17_rt -LUT1 slot_address<0><26>_6_f5_15_rt -LUT1 slot_address<0><26>_6_f5_13_rt -LUT1 slot_address<0><26>_6_f5_11_rt -LUT1 slot_address<0><26>_6_f5_9_rt -LUT1 slot_address<0><26>_6_f5_7_rt -LUT1 slot_address<0><26>_6_f5_5_rt -LUT1 slot_address<0><26>_6_f5_3_rt -LUT1 slot_address<0><26>_6_f5_1_rt -LUT1 slot_address<0><26>_6_f5_rt -LUT1 zpuino/io/Mmux__varindex0000_6_f5_rt -LUT1 gpio_inst/Mmux_wb_dat_o_4_f5_6_rt -INV slot_address<0><16>_inv_INV_0 -INV clkgen_inst/dcmlocked_inv1_INV_0 -INV slot9/Mcount_voff_xor<0>11_INV_0 -INV slot9/Mcount_hoff_xor<0>11_INV_0 -INV slot9/rstq1_inv1_INV_0 -INV slot9/v_display_inv1_INV_0 -INV zpuino/io/io_stb_mux00001_INV_0 -INV uart_inst/tx_core/idle_mux00001_INV_0 -INV uart_inst/rx_inst/rxmvfilter/Mcount_count_q_xor<0>11_INV_0 -INV slot13/tone_gen_op_3_not00031_INV_0 -INV slot13/tone_gen_op_2_not00031_INV_0 -INV slot13/tone_gen_op_1_not00031_INV_0 -INV slot13/noise_div_not00031_INV_0 -INV slot13/Mcount_noise_gen_cnt_xor<0>11_INV_0 -INV slot13/Mcount_cnt_div_xor<0>11_INV_0 -INV slot13/Maccum_env_vol_xor<0>11_INV_0 -INV slot11/tx_core/idle_mux00001_INV_0 -INV slot11/rx_inst/rxmvfilter/Mcount_count_q_xor<0>11_INV_0 -INV slot11/fifo_instance/Mcount_wraddr_xor<0>11_INV_0 -INV slot11/fifo_instance/Mcount_rdaddr_xor<0>11_INV_0 -INV slot1/zspiclk/prescale_reset_mux00011_INV_0 -INV slot1/zspiclk/clk_i_not00011_INV_0 -INV slot1/zspi/ready_q_mux00001_INV_0 -INV slot0/zspiclk/prescale_reset_mux00011_INV_0 -INV slot0/zspiclk/clk_i_not00011_INV_0 -INV slot0/zspi/ready_q_mux00001_INV_0 -INV crc16_inst/ready_q_mux00001_INV_0 -INV rstgen/rx_inv1_INV_0 -INV slot9/ram/v_ram_0_en1_INV_0 -INV timers_inst/timer0_inst/tmrr_dir_inv2_INV_0 -INV timers_inst/timer1_inst/tmrr_dir_inv2_INV_0 - -Section 6 - IOB Properties --------------------------- - -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -| IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IOB | -| | | | | Term | Strength | Rate | | | Delay | -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -| CLK | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | -| RXD | IBUF | INPUT | LVTTL | | | | | | 0 / 0 | -| SPI_CS | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | OFF1 | | 0 / 0 | -| SPI_MISO | IBUF | INPUT | LVCMOS33 | | | | | | 0 / 0 | -| SPI_MOSI | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | OFF1 | | 0 / 0 | -| SPI_SCK | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| TXD | IOB | OUTPUT | LVTTL | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<0> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<1> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<2> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<3> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<4> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<5> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<6> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<7> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<8> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<9> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<10> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<11> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<12> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_A<13> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<14> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_A<15> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<0> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | -| WING_B<1> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | -| WING_B<2> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF2 | | 0 / 0 | -| WING_B<3> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<4> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<5> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<6> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<7> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<8> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<9> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<10> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<11> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<12> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<13> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<14> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_B<15> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<0> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<1> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<2> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<3> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<4> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<5> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<6> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<7> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<8> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<9> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<10> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<11> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<12> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<13> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<14> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -| WING_C<15> | IOB | BIDIR | LVCMOS33 | | 8 | FAST | OFF1 | | 0 / 0 | -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ - -Section 7 - RPMs ----------------- - -Section 8 - Guide Report ------------------------- -Guide not run on this design. - -Section 9 - Area Group and Partition Summary --------------------------------------------- - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Area Group Information ----------------------- - - No area groups were found in this design. - ----------------------- - -Section 10 - Timing Report --------------------------- -A logic-level (pre-route) timing report can be generated by using Xilinx static -timing analysis tools, Timing Analyzer (GUI) or TRCE (command line), with the -mapped NCD and PCF files. Please note that this timing report will be generated -using estimated delay information. For accurate numbers, please generate a -timing report with the post Place and Route NCD file. - -For more information about the Timing Analyzer, consult the Xilinx Timing -Analyzer Reference Manual; for more information about TRCE, consult the Xilinx -Command Line Tools User Guide "TRACE" chapter. - -Section 11 - Configuration String Details ------------------------------------------ -DCM "clkgen_inst/DCM_inst": -CLKDV_DIVIDE:2 -CLKOUT_PHASE_SHIFT:NONE -DESKEW_ADJUST:6 -DFS_FREQUENCY_MODE:LOW -DLL_FREQUENCY_MODE:LOW -DUTY_CYCLE_CORRECTION:TRUE -FACTORY_JF1:0XC0 -FACTORY_JF2:0X80 -CLKFX_DIVIDE = 8 -CLKFX_MULTIPLY = 23 -PHASE_SHIFT = 0 -X_CLKIN_PERIOD = 31.2500000000000000 - - -DCM "clkgen_inst/VGADCM_inst": -CLKDV_DIVIDE:2 -CLKOUT_PHASE_SHIFT:NONE -DESKEW_ADJUST:6 -DFS_FREQUENCY_MODE:LOW -DLL_FREQUENCY_MODE:LOW -DUTY_CYCLE_CORRECTION:TRUE -FACTORY_JF1:0XC0 -FACTORY_JF2:0X80 -CLKFX_DIVIDE = 16 -CLKFX_MULTIPLY = 25 -PHASE_SHIFT = 0 -X_CLKIN_PERIOD = 31.2500000000000000 - - -BUFGMUX "clkgen_inst/clkfx_inst": -DISABLE_ATTR:LOW - - - -BUFGMUX "clkgen_inst/clkin2_inst": -DISABLE_ATTR:LOW - - - -BUFGMUX "clkgen_inst/vgaclkfx_inst": -DISABLE_ATTR:LOW - - - -RAMB16 "slot9/ram/ram0/Mram_RAM1": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM2": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM3": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM4": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM5": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM6": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM7": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram0/Mram_RAM8": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:16384X1 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:16384X1 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram1/Mram_RAM1": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "slot9/ram/ram1/Mram_RAM2": -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "uart_inst/fifo_instance/Mram_memory": -INITP_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:2048X9 -WRITEMODEA:READ_FIRST -INIT_A = 000 -SRVAL_A = 000 -PORTB_ATTR:2048X9 -WRITEMODEB:WRITE_FIRST -INIT_B = 000 -SRVAL_B = 000 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM01": -INIT_00 = 00000033001A006900000033000666350BF63138000235980000000800000009 -INIT_01 = 0000660500003605046B596100015AAF000006B60000004B0000000800000000 -INIT_02 = 016465A10000000800000008000B86E80002A598000004660000001100000005 -INIT_03 = 0000001F00000000000000220000000000000002000000CB0000000401521FA1 -INIT_04 = FBF4890B0BDD8B08F480083BFCD0880090880090812A19121C036F1000000006 -INIT_05 = 0FD8206284118401453F0DDAD6D28DD00334D241DD61F0044C311040481080D4 -INIT_06 = 1A469616F7242C33848FBF934050D374004CFC221D8F4FBD2F80DDC0088DAF00 -INIT_07 = 5315022054021C4D1F2CD8C4037EDE0DD9A1A4C4C8C2CFDDCEAC1DFD3F0F1F00 -INIT_08 = 83338C322C1D4D40DDB4127701A414CD438C352083461581937C094D9124030E -INIT_09 = 8DC38DD9A018307888E4C4031A2001171674813D8CC71CEDB14C5C4228D43660 -INIT_0a = B0BFDD5A1F181C10DDCD4DDCCBFDDB11AF18A22310AE0D2ABE48920428AD0DC3 -INIT_0b = CF909C30988D72D52BEF6E8E808BAF2CECEC40B402CB0B2DBCC00C00463380B7 -INIT_0c = F244867D83046D88E803D855DD504CBCD08D4910C263EE1884F1832050838FF9 -INIT_0d = 4422625805805805804CAE2530E2E62250C6141F8212618A30800E203D748486 -INIT_0e = 421FF304015E3E2044341239B36252262524C03174054689253E2E6A53C6903C -INIT_0f = 0000000000F0F0000080001000DB1E9284B90FFF03D1E2C125F4C94C18406447 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM02": -INIT_00 = 0000005700501100000000570000007000F08870000580000000000900000009 -INIT_01 = 0000007000005070000200050005002F00000A00000000020000000800000000 -INIT_02 = 0507000800000008000000080000009000052000000000000000005800000000 -INIT_03 = 0000005F00000000000000570000000000000005000000000000000005077F08 -INIT_04 = 309030808030B0F09B377330F029889599889599857070875F180F5111111119 -INIT_05 = 8A088807F7770F78555983382025000A888027852F25F7870075878803570800 -INIT_06 = 8F807750F5787075370FDD5878012755CA00F075708F89005F0833087C030988 -INIT_07 = 5518851708818F825F70088777D22A800888800008F5F9330F0080F25B7F5FA8 -INIT_08 = 37537088808272883387851285875F8E57007758185785372318870288737372 -INIT_09 = 02D502088C83578830800C8F5278A85551018730B80810A385F8787858273718 -INIT_0a = 080930C85998585800E2C00E00933858895C8775780A82880F8305C57982C0C5 -INIT_0b = 7F38508B007217FD302B728288855890A8A8880888008019000770785075C805 -INIT_0c = 357537533735708020879375339800002800A377E723F25087F1375758957FF5 -INIT_0d = 85772100700700700700E2103721207757F5873E3787857E5138825853578537 -INIT_0e = 053FF375789232575C757587F52107721078075725977830557212085387378F -INIT_0f = 0000000000F0F00000D0000086BC6BA92B300FFF0C0F25F95090035D7017081F -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM11": -INIT_00 = 000000760415AA1100000074004139314BF959F60006A2660000000B0000000B -INIT_01 = 000419910000699101CB26430003F96A0000085F000000120000000B00000000 -INIT_02 = 01521F600000000B0000000B004B53B600060366000001920000003900000001 -INIT_03 = 00000435000000000000005500000000000000050000008B0000000501F94660 -INIT_04 = 1BBD5EB88B0DFB00DF22868B4C984C60584C605841325524120531300000004F -INIT_05 = C7D88018F1880110AA3B4C310F0AADDACBDD04D200146CCDDA81080DD31A10DD -INIT_06 = C1011D31478538C4758F0068CC409DA40DD83201BD000C0DCF08F324400F0CCC -INIT_07 = 72F60393911264C231C3D12680006C0DDB12DD8D8E067BF320008DD5CFAD39A8 -INIT_08 = C499385136D2CE069984D235D41D4A16416683624843024308160DDC8937553F -INIT_09 = 81E381DB1ADD128DA8DD40416134AD877423131DF16C65C9D7A148686D221333 -INIT_0a = 9C0BDDCD150D1DA0DDE9FDDEA0B04D8F1DAF1CD2C09C001C04DCE2A52F14FD83 -INIT_0b = 0ED9BB7FE83A125000068D8E88DAA7C2C1C20C20BDA108CC0131828032F4000C -INIT_0c = B15C47AA26470D3808134B69B31DDA1C00CDE922E8A4635E235523C52C73C376 -INIT_0d = 0583F0442442442442D86FF142FF038F569547266324C412634800638C14C054 -INIT_0e = D21112263265206350188477903C483F04C03152211B4CFE253FF037352D381C -INIT_0f = 0000000000F0F00000F000EFF4A798AA89AAF0FF0AD6F8DE2CDD865E414108F0 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM12": -INIT_00 = 0000000200500087000000020008707800F000F0000028000000000000000000 -INIT_01 = 000080080000000805F080050005F00200000809000000570000000000000000 -INIT_02 = 05077F0700000000000000000000080000001800000005070000005000000008 -INIT_03 = 0000005000000000000000000000000000000000000000A00000000005F05007 -INIT_04 = 800082030083907729950AB008A0005570005570055700705710885111111103 -INIT_05 = CE30378FF73EC7570050CF85CFC203380000A023A88500D0003570800F527830 -INIT_06 = 97A8727871075108A58F88000081D2088008878183A8A0930F7CF878887F90C0 -INIT_07 = 55F1A32327887009580737500888C88338570080828080F8779C03280F02C383 -INIT_08 = A551370737290A85F83725772752885217773758831377958185C00E3055757F -INIT_09 = 88258838582E5302A8008887085C828508787313F57087882885837302951377 -INIT_0a = A080A38270127288338F933808088208522950250CF88C80802E2180595F9335 -INIT_0b = 8293575F285A8508A8823F3F37205787858580F8020888809757A70857FA88B0 -INIT_0c = 9372A57FD575337888552A57F85000887A83FA55938707577307F5017D250825 -INIT_0d = 70388F857857857857080FF857FF883857357072E570075727A378257F800385 -INIT_0e = 057887503107780378703507378F8388F8075707757200E2107FF88250008370 -INIT_0f = 0000000000F0F0000000000C200000000000F0FF0E30F0225F00897257858FF7 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM21": -INIT_00 = 000004E201612263000004E2001A96597B3B26FD000FB53D0000004B0000004B -INIT_01 = 0001955900041559012B53D1000764F200004B120000003A0000004B00000000 -INIT_02 = 01F946990000004B0000004B009B03BC000F053C000003390000005200000049 -INIT_03 = 00000D1100000000000000040000000000000001000004FB0000000F47530199 -INIT_04 = 61BD60BECB43B12802E240FDCCEC1C8D5C1C8D5C18A26F0CC7013300000000F4 -INIT_05 = 026AC10C0CA7F818008404DEA1A80F21AFDDAD00A811F80DD031A10DD06224FD -INIT_06 = 428922893F583848E5C368F35C08CE006DD680001FDBB0032B40427001343408 -INIT_07 = 573656040913DD8C40354D1F2C88E30E2D7DDDBD10008042683F0F5A20000F13 -INIT_08 = 70C5939370EC5C094D0403830DA01D541377745133F427E322D40DDE8E351582 -INIT_09 = 8F068F4D21416181EDDD073210401C231B07B21CD436674D01D4D84611CC7188 -INIT_0a = E0C0F3D2C409DC20F21BB0210C14D08D808DA822C0120AD0DD96FF11FD1ABE36 -INIT_0b = 80F27F86E88B457A889FE020C3A0E614D1D20A10890C03B2C75A3608C0F0C400 -INIT_0c = 143F4089519237485F4044074D0DD0CC1880AE150A281117005663928028B878 -INIT_0d = 14581C05C05C05C051D41140581807C5BD55A434E3AD883220498231695D88E8 -INIT_0e = DC15384F111080F13E43332D081C0081C0315248F708D86FF18180425F1DFD23 -INIT_0f = 0000000000F0F0000000001B080000000000FF0F4431000F8BDDBF38668110D9 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM22": -INIT_00 = 00000027050377070000002700500000A08280FF000F208F0000000000000000 -INIT_01 = 0005000000008000057208070000079700000087000000500000000000000000 -INIT_02 = 05F05000000000000000000000A0170F000F108F000005700000000700000000 -INIT_03 = 0000007700000000000000180000000000000008000000900000000F00077800 -INIT_04 = F5030808D00808507125B790008858027858027853050F83001877111111113D -INIT_05 = 8880D57880E893509D08802F8888DF850F3380AA8371F88339F5278337085CF3 -INIT_06 = 853059305F731875200820F77BC08AD8533008C95F0008A87F88050785708888 -INIT_07 = 55380181827300887778825F703085CF82023303787808050089CFC8779A8F59 -INIT_08 = 2808132317A8788702F5C337C22C8277851795073FF5712588288338E2107037 -INIT_09 = 0880088275F708882233807587885E557270218F27371702C8272D5F88808135 -INIT_0a = 8C08F829081D2E5CF858088590802C820C822C979885C82C0080FF5882880FE0 -INIT_0b = 38FA7F70F30830750379B8D8B5AD5758272580888FD0D5019F09507C07F80C88 -INIT_0c = 57592873283378537378728702833D0050085F571B7387507771217788717375 -INIT_0d = 7893707007007007053787370373708570E5277725208375712A377873508020 -INIT_0e = 3077735F788737F77257957093707937075707838078080FF83737775973FE55 -INIT_0f = 0000000000F0F00000000007000000000000FF0F0088777F00330F70513587F0 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM31": -INIT_00 = 00000132031AA01100000132003739223B3B5331004F31210000001B0000000B -INIT_01 = 0003A1220001A1220C7313210046193200005B92000000A20000003B00000000 -INIT_02 = 47530152000000FB0000007B008600B100410121000007320000045200000021 -INIT_03 = 0000025100000000000004020000000000000041000001B10000000516465952 -INIT_04 = BCB21BBEFD44B824C408F1BD8088CC8E88CC82883D0026246B596400000000F1 -INIT_05 = B4C0E18DC57186623040DD00112034DE0F041DA21233100E3206124F31104042 -INIT_06 = 088E8CDE7C73F870FF7808FC0F181C30083F0C1C348CC042600DD20801DCB0B0 -INIT_07 = 317514230A31DD126804C231C3C8D404D004031380208DD2F011F9C3689A9D2E -INIT_08 = 738C49081DC1650DD964A024A08AD2844338709373C5350513E079616FF121A3 -INIT_09 = 881184D0D3A31A8F06E60081080DFE42383B026922133DD9AD2CE6418F16C612 -INIT_0a = BF4D4D5CC08CEE404D6C04D534DD9AD08A800FC80BD4014FDDB11D30301C0911 -INIT_0b = 769F1F81068720D489821808E8B3DD1D2D2000CC8B040CF83A4A364058B04040 -INIT_0c = 421555DC05C14C054128055DDD2F20A080891D850E33923B354F3138088EFB28 -INIT_0d = 807923143143143144689264182715759D4A15810A1D0384512E8544D70D3808 -INIT_0e = 786655614895D4128863060DF22317D231524C051B74D41140322183759C4625 -INIT_0f = 0000000000F0F00000000045010000000000FFF0F489228000F70285447126B1 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000B00 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/memory/ramrom/ram/Mram_RAM32": -INIT_00 = 0000057705800377000005770050707780720887000F8877000000F000000090 -INIT_01 = 000508770005087700088707000080770000000700000007000000C000000000 -INIT_02 = 00077807000000D0000000F000801E0700081877000000770000000700000057 -INIT_03 = 0000000700000000000000100000000000000007000005080000000050700007 -INIT_04 = 0D0880029003035B088095038900008900008E005E11707002000011111111A8 -INIT_05 = 0009250007253A0788C800A85757802FDF8853875958887F887085CF85878808 -INIT_06 = A09208D2501FF078FF7388F0799858888F8F709070F0BA050780058085000808 -INIT_07 = 551078888031337500800958079828802C8F88883858C005F7519385003832C2 -INIT_08 = 757081818A8585C0082187958C882937357528231700588073A85F850FF85895 -INIT_09 = 8378802C288582088CF888357082F85538329873951372088290A217085708C7 -INIT_0a = 09C00288BC08A888028080288C00882CC80C9980802885F9338872375C808378 -INIT_0b = 975F8F388C857E3588388080208832729297C90D888880985989579870F8888A -INIT_0c = 7387750E85D800385E5387500E5F8889098382001F5C0552305F7835837FF887 -INIT_0d = 072C55785785785787830557895A57257352873782837835777295750D337888 -INIT_0e = 88005E581305958530577073FF5572D55707807A520737873795A538507F5210 -INIT_0f = 0000000000F0F000000000A0000000000000FFF0303051377DF88537589580F7 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:4096X4 -WRITEMODEA:WRITE_FIRST -INIT_A = 0 -SRVAL_A = 0 -PORTB_ATTR:4096X4 -WRITEMODEB:WRITE_FIRST -INIT_B = 0 -SRVAL_B = 0 - - -RAMB16 "zpuino/stack/stack": -INITP_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INITP_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_00 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_01 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_02 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_03 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_04 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_05 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_06 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_07 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_08 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_09 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_0f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_10 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_11 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_12 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_13 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_14 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_15 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_16 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_17 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_18 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_19 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_1f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_20 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_21 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_22 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_23 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_24 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_25 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_26 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_27 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_28 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_29 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_2f = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_30 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_31 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_32 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_33 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_34 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_35 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_36 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_37 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_38 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_39 = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3a = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3b = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3c = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3d = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3e = 0000000000000000000000000000000000000000000000000000000000000000 -INIT_3f = 0000000000000000000000000000000000000000000000000000000000000000 -PORTA_ATTR:512X36 -WRITEMODEA:WRITE_FIRST -INIT_A = 000000000 -SRVAL_A = 000000000 -PORTB_ATTR:512X36 -WRITEMODEB:WRITE_FIRST -INIT_B = 000000000 -SRVAL_B = 000000000 - - - -Section 12 - Control Set Information ------------------------------------- -No control set information for this architecture. - -Section 13 - Utilization by Hierarchy -------------------------------------- -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| Module | Partition | Slices | Slice Reg | LUTs | LUTRAM | BRAM | MULT18X18 | BUFG | DCM | Full Hierarchical Name | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| papilio_one_top/ | | 70/3384 | 0/2338 | 140/4215 | 0/88 | 0/20 | 0/0 | 0/3 | 0/2 | papilio_one_top | -| +clkgen_inst | | 2/2 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 3/3 | 2/2 | papilio_one_top/clkgen_inst | -| +crc16_inst | | 87/87 | 76/76 | 85/85 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/crc16_inst | -| +gpio_inst | | 645/645 | 458/458 | 671/671 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/gpio_inst | -| +ibufmiso | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ibufmiso | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ibufmiso/sync | -| +ibufrx | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ibufrx | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ibufrx/sync | -| +obuftx | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/obuftx | -| +ospiclk | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ospiclk | -| +ospics | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ospics | -| +ospimosi | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ospimosi | -| +pin00 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin00 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin00/sync | -| +pin01 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin01 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin01/sync | -| +pin02 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin02 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin02/sync | -| +pin03 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin03 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin03/sync | -| +pin04 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin04 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin04/sync | -| +pin05 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin05 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin05/sync | -| +pin06 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin06 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin06/sync | -| +pin07 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin07 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin07/sync | -| +pin08 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin08 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin08/sync | -| +pin09 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin09 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin09/sync | -| +pin10 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin10 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin10/sync | -| +pin11 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin11 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin11/sync | -| +pin12 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin12 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin12/sync | -| +pin13 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin13 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin13/sync | -| +pin14 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin14 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin14/sync | -| +pin15 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin15 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin15/sync | -| +pin16 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin16 | -| +pin17 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin17 | -| +pin18 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin18 | -| +pin19 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin19 | -| +pin20 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin20 | -| +pin21 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin21 | -| +pin22 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin22 | -| +pin23 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin23 | -| +pin24 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin24 | -| +pin25 | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin25 | -| +pin26 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin26 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin26/sync | -| +pin27 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin27 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin27/sync | -| +pin28 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin28 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin28/sync | -| +pin29 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin29 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin29/sync | -| +pin30 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin30 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin30/sync | -| +pin31 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin31 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin31/sync | -| +pin32 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin32 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin32/sync | -| +pin33 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin33 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin33/sync | -| +pin34 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin34 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin34/sync | -| +pin35 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin35 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin35/sync | -| +pin36 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin36 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin36/sync | -| +pin37 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin37 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin37/sync | -| +pin38 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin38 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin38/sync | -| +pin39 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin39 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin39/sync | -| +pin40 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin40 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin40/sync | -| +pin41 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin41 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin41/sync | -| +pin42 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin42 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin42/sync | -| +pin43 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin43 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin43/sync | -| +pin44 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin44 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin44/sync | -| +pin45 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin45 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin45/sync | -| +pin46 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin46 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin46/sync | -| +pin47 | | 0/1 | 0/1 | 0/1 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin47 | -| ++sync | | 1/1 | 1/1 | 1/1 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/pin47/sync | -| +rstgen | | 24/24 | 33/33 | 43/43 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/rstgen | -| +sigmadelta_inst | | 83/83 | 105/105 | 70/70 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/sigmadelta_inst | -| +slot0 | | 25/133 | 12/109 | 17/99 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot0 | -| ++zspi | | 79/79 | 72/72 | 58/58 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot0/zspi | -| ++zspiclk | | 15/29 | 8/25 | 7/24 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot0/zspiclk | -| +++pr | | 14/14 | 17/17 | 17/17 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot0/zspiclk/pr | -| +slot1 | | 27/131 | 12/111 | 19/101 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot1 | -| ++zspi | | 77/77 | 73/73 | 58/58 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot1/zspi | -| ++zspiclk | | 14/27 | 9/26 | 7/24 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot1/zspiclk | -| +++pr | | 13/13 | 17/17 | 17/17 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot1/zspiclk/pr | -| +slot11 | | 26/181 | 18/138 | 11/202 | 0/16 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot11 | -| ++fifo_instance | | 22/22 | 17/17 | 32/32 | 16/16 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot11/fifo_instance | -| ++rx_inst | | 27/60 | 23/45 | 17/62 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot11/rx_inst | -| +++baudgen | | 26/26 | 17/17 | 39/39 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot11/rx_inst/baudgen | -| +++rxmvfilter | | 7/7 | 5/5 | 6/6 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot11/rx_inst/rxmvfilter | -| ++rx_timer | | 23/23 | 17/17 | 38/38 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot11/rx_timer | -| ++tx_core | | 26/26 | 24/24 | 20/20 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot11/tx_core | -| ++tx_timer | | 24/24 | 17/17 | 39/39 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot11/tx_timer | -| +slot13 | | 319/319 | 244/244 | 449/449 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot13 | -| +slot14 | | 2/10 | 1/9 | 1/3 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot14 | -| ++sid | | 8/8 | 8/8 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot14/sid | -| +slot9 | | 64/82 | 56/58 | 92/108 | 0/0 | 0/10 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot9 | -| ++ram | | 18/18 | 2/2 | 16/16 | 0/0 | 0/10 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot9/ram | -| +++ram0 | | 0/0 | 0/0 | 0/0 | 0/0 | 8/8 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot9/ram/ram0 | -| +++ram1 | | 0/0 | 0/0 | 0/0 | 0/0 | 2/2 | 0/0 | 0/0 | 0/0 | papilio_one_top/slot9/ram/ram1 | -| +timers_inst | | 47/334 | 0/267 | 75/401 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/timers_inst | -| ++timer0_inst | | 141/155 | 142/159 | 180/197 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/timers_inst/timer0_inst | -| +++pr.tmr0prescale_inst | | 14/14 | 17/17 | 17/17 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/timers_inst/timer0_inst/pr.tmr0prescale_inst | -| ++timer1_inst | | 132/132 | 108/108 | 129/129 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/timers_inst/timer1_inst | -| +uart_inst | | 21/183 | 18/143 | 6/212 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst | -| ++fifo_instance | | 28/28 | 23/23 | 48/48 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/fifo_instance | -| ++rx_inst | | 28/57 | 23/45 | 17/62 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/rx_inst | -| +++baudgen | | 23/23 | 17/17 | 39/39 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/rx_inst/baudgen | -| +++rxmvfilter | | 6/6 | 5/5 | 6/6 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/rx_inst/rxmvfilter | -| ++rx_timer | | 25/25 | 17/17 | 38/38 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/rx_timer | -| ++tx_core | | 28/28 | 23/23 | 19/19 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/tx_core | -| ++tx_timer | | 24/24 | 17/17 | 39/39 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/uart_inst/tx_timer | -| +ym_sd | | 11/11 | 19/19 | 9/9 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/ym_sd | -| +zpuino | | 8/1049 | 0/526 | 11/1582 | 0/32 | 0/9 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino | -| ++core | | 746/837 | 308/374 | 1265/1380 | 0/32 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/core | -| +++shl | | 91/91 | 66/66 | 115/115 | 32/32 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/core/shl | -| ++io | | 110/194 | 88/149 | 100/182 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/io | -| +++intr_inst | | 84/84 | 61/61 | 82/82 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/io/intr_inst | -| ++iomemmux | | 3/3 | 0/0 | 3/3 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/iomemmux | -| ++memory | | 7/7 | 3/3 | 6/6 | 0/0 | 0/8 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/memory | -| +++ramrom | | 0/0 | 0/0 | 0/0 | 0/0 | 0/8 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/memory/ramrom | -| ++++ram | | 0/0 | 0/0 | 0/0 | 0/0 | 8/8 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/memory/ramrom/ram | -| ++stack | | 0/0 | 0/0 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | papilio_one_top/zpuino/stack | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -* Slices can be packed with basic elements from multiple hierarchies. - Therefore, a slice will be counted in every hierarchical module - that each of its packed basic elements belong to. -** For each column, there are two numbers reported /. - is the number of elements that belong to that specific hierarchical module. - is the total number of elements from that hierarchical module and any lower level - hierarchical modules below. -*** The LUTRAM column counts all LUTs used as memory including RAM, ROM, and shift registers. diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.ngm b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.ngm deleted file mode 100644 index c2fe47c8..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.ngm +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$51f`~6<9;1/^hxfshmm>%7):678=1;<=>6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;?4193456789:;I0123456789=;<=>?0123456AN9L;<56876D34657N>:>=>;77G274>6789:;<=>?F1D3456789:;J31D3673A8922;?;884:EB22739:;<=>?012E4C6789:;<=>>B1:3456789:;?012345@7N9:;<=>?0166446789:;<=>?FGD3B1>>:;2;<=H803:60116:?H:8=5?012345678O:M<=>?01234D6789:;<=>?012EBC648::>=>98DG:;161200?3I0G23456C89:;<58MC7@B=7D489LMJ=M?F37E=06>899>H:>>71:2B16<89:;<=>?01D3B56789L;<=KIF5C4==GF00KJJ=HI0@F5B=BB:JNO4;;J40624=@7<91;<=>?0103406781=;<=>?010345>08>2;?0G23456509:;<=>?0123456789:?<6>?0123426789:;<=>?0123556789:;<=>?0123426789:><5>?01234537?9:;<::?;1234566N9:;<=>?01234565:9:;<=>?01234567:9:;<=>LB1234567<9;>><76244567898<<=;?0123E5>509LM<=>I21:E456789:;<=>?012305=789:;<>=?00C343?789:;<>=?01452637JO<8=>6?01001<>789:;<=6?012345?382:;<=>?5123456789:;<=>?0123456789:;<=>?01234567I9:;<=>?0126B5378>>;7=>?0126356288;;<=>?0126356789:<<=>I09:44567=1:;<=>?0123=56789:;59>40123453A89:;<=>?0123453089:;<=>?0123456289:;<=>?012345678<:<;K>7419345678>:;<=>:0623454789?;<=;?03D34567I9:;<=>?01034567892;<=>?01274>6789:;;;>;14CB546789:;;9>?401:742EN0?2J;>?07C1236789:;?01234G2739:;<=>87123456789:;<=>>8123456789:;<=>?8123456>89:;<=>?F12332>78=:0<=>?0011467FI9;:<=>?00114550;089?O=M5212452A;88:<=>?013A456789:I8=5?0123607789:;<=>?0123054789:;<=>?0123417789:;=O??01234536>=<>58<;0:234563;<:;<=>?01234567=<:;<=>?012345678<:;<=>?8C2345678<::J4:96163?56789>N>=?91305756789>N>=>>A846=2D4J;?FC70@56789:?N=>?0127F16<89:;<89804:3461089:;<8980173351009=9<568012E<=1089:;<=H?012345?7<91;<=>?D033456789:;<=>?0163456789:;<=>?01334567?01D73057903?<6>?01454067899=<8>;6C7:246788?JMK>?0125F36789:;8O>?01234=6789:;<=:?;1234=6709:;<=>?817E4=67=9:;9K>?212345>719:;<=>:7123456789:;<=>?0593457382:;<<7:58234172=0::>O;?4C23456789>0<=>=4193455F9;8;<=?N1303G256;;;;<98>92034562J08;<=>?0@0345678:H;<=>?01274>678=;25<>?014:=466KK8=8>>?02D:2D6789:39K>?0123576789:;?0123452739:;9=>?01234=6789?<>=6?7123451>?9:;<=>77123456289:;<=>?012345678=:0<=>:0954456281=<<=>78624456709=<<=>?0124456789:<<=>?01A3456789:;8=5?0154<51789:;?01234C6781::I=9?01:2<21789:;<:9?0123451789:;<89;0:234<4289:<>8=N06:;G=67I1?<9:6?2GFE<=340>?295677G:;35>2KO2>4:6709684527<2:;:=:401:30>67LJ80<<:4002005=79<8:J;>?012345D789:;<=L?05@67G0789<;?;8?01235<4789:;<>7?0123457382:::98:A023456781:;<=>?09234G>1M1:;?:;<=>?102345678<>0<<7641935C?3>03;<=>?05@345678=H;<4L?3CA345@7=:I;<=>?32;345678<8;<=>?05;1?543398;<9>4034BG46D8JKAGFGEA67I1;:J5>KD112E46CL9<9>9HK41936A37:923O5=:79:04=67K1L>>:6N8476557??::I4=6>0@1;1C>00>2>4?9<70:7?557:=1;8=;;;163B1=7<=>?<6>:06234=6789:;<5>?01234=6789:;5=>?0403456789:;<=>?01231=6789:;<=:?;17332@7?9:;<=>?0123456789:;<=6?012344>789:;<=;?7123456289:;<=>?8528405AN:=>;57=33741F12?<20651551?8>?<>878791310159;:39>6836:E05=7=>23;8><1154<5500>:89=9<79D;7=>A09=>;=9856034@468>LM45<:012;726382:>4>H?45070723:=>9H58IF061BC640O?=58:8274061E39;<885>?62:@51E7==>;7=;I0423456789:;<=>?0123456F88=;J=>?F02;B56789?<<=>?0123356789:;<95?68274>60:J?>;5;8845;12>00::>9:665@746014=;2;8>K325;<=2<81:;8=5?81;04F>2102>486?0D0@45C78098486794A;323009K3>56?F9131F30118H7812;<712N>:345<=32:330>001?N989=7102;<?092:?8:?;1:;A=ECM>IAK9L:43ON>;2723@A4709;OOO>M052847A9:;47>709834N>?890@G=6D102;4=<784D:76>??;9<9N6?825;0>6D81>;7=J?89236<67;99>N=6708737=?789:35=>?09G340C69>:<<=98E:F<=BFI>I9O83:377@389:H86>I0963?5@C0;:=>5:>19635134N9NOMI8K29FG4554092G04=6:2;;86?>1G37?47=AGZ^X7OKDSC?55<768>0=<4FNQWW>DBCZK6:<7>115926?OIX\^1MIJ]A=32>586<2;96D@_UU8B@ATE48;1<3:412106>73<91:8>H;09;65<44?=2>J9>;4157@5BC8JI:45>NE073E7>698=;<889380;47>E0>2?7<:;4528513?9J:>O=;L04A314B398>;95?706A6FA32I=9?I>OK8911652>0;9::4;K89G;51=6<3CE\XZ5AEFQE974294:86?;:HLSQQ6;KMKPR=_@DLSL2>5;2=50=6>3CECXZ5WHLD[G:6=3:5=85>6;KMKPR=_@DLSN2>5;2=55=603CE\XZ5A=34>58682;36D@_UU8A841=87;87<65IORVP?DDAG6:;7>1149223?69991:6D@_UU8GMD:7294:<6?5IORVP?BNJ5:1<3?>;08JJUSS2MC[M1>50?32?47=G\^[YY4KOQ@?4?69<91:M986604E3725K:9385HMF817406C;>>;<9MIC302@=@3NKN9J5>KDB23<=BFN9:9710K>H55:L617;0F07=1>:??;:25314056;9:;<=>?4G3867=58=19>?=;;30121=5:;=?7?=?359172533;>JI9>4292;56449>K3;56803D6G650K;8H4?988434=060;OL>;<<:890;<65?M;;;;==84:0;6D2<:123869>J:67725E3242?0?<<4=806;03512?9=3?5>881:3BC>4?:=<;8783GG6116<;93;?=6?8113F5@71K9<;>=?F10:<=?210235876845335>0=O;31032547>9:18=#<:0123456789:;<=<9;23115(789:;<=>? qQ#LLAO(<:%<=>?01234%#6929:>8>5pR8KMBN==9/?<6=>7437666F;8NO=?8705A174E4K1N?<9J?052056>7:92OH?H?10D:G60?1H3O>H<43331?64=AGZ^X7JFA=12>586:2996D@_UU8GMG:493:5=>5<2;MVPUSS2MEJ0>?50?30?64=G\^[YY4KOC>05?69<298=>:432000>54;;>;7>;852567614=>:377814165>09:;<59I125630>>=>LM95287234?9234=6=73:;76>00<;948685G:F1267?>?3=5;88414667?0>:9459<725105=40OKI31:04237;>3I4I6M5@F630>5?;?3<567FE2G157>N93><:M?7G:3G=>6;291EC^ZT;AWHVCK4;0;2i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i7LJKR@>25?6912KOH_O310<:?DBCZH6:>3l4AEFQE97429427LJKR@>27;>GCL[K78364AEFQE93902KOH_O36?:8EABUI5=5m6OKDSC?c9B@ATE48:1<374AEFQF9776k1JHI\M<0394;?>89B@ATE4885n6OKDS@?56<7601JHI\M<01=<>GCL[H7=364AEFQF94902KOH_L33?:8EABUJ5>546OKDS@?1;>GTQGI:7O<4B163?G6ENMN>M80A24ABDL=NOONLIDE@25D@60H89?<>NE1F1EGC3008;8?6ND1F@77=E9:1I===4B030?G75;2H:?>5M1518F43382H:9N:8A@05026C;1:3=>:M68@05ABF9J:;OIK623G;E104=L8898<D5:2H8>6L;419A0F6B91NH9:?76700416CL9::=?><4EG3B526LH8:>>=>01G06506:=L8=<>;0501?G353K>49A8449=2H7=>0:;C>20;3285M<04=3>D;9>0;285M<05=0>D;97>0N1<14:@?7;2586L35?68F909<2H7;3:4B=:=0>D;17>0NL=?419AGG46JKOMH9:M836@05>A9KN3<56LC9G;=6365F0?1<9:;<=>?0G37?GENF<1IOD@?5:@@MK713KIBB<>9;CAJJ4713KIBB<<9;CAJJ4513KIBB<:9;CAJJ4313KIBB<89;CAJJ4123KIBB?;4BBKM70=EK@D?96LLIO76?GENF??0NNGA749AGLH?=2HHEC78;CAJJ96902HHEC2>0?:8FFOI48;546LLIO>26;>DDAG6::3o4BBKM841=8720NNGA<05=3>DDAG6:2:5MCHL?6;108;CAJJ929?2HHEC2:>69AGLH;>7=0NNGA<6<4?GENF525;6LLIO>::0=EKF__:6LLOTV32>DDG\^:;6LLOTV242=EKF__=<94BBMVP4403KIDYY?<7:@@KPR6<>1IOB[[1458FFIR\8<<7OM@UU342>DDG\^9:6LLOTV02>DDG\^?:6LLOTV62>DDG\^=:6LLOTV42>DDG\^3:6LLOTV:<>DDG\^7<374BBMVP977601IOB[[<03==>DDG\^7=?06;CALQQ:6;730NNAZT=37:<=EKF__0<;19:@@KPR;9?4i7OM@UU>23?6912HHCXZ316<;?GEH]]6:255MCNWW878?3KIDYY2<>99AGJSS4=437OM@UU>6:==EKF__0;07;CALQQ:0611IOB[[<9<;?GEH]]6229>4BG6G0A3DK92HIH:?4E4@41B2;=NO5LJ?8@:34CE?8KN?<<:IDE@25A57;9;H<4?>4:@EBC3E33J:3<9>4C0225A56<>2>?5M;74:E450?KJ>;5IO;0EF;7766JM:;KCG2;B643<9:M25>6:124G6FC7>0338I<<5E62@1G6>=;=:5=925:77543;92::=JKC9;03034N=:0O:J7813;B4>?88;;ML=M4B124C6FIMNJOOJK05F14573>JIOHL6>092G@67F99NO86M7CE08GDd6?012B475F01?;?02744=67N<8<4>><;BGB7>EBJ:1HI]:?;BD:4M739@M0=DCK_M?6MCK59@HN613JF@=5>9;BNH62623JF@>U64CMI1\4>7=2IGG4>;;BNHE1=DDBH>7NBDDWa8GIMC^VNBZDJJ5:AOOCD23JF@JU64CMIE\4>7k2IGGKVPDHTJ@@c2:AOOJSSWYBJ_HQ\HHDWg>EKCVLNIILNCJ18GIT>3JEFADZ[EE48GJHD][20OB@\T@LW54=DGGY_MCZPTSCPLFGI?2IYILZJD29@PI32I_@^KC7:AWHVCK8>1HXA]JL058GQJTME827NZCSDN?4;?>c9@PIUBD581<374CUNPAI:5681O8=5K20326704:??9<;=:00:;021>8:I?4>H?4B20504598H?OKK=52DE4614=;N84;;E7EB1=C>>=h7IO[A^UJ@QNXIj1OMYOPWHFWLZD382NH=8=74G3255>7N89N8>=<2E2;5F6708I;?<;=49D44=B?>OL:4<6?437E5A@C::<0HNCPSQf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j;EP@[VSDKLYXJXB:;EPJR@3I3E0@05?CK;:M>4:G[TDHCMMUHCC][R@KMPV763LR[MCJJD^KPAZ@NDL80J<:4F0326>@5<91M>9:766F;7521L12N4==K847G@063KKIO<5J;902@635BM8238K?733264=5?NO3?7KM<9>4F4204=6?L9:3<5;?7006F2?7892395>=797;471?=::>@?<2L3<5:4F9:;0>@?NK>0J4LK4:DBG=g<6G33456789:=86HLBC08BA296HKCQG0?CBB;2LO\>5IDS68BATB<2LO^^;4FEPPA6=ALZ>0JI]J2:DF0>@B==>0JHHI2:DE0>@A88>0JK??4:DE6=2@A?O>0JK6?4:DE@AL<>0JKKN4:DEB@56G?2:K26>O5:2C886GMUG78MGSAO8:0EO[I_EGOE\YQIE_No6GMUG]OKRYW_[o0EABJG@N\PDT@M]80EC=4IOC0?LHE02CEJH\]EE:8MKOSXV:;46GAIUR\44>=8:KMMQVX8:20ECG[P^27<>OIA]ZT<864IOKWTZ6102CEEY^P06;8MKOS[]K_I:5FNHV\451N7:KMMQY7J>1BBDZP0B58MKOSW9N<7D@FT^2F3>OIA]U;J:5FNHV\551369JJLRX9==0ECG[_074?LHN\V;=;6GAIU]232=NF@^T=594IOKW[4?03@DBXR?N7:KMMQY6J>1BBDZP1B58MKOSW8N<7D@FT^3F3>OIA]U:J:5FNHV\651594IOKW[7?03@DBXR1BBDZP2B58MKOSW;N<7D@FT^0F3>OIA]U9J:5FNHV\751?8;HLJPZ55?2CEEYQ<369JJLRX;==0ECG[_274?LHN\V9=;6GAIU]032=NF@^T?594IOKW[6?03@DBXR=N7:KMMQY4J>1BBDZP3B58MKOSW:N<7D@FT^1F3>OIA]U8J;5FNHV\E3=NF@^TN>5FNW:8MKPBZ]OOi6GA_OEG[DHCWKIO>6G@5:KLFP@13@EHC@^n;HMPPDHCI[N=7DA[YQG7?LVFLj1GIJOC_UCQC@R53EC<7AGMR@PZ2>JHIMOO?6B@C99OKFGKJ\L=7AALKDF4?II@AJKG?6B@W29OQQ22F^X>QK6:NVP6YK<2F^X984LTV7[A03DFTKH@JR@VF@ZVH[]'L^L@FTDa8IPJS91R:4^G@3:OV\0=J]QIR96CZXG76?HS_N?i0Ald`rWgqwliik2Gbbb|Yesqjkk4H6;2D:<95A1126?K7789<0B<>?1078J467:<1E==><5:L245223G;;<8;4N02320=I99:<96@>01:6?K7780>0B<>>5:L244623G;;=<;4N02260=I99;896@>0066?K779>649M5570=2D:<<6:;O335<30316?K77:=?0B<>=549M5541=2D:<149M5555=2D:<>=:;O33713H68:=>7C??3978J4641=1E==::;O33050896@>0566?K77<;649M5520=2D:<96:;O330<296@>0426?K77=8?0B<>:249M5534=2D:<8::;O33103:85A11741>H68<2>7C??5868J461=2D:<;>9;O3324723G;;:?;4N02570=I990776?K77>??0B<>9749M550?=2D:<;7;;O3330=I99=;96@>0636?K77?;?0B<>8349M5513=2D:<:;:;O33333H68>3>7C??8178J46?9<1E==6=5:L24=523G;;49;4N02;10=I992=96@>0956?K7701?0B<>7949M55?7=2D:<4?:;O33=73H680?>7C??9778J46>?<1E==775:L241178J4778<1E=<>>5:L255423G;:<>;4N03300=I98:>96@>1146?K768>?0B=2D:=<>:;O3254385A10301>H698>>7C?>1478J476><1E=23G;:=4:4N0311>H69;:>7C?>2078J475:<1E=<<<5:L257223G;:>8;4N03120=I988<96@>13:6?K76:0>0B85A10101>H69:>>7C?>3478J474><1E=<=85:L256>23G;:?4;4N03740=I98>::6@>15321>H69=8>7C?>4278J473<<1E=<::5:L251023G;:8:;4N037<0=I98>296@>1426?K76=8?0B:85A10741>H69<2>7C?>5868J471=2D:=;>:;O322401766?K76>1626?K76?8<0B16:6?K76?0>0B85A10:01>H691>>7C?>8478J47?><1E=<685:L25=>23G;:44:4N03:1>H690:>7C?>9048J47>98?0BH6902>7C?>9868J447=2D:>=>:;O314402166?K758=6:;O314<3H6:8;:96@>2006?K759:?0B<<>449M5772=2D:><8:;O31523H6:;:>7C?=2078J445:<1E=?<<5:L267223G;9>8;4N00120=I9;8<96@>23:6?K75:0>0B<<<5:L266623G;9?<;4N00060=I9;9896@>2266?K75;>6:;O317<3H6:=8>7C?=4278J443<<1E=?::5:L261023G;98:;4N007<0=I9;>296@>2426?K75=8?0B<<:249M5734=2D:>8::;O31103:85A13741>H6:<2>7C?=5868J441=2D:>;>:;O3124385A13401>H6:?>>7C?=6478J441><1E=?885:L263>23G;9:4;4N00440=I9;=:96@>2606?K75?:?0B<<8449M5712=2D:>:8:;O31323H6:1:>7C?=8048J44?98?0B<<7249M57>4=2D:>5::;O31<03H6:12>7C?=8868J44>=2D:>4>:;O31=4385A13;01>H6:0>>7C?=9478J44>><1E=?785:L26<>23G;954=4N017?K748<1E=>>>4:L27433637?K740<1E=>6>4:L27<35A1568J427=2D:8=?:;O37472:96@>4036?K73:8?0B<:<159M51?43G;>86@>5168J436=2D:90B<8<4:L22026968J415<2D:;9:4N0550>H6?1>0B<6?4:L2<728768J4>?<2D:5=:4N0;10>H61=>0B<794:L2==40B?>?4:L1472<:;;O0221=I:82?7C<=059M67433G89895A2347?K450=1E>>>;;O0061=I::>?7C<<829M613:=95A2510?K42<2D99=:4N3710>H5>=>0B?894:L1<12H51?>0B?774:L0452H4;8>0B>==4:L0762H4;0>0B>:?4:L0042986@<4268J623<2D888:4N2650>H4<>>0B>:74:L00<2:4N2770>H4=<>0B>;94:L0122H4>:>0B>8;4:L020233G>9<95A4307?K25<=1E8?8;;O67<1=I<=3?7C::059M00733G>>>95A4417?K22<=1E88;;;O6621=I<<287C:94:L7252H3?;>0B99;4:L73323>95A4967?K2?>=1E856;;O6:41=I<08?7C:6459M0<033G>24?5A559M15633G?;>95A5167?K37>=1E9=6;;O7241=I=88?7C;>459M14033G?:495A5327?K35:=1E9?:;;O7121=I=;2?7C;<059M16033G??>95A5567?K33>=1E996;;O7661=I=?8?7C;9459M13033G?=495A5627?K30:=1E9::;;O7421=I=>287C;74:L6<521<2D>45:4N4;30>H218>0B87=4:L6=12;;O4261=I>8>?7C8>659M24>33G<9<95A6307?K05<=1E:?8;;O41<1=I>::?7C8<259M26233G<8:95A62:7?K03:=1E:9:;;O4721=I>=2?7C8:059M20433G<>895A6447?K020=1E:;>;;O4561=I>?>?7C89659M23>33G<<<95A6607?K00<=1E::8;;O44<1=I>1:?7C87259M2=233G<3:95A69:7?K0>8=1E:4<;;O4:01=I>0:;86@80368J263<2D<<;:4N62;0>H099>0B:?=4:L4512;=86@81918J2433G=9<95A7307?K15<=1E;>>;;O5061=I?:>?7C9<659M31633G=?>95A7567?K13>=1E;96;;O5641=I?<8?7C9:459M30043G==86@86168J205<2D<:9:4N6450>H0>1>0B:9?4:L4372=?86@87768J21?<2D<4=:4N6:10>H00=>0B:694:L4<=23;86@89368J2?3<2D<5;:4N6;;6>H?<2D3<=:4N9210>H?8=>0B5>94:L;4=2H?:9>0B5<=4:L;612H?;?>0B5=74:L;052:86@74368J=23<2D38;:4N96;0>H?=9>0B5;>4:L;172H?>=>0B5894:L;2=2H?09>0B56=4:L;<12H?11>0B4>?4:L:472H>9=>0B4?94:L:5=2;:4N80;0>H>;9>0B4==4:L:712H><1>0B4;?4:L:132H>>1>0B49?4:L:372H>0?90B47;;O;:61=I10>?7C76559M=<033G32;95A98:7?K?>101ENRLZSHF[e>HEWK_XBLCJ7:LFPRIUC=1ECCK7;OMW[UN^[81D:6A!60zg<>I)>8roSA<:;N?+,-xN8#"#rD?%I3+(M4/.#!C;&D?&)+|J7,7b3F7#$%pF0+*J5/O5! !#E=$F1(+(M6/6m2E6$%&qI1(+M7,N; # $D>%I3+*/L7.901D1%&'~H2),L4,A:#"'D>&*H3*5d=H5!"#rD>%(H0(M6/.#@:"&sG>)328K8./ wC;&%G=+K0-,-/A9 uE?'&*H3*60=H5!"#rD>%(K2.{O4! !#E=$'I0(J7,/.H@8"><5@=)*+zL6- wC9'D=&)**J4/xN: #!rD?&189L9-./v@:!E<'$(H2)M7/."@9"=l5@=)*+zL6-A8# $D>%I3+*.{O4!830C0&'(K3.L7.#!C;&D<&)*K0-4g)**J4/O4! !B>$?6;N?+,-xN8#C:%&G?)+*J6.O4! ;m7B3'()|J4/O5!""B<'&F2*K0-,/-v@;"=l5@=)*+zL6-A;# $D>%I2+*.{O6!8k0C0&'(K3.L4.#!C;&sG<)(BJ5,7b3F7#$%pF0+K0-..N8#"B>&G<)(+)M4/6n2E6$%&qI1(J7,-/A9 #E?%F3(+*.{O6!;:0C0&'(K3.{O6!""B<'&F1A|J7,/."@8"=l5@=)*+zL6-v@;"'%G?*H0*-.O4!8h0C0&'(K3.{O6!""B<'pF2(+)M6/592E6$%&qI1(}M7/, @:!$sG=+H1*-,,yA8#:?6A2()K3.-O6H@8"%&G<)018K8./A9 #E?$F3(+(M4/6<2E6$%G?*)K1.{O4! !B=$?;;N?+,L6- wC9&D=&)*K2-43I: !C;&D?&+)|J6/O4! o0C0&'I1(J6,-N9 o0C0&'I1(J6,FN9 l0C0&'I1(}M7/,A8#:86A2()K3DL7."!C9&sG<)(36?J;/ @:KrD?&*)K1D{O4! 8n7B3'(K3.-./v@;!E?'$I0+CM6/.#!C;&%G>@)K1DL5.! #956A2()|J4/./ wC:&D=&+H3*.L4.!""B<'&F1*K0-,/5n2E6$%pF0+*+,{O6"@9"'D?&*K1-,-/A9 #E<%'I3)J7,/.!;l0C0&'~H2),-.yA8 uE>'$I0+)M7/.#!C;&%&F1+K0-EO5! #946A2()|J4/./A8 B>$%F3(+(,L6- @;!$D<$I2+*-,443F7#$sG?*)*J5/O5!"C8%$%'I1(+M4,N: #">95@=)*}M5,/ @;!E>'$~H0*-..N8#"B='G<)(+1e>I: !tB<'&'I0BJ6,,N; # $D>%()K2D{O5!"tB?$'&2c9L9-.yA9 #$D?O~H1*.{O5! !#E=$'(H3CzL5."@8"%$=m;N?+,{O7"!"uE<$'~H0)M6/.#!C:&%pF2*|J7,/.!""B<'&F1A*J6EO4! #"?=5@=)*}M5,/ wC:&%pF2+|J7,/, @;!$D<$I2+*-,-/A9 B=$'<1:M>,-xN8#"#rD?%(K1.{O4! !#E<$'~H0(M6/.! !#E=$F1(+06>I: !tB<'&'~H3),{O5#wC8%$%'I0(+M7,yA:#"%$%'I1(}M4/.:o1D1%&qI1(+,{O6"@8"'D=&)**J4/./v@;!E?'$(H3)M6/.! 827B3'(K3.-.yA8 B>$%F3(+(,L6- @; $D<$I2+*-,223F7#$sG?*)*}M4,N; !#E<$'I3(}M6/.! !#E=$'(K2.-O5H@9"%&&F1+*}M7-N; #"%$:7;N?+,{O7"!"uE<$qI3+(,L7- @8!rD=&)(+(,L6- !tB='&qI3(}M6/.#!C:&%G=+K0-,/.!:;0C0&'~H2),-xN9#tB?$%'I0(+zL4,A:#"%$%'I1(+zL7,A;#"%>h4O<*+zL6- !tB=&&F1+K0-,,N: # $D>%()|J5/.N:IC8%$%'I0(+zL4,A:#"%$'<0:M>,-xN8#"#rD?$(H3)M6/."@8"%&&F0+*}M4-/v@8!E>'&)(0e?J;/ wC;&%&qI0)+M4,yA;#"&D=&)**J4/./A8 B>$%F3(+*7==H5!"uE=$'(K2/-O6"wC9%$$qI2+*/-O7"!"uE<$qI2+(,L7-v@8"%$'=f:M>,-xN8#"#rD?$(H3)zL5.!#C9%$%'I1(+,L7-A:# E?'&)318K8./v@:!$D?%(H0)M6/.!""B<'&F1+K1-,/5;2E6$%pF0+*J5/.N:#C8%$'$(H2),L4-A:#"%?l4O<*+zL6- @;!$D<%I2+*-..N8#"uE<%'~H0(zL5.! #9m6A2()|J4/.N9#"B>'pF3(+*/-O7"!C:'%pF2*K0-,/.:h1D1%&qI1(+M4,/A; uE>'&)**J4/.yA8!#E?%F3(+*-7d%(H3),L4-v@9"%$%'I1(+zL7, wC9'D=&)(+00>I: !tB<'&F1+*J6EO4! # $D>%()|J5/.yA; B?$'$(H3)M7/.! 8j7B3'(K3.-O6"!C9LD=&)()+M5,/v@;!$D,-xN8#"B='&qI3(J7,/.#!C;&%G>+)|J6.O4! #">=5@=)*}M5,/A8 #rD<%I2+*-..N8#tB>$'=a:M>,-xN8#"B='&qI3(}M6/.!""B<'&F1**J6.O4! #">:5@=)*}M5,/A8 #rD<%~H1*-,-/A9 #rD<%~H1*-,413F7#$sG?*)K2.-xN:#tB?$'&+)K3.-xN:"C8%$'=1:M>,-xN8#"B='&qI3(}M6/.!""B<'pF1(+0e>I: !tB<'&F1+*}M7-N; #"'%G?*)*}M4,/A; B?$'$(H3),L4Gv@9"%$'&229L9-.yA9 #E<$F2(+(,L6- !C:&D<&+H1*-,433F7#$sG?*)K2.L4.!""B<'&'I0(J6,-yA:#"%?:4O<*+zL6- @;!E?'&+)K3.-O6"!C9&sG<)(+*5c=H5!"uE=$'I0(J6,/, @:!$D?$~H0*-,7b3F7#$sG?*)K2.L4.!""B<'&F1AK0-,/6n2E6$%pF0+*J5/O5! !#E=$'~H3)M6/.!;?0C0&'~H2),L7-A;#"'%G?*)|J5..yA; B?$'&)328K8./v@:!$D?%I3+*/-O7"!tB=&pF2(+*66=H5!"uE=$'I0(J7,/, @:!$%G>*H1*/L4.! 9?7B3'(K3.-O6"@9"%&&F0+*+zL7- @8!rD=&)**J5/.N:"C8%$'&)3c8K8./v@:!$D?%I2+*/-O7"!"uE<$qI3+(,L7-A:#"%$<:;N?+,{O7"!C:&sG=)()+M5,/ @;!rD<&+H1*-,7a3F7#$sG?*)K2.{O5! !#E=$'I0)J6,/.;k1D1%&qI1(+M4-/A; uE>'&)**J4/./v@;!$D<%~H1*-..N9#"uE?$F3(+*-,4e3F7#$sG?*)K2/-O5#@9"%$%'I1(+zL7, wC9'sG<)(+*7g=H5!"uE=$'I0)+M7-yA:#"%&&F0+*+zL7- wC9'sG<)()+M4,/A; B?$'&)(0a?J;/ wC;&%G>+)|J6.O4! # $D>%()|J5/xN: !B?$'&229L9-.yA9 #E<%F2(+(,L6- @; $D<$I2+*-,433F7#$sG?*)K2/L4.!""B<'&F1**J6.xN; #"%9:M>,-xN8#"B=&G=)()+M5,N; #:m6A2()|J4/.N9"C9%$%'I1(}M4/.::1D1%&qI1(+M4-N; # $D>%(H3(,L4,A:#"%$?i;N?+,{O7"!C:'sG=)()+M5,/A;!B?$'&219L9-.yA9 #E<%qI3+*/-O7"!tB='G=)(+2a>I: !tB<'&F2+K0-,-/A9 #E'G<)(+(,L6- @;!E>'&)3`8K8./v@:!$sG>*)K1.L5.! !#E=$'I0)+zL4,v@9"%$'=a:M>,-xN8#"uE<$'I3(}M6/.!""B<'&F1**J6.O4! #">45@=)*}M5,/v@;!$D<$I2+*-..N8#"B=&&F2*K0-,/.:k1D1%&qI1(+zL7- wC9&D=&)()+M5,/ wC:&D<&+H1*-,4f3F7#$sG?*)|J5/.yA; B?$'&+)K3.-O6#!C9'D=&)(+1f>I: !tB<'&qI0(+zL4-A:#"%&&F0+*J5..N:"tB?$'&)358K8./v@:!$sG>*)|J6/O4! # $D>%(K2.{O5! #9<6A2()|J4/.yA8 #rD<%I2+*-..N8#C:%$?5@=)*}M5,/v@;!$sG=*K0-,/, @:!rD?&)358K8./v@:!$sG>*)|J6.xN; #"'%G?*)K2.{O4! #996A2()|J4/.yA8 B>$'$(H2),-xN9#C9%&G<)(+2b>I: !tB<'&qI0(J6,/, @:!$D?$I3+*-73%(K2.L5.!""B<'&'~H3)M6/,A;#"%$'$(H2),L7,A;#"%?64O<*+zL6- wC:&sG=)()+M5,/v@;!$sG=*K0-,/.9k1D1%&qI1(+zL7-v@8"%&&F0+K0-,7d3F7#$sG?*)|J5/xN: # $D>%~H1*-71%(K2.{O4! !#E=$'(K2.{O4!"C9%$'=8:M>,-xN8#"uE<$qI2+*/-O7"!"uE<$qI2+(zL4.! ;i7B3'(K3.-xN9#tB?$'$(H2)M7/.:h1D1%&qI1(+zL7, @8!E>'&)**J4/.yA8 #E?%F3(+*-4`%(K2/-O5#@9"%$%'I1(J7,/5=2E6$%pF0+*}M4-/A;JuE>'&)**J4/.N9"C9%$'=8:M>,-xN8#"uE<%'~H0(zL5.! !#E=$'~H0(zL5.! 8;7B3'(K3.-xN9"C9%$%'I1(+M4,yA;#"%?;4O<*+zL6- wC:'D=&)**J4/.yA8!#E?%F3(+*-4`%(K1.L5.!""B<'&F1*K1-,/5?2E6$%pF0+*}M7,yA:#"'%G?*)K2.-xN:#tB?$'&)0`8K8./v@:!$sG=*K0-,-/A9 B=$'>6:M>,-xN8#"uE?$qI2+*/L7.:<1D1%&qI1(+zL4,A:#"'%G?*)K2/-xN:"C8%$'&279L9-.yA9 #rD<$I2+*/-O7"!tB=&&qI3)J7,/.!8o0C0&'~H2)M4/, @:!$D?$(H0(M6/.! ;27B3'(K3.L7.#!C;&%G=+H1*-,473F7#$sG?*H3*/-O7"!tB='&F2*|J7,/.!8>0C0&'~H2)M4/, @:!E?'&149L9-.yA9 B=$%'I1(}M7/.9=1D1%&qI1(J5,-/A; B?$'>e:M>,-xN8#C9%&&F0+*J5..N:"C8%$'&1g9L9-.yA9 B>$%'I1(+M4-/A;!uE>'&)(3:?J;/ wC;&D<&+)K3.-O6#@9"%$?;;N?+,{O7"@8"'%G?*H3*-c=H5!"uE=$F2()J5,4a3F7#$sG?*H1*/-O7"!"uE<$'~H0)M6/.#!C:&%G=+H1*-,/.901D1%&qI1(J7,-/A9 #E<$F2(+*5d=H5!"uE=$F3()+M5,/A8 uE?'&)0g8K8./v@:!E>'$(H2),L7, @8 E>'&)(3e?J;/ wC;&D=&+)K3.-O6#!tB>&G<)(+*5<=H5!"uE=$F3()+M5,/A8!B>$'&1g9L9-.yA9 B?$%'I1(+zL7, @8 E>'&)(03?J;/ wC;&D=&+)K3.-xN9""uE?%F3(+*-64%~H3*/-O7"!"uE<$'~H0(M6/.#!C:&%pF2+|J7,/.! ;>7B3'(K3.{O6!""B<'G=)(35?J;/ wC;&sG>)**J4/xN: #:;6A2()|J4/xN9 !#rD<%~H1*-4d%~H0*/-O7"!tB=&G<)(+21>I: !tB<'pF2()+M5,N9 #:o6A2()|J4..N8#"uE?%qI2+*-/xN9 ;87B3'I1(+,L7-A;# E>'&129L9-O7"!"B='G<)*K1-,433F7#E=$'(K2.-O5"@9"%&&F1+*J6.xN; #"%?;4O<*J4/./v@;!$D<%I2+*/-O6"!tB>&pF3(+*-4?'&)078K8.N8#"#rD?%~H0*/L5.!8h0C0&F0+*+zL7-v@9"'%G>*K1-,/6i2E6$D>%()|J5..N9#tB>$'%I2+*56=H5!C;&%G>*)K1.L5.! ;?7B3'I1(+M4,/A; uE>'&)068K8.N8#"B='&F2A|J7,/.9=1D1%G?*)K2.-xN:#C8%$'>5:M>,L6- @;!$sG=*K0-,/6=2E6$D>%(H3),{O5#wC8%$'j;N?+M5,/A8 B>$'i;N?+M5,/A8 uE?'&129L9-O7"!C:'%G=*H1*-,743F7#E=$'I0)+M7-N; #"=85@=)K3.-O6#!tB>&pF3(+*a>I: @:!$D?$I3+*51=H5!C;&%pF1+*J6/O4! #:96A2(H2),{O6"!C9&sG<)(+21>I: @:!$sG>*)|J6/O4! #::6A2(H2),{O6"!tB>'pF3(+*53=H5!C;&%pF1+*}M7-yA:#"%k5@=)K3.-xN9#C9%$??;N?+M5,/v@;!rD<&)g9L9-O7"!tB=&G=)(;8K8.N8#C:%l5@=)K3.{O6!890C0&F0**+M4,N: !B?$'>3:M>,L6, !C:&D=&+H0*-43I: @: $D?%(H0(M6/.!8>0C0&F0**J5/.yA; B?$'&149L9-O7#!C:&%pF2+|J7,/.m2E6$D>$(H3)M7/.n2E6$D>$(H3)zL4.!890C0&F0**J5..N:#C8%$'>3:M>,L6, @; $D<$I2+*-42&pF3(+*a>I: @: $D?$I3+*b>I: @: $D?$~H0*-c=H5!C;'%G>@K1-,733F7#E=%'~H3),L4-A:#"%<:4O<*J4..yA8 #E?%F3(+*55=H5!C;'%pF1+|J6,/6=2E6$D>$(K2/-O5"wC8%$'>4:M>,L6, wC:'%G=+H1*-,723F7#E=%'~H3(,L4,v@9"%$?:;N?+M5-/v@; $sG=+H1*-,713F7#E=%'~H3(,{O5#wC8%$'i;N?+M5-/v@; E?'&119L9-O7#!tB=&pF2(+:?J;/A9!B=$o4O<*J4.xN9 ;27B3'I1B+,{O6"@8"'%G>*H1*-,7e3F7#E=N'(K2.{O4!""B='pF2(+*b>I: @:K$D?O~H0*-<=H5!C;LD?&a:M>,L6Gv@;"=n5@=)|J4/./ wC:&sG<)*K2-/xN: #:86A2(K3.-.N9#C8%&G=)(36?J;/v@:!$%G>*K1-.O4! 8=7B3'~H2),-xN9#"B>'G<)()+M4,/v@8 rD=&)(+12>I: wC;&%&qI0(+zL4-v@9"%&&F1+*J6.O4! #"=85@=)|J4/./v@;!E?'$I2+*53=H5!tB<'&'~H3)zL4.#@9"%<:4O<*}M5,/A8 #E?$F3(+*50=H5!tB<'&F1+*J6/xN; #"=85@=)|J4/.N9#"uE?$F3(+*53=H5!tB<'&F1+*}M7,yA:#"%<;4O<*}M5,/A8 #rD<$I2+*-464:M>,{O7"!C:'%G=+H1*-,`%(H3CM7/.991D1%pF0+*J5ExN: #:96A2(K3.-xN9#"B>'G<)(+22>I: wC;&%pF1+*J6/xN; #"=;5@=)|J4/.yA8 #rD<%I2+*-417:M>,{O7"!tB='&qI3)}M6/.!8:0C0&qI1(+zL7-A;#"=<5@=)|J4/.yA8 uE?'&149L9-xN8#"uE<%'I3)J7,/.9?1D1%pF0+*}M4-/v@8 E>'&)028K8.yA9 #rD?$I3+*54=H5!tB<'&qI0)}M7/.j2E6$sG?*K2-43'&)078K8.yA9!#E<$'~H0)M6/.!o1D1%pF0**J5/O5! ;;7B3'~H2(,L7-v@8"%<:4O<*}M5-/A8!#E?%F3(+*50=H5!tB<&&F1**J6.xN; #"=;5@=)|J4..N9""uE?%qI2+*-c=H5!tB<&&F1*K1-,773F7#rD>$(H3(zL4.!8<0C0&qI1)+zL7- wC9&D=&)(35?J;/v@: $sG>+)|J6.O4! #:;6A2(K3/-xN9""uE?%qI2+*-46IR\81[>6^?2:R27>V68:1[=<=4P000?U74;2Z:8>5_1418T4043Y;829S5<4<;Q027>V5::1[>>=4P360?U42;2Z9:>5_2618T7>43Y82>6^<3:R046=W;890\><<;Q107>V4<:1[?8=4P241?U243Y>;?6^;129S014<;Q707>V2<:1[9:=4P4:1?U043Y<;?6^9129S275>90\;6=;Q50?U17;2Z<=>5_7918T2?53Y287]6<3:R;06=W0<90\58<;Q:;7>V?1;1[5>5_9118T<743Y39?6^6429S=0549S8469=2Z7=<0:;Q>26;3V;9<4>7]2>6?78T9706<1[0<615:R?5<833Y6:285_<32=1>V;:84>7]2=2?78T9446<1[0?:15:R?60823Y69:3;4P=04:0=W4;2596^328<7?U:56<1[0>>15:R?74823Y68>3;4P=10:0=W4:>5;6^33483:0=W4:?586^33?68T929<2Z793:4P=4=0>V;?7>0\1614:R?=;55_RDc8TWCCMOCEII:4PSGD54=WZLMTOAEFNWGQWLII=2ZXOAE9;QQGKKC33YYNB55_SHL@@@E63X90]<;>;S68VDBU=2XJH_>:;SCGV4385]AEP0<>TFE8<2XJAI:4R@OPe>TBIMUME_][7:PFIJPBL8:0^HC@VDF\PWGT@JKEn6\JPMK@EQOHF8:0^H\JSNNUAZVFZHGX?6\@M29QWQ23[oxyaz30?c8V`urd}6;23:6g5c8V`urd}6:<3l4Rdqvhq:687;i7_k|umv?5585i2Xnxb{<03=f>Tb{|f0Tb{|f0<0;9:Pfwpjs4;4j7_k|umv?6;7f3[oxyaz32?0b?Wct}e~7>3=n;Sgpqir;:7>27_k|umv?7;g0c8V`urd}682?74Rdqvhq:36h1Yi~{ct=6=5d=Umzgx1:1289Qavsk|5?5m6\jstnw8086i2Xnxb{<4<1e>Tb{|f080<9:Pfwpjs4?4j7_k|umv?2;7f3[oxyaz36?0b?Wct}e~7:3=6;Sgpqir;?7k0^h}zlu>4:4g3c8V`urd}6<2>74Rdqvhq:?6h1Yi~{ct=:=5d=Umzgx1612`9Qavsk|525?45]erwop9?9i2Xnxb{<8<2e>Tb{|f040=a:Pfwpjs4048=6];;RCEA6=TME90_HZ7;RGWV@UB\:1XJK74SHE\GJSI\890_DCPCNNOMVOHFVICINE7;RKN[HICM11XGD^PPHL7?VJB_:1XBF84SQK\GV><[YCTAD]@d:QSMZKN[FUBNXH7;RRJ[HITA>1X\DQ\CJ18WUI43ZXHh6]]C^JJAWGUKAS>7^\C1748WWJ6>L=0__BL17G7?VTT\>1X^[OC_@58WWPFDVH?7^]JT59PWWG33ZYYNn5\T@PWQUYPI@^=7^ZNTTQ4?VRF\\Y;;6][AUWP52=T\H^^_?94SUCWQV503Z^JXX];7:QWEQST=>1XXLZZS758WQGS]Z=27^ZNTTQ?4;?<[]K_Y^2>>89PPDRR[58556][AUWP868>3Z^JXX]34?;8WQGS]Z6>245\T@VVW909j2Y_MY[\<683:<=T\H^^_1917:QWWDBU[11XX^OKRR2;?VRTIMXX=55\TRCGVV4?3Z^XMI\\399PPVGCZZ>j7^Z\AEPP858f3Z^XMI\\<07:==T\\I@SLZ;;R[MG<=TQGITMYZ]139P]VRBEVYRBNF]OOMVW4=Sn2^J^JK[_QCQPQ_WM11_I_CFN@N5?QOBGOLi7Y\NNRRBV@HS;2^YE55[RHQWEQC33]X^I45[S^RFVLIC;2^SH95[YQG5?PHR[LNh7X]JR^TJWLDKM:1]ON?<;WGQ]ZNNOAUM^H_ZEOAZ=>PNM^U_U]K;;VCGV0=PIMX;96YNDS36?RGCZ;?0[LJ]359TGIM53^O87ZKN3:UFF<=PAGMTM1>1a:UJJBYF48:5m6YFNF]B8479i2]BBJQN<00=e>QNFNUJ0<=1a:UJJBYF48>5o6YFNF]B843=87k0[D@H_@>21;?<_@DLSL2>>89TMKAXI58556YFNF]B868>3^CEKRO34?;8SLH@WH6>245XIOE\E90912]BBJQN<6<:?ROIOVK74374WHLD[D:>6l1\ECIPA^2\MJDRN890[D@H_@]3[LIE]O%BNXH>4:UJJBYFW9UBCO[I/N@VBQ`<_@DLSLQ>0^KLFP@6<2]BBJQN_02\MJDRN&CIYK?:;VKMCZGX99UBCO[I/N@VBQ`<_@DLSLQ>1^KLFP@6<2]BBJQN_03\MJDRN&CIYK?:;VKMCZGX98UBCO[I/N@VBQ`<_@DLSLQ>2^KLFP@6<2]BBJQN_00\MJDRN&CIYK?:;VKMCZGX9;UBCO[I/N@VBQ`<_@DLSLQ>3^KLFP@6<2]BBJQN_01\MJDRN&CIYK?:;VKMCZGX9:UBCO[I/N@VBQ`<_@DLSLQ>4^KLFP@6<2]BBJQN_06\MJDRN&CIYK?:;VKMCZGX9=UBCO[I/N@VBQ`<_@DLSLQ>5^KLFP@6<2]BBJQN_07\MJDRN&CIYK?:;VKMCZGX9_HMAQC743^CEKROP1^KLFP@(AK_M=95XIOE\EZ7XAFH^J"AMUGVf?ROIOVKT>RG@BTD27>QNFNUJS?QFOCWE+LDRN8>0[D@H_@]1[LIE]O%DNXH[e:UJJBYFW:UBCO[I129TMKAXIV9TEBLZF.KAQC733^CEKROP3^KLFP@(GK_MXh5XIOE\EZ2XAFH^J<=4WHLD[DY3W@EIYK!FBTD20>QNFNUJS9QFOCWE+JDRN]o0[D@H_@]6[LIE]O;87ZGAG^C\1ZOHJ\L$EO[I159TMKAXIV?TEBLZF.MAQCRb3^CEKROP6^KLFP@6;2]BBJQN_7]JKGSA'@H^J<:4WHLD[DY1W@EIYK!@BTDWa>QNFNUJS:QFOCWE56=PAGMTMR9PIN@VB*OE]O;?7ZGAG^C\3ZOHJ\L$CO[ITd9TMKAXIV2TEBLZF018SLH@WHU3SDAMUG-JFP@6<2]BBJQN_9]JKGSA'FH^JYk4WHLD[DY>W@EIYK?<;VKMCZGX1VCDNXH ICWE51=PAGMTMR7PIN@VB*IE]O^27ZGAG^@?4;g<_@DLSO2>0?c8SLH@WK6:=3o4WHLD[G:6:7k0[D@H_C>27;g<_@DLSO2>4?a8SLH@WK6:97>1a:UJJBYE48?556YFNF]A848>3^CEKRL32?;8SLH@WK68245XIOE\F92912]BBJQM<4<:?ROIOVH7:374WHLD[G:0601\ECIPB=:==>QNFNUI040i;VKMCZDX99UBCO[I159TMKAXJV;;SDAMUG-JFP@6=2]BBJQM_02\MJDRN&EIYKZi;VKMCZDX98UBCO[I159TMKAXJV;:SDAMUG-JFP@6=2]BBJQM_03\MJDRN&EIYKZi;VKMCZDX9;UBCO[I159TMKAXJV;9SDAMUG-JFP@6=2]BBJQM_00\MJDRN&EIYKZi;VKMCZDX9:UBCO[I159TMKAXJV;8SDAMUG-JFP@6=2]BBJQM_01\MJDRN&EIYKZi;VKMCZDX9=UBCO[I159TMKAXJV;?SDAMUG-JFP@6=2]BBJQM_06\MJDRN&EIYKZi;VKMCZDX9SDAMUG-JFP@6=2]BBJQM_07\MJDRN&EIYKZ6;VKMCZE;87k0[D@H_B>24;g<_@DLSN2>1?c8SLH@WJ6:>3o4WHLD[F:6;7k0[D@H_B>20;e<_@DLSN2>5;2=e>QNFNUH0<;19:UJJBYD48427ZGAG^A?6;?<_@DLSN2<>89TMKAXK5>556YFNF]@808>3^CEKRM36?;8SLH@WJ6<245XIOE\G9>912]BBJQL<8QNFNUHS=QFOCWE+LDRN8>0[D@H_B]3[LIE]O%DNXH[f:UJJBYDW8:TEBLZF068SLH@WJU:RG@BTD,MGSA9<1\ECIPC^31[LIE]O%DNXH[f:UJJBYDW89TEBLZF068SLH@WJU:?RG@BTD,MGSA9<1\ECIPC^30[LIE]O%DNXH[f:UJJBYDW8>TEBLZF068SLH@WJU:8RG@BTD,MGSA9<1\ECIPC^37[LIE]O%DNXH[f:UJJBYDW8?TEBLZF068SLH@WJU:9RG@BTD,MGSA9<1\ECIPC^36[LIE]O%DNXH[e:UJJBYDW8UBCO[I129TMKAXKV;TEBLZF.KAQC733^CEKRMP1^KLFP@(GK_MXh5XIOE\GZ4XAFH^J<=4WHLD[FY5W@EIYK!FBTD20>QNFNUHS?QFOCWE+JDRN]o0[D@H_B]0[LIE]O;87ZGAG^A\7ZOHJ\L$EO[I159TMKAXKV9TEBLZF.MAQCRb3^CEKRMP4^KLFP@6;2]BBJQL_5]JKGSA'@H^J<:4WHLD[FY3W@EIYK!@BTDWa>QNFNUHS8QFOCWE56=PAGMTOR;PIN@VB*OE]O;?7ZGAG^A\1ZOHJ\L$CO[ITd9TMKAXKV^Q:VH^JJCZX99[RNYADFZ27UXD_LW[G\?4Xej\GjtuFl~|ce|c:ZglZEhz{Zbb~?7;Yfk[HgwKfxyOb`|t`lwPwgt`890TifPM`r@kwtJm{mnhYa>7:ZglZKfxJey~_kbowggPwgt`k1SheQ_rhoJ`}dekcnoeSd`|t.aoolh5W`dxxRG?_lw{[vckWzcl=>5lljefjZoi{}%h`fga_h36?fjloldTec}{/bnhmkYnW;;=7nbdgdl\mkus'jf`ecQfnrv20>ekcnoeSd`|t.f`ifjhkb;>7nbdgdl\mkus'mif`bmdee3:?fjloldTec}{/eanhjelmmUbb{6^kmwq)Je|rT{oQkau]l858X:Vl>=i5lrb35[lht|&GfyuQxb^fbpZi;87U8=i5lrb35[lht|&GfyuQxb^fbpZi;87U?><5lrb35[lht|&GfyuQxb^fbpZi;994T>Rh:1d9`vf71W`dxx"Cbuy]tfZbf|Ve7==0P30g8gwe6>Vcey!Bmtz\sgYci}Ud0<>1_502?ftd9?Ubb~z Mlw{[rdXlh~Tc1?>>^0\b07b3jxh=;Qfnrv,IhsW~hThlzPo=32:Z56m2iyo<8Pioqw+HkrpV}iSio{_n>25;Y3:81h~n?9_hlpp*Kj}qU|nRjnt^m?578X:Vl>=h5lrb35[lht|&GfyuQxb^fbpZi;9;4T?;bp`53Ynfz~$A`{w_v`\`drXg5;82R^12a>euk83?]764=dzj;=Sd`|t.Onq}YpjVnjxRa315<\6Z`29l1h~n?9_hlpp*Kj}qU|nRjnt^m?518X;8o0om>6^kmwq)Je|rT{oQkau]l8429W=8:7n|l17]jjvr(EdsSzlPd`v\k9726V8Tj8?j;bp`53Ynfz~$A`{w_v`\`drXg5;>2R=>e:aqg40Xagy#@czx^ua[agsWf6:93Q;219`vf71W`dxx"Cbuy]tfZbf|Ve7=3Q=_g72`>euk8>^12`>euk8>^614>euk8^0\b07c3jxh=;Qfnrv,IhsW~hThlzPo=0=[67c3jxh=;Qfnrv,IhsW~hThlzPo=0=[1473jxh=;Qfnrv,IhsW~hThlzPo=1=[7Ya=8n0om>6^kmwq)Je|rT{oQkau]l868X;8n0om>6^kmwq)Je|rT{oQkau]l868X<;:0om>6^kmwq)Je|rT{oQkau]l818X:Vl>=i5lrb35[lht|&GfyuQxb^fbpZi;<7U8=i5lrb35[lht|&GfyuQxb^fbpZi;<7U?>=5lrb35[lht|&GfyuQxb^fbpZi;=7U9Sk;>d:aqg40Xagy#@czx^ua[agsWf6>2R=>d:aqg40Xagy#@czx^ua[agsWf6>2R:=0:aqg40Xagy#@czx^ua[agsWf6=2R6V9:h6m}c04\mkus'Dg~tRym_ecw[j:>6V>o7n|l17]jjvr(F9;>7n|l17]jjvr(kfexR30?36?ftd9?Ubb~z cnwmpZw;97;>7n|l17]jjvr(kfexR32?37?ftd9?Ubb~z cnwmpZwX88>0om>6^kmwq)dg|dS|Q>159`vf71W`dxx"m`uov\uZ46l2iyo<8Pioqw+firf}UzS`{w01228586m2iyo<8Pioqw+firf}UzS`{w012285869m1h~n?9_hlpp*eh}g~T}Rczx12359799l1h~n?9_hlpp*eh}g~T}Rczx123597998n0om>6^kmwq)dg|dS|Qbuy2344:568o0om>6^kmwq)dg|dS|Qbuy2344:568;?7n|l17]jjvr(k{iJS|2?>078gwe6>Vcey!lrbC\u97768?0om>6^kmwq)dzjKT}1?>>078gwe6>Vcey!lrbC\u97568?0om>6^kmwq)dzjKT}1?<>078gwe6>Vcey!lrbC\u97368?0om>6^kmwq)dzjKT}1?:>068gwe6>Vcey!lrbC\u9799=1h~n?9_hlpp*eukHUz0?0>4:aqg40Xagy#n|lA^s?7;733jxh=;Qfnrv,gweFWx6?2<:4csa22Zoi{}%h~nOPq=7=51=dzj;=Sd`|t.aqgDYv4?4:86m}c04\mkus'jxhMR37?37?ftd9?Ubb~z csaB[t:?68>0om>6^kmwq)dzjKT}171129`vf71W`dxx"m}c@]r[5743jxh=;Qfnrv,gweFWxU:=95lrb35[lht|&iyoLQ~_0220>euk82068gwe6>Vcey!lrbC\uZ749=1h~n?9_hlpp*eukHUzS<:>4:aqg40Xagy#n|lA^s\50743jxh=;Qfnrv,gweFWxU9=>5lrb35[lht|&iyoLQ~_230?ftd9?Ubb~z csaB[tY39:1h~n?9_hlpp*eukHUzS8?<;bp`53Ynfz~$omN_p]556=dzj;=Sd`|t.aqgDYvW>;87n|l17]jjvr(k{iJS|Q7129`vf71W`dxx"m}c@]r[<7>3jxh=;Qfnrv,gweFWxUecy>?003b?ftd9?Ubb~z csaB[tYig}:;<c:aqg40Xagy#n|lA^s\jjr789;:S??;;bp`53Ynfz~$omM_p>3:43euk8068gwe6>Vcey!lrb@\u9399=1h~n?9_hlpp*eukKUz0;0>4:aqg40Xagy#n|lB^s?3;733jxh=;Qfnrv,gweEWx632<:4csa22Zoi{}%h~nLPq=;=56=dzj;=Sd`|t.aqgGYvW9;87n|l17]jjvr(k{iIS|Q>159`vf71W`dxx"m}cC]r[466<2iyo<8Pioqw+ftdJV{T=euk85lrb35[lht|&iyoOQ~_430?ftd9?Ubb~z csaA[tY19:1h~n?9_hlpp*eukKUzS:?<;bp`53Ynfz~$omM_p];56=dzj;=Sd`|t.aqgGYvW0;87n|l17]jjvr(k{iT}1>1159`vf71W`dxx"m}c^s?5586<2iyo<8Pioqw+ftdWx6:=3?;;bp`53Ynfz~$omPq=31:42euk85lrb35[lht|&iyoR34?30?ftd9?Ubb~z csa\u9399:1h~n?9_hlpp*eukV{7:3?<;bp`53Ynfz~$omPq=5=56=dzj;=Sd`|t.aqgZw;07;87n|l17]jjvr(k{iT}171139`vf71W`dxx"m}c^s\4445lrb35[lht|&iyoRP1230?ftd9?Ubb~z csa\uZ739:1h~n?9_hlpp*eukV{T=8?=;bp`53Ynfz~$omPq^026>euk8Vcey!lrb]r[<7e3jxh=;Qfnrv,gweXyVg~t=>?1=2=5f=dzj;=Sd`|t.aqgZwXe|r;<=?30?32a>euk8^d65f=dzj;=Sd`|t.aqgZwXe|r;<=?311<2`>euk80?32b>euk80?]e14e><03=5a=dzj;=Sd`|t.aqgZwXe|r;<=?310<25c=dzj;=Sd`|t.aqgZwXe|r;<=?310<\b07d3jxh=;Qfnrv,gweXyVg~t=>?1=31:4b><00=54`><00=[c36k2iyo<8Pioqw+ftdWxUfyu>?00>27;7c3jxh=;Qfnrv,gweXyVg~t=>?1=30:47a3jxh=;Qfnrv,gweXyVg~t=>?1=30:Z`29j1h~n?9_hlpp*eukV{Taxv?013?5186l2iyo<8Pioqw+ftdWxUfyu>?00>20;76n2iyo<8Pioqw+ftdWxUfyu>?00>20;Ya=8i0om>6^kmwq)dzjUzS`{w012284399m1h~n?9_hlpp*eukV{Taxv?013?50869l1h~n?9_hlpp*eukV{Taxv?013?508698o0om>6^kmwq)dzjUzS`{w012284399;8;7n|l17]jjvr(k{iT}Rczx123597268Um96^kmwq)dzjUzS`{w012287869l1h~n?9_hlpp*eukV{Taxv?013?6;Ya=8h0om>6^kmwq)dzjUzS`{w01228686k2iyo<8Pioqw+ftdWxUfyu>?00>0:47b3jxh=;Qfnrv,gweXyVg~t=>?1=1=[c36j2iyo<8Pioqw+ftdWxUfyu>?00>7:4e><5<25`=dzj;=Sd`|t.aqgZwXe|r;<=?34?]e14d><4<2g>euk803f?ftd9?Ubb~z csa\uZkrp9:;=1;1_g72f>euk80a8gwe6>Vcey!lrb]r[hs89::0;0>1d9`vf71W`dxx"m}c^s\ip~789;7:3Qi50`8gwe6>Vcey!lrb]r[hs89::0:0>c:aqg40Xagy#n|l_p]nq}67886<2b:aqg40Xagy#n|l_p]nq}678863268;n7n|l17]jjvr(k{iT}Rczx12359?9Wo?:46m}c04\mkus'jxhS|Qaou23477d3jxh=;Qfnrv,gweXyVddx=>?2^QT442euk8068gwe6>Vcey!kauc\u9299=1h~n?9_hlpp*bf|hUz080>4:aqg40Xagy#io{a^s?2;733jxh=;Qfnrv,`drfWx6<2<=4csa22Zoi{}%omyoPq^227>euk86^kmwq)ci}kT}R<>3:aqg40Xagy#io{a^s\745:?6m}c04\mkus'mkmRP5018gwe6>Vcey!kauc\uZ06;2iyo<8Pioqw+agsiV{T;?<1<2`>euk830?32g>euk831?3g?ftd9?Ubb~z d`vb[tYj}q:;<=2>>03`?ftd9?Ubb~z d`vb[tYj}q:;<=2=>0f8gwe6>Vcey!kauc\uZkrp9:;<1<110a8gwe6>Vcey!kauc\uZkrp9:;<1=11e9`vf71W`dxx"jnt`]r[hs89:;0>0>1b9`vf71W`dxx"jnt`]r[hs89:;090>d:aqg40Xagy#io{a^s\ip~789:783?>c:aqg40Xagy#io{a^s\ip~789:793?k;bp`53Ynfz~$hlzn_p]nq}67896>24:=45lrb35[lht|&njxlQ~_omw45669h1h~n?9_hlpp*bf|hUzSca{0122542euk8068gwe6>Vcey!om{\u9299=1h~n?9_hlpp*vhdpUz080>4:aqg40Xagy#}acy^s?2;733jxh=;Qfnrv,tjj~Wx6<2<:4csa22Zoi{}%{cawPq=:=51=dzj;=Sd`|t.rlh|Yv404:?6m}c04\mkus'yeguRP0018gwe6>Vcey!om{\uZ76<2iyo<8Pioqw+uikqV{T==?;;bp`53Ynfz~$|bbv_p]2542euk85018gwe6>Vcey!om{\uZ46;2iyo<8Pioqw+uikqV{T?<=4csa22Zoi{}%{cawPq^627>euk86^kmwq)wgesT}R8>3:aqg40Xagy#}acy^s\345Vcey!om{\uZhh|9:;=6m}c04\mkus'{ojhtQ~1`9`vf71W`dxx"|jae{\uZkrp9:;Vcey!}e`fz[tYig}:;<Rh9_13f?bvngVcey!Bmtz\sgYci}UdS?Qi6^32b>awafUbb~z Mlw{[rdXlh~TcRf:esmjYnfz~$A`{w_v`\`drXgV8Tj;Q>60d8cuohW`dxx"Cbuy]tfZbf|VeT>Rh9_052b>awafUbb~z Mlw{[rdXlh~TcRV8:=k5hphm\mkus'Dg~tRym_ecw[jY5Wo??i;frjkZoi{}%FaxvPwc]geqYhW;Um:R<<1g9dtliXagy#@czx^ua[agsWfU9Sk8P253e?bvngVcey!Bmtz\sgYci}UdS?Qi6^065c=`x`eTec}{/Lov|ZqeWmkSbQ=_g4\637a3nzbcRgasu-Nip~XkUomyQ`_3]e2Z409o1l|daPioqw+HkrpV}iSio{_n]1[c0X:1;m7j~fo^kmwq)Je|rT{oQkau]l[7Ya>V82=h5hphm\mkus'Dg~tRym_ecw[jY5Wo>>e:esmjYnfz~$A`{w_v`\`drXgV8Tj;Q;1d9dtliXagy#@czx^ua[agsWfU9Sk8P50g8cuohW`dxx"Cbuy]tfZbf|VeT>Rh9_73f?bvngVcey!Bmtz\sgYci}UdS?Qi6^52a>awafUbb~z Mlw{[rdXlh~TcR=4?k;frjkZoi{}%FaxvPwc]geqYhW:Um9??j;frjkZoi{}%FaxvPwc]geqYhW:Um9?>>e:esmjYnfz~$A`{w_v`\`drXgV9Tj8<>1d9dtliXagy#@czx^ua[agsWfU8Sk;=20g8cuohW`dxx"Cbuy]tfZbf|VeT?Rh:223f?bvngVcey!Bmtz\sgYci}UdS>Qi5362a>awafUbb~z Mlw{[rdXlh~TcR=Pf4065`=`x`eTec}{/Lov|ZqeWmkSbQ<_g7124c6m2m{ebQfnrv,IhsW~hThlzPo^1\b04>9m1l|daPioqw+HkrpV}iSio{_n]0[c349l1l|daPioqw+HkrpV}iSio{_n]0[c3488o0k}g`_hlpp*Kj}qU|nRjnt^m\7Z`2;8;o7j~fo^kmwq)Je|rT{oQkau]l[6Ya==;o7j~fo^kmwq)Je|rT{oQkau]l[6Ya=<;o7j~fo^kmwq)Je|rT{oQkau]l[6Ya=?;o7j~fo^kmwq)Je|rT{oQkau]l[6Ya=>;o7j~fo^kmwq)Je|rT{oQkau]l[6Ya=1;o7j~fo^kmwq)Je|rT{oQkau]l[6Ya=0;n7j~fo^kmwq)Je|rT{oQkau]l[6Ya=V::i6iin]jjvr(EdsSzlPd`v\kZ5Xn1g9dtliXagy#@czx^ua[agsWfU8Sk;P133e?bvngVcey!Bmtz\sgYci}UdS>Qi5^305c=`x`eTec}{/Lov|ZqeWmkSbQ<_g7\517a3nzbcRgasu-Nip~XkUomyQ`_2]e1Z729o1l|daPioqw+HkrpV}iSio{_n]0[c3X9?;m7j~fo^kmwq)Je|rT{oQkau]l[6Ya=V;<=k5hphm\mkus'Dg~tRym_ecw[jY4Wo?T=5?i;frjkZoi{}%FaxvPwc]geqYhW:Um9R?61d9dtliXagy#@czx^ua[agsWfU8Sk;P20d8cuohW`dxx"Cbuy]tfZbf|VeT?Rh:_322b>awafUbb~z Mlw{[rdXlh~TcR=Pf4]154`:j6iin]jjvr(EdsSzlPd`v\kZ5XnS?8>f:esmjYnfz~$A`{w_v`\`drXgV9Tj8Q=70d8cuohW`dxx"Cbuy]tfZbf|VeT?Rh:_3:2b>awafUbb~z Mlw{[rdXlh~TcR=Pf4]1=4cS4?6;frjkZoi{}%FaxvPwc]geqYhW=;j7j~fo^kmwq)Je|rT{oQkau]l[176j2m{ebQfnrv,IhsW~hThlzPo^6244dawafUbb~z Mlw{[rdXlh~TcR:>20`8cuohW`dxx"Cbuy]tfZbf|VeT8<=>b:esmjYnfz~$A`{w_v`\`drXgV>:88h0k}g`_hlpp*Kj}qU|nRjnt^m\0416j2m{ebQfnrv,IhsW~hThlzPo^62<4dawafUbb~z Mlw{[rdXlh~TcR:=1c9dtliXagy#@czx^ua[agsWfU?>=?m;frjkZoi{}%FaxvPwc]geqYhW=8:=o5hphm\mkus'Dg~tRym_ecw[jY3:;;i7j~fo^kmwq)Je|rT{oQkau]l[1449k1l|daPioqw+HkrpV}iSio{_n]7617e3nzbcRgasu-Nip~XkUomyQ`_5065g=`x`eTec}{/Lov|ZqeWmkSbQ;273a?bvngVcey!Bmtz\sgYci}UdS9<81c9dtliXagy#@czx^ua[agsWfU?>5?m;frjkZoi{}%FaxvPwc]geqYhW=82=l5hphm\mkus'Dg~tRym_ecw[jY3;8h0k}g`_hlpp*Kj}qU|nRjnt^m\0666j2m{ebQfnrv,IhsW~hThlzPo^6054dawafUbb~z Mlw{[rdXlh~TcR:<30`8cuohW`dxx"Cbuy]tfZbf|VeT8>:>b:esmjYnfz~$A`{w_v`\`drXgV>896j2m{ebQfnrv,IhsW~hThlzPo^60=4gc:esmjYnfz~$A`{w_v`\`drXgV>Tj8?k;frjkZoi{}%FaxvPwc]geqYhW=Um9>e:esmjYnfz~$A`{w_v`\`drXgV>Tj8?>1d9dtliXagy#@czx^ua[agsWfU?Sk;>20g8cuohW`dxx"Cbuy]tfZbf|VeT8Rh:123f?bvngVcey!Bmtz\sgYci}UdS9Qi5062a>awafUbb~z Mlw{[rdXlh~TcR:Pf4365`=`x`eTec}{/Lov|ZqeWmkSbQ;_g7224c6m2m{ebQfnrv,IhsW~hThlzPo^6\b07>9m1l|daPioqw+HkrpV}iSio{_n]7[c359l1l|daPioqw+HkrpV}iSio{_n]7[c3588o0k}g`_hlpp*Kj}qU|nRjnt^m\0Z`2:8;n7j~fo^kmwq)Je|rT{oQkau]l[1Ya=;8:i6iin]jjvr(EdsSzlPd`v\kZ2Xn<88=h5hphm\mkus'Dg~tRym_ecw[jY3Wo?98>8?j;frjkZoi{}%FaxvPwc]geqYhW=Um9?8>e:esmjYnfz~$A`{w_v`\`drXgV>Tj8<81d9dtliXagy#@czx^ua[agsWfU?Sk;=80g8cuohW`dxx"Cbuy]tfZbf|VeT8Rh:283g?bvngVcey!Bmtz\sgYci}UdS9Qi523f?bvngVcey!Bmtz\sgYci}UdS9Qi5222a>awafUbb~z Mlw{[rdXlh~TcR:Pf4125a=`x`eTec}{/Lov|ZqeWmkSbQ;_g775a=`x`eTec}{/Lov|ZqeWmkSbQ;_g765a=`x`eTec}{/Lov|ZqeWmkSbQ;_g755a=`x`eTec}{/Lov|ZqeWmkSbQ;_g745a=`x`eTec}{/Lov|ZqeWmkSbQ;_g7;5a=`x`eTec}{/Lov|ZqeWmkSbQ;_g7:5`=`x`eTec}{/Lov|ZqeWmkSbQ;_g7\44cS<<=0:esmjYnfz~$A`{w_v`\`drXgV>Tj8Q>203e?bvngVcey!Bmtz\sgYci}UdS9Qi5^3065=`x`eTec}{/Lov|ZqeWmkSbQ;_g7\5676n2m{ebQfnrv,IhsW~hThlzPo^6\b0Y6<;:0k}g`_hlpp*Kj}qU|nRjnt^m\0Z`2W8>:=k5hphm\mkus'Dg~tRym_ecw[jY3Wo?T=8awafUbb~z Mlw{[rdXlh~TcR:Pf4]234`6n2m{ebQfnrv,IhsW~hThlzPo^6\b0Y618o0k}g`_hlpp*Kj}qU|nRjnt^m\0Z`2W;;m7j~fo^kmwq)Je|rT{oQkau]l[1Ya=V8;=k5hphm\mkus'Dg~tRym_ecw[jY3Wo?T>;m7j~fo^kmwq)Je|rT{oQkau]l[1Ya=V83=k5hphm\mkus'Dg~tRym_ecw[jY3Wo?T>4?j;frjkZoi{}%FaxvPwc]geqYhW=Um9R=>f:esmjYnfz~$A`{w_v`\`drXgV>Tj8Q<00g8cuohW`dxx"Cbuy]tfZbf|VeT8Rh:_53f?bvngVcey!Bmtz\sgYci}UdS9Qi5^72a>awafUbb~z Mlw{[rdXlh~TcR:Pf4]564=`x`eTec}{/Lov|ZqeWmkSbQ;_g7\2Zts9l1l|daPioqw+HkrpV}iSio{_n]7[c3X?8l0k}g`_hlpp*Kj}qU|nRjnt^m\0Z`2W>;:i6iin]jjvr(EdsSzlPd`v\kZ2Xnf:esmjYnfz~$A`{w_v`\`drXgV>Tj8Q610;8cuohW`dxx"Cbuy]tfZbf|VeT9=8?m;frjkZoi{}%FaxvPwc]geqYhW<;==o5hphm\mkus'Dg~tRym_ecw[jY29>;i7j~fo^kmwq)Je|rT{oQkau]l[07?9k1l|daPioqw+HkrpV}iSio{_n]65<7f3nzbcRgasu-Nip~XkUomyQ`_402f>awafUbb~z Mlw{[rdXlh~TcR;=00`8cuohW`dxx"Cbuy]tfZbf|VeT9??>b:esmjYnfz~$A`{w_v`\`drXgV?9>awafUbb~z Mlw{[rdXlh~TcR;=80`8cuohW`dxx"Cbuy]tfZbf|VeT9?7>a:esmjYnfz~$A`{w_v`\`drXgV?8=o5hphm\mkus'Dg~tRym_ecw[jY2;9;i7j~fo^kmwq)Je|rT{oQkau]l[0569k1l|daPioqw+HkrpV}iSio{_n]6777e3nzbcRgasu-Nip~XkUomyQ`_4105g=`x`eTec}{/Lov|ZqeWmkSbQ:353a?bvngVcey!Bmtz\sgYci}UdS8=:1c9dtliXagy#@czx^ua[agsWfU>?;?m;frjkZoi{}%FaxvPwc]geqYhW<9<=o5hphm\mkus'Dg~tRym_ecw[jY2;1;i7j~fo^kmwq)Je|rT{oQkau]l[05>9h1l|daPioqw+HkrpV}iSio{_n]604dawafUbb~z Mlw{[rdXlh~TcR;;10`8cuohW`dxx"Cbuy]tfZbf|VeT99<>b:esmjYnfz~$A`{w_v`\`drXgV???:n6iin]jjvr(EdsSzlPd`v\kZ33=8h0k}g`_hlpp*Kj}qU|nRjnt^m\1106j2m{ebQfnrv,IhsW~hThlzPo^7734dawafUbb~z Mlw{[rdXlh~TcR;;90c8cuohW`dxx"Cbuy]tfZbf|VeT98?m;frjkZoi{}%FaxvPwc]geqYhW9:?m;frjkZoi{}%FaxvPwc]geqYhWawafUbb~z Mlw{[rdXlh~TcR;920`8cuohW`dxx"Cbuy]tfZbf|VeT9;=>a:esmjYnfz~$A`{w_v`\`drXgV?<=l5hphm\mkus'Dg~tRym_ecw[jY208k0k}g`_hlpp*Kj}qU|nRjnt^m\1<7>3nzbcRgasu-Nip~XkUomyQ`_73b?bvngVcey!Bmtz\sgYci}UdS;?>b:esmjYnfz~$A`{w_v`\`drXgV<:<8;:n6iin]jjvr(EdsSzlPd`v\kZ06:8h0k}g`_hlpp*Kj}qU|nRjnt^m\2456j2m{ebQfnrv,IhsW~hThlzPo^4204dawafUbb~z Mlw{[rdXlh~TcR8>60`8cuohW`dxx"Cbuy]tfZbf|VeT:<9>b:esmjYnfz~$A`{w_v`\`drXgV<:483:m6iin]jjvr(EdsSzlPd`v\kZ059k1l|daPioqw+HkrpV}iSio{_n]5657e3nzbcRgasu-Nip~XkUomyQ`_7025d=`x`eTec}{/Lov|ZqeWmkSbQ930c8cuohW`dxx"Cbuy]tfZbf|VeT:9?n;frjkZoi{}%FaxvPwc]geqYhW??:m6iin]jjvr(EdsSzlPd`v\kZ019h1l|daPioqw+HkrpV}iSio{_n]534g3:esmjYnfz~$k}g`_n>3:42awafUbb~z gqkl[j:6:7;?7j~fo^kmwq)`x`eTc1?<>068cuohW`dxx"iin]l84299=1l|daPioqw+bvngVe7=80>3:esmjYnfz~$k}g`_n>2:42awafUbb~z gqkl[j:507;?7j~fo^kmwq)`x`eTc1<6>018cuohW`dxx"iin]l8786<2m{ebQfnrv,cuohWf68<3?;;frjkZoi{}%l|daPo=12:42awafUbb~z gqkl[j:4<7;?7j~fo^kmwq)`x`eTc1=:>068cuohW`dxx"iin]l86099=1l|daPioqw+bvngVe7?:0>4:esmjYnfz~$k}g`_n>0<;733nzbcRgasu-dtliXg5922<=4gqkl[lht|&m{ebQ`<2<20>awafUbb~z gqkl[j:387;?7j~fo^kmwq)`x`eTc1:>>068cuohW`dxx"iin]l81499=1l|daPioqw+bvngVe78>0>4:esmjYnfz~$k}g`_n>70;733nzbcRgasu-dtliXg5>>2<:4gqkl[lht|&m{ebQ`<54=51=`x`eTec}{/frjkZi;<>4:86iin]jjvr(oycdSb2;8?30?bvngVcey!hphm\k9299:1l|daPioqw+bvngVe793?<;frjkZoi{}%l|daPo=4=56=`x`eTec}{/frjkZi;?7;87j~fo^kmwq)`x`eTc161129dtliXagy#j~fo^m?=;753nzbcRgasu-dtliXgV::m6iin]jjvr(oycdSbQ?_lw{45659m1l|daPioqw+bvngVeT9<6iin]jjvr(oycdSbQ?_lw{4565:=UX[=?l;frjkZoi{}%l|daPo^2\ip~789889=5hphm\mkus'nzbcRaP0^ov|567:?>T_Z>>c:esmjYnfz~$k}g`_n]3[hs89:948?l;frjkZoi{}%l|daPo^2\ip~789828<<4gqkl[lht|&m{ebQ`_030?bvngVcey!hphm\kZ779k1l|daPioqw+bvngVeT==Qbuy23477b3nzbcRgasu-dtliXgV;;S`{w01215446m2m{ebQfnrv,cuohWfU:9<>;frjkZoi{}%l|daPo^33[hs89:9>9Q\W13g?bvngVcey!hphm\kZ77Wds<=>=343g?bvngVcey!hphm\kZ77Wds<=>=6502?bvngVcey!hphm\kZ77Wds<=>=65]PS57c3nzbcRgasu-dtliXgV;;S`{w0121<07c3nzbcRgasu-dtliXgV;;S`{w0121=1743nzbcRgasu-dtliXgV;:=o5hphm\mkus'nzbcRaP10]nq}678;;n7j~fo^kmwq)`x`eTcR?>_lw{4565988:i6iin]jjvr(oycdSbQ>1^ov|567:8<8>?5hphm\mkus'nzbcRaP10]nq}678;;=?R]X00g8cuohW`dxx"iin]l[47Xe|r;<=<=003g?bvngVcey!hphm\kZ76Wds<=>=2502?bvngVcey!hphm\kZ76Wds<=>=25]PS57c3nzbcRgasu-dtliXgV;:S`{w0121707c3nzbcRgasu-dtliXgV;:S`{w012121463nzbcRgasu-dtliXgV;:S`{w012121YT_9;o7j~fo^kmwq)`x`eTcR?>_lw{45650<;o7j~fo^kmwq)`x`eTcR?>_lw{45651=;87j~fo^kmwq)`x`eTcR?=1c9dtliXagy#j~fo^m\57Yj}q:;e:esmjYnfz~$k}g`_n]26Zkrp9:;><8<239dtliXagy#j~fo^m\57Yj}q:;=8:7j~fo^kmwq)`x`eTcR?=_lw{4565>=UX[=?k;frjkZoi{}%l|daPo^31[hs89:948?k;frjkZoi{}%l|daPo^31[hs89:959?<;frjkZoi{}%l|daPo^305g=`x`eTec}{/frjkZiX9:Ufyu>?033f?bvngVcey!hphm\kZ74Wds<=>=1002a>awafUbb~z gqkl[jY6;Vg~t=>?204067=`x`eTec}{/frjkZiX9:Ufyu>?03357ZUP88o0k}g`_hlpp*awafUdS<=Pmtz3454588;o7j~fo^kmwq)`x`eTcR?<_lw{4565:=8:7j~fo^kmwq)`x`eTcR?<_lw{4565:=UX[=?k;frjkZoi{}%l|daPo^30[hs89:9?8?k;frjkZoi{}%l|daPo^30[hs89:9:9<>;frjkZoi{}%l|daPo^30[hs89:9:9Q\W13g?bvngVcey!hphm\kZ74Wds<=>=843g?bvngVcey!hphm\kZ74Wds<=>=9530?bvngVcey!hphm\kZ739k1l|daPioqw+bvngVeT=9Qbuy23477b3nzbcRgasu-dtliXgV;?S`{w01215446m2m{ebQfnrv,cuohWfU:8Rczx1236404:;1l|daPioqw+bvngVeT=9Qbuy234771;VY\<9<>;frjkZoi{}%l|daPo^37[hs89:9>9Q\W13g?bvngVcey!hphm\kZ73Wds<=>=343g?bvngVcey!hphm\kZ73Wds<=>=6502?bvngVcey!hphm\kZ73Wds<=>=65]PS57c3nzbcRgasu-dtliXgV;?S`{w0121<07c3nzbcRgasu-dtliXgV;?S`{w0121=1743nzbcRgasu-dtliXgV;>=o5hphm\mkus'nzbcRaP14]nq}678;;n7j~fo^kmwq)`x`eTcR?:_lw{4565988:i6iin]jjvr(oycdSbQ>5^ov|567:8<8>?5hphm\mkus'nzbcRaP14]nq}678;;=?R]X00g8cuohW`dxx"iin]l[43Xe|r;<=<=003g?bvngVcey!hphm\kZ72Wds<=>=2502?bvngVcey!hphm\kZ72Wds<=>=25]PS57c3nzbcRgasu-dtliXgV;>S`{w0121707c3nzbcRgasu-dtliXgV;>S`{w012121463nzbcRgasu-dtliXgV;>S`{w012121YT_9;o7j~fo^kmwq)`x`eTcR?:_lw{45650<;o7j~fo^kmwq)`x`eTcR?:_lw{45651=;j7j~fo^kmwq)`x`eTcR?Pmtz34546l2m{ebQfnrv,cuohWfU:S`{w01215446l2m{ebQfnrv,cuohWfU:S`{w0121535592m{ebQfnrv,cuohWfU:S`{w0121535X[^::h6iin]jjvr(oycdSbQ>_lw{4565:9;:o6iin]jjvr(oycdSbQ>_lw{4565:=8;7j~fo^kmwq)`x`eTcR?Pmtz34545=n5hphm\mkus'nzbcRaP1^ov|567:?>9<6iin]jjvr(oycdSbQ>_lw{4565>=UX[=?l;frjkZoi{}%l|daPo^3\ip~7898396iin]jjvr(oycdSbQ=7^ov|567:8<8S^Y?1d9dtliXagy#j~fo^m\62Yj}q:;<890k}g`_hlpp*awafUdS?6>b:esmjYnfz~$k}g`_n]1<8<_RU35`=`x`eTec}{/frjkZiX:1Ufyu>?030354bawafUbb~z gqkl[jY51Vg~t=>?20g8cuohW`dxx"iin]l[7?Xe|r;<=<>133f?bvngVcey!hphm\kZ4>Wds<=>=17116>awafUbb~z gqkl[jY51Vg~t=>?2040[VQ79l1l|daPioqw+bvngVeT>4Qbuy23474798n0k}g`_hlpp*awafUdS?7Pmtz34545<;;0k}g`_hlpp*awafUdS?7Pmtz34545<>;frjkZoi{}%l|daPo^0\ip~7898::>Q\W13g?bvngVcey!hphm\kZ4Xe|r;<=<=003`?bvngVcey!hphm\kZ4Xe|r;<=<=4328cuohW`dxx"iin]l[7Yj}q:;?2272g>awafUbb~z gqkl[jY5Wds<=>=6503?bvngVcey!hphm\kZ4Xe|r;<=<94^QT44e?03:65f=`x`eTec}{/frjkZiX:Vg~t=>?28626>awafUbb~z gqkl[jY49:1l|daPioqw+bvngVeT?=?m;frjkZoi{}%l|daPo^13[hs89:9=h5hphm\mkus'nzbcRaP31]nq}678;;:><=;frjkZoi{}%l|daPo^13[hs89:9=;=PSV22a>awafUbb~z gqkl[jY48Vg~t=>?23225a=`x`eTec}{/frjkZiX;9Ufyu>?030764=`x`eTec}{/frjkZiX;9Ufyu>?0307[VQ79m1l|daPioqw+bvngVeT?=Qbuy2347529m1l|daPioqw+bvngVeT?=Qbuy234703:81l|daPioqw+bvngVeT?=Qbuy234703WZ];=i5hphm\mkus'nzbcRaP31]nq}678;2>=i5hphm\mkus'nzbcRaP31]nq}678;3?=>5hphm\mkus'nzbcRaP303a?bvngVcey!hphm\kZ56Wds<=>=1d9dtliXagy#j~fo^m\74Yj}q:;20g8cuohW`dxx"iin]l[67Xe|r;<=<>6201?bvngVcey!hphm\kZ56Wds<=>=171\WR66m2m{ebQfnrv,cuohWfU8=Rczx12367669m1l|daPioqw+bvngVeT?=i5hphm\mkus'nzbcRaP30]nq}678;<5hphm\mkus'nzbcRaP30]nq}678;?033264c<5hphm\mkus'nzbcRaP33]nq}678;8?S^Y?1e9dtliXagy#j~fo^m\77Yj}q:;?03:65a=`x`eTec}{/frjkZiX;;Ufyu>?03;756=`x`eTec}{/frjkZiX;:;i7j~fo^kmwq)`x`eTcR=<_lw{45659l1l|daPioqw+bvngVeT?>Qbuy234776:8o0k}g`_hlpp*awafUdS>=Pmtz34546>:897j~fo^kmwq)`x`eTcR=<_lw{45659?9T_Z>>e:esmjYnfz~$k}g`_n]07Zkrp9:;>?>>1e9dtliXagy#j~fo^m\76Yj}q:;?03165a=`x`eTec}{/frjkZiX;:Ufyu>?034764=`x`eTec}{/frjkZiX;:Ufyu>?0347[VQ79m1l|daPioqw+bvngVeT?>Qbuy2347>29m1l|daPioqw+bvngVeT?>Qbuy2347?39:1l|daPioqw+bvngVeT?9?m;frjkZoi{}%l|daPo^17[hs89:9=h5hphm\mkus'nzbcRaP35]nq}678;;:><=;frjkZoi{}%l|daPo^17[hs89:9=;=PSV22a>awafUbb~z gqkl[jY4?23225a=`x`eTec}{/frjkZiX;=Ufyu>?030764=`x`eTec}{/frjkZiX;=Ufyu>?0307[VQ79m1l|daPioqw+bvngVeT?9Qbuy2347529m1l|daPioqw+bvngVeT?9Qbuy234703:81l|daPioqw+bvngVeT?9Qbuy234703WZ];=i5hphm\mkus'nzbcRaP35]nq}678;2>=i5hphm\mkus'nzbcRaP35]nq}678;3?=>5hphm\mkus'nzbcRaP343a?bvngVcey!hphm\kZ52Wds<=>=1d9dtliXagy#j~fo^m\70Yj}q:;20g8cuohW`dxx"iin]l[63Xe|r;<=<>6201?bvngVcey!hphm\kZ52Wds<=>=171\WR66m2m{ebQfnrv,cuohWfU89Rczx12367669m1l|daPioqw+bvngVeT?8Qbuy234743:81l|daPioqw+bvngVeT?8Qbuy234743WZ];=i5hphm\mkus'nzbcRaP34]nq}678;9>=i5hphm\mkus'nzbcRaP34]nq}678;<5hphm\mkus'nzbcRaP34]nq}678;?033264c<5hphm\mkus'nzbcRaP37]nq}678;8?S^Y?1e9dtliXagy#j~fo^m\73Yj}q:;?03:65a=`x`eTec}{/frjkZiX;?Ufyu>?03;756=`x`eTec}{/frjkZiX;>;i7j~fo^kmwq)`x`eTcR=8_lw{45659l1l|daPioqw+bvngVeT?:Qbuy234776:8o0k}g`_hlpp*awafUdS>9Pmtz34546>:897j~fo^kmwq)`x`eTcR=8_lw{45659?9T_Z>>e:esmjYnfz~$k}g`_n]03Zkrp9:;>?>>1e9dtliXagy#j~fo^m\72Yj}q:;Ufyu>?03165a=`x`eTec}{/frjkZiX;>Ufyu>?034764=`x`eTec}{/frjkZiX;>Ufyu>?0347[VQ79m1l|daPioqw+bvngVeT?:Qbuy2347>29m1l|daPioqw+bvngVeT?:Qbuy2347?39:1l|daPioqw+bvngVeT?5?m;frjkZoi{}%l|daPo^1;[hs89:9=h5hphm\mkus'nzbcRaP39]nq}678;;:><=;frjkZoi{}%l|daPo^1;[hs89:9=;=PSV22a>awafUbb~z gqkl[jY40Vg~t=>?23225a=`x`eTec}{/frjkZiX;1Ufyu>?030764=`x`eTec}{/frjkZiX;1Ufyu>?0307[VQ79m1l|daPioqw+bvngVeT?5Qbuy2347529m1l|daPioqw+bvngVeT?5Qbuy234703:81l|daPioqw+bvngVeT?5Qbuy234703WZ];=i5hphm\mkus'nzbcRaP39]nq}678;2>=i5hphm\mkus'nzbcRaP39]nq}678;3?=>5hphm\mkus'nzbcRaP383a?bvngVcey!hphm\kZ5>Wds<=>=1d9dtliXagy#j~fo^m\720g8cuohW`dxx"iin]l[6?Xe|r;<=<>6201?bvngVcey!hphm\kZ5>Wds<=>=171\WR66m2m{ebQfnrv,cuohWfU85Rczx12367669m1l|daPioqw+bvngVeT?4Qbuy234743:81l|daPioqw+bvngVeT?4Qbuy234743WZ];=i5hphm\mkus'nzbcRaP38]nq}678;9>=i5hphm\mkus'nzbcRaP38]nq}678;<5hphm\mkus'nzbcRaP38]nq}678;?:PSV22g>awafUbb~z gqkl[jY4Wds<=>=343`?bvngVcey!hphm\kZ5Xe|r;<=<94328cuohW`dxx"iin]l[6Yj}q:;?2972g>awafUbb~z gqkl[jY4Wds<=>=9531?bvngVcey!hphm\kZ26;2m{ebQfnrv,cuohWfU?<Q\W13f?bvngVcey!hphm\kZ27Wds<=>=2132`>awafUbb~z gqkl[jY38Vg~t=>?23615>awafUbb~z gqkl[jY38Vg~t=>?236\WR66l2m{ebQfnrv,cuohWfU?:?6iin]jjvr(oycdSbQ;10`8cuohW`dxx"iin]l[17Xe|r;<=<>e:esmjYnfz~$k}g`_n]75Zkrp9:;>62]PS57b3nzbcRgasu-dtliXgV>:S`{w01216576l2m{ebQfnrv,cuohWfU?=Rczx123672592m{ebQfnrv,cuohWfU?=Rczx123672X[^::h6iin]jjvr(oycdSbQ;1^ov|567::?:h6iin]jjvr(oycdSbQ;1^ov|567:?>9=6iin]jjvr(oycdSbQ;1^ov|567:?>T_Z>>d:esmjYnfz~$k}g`_n]75Zkrp9:;>5;>d:esmjYnfz~$k}g`_n]75Zkrp9:;>4:>3:esmjYnfz~$k}g`_n]764dawafUbb~z gqkl[jY3:Vg~t=>?20315`=`x`eTec}{/frjkZiX<;Ufyu>?03357749=6iin]jjvr(oycdSbQ;2^ov|567:;>T_Z>>d:esmjYnfz~$k}g`_n]76Zkrp9:;>>;>d:esmjYnfz~$k}g`_n]76Zkrp9:;>;:=1:esmjYnfz~$k}g`_n]76Zkrp9:;>;:PSV22`>awafUbb~z gqkl[jY3:Vg~t=>?2972`>awafUbb~z gqkl[jY3:Vg~t=>?28627>awafUbb~z gqkl[jY3;8h0k}g`_hlpp*awafUdS9=Pmtz34546m2m{ebQfnrv,cuohWfU??Rczx12364759l1l|daPioqw+bvngVeT8>Qbuy234771;;80k}g`_hlpp*awafUdS9=Pmtz34546>:UX[=?j;frjkZoi{}%l|daPo^60[hs89:9>=?>d:esmjYnfz~$k}g`_n]77Zkrp9:;>?:=1:esmjYnfz~$k}g`_n]77Zkrp9:;>?:PSV22`>awafUbb~z gqkl[jY3;Vg~t=>?2272`>awafUbb~z gqkl[jY3;Vg~t=>?27615>awafUbb~z gqkl[jY3;Vg~t=>?276\WR66l2m{ebQfnrv,cuohWfU??Rczx1236=36l2m{ebQfnrv,cuohWfU??Rczx1236<26;2m{ebQfnrv,cuohWfU?8Q\W13f?bvngVcey!hphm\kZ23Wds<=>=2132`>awafUbb~z gqkl[jY3?23615>awafUbb~z gqkl[jY3?236\WR66l2m{ebQfnrv,cuohWfU?8Rczx1236636l2m{ebQfnrv,cuohWfU?8Rczx123632592m{ebQfnrv,cuohWfU?8Rczx123632X[^::h6iin]jjvr(oycdSbQ;4^ov|567:1?:h6iin]jjvr(oycdSbQ;4^ov|567:0>:?6iin]jjvr(oycdSbQ;50`8cuohW`dxx"iin]l[13Xe|r;<=<>e:esmjYnfz~$k}g`_n]71Zkrp9:;>62]PS57b3nzbcRgasu-dtliXgV>>S`{w01216576l2m{ebQfnrv,cuohWfU?9Rczx123672592m{ebQfnrv,cuohWfU?9Rczx123672X[^::h6iin]jjvr(oycdSbQ;5^ov|567::?:h6iin]jjvr(oycdSbQ;5^ov|567:?>9=6iin]jjvr(oycdSbQ;5^ov|567:?>T_Z>>d:esmjYnfz~$k}g`_n]71Zkrp9:;>5;>d:esmjYnfz~$k}g`_n]71Zkrp9:;>4:>3:esmjYnfz~$k}g`_n]724dawafUbb~z gqkl[jY3>Vg~t=>?20315`=`x`eTec}{/frjkZiX?03357749=6iin]jjvr(oycdSbQ;6^ov|567:;>T_Z>>d:esmjYnfz~$k}g`_n]72Zkrp9:;>>;>d:esmjYnfz~$k}g`_n]72Zkrp9:;>;:=1:esmjYnfz~$k}g`_n]72Zkrp9:;>;:PSV22`>awafUbb~z gqkl[jY3>Vg~t=>?2972`>awafUbb~z gqkl[jY3>Vg~t=>?28627>awafUbb~z gqkl[jY3?8h0k}g`_hlpp*awafUdS99Pmtz34546m2m{ebQfnrv,cuohWfU?;Rczx12364759l1l|daPioqw+bvngVeT8:Qbuy234771;;80k}g`_hlpp*awafUdS99Pmtz34546>:UX[=?j;frjkZoi{}%l|daPo^64[hs89:9>=?>d:esmjYnfz~$k}g`_n]73Zkrp9:;>?:=1:esmjYnfz~$k}g`_n]73Zkrp9:;>?:PSV22`>awafUbb~z gqkl[jY3?Vg~t=>?2272`>awafUbb~z gqkl[jY3?Vg~t=>?27615>awafUbb~z gqkl[jY3?Vg~t=>?276\WR66l2m{ebQfnrv,cuohWfU?;Rczx1236=36l2m{ebQfnrv,cuohWfU?;Rczx1236<26;2m{ebQfnrv,cuohWfU?4:8:7j~fo^kmwq)`x`eTcR:Pmtz34546>:UX[=?k;frjkZoi{}%l|daPo^6\ip~78989<4gqkl[lht|&m{ebQ`_5]nq}678;8?S^Y?1b9dtliXagy#j~fo^m\0Zkrp9:;>>;>c:esmjYnfz~$k}g`_n]7[hs89:9:94:>2:esmjYnfz~$k}g`_n]65d=`x`eTec}{/frjkZiX=Vg~t=>?20f8cuohW`dxx"iin]l[0Yj}q:;20f8cuohW`dxx"iin]l[0Yj}q:;?030354e?030765=`x`eTec}{/frjkZiX=Vg~t=>?236\WR66k2m{ebQfnrv,cuohWfU>S`{w0121707d3nzbcRgasu-dtliXgV?Taxv?0105076?0347[VQ79j1l|daPioqw+bvngVeT9Rczx1236=36k2m{ebQfnrv,cuohWfU>S`{w0121=1753nzbcRgasu-dtliXgV<:m6iin]jjvr(oycdSbQ9_lw{45659m1l|daPioqw+bvngVeT:Rczx12364759m1l|daPioqw+bvngVeT:Rczx1236404:81l|daPioqw+bvngVeT:Rczx1236404WZ];=i5hphm\mkus'nzbcRaP6^ov|567:;::=n5hphm\mkus'nzbcRaP6^ov|567:;>9<6iin]jjvr(oycdSbQ9_lw{4565:=UX[=?l;frjkZoi{}%l|daPo^4\ip~789889=5hphm\mkus'nzbcRaP6^ov|567:?>T_Z>>c:esmjYnfz~$k}g`_n]5[hs89:948?l;frjkZoi{}%l|daPo^4\ip~789828<<4gqkl[lht|&m{ebQ`_63b?bvngVcey!hphm\kZ1Xe|r;<=<>d:esmjYnfz~$k}g`_n]4[hs89:9=<<>d:esmjYnfz~$k}g`_n]4[hs89:9=;==1:esmjYnfz~$k}g`_n]4[hs89:9=;=PSV22`>awafUbb~z gqkl[jY0Wds<=>=2132g>awafUbb~z gqkl[jY0Wds<=>=2503?bvngVcey!hphm\kZ1Xe|r;<=<=4^QT44eUfyu>?03165f=`x`eTec}{/frjkZiX?Vg~t=>?27614>awafUbb~z gqkl[jY0Wds<=>=65]PS57d3nzbcRgasu-dtliXgV=Taxv?010;14eUfyu>?03;757=`x`eTec}{/frjkZiX08k0k}g`_hlpp*awafUdS5Qbuy23477c3nzbcRgasu-dtliXgV2Taxv?0102577c3nzbcRgasu-dtliXgV2Taxv?010226463nzbcRgasu-dtliXgV2Taxv?010226YT_9;o7j~fo^kmwq)`x`eTcR6Pmtz3454588;h7j~fo^kmwq)`x`eTcR6Pmtz34545<;:0k}g`_hlpp*awafUdS5Qbuy234743WZ];=n5hphm\mkus'nzbcRaP8^ov|567::?:o6iin]jjvr(oycdSbQ7_lw{4565>=8;7j~fo^kmwq)`x`eTcR6Pmtz34541=n5hphm\mkus'nzbcRaP8^ov|567:0>:>6iin]jjvr(oycdSbQ61`9dtliXagy#j~fo^m\=Zkrp9:;>?:PSV22g>awafUbb~z gqkl[jY>Wds<=>=343`?bvngVcey!hphm\kZ?Xe|r;<=<94328cuohW`dxx"iin]l[?2972g>awafUbb~z gqkl[jY>Wds<=>=9530?bvngVcey!hphm\u9699=1l|daPioqw+bvngV{7==0>4:esmjYnfz~$k}g`_p>25;733nzbcRgasu-dtliXy5;92<:4gqkl[lht|&m{ebQ~<01=51=`x`eTec}{/frjkZw;9=4:86iin]jjvr(oycdS|2>5?30?bvngVcey!hphm\u9799=1l|daPioqw+bvngV{7>;0>4:esmjYnfz~$k}g`_p>13;733nzbcRgasu-dtliXy5832<:4gqkl[lht|&m{ebQ~<3;=56=`x`eTec}{/frjkZw;:7;?7j~fo^kmwq)`x`eT}1=?>068cuohW`dxx"iin]r86799=1l|daPioqw+bvngV{7??0>4:esmjYnfz~$k}g`_p>07;733nzbcRgasu-dtliXy59?2<:4gqkl[lht|&m{ebQ~<27=51=`x`eTec}{/frjkZw;;?4:86iin]jjvr(oycdS|2<7?37?bvngVcey!hphm\u95?68>0k}g`_hlpp*awafUz0>71129dtliXagy#j~fo^s?7;733nzbcRgasu-dtliXy5>;2<:4gqkl[lht|&m{ebQ~<53=51=`x`eTec}{/frjkZw;<;4:86iin]jjvr(oycdS|2;3?37?bvngVcey!hphm\u92368>0k}g`_hlpp*awafUz09;1159dtliXagy#j~fo^s?0386<2m{ebQfnrv,cuohWx6?;3?;;frjkZoi{}%l|daPq=6;:45018cuohW`dxx"iin]r8386;2m{ebQfnrv,cuohWx6<2<=4gqkl[lht|&m{ebQ~<9<27>awafUbb~z gqkl[t:>6880k}g`_hlpp*awafUzS=?n;frjkZoi{}%l|daPq^2\jjr789;:o6iin]jjvr(oycdS|Q?_omw4566:8;i7j~fo^kmwq)`x`eT}R>Pnnv345729o1l|daPioqw+bvngV{T3:esmjYnfz~$k}g`_p]24452018cuohW`dxx"iin]r[456;2m{ebQfnrv,cuohWxU:8<=4gqkl[lht|&m{ebQ~_0726>awafUbb~z gqkl[tY59:1l|daPioqw+bvngV{T>;?<;frjkZoi{}%l|daPq^0456=`x`eTec}{/frjkZwX:1;87j~fo^kmwq)`x`eT}R<6139dtliXagy#j~fo^s\745R``t12354b?006\WR6582m{ebQfnrv,cuohWxU8>R``t1235Zdcl=;87j~fo^kmwq)`x`eT}R=<129dtliXagy#j~fo^s\71743nzbcRgasu-dtliXyV9>=>5hphm\mkus'nzbcRP3730?bvngVcey!hphm\uZ509:1l|daPioqw+bvngV{T?5?<;frjkZoi{}%l|daPq^1:57=`x`eTec}{/frjkZwX<890k}g`_hlpp*awafUzS9>>3:esmjYnfz~$k}g`_p]7545awafUbb~z gqkl[tY3?890k}g`_hlpp*awafUzS96>2:esmjYnfz~$k}g`_p]657=`x`eTec}{/frjkZwX>880k}g`_hlpp*awafUzS:?=;frjkZoi{}%l|daPq^:26>awafUbb~z gqkl[tY>911l|daPioqw+bvngV~ye~Q~<1<2=>awafUbb~z gqkl[qtn{V{7==0>9:esmjYnfz~$k}g`_upjwZw;984:56iin]jjvr(oycdSy|fs^s?578612m{ebQfnrv,cuohW}xbR312<2=>awafUbb~z gqkl[qtn{V{7=90>9:esmjYnfz~$k}g`_upjwZw;9<4:56iin]jjvr(oycdSy|fs^s?538612m{ebQfnrv,cuohW}xbR316<2=>awafUbb~z gqkl[qtn{V{7=50>9:esmjYnfz~$k}g`_upjwZw;904:46iin]jjvr(oycdSy|fs^s?5;7>3nzbcRgasu-dtliX|{cxS|2=0?3:?bvngVcey!hphm\pwotWx69=3?6;frjkZoi{}%l|daPtskp[t:5:7;27j~fo^kmwq)`x`eTxg|_p>17;7>3nzbcRgasu-dtliX|{cxS|2=4?3:?bvngVcey!hphm\pwotWx6993?6;frjkZoi{}%l|daPtskp[t:5>7;27j~fo^kmwq)`x`eTxg|_p>13;7>3nzbcRgasu-dtliX|{cxS|2=8?3:?bvngVcey!hphm\pwotWx6953?7;frjkZoi{}%l|daPtskp[t:56830k}g`_hlpp*awafU~d}Pq=13:4?>0;8cuohW`dxx"iin]wvluXy5992<74gqkl[lht|&m{ebQ{rhq\u9546830k}g`_hlpp*awafU~d}Pq=17:4?0;8cuohW`dxx"iin]wvluXy59=2<74gqkl[lht|&m{ebQ{rhq\u9506830k}g`_hlpp*awafU~d}Pq=1;:4?0:8cuohW`dxx"iin]wvluXy595=45hphm\mkus'nzbcRz}ir]r8169901l|daPioqw+bvngV~ye~Q~<53=5<=`x`eTec}{/frjkZruazUz09<1189dtliXagy#j~fo^vqmvYv4=95=45hphm\mkus'nzbcRz}ir]r8129901l|daPioqw+bvngV~ye~Q~<57=5<=`x`eTec}{/frjkZruazUz0981189dtliXagy#j~fo^vqmvYv4==5=45hphm\mkus'nzbcRz}ir]r81>9911l|daPioqw+bvngV~ye~Q~<5<2<>awafUbb~z gqkl[qtn{V{793?7;frjkZoi{}%l|daPtskp[t:16820k}g`_hlpp*awafU~d}Pq=5=5==`x`eTec}{/frjkZruazUz050>8:esmjYnfz~$k}g`_upjwZw;17;<7j~fo^kmwq)`x`eTxg|_p]35c=`x`eTec}{/frjkZruazUzS=Qaou2344463nzbcRgasu-dtliX|{cxS|Q?_omw456698;<7j~fo^kmwq)`x`eTxg|_p]25==`x`eTec}{/frjkZruazUzS<>>8:esmjYnfz~$k}g`_upjwZwX98;37j~fo^kmwq)`x`eTxg|_p]264>:46iin]jjvr(oycdSy|fs^s\507?3nzbcRgasu-dtliX|{cxS|Q>60:8cuohW`dxx"iin]wvluXyV;<=55hphm\mkus'nzbcRz}ir]r[4>602m{ebQfnrv,cuohW}xbRP1834?bvngVcey!hphm\pwotWxU9=55hphm\mkus'nzbcRz}ir]r[76602m{ebQfnrv,cuohW}xbRP203;?bvngVcey!hphm\pwotWxU9><64gqkl[lht|&m{ebQ{rhq\uZ44911l|daPioqw+bvngV~ye~Q~_362<>awafUbb~z gqkl[qtn{V{T>8?7;frjkZoi{}%l|daPtskp[tY5>820k}g`_hlpp*awafU~d}Pq^045==`x`eTec}{/frjkZruazUzS?6>8:esmjYnfz~$k}g`_upjwZwX:0;<7j~fo^kmwq)`x`eTxg|_p]05==`x`eTec}{/frjkZruazUzS>>>8:esmjYnfz~$k}g`_upjwZwX;8;37j~fo^kmwq)`x`eTxg|_p]0676awafUbb~z gqkl[qtn{V{T?8?7;frjkZoi{}%l|daPtskp[tY4>820k}g`_hlpp*awafU~d}Pq^145==`x`eTec}{/frjkZruazUzS>6>8:esmjYnfz~$k}g`_upjwZwX;0;<7j~fo^kmwq)`x`eTxg|_p]75==`x`eTec}{/frjkZruazUzS9>>8:esmjYnfz~$k}g`_upjwZwX<8;37j~fo^kmwq)`x`eTxg|_p]764>:46iin]jjvr(oycdSy|fs^s\007?3nzbcRgasu-dtliX|{cxS|Q;60:8cuohW`dxx"iin]wvluXyV><=55hphm\mkus'nzbcRz}ir]r[1>6?2m{ebQfnrv,cuohW}xbRP5058cuohW`dxx"iin]wvluXyV<:;6iin]jjvr(oycdSy|fs^s\3417:esmjYnfz~$k}g`_upjwZwX18i0k}g`_hlpp*oix|~Tal~es]r[5Y79j1l|daPioqw+lhw}}Ufm}~jr^s\4Z76k2m{ebQfnrv,mkvr|Vgj|}k}_p]3[77d3nzbcRgasu-jjussWdk{|h|Pq^2\74eawafUbb~z iorvpZkfxyoyS|Q?_`lg4567:=1l|daPioqw+lhw}}Ufm}~jr^s\4Zgil9:;<8_RU3[74?3nzbcRgasu-jjussWdk{|h|Pq^2\ekb789:Tnij>0358cuohW`dxx"gaptv\idvwm{UzS=Qnne2345Yelm>9;6iin]jjvr(agz~xRcnpqgq[tY7Whdo<=>?_cfg<4b?0032`>awafUbb~z iorvpZkfxyoyS|Q>1^22`>awafUbb~z iorvpZkfxyoyS|Q>1^32`>awafUbb~z iorvpZkfxyoyS|Q>1^02`>awafUbb~z iorvpZkfxyoyS|Q>1^12`>awafUbb~z iorvpZkfxyoyS|Q>1^62`>awafUbb~z iorvpZkfxyoyS|Q>1^717>awafUbb~z iorvpZkfxyoyS|Q>1^cm`5678;?0k}g`_hlpp*oix|~Tal~es]r[47Xign;<=>>1378cuohW`dxx"gaptv\idvwm{UzSPbef75a=`x`eTec}{/hlsqqYjiyzn~RP13]35a=`x`eTec}{/hlsqqYjiyzn~RP13]25a=`x`eTec}{/hlsqqYjiyzn~RP13]15a=`x`eTec}{/hlsqqYjiyzn~RP13]05a=`x`eTec}{/hlsqqYjiyzn~RP13]75a=`x`eTec}{/hlsqqYjiyzn~RP13]666=`x`eTec}{/hlsqqYjiyzn~RP13]bja67898>7j~fo^kmwq)nfyS`opdp\uZ75Whdo<=>?103g?bvngVcey!fnqww[hgwxlxT}R?<_13g?bvngVcey!fnqww[hgwxlxT}R?<_03g?bvngVcey!fnqww[hgwxlxT}R?<_33g?bvngVcey!fnqww[hgwxlxT}R?<_23g?bvngVcey!fnqww[hgwxlxT}R?<_53g?bvngVcey!fnqww[hgwxlxT}R?<_400?bvngVcey!fnqww[hgwxlxT}R?<_`lg4567:<1l|daPioqw+lhw}}Ufm}~jr^s\56Yffm:;<=?>1e9dtliXagy#d`uu]neuvbzV{T=9Q?1e9dtliXagy#d`uu]neuvbzV{T=9Q>1e9dtliXagy#d`uu]neuvbzV{T=9Q=1e9dtliXagy#d`uu]neuvbzV{T=9Q<1e9dtliXagy#d`uu]neuvbzV{T=9Q;1e9dtliXagy#d`uu]neuvbzV{T=9Q:229dtliXagy#d`uu]neuvbzV{T=9Qnne2345423nzbcRgasu-jjussWdk{|h|Pq^37[dhc89:;=S=?k;frjkZoi{}%bb}{{_lcst`tXyV;>SS??k;frjkZoi{}%bb}{{_lcst`tXyV;>S>?k;frjkZoi{}%bb}{{_lcst`tXyV;>S9?k;frjkZoi{}%bb}{{_lcst`tXyV;>S8<<;frjkZoi{}%bb}{{_lcst`tXyV;>Sl`k012360=`x`eTec}{/hlsqqYjiyzn~RP14]bja6789;:>85hphm\mkus'`d{yyQbaqrfvZwX9?Ujbi>?016275=`x`eTec}{/hlsqqYjiyzn~RP17]bja6789>:#A[[3^F\FP@502m{ebQfnrv,mkvr|Vgj|}k}_p]22Zgil9:;?40-OQQ5XLVH^J?64gqkl[lht|&ce|xzPm`rsawYvW8=Tmcj?012\fab39j1l|daPioqw+lhw}}Ufm}~jr^s\5Z66k2m{ebQfnrv,mkvr|Vgj|}k}_p]2[47d3nzbcRgasu-jjussWdk{|h|Pq^3\64eawafUbb~z iorvpZkfxyoyS|Q>_401?bvngVcey!fnqww[hgwxlxT}R?Paof34565<2m{ebQfnrv,mkvr|Vgj|}k}_p]2[dhc89:;=?k;frjkZoi{}%bb}{{_lcst`tXyV8=S9?k;frjkZoi{}%bb}{{_lcst`tXyV8=S8<<;frjkZoi{}%bb}{{_lcst`tXyV8=Sl`k012360=`x`eTec}{/hlsqqYjiyzn~RP27]bja6789;:=i5hphm\mkus'`d{yyQbaqrfvZwX:>U;=i5hphm\mkus'`d{yyQbaqrfvZwX:>U:=i5hphm\mkus'`d{yyQbaqrfvZwX:>U9=i5hphm\mkus'`d{yyQbaqrfvZwX:>U8=i5hphm\mkus'`d{yyQbaqrfvZwX:>U?=i5hphm\mkus'`d{yyQbaqrfvZwX:>U>>>5hphm\mkus'`d{yyQbaqrfvZwX:>Ujbi>?0106?bvngVcey!fnqww[hgwxlxT}R<8_`lg456798;o7j~fo^kmwq)nfyS`opdp\uZ4?W9;o7j~fo^kmwq)nfyS`opdp\uZ4?W8;o7j~fo^kmwq)nfyS`opdp\uZ4?W;;o7j~fo^kmwq)nfyS`opdp\uZ4?W:;o7j~fo^kmwq)nfyS`opdp\uZ4?W=;o7j~fo^kmwq)nfyS`opdp\uZ4?W<887j~fo^kmwq)nfyS`opdp\uZ4?Whdo<=>?249dtliXagy#d`uu]neuvbzV{T>5Qnne2345769m1l|daPioqw+lhw}}Ufm}~jr^s\6awafUbb~z iorvpZkfxyoyS|Q=_23`?bvngVcey!fnqww[hgwxlxT}RRoad123472>>5hphm\mkus'`d{yyQbaqrfvZwX;9Ujbi>?0106?bvngVcey!fnqww[hgwxlxT}R=?_`lg456798;o7j~fo^kmwq)nfyS`opdp\uZ56W9;o7j~fo^kmwq)nfyS`opdp\uZ56W8;o7j~fo^kmwq)nfyS`opdp\uZ56W;;o7j~fo^kmwq)nfyS`opdp\uZ56W:;o7j~fo^kmwq)nfyS`opdp\uZ56W=;o7j~fo^kmwq)nfyS`opdp\uZ56W<887j~fo^kmwq)nfyS`opdp\uZ56Whdo<=>?249dtliXagy#d`uu]neuvbzV{T?2m{ebQfnrv,mkvr|Vgj|}k}_p]06Zgil9:;<8=?309dtliXagy#d`uu]neuvbzV{T??Qnne2345348&F^X>QK_CWE60=`x`eTec}{/hlsqqYjiyzn~RP33]bja6789?=>55hphm\mkus'`d{yyQbaqrfvZwX;;Ujbi>?01]a`a2502m{ebQfnrv,mkvr|Vgj|}k}_p]06Zgil9:;249dtliXagy#d`uu]neuvbzV{T?>Qnne234526;91l|daPioqw+lhw}}Ufm}~jr^s\76Yffm:;<=:>/MWW7ZBXJ\L946iin]jjvr(agz~xRcnpqgq[tY4;Vkeh=>?0^`g`17c3nzbcRgasu-jjussWdk{|h|Pq^17[57c3nzbcRgasu-jjussWdk{|h|Pq^17[47c3nzbcRgasu-jjussWdk{|h|Pq^17[77c3nzbcRgasu-jjussWdk{|h|Pq^17[67c3nzbcRgasu-jjussWdk{|h|Pq^17[17c3nzbcRgasu-jjussWdk{|h|Pq^17[0443nzbcRgasu-jjussWdk{|h|Pq^17[dhc89:;>85hphm\mkus'`d{yyQbaqrfvZwX;=Ujbi>?01325a=`x`eTec}{/hlsqqYjiyzn~RP34]35a=`x`eTec}{/hlsqqYjiyzn~RP34]25a=`x`eTec}{/hlsqqYjiyzn~RP34]15a=`x`eTec}{/hlsqqYjiyzn~RP34]05a=`x`eTec}{/hlsqqYjiyzn~RP34]75a=`x`eTec}{/hlsqqYjiyzn~RP34]666=`x`eTec}{/hlsqqYjiyzn~RP34]bja67898>7j~fo^kmwq)nfyS`opdp\uZ52Whdo<=>?103g?bvngVcey!fnqww[hgwxlxT}R=9_13g?bvngVcey!fnqww[hgwxlxT}R=9_03g?bvngVcey!fnqww[hgwxlxT}R=9_33g?bvngVcey!fnqww[hgwxlxT}R=9_23g?bvngVcey!fnqww[hgwxlxT}R=9_53g?bvngVcey!fnqww[hgwxlxT}R=9_400?bvngVcey!fnqww[hgwxlxT}R=9_`lg4567:<1l|daPioqw+lhw}}Ufm}~jr^s\73Yffm:;<=?>1e9dtliXagy#d`uu]neuvbzV{T?:Q?1e9dtliXagy#d`uu]neuvbzV{T?:Q>1e9dtliXagy#d`uu]neuvbzV{T?:Q=1e9dtliXagy#d`uu]neuvbzV{T?:Q<1e9dtliXagy#d`uu]neuvbzV{T?:Q;1e9dtliXagy#d`uu]neuvbzV{T?:Q:229dtliXagy#d`uu]neuvbzV{T?:Qnne2345423nzbcRgasu-jjussWdk{|h|Pq^14[dhc89:;=?k;frjkZoi{}%bb}{{_lcst`tXyV93S9?k;frjkZoi{}%bb}{{_lcst`tXyV93S8<<;frjkZoi{}%bb}{{_lcst`tXyV93Sl`k012360=`x`eTec}{/hlsqqYjiyzn~RP39]bja6789;:=i5hphm\mkus'`d{yyQbaqrfvZwX;0U;=i5hphm\mkus'`d{yyQbaqrfvZwX;0U:=i5hphm\mkus'`d{yyQbaqrfvZwX;0U9=i5hphm\mkus'`d{yyQbaqrfvZwX;0U8=i5hphm\mkus'`d{yyQbaqrfvZwX;0U?=i5hphm\mkus'`d{yyQbaqrfvZwX;0U>>>5hphm\mkus'`d{yyQbaqrfvZwX;0Ujbi>?0106?bvngVcey!fnqww[hgwxlxT}R=6_`lg456798;h7j~fo^kmwq)nfyS`opdp\uZ5X88i0k}g`_hlpp*oix|~Tal~es]r[6Y69j1l|daPioqw+lhw}}Ufm}~jr^s\7Z46k2m{ebQfnrv,mkvr|Vgj|}k}_p]0[67d3nzbcRgasu-jjussWdk{|h|Pq^1\04e0k}g`_hlpp*oix|~Tal~es]r[6Yffm:;<=?>1e9dtliXagy#d`uu]neuvbzV{T8=Q?1e9dtliXagy#d`uu]neuvbzV{T8=Q>1e9dtliXagy#d`uu]neuvbzV{T8=Q=1e9dtliXagy#d`uu]neuvbzV{T8=Q<1e9dtliXagy#d`uu]neuvbzV{T8=Q;1e9dtliXagy#d`uu]neuvbzV{T8=Q:229dtliXagy#d`uu]neuvbzV{T8=Qnne2345423nzbcRgasu-jjussWdk{|h|Pq^63[dhc89:;=:S=?k;frjkZoi{}%bb}{{_lcst`tXyV>:S:S??k;frjkZoi{}%bb}{{_lcst`tXyV>:S>?k;frjkZoi{}%bb}{{_lcst`tXyV>:S9?k;frjkZoi{}%bb}{{_lcst`tXyV>:S8<<;frjkZoi{}%bb}{{_lcst`tXyV>:Sl`k012360=`x`eTec}{/hlsqqYjiyzn~RP40]bja6789;:=i5hphm\mkus'`d{yyQbaqrfvZwX<;U;=i5hphm\mkus'`d{yyQbaqrfvZwX<;U:=i5hphm\mkus'`d{yyQbaqrfvZwX<;U9=i5hphm\mkus'`d{yyQbaqrfvZwX<;U8=i5hphm\mkus'`d{yyQbaqrfvZwX<;U?=i5hphm\mkus'`d{yyQbaqrfvZwX<;U>>>5hphm\mkus'`d{yyQbaqrfvZwX<;Ujbi>?0106?bvngVcey!fnqww[hgwxlxT}R:=_`lg456798;o7j~fo^kmwq)nfyS`opdp\uZ24W9;o7j~fo^kmwq)nfyS`opdp\uZ24W8;o7j~fo^kmwq)nfyS`opdp\uZ24W;;o7j~fo^kmwq)nfyS`opdp\uZ24W:;o7j~fo^kmwq)nfyS`opdp\uZ24W=;o7j~fo^kmwq)nfyS`opdp\uZ24W<887j~fo^kmwq)nfyS`opdp\uZ24Whdo<=>?249dtliXagy#d`uu]neuvbzV{T8>Qnne2345769m1l|daPioqw+lhw}}Ufm}~jr^s\01Y79m1l|daPioqw+lhw}}Ufm}~jr^s\01Y69m1l|daPioqw+lhw}}Ufm}~jr^s\01Y59m1l|daPioqw+lhw}}Ufm}~jr^s\01Y49m1l|daPioqw+lhw}}Ufm}~jr^s\01Y39m1l|daPioqw+lhw}}Ufm}~jr^s\01Y2::1l|daPioqw+lhw}}Ufm}~jr^s\01Yffm:;<=<:;frjkZoi{}%bb}{{_lcst`tXyV>?Sl`k0123547c3nzbcRgasu-jjussWdk{|h|Pq^66[57c3nzbcRgasu-jjussWdk{|h|Pq^66[47c3nzbcRgasu-jjussWdk{|h|Pq^66[77c3nzbcRgasu-jjussWdk{|h|Pq^66[67c3nzbcRgasu-jjussWdk{|h|Pq^66[17c3nzbcRgasu-jjussWdk{|h|Pq^66[0443nzbcRgasu-jjussWdk{|h|Pq^66[dhc89:;>85hphm\mkus'`d{yyQbaqrfvZwX<?01325a=`x`eTec}{/hlsqqYjiyzn~RP47]35a=`x`eTec}{/hlsqqYjiyzn~RP47]25a=`x`eTec}{/hlsqqYjiyzn~RP47]15a=`x`eTec}{/hlsqqYjiyzn~RP47]05a=`x`eTec}{/hlsqqYjiyzn~RP47]75a=`x`eTec}{/hlsqqYjiyzn~RP47]666=`x`eTec}{/hlsqqYjiyzn~RP47]bja67898>7j~fo^kmwq)nfyS`opdp\uZ21Whdo<=>?103g?bvngVcey!fnqww[hgwxlxT}R:8_13g?bvngVcey!fnqww[hgwxlxT}R:8_03g?bvngVcey!fnqww[hgwxlxT}R:8_33g?bvngVcey!fnqww[hgwxlxT}R:8_23g?bvngVcey!fnqww[hgwxlxT}R:8_53g?bvngVcey!fnqww[hgwxlxT}R:8_400?bvngVcey!fnqww[hgwxlxT}R:8_`lg4567:<1l|daPioqw+lhw}}Ufm}~jr^s\02Yffm:;<=?>1b9dtliXagy#d`uu]neuvbzV{T8R>>c:esmjYnfz~$ec~zt^obtucuWxU?ST>:o6iin]jjvr(agz~xRcnpqgq[tY3W<897j~fo^kmwq)nfyS`opdp\uZ2Xign;<=>=4:esmjYnfz~$ec~zt^obtucuWxU?Sl`k0123547d3nzbcRgasu-jjussWdk{|h|Pq^7\44eawafUbb~z iorvpZkfxyoyS|Q:_23`?bvngVcey!fnqww[hgwxlxT}R;P40a8cuohW`dxx"gaptv\idvwm{UzS8Q:239dtliXagy#d`uu]neuvbzV{T9Road123472V::o6iin]jjvr(agz~xRcnpqgq[tY1W8;h7j~fo^kmwq)nfyS`opdp\uZ0X:8i0k}g`_hlpp*oix|~Tal~es]r[3Y49j1l|daPioqw+lhw}}Ufm}~jr^s\2Z26k2m{ebQfnrv,mkvr|Vgj|}k}_p]5[0453nzbcRgasu-jjussWdk{|h|Pq^4\ekb789:986iin]jjvr(agz~xRcnpqgq[tY1Whdo<=>?103`?bvngVcey!fnqww[hgwxlxT}R9P00a8cuohW`dxx"gaptv\idvwm{UzS:Q>1b9dtliXagy#d`uu]neuvbzV{T;R<>c:esmjYnfz~$ec~zt^obtucuWxU?l;frjkZoi{}%bb}{{_lcst`tXyV=T8U>>?5hphm\mkus'`d{yyQbaqrfvZwX?Vkeh=>?0368cuohW`dxx"gaptv\idvwm{UzS:Qnne2345769j1l|daPioqw+lhw}}Ufm}~jr^s\awafUbb~z iorvpZkfxyoyS|Q7_401?bvngVcey!fnqww[hgwxlxT}R6Paof34565<2m{ebQfnrv,mkvr|Vgj|}k}_p];[dhc89:;=W:;h7j~fo^kmwq)nfyS`opdp\uZ?X<8i0k}g`_hlpp*oix|~Tal~es]r[?01325a=`x`eTec}{/nwwtprXehz{iQ~_1]35a=`x`eTec}{/nwwtprXehz{iQ~_1]25a=`x`eTec}{/nwwtprXehz{iQ~_1]15a=`x`eTec}{/nwwtprXehz{iQ~_1]05a=`x`eTec}{/nwwtprXehz{iQ~_1]75a=`x`eTec}{/nwwtprXehz{iQ~_1]65a=`x`eTec}{/nwwtprXehz{iQ~_1]566=`x`eTec}{/nwwtprXehz{iQ~_1]bja67898?7j~fo^kmwq)h}}z~xRcnpqgq[tY7Whdo<=>?10f8cuohW`dxx"aztqww[hgwxlxT}R?P00f8cuohW`dxx"aztqww[hgwxlxT}R?P10f8cuohW`dxx"aztqww[hgwxlxT}R?P20f8cuohW`dxx"aztqww[hgwxlxT}R?P30f8cuohW`dxx"aztqww[hgwxlxT}R?P40f8cuohW`dxx"aztqww[hgwxlxT}R?P50f8cuohW`dxx"aztqww[hgwxlxT}R?P6318cuohW`dxx"aztqww[hgwxlxT}R?Paof34565<2m{ebQfnrv,kprw}}Ufm}~jr^s\5Zgil9:;<<<7;frjkZoi{}%dyy~zt^obtucuWxU:Sl`k01235ZUP8;30k}g`_hlpp*ir|yS`opdp\uZ7Xign;<=>>_RU357dawafUbb~z pqqsmkYv494:;6iin]jjvr(xyy{ecQ~<023:417:esmjYnfz~$|}}io]r846568=0k}g`_hlpp*vw{yceS|2>02<23>awafUbb~z pqqsmkYv48:?2<94gqkl[lht|&z{}ga_p>24086?2m{ebQfnrv,tuuwagUz0<>9>058cuohW`dxx"~sqkm[t:68>4:;6iin]jjvr(xyy{ecQ~<02;:416:esmjYnfz~$|}}io]r84699>1l|daPioqw+uvtx`dT}1?>0?34?bvngVcey!prrjjZw;98;5=:5hphm\mkus'yzx|d`Pq=326;703nzbcRgasu-stvvnfV{7=<=1169dtliXagy#}~|phl\u976<7;<7j~fo^kmwq)wxzzbbR3107=52=`x`eTec}{/qrptlhXy5;::3?8;frjkZoi{}%{|~~fn^s?54199>1l|daPioqw+uvtx`dT}1?>8?34?bvngVcey!prrjjZw;9835=;5hphm\mkus'yzx|d`Pq=32:41=0>7:esmjYnfz~$|}}io]r844668=0k}g`_hlpp*vw{yceS|2>23<23>awafUbb~z pqqsmkYv48882<94gqkl[lht|&z{}ga_p>26186?2m{ebQfnrv,tuuwagUz0<<:>058cuohW`dxx"~sqkm[t:6:?4:;6iin]jjvr(xyy{ecQ~<004:403?9;frjkZoi{}%{|~~fn^s?5686>2m{ebQfnrv,tuuwagUz0<:1179dtliXagy#}~|phl\u97268<0k}g`_hlpp*vw{yceS|2>6?35?bvngVcey!prrjjZw;9>4::6iin]jjvr(xyy{ecQ~<0:=53=`x`eTec}{/qrptlhXy5;22<;4gqkl[lht|&z{}ga_p>2:402m{ebQfnrv,tuuwagUz0?<1179dtliXagy#}~|phl\u94468<0k}g`_hlpp*vw{yceS|2=4?35?bvngVcey!prrjjZw;:<4::6iin]jjvr(xyy{ecQ~<34=53=`x`eTec}{/qrptlhXy58<2<84gqkl[lht|&z{}ga_p>1<;713nzbcRgasu-stvvnfV{7>40>5:esmjYnfz~$|}}io]r8786>2m{ebQfnrv,tuuwagUz0>>1179dtliXagy#}~|phl\u95668<0k}g`_hlpp*vw{yceS|2<2?35?bvngVcey!prrjjZw;;:4::6iin]jjvr(xyy{ecQ~<26=53=`x`eTec}{/qrptlhXy59>2<84gqkl[lht|&z{}ga_p>02;713nzbcRgasu-stvvnfV{7?:0>6:esmjYnfz~$|}}io]r86>99?1l|daPioqw+uvtx`dT}1=6>078cuohW`dxx"~sqkm[t:468<0k}g`_hlpp*vw{yceS|2;0?35?bvngVcey!prrjjZw;<84::6iin]jjvr(xyy{ecQ~<50=53=`x`eTec}{/qrptlhXy5>82<84gqkl[lht|&z{}ga_p>70;713nzbcRgasu-stvvnfV{7880>6:esmjYnfz~$|}}io]r81099?1l|daPioqw+uvtx`dT}1:8>048cuohW`dxx"~sqkm[t:307;=7j~fo^kmwq)wxzzbbR348<21>awafUbb~z pqqsmkYv4=4::6iin]jjvr(xyy{ecQ~<42=53=`x`eTec}{/qrptlhXy5?:2<84gqkl[lht|&z{}ga_p>66;713nzbcRgasu-stvvnfV{79>0>6:esmjYnfz~$|}}io]r80299?1l|daPioqw+uvtx`dT}1;:>048cuohW`dxx"~sqkm[t:2>7;=7j~fo^kmwq)wxzzbbR356<22>awafUbb~z pqqsmkYv4<25=;5hphm\mkus'yzx|d`Pq=7::432<84gqkl[lht|&z{}ga_p>54;713nzbcRgasu-stvvnfV{7:<0>6:esmjYnfz~$|}}io]r83499?1l|daPioqw+uvtx`dT}18<>048cuohW`dxx"~sqkm[t:1<7;=7j~fo^kmwq)wxzzbbR364<22>awafUbb~z pqqsmkYv4?<5=;5hphm\mkus'yzx|d`Pq=44:406:esmjYnfz~$|}}io]r82699?1l|daPioqw+uvtx`dT}19>>048cuohW`dxx"~sqkm[t:0:7;=7j~fo^kmwq)wxzzbbR372<22>awafUbb~z pqqsmkYv4>>5=;5hphm\mkus'yzx|d`Pq=56:402m{ebQfnrv,tuuwagUz0:61179dtliXagy#}~|phl\u91>68?0k}g`_hlpp*vw{yceS|28>048cuohW`dxx"~sqkm[t:?87;=7j~fo^kmwq)wxzzbbR380<22>awafUbb~z pqqsmkYv4185=;5hphm\mkus'yzx|d`Pq=:0:402m{ebQfnrv,tuuwagUz0581179dtliXagy#}~|phl\u9>068<0k}g`_hlpp*vw{yceS|278?35?bvngVcey!prrjjZw;004:96iin]jjvr(xyy{ecQ~<9<22>awafUbb~z pqqsmkYv40:5=;5hphm\mkus'yzx|d`Pq=;2:403?9;frjkZoi{}%{|~~fn^s?=686>2m{ebQfnrv,tuuwagUz04:1179dtliXagy#}~|phl\u9?268<0k}g`_hlpp*vw{yceS|266?35?bvngVcey!prrjjZw;1>4::6iin]jjvr(xyy{ecQ~<8:=53=`x`eTec}{/qrptlhXy5322<;4gqkl[lht|&z{}ga_p>::42awafUbb~z pqqsmkYvW8:::6iin]jjvr(xyy{ecQ~_02353=`x`eTec}{/qrptlhXyV;;=<84gqkl[lht|&z{}ga_p]247713nzbcRgasu-stvvnfV{T===>6:esmjYnfz~$|}}io]r[4639?1l|daPioqw+uvtx`dT}R??5048cuohW`dxx"~sqkm[tY68?;=7j~fo^kmwq)wxzzbbRP11522>awafUbb~z pqqsmkYvW8:3=;5hphm\mkus'yzx|d`Pq^33=436:esmjYnfz~$|}}io]r[4759?1l|daPioqw+uvtx`dT}R?>3048cuohW`dxx"~sqkm[tY69=;=7j~fo^kmwq)wxzzbbRP10722>awafUbb~z pqqsmkYvW8;==;5hphm\mkus'yzx|d`Pq^323406:esmjYnfz~$|}}io]r[4479?1l|daPioqw+uvtx`dT}R?=1048cuohW`dxx"~sqkm[tY6:;;=7j~fo^kmwq)wxzzbbRP13122>awafUbb~z pqqsmkYvW88?=;5hphm\mkus'yzx|d`Pq^31140;?9;frjkZoi{}%{|~~fn^s\5716=2m{ebQfnrv,tuuwagUzS<=>5:esmjYnfz~$|}}io]r[426=2m{ebQfnrv,tuuwagUzS<;>5:esmjYnfz~$|}}io]r[406=2m{ebQfnrv,tuuwagUzS<9>5:esmjYnfz~$|}}io]r[4>6=2m{ebQfnrv,tuuwagUzS<7>4:esmjYnfz~$|}}io]r[7723nzbcRgasu-stvvnfV{T>=?:;frjkZoi{}%{|~~fn^s\64723nzbcRgasu-stvvnfV{T>??:;frjkZoi{}%{|~~fn^s\66723nzbcRgasu-stvvnfV{T>9?:;frjkZoi{}%{|~~fn^s\60723nzbcRgasu-stvvnfV{T>;?:;frjkZoi{}%{|~~fn^s\62723nzbcRgasu-stvvnfV{T>5?:;frjkZoi{}%{|~~fn^s\6<733nzbcRgasu-stvvnfV{T?<;4gqkl[lht|&z{}ga_p]0443R``t12354`R``t1235476=2m{ebQfnrv,tuuwagUzS>=>5:esmjYnfz~$|}}io]r[626=2m{ebQfnrv,tuuwagUzS>;>5:esmjYnfz~$|}}io]r[606=2m{ebQfnrv,tuuwagUzS>9>5:esmjYnfz~$|}}io]r[6>6=2m{ebQfnrv,tuuwagUzS>7>4:esmjYnfz~$|}}io]r[1723nzbcRgasu-stvvnfV{T8=?:;frjkZoi{}%{|~~fn^s\04723nzbcRgasu-stvvnfV{T8??:;frjkZoi{}%{|~~fn^s\06723nzbcRgasu-stvvnfV{T89?:;frjkZoi{}%{|~~fn^s\00723nzbcRgasu-stvvnfV{T8;?:;frjkZoi{}%{|~~fn^s\02723nzbcRgasu-stvvnfV{T85?:;frjkZoi{}%{|~~fn^s\0<733nzbcRgasu-stvvnfV{T9<;4gqkl[lht|&z{}ga_p]6443=<;4gqkl[lht|&z{}ga_p]6643?<;4gqkl[lht|&z{}ga_p]60439<;4gqkl[lht|&z{}ga_p]6243;<;4gqkl[lht|&z{}ga_p]6<435<:4gqkl[lht|&z{}ga_p]550=`x`eTec}{/qrptlhXyV<;=85hphm\mkus'yzx|d`Pq^4250=`x`eTec}{/qrptlhXyV<9=85hphm\mkus'yzx|d`Pq^4050=`x`eTec}{/qrptlhXyVawafUbb~z pqqsmkYvW0;:96iin]jjvr(xyy{ecQ~_8021>awafUbb~z pqqsmkYvW09:96iin]jjvr(xyy{ecQ~_8621>awafUbb~z pqqsmkYvW0?:96iin]jjvr(xyy{ecQ~_842`>awafUbb~z pqqsmkYvW0awafUbb~z pqqsmkYvW03:4759>1l|daPioqw+vvwW{ojh1>1133;?bvngVcey!|pq]qadb;87;?4<64gqkl[lht|&y{|R|jae>3:404911l|daPioqw+vvwW{ojh1>12322=>awafUbb~z sqr\v`gc4949>=?>9:esmjYnfz~$}~Prdcg8585:98:n6iin]jjvr({yzT~hok<1<165Ya=820k}g`_hlpp*uwxVxnmi2?>36;52=`x`eTec}{/rrs[wcfl5:5>:?7;frjkZoi{}%x|}Q}e`f?4;4><820k}g`_hlpp*uwxVxnmi2?>2125==`x`eTec}{/rrs[wcfl5:5?98>8:esmjYnfz~$}~Prdcg85838:;27j~fo^kmwq)txyUyilj30?63747>3nzbcRgasu-ptuYumhn7<3:?333a?bvngVcey!|pq]qadb;87>;?Rh:199dtliXagy#~~_sgb`969<:;:46iin]jjvr({yzT~hok<1<7227?3nzbcRgasu-ptuYumhn7<3:720:8cuohW`dxx"}p^pfea:76<92=45hphm\mkus'zz{Sknd=2=16?6901l|daPioqw+vvwW{ojh1>152;15g=`x`eTec}{/rrs[wcfl5:59>7Pf43;?bvngVcey!|pq]qadb;87?=;<64gqkl[lht|&y{|R|jae>3:376911l|daPioqw+vvwW{ojh1>16502<>awafUbb~z sqr\v`gc494=4=?l;frjkZoi{}%x|}Q}e`f?4;0?8VY\<<64gqkl[lht|&y{|R|jae>3:275911l|daPioqw+vvwW{ojh1>17422g>awafUbb~z sqr\v`gc494<9=Q\W13;?bvngVcey!|pq]qadb;872;5<74gqkl[lht|&y{|R|jae>3:=6>9830k}g`_hlpp*uwxVxnmi2?>92:64d6_g72<>awafUbb~z sqr\v`gc4943>;?8;frjkZoi{}%x|}Q}e`f?4;>3911l|daPioqw+vvwW{ojh1>18532<>awafUbb~z sqr\v`gc49438??n;frjkZoi{}%x|}Q}e`f?4;>3Wo?:46iin]jjvr({yzT~hok<0<257703nzbcRgasu-ptuYumhn7=3?=199dtliXagy#~~_sgb`9799=2:46iin]jjvr({yzT~hok<0<2267?3nzbcRgasu-ptuYumhn7=3<=00;8cuohW`dxx"}p^pfea:66;8;=<74gqkl[lht|&y{|R|jae>2:747:8h0k}g`_hlpp*uwxVxnmi2>>303[c3602m{ebQfnrv,wuvXzlko0<0=4900?bvngVcey!|pq]qadb;978?4"BZT5]O[GSA9h1l|daPioqw+vvwW{ojh1?125:,K418:esmjYnfz~$}~Prdcg84851=;37j~fo^kmwq)txyUyilj31?1054>:9199dtliXagy#~~_sgb`979<99:56iin]jjvr({yzT~hok<0<7467612m{ebQfnrv,wuvXzlko0<0;0202f>awafUbb~z sqr\v`gc484?<>Qi50:8cuohW`dxx"}p^pfea:66=9:=55hphm\mkus'zz{Sknd=3=031602m{ebQfnrv,wuvXzlko0<0;833;?bvngVcey!|pq]qadb;97?85<74gqkl[lht|&y{|R|jae>2:05>9830k}g`_hlpp*uwxVxnmi2>>41:64dawafUbb~z sqr\v`gc484>::<<;frjkZoi{}%x|}Q}e`f?5;31?&F^X9QC_CWE5d=`x`eTec}{/rrs[wcfl5;59;9 O0:8cuohW`dxx"}p^pfea:66?;:=55hphm\mkus'zz{Sknd=3=2145;2m{ebQfnrv,wuvXzlko0<0943-OQQ2XDVH^J2:325'F;37j~fo^kmwq)txyUyilj31?4;44e<2:237WZ];=55hphm\mkus'zz{Sknd=3=<5?612m{ebQfnrv,wuvXzlko0<070832=>awafUbb~z sqr\v`gc4843<4<>b:esmjYnfz~$}~Prdcg848?80Um9<64gqkl[lht|&y{|R|jae>2:=41::1l|daPioqw+vvwW{ojh1?1834,HPR3WMUIYK?8;frjkZoi{}%x|}Q}e`f?5;>3911l|daPioqw+vvwW{ojh1?18532<>awafUbb~z sqr\v`gc48438??n;frjkZoi{}%x|}Q}e`f?5;>3Wo?::6iin]jjvr(kUjhQf<2<25a=`x`eTec}{/v`\eatXa595S`cw_nww55=`x`eT}~Prdcg858682m{ebQ|pq]qadb;97o0eo{imhql+vik&;37dlzflkpk*u~fj%Fe|jg^de64doe}ogbb!|yoa,IvnumnUmj?!\RM35A472ciyk|w/r{mg*Kt`{olSkh=189jfp`up&yrbn!BsipfcZ`a:&IN=i5fbtdq|*u~fj%Fe|jg^de6*UUD8>5baq:8jbbe}`fo;6amugv{+f=hj|lt"amugk;?juwajf`#i5`sqk`hn)hj|lb;6a|phap+f=h{ych"amugk:?juwadexe"k4orrjijun'fh~jdh4p`rjhliXggoTxb~>3:rk}vodieUl~b{_O3344201;27>voqzchmaQhrnws[K7798>0|ew|ibco[bth}yUE==?7159sl|unkhfTkazp^L24746<2zcu~glam]dvjswWG;;>9?;;qjzwlefdVmycx~PN02114203;27>voqzchmaQhrnws[K77;8>0|ew|ibco[bth}yUE===>129sl|unkhfTkazp^L241743ybrdmnl^eqkpvXF8:>=95hxqjgdjXo{e~|R@>04220>voqzchmaQhrnws[K77=:;87}fvshabhZaug|zTB<>9159sl|unkhfTkazp^L243?6;2zcu~glam]dvjswWG;;;<:4pi{pmfgkWnxdy}QA115251=w`pybolbPgsmvtZH68>8:86~gyrk`eiY`zf{SC??7237?un~{`ij`Ri}otr\J460<8>0|ew|ibco[bth}yUE==9:159sl|unkhfTkazp^L24206<2zcu~glam]dvjswWG;;;:?;;qjzwlefdVmycx~PN024<4209220>voqzchmaQhrnws[K7708;?7}fvshabhZaug|zTB<>72068tmtajkgSj|`uq]M55>49=1{dt}fc`n\cwirxVD:<5;>4:rk}vodieUl~b{_O33<2733ybrdmnl^eqkpvXF8:34<:4pi{pmfgkWnxdy}QA11;351=w`pybolbPgsmvtZH680;:86~gyrk`eiY`zf{SC??9337?un~{`ij`Ri}otr\J46>;8>0|ew|ibco[bth}yUE==7;159sl|unkhfTkazp^L24<36<2zcu~glam]dvjswWG;;5;?;;qjzwlefdVmycx~PN02:34208;20>voqzchmaQhrnws[K7689;?7}fvshabhZaug|zTB4:rk}vodieUl~b{_O3241733ybrdmnl^eqkpvXF8;;9<=4pi{pmfgkWnxdy}QA10027>voqzchmaQhrnws[K76;8>0|ew|ibco[bth}yUE=<=;159sl|unkhfTkazp^L25176<2zcu~glam]dvjswWG;:9??;;qjzwlefdVmycx~PN03604214:20>voqzchmaQhrnws[K76>9;?7}fvshabhZaug|zTB4:rk}vodieUl~b{_O3223733ybrdmnl^eqkpvXF8;=4<=4pi{pmfgkWnxdy}QA10520>voqzchmaQhrnws[K76?9;?7}fvshabhZaug|zTB4:rk}vodieUl~b{_O3233733ybrdmnl^eqkpvXF8;<4<=4pi{pmfgkWnxdy}QA10:20>voqzchmaQhrnws[K7609;?7}fvshabhZaug|zTB59=1{dt}fc`n\cwirxVD:=5:>4:rk}vodieUl~b{_O32<3733ybrdmnl^eqkpvXF8;34<=4pi{pmfgkWnxdy}QA10;20>voqzchmaQhrnws[K7619;?7}fvshabhZaug|zTB4:rk}vodieUl~b{_O32==733ybrdmnl^eqkpvXF88;=<:4pi{pmfgkWnxdy}QA132651=w`pybolbPgsmvtZH6:8;:?6~gyrk`eiY`zf{SC?=3018tmtajkgSj|`uq]M5706<2zcu~glam]dvjswWG;941018tmtajkgSj|`uq]M5646<2zcu~glam]dvjswWG;8>:=95hxqjgdjXo{e~|R@>34320>voqzchmaQhrnws[K74>8;?7}fvshabhZaug|zTB<=81018tmtajkgSj|`uq]M56>6<2zcu~glam]dvjswWG;8442327>voqzchmaQhrnws[K731890|ew|ibco[bth}yUE=8>>3:rk}vodieUl~b{_O36545voqzchmaQhrnws[K439:1{dt}fc`n\cwirxVD955?<;qjzwlefdVmycx~PN22754=w`pybolbPgsmvtZH39:1{dt}fc`n\cwirxVD?=5?<;qjzwlefdVmycx~PN50356=w`pybolbPgsmvtZH3:;;87}fvshabhZaug|zTB9<;129sl|unkhfTkazp^L713743ybrdmnl^eqkpvXF=?3=?5hxqjgdjXo{e~|R@;6018tmtajkgSj|`uq]M0366;2zcu~glam]dvjswWG>=><=4pi{pmfgkWnxdy}QA47627>voqzchmaQhrnws[K21>890|ew|ibco[bth}yUE8;6>3:rk}vodieUl~b{_O644458:?6~gyrk`eiY`zf{SC:84018tmtajkgSj|`uq]M0206;2zcu~glam]dvjswWG><4<<4pi{pmfgkWnxdy}QA4930?un~{`ij`Ri}otr\J1>79:1{dt}fc`n\cwirxVD?4??<;qjzwlefdVmycx~PN5:756=w`pybolbPgsmvtZH30?;87}fvshabhZaug|zTB967129sl|unkhfTkazp^L7=5743ybrdmnl^eqkpvXF=39=>5hxqjgdjXo{e~|R@;9530?un~{`ij`Ri}otr\J1?19:1{dt}fc`n\cwirxVD?55?<;qjzwlefdVmycx~PN42356=w`pybolbPgsmvtZH28;;87}fvshabhZaug|zTB8>;129sl|unkhfTkazp^L643743ybrdmnl^eqkpvXF<:3=>5hxqjgdjXo{e~|R@:1130?un~{`ij`Ri}otr\J0759:1{dt}fc`n\cwirxVD>=9?<;qjzwlefdVmycx~PN43556=w`pybolbPgsmvtZH291;87}fvshabhZaug|zTB85hxqjgdjXo{e~|R@:2730?un~{`ij`Ri}otr\J04?9:1{dt}fc`n\cwirxVD>?=?<;qjzwlefdVmycx~PN41556=w`pybolbPgsmvtZH2<;;87}fvshabhZaug|zTB8:7109sl|unkhfTkazp^L557=w`pybolbPgsmvtZH18890|ew|ibco[bth}yUE:>6>2:rk}vodieUl~b{_O5357=w`pybolbPgsmvtZH0:890|ew|ibco[bth}yUE;96>3:rk}vodieUl~b{_O56445<;=>5hxqjgdjXo{e~|R@86530?un~{`ij`Ri}otr\J2019:1{dt}fc`n\cwirxVD<;=?>;qjzwlefdVmycx~PN930?un~{`ij`Ri}otr\J=579:1{dt}fc`n\cwirxVD3???<;qjzwlefdVmycx~PN91756=w`pybolbPgsmvtZH?;?;87}fvshabhZaug|zTB5=7129sl|unkhfTkazp^L;05743ybrdmnl^eqkpvXF1>9=>5hxqjgdjXo{e~|R@74530?un~{`ij`Ri}otr\J=2?9:1{dt}fc`n\cwirxVD395?<;qjzwlefdVmycx~PN9;156=w`pybolbPgsmvtZH?1=;87}fvshabhZaug|zTB4>=129sl|unkhfTkazp^L:03743ybrdmnl^eqkpvXF0>3=>5hxqjgdjXo{e~|R@65130?un~{`ij`Ri}otr\J<319:1{dt}fc`n\cwirxVD2:??<;qjzwlefdVmycx~PN84756=w`pybolbPgsmvtZH>>?;87}fvshabhZaug|zTB49?129sl|unkhfTkazp^L:37743ybrdmnl^eqkpvXF0=?=>5hxqjgdjXo{e~|R@67730?un~{`ij`Ri}otr\J<1?9;1{dt}fc`n\cwirxVD25<=4pi{pmfgkWnxdy}QA98010>voqzchmaQhrnws[fjloldTec}{/bnhmkYnW;;h7}fvshabhZaug|zTom>6^kmwq)I8;20|ew|ibco[bth}yUh~n?9_hlpp*eukHUzSca{01226a=w`pybolbPgsmvtZeuk85?326==w`pybolbPgsmvtZeuk8>8:h1{dt}fc`n\cwirxVm{ebQfnrv,IhsW~hThlzPo^7057g;=a:rk}vodieUl~b{_frjkZoi{}%FaxvPwc]geqYhW<9<>l5hxqjgdjXo{e~|Riin]jjvr(EdsSzlPd`v\kZ341;k0|ew|ibco[bth}yUl|daPioqw+HkrpV}iSio{_n]6044f3ybrdmnl^eqkpvXoycdSd`|t.Onq}YpjVnjxRaP5511e>voqzchmaQhrnws[bvngVcey!Bmtz\sgYci}UdS8:82`9sl|unkhfTkazp^esmjYnfz~$A`{w_v`\`drXgV??5?o4pi{pmfgkWnxdy}Qhphm\mkus'Dg~tRym_ecw[jY1998j7}fvshabhZaug|zTk}g`_hlpp*Kj}qU|nRjnt^m\2475i2zcu~glam]dvjswWnzbcRgasu-Nip~XkUomyQ`_7306d=w`pybolbPgsmvtZawafUbb~z Mlw{[rdXlh~TcR8>43;8tmtajkgSj|`uq]dtliXagy#@czx^ua[agsWfU=4?74pi{pmfgkWnxdy}Qhphm\mkus'Dg~tRym_ecw[jY11;h0|ew|ibco[bth}yUl|daPioqw+bvngVeT?2861g>voqzchmaQhrnws[bvngVcey!hphm\kZ77Wds<=>=340`?un~{`ij`Ri}otr\cuohW`dxx"iin]l[46Xe|r;<=<753a8tmtajkgSj|`uq]dtliXagy#j~fo^m\55Yj}q:;>;=c:rk}vodieUl~b{_frjkZoi{}%l|daPo^32[hs89:948>n5hxqjgdjXo{e~|Riin]jjvr(oycdSbQ>2^ov|567:1?9o6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR?=_lw{45651=8h7}fvshabhZaug|zTk}g`_hlpp*awafUdS<=Pmtz34544=;i0|ew|ibco[bth}yUl|daPioqw+bvngVeT=>Qbuy2347>2:j1{dt}fc`n\cwirxVm{ebQfnrv,cuohWfU:?Rczx1236<25k2zcu~glam]dvjswWnzbcRgasu-dtliXgV;?S`{w0121704d3ybrdmnl^eqkpvXoycdSd`|t.esmjYhW8>Taxv?010;17e?03;76f=w`pybolbPgsmvtZawafUbb~z gqkl[jY6=Vg~t=>?2271g>voqzchmaQhrnws[bvngVcey!hphm\kZ72Wds<=>=840`?un~{`ij`Ri}otr\cuohW`dxx"iin]l[43Xe|r;<=<643`8tmtajkgSj|`uq]dtliXagy#j~fo^m\5Zkrp9:;>>;=b:rk}vodieUl~b{_frjkZoi{}%l|daPo^3\ip~789839?l4pi{pmfgkWnxdy}Qhphm\mkus'nzbcRaP1^ov|567:0>9o6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR<9_lw{4565;<8h7}fvshabhZaug|zTk}g`_hlpp*awafUdS?8Pmtz3454?=;i0|ew|ibco[bth}yUl|daPioqw+bvngVeT>;Qbuy2347?3:j1{dt}fc`n\cwirxVm{ebQfnrv,cuohWfU9;Rczx1236635k2zcu~glam]dvjswWnzbcRgasu-dtliXgV8?03166f=w`pybolbPgsmvtZawafUbb~z gqkl[jY50Vg~t=>?2971g>voqzchmaQhrnws[bvngVcey!hphm\kZ4?Wds<=>=950`?un~{`ij`Ri}otr\cuohW`dxx"iin]l[7?Xe|r;<=<<53a8tmtajkgSj|`uq]dtliXagy#j~fo^m\64:=b:rk}vodieUl~b{_frjkZoi{}%l|daPo^0\ip~789889?l4pi{pmfgkWnxdy}Qhphm\mkus'nzbcRaP2^ov|567:1?9n6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR<;i0|ew|ibco[bth}yUl|daPioqw+bvngVeT?=Qbuy234752:j1{dt}fc`n\cwirxVm{ebQfnrv,cuohWfU8?03:66f=w`pybolbPgsmvtZawafUbb~z gqkl[jY49Vg~t=>?2861g>voqzchmaQhrnws[bvngVcey!hphm\kZ55Wds<=>=340`?un~{`ij`Ri}otr\cuohW`dxx"iin]l[64Xe|r;<=<753a8tmtajkgSj|`uq]dtliXagy#j~fo^m\77Yj}q:;>;=c:rk}vodieUl~b{_frjkZoi{}%l|daPo^10[hs89:948>n5hxqjgdjXo{e~|Riin]jjvr(oycdSbQ<4^ov|567:1?9o6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR=;_lw{45651=8h7}fvshabhZaug|zTk}g`_hlpp*awafUdS>;Pmtz34544=;i0|ew|ibco[bth}yUl|daPioqw+bvngVeT?8Qbuy2347>2:j1{dt}fc`n\cwirxVm{ebQfnrv,cuohWfU89Rczx1236<25k2zcu~glam]dvjswWnzbcRgasu-dtliXgV9=S`{w0121704d3ybrdmnl^eqkpvXoycdSd`|t.esmjYhW:?03;76f=w`pybolbPgsmvtZawafUbb~z gqkl[jY4?Vg~t=>?2271g>voqzchmaQhrnws[bvngVcey!hphm\kZ50Wds<=>=840`?un~{`ij`Ri}otr\cuohW`dxx"iin]l[61Xe|r;<=<643a8tmtajkgSj|`uq]dtliXagy#j~fo^m\7=Yj}q:;5;=c:rk}vodieUl~b{_frjkZoi{}%l|daPo^1;[hs89:959>n5hxqjgdjXo{e~|Riin]jjvr(oycdSbQ<9^ov|567:0>9n6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR=Pmtz34544=;h0|ew|ibco[bth}yUl|daPioqw+bvngVeT?Rczx1236=35j2zcu~glam]dvjswWnzbcRgasu-dtliXgV9Taxv?010:07e?03166f=w`pybolbPgsmvtZawafUbb~z gqkl[jY38Vg~t=>?2971g>voqzchmaQhrnws[bvngVcey!hphm\kZ27Wds<=>=950`?un~{`ij`Ri}otr\cuohW`dxx"iin]l[17Xe|r;<=<<53a8tmtajkgSj|`uq]dtliXagy#j~fo^m\04Yj}q:;4:=c:rk}vodieUl~b{_frjkZoi{}%l|daPo^61[hs89:9?8n5hxqjgdjXo{e~|Riin]jjvr(oycdSbQ;3^ov|567::?9o6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR:<_lw{45650<8h7}fvshabhZaug|zTk}g`_hlpp*awafUdS9=Pmtz3454><;i0|ew|ibco[bth}yUl|daPioqw+bvngVeT89Qbuy234752:j1{dt}fc`n\cwirxVm{ebQfnrv,cuohWfU?8Rczx1236=35k2zcu~glam]dvjswWnzbcRgasu-dtliXgV>?S`{w0121=14d3ybrdmnl^eqkpvXoycdSd`|t.esmjYhW=?Taxv?010017e?03:66f=w`pybolbPgsmvtZawafUbb~z gqkl[jY3=Vg~t=>?2861g>voqzchmaQhrnws[bvngVcey!hphm\kZ21Wds<=>=340`?un~{`ij`Ri}otr\cuohW`dxx"iin]l[10Xe|r;<=<753a8tmtajkgSj|`uq]dtliXagy#j~fo^m\03Yj}q:;>;=c:rk}vodieUl~b{_frjkZoi{}%l|daPo^64[hs89:948?2971f>voqzchmaQhrnws[bvngVcey!hphm\kZ3Xe|r;<=<643`8tmtajkgSj|`uq]dtliXagy#j~fo^m\2Zkrp9:;>>;=b:rk}vodieUl~b{_frjkZoi{}%l|daPo^4\ip~789839?l4pi{pmfgkWnxdy}Qhphm\mkus'nzbcRaP6^ov|567:0>9n6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR9Pmtz34544=;h0|ew|ibco[bth}yUl|daPioqw+bvngVeT;Rczx1236=35j2zcu~glam]dvjswWnzbcRgasu-dtliXgV=Taxv?010:07d?2271f>voqzchmaQhrnws[bvngVcey!hphm\kZ>Xe|r;<=<753`8tmtajkgSj|`uq]dtliXagy#j~fo^m\4:=b:rk}vodieUl~b{_frjkZoi{}%l|daPo^;\ip~789889?l4pi{pmfgkWnxdy}Qhphm\mkus'nzbcRaP9^ov|567:1?9n6~gyrk`eiY`zf{Sj~fo^kmwq)`x`eTcR7Pmtz3454><;30|ew|ibco[bth}yUl|daPioqw+bvngV{T?1^`g`14f3ybrdmnl^eqkpvXoycdSd`|t.esmjYvW:8Tbbz?0131b>voqzchmaQhrnws[bvngVcey!hphm\uZ55Wge<=>>_cfg07c?_cfg55513ybrdmnl^eqkpvXoycdSd`|t.kmtprXehz{iQ~_1]bja6789Uihi:<6:rk}vodieUl~b{_frjkZoi{}%bb}{{_lcst`tXyV:Tmcj?012\fab?;;1{dt}fc`n\cwirxVm{ebQfnrv,mkvr|Vgj|}k}_p]24Zgil9:;<><4pi{pmfgkWnxdy}Qhphm\mkus'`d{yyQbaqrfvZwX98Ujbi>?0114?un~{`ij`Ri}otr\cuohW`dxx"gaptv\idvwm{UzS?0208tmtajkgSj|`uq]dtliXagy#d`uu]neuvbzV{T=>Qnne2345553ybrdmnl^eqkpvXoycdSd`|t.kmtprXehz{iQ~_06\ekb789:8>6~gyrk`eiY`zf{Sj~fo^kmwq)nfyS`opdp\uZ72Whdo<=>?369sl|unkhfTkazp^esmjYnfz~$ec~zt^obtucuWxU::Road1234Zdcl=9<7}fvshabhZaug|zTk}g`_hlpp*oix|~Tal~es]r[41Xign;<=>Pbef774=w`pybolbPgsmvtZawafUbb~z iorvpZkfxyoyS|Q>_`lg4567;;1{dt}fc`n\cwirxVm{ebQfnrv,mkvr|Vgj|}k}_p]12Zgil9:;<><4pi{pmfgkWnxdy}Qhphm\mkus'`d{yyQbaqrfvZwX:>Ujbi>?0111?un~{`ij`Ri}otr\cuohW`dxx"gaptv\idvwm{UzS?6Paof34564:2zcu~glam]dvjswWnzbcRgasu-jjussWdk{|h|Pq^0:[dhc89:;?<5hxqjgdjXo{e~|Riin]jjvr(agz~xRcnpqgq[tY5Whdo<=>?339sl|unkhfTkazp^esmjYnfz~$ec~zt^obtucuWxU8<5:rk}vodieUl~b{_frjkZoi{}%bb}{{_lcst`tXyV99Sl`k01231414<2zcu~glam]dvjswWnzbcRgasu-jjussWdk{|h|Pq^11[dhc89:;9;=8;qjzwlefdVmycx~Pgqkl[lht|&ce|xzPm`rsawYvW:8Tmcj?012\fab3;>1{dt}fc`n\cwirxVm{ebQfnrv,mkvr|Vgj|}k}_p]06Zgil9:;=Paof34564?2zcu~glam]dvjswWnzbcRgasu-jjussWdk{|h|Pq^10[dhc89:;Sojk4208tmtajkgSj|`uq]dtliXagy#d`uu]neuvbzV{T?9Qnne2345553ybrdmnl^eqkpvXoycdSd`|t.kmtprXehz{iQ~_27\ekb789:8>6~gyrk`eiY`zf{Sj~fo^kmwq)nfyS`opdp\uZ51Whdo<=>?339sl|unkhfTkazp^esmjYnfz~$ec~zt^obtucuWxU8;Road123464<1:rk}vodieUl~b{_frjkZoi{}%bb}{{_lcst`tXyV9Tmcj?01206>voqzchmaQhrnws[bvngVcey!fnqww[hgwxlxT}R:?_`lg4567;;1{dt}fc`n\cwirxVm{ebQfnrv,mkvr|Vgj|}k}_p]75Zgil9:;<><4pi{pmfgkWnxdy}Qhphm\mkus'`d{yyQbaqrfvZwX<;Ujbi>?0111?un~{`ij`Ri}otr\cuohW`dxx"gaptv\idvwm{UzS9=Paof34564:2zcu~glam]dvjswWnzbcRgasu-jjussWdk{|h|Pq^67[dhc89:;??5hxqjgdjXo{e~|Riin]jjvr(agz~xRcnpqgq[tY3=Vkeh=>?0208tmtajkgSj|`uq]dtliXagy#d`uu]neuvbzV{T8;Qnne2345553ybrdmnl^eqkpvXoycdSd`|t.kmtprXehz{iQ~_55\ekb789:8=6~gyrk`eiY`zf{Sj~fo^kmwq)nfyS`opdp\uZ2Xign;<=><1:rk}vodieUl~b{_frjkZoi{}%bb}{{_lcst`tXyV?Tmcj?01205>voqzchmaQhrnws[bvngVcey!fnqww[hgwxlxT}R8Paof3456492zcu~glam]dvjswWnzbcRgasu-jjussWdk{|h|Pq^5\ekb789:8=6~gyrk`eiY`zf{Sj~fo^kmwq)nfyS`opdp\uZ>Xign;<=><1:rk}vodieUl~b{_frjkZoi{}%bb}{{_lcst`tXyV3Tmcj?01206>voqzchmaQhrnws[bvngVcey!`uurvpZkfxyoyS|Q?_`lg4567;;1{dt}fc`n\cwirxVm{ebQfnrv,kprw}}Ufm}~jr^s\5Zgil9:;<>94pi{pmfgkWnxdy}Qhphm\mkus'f|xzPm`rsawYvW8Ujbi>?013\WR65j2zcu~glam]dvjswWnzbcRgasu-stvvnfV{TTbbz?0131g>voqzchmaQhrnws[bvngVcey!prrjjZwX1?Uecy>?0004?un~{`ij`Ri}otr\cuohW`dxx"}p^pfea:76?>9>:5hxqjgdjXo{e~|Riin]jjvr({yzT~hok<1<4574>3ybrdmnl^eqkpvXoycdSd`|t.qstZtbim6:2;:=/N0:?un~{`ij`Ri}otr\cuohW`dxx"}p^pfea:66>;9#B=8;qjzwlefdVmycx~Prrvdak)u{}idyczPzdpl[tYffm:;<=Qxg^nvp969;>1{dt}fc`n\cwirxVxxxjka/sqwgjsi|Vpn~bQ~_`lg4567W~mT`xz31?14?un~{`ij`Ri}otr\vvr`mg%yym`uov\~`thWxUjbi>?01]tcZjr|585?:5hxqjgdjXo{e~|R||tfgm+wuskfexRtjrn]r[dhc89:;SziPltv?7;503ybrdmnl^eqkpvXzz~lic!}sualqkrXrlxdS|Qnne2345YpoVf~x1:1369sl|unkhfTkazp^pppbci'{yob{at^xfvjYvWhdo<=>?_ve\hpr;=79<7}fvshabhZaug|zT~~zheo-qwqeh}g~Tvh|`_p]bja6789U|kRbzt=4=72=w`pybolbPgsmvtZtt|noe#}{cnwmpZ|bzfUzSl`k0123[raXd|~7;3>2c9sl|unkhfTkazp^qjchgcme~jSd`|t.nf[tYig}:;<<=?;qjzwlefdVmycx~Psheneack|hUbb~z se]fjZwX8Vddx=>?10:8tmtajkgSj|`uq]phjr7'G;:><5hxqjgdjXo{e~|R}cou2,guikWge<=>>239sl|unkhfTkazp^qokq6({ycTicQ`r12347` tscmwZiu89::=i5hxqjgdjXo{e~|R}cou2,~vvn'G;?=n5hxqjgdjXo{e~|R}cou2,~vvn'G>8=6~gyrk`eiY`zf{S~b`t1-ywuo(andd~hQ|alroaZwXff~;<=?=c:rk}vodieUl~b{_rnlp5)}{yc$~hok_sgd[tYig}:;<<<6;qjzwlefdVmycx~Psmmw4*|tx`igg"mck^k\kw67898o7}fvshabhZaug|zTaa{0.xptlekc&iggkocl^k\kw67898o7}fvshabhZaug|zTaa{0.xptlekc&iggg|e^k\kw67898o7}fvshabhZaug|zTaa{0.xptlekc&x~bcgag^s\kw67898i7}fvshabhZaug|zTaa{0.xptlekc&y{enbd_omw4566911{dt}fc`n\cwirxVygcy? N0315>voqzchmaQhrnws[vjh|8%h|bbPnnv34575:2zcu~glam]dvjswWzfdxk5hxqjgdjXo{e~|R}cou3,wuoX|{kekk}shxf[tYig}:;<<=1:rk}vodieUl~b{_rnlp4)szhdxSb|?0132`>voqzchmaQhrnws[vjh|8%q}g N062g>voqzchmaQhrnws[vjh|8%q}g N512?un~{`ij`Ri}otr\wiis9&px|d!fgomqaZufeyfnS|Qaou23444d3ybrdmnl^eqkpvX{ee="t|ph-qadbXzlmT}R``t12357??03f8tmtajkgSj|`uq]phjr6'sy{enbd/bnhbdjkW`Ud~=>?03f8tmtajkgSj|`uq]phjr6'sy{enbd/bnhvlubW`Ud~=>?03f8tmtajkgSj|`uq]phjr6'sy{enbd/swmjlh`WxUd~=>?03`8tmtajkgSj|`uq]phjr6'sy{enbd/rrjgimXff~;<=?>9:rk}vodieUl~b{_rnlp47(F;8:46~gyrk`eiY`zf{S~b`t03,J17?3ybrdmnl^eqkpvX{ee=l5hxqjgdjXo{e~|R}cou32+aoqamoySvPq^llp5679;?0|ew|ibco[bth}yUx`bz>1.fqadb~WxUd~=>?0358tmtajkgSj|`uq]phjr69&lbjbQfnrvbjfc(F9;9o6~gyrk`eiY`zf{S~b`t03,bl`hW`dxxl`le.]bja67898n7}fvshabhZaug|zTaa{10-emciXagymcmj/^cm`5678V:8:6~gyrk`eiY`zf{S~b`t03,bl`hW`dxxl`le.gntqX~Vif|Rk~01237d=w`pybolbPgsmvtZukg};:#kgio^kmwqgikl%myabPv^antZcv89:;8<>;12c8tmtajkgSj|`uq]phjr69&lbjbQfnrvbjfc(n|fgS{Qlmq]fu5678=;;8?=7;qjzwlefdVmycx~Psmmw54)aaoeTec}{aoaf+cskdV|To`~Pep2345210:=0|ew|ibco[bth}yUx`bz>1.djbjYnfz~jbnk ftno[sYdeyUn}=>?05;06>voqzchmaQhrnws[vjh|8;$jdh`_hlppdhdm&xomij}_`lg4567;:1{dt}fc`n\cwirxVygcy?>/sz\mkus'kk~hjka/bnhkprXg{:;<==;;qjzwlefdVmycx~Psmmw54)upVcey!matfdak)df}Uha}Qjq1234602zcu~glam]dvjswWzfdx0|ew|ibco[bth}yUx`bz>1.p{[lht|&hjyiijn.ampZkrp9:;=1>1349sl|unkhfTkazp^qokq76'{rTec}{/ccv`bci'jdS`{w01228469;<1{dt}fc`n\cwirxVygcy?>/sz\mkus'kk~hjka/blw[hs89::0/sz\mkus'kk~hjka/blw[hs89::0<=1349sl|unkhfTkazp^qokq76'{rTec}{/ccv`bci'jdS`{w01228429;<1{dt}fc`n\cwirxVygcy?>/sz\mkus'kk~hjka/blw[hs89::0<;1359sl|unkhfTkazp^qokq76'{rTec}{/ccv`bci'jdS`{w01228484<2zcu~glam]dvjswWzfdx3=;;qjzwlefdVmycx~Psmmw54)upVcey!matfdak)df}Ufyu>?00>0:625?95hxqjgdjXo{e~|R}cou32+w~Xagy#oozdfgm+fhsWds<=>><4<00>voqzchmaQhrnws[vjh|8;$~uQfnrv,fdscold$oczPmtz3457;>79?7}fvshabhZaug|zTaa{10-q|Zoi{}%imxjheo-`jqYj}q:;<<28>268tmtajkgSj|`uq]phjr69&xsSd`|t.`bqaabf&iexRczx12359>9;=1{dt}fc`n\cwirxVygcy?>/sz\mkus'kk~hjka/blw[hs89::040=e:rk}vodieUl~b{_rnlp47(zqUbb~z b`wgv`ub|Vey<=>?329sl|unkhfTkazp^qokq76'{rTec}{/ecwefirf}Ufyu>?01>3:4543ybrdmnl^eqkpvX{ee=5hxqjgdjXo{e~|R}cou32+w~Xagy#io{abmvjqYj}q:;<=2>>30a?un~{`ij`Ri}otr\wiis98%ytRgasu-geqghWge<=>>2g9sl|unkhfTkazp^qokq76'{rTec}{/ecwewcflpUfyu>?010e?un~{`ij`Ri}otr\wiis98%ytRgasu-geqgumhnrSca{01226g=w`pybolbPgsmvtZukg};:#vPioqw+w~cW9Uecy>?0014?un~{`ij`Ri}otr\wiis98%ytRgasu-q|hpaae~n~"m`uov\uZiu89:;??5hxqjgdjXo{e~|R}cou32+w~Xagy#~zntd]EWHYANm8&Ec?:13a8tmtajkgSj|`uq]phjr69&xsSygbes-`hnir|Vey<=>?2e9sl|unkhfTkazp^qokq76'{rTxdcjr.ampZejxVoz<=>?2g9sl|unkhfTkazp^qokq76'{rTxdcjr.ampZejxVoz<=>?130e?un~{`ij`Ri}otr\wiis98%ytRzfmdp,gkrXkdzTi|>?01066c=w`pybolbPgsmvtZukg};:#vPthofv*ei|Vif|Rk~01230<4a3ybrdmnl^eqkpvX{ee=/uz\gjtb'`ngiR``t12357evoqzchmaQhrnws[vjh|8;$xuQlosg,pgsanVxTbbz?0131g>voqzchmaQhrnws[vjh|8;$xuQ{ilgq+fjlg|~Tc>?010g?un~{`ij`Ri}otr\wiis98%tRzfmdp,gkrXkdzTi|>?010e?un~{`ij`Ri}otr\wiis98%tRzfmdp,gkrXkdzTi|>?01316c=w`pybolbPgsmvtZukg};:#yvPthofv*ei|Vif|Rk~0123604a3ybrdmnl^eqkpvX{ee=:o1{dt}fc`n\cwirxVygcy?>/uz\plkbz&iexRmbp^gr4567>;8o7}fvshabhZaug|zTaa{10-w|Zrnelx$oczPmtz3457;878n7}fvshabhZaug|zTaa{10-w|Zrnelx$oczPmtz3457;9949i6~gyrk`eiY`zf{S~b`t03,p}Ysadoy#n`{_lw{456648;5>h5hxqjgdjXo{e~|R}cou32+q~X|`gn~"mat^ov|56795;92?k4pi{pmfgkWnxdy}Q|lnv25*rW}cfi!lnu]nq}67886:?3>?4pi{pmfgkWnxdy}Q|lnv27*Kg{U{caw>7^zlv5678Vrd0=0>5238tmtajkgSj|`uq]phjr6;&GscQom{23Z~hz9:;?0^zl8582::;0|ew|ibco[bth}yUx`bz>3.O{kwYwges:;Rv`r1234Z~h494==>?4pi{pmfgkWnxdy}Q|lnv27*Kg{U{caw>7^zlv5678Vrd0=0840;8tmtajkgSj|`uq]phjr6;&D9;<74pi{pmfgkWnxdy}Q|lnv27*H4=830|ew|ibco[bth}yUx`bz>3.L024?3.L;34?3.akekYfeyUfyu>?03>3:735i2zcu~glam]dvjswWzfdx<= cicm[dkwWds<=>=<1<76<=w`pybolbPgsmvtZukg};8#nfnn^cntZkrp9:;>1?12c9sl|unkhfTkazp^qokq74'jbjbRobp^ov|567:5;5=?45hxqjgdjXo{e~|R}cou30+fnffVkf|Rczx1236949:k1{dt}fc`n\cwirxVygcy??2=0=574e3ybrdmnl^eqkpvX{ee=>!lh`l\ehvXe|r;<=<32?016<=w`pybolbPgsmvtZukg};8#nfnn^cntZkrp9:;>1=12c9sl|unkhfTkazp^qokq74'jbjbRobp^ov|567:595=?45hxqjgdjXo{e~|R}cou30+fnffVkf|Rczx1236929:k1{dt}fc`n\cwirxVygcy??2=6=574e3ybrdmnl^eqkpvX{ee=>!lh`l\ehvXe|r;<=<34?0160=w`pybolbPgsmvtZukg};8#igycmifjZiu89:;>o5hxqjgdjXo{e~|R}cou30+`hfWmc}Scafsd]lv567888?7}fvshabhZaug|zTaa{12-fjdYcaUd~=>?03f8tmtajkgSj|`uq]phjr6;&oezRijn^aliuYdeyUn}=>?0238tmtajkgSj|`uq]phjr6;&oezRijn^aliuYdeyUn}=>?000,K7`3.gmrZabfVida}Qlmq]fu5678?89:6~gyrk`eiY`zf{S~b`t01,akpX`ffoS`{w01236==w`pybolbPgsmvtZukg};8#h`y_imo`Zkrp9:;<<>=8:rk}vodieUl~b{_rnlp45(mg|Tdbbk_lw{4567<8837}fvshabhZaug|zTaa{12-fjsYogenTaxv?012427>3.gmrZoikVddx=>?1304?un~{`ij`Ri}otr\wiis9:%nb{Q}ergw[kis89::>:5hxqjgdjXo{e~|R}cou30+kin{lUoe{Qaou23474b3ybrdmnl^eqkpvX{ee=>!aohqf[bciWjdSl`k0123554482zcu~glam]dvjswWzfdx<= nnkpaZabfViexRoad1234426'F9?7}fvshabhZaug|zTaa{12-sv`bn~jdSnc_ds3456XnUgyy2?>268tmtajkgSj|`uq]phjr6;&zyiigycov\ghvXmx:;<=Qxg^nvp979;=1{dt}fc`n\cwirxVygcy?!rdfjrfhsWjg{Sh?012\sbYk}}6?2>:4pi{pmfgkWnxdy}Q|lnv27*vummc}oczPclr\at6789U|kRbzt=7=71=w`pybolbPgsmvtZukg};8#}|jdht`jqYdeyUn}=>?0^ud[iss4?4886~gyrk`eiY`zf{S~b`t01,twccaiexRmbp^gr4567W~mT`xz37?00?un~{`ij`Ri}otr\wiis9:%yijQ?_omw4566:=1{dt}fc`n\cwirxVygcy?!}ef]26Zhh|9:;=?:4pi{pmfgkWnxdy}Q|lnv27*tboV;8Sca{012266=w`pybolbPgsmvtZukg};8#kh_0]mkq6788887}fvshabhZaug|zTaa{12-qabY5Wge<=>>229sl|unkhfTkazp^qokq74'{olS>Qaou2344443ybrdmnl^eqkpvX{ee=>!}ef]7[kis89::>>5hxqjgdjXo{e~|R}cou30+wc`W?0000?un~{`ij`Ri}otr\wiis9:%yijQ9_omw4566::1{dt}fc`n\cwirxVygcy?:4pi{pmfgkWnxdy}Q|lnv27*rhflUlicQlolr\5ZejxVoz<=>?13-L77=w`pybolbPgsmvtZukg};8#yaae^efjZeheyU:Snc_ds34565=:80|ew|ibco[bth}yUx`bz>3.vlj`Y`mgUhc`~P1^antZcv89:;84=?;qjzwlefdVmycx~Psmmw56)sggoTkh`Pcnos[7YdeyUn}=>?0268tmtajkgSj|`uq]phjr6;&~dbhQheo]`khvX:Vif|Rk~012357)H;;1{dt}fc`n\cwirxVygcy?<4pi{pmfgkWnxdy}Q|lnv27*rhflUlicQlolr\6ZejxVoz<=>?4813?un~{`ij`Ri}otr\wiis9:%cckPgdl\gjkwW:Uha}Qjq123462=5208tmtajkgSj|`uq]phjr6;&~dbhQheo]`khvX;Vif|Rk~01230<4f3ybrdmnl^eqkpvX{ee=>!xb^fbpZiX=Vg~t=>?03;16>voqzchmaQhrnws[vjh|8>$mnePi^mq4567:k1{dt}fc`n\cwirxVygcy?;/rkg+aiXj|lTaxv?011?3;453ybrdmnl^eqkpvX{ee5"fkirr\ekb789:996~gyrk`eiY`zf{S~b`t8-k`luwWjg{Sh?01213>voqzchmaQhrnws[vjh|0%chd}_bos[`w789::?23a8tmtajkgSj|`uq]phjr>'mjS{Q`fgqfpZejxVoz<=>?2d9sl|unkhfTkazp^qokq?(~nkTzRaifrgw[fkwWl{;<=>>03g8tmtajkgSj|`uq]phjr>'mjS{Q`fgqfpZejxVoz<=>?250:?un~{`ij`Ri}otr\wiis1&|lmRxPogdpaqYig}:;<<<9;qjzwlefdVmycx~Psmmw=*p`iV|xucmPmtz34566j2zcu~glam]dvjswWzfdxRolk=32:4g3:9416V=::?84pi{pmfgkWnxdy}Q|lnv\eabumzy7<32=6?]45=423ybrdmnl^eqkpvX{eeSljkrdqp858;:?4T;?<9;qjzwlefdVmycx~Psmmw[dbczlyx0=0327<\3745>2zcu~glam]dvjswWzfdxRokdsgpw9694;<5S:<;279sl|unkhfTkazp^qokqYflmxn~2?>=05:Z15>;<0|ew|ibco[bth}yUx`bzPaefqavu;8769:3Q82905?un~{`ij`Ri}otr\wiisWhno~h}|<13:9416V=88?84pi{pmfgkWnxdy}Q|lnv\eabumzy7<32=6?]473413ybrdmnl^eqkpvX{eeSljkrdqp858;:?4T;>6=5:rk}vodieUl~b{_rnlpZgcl{ox1>1<34=[225>2zcu~glam]dvjswWzfdxRokdsgpw9694;<5S::?279sl|unkhfTkazp^qokqYflmxn~2?>=05:Z13<;<0|ew|ibco[bth}yUx`bzPaefqavu;8769:3Q84706?un~{`ij`Ri}otr\wiisWhno~h}|<17}fvshabhZaug|zTaa{_`fgv`ut4947>;0P7907?un~{`ij`Ri}otr\wiisWhno~h}|<112;Y>988=7}fvshabhZaug|zTaa{_`fgv`ut4947>;0P90012>voqzchmaQhrnws[vjh|Vkohk|s=2=8709W0;8>;5hxqjgdjXo{e~|R}cou]b`atb{z6;21<9>^;20707U2=8<9;qjzwlefdVmycx~Psmmw[dbczlyx0=0327<\=405>2zcu~glam]dvjswWzfdxRokdsgpw9694;<5S4?8279sl|unkhfTkazp^qokqYflmxn~2?>=05:Z?60;<0|ew|ibco[bth}yUx`bzPaefqavu;8769:3Q61806?un~{`ij`Ri}otr\wiisWhno~h}|<1;0P93212>voqzchmaQhrnws[vjh|Vkohk|s=2=8709W089>;5hxqjgdjXo{e~|R}cou]b`atb{z6;21<9>^;17737U2??;4pi{pmfgkWnxdy}Q|lnv\eabumzy7<32=6?]:0737U29?;4pi{pmfgkWnxdy}Q|lnv\eabumzy7<32=6?]:2737U2;?;4pi{pmfgkWnxdy}Q|lnv\eabumzy7<32=6?]:<737U250:4ga:rk}vodieUl~b{_rnlpZe~k5=5=l5hxqjgdjXo{e~|R}cou]`}f:>68l0|ew|ibco[bth}yUx`bzPrdcg84594<4:j6~gyrk`eiY`zf{S~b`t^pfea:6;76=2f:rk}vodieUl~b{_rnlpZtbim6821<;>0d8tmtajkgSj|`uq]phjrXzlko0>0324<2b>voqzchmaQhrnws[vjh|Vxnmi2<>=05:4`0:94?68l0|ew|ibco[bth}yUx`bzPrdcg868;:04:j6~gyrk`eiY`zf{S~b`t^pfea:4659;25:rk}vodieUl~b{_r{pgim3<2zcu~glam]dvjswW}cfi}Pioqw+qojm{:Tec}{/LaliuguWf|mSnc_ds3456Xd|~7<3:;;qjzwlefdVmycx~PthofvvYnfz~$xdcjr1]jjvr(Ejef|l|Powd\ghvXmx:;<=Qcuu>2:12voqzchmaQhrnws[qojm{yTec}{/uknaw6Xagy#@m`mqcq[jpaWjg{Sh?012\hpr;<7>?7}fvshabhZaug|zTxdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxTc{hPclr\at6789Ugyy2:>568tmtajkgSj|`uq]wmhcu{Vcey!{ilgq4Zoi{}%Fobcas]lrcYdeyUn}=>?0^nvp909<=1{dt}fc`n\cwirxV~bah||_hlpp*rnelx;Sd`|t.O`khvfzVe}jRmbp^gr4567We0:0=a:rk}vodieUl~b{_uknawuXagy#ygbes2\mkus'G;9>45hxqjgdjXo{e~|Rzfmdpp[lht|&~bah|?_hlpp*H4:01{dt}fc`n\cwirxV~bah||_hlpp*rnelx;Sd`|t.L572=w`pybolbPgsmvtZrnelxxSd`|t.vji`t7W`dxx"~xm^mvpZ6Xg{:;<==8;qjzwlefdVmycx~PthofvvYnfz~$xdcjr1]jjvr(|dxySnc_omw4566;>1{dt}fc`n\cwirxV~bah||_hlpp*rnelx;Sd`|t.vnvwYdf}Ujbi>?0114?un~{`ij`Ri}otr\plkbzzUbb~z thofv5Ynfz~$x`|}_blw[dhc89::?:5hxqjgdjXo{e~|Rzfmdpp[lht|&~bah|?_hlpp*rjz{UhbyQaou23445?3ybrdmnl^eqkpvX|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fhsWge<=>>6258tmtajkgSj|`uq]wmhcu{Vcey!{ilgq4Zoi{}%a|Pidl\ekb789:8n6~gyrk`eiY`zf{Sygbesq\mkus'}cfi>Pioqw+qkuzVcexQaou2344719=>0|ew|ibco[bth}yUe`k}s^kmwq)sadoy1_bosllaoWge<=>>449sl|unkhfTkazp^vji`ttW`dxx"zfmdp3[lht|&~f~Qwlpa858XkdzcejfPnnv34576<:1{dt}fc`n\cwirxV~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxi0=0PclrokrYig}:;<<=i;qjzwlefdVmycx~PthofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrc>3:ZciWge<=>>459sl|unkhfTkazp^vji`ttW`dxx"zfmdp2[lht|&Ghc`~nr^mubZejxVoz<=>?_mww8583=2zcu~glam]dvjswW}cfi}Pioqw+qojm{;Tec}{/LaliuguWf|mSnc_ds3456Xd|~7==0;5:rk}vodieUl~b{_uknawuXagy#ygbes3\mkus'Dida}o}_nte[fkwWl{;<=>Pltv?5483<2zcu~glam]dvjswW}cfi}Pioqw+qojm{;Tec}{/LaliuguWf|mSnc_ds3456Xd|~7=3:;;qjzwlefdVmycx~PthofvvYnfz~$xdcjr0]jjvr(Ejef|l|Powd\ghvXmx:;<=Qcuu>1:12_hlpp*Kdgdzj~Rayf^antZcv89:;Sa{{<5<70>voqzchmaQhrnws[qojm{yTec}{/uknaw7Xagy#@m`mqcq[jpaWjg{Sh?012\hpr;=7>?7}fvshabhZaug|zTxdcjrr]jjvr(|`gn~568tmtajkgSj|`uq]wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]lrcYdeyUn}=>?0^nvp919<=1{dt}fc`n\cwirxV~bah||_hlpp*rnelx:Sd`|t.O`khvfzVe}jRmbp^gr4567We050;4:rk}vodieUl~b{_uknawuXagy#ygbes3\mkus'Dida}o}_nte[fkwWl{;<=>Pltv?=;4>3ybrdmnl^eqkpvX|`gn~~Qfnrv,plkbz8Ubb~z N814?un~{`ij`Ri}otr\plkbzzUbb~z thofv4Ynfz~$|zcPotv\4Ziu89:;?:5hxqjgdjXo{e~|Rzfmdpp[lht|&~bah|>_hlpp*rjz{Uha}Qaou2344503ybrdmnl^eqkpvX|`gn~~Qfnrv,plkbz8Ubb~z tlpq[fhsWhdo<=>?369sl|unkhfTkazp^vji`ttW`dxx"zfmdp2[lht|&~f~Qfeo]bja67899j7}fvshabhZaug|zTxdcjrr]jjvr(|`gn~?0150a>voqzchmaQhrnws[qojm{yTec}{/uknaw7Xagy#yc}r^kmpwYig}:;<97}fvshabhZaug|zTxdcjrr]jjvr(|`gn~1_boshjqXff~;<=?3:ZciWge<=>>259sl|unkhfTkazp^vji`ttW`dxx"zfmdp2[rc6k2zcu~glam]dvjswW|kyxRgasu-M677e3ybrdmnl^eqkpvX}hxSd`|t.L75g=w`pybolbPgsmvtZsfz}Ubb~z N43a?un~{`ij`Ri}otr\qdtsW`dxx"@92e9sl|unkhfTkazp^wbvqYnfz~$hdxfddp\v}YvWge<=>>299sl|unkhfTkazp^wbvqYnfz~$hkndx]r[jt789:?46~gyrk`eiY`zf{Sxo}t^kmwq)aaoeTec}{aoaf+HeheykyShctx]u[fkwWl{;<=>Pltv?4;2?3ybrdmnl^eqkpvX}hxSd`|t.djbjYnfz~jbnk MbmntdtXmdzuRxPclr\at6789Ugyy2>>5:8tmtajkgSj|`uq]vewrXagy#kgio^kmwqgikl%Fobcas]fiur~WUha}Qjq1234Zjr|585855hxqjgdjXo{e~|R{nru]jjvr(n`ldSd`|t`l`a*Kdgdzj~Rkbpu{\rZejxVoz<=>?_mww868302zcu~glam]dvjswW|kyxRgasu-emciXagymcmj/LaliuguWlg{xtQy_bos[`w789:T`xz34?12?un~{`ij`Ri}otr\qdtsW`dxx"hffn]jjvrffjo$Sl`k0123[5523ybrdmnl^eqkpvX}hxSd`|t.djbjYnfz~jbnk recg`wYffm:;<==9;qjzwlefdVmycx~Pu`pw[lht|&xsSd`|t.`bqaabf&iggb{{_np34564?2zcu~glam]dvjswW|kyxRgasu-q|Zoi{}%imxjheo-`jqYdeyUn}=>?02;8tmtajkgSj|`uq]vewrXagy#vPioqw+ggrlnoe#n`{_bos[`w789::>>74pi{pmfgkWnxdy}Qzasv\mkus'{rTec}{/ccv`bci'jdSnc_ds34565=:30|ew|ibco[bth}yU~mzPioqw+w~Xagy#oozdfgm+fhsWjg{Sh?0127=6?92258tmtajkgSj|`uq]vewrXagy#vPioqw+ggrlnoe#n`{_lw{4566494846~gyrk`eiY`zf{Sxo}t^kmwq)upVcey!matfdak)df}Ufyu>?00>24;5?3ybrdmnl^eqkpvX}hxSd`|t.p{[lht|&hjyiijn.ampZkrp9:;=1?>>2:8tmtajkgSj|`uq]vewrXagy#vPioqw+ggrlnoe#n`{_lw{45664885?55hxqjgdjXo{e~|R{nru]jjvr(zqUbb~z b`wgc`h(kg~Taxv?013?568402zcu~glam]dvjswW|kyxRgasu-q|Zoi{}%imxjheo-`jqYj}q:;<<2>4?1;?un~{`ij`Ri}otr\qdtsW`dxx"|w_hlpp*df}mmnb"mat^ov|56795;>2>94pi{pmfgkWnxdy}Qzasv\mkus'{rTec}{/ccv`bci'jdS`{w01228484?2zcu~glam]dvjswW|kyxRgasu-q|Zoi{}%imxjheo-`jqYj}q:;<<2=>258tmtajkgSj|`uq]vewrXagy#vPioqw+ggrlnoe#n`{_lw{45664:48;6~gyrk`eiY`zf{Sxo}t^kmwq)upVcey!matfdak)df}Ufyu>?00>7:61><6<03>voqzchmaQhrnws[pgu|Vcey!}x^kmwq)ei|nlic!lnu]nq}6788632>94pi{pmfgkWnxdy}Qzasv\mkus'{rTec}{/ccv`bci'jdS`{w01228<8492zcu~glam]dvjswW|kyxRgasu-q|Zoi{}%imxj}ergw[jt789:8:6~gyrk`eiY`zf{Sxo}t^kmwq)upVcey!kauc`kphsWds<=>?<1<273=w`pybolbPgsmvtZsfz}Ubb~z ry]jjvr(lh~job{at^ov|56785:5>>84pi{pmfgkWnxdy}Qzasv\mkus'{rTec}{/ecwefirf}Ufyu>?01>2:74b3ybrdmnl^eqkpvX}hxSd`|t.p{[lht|&njxlaPnnv34574:2zcu~glam]dvjswW|kyxRgasu-q|Zoi{}%omyo}e`fz[hs89:;??5hxqjgdjXo{e~|R{nru]jjvr(zqUbb~z d`vbv`gcqVddx=>?13g8tmtajkgSj|`uq]vewrXagy#vPioqw+w~cW9Uecy>?001b?un~{`ij`Ri}otr\qdtsW`dxx"|w_hlpp*telb`yk}/bmvjqYvWfx;<=><8:rk}vodieUl~b{_tcqpZoi{}%ytRgasu-ppdrbWOYFSKHk2,Km06YT_98m7}fvshabhZaug|zTyl|{_hlpp*tW}cfi!lljmvpZiu89:;?=5hxqjgdjXo{e~|R{nru]jjvr(zqUe`k}/blw[fkwWl{;<=><2:rk}vodieUl~b{_tcqpZoi{}%ytRzfmdp,gkrXkdzTi|>?013177=w`pybolbPgsmvtZsfz}Ubb~z ry]wmhcu'jdSnc_ds34565=:80|ew|ibco[bth}yU~mzPioqw+w~X|`gn~"mat^antZcv89:;84==;qjzwlefdVmycx~Pu`pw[lht|&xsSygbes-`jqYdeyUn}=>?0701`>voqzchmaQhrnws[pgu|Vcey!{x^alv`)nleoTbbz?0131b>voqzchmaQhrnws[pgu|Vcey!{x^alv`)nf}rTcR``t123567<4pi{pmfgkWnxdy}Qzasv\mkus'}rTob|j/mmb``bXzVddx=>?100`?un~{`ij`Ri}otr\qdtsW`dxx"zw_bmqa*rXzVddx=>?1228tmtajkgSj|`uq]vewrXagy#yvPcnpf+qdrnoUySca{01226c=w`pybolbPgsmvtZsfz}Ubb~z ty]wmhcu'jf`cxzPos2345573ybrdmnl^eqkpvX}hxSd`|t.v{[qojm{%hbyQlmq]fu5678:80|ew|ibco[bth}yU~mzPioqw+q~X|`gn~"mat^antZcv89:;=?==;qjzwlefdVmycx~Pu`pw[lht|&~sSygbes-`jqYdeyUn}=>?03706>voqzchmaQhrnws[pgu|Vcey!{x^vji`t(kg~To`~Pep23452>;;1{dt}fc`n\cwirxVj~yQfnrv,p}Ysadoy#n`{_bos[`w789:=>>>4pi{pmfgkWnxdy}Qzasv\mkus'}rTxdcjr.ampZkrp9:;=1>1309sl|unkhfTkazp^wbvqYnfz~$xuQ{ilgq+fhsWds<=>><02=74=w`pybolbPgsmvtZsfz}Ubb~z ty]wmhcu'jdS`{w01228479;81{dt}fc`n\cwirxVj~yQfnrv,p}Ysadoy#n`{_lw{45664885?<5hxqjgdjXo{e~|R{nru]jjvr(|qUe`k}/blw[hs89::0<=1309sl|unkhfTkazp^wbvqYnfz~$xuQ{ilgq+fhsWds<=>><06=74=w`pybolbPgsmvtZsfz}Ubb~z ty]wmhcu'jdS`{w01228439;91{dt}fc`n\cwirxVj~yQfnrv,p}Ysadoy#n`{_lw{45664848<6~gyrk`eiY`zf{Sxo}t^kmwq)spV~bah| cov\ip~789;7>3=?;qjzwlefdVmycx~Pu`pw[lht|&~sSygbes-`jqYj}q:;<<2<>228tmtajkgSj|`uq]vewrXagy#yvPthofv*ei|Vg~t=>?1=6=75=w`pybolbPgsmvtZsfz}Ubb~z ty]wmhcu'jdS`{w0122808482zcu~glam]dvjswW|kyxRgasu-w|Zrnelx$oczPmtz3457;>79;7}fvshabhZaug|zTyl|{_hlpp*rW}cfi!lnu]nq}67886<2>>4pi{pmfgkWnxdy}Qzasv\mkus'}rTxdcjr.ampZkrp9:;=161319sl|unkhfTkazp^wbvqYnfz~$xuQ{ilgq+fhsWds<=>><8<1`>voqzchmaQhrnws[pgu|Vcey!{x^vji`t(kg~Tbbz?01021>voqzchmaQhrnws[safkea8h6~gyrk`eiY`zf{Sw~ziom,gjtb'DkohR~}egp-wuhbp}Ujhi}zb1235Ze~4=48h6~gyrk`eiY`zf{Sw~ziom,gjtb'DkohR~}egp-wuhbp}Ujhi}zb1235Ze~4?48i6~gyrk`eiY`zf{Sw~ziom,gjtb'DxdaR}nmqnf`AcdgmooC}m`dd]nq}67:=;??h5hxqjgdjXo{e~|Rtuhll+fium&Gyc`Q|alroaaBbkfnnhB~loeg\ip~78;>:9?m4pi{pmfgkWnxdy}Quptkmk*ehzl%oinake^hviuYT_9%D>45hxqjgdjXo{e~|Rtuhll+fium&nnobjj_mmb`Zuw;81{dt}fc`n\cwirxVp{yd``/bmqa*bbk{$xxlzj_GQN[C@c:$Ce?45hxqjgdjXo{e~|Rtuhll+fium&nnoQkebmgaaIwkfnnS`{w01238429;01{dt}fc`n\cwirxVp{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<07=7c=w`pybolbPgsmvtZ|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0<71_RU3+J5a3ybrdmnl^eqkpvXrybbb!losg,``euWmohcikkOqal``Yj}q:;<=2=2?]PS5)H;o1{dt}fc`n\cwirxVp{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<35=[VQ7'F9m7}fvshabhZaug|zTv}{fnn-`kwc(lliySikloeggKuehllUfyu>?01>04;YT_9%D?n5hxqjgdjXo{e~|Rtuhll+fium&nnoQkebmgaaIwkfnnS`{w012386799:;8j6~gyrk`eiY`zf{Sw~ziom,gjtb'moh~Rjjcnff`JvdgmoTaxv?012?778X[^:$C>k4pi{pmfgkWnxdy}Quptkmk*ehzl%oin|Pddal``bHxjeoiRczx1234929WZ];#B=7;qjzwlefdVmycx~Pzqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:>6:80|ew|ibco[bth}yUq|xgao.alv`)cmjxTjhzlhqa\ip~789:7<3=;;qjzwlefdVmycx~Pzqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<1<25650307>voqzchmaQhrnws[vrage$ob|j/eg`vZ`b|jb{oRczx12349766:?0|ew|ibco[bth}yUq|xgao.alv`)cmjxTjhzlhqa\ip~789:7=<0>1218tmtajkgSj|`uq]ytpoig&id~h!kebp\b`rd`yiTaxv?012?5784=2zcu~glam]dvjswWsz~eca cnpf+acdzVlnxnfc^ov|56785;92?0=30:474:2zcu~glam]dvjswWsz~eca cnpf+acdzVlnxnfc^ov|56785;5?95hxqjgdjXo{e~|Rtuhll+fium&nnoQieuaktfYj}q:;<=2>>0306>voqzchmaQhrnws[vrage$ob|j/eg`vZ`b|jb{oRczx1234949;=1{dt}fc`n\cwirxVp{yd``/bmqa*bbk{Umiymgpb]nq}6789692?0=1=71=w`pybolbPgsmvtZ|w}`dd#na}e.ffgwYam}ic|nQbuy2345:468;8>6~gyrk`eiY`zf{Sw~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1:1359sl|unkhfTkazp^xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>7:474:2zcu~glam]dvjswWsz~eca cnpf+acdzVlnxnfc^ov|56785?5?95hxqjgdjXo{e~|Rtuhll+fium&nnoQieuaktfYj}q:;<=2:>0506>voqzchmaQhrnws[vrage$ob|j/eg`vZ`b|jb{oRczx1234909;=1{dt}fc`n\cwirxVp{yd``/bmqa*bbk{Umiymgpb]nq}67896=2?0=5=71=w`pybolbPgsmvtZ|w}`dd#na}e.ffgwYam}ic|nQbuy2345:068;8>6~gyrk`eiY`zf{Sw~ziom,gjtb'moh~RhjtbjsgZkrp9:;<161359sl|unkhfTkazp^xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>;:474:2zcu~glam]dvjswWsz~eca cnpf+acdzVlnxnfc^ov|5678535?95hxqjgdjXo{e~|Rtuhll+fium&nnoQieuaktfYj}q:;<=26>031e>voqzchmaQhrnws[vrage$ob|j/eg`vZvdWge<=>>439sl|unkhfTkazp^xsqlhh'jeyi"jjcs]ppdelGyoymyg`n^ov|5678585=<>PSV2,K6d550f>voqzchmaQhrnws[vrage$ob|j/eg`vZrh{Ze~~nkPmtz3456;994T_Z> O278tmtajkgSj|`uq]ytpoig&id~h!kebp\pjuTg|xhiRczx12349746:20|ew|ibco[bth}yUq|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1?9>4-L7g=w`pybolbPgsmvtZ|w}`dd#na}e.ffgwYsgzYdymj_lw{456748=5S^Y?/N17?un~{`ij`Ri}otr\~usnff%hck ddaq[qit[fyohQbuy2345:66:<0|ew|ibco[bth}yUq|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1:1241`?un~{`ij`Ri}otr\~usnff%hck ddaq[qit[fyohQbuy2345:36<8T_Z> O258tmtajkgSj|`uq]ytpoig&id~h!kebp\pjuTg|xhiRczx12349?99:;9i6~gyrk`eiY`zf{Sw~ziom,gjtb'moh~Rxnlhf\ip~789:9?<5hxqjgdjXo{e~|Rtuhll+fium&os~#}{aug\BVKXNOn:? Ga309sl|unkhfTkazp^xsqlhh'jeyi"kwr/qweqcXNZGTJKj>4,Km72=w`pybolbPgsmvtZ|w}`dd#na}e.g{v+usi}oTJ^CPFGf20(Oi9><3:rk}vodieUl~b{_{rvmki(kfxn#hv}.rvbp`YA[DUMJi?;-Hl7765?13g8tmtajkgSj|`uq]ytpoig&id~h!jxs]tfZqbWds<=>?150f?un~{`ij`Ri}otr\~usnff%hck eyp\sgYpmVg~t=>?03517>voqzchmaQhrnws[vrage$ob|j/dzv[gstq;<0|ew|ibco[bth}yUq|xgao.alv`)bp|Uiy~w;/N07?un~{`ij`Ri}otr\~usnff%hck eyw\fpu~1;=0|ew|ibco[bth}yUq|xgao.alv`)bp|Uiy~wPiot0f>voqzchmaQhrnws[vrage$ob|j/kwntZgcl{oxR>Paof3456XnUgyy2?>2`8tmtajkgSj|`uq]ytpoig&id~h!eulr\eabumzyTl4pi{pmfgkWnxdy}Quptkmk*ehzl%ay`~PaefqavuX8Vkeh=>?0^ud[iss4:48n6~gyrk`eiY`zf{Sw~ziom,gjtb'cf|RokdsgpwZ6Xign;<=>Pwf]oqq:36:h0|ew|ibco[bth}yUq|xgao.alv`)m}dzTmij}erq\4Zgil9:;5:6dvoqzchmaQhrnws[vrage$ob|j/qdv[gstq:>0|ew|ibco[bth}yUq|xgao.alv`)wzllySb~XimnEv`c}mVey<=>?379sl|unkhfTkazp^xsqlhh'jeyi"~}egp\kuQndeLyihtj_np345668:?0|ew|ibco[bth}yUq|xgao.alv`)wzllySb~XimnEv`c}mVey<=>?4268tmtajkgSj|`uq]ytpoig&id~h!rddq[wcdgdz~xhQ|p^mq4567;=1{dt}fc`n\cwirxVp{yd``/bmqa*vumoxT}`jxu]nq}67896>29><5:rk}vodieUl~b{_{rvmki(kfxn#}|jfs]ptkc|Vg~t=>?0=;=0474=2zcu~glam]dvjswWsz~eca cnpf+utbn{Ux|ckwt^ov|56785358<<=d:rk}vodieUl~b{_{rvmki(kfxn#}|jfs]ueiocWfx;<=><3:rk}vodieUl~b{_{rvmki(kfxn#~obpmgg@`ehllnD|nake=30:61h5hxqjgdjXo{e~|Rtuhll+fium&yja}bjdUmpWjsukl6;2>84pi{pmfgkWnxdy}Quptkmk*ehzl%xm`~ceeVlwVirzjoTo`~Pep23464b3ybrdmnl^eqkpvXrybbb!losg,wmj(amrTaxv?013?5585m2zcu~glam]dvjswWsz~eca cnpf+vnk'`nsS`{w01228479:l1{dt}fc`n\cwirxVp{yd``/bmqa*uod&cotRczx12359756;o0|ew|ibco[bth}yUq|xgao.alv`)t`e%bhuQbuy2344:6;78n7}fvshabhZaug|zTv}{fnn-`kwc({af$eivPmtz3457;9=49i6~gyrk`eiY`zf{Sw~ziom,gjtb'zbg#djw_lw{456648?5>h5hxqjgdjXo{e~|Rtuhll+fium&yc`"gkx^ov|56795;=2?k4pi{pmfgkWnxdy}Quptkmk*ehzl%xda!fdy]nq}67886:;33g8tmtajkgSj|`uq]ytpoig&id~h!|hm-j`}Yj}q:;<<2=1?0f?un~{`ij`Ri}otr\~usnff%hck sin,ma~Xe|r;<=?323<1a>voqzchmaQhrnws[vrage$ob|j/rjo+lbWds<=>><31=6`=w`pybolbPgsmvtZ|w}`dd#na}e.qkh*ocpVg~t=>?1=07:7c?00>11;4b3ybrdmnl^eqkpvXrybbb!losg,wmj(amrTaxv?013?6385m2zcu~glam]dvjswWsz~eca cnpf+vnk'`nsS`{w01228719:l1{dt}fc`n\cwirxVp{yd``/bmqa*uod&cotRczx123594?6;o0|ew|ibco[bth}yUq|xgao.alv`)t`e%bhuQbuy2344:5178n7}fvshabhZaug|zTv}{fnn-`kwc({af$eivPmtz3457;;949i6~gyrk`eiY`zf{Sw~ziom,gjtb'zbg#djw_lw{45664:;5>i5hxqjgdjXo{e~|Rtuhll+fium&yc`"gkx^ov|56795?5>i5hxqjgdjXo{e~|Rtuhll+fium&yc`"gkx^ov|56795<5>i5hxqjgdjXo{e~|Rtuhll+fium&yc`"gkx^ov|56795=5>i5hxqjgdjXo{e~|Rtuhll+fium&yc`"gkx^ov|5679525>i5hxqjgdjXo{e~|Rtuhll+fium&yc`"gkx^ov|5679535>h5hxqjgdjXo{e~|Rtuhll+fium&ymnePa^cg`w:6878:9?l4pi{pmfgkWnxdy}Quptkmk*ehzl%xxlmd_`]b`at;:7>9i6~gyrk`eiY`zf{Sw~ziom,gjtb'z~jofQn_`fgvZiu89:;>h5hxqjgdjXo{e~|Rtuhll+fium&ymnePa^uqmqc;;84:??j4pi{pmfgkWnxdy}Quptkmk*ehzl%xxlmd_`]tvlrb4:;5>?l4pi{pmfgkWnxdy}Quptkmk*ehzl%xxlmd_c]fjddkm;:8>6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Tbb}Ps`tf[5Yj}q:;<=?<339sl|unkhfTkazp^xsqlhh'jeyi"y>_omp[vgqmV;Taxv?0122764?013077=w`pybolbPgsmvtZ|w}`dd#na}e.u2[kitWzk}iR;Pmtz34566;:80|ew|ibco[bth}yUq|xgao.alv`)p9VddR}nvd]5[hs89:;=>==;qjzwlefdVmycx~Pzqwjjj)dg{o${?0011a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX8Vg~t=>?20226`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW9Ufyu>?0337=7b6:o1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS=Qbuy234726;<9:7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q?_lw{4565<8<:#B_ump[5Yj}q:;_ump[5Yj}q:;8?=53f8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ6Xe|r;<=<713f8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ6Xe|r;<=<793a8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ77Wds<=>=2e9sl|unkhfTkazp^xsqlhh'jeyi"y>_ump[46Xe|r;<=_ump[46Xe|r;<=<>0^QT4*I5n2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT==Qbuy2347761;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR??_lw{4565>=8n7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q>0^ov|567:>89i6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P11]nq}678;2>>i5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_03\ip~7898;>k5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_03\ip~7898:<<;:<0:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\54Yj}q:;?2971`>voqzchmaQhrnws[vrage$ob|j/v3\pjuX9;Ufyu>?03205>voqzchmaQhrnws[vrage$ob|j/v3\pjuX9;Ufyu>?03335*I4<2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT=?Qbuy234777WZ];#B;:<0:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\57Yj}q:;?2971`>voqzchmaQhrnws[vrage$ob|j/v3\pjuX9:Ufyu>?0321b>voqzchmaQhrnws[vrage$ob|j/v3\pjuX9:Ufyu>?0333562h5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_01\ip~7898=8?k4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^30[hs89:9;?5;=d:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\51Yj}q:;<4:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\51Yj}q:;0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR?:_lw{456599UX[=!@2d9sl|unkhfTkazp^xsqlhh'jeyi"y>_ump[43Xe|r;<=<943g8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ72Wds<=>=730f?un~{`ij`Ri}otr\~usnff%hck w0]wkvY6=Vg~t=>?2961`>voqzchmaQhrnws[vrage$ob|j/v3\pjuX9?Ufyu>?03200>voqzchmaQhrnws[vrage$ob|j/v3\pjuX9?Ufyu>?0333[VQ7'F8n7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q>6^ov|567:?=9i6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P17]nq}678;2:>i5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_05\ip~7898;?95hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_05\ip~7898:voqzchmaQhrnws[vrage$ob|j/v3\pjuX9>Ufyu>?03476`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW8=Taxv?010467c:<=e:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\5=Yj}q:;_ump[4?Xe|r;<=_ump[4?Xe|r;<=<>0^QT4*I5m2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT=4Qbuy234700:l1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS<7Pmtz3454?9;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR?Pmtz345469>8o7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q>_lw{4565;<8o7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q>_lw{4565<;8h7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q>_lw{4565=;n0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR?Pmtz3454?9;l0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR?Pmtz3454>:&E9h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P1^ov|567:0<9h6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P21]nq}678;:886~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P21]nq}678;;;S^Y?/N0f?un~{`ij`Ri}otr\~usnff%hck w0]wkvY58Vg~t=>?2761a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX:9Ufyu>?03516`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW;:Taxv?010;07bh5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_33\ip~7898=8?k4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^02[hs89:9;?5:=d:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\67Yj}q:;<4:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\67Yj}q:;Rczx1236325m2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT>?Qbuy234715:l1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS?_ump[75Xe|r;<=<823g8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ44Wds<=>=850`?un~{`ij`Ri}otr\~usnff%hck w0]wkvY5?23f8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ43Wds<=>=0268tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ43Wds<=>=11]PS5)H:l1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS?:Pmtz34541<;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR<;_lw{4565?;8n7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q=4^ov|567:1>9o6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P24]nq}678;8o7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q=5^ov|567:99?7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q=5^ov|567:8:T_Z> O3g8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ42Wds<=>=650f?un~{`ij`Ri}otr\~usnff%hck w0]wkvY5=Vg~t=>?2601a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX:?03:76f=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW;voqzchmaQhrnws[vrage$ob|j/v3\pjuX:?Ufyu>?03200>voqzchmaQhrnws[vrage$ob|j/v3\pjuX:?Ufyu>?0333[VQ7'F9>7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q=6^ov|567:8>;S^Y?/N0f?un~{`ij`Ri}otr\~usnff%hck w0]wkvY5>Vg~t=>?2761a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX:?Ufyu>?03516`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW;5Qbuy23474c3ybrdmnl^eqkpvXrybbb!losg,s4YsgzU94Rczx12365533ybrdmnl^eqkpvXrybbb!losg,s4YsgzU94Rczx123646X[^:$C?k4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^0;[hs89:9:9:<=e:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\6=Yj}q:;_ump[7?Xe|r;<=<=d:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\6<4:rk}vodieUl~b{_{rvmki(kfxn#z?Ptnq\64Qbuy234715:l1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS?7Pmtz3454?<;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR886~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P2^ov|567:88?S^Y?/N0g?un~{`ij`Ri}otr\~usnff%hck w0]wkvY5Wds<=>=340g?un~{`ij`Ri}otr\~usnff%hck w0]wkvY5Wds<=>=430`?un~{`ij`Ri}otr\~usnff%hck w0]wkvY5Wds<=>=53f8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ4Xe|r;<=<713f8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ4Xe|r;<=<793a8tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ57Wds<=>=2e9sl|unkhfTkazp^xsqlhh'jeyi"y>_ump[66Xe|r;<=_ump[66Xe|r;<=<>0^QT4*I5m2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT?=Qbuy234703:l1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS>>Pmtz34540:;o0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR=?_lw{45650=8h7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q<1^ov|567:;n0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR=>_lw{45658:>0|ew|ibco[bth}yUq|xgao.alv`)p9V~dR=>_lw{456599UX[=!@2g9sl|unkhfTkazp^xsqlhh'jeyi"y>_ump[67Xe|r;<=<9600f?un~{`ij`Ri}otr\~usnff%hck w0]wkvY49Vg~t=>?2671a>voqzchmaQhrnws[vrage$ob|j/v3\pjuX;8Ufyu>?03:;6`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW:Ufyu>?033367c?m4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^1\ip~7898>>i5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_2]nq}678;2:>i5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_2]nq}678;22>h5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_5]nq}678;;;>?k4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^6\ip~7898:>9=;;qjzwlefdVmycx~Pzqwjjj)dg{o${Taxv?010017bTaxv?010767eTaxv?01066a=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW=Ufyu>?03:26a=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW=Ufyu>?03::6`=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW?033367cS`{w0121572X[^:$C?j4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^7\ip~789889?j4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^7\ip~7898?>?m4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^7\ip~7898>>i5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_4]nq}678;2:>i5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_4]nq}678;22>o5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_7]nq}678;8o7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q9_lw{4565998n7}fvshabhZaug|zTv}{fnn-`kwc(8Uc~Q9_lw{456599<9i6~gyrk`eiY`zf{Sw~ziom,gjtb'~;Txb}P6^ov|567:8>;>k5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_7]nq}678;>?#Bi5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_6]nq}678;;;>h5hxqjgdjXo{e~|Rtuhll+fium&}:Sya|_6]nq}678;;;:?k4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^5\ip~7898:8=_ump[2Yj}q:;_ump[2Yj}q:;_ump[=Yj}q:;=4pi{pmfgkWnxdy}Quptkmk*ehzl%|=Rz`s^:\ip~7898:voqzchmaQhrnws[vrage$ob|j/v3\pjuX0Vg~t=>?203:6a=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW1Ufyu>?03476a=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW1Ufyu>?03516a=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW1Ufyu>?03:66g=w`pybolbPgsmvtZ|w}`dd#na}e.u2[qitW0Ufyu>?030`?un~{`ij`Ri}otr\~usnff%hck w0]wkvY>Wds<=>=0218tmtajkgSj|`uq]ytpoig&id~h!x1^vlwZ?Xe|r;<=<>0^QT4*I5m2zcu~glam]dvjswWsz~eca cnpf+r7X|fyT5Rczx123647>:m1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS4Qbuy234703:m1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS4Qbuy234715:m1{dt}fc`n\cwirxVp{yd``/bmqa*q6W}exS4Qbuy2347>2;81{dt}fc`n\cwirxVp{yd``/bmqa*qug}oimnePq^ov|56788:>?=5hxqjgdjXo{e~|Rtuhll+fium&}ycykmabi\uZkrp9:;<<<<1:rk}vodieUl~b{_{rvmki(kfxn#z|`td`bgnYvWds<=>?15305>voqzchmaQhrnws[vrage$ob|j/vplp`dfkbUzS`{w01235<7482zcu~glam]dvjswWsz~eca cnpf+rth|lhjofQ~_lw{4567:<9;7}fvshabhZaug|zTv}{fnn-`kwc({eioolk^s\ip~789:88>>4pi{pmfgkWnxdy}Quptkmk*ehzl%|~bzjb`ah[tYj}q:;<=872b9sl|unkhfTkazp^xsqlhh'`e$mij}_rcuaZwXff~;<=?=b:rk}vodieUl~b{_{rvmki(af%jhi|Ps`tf[tYhz9:;>389sl|unkhfTkazp^xsqlhh'`e$ecz}_hlpp*bhW`di|zpu]nq}67888??45hxqjgdjXo{e~|Rtuhll+li(ag~ySd`|t.fl[lhsm{x~|yQbuy234455;11{dt}fc`n\cwirxVp{yd``/hm,mkruW`dxx"j`_hlwawtrx}Ufyu>?0060=>voqzchmaQhrnws[vrage$eb!fnup\mkus'meTeczjrswspZkrp9:;=9:T_Z? O2:8tmtajkgSj|`uq]ytpoig&cd#d`{r^kmwq)cgVcexh|}uqv\ip~789;2>k5hxqjgdjXo{e~|Rtuhll+li(ag~ySd`|t.kfjZwXg{:;<==k;qjzwlefdVmycx~Pzqwjjj)ng&cexQfnrv,mkruWmoinzjd^s\53Yj}q:;<==n;qjzwlefdVmycx~Pzqwjjj)ng&cexQfnrv,mkruWeo}iaQ~_04\jjr789;8<6~gyrk`eiY`zf{Sw~ziom,mj)nf}xTec}{/hlwvZjnfl6:<3=?;qjzwlefdVmycx~Pzqwjjj)ng&cexQfnrv,mkruWecei1?>>228tmtajkgSj|`uq]ytpoig&cd#d`{r^kmwq)nf}xT`d`j<00=75=w`pybolbPgsmvtZ|w}`dd#da iovq[lht|&cexQciog?568482zcu~glam]dvjswWsz~eca in-jjqtXagy#d`{r^njj`:6<79;7}fvshabhZaug|zTv}{fnn-jk*oi|{Ubb~z iovq[ioim5;>2>>4pi{pmfgkWnxdy}Quptkmk*oh'`d~Rgasu-jjqtXd`dn0<81319sl|unkhfTkazp^xsqlhh'`e$ecz}_hlpp*oi|{Ugeck316<07>voqzchmaQhrnws[vrage$eb!fnup\mkus'`d~Rbfnd>23;56=:90|ew|ibco[bth}yUq|xgao.kl+lhszVcey!fnup\hlhb48=5?>;=f:rk}vodieUl~b{_{rvmki(af%bby|Pioqw+lhszVfbbh2=>3d8tmtajkgSj|`uq]ytpoig&cd#d`{r^kmwq)nf}xT`d`j<2<1b>voqzchmaQhrnws[vrage$eb!fnup\mkus'`d~Rbfnd>7:7`49j6~gyrk`eiY`zf{Sw~ziom,mj)nf}xTec}{/hlwvZjnfl632?h4pi{pmfgkWnxdy}Quptkmk*oh'`d~Rgasu-jjqtXd`dn040<8:rk}vodieUl~b{_{rvmki(af%bby|Pioqw+lhszVyn~{kk_p]mkq6788987}fvshabhZaug|zTv}{fnn-jk*oi|{Ubb~z isgb`|YvWds<=>?329sl|unkhfTkazp^xsqlhh'`e$ecz}_hlpp*oumhnrS|Qaou2344563ybrdmnl^eqkpvXrybbb!fo.kmpwYnfz~$al}d_p]mkq67889?7}fvshabhZaug|zTv}{fnn-jk*oi|{Ubb~z wc]jjqgXgVddx=>?13:8tmtajkgSj|`uq]ytpoig&cd#daPddtjg`Yfkb>3>:5hxqjgdjXo{e~|Rtuhll+li(afUxxoQaou23444>3ybrdmnl^eqkpvXrybbb!fo.kl[rcXg{:;<=Qfnw0g?un~{`ij`Ri}otr\~usnff%bc"}cou]`}fYnW9Ufyu>?010f?un~{`ij`Ri}otr\~usnff%bc"}cou]`}fYnW8>Taxv?01204>voqzchmaQhrnws[vrage$eb!|lnv\g|eXaV>To`~Pep23454c3ybrdmnl^eqkpvXrybbb!fo.uqmqcX{h|nS|Qaou2344403ybrdmnl^eqkpvXrybbb!belmq}*kbeKOemobj329sl|unkhfTkazp^xsqlhh'dofcw r`oqabuXlfU|mdzPos2345573ybrdmnl^eqkpvXrybbb!belmq}*theVndSzoft^ov|5679;l0|ew|ibco[bth}yUq|xgao.ofijt~'{efSiaPw`kw[jt789:8=6~gyrk`eiY`zf{Sw~ziom,i`khzp%yc`Qxb^c`oZiXff~;<=?=6:rk}vodieUl~b{_{rvmki({}khgRoPaefq8469:<1{dt}fc`n\cwirxVp{yd``/rvbgnYfWhno~161249sl|unkhfTkazp^xsqlhh'z~jofQn_`fgv9?9:?1{dt}fc`n\cwirxVp{yd``/rvbgnYfW~xbxh2?>358tmtajkgSj|`uq]ytpoig&ymnePa^uqmqc;9949;6~gyrk`eiY`zf{Sw~ziom,wqgdcVkT{g{e=32:71voqzchmaQhrnws[vrage$yolk^c\swosm5;>2?94pi{pmfgkWnxdy}Quptkmk*usijaTmRy}iug?5385?2zcu~glam]dvjswWsz~eca suc`oZgX{ci1?8>358tmtajkgSj|`uq]ytpoig&ymnePa^uqmqc;9149;6~gyrk`eiY`zf{Sw~ziom,wqgdcVkT{g{e=3::701{dt}fc`n\cwirxVp{yd``/rvbgnYfW~xbxh2=1?04?un~{`ij`Ri}otr\~usnff%xxlmd_`]tvlrb4;85>:5hxqjgdjXo{e~|Rtuhll+vrfkbUjSz|ftd>17;403ybrdmnl^eqkpvXrybbb!|t`ah[dYpz`~n0?:1269sl|unkhfTkazp^xsqlhh'z~jofQn_vpjp`:5=78<7}fvshabhZaug|zTv}{fnn-ppdelWhU|~dzj<34=62=w`pybolbPgsmvtZ|w}`dd#~zncj]b[rtn|l69;3<8;qjzwlefdVmycx~Pzqwjjj)t|hi`SlQxrhvf87>9:>1{dt}fc`n\cwirxVp{yd``/rvbgnYfW~xbxh2=9?05?un~{`ij`Ri}otr\~usnff%xxlmd_`]tvlrb4;49;6~gyrk`eiY`zf{Sw~ziom,wqgdcVkT{g{e=13:702zcu~glam]dvjswWsz~eca suc`oZgX{ci1;1279sl|unkhfTkazp^xsqlhh'z~jofQn_vpjp`:16;<0|ew|ibco[bth}yUq|xgao.qwefmXiV}yeyk37?05?un~{`ij`Ri}otr\~usnff%xxlmd_`]tvlrb4149:6~gyrk`eiY`zf{Sw~ziom,wqgdcVkT{g{e=;=63=w`pybolbPgsmvtZ|w}`dd#~zncj]a[dbcz5;;2?;4pi{pmfgkWnxdy}Quptkmk*usijaTnRokds>1:737:732?;4pi{pmfgkWnxdy}Quptkmk*usijaTnRokds>5:73;:73vnf9:$t`l/078tlh78&yrbn!BsipfcZ`a:820|d`?0.qzjf)J{axnkRhi2.AF5f=wag:;#~wac.Oplwc`Wol9#^\C17Gf?uoi89%xucm fg0a?uoi88%xucm 149smk66'zseo"C|hsgd[c`5911{ec>>/r{mg*Kt`{olSkh=/BG2g>vnf9;$t`l/Lqkv`aXno8$__B>6Dg8tlh79&yrbn!if3`8tlh7:&yrbn!>5:rjj54({pdh#@}grde\bc4602zbb=< sxl`+HuozlmTjk< CD3`?uoi8;%xucm MrjqabYan;%X^A?9Ed9smk65'zseo"hi2c9smk64'zseo"?:;qkm46)tqgi$A~f}ef]eb77?3yce<>!|yoa,IvnumnUmj?!LE0a8tlh7;&yrbn!BsipfcZ`a:&YY@<8Je:rjj55({pdh#kh=b:rjj52({pdh#<;4phl30*u~fj%Fe|jg^de64>"MJ1b9smk63'zseo"C|hsgd[c`5'ZXG=;Kj;qkm41)tqgi$jk#~wac.Oplwc`Wol9#NK>c:rjj53({pdh#@}grde\bc4([[F::Hk4phl31*u~fj%mj?l4phl32*u~fj%:96~fn14,w|hd'Dyc~hiPfg02<>vnf9<$t`l/Lqkv`aXno8$OH?l;qkm43)tqgi$A~f}ef]eb7)TZE;=Ih5io25+vik&lm>o5io24+vik&;>7}ga06-p}ke(EzbyijQif33;?uoi8>%xucm MrjqabYan;%HI6/r{mg*Kt`{olSkh=199smk6>'zseo"C|hsgd[c`5'JO:o6~fn1;,w|hd'Dyc~hiPfg0,WWJ6>Lo0|d`?9.qzjf)an;h0|d`>0.qzjf)6=2zbb<> sxl`+HuozlmTjk<>8:rjj46({pdh#@}grde\bc4(KL;h7}ga11-p}ke(EzbyijQif3-PVI71Ml1{ec??/r{mg*`a:k1{ec?>/r{mg*723yce=1.qzjf)J{axnkRhi2.QQH40Bm2zbb"}vnb-NwmtboVlm><64phl26*u~fj%Fe|jg^de6*EB9j1{ec?=/r{mg*Kt`{olSkh=/RPO53Cb3yce=?!|yoa,bc4e3yce=>!|yoa,50=wag;8#~wac.Oplwc`Wol9=55io30+vik&Gxdkh_gd1+FC6k2zbb<= sxl`+HuozlmTjk< SSN22@cvnf8>$t`l/Lqkv`aXno8:46~fn06,w|hd'Dyc~hiPfg0,G@7d3yce=9!|yoa,IvnumnUmj?!\RM35A`=wag;?#~wac.de6g=wag;>#~wac.36?uoi9<%xucm MrjqabYan;;37}ga14-p}ke(EzbyijQif3-@A4e"]]L04Fa>vnf8?$t`l/gd12>vnf8<$n6~fn04,kgsaa?1{ec?8/c9smk70'fh~jd84phl2<*d2zbb?> b:rjj76(gkme;5io02+g=wag8:#blzfh48tlh5:&h0|d`=2.maqco13yce>>!m;qkm66)hj|lb:6~fn36,f>vnf;>$co{ii79smk42'k1{ec<:/n`vbldvnf;<$t`l/Lqkv`aXno8:46~fn34,w|hd'Dyc~hiPfg0,G@7d3yce>;!|yoa,IvnumnUmj?!\RM35A`=wag8=#~wac.de6g=wag8<#~wac.36?uoi:>%xucm MrjqabYan;;37}ga26-p}ke(EzbyijQif3-@A4e"]]L04Fa>vnf;=$t`l/gd1f>vnf;2$t`l/078tlh50&yrbn!BsipfcZ`a:820|d`=8.qzjf)J{axnkRhi2.AF5f=wag83#~wac.Oplwc`Wol9#^\C17Gf?uoi:1%xucm fg0a?uoi:0%xucm 149smk4>'zseo"C|hsgd[c`5911{ec<6/r{mg*Kt`{olSkh=/BG2g>vnf;3$t`l/Lqkv`aXno8$__B>6Dg8tlh51&yrbn!if3`8tlh48&yrbn!>5:rjj66({pdh#@}grde\bc4602zbb>> sxl`+HuozlmTjk< CD3`?uoi;9%xucm MrjqabYan;%X^A?9Ed9smk57'zseo"hi2c9smk56'zseo"?:;qkm74)tqgi$A~f}ef]eb77?3yce?"}vnb-NwmtboVlm>"MJ1b9smk55'zseo"C|hsgd[c`5'ZXG=;Kj;qkm77)tqgi$jkc:rjj65({pdh#@}grde\bc4([[F::Hk4phl07*u~fj%mj?l4phl00*u~fj%:96~fn26,w|hd'Dyc~hiPfg02<>vnf:>$t`l/Lqkv`aXno8$OH?l;qkm71)tqgi$A~f}ef]eb7)TZE;=Ih5io17+vik&lm>o5io16+vik&;>7}ga34-p}ke(EzbyijQif33;?uoi;<%xucm MrjqabYan;%HI&yrbn!BsipfcZ`a:&IN=n5io15+vik&Gxdkh_gd1+VTK9?On7}ga37-p}ke(no8i7}ga36-p}ke(9<1{ec=8/r{mg*Kt`{olSkh=199smk50'zseo"C|hsgd[c`5'JO:o6~fn25,w|hd'Dyc~hiPfg0,WWJ6>Lo0|d`<7.qzjf)an;h0|d`<8.qzjf)6=2zbb>6 sxl`+HuozlmTjk<>8:rjj6>({pdh#@}grde\bc4(KL;h7}ga39-p}ke(EzbyijQif3-PVI71Ml1{ec=7/r{mg*`a:k1{ec=6/r{mg*723yce?4!|yoa,IvnumnUmj??7;qkm7<)tqgi$A~f}ef]eb7)DM8i0|d`<9.qzjf)J{axnkRhi2.QQH40Bm2zbb>7 sxl`+c`5j2zbb9> sxl`+43<64phl74*u~fj%Fe|jg^de6*EB9j1{ec:?/r{mg*Kt`{olSkh=/RPO53Cb3yce8=!|yoa,bc4e3yce8:#~wac.Oplwc`Wol9=55io62+vik&Gxdkh_gd1+FC6k2zbb9? sxl`+HuozlmTjk< SSN22@c"}vnb-21>vnf=8$t`l/Lqkv`aXno8:46~fn50,w|hd'Dyc~hiPfg0,G@7d3yce8?!|yoa,IvnumnUmj?!\RM35A`=wag>9#~wac.de6g=wag>8#~wac.36?uoi<:%xucm MrjqabYan;;37}ga42-p}ke(EzbyijQif3-@A4e"]]L04Fa>vnf=9$t`l/gd1f>vnf=>$t`l/078tlh3<&yrbn!BsipfcZ`a:820|d`;4.qzjf)J{axnkRhi2.AF5f=wag>?#~wac.Oplwc`Wol9#^\C17Gf?uoi<=%xucm fg0a?uoi<<%xucm 149smk22'zseo"C|hsgd[c`5911{ec::/r{mg*Kt`{olSkh=/BG2g>vnf=?$t`l/Lqkv`aXno8$__B>6Dg8tlh3=&yrbn!if3`8tlh3>&yrbn!>5:rjj10({pdh#@}grde\bc4602zbb98 sxl`+HuozlmTjk< CD3`?uoi<64rrvdak)JkfexR||tbmvjq74911yyijn.O`kphsW{yob{at062<>tt|noe#@m`uov\vvrdg|d=8?7;sqwc`h(Eje~byQ}sualqkr6>820~~zheo-Ngjsi|Vxxxnaznu345==u{}mnb"Clotlw[wuskfex<6>8:pppbci'DidyczPrrv`kphs90;<7}{gdl,Ifirf}Uyym`uov15==u{}mnb"Clotlw[wuskfex?>>8:pppbci'DidyczPrrv`kphs:8;37}{gdl,Ifirf}Uyym`uov164>:46||tfgm+Heh}g~T~~zlotlw607?3{ykh` MbmvjqYu{}idycz=60:8vvr`mg%Fob{at^pppfirf}8<=55}suefj*Kdg|dS}{cnwmp7>602xxxjka/LalqkrXzz~hcx`{2834?wusold$Anaznu]qwqeh}g~8=55}suefj*Kdg|dS}{cnwmp66602xxxjka/LalqkrXzz~hcx`{3034?wusold$Anaznu]qwqeh}g~?=:5}suefj*Kdg|dS}{cnwmp0703{ykh` MbmvjqYu{}idycz9169qwqabf&Ghcx`{_sqwgjsi|>;<7}{gdl,Ifirf}Uyym`uov;52=u{}mnb"Clotlw[wuskfex4?l;sqwc`h(Eje~byQ}sualqkrXkp6;225=i5}suefj*Kdg|dS}{cnwmpZe~4;?5=i5}suefj*Kdg|dS}{cnwmpZe~4;<5=i5}suefj*Kdg|dS}{cnwmpZe~4;=5=i5}suefj*Kdg|dS}{cnwmpZe~4;25=i5}suefj*Kdg|dS}{cnwmpZe~4;35=n5}suefj*Kdg|dS}{cnwmpZe~4;4:h6||tfgm+Heh}g~T~~zlotlw[f;;94:o6||tfgm+Heh}g~T~~zlotlw[f;;7;h7}{gdl,Ifirf}Uyym`uov\g|:368i0~~zheo-Ngjsi|Vxxxnaznu]`}9399j1yyijn.O`kphsW{yob{at^az8386k2xxxjka/LalqkrXzz~hcx`{_b{?3;7d3{ykh` MbmvjqYu{}idyczPcx>;:4e25;7b3{ykh` MbmvjqYu{}idyczPltv?5786m2xxxjka/LalqkrXzz~hcx`{_mww84599l1yyijn.O`kphsW{yob{at^nvp97368o0~~zheo-Ngjsi|Vxxxnaznu]oqq:6=7;n7}{gdl,Ifirf}Uyym`uov\hpr;9?4:i6||tfgm+Heh}g~T~~zlotlw[iss48=5=h5}suefj*Kdg|dS}{cnwmpZjr|5;32=0>e:pppbci'DidyczPrrv`kphsWe0??11d9qwqabf&Ghcx`{_sqwgjsi|Vf~x1<=>0g8vvr`mg%Fob{at^pppfirf}Ugyy2=3?3f?wusold$Anaznu]qwqeh}g~T`xz325<2a>tt|noe#@m`uov\vvrdg|dSa{{<37=5`=u{}mnb"Clotlw[wuskfexRbzt=05:4c13;7b3{ykh` MbmvjqYu{}idyczPltv?6=86m2xxxjka/LalqkrXzz~hcx`{_mww87?99m1yyijn.O`kphsW{yob{at^nvp9499l1yyijn.O`kphsW{yob{at^nvp95768o0~~zheo-Ngjsi|Vxxxnaznu]oqq:497;o7}{gdl,Ifirf}Uyym`uov\hpr;;7;o7}{gdl,Ifirf}Uyym`uov\hpr;<7;o7}{gdl,Ifirf}Uyym`uov\hpr;=7;o7}{gdl,Ifirf}Uyym`uov\hpr;>7;o7}{gdl,Ifirf}Uyym`uov\hpr;?7;o7}{gdl,Ifirf}Uyym`uov\hpr;07;o7}{gdl,Ifirf}Uyym`uov\hpr;17;o7}{gdl,Ifirf}Uyym`uov\|jt;87;n7}{gdl,Ifirf}Uyym`uov\|jt;994:i6||tfgm+Heh}g~T~~zlotlw[}iu48;5=h5}suefj*Kdg|dS}{cnwmpZ~hz5;92e:pppbci'DidyczPrrv`kphsWqey0<;11d9qwqabf&Ghcx`{_sqwgjsi|Vrd~1?9>0g8vvr`mg%Fob{at^pppfirf}Usc2>7?3f?wusold$Anaznu]qwqeh}g~Ttb|319<2a>tt|noe#@m`uov\vvrdg|dSua}<0;=5a=u{}mnb"Clotlw[wuskfexRv`r=3=5`=u{}mnb"Clotlw[wuskfexRv`r=03:4c15;7b3{ykh` MbmvjqYu{}idyczPxnp?6786m2xxxjka/LalqkrXzz~hcx`{_ymq87599l1yyijn.O`kphsW{yob{at^zlv94368o0~~zheo-Ngjsi|Vxxxnaznu]{kw:5=7;n7}{gdl,Ifirf}Uyym`uov\|jt;:?4:i6||tfgm+Heh}g~T~~zlotlw[}iu4;=5=h5}suefj*Kdg|dS}{cnwmpZ~hz58323?j;sqwc`h(Eje~byQ}sualqkrXpfx7?=0>e:pppbci'DidyczPrrv`kphsWqey0>?11e9qwqabf&Ghcx`{_sqwgjsi|Vrd~1=11e9qwqabf&Ghcx`{_sqwgjsi|Vrd~1:11e9qwqabf&Ghcx`{_sqwgjsi|Vrd~1;11e9qwqabf&Ghcx`{_sqwgjsi|Vrd~1811e9qwqabf&Ghcx`{_sqwgjsi|Vrd~1911e9qwqabf&Ghcx`{_sqwgjsi|Vrd~1611e9qwqabf&Ghcx`{_sqwgjsi|Vrd~171139qwqabf&xxxnaznu>3:45>018vvr`mg%yym`uov?5786;2xxxjka/sqwgjsi|5;82<=4rrvdak)u{}idycz315<27>tt|noe#}{cnwmp9726890~~zheo-qwqeh}g~7=;0>3:pppbci'{yob{at=34:45008vvr`mg%yym`uov?5;743{ykh` rrv`kphs4;:5=>5}suefj*tt|je~by2=1?30?wusold$~~zlotlw87499:1yyijn.pppfirf}69?3?<;sqwc`h(zz~hcx`{<36=56=u{}mnb"||tbmvjq:5=7;87}{gdl,vvrdg|d0?81129qwqabf&xxxnaznu>13;743{ykh` rrv`kphs4;25=>5}suefj*tt|je~by2=9?31?wusold$~~zlotlw8786;2xxxjka/sqwgjsi|59;2<=4rrvdak)u{}idycz330<26>tt|noe#}{cnwmp9599;1yyijn.pppfirf}6?2<<4rrvdak)u{}idycz35?31?wusold$~~zlotlw8386:2xxxjka/sqwgjsi|5=5=?5}suefj*tt|je~by27>008vvr`mg%yym`uov?=;763{ykh` rrv`kphsW9;:7}{gdl,vvrdg|dStt|noe#}{cnwmpZ769;1yyijn.pppfirf}U:><<4rrvdak)u{}idyczP1231?wusold$~~zlotlw[426:2xxxjka/sqwgjsi|V;>=?5}suefj*tt|je~byQ>6008vvr`mg%yym`uov\52753{ykh` rrv`kphsW82:>6||tfgm+wuskfexR?6109qwqabf&xxxnaznu]157=u{}mnb"||tbmvjqY58880~~zheo-qwqeh}g~T>tt|noe#}{cnwmpZ449;1yyijn.pppfirf}U98<<4rrvdak)u{}idyczP2431?wusold$~~zlotlw[706:2xxxjka/sqwgjsi|V8<=?5}suefj*tt|je~byQ=8008vvr`mg%yym`uov\6<763{ykh` rrv`kphsW:;97}{gdl,vvrdg|dS>>>2:pppbci'{yob{at^1254=u{}mnb"||tbmvjqY3981yyijn.pppfirf}U>=<5}suefj*tt|je~byQ9109qwqabf&xxxnaznu]454=u{}mnb"||tbmvjqY?981yyijn.pppfirf}U2=:5}suefj*tt|je~byQaou23447?3{ykh` rrv`kphsWge<=>>1048vvr`mg%yym`uov\~`thWx;n7}{gdl,vvrdg|dSwk}o^s\ekb789:9;6||tfgm+wuskfexRtjrn]r[dhc89:;SziPcx>3:71?_ve\g|:56;=0~~zheo-qwqeh}g~Tvh|`_p]bja6789U|kRmv<2<13>tt|noe#}{cnwmpZ|bzfUzSl`k0123[raXkp6?2?94rrvdak)u{}idyczPzdpl[tYffm:;<=Qxg^az8085?2xxxjka/sqwgjsi|Vpn~bQ~_`lg4567W~mTot29>358vvr`mg%yym`uov\~`thWxUjbi>?01]tcZe~4>4946||tfgm+wuskfexRtjrn]r[dhc89:;SziPltv?4;4?3{ykh` rrv`kphsWsoycRPaof3456XnUgyy2>>3:8vvr`mg%yym`uov\~`thWxUjbi>?01]tcZjr|585>55}suefj*tt|je~byQuesm\uZgil9:;2?64rrvdak)u{}idyczPzdpl[tYffm:;<=Qxg^nvp909:11yyijn.pppfirf}UqiaPq^cm`5678V}lSa{{<6<21>tt|noe#}{otv\kw6789;:;6||tfgm+wusg|~Tc>?013\5a=u{}mnb"|w_hlu51=u{}mnb"|w_hlu5ZOI^V::<6}fglcgairfW`dxx"<6;rkdidbbd}kTec}{/Lc`gpkX{`mfmRbntbj2[f;878j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_b{?5585i2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rmv<03=6d=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`8Uhu1?=>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xkp6:?3>3;8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xkp692?74sheneack|hUbb~z M`a`qhYtangjSao{ci3\g|:46;30dibaegopdYnfz~$Almlul]pmbkfWekoe?Pcx>7:7?<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;Tot2:>3;8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xkp6=2?74sheneack|hUbb~z M`a`qhYtangjSao{ci3\g|:06;30dibaegopdYnfz~$Almlul]pmbkfWekoe?Pcx>;:7?<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;Tot26>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xd|~7<3o5|ifob``jsiVcey!BabaviZunodkT`lzlh0]oqq:6978i7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_mww8449:k1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd27;4e3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Sa{{<06=6g=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`8Ugyy2>5?0a?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6We0<812`9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Yk}}6:2?o4sheneack|hUbb~z M`a`qhYtangjSao{ci3\hpr;:78j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_mww8685i2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic=Rbzt=6=6d=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`8Ugyy2:>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm7Xd|~7:349m6}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg1^nvp9>9:h1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd::7g<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska;Ttb|30?0a?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6Wqey0<>12c9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Yg{6:=3o5|ifob``jsiVcey!BabaviZunodkT`lzlh0]{kw:6;78i7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_ymq8429:k1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd21;4e3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Sua}<04=6g=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`8Usc2>7?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn6Wqey0<0=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak5Z~hz585>l5|ifob``jsiVcey!BabaviZunodkT`lzlh0]{kw:46;k0dibaegopdYnfz~$Almlul]pmbkfWekoe?Pxnp?0;4f3zclaljjluc\mkus'DkhoxcPsheneZjf|jb:Sua}<4<1e>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo9Vrd~1812`9pmbkfllfmRgasu-NefereVybk`oPl`v`l4Yg{6<2?o4sheneack|hUbb~z M`a`qhYtangjSao{ci3\|jt;078j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf>_ymq8<8512ybk`okemvb[lht|&Gjon{b_rkdidYki}ic>Rmv<1<1e>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo:Vir0<>12`9pmbkfllfmRgasu-NefereVybk`oPl`v`l7Ydq5;:2?o4sheneack|hUbb~z M`a`qhYtangjSao{ci0\g|:6:78j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf=_b{?5685i2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic>Rmv<06=6d=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`;Uhu1?:>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm4Xkp6::3<6;rkdidbbd}kTec}{/Lc`gpkX{`mfmRbntbj1[f;97827~ghm`ffhqgXagy#@olcto\wlajiVfjxnf=_b{?6;4>3zclaljjluc\mkus'DkhoxcPsheneZjf|jb9Snw33?0:?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn5Wjs783<6;rkdidbbd}kTec}{/Lc`gpkX{`mfmRbntbj1[f;=7827~ghm`ffhqgXagy#@olcto\wlajiVfjxnf=_b{?2;4>3zclaljjluc\mkus'DkhoxcPsheneZjf|jb9Snw37?0:?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn5Wjs743<6;rkdidbbd}kTec}{/Lc`gpkX{`mfmRbntbj1[f;178j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf=_mww8585j2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic>Rbzt=33:7d<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska8T`xz310<1f>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo:Vf~x1?=>3`8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm4Xd|~7=>0=b:qjchgcme~jSd`|t.ObgfsjWzclalQcauak6Zjr|5;?2?l4sheneack|hUbb~z M`a`qhYtangjSao{ci0\hpr;9<49n6}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg2^nvp9716;k0dibaegopdYnfz~$Almlul]pmbkfWekoeunodkoiazn_hlpp*KfkjfS~ghm`]oeqeo:Vf~x1=12`9pmbkfllfmRgasu-NefereVybk`oPl`v`l7Yk}}6?2?o4sheneack|hUbb~z M`a`qhYtangjSao{ci0\hpr;=78j7~ghm`ffhqgXagy#@olcto\wlajiVfjxnf=_mww8385i2ybk`okemvb[lht|&Gjon{b_rkdidYki}ic>Rbzt=5=6d=tangjhhb{a^kmwq)Jiji~aR}fglc\hdrd`;Ugyy27>3c8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm4Xd|~753Rv`r=31:7d<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska8Ttb|312<1f>unodkoiazn_hlpp*KfkjfS~ghm`]oeqeo:Vrd~1?;>3`8wlajimogxlQfnrv,Ided}dUxejcn_mcwgm4Xpfx7=80=b:qjchgcme~jSd`|t.ObgfsjWzclalQcauak6Z~hz5;=2?l4sheneack|hUbb~z M`a`qhYtangjSao{ci0\|jt;9>49m6}fglcgairfW`dxx"Cncbwn[vo`ehUgmymg2^zlv979:h1xejcnddnweZoi{}%Fmnmzm^qjchgXdh~hd?Qwos>1:7g<{`mfmikct`]jjvr(Ehihy`Q|ifob[igska8Ttb|33?0b?vo`ehnn`yoPioqw+Hgdk|gTdiba^nbpfn5Wqey090=a:qjchgcme~jSd`|t.ObgfsjWzclalQcauak6Z~hz5?5>l5|ifob``jsiVcey!BabaviZunodkT`lzlh3]{kw:16;k0dibaegopdYnfz~$Almlul]pmbkfWekoeunodkoiazn_hlpp*KfkjfS~ghm`]oeqeo:Vrd~171189pmbkfllfmRgasu-Qavsk|5:5=l5|ifob``jsiVcey!]erwop96998k0dibaegopdYnfz~$^h}zlu>24;7e3zclaljjluc\mkus'[oxyaz311<25d=tangjhhb{a^kmwq)Umzgx1?>>0`8wlajimogxlQfnrv,V`urd}6:=3?>a:qjchgcme~jSd`|t.Pfwpjs4885=o5|ifob``jsiVcey!]erwop97568;j7~ghm`ffhqgXagy#_k|umv?5686j2ybk`okemvb[lht|&Xnxb{<01=54g<{`mfmikct`]jjvr(Zly~`y2>4?3a?vo`ehnn`yoPioqw+Wct}e~7=90>1`9pmbkfllfmRgasu-Qavsk|5;>2unodkoiazn_hlpp*Tb{|f0<9110;8wlajimogxlQfnrv,V`urd}6:2unodkoiazn_hlpp*Tb{|f0>0>a:qjchgcme~jSd`|t.Pfwpjs4:4:=45|ifob``jsiVcey!]erwop9299h1xejcnddnweZoi{}%Yi~{ct=6=54?<{`mfmikct`]jjvr(Zly~`y2:>0c8wlajimogxlQfnrv,V`urd}6>27;j7~ghm`ffhqgXagy#_k|umv?2;7612ybk`okemvb[lht|&Xnxb{<6<2e>unodkoiazn_hlpp*Tb{|f0:0>189pmbkfllfmRgasu-Qavsk|525=l5|ifob``jsiVcey!]erwop9>99830dibaegopdYnfz~$^h}zlu>::4g<{`mfmikct`]jjvr(Zly~`y26>03:?vo`ehnn`yoPioqw+agsWx;7<3?n;rkdidbbd}kTec}{/ecw[t7;994:m6}fglcgairfW`dxx"jnt^s284799h1xejcnddnweZoi{}%omyQ~1=31:4g<{`mfmikct`]jjvr(lh~T}<2>3?3b?vo`ehnn`yoPioqw+agsWx;7=90>a:qjchgcme~jSd`|t.fbpZw648?5=45|ifob``jsiVcey!kau]r5979901xejcnddnweZoi{}%omyQ~1=0=5<=tangjhhb{a^kmwq)ci}Uz=1=1189pmbkfllfmRgasu-geqYv95>5=45|ifob``jsiVcey!kau]r5939901xejcnddnweZoi{}%omyQ~1=4=5<=tangjhhb{a^kmwq)ci}Uz=191189pmbkfllfmRgasu-geqYv9525=45|ifob``jsiVcey!kau]r59?9911xejcnddnweZoi{}%omyQ~1^214>unodkoiazn_hlpp*bf|V{:S=Qbuy2345463zclaljjluc\mkus'mkS|?P0^ov|56788;37~ghm`ffhqgXagy#io{_p3\54?<{`mfmikct`]jjvr(lh~T}0338wlajimogxlQfnrv,`drXy8U:0^ov|56788;27~ghm`ffhqgXagy#io{_p3\54463zclaljjluc\mkus'mkS|?P10]nq}6789897~ghm`ffhqgXagy#io{_p3\54Yj}q:;<=?>9:qjchgcme~jSd`|t.fbpZw6W889=6}fglcgairfW`dxx"jnt^s2[44Xe|r;<=>=2:qjchgcme~jSd`|t.fbpZw6W88Taxv?01225<=tangjhhb{a^kmwq)ci}Uz=R?<209pmbkfllfmRgasu-geqYv9V;8S`{w012367=tangjhhb{a^kmwq)ci}Uz=R?<_lw{45679830dibaegopdYnfz~$hlzPq0]2077<{`mfmikct`]jjvr(lh~T}4^ov|5678;80dibaegopdYnfz~$hlzPq0]20Zkrp9:;<?01314>unodkoiazn_hlpp*bf|V{:S_2]nq}67898:7~ghm`ffhqgXagy#io{_p3\7Zkrp9:;<<5|ifob``jsiVcey!kau]r5Z2Xe|r;<=>>199pmbkfllfmRgasu-geqYv9V?9<6}fglcgairfW`dxx"jnt^s2[0Yj}q:;<=<>;rkdidbbd}kTec}{/ecw[t7X=Vg~t=>?003;?vo`ehnn`yoPioqw+agsWx;T:?>4sheneack|hUbb~z d`v\u4Y1Wds<=>?209pmbkfllfmRgasu-geqYv9VUfyu>?0102?vo`ehnn`yoPioqw+agsWx;T;Rczx123447?3zclaljjluc\mkus'mkS|?P8328wlajimogxlQfnrv,`drXy8U3S`{w012364=tangjhhb{a^kmwq)ci}Uz=R6Pmtz34566911xejcnddnweZoi{}%omyQ~1^;14>unodkoiazn_hlpp*bf|V{:S4Qbuy2345463zclaljjluc\mkus'mkS|?P9^ov|56788;27~ghm`ffhqgXagy#io{_p0?4;7f3zclaljjluc\mkus'mkS|<311<2e>unodkoiazn_hlpp*bf|V{901?1189pmbkfllfmRgasu-geqYv:585=45|ifob``jsiVcey!kau]r6959901xejcnddnweZoi{}%omyQ~2=6=5<=tangjhhb{a^kmwq)ci}Uz>1;1189pmbkfllfmRgasu-geqYv:5<5=45|ifob``jsiVcey!kau]r6919901xejcnddnweZoi{}%omyQ~2=:=5<=tangjhhb{a^kmwq)ci}Uz>171199pmbkfllfmRgasu-geqYv:V:9<6}fglcgairfW`dxx"jnt^s1[5Yj}q:;<=<>;rkdidbbd}kTec}{/ecw[t4X8Vg~t=>?0003?vo`ehnn`yoPioqw+agsWx8TR??209pmbkfllfmRgasu-geqYv:V;;S`{w012367=tangjhhb{a^kmwq)ci}Uz>R??_lw{45679830dibaegopdYnfz~$hlzPq3]2577<{`mfmikct`]jjvr(lh~T}?Q>1^ov|5678;80dibaegopdYnfz~$hlzPq3]25Zkrp9:;<?0132=>unodkoiazn_hlpp*bf|V{9S<==1:qjchgcme~jSd`|t.fbpZw5W89Taxv?01216>unodkoiazn_hlpp*bf|V{9S<=Pmtz34566901xejcnddnweZoi{}%omyQ~2^3764=tangjhhb{a^kmwq)ci}Uz>R?;_lw{4567:;1xejcnddnweZoi{}%omyQ~2^37[hs89:;=<74sheneack|hUbb~z d`v\u7Y6=;;0dibaegopdYnfz~$hlzPq3]21Zkrp9:;?0003?vo`ehnn`yoPioqw+agsWx8T=Rczx123477<{`mfmikct`]jjvr(lh~T}?Q>_lw{45679820dibaegopdYnfz~$hlzPq3]165=tangjhhb{a^kmwq)ci}Uz>R8:qjchgcme~jSd`|t.fbpZw5W<8;7~ghm`ffhqgXagy#io{_p0\1Zkrp9:;?10:8wlajimogxlQfnrv,`drXy;U=>=5|ifob``jsiVcey!kau]r6Z0Xe|r;<=>=1:qjchgcme~jSd`|t.fbpZw5W?Ufyu>?0132<>unodkoiazn_hlpp*bf|V{9S:?0338wlajimogxlQfnrv,`drXy;U<{`mfmikct`]jjvr(lh~T}?Q7219pmbkfllfmRgasu-geqYv:V2Taxv?01215>unodkoiazn_hlpp*bf|V{9S5Qbuy23457602ybk`okemvb[lht|&njxR=_803?vo`ehnn`yoPioqw+agsWx8T5Rczx123477<{`mfmikct`]jjvr(lh~T}?Q6_lw{456798>0dibaegopdYnfz~$`hQ~1b9pmbkfllfmRgasu-oaZwXff~;<=?=0:qjchgcme~jSd`|t.nf[tYig}:;<a:qjchgcme~jSd`|t.qg[`hXy5;5=45|ifob``jsiVcey!|d^gm[tY7:81xejcnddnweZoi{}%xhRka_p]3[kis89::>?5|ifob``jsiVcey!|d^gm[tY7Wge<=>>10;8wlajimogxlQfnrv,waYbfV{T=<64sheneack|hUbb~z semvp969911xejcnddnweZoi{}%xhb{{<0<23>unodkoiazn_hlpp*ucg|~T<<94sheneack|hUbb~z semvpZ76n2ybk`okemvb[lht|&ybk`oPl`v`l4:76;:0dibaegopdYnfz~$diba^nbpfn648:5>=5|ifob``jsiVcey!|ifob[igska;7=<0=0:qjchgcme~jSd`|t.qjchgXdh~hd<2>2?03?vo`ehnn`yoPioqw+vo`ehUgmymg1=30:76<{`mfmikct`]jjvr({`mfmRbntbj28429:91xejcnddnweZoi{}%xejcn_mcwgm7;9<49<6}fglcgairfW`dxx"}fglc\hdrd`86::30d8wlajimogxlQfnrv,wlajiVfjxnf><0<2b>unodkoiazn_hlpp*unodkT`lzlh0>1:4`<{`mfmikct`]jjvr({`mfmRbntbj28686n2ybk`okemvb[lht|&ybk`oPl`v`l4:368l0dibaegopdYnfz~$diba^nbpfn64<4:j6}fglcgairfW`dxx"}fglc\hdrd`86=2f:qjchgcme~jSd`|t.qjchgXdh~hd<27>0d8wlajimogxlQfnrv,wlajiVfjxnf><8<2a>unodkoiazn_hlpp*unodkT`lzlh0]35`=tangjhhb{a^kmwq)tangjSao{ci3\54`<{`mfmikct`]jjvr({`mfmRbntbj2[466n2ybk`okemvb[lht|&ybk`oPl`v`l4Y698l0dibaegopdYnfz~$diba^nbpfn6W88:j6}fglcgairfW`dxx"}fglc\hdrd`8U:?f:qjchgcme~jSd`|t.qjchgXdh~hd50d8wlajimogxlQfnrv,wlajiVfjxnf>_042b>unodkoiazn_hlpp*unodkT`lzlh0]234c<{`mfmikct`]jjvr({`mfmRbntbj2[77b3zclaljjluc\mkus'zclalQcauak5Z56m2ybk`okemvb[lht|&ybk`oPl`v`l4Y39l1xejcnddnweZoi{}%xejcn_mcwgm7X=8o0dibaegopdYnfz~$diba^nbpfn6W?;n7~ghm`ffhqgXagy#~ghm`]oeqeo9V=:i6}fglcgairfW`dxx"}fglc\hdrd`8U3=h5|ifob``jsiVcey!|ifob[igska;T50?03?vo`ehnn`yoPioqw+vo`ehUgmymg2=32:76<{`mfmikct`]jjvr({`mfmRbntbj18449:91xejcnddnweZoi{}%xejcn_mcwgm4;9:49<6}fglcgairfW`dxx"}fglc\hdrd`;6:831?:>328wlajimogxlQfnrv,wlajiVfjxnf=<04=65=tangjhhb{a^kmwq)tangjSao{ci0?5286n2ybk`okemvb[lht|&ybk`oPl`v`l7:668l0dibaegopdYnfz~$diba^nbpfn54;4:j6}fglcgairfW`dxx"}fglc\hdrd`;682f:qjchgcme~jSd`|t.qjchgXdh~hd?2:>0d8wlajimogxlQfnrv,wlajiVfjxnf=<7<2b>unodkoiazn_hlpp*unodkT`lzlh3>4:4`<{`mfmikct`]jjvr({`mfmRbntbj18=86n2ybk`okemvb[lht|&ybk`oPl`v`l7:>68o0dibaegopdYnfz~$diba^nbpfn5W9;n7~ghm`ffhqgXagy#~ghm`]oeqeo:V;:j6}fglcgairfW`dxx"}fglc\hdrd`;U:<f:qjchgcme~jSd`|t.qjchgXdh~hd?Q>20d8wlajimogxlQfnrv,wlajiVfjxnf=_012b>unodkoiazn_hlpp*unodkT`lzlh3]204`<{`mfmikct`]jjvr({`mfmRbntbj1[436n2ybk`okemvb[lht|&ybk`oPl`v`l7Y6>8l0dibaegopdYnfz~$diba^nbpfn5W8=:i6}fglcgairfW`dxx"}fglc\hdrd`;U9=h5|ifob``jsiVcey!|ifob[igska8T?R;>e:qjchgcme~jSd`|t.qjchgXdh~hd?Q91d9pmbkfllfmRgasu-pmbkfWekoeunodkoiazn_hlpp*u~fjUomyQ~1=2=5c=tangjhhb{a^kmwq)tqgiThlzPq0>24;7a3zclaljjluc\mkus'zseoRjnt^s284799o1xejcnddnweZoi{}%xucmPd`v\u4:6:7;m7~ghm`ffhqgXagy#~wac^fbpZw64895=k5|ifob``jsiVcey!|yoa\`drXy86:83?i;rkdidbbd}kTec}{/r{mgZbf|V{:0<;11d9pmbkfllfmRgasu-p}keXlh~T}<2>>0g8wlajimogxlQfnrv,w|hdWmkS|?32?3f?vo`ehnn`yoPioqw+vikVnjxR><2<2a>unodkoiazn_hlpp*u~fjUomyQ~1=6=5`=tangjhhb{a^kmwq)tqgiThlzPq0>6:4c<{`mfmikct`]jjvr({pdhSio{_p3?2;7b3zclaljjluc\mkus'zseoRjnt^s28286m2ybk`okemvb[lht|&yrbnQkau]r59>99l1xejcnddnweZoi{}%xucmPd`v\u4:>68n0dibaegopdYnfz~$t`l_ecw[t7X88n0dibaegopdYnfz~$t`l_ecw[t7X98o0dibaegopdYnfz~$t`l_ecw[t7X99;n7~ghm`ffhqgXagy#~wac^fbpZw6W8;:i6}fglcgairfW`dxx"}vnb]geqYv9V;9=h5|ifob``jsiVcey!|yoa\`drXy8U:?d:qjchgcme~jSd`|t.qzjfYci}Uz=R<>d:qjchgcme~jSd`|t.qzjfYci}Uz=R=>d:qjchgcme~jSd`|t.qzjfYci}Uz=R:>d:qjchgcme~jSd`|t.qzjfYci}Uz=R;>d:qjchgcme~jSd`|t.qzjfYci}Uz=R8>d:qjchgcme~jSd`|t.qzjfYci}Uz=R9>d:qjchgcme~jSd`|t.qzjfYci}Uz=R6>d:qjchgcme~jSd`|t.qzjfYci}Uz=R7>e:qjchgcme~jSd`|t.qzjfYci}Uz>1>11g9pmbkfllfmRgasu-p}keXlh~T}?2>0?3e?vo`ehnn`yoPioqw+vikVnjxR=<03=5c=tangjhhb{a^kmwq)tqgiThlzPq3>26;7a3zclaljjluc\mkus'zseoRjnt^s184599o1xejcnddnweZoi{}%xucmPd`v\u7:6<7;m7~ghm`ffhqgXagy#~wac^fbpZw548?5=h5|ifob``jsiVcey!|yoa\`drXy;6:23?j;rkdidbbd}kTec}{/r{mgZbf|V{90>0>e:qjchgcme~jSd`|t.qzjfYci}Uz>1:11d9pmbkfllfmRgasu-p}keXlh~T}?2:>0g8wlajimogxlQfnrv,w|hdWmkS|<36?3f?vo`ehnn`yoPioqw+vikVnjxR=<6<2a>unodkoiazn_hlpp*u~fjUomyQ~2=:=5`=tangjhhb{a^kmwq)tqgiThlzPq3>::4b<{`mfmikct`]jjvr({pdhSio{_p0\44b<{`mfmikct`]jjvr({pdhSio{_p0\54c<{`mfmikct`]jjvr({pdhSio{_p0\557b3zclaljjluc\mkus'zseoRjnt^s1[476m2ybk`okemvb[lht|&yrbnQkau]r6Z759l1xejcnddnweZoi{}%xucmPd`v\u7Y6;8o0dibaegopdYnfz~$t`l_ecw[t4X9=;n7~ghm`ffhqgXagy#~wac^fbpZw5W8?:h6}fglcgairfW`dxx"}vnb]geqYv:V8:h6}fglcgairfW`dxx"}vnb]geqYv:V9:h6}fglcgairfW`dxx"}vnb]geqYv:V>:h6}fglcgairfW`dxx"}vnb]geqYv:V?:h6}fglcgairfW`dxx"}vnb]geqYv:V<:h6}fglcgairfW`dxx"}vnb]geqYv:V=:h6}fglcgairfW`dxx"}vnb]geqYv:V2:h6}fglcgairfW`dxx"}vnb]geqYv:V3=7~b`t1-:?vjh|9%E=3:qokq6(kyegSca{0122546<{ee<"}i^aooZci9<1x`bz?/rrj[fjlWyxn1>1149phjr7'zzbSnbd_qpfw9799<1x`bz?/rrj[fjlWyxn1<1159phjr7'zzbSnbd_qpfwZ66<2ygcy> sqk\gimXx{oxSukg}:$}gPeo]nq}678>;>7~b`t1-ptlYbfVg~t=>?7030?vjh|9%x|dQjn^mq45679<1x`bz?/rrj[`hXg{:;<=Q=169phjr7'zzbSh`Pos2345YT_8;97~b`t1-ptlYbfhhgiR>2:qokq6({ycTlcrhqf5g=tdf~;#~~f_upbjv`bzzcqiR30?3a?vjh|9%x|dQ{r`lpb`ttasoT}1?11`9phjr7'zzbSy|nnrdfvvo}mV{T<>2007?vjh|9%x|dQ{r`lpb`ttasoT}R``t1235ZUP9890aa{0.qsmZrjeehgR>b:qokq6({ycTxulcobi\uZhh|9:;=9:qokq6(kUomyQ`_1]nq}6789;:56}cou2,sgYci}UdS wc]geqYhW;Ufyu>?0132=>ukg}:${oQkau]l[6Yj}q:;<=?>9:qokq6(kUomyQ`_5]nq}6789;:56}cou2,sgYci}UdS8Qbuy23457612ygcy> wc]geqYhW?Ufyu>?0132=>ukg}:${oQkau]l[2Yj}q:;<=?m;rnlp5)}{yc$=:5|lnv3+uwa&Ghcx`{_bmvjq7?3zfdx=!usqk,Ifirf}Uhcx`{10:8wiis8&px|d!BcnwmpZeh}g~9=55|lnv3+uwa&Ghcx`{_bmvjq5602ygcy> zrrj+Heh}g~Tob{at53;?vjh|9%q}g MbmvjqYdg|d9?>4smmw4*|tx`%Fob{at^alqkrXpfx7<3?>219phjr7'sy{e"Clotlw[firf}Usc2>>0314>ukg}:$v~~f/LalqkrXkfexRv`r=0=547a3zfdx=!usqk,Ifirf}Uhcx`{_ymq8686:91x`bz?/{qsm*Kdg|dSnaznu]{kw:468;9:6}cou2,~vvn'DidyczPcnwmpZ~hz595=0:445:2ygcy> zrrj+Heh}g~Tob{at^zlv9599Vl>=k5|lnv3+uwa&Ghcx`{_bmvjqYg{6?2<1338wiis8&px|d!BcnwmpZeh}g~Ttb|34?32576<{ee<"t|ph-Ngjsi|VidyczPxnp?0;75:;1x`bz?/{qsm*Kdg|dSnaznu]{kw:368Um9?>4smmw4*|tx`%Fob{at^alqkrXpfx793?=209phjr7'sy{e"Clotlw[firf}Usc2:>00166=tdf~;#w}i.O`kphsWje~byQwos>6:445WO887~b`t1-ywuo(Eje~byQlotlw[}iu4<4:>?QH259phjr7'sy{e"Clotlw[firf}Usc2:>00\WR6592ygcy> zrrj+HkrpVgddQbuy2344Y5Wo?:i6}cou2,~vvn'Dg~tRc`sh]nq}6788U8=h5|lnv3+uwa&GfyuQbork\ip~789;T8h5|lnv3+uwa&D;=h5|lnv3+uwa&D:8i5|lnv3+uwa&D?h6}cou2,~vvn'G=:=6}cou2,~vvn'jf`Sh`>2:qokq6(rzzb#nbd_dl25==tdf~;#w}i.aooZci:VCEZR>>4:qokq6(rzzb#nbd_dl\b0743zfdx=!usqk,gjsi|5:5=>5|lnv3+uwa&idycz31?30?vjh|9%q}g cnwmp9499:1x`bz?/{qsm*eh}g~7?3?<;rnlp5)}{yc$ob{at=6=56=tdf~;#w}i.alqkr;=7;97~b`t1-ywuo(kfexR>>2:qokq6(rzzb#naznu]257=tdf~;#w}i.alqkrX:880aa{0.xptl)dg|dS>?=;rnlp5)}{yc$ob{at^626>ukg}:$v~~f/bmvjqY2911x`bz?/{qsm*eh}g~Tbbz?0132=>ukg}:$v~~f/bmvjqYig}:;<b:qokq6(rzzb#naznu]mkq6788Um9<=4smmw4*|tx`%ocR}gigv2g>ukg}:$v~~f/em\wmoa|Vkeh=>?003b?vjh|9%q}g ifllv`YtidzgiR=2:qokq6(rzzb#diaosg\wdkwdlUzS`{w012366=tdf~;#w}i.kdjjtbWzkf|akPq^ov|56788897~b`t1-ywuo(andd~hQ|alroaZwXff~;<=?=3:qokq6(rzzb#diaosg\wdkwdlUzSca{0122572<{ee<"t|ph-jckiumVyja}bj_p]mkq67888:j6}cou2,~vvn'dexe<94smmw4*|tx`%fc~gPmtz3457602ygcy> zrrj+wcflVxnkR30?3:?vjh|9%q}g rdcg[wc`Wx6:<3?6;rnlp5)}{yc$~hok_sgd[t:697;27~b`t1-ywuo(zlkoSkh_p>26;7>3zfdx=!usqk,v`gcW{olS|2>3?3:?vjh|9%q}g rdcg[wc`Wx6:83?6;rnlp5)}{yc$~hok_sgd[t:6=7;27~b`t1-ywuo(zlkoSkh_p>22;7>3zfdx=!usqk,v`gcW{olS|2>7?3:?vjh|9%q}g rdcg[wc`Wx6:43?6;rnlp5)}{yc$~hok_sgd[t:617;37~b`t1-ywuo(zlkoSkh_p>2:4?<{ee<"t|ph-qadbXzlmT}10;8wiis8&px|d!}e`f\v`aXy58:2<74smmw4*|tx`%yiljPrde\u9456830aa{0.xptl)umhnT~hiPq=00:4?<{ee<"t|ph-qadbXzlmT}1<;>0;8wiis8&px|d!}e`f\v`aXy58>2<74smmw4*|tx`%yiljPrde\u9416830aa{0.xptl)umhnT~hiPq=04:4?<{ee<"t|ph-qadbXzlmT}1<7>0;8wiis8&px|d!}e`f\v`aXy5822<64smmw4*|tx`%yiljPrde\u949901x`bz?/{qsm*tbimUyijQ~<22=5<=tdf~;#w}i.pfeaYumnUz0>?1199phjr7'sy{e"|jae]qabYv4:4:46}cou2,~vvn'{ojhR|jg^s?0;7?3zfdx=!usqk,v`gcW{olS|2:>0:8wiis8&px|d!}e`f\v`aXy5<5=55|lnv3+uwa&xnmiQ}ef]r828602ygcy> zrrj+wcflVxnkR38?3;?vjh|9%q}g rdcg[wc`Wx622<94smmw4*|tx`%yiljPrde\uZ66?2ygcy> zrrj+wcflVxnkRP10:8wiis8&px|d!}e`f\v`aXyV;;=55|lnv3+uwa&xnmiQ}ef]r[47602ygcy> zrrj+wcflVxnkRP133;?vjh|9%q}g rdcg[wc`WxU:?<64smmw4*|tx`%yiljPrde\uZ73911x`bz?/{qsm*tbimUyijQ~_072<>ukg}:$v~~f/sgb`ZtboV{T=;?7;rnlp5)}{yc$~hok_sgd[tY6?820aa{0.xptl)umhnT~hiPq^3;5==tdf~;#w}i.pfeaYumnUzS<7>7:qokq6(rzzb#knd^pfcZwX:820aa{0.xptl)umhnT~hiPq^035==tdf~;#w}i.pfeaYumnUzS??>8:qokq6(rzzb#knd^pfcZwX:;;37~b`t1-ywuo(zlkoSkh_p]174><{ee<"t|ph-qadbXzlmT}R<;199phjr7'sy{e"|jae]qabYvW;?:46}cou2,~vvn'{ojhR|jg^s\637?3zfdx=!usqk,v`gcW{olS|Q=70:8wiis8&px|d!}e`f\v`aXyV83=55|lnv3+uwa&xnmiQ}ef]r[7?6?2ygcy> zrrj+wcflVxnkRP30:8wiis8&px|d!}e`f\v`aXyV9;=55|lnv3+uwa&xnmiQ}ef]r[676?2ygcy> zrrj+wcflVxnkRP4058wiis8&px|d!}e`f\v`aXyV?:;6}cou2,~vvn'{ojhR|jg^s\241<{ee<"t|ph-qadbXzlmT}R9>7:qokq6(rzzb#knd^pfcZwX08=0aa{0.xptl)umhnT~hiPq^;2`>ukg}:$v~~f/sgb`ZtboV{Tbbz?0132a>ukg}:$v~~f/sgb`ZtboV{Tbbz?013257=tdf~;#w}i.pfeaXy8k0aa{0.xptl)umhnrS|Qbuy2345463zfdx=!usqk,v`gcqV{Taxv?0122[LHQW9;j7~b`t1-ywuo(zlkouRPnnv34576m2ygcy> zrrj+wcflpUzSca{0122[VQ6901x`bz?/{qsm*qua}oT~hiPq=2=5d=tdf~;#w}i.uqmqcXzlmT}1??>0c8wiis8&px|d!xrhvf[wc`Wx6:=3?n;rnlp5)}{yc${g{e^pfcZw;9;4:m6}cou2,~vvn'~xbxhQ}ef]r84599h1x`bz?/{qsm*qua}oT~hiPq=37:4g<{ee<"t|ph-tvlrbW{olS|2>5?3b?vjh|9%q}g wskwaZtboV{7=;0>a:qokq6(rzzb#z|ftd]qabYv48=5=l5|lnv3+uwa&}yeykPrde\u97?68k0aa{0.xptl)pz`~nSkh_p>2=;7>3zfdx=!usqk,swosmVxnkR31?3b?vjh|9%q}g wskwaZtboV{7>=0>a:qokq6(rzzb#z|ftd]qabYv4;;5=l5|lnv3+uwa&}yeykPrde\u94568k0aa{0.xptl)pz`~nSkh_p>17;7f3zfdx=!usqk,swosmVxnkR325<2e>ukg}:$v~~f/vpjp`YumnUz0?;11`9phjr7'sy{e"y}iug\v`aXy58=2 zrrj+rtn|lUyijQ~<3;=5<=tdf~;#w}i.uqmqcXzlmT}1<11`9phjr7'sy{e"y}iug\v`aXy59;23zfdx=!usqk,swosmVxnkR34?3:?vjh|9%q}g wskwaZtboV{793?6;rnlp5)}{yc${g{e^pfcZw;>7;27~b`t1-ywuo({ciR|jg^s?3;7>3zfdx=!usqk,swosmVxnkR38?3:?vjh|9%q}g wskwaZtboV{753?7;rnlp5)}{yc${g{e^pfcZwX8820aa{0.xptl)pz`~nSkh_p]25<=tdf~;#w}i.uqmqcXzlmT}R??189phjr7'sy{e"y}iug\v`aXyV;:=45|lnv3+uwa&}yeykPrde\uZ75901x`bz?/{qsm*qua}oT~hiPq^305<=tdf~;#w}i.uqmqcXzlmT}R?;189phjr7'sy{e"y}iug\v`aXyV;>=45|lnv3+uwa&}yeykPrde\uZ71901x`bz?/{qsm*qua}oT~hiPq^345<=tdf~;#w}i.uqmqcXzlmT}R?7189phjr7'sy{e"y}iug\v`aXyV;2=55|lnv3+uwa&}yeykPrde\uZ4612ygcy> zrrj+rtn|lUyijQ~_322=>ukg}:$v~~f/vpjp`YumnUzS??>9:qokq6(rzzb#z|ftd]qabYvW;8:56}cou2,~vvn'~xbxhQ}ef]r[75612ygcy> zrrj+rtn|lUyijQ~_362=>ukg}:$v~~f/vpjp`YumnUzS?;>9:qokq6(rzzb#z|ftd]qabYvW;<:56}cou2,~vvn'~xbxhQ}ef]r[71612ygcy> zrrj+rtn|lUyijQ~_3:2=>ukg}:$v~~f/vpjp`YumnUzS?7>8:qokq6(rzzb#z|ftd]qabYvW:;27~b`t1-ywuo({ciR|jg^s\757>3zfdx=!usqk,swosmVxnkRP303;?vjh|9%q}g wskwaZtboV{T8<64smmw4*|tx`%|~dzj_sgd[tY2911x`bz?/{qsm*qua}oT~hiPq^42<>ukg}:$v~~f/vpjp`YumnUzS:?7;rnlp5)}{yc${g{e^pfcZwX0820aa{0.xptl)pz`~nSkh_p]:64=tdf~;#w}i.uqmqcXzlmT}Rczx1234969:;1x`bz?/{qsm*qua}oT~hiPq^ov|56785:5=?<4smmw4*|tx`%|~dzj_sgd[tYj}q:;<=2>0?07?vjh|9%q}g wskwaZtboV{Taxv?012?55869;90aa{0.xptl)pz`~nSkh_p]nq}67896:<3<=2:qokq6(rzzb#z|ftd]qabYvWds<=>?<03=66=tdf~;#w}i.uqmqcXzlmT}Rczx123497668897~b`t1-ywuo({ciR|jg^s\ip~789:7=?0=3:qokq6(rzzb#z|ftd]qabYvWds<=>?<00=574<{ee<"t|ph-tvlrbW{olS|Qbuy2345:6;7887~b`t1-ywuo({ciR|jg^s\ip~789:7=>0>239phjr7'sy{e"y}iug\v`aXyVg~t=>?0=37:75<{ee<"t|ph-tvlrbW{olS|Qbuy2345:6<7;9>6}cou2,~vvn'~xbxhQ}ef]r[hs89:;0<;1229phjr7'sy{e"y}iug\v`aXyVg~t=>?0=36:4453zfdx=!usqk,swosmVxnkRPmtz3456;9?49?6}cou2,~vvn'~xbxhQ}ef]r[hs89:;0<811308wiis8&px|d!xrhvf[wc`WxUfyu>?01>23;443zfdx=!usqk,swosmVxnkRPmtz3456;9>4:>?5|lnv3+uwa&}yeykPrde\uZkrp9:;<1?7>318wiis8&px|d!xrhvf[wc`WxUfyu>?01>2<;75:2ygcy> zrrj+rtn|lUyijQ~_lw{45674835>>5|lnv3+uwa&}yeykPrde\uZkrp9:;<1?6>002?vjh|9%q}g wskwaZtboV{Taxv?012?5;453zfdx=!usqk,swosmVxnkRPmtz3456;97;9>6}cou2,~vvn'~xbxhQ}ef]r[hs89:;0?>1229phjr7'sy{e"y}iug\v`aXyVg~t=>?0=03:4453zfdx=!usqk,swosmVxnkRPmtz3456;:849?6}cou2,~vvn'~xbxhQ}ef]r[hs89:;0??11308wiis8&px|d!xrhvf[wc`WxUfyu>?01>16;443zfdx=!usqk,swosmVxnkRPmtz3456;:;4:>?5|lnv3+uwa&}yeykPrde\uZkrp9:;<1<<>318wiis8&px|d!xrhvf[wc`WxUfyu>?01>17;75:2ygcy> zrrj+rtn|lUyijQ~_lw{45674;>5>>5|lnv3+uwa&}yeykPrde\uZkrp9:;<1<;>001?vjh|9%q}g wskwaZtboV{Taxv?012?6085;2ygcy> zrrj+rtn|lUyijQ~_lw{45674;?5=?<4smmw4*|tx`%|~dzj_sgd[tYj}q:;<=2=6?00?vjh|9%q}g wskwaZtboV{Taxv?012?6386:;1x`bz?/{qsm*qua}oT~hiPq^ov|567858<2?=4smmw4*|tx`%|~dzj_sgd[tYj}q:;<=2=7?316>ukg}:$v~~f/vpjp`YumnUzS`{w012387>9::1x`bz?/{qsm*qua}oT~hiPq^ov|56785832<<=;rnlp5)}{yc${g{e^pfcZwXe|r;<=>328<17>ukg}:$v~~f/vpjp`YumnUzS`{w012387?99;;0aa{0.xptl)pz`~nSkh_p]nq}6789692?<4smmw4*|tx`%|~dzj_sgd[tYj}q:;<=2=>001?vjh|9%q}g wskwaZtboV{Taxv?012?7585;2ygcy> zrrj+rtn|lUyijQ~_lw{45674::5=?<4smmw4*|tx`%|~dzj_sgd[tYj}q:;<=2<1?00?vjh|9%q}g wskwaZtboV{Taxv?012?7486:81x`bz?/{qsm*qua}oT~hiPq^ov|5678595>?5|lnv3+uwa&}yeykPrde\uZkrp9:;<1=11338wiis8&px|d!xrhvf[wc`WxUfyu>?01>7:74<{ee<"t|ph-tvlrbW{olS|Qbuy2345:3688:7~b`t1-ywuo({ciR|jg^s\ip~789:793<=;rnlp5)}{yc${g{e^pfcZwXe|r;<=>35?315>ukg}:$v~~f/vpjp`YumnUzS`{w01238385:2ygcy> zrrj+rtn|lUyijQ~_lw{45674?4:><5|lnv3+uwa&}yeykPrde\uZkrp9:;<191239phjr7'sy{e"y}iug\v`aXyVg~t=>?0=5=577<{ee<"t|ph-tvlrbW{olS|Qbuy2345:?6;80aa{0.xptl)pz`~nSkh_p]nq}6789632<<>;rnlp5)}{yc${g{e^pfcZwXe|r;<=>39?01?vjh|9%q}g wskwaZtboV{Taxv?012?=;7b3zfdx=!usqk`hn)6;2ygcy> zrrjgim(keaTe6}cou2,~vvnkea$oaePi^llp56798UBB[Q?1`9phjr7'sy{enbd/bnh[lYhz9:;<?5|lnv3+uwajf`#nbdrhqf[lYhz9:;2:7><{ee<"t|phaoo*vu'DidyczPcnwmp`tXkp6:2R|{249phjr7'sy{enbd/qp,Ifirf}Uhcx`{es]`}949:11x`bz?/{qsmfjl'yx$Anaznu]`kphsm{Uhu1<1_sv11>ukg}:$v~~fcmi,tw)JkfexRm`uovfvZe~4:4946}cou2,~vvnkea$|!BcnwmpZeh}g~n~Rmv<2<\vq423zfdx=!usqk`hn)wz&Ghcx`{_bmvjqcuWjs783<7;rnlp5)}{ych`f!r.O`kphsWje~byk}_b{?0;Yu|;?0aa{0.xptlekc&zy#@m`uov\gjsi|lxTot2:>3:8wiis8&px|dmck.rq+Heh}g~Tob{atdp\g|:26Vx>85|lnv3+uwajf`#}| MbmvjqYdg|diQly=4=6==tdf~;#w}ibnh+ut(Eje~byQlotlwawYdq5<5Sz=5:qokq6(rzzboae ps-Ngjsi|Vidyczjr^az828502ygcy> zrrjgim(x{%Fob{at^alqkrbzVir0:0Pru06?vjh|9%q}gllj-sv*Kdg|dSnaznugq[f;07837~b`t1-ywuoddb%{~"Clotlw[firf}oySnw38?]qp70<{ee<"t|phaoo*vu'DidyczPcnwmp`tXd|~7<3348wiis8&px|dmck.rq+Heh}g~Tob{atdp\|jt;<78=7~b`t1-ywuoddb%{~"Clotlw[firf}oySua}<4<12>ukg}:$v~~fcmi,tw)JkfexRm`uovfvZ~hz5<5>;5|lnv3+uwajf`#}| MbmvjqYdg|diQwos>4:70<{ee<"t|phaoo*vu'DidyczPcnwmp`tXpfx743<9;rnlp5)}{ych`f!r.O`kphsWje~byk}_ymq8<8512ygcy> zrrjgim(x{%Fob{at^alqkrbzVrd~171_sv16>ukg}:$v~~fcmi,tw)Je|rTi{kat^k\6Z`1:;1x`bz?/{qsmfjl'yx$A`{w_dtfjqYnW:Um92:4g<{ee<"t|phaoo*vu'[oxyaz32?3b?vjh|9%q}gllj-sv*Tb{|f0>0>a:qokq6(rzzboae ps-Qavsk|5>5=l5|lnv3+uwajf`#}| Rdqvhq:268k0aa{0.xptlekc&zy#_k|umv?2;7f3zfdx=!usqk`hn)wz&Xnxb{<6<2e>ukg}:$v~~fcmi,tw)Umzgx1611`9phjr7'sy{enbd/qp,V`urd}622<74smmw4*|tx`igg"~}/bi2472Xy8=0aa{0.xptlekc&zy#ne>6^s2<>ukg}:$v~~fcmi,tw)dc;?=S|?9;rnlp5)}{ych`f!r.ah6Zw6>2ygcy> zrrjgim(x{%hg9Q~169phjr7'sy{enbd/qp,gn03Wx;=7~b`t1-ywuoddb%{~"md8^s2f>ukg}:$v~~fcmi,tw)dg|di2?>0`8wiis8&px|dmck.rq+firf}oy0<0>b:qokq6(rzzboae ps-`kphsm{692 zrrjgim(x{%hcx`{es>5:4d<{ee<"t|phaoo*vu'je~byk}<6<2f>ukg}:$v~~fcmi,tw)dg|di27>0`8wiis8&px|dmck.rq+firf}oy040>a:qokq6(rzzboae ps-`kphsm{U;=l5|lnv3+uwajf`#}| cnwmp`tX98k0aa{0.xptlekc&zy#naznugq[77f3zfdx=!usqk`hn)wz&idyczjr^12e>ukg}:$v~~fcmi,tw)dg|diQ;1`9phjr7'sy{enbd/qp,gjsi|lxT9 zrrjgim(x{%hcx`{es];5d=tdf~;#w}ibnh+ut(kfexh|P9328wiis8&px|dmck.rq+`pbf}UbSl`k012364=tdf~;#w}ibnh+ut(moexRgPaof34566:91x`bz?/{qsmfjl'yx$i{kat^k\ekb78989=6}cou2,~vvnkea$|!jvdlw[lYffm:;?0502?vjh|9%q}gllj-sv*cqmg~TeRoad123047d3zfdx=!usqk`hn)wzlyhmakPewgmp4d<{ee<"t|phaoo*vumzij`hQ~<1<2f>ukg}:$v~~fcmi,twctkhfnS|2>>0`8wiis8&px|dmck.rqavefdlUz0?0>a:qokq6(rzzboae psgpgdjbWxU;=l5|lnv3+uwajf`#}|jsbcoaZwX98k0aa{0.xptlekc&zyi~mnld]r[7473zfdx=!usqk`hn)wzlyhmakPq^llp5679;;0aa{0.xptlekc&zyi~mnld]r[kis89::=95|lnv3+uwajf`#}|jsbcoaZtb{l~Taxv?0131f>ukg}:$v~~fcmi,twctkhfnSk|eu]nq}6788;TECXP0058wiis8&px|dmck.pvjkoioV{:i6}cou2,~vvnkea$~x`aioe\uZiu89:;=k5|lnv3+uwajf`#{anhld[tYhz9:;<ukg}:$v~~fcmi,wuoddbUecy>?0035?vjh|8%27~b`t0-M54g<{ee="mom31?vjh|8%h|bbPnnv34576;2ygcy? cqmo[kis89::=<>4smmw5*uwaViggRka149phjr6'zzbSnbd_qpfw9699<1x`bz>/rrj[fjlWyxn1?1149phjr6'zzbSnbd_qpfw9499=1x`bz>/rrj[fjlWyxnR>>4:qokq7({ycToaePpsgp[4733zfdxn5|lnv2+vvnWld:86}cou3,wuoXmgUfyu>?0636?vjh|8%x|dQjn^ov|567?8;87~b`t0-ptlYbfVey<=>?149phjr6'zzbSh`Pos2345Y59>1x`bz>/rrj[`hXg{:;<=Q\W031?vjh|8%x|dQjn``oaZw6:2ygcy? sqk\wdkwz`yn=o5|lnv2+vvnW}xjb~hjrrkyaZw;87;i7~b`t0-ptlYszhdxjh||i{g\u9799h1x`bz>/rrj[qtffzln~~gue^s\44g<{ee="}i^vqekuam{ybvhQ~_003?vjh|8%x|dQ{r`lpb`ttasoT}R``t123574<{ee="}i^vqekuam{ybvhQ~_omw4566:88?7~b`t0-ptlYszhdxjh||i{g\uZhh|9:;=R]X1018wiis9&y{eRzwbmm`oZw6j2ygcy? sqk\p}dkgjaT}R``t12354e<{ee="}i^v{fiidcV{Tbbz?0132f>ukg};$xoas008wiis9&~ymc}Pos2345743zfdx?1018wiis9&~ymc}Pos23447b3zfdx?0132=>ukg};${oQkau]l[4Yj}q:;<=?>9:qokq7(kUomyQ`_3]nq}6789;:56}cou3,sgYci}UdS>Qbuy23457612ygcy? wc]geqYhW=Ufyu>?0132=>ukg};${oQkau]l[0Yj}q:;<=?>9:qokq7(kUomyQ`_7]nq}6789;:56}cou3,sgYci}UdS:Qbuy23457612ygcy? wc]geqYhW1Ufyu>?0132=>ukg};${oQkau]l[4smmw5*|tx`%Fob{at^alqkrXpfx7<3?>219phjr6'sy{e"Clotlw[firf}Usc2>>0314>ukg};$v~~f/LalqkrXkfexRv`r=0=547a3zfdx/{qsm*Kdg|dSnaznu]{kw:468;9:6}cou3,~vvn'DidyczPcnwmpZ~hz595=0:445:2ygcy? zrrj+Heh}g~Tob{at^zlv9599Vl>=k5|lnv2+uwa&Ghcx`{_bmvjqYg{6?2<1338wiis9&px|d!BcnwmpZeh}g~Ttb|34?32576<{ee="t|ph-Ngjsi|VidyczPxnp?0;75:;1x`bz>/{qsm*Kdg|dSnaznu]{kw:368Um9?>4smmw5*|tx`%Fob{at^alqkrXpfx793?=209phjr6'sy{e"Clotlw[firf}Usc2:>00166=tdf~:#w}i.O`kphsWje~byQwos>6:445WO887~b`t0-ywuo(Eje~byQlotlw[}iu4<4:>?QH259phjr6'sy{e"Clotlw[firf}Usc2:>00\WR6592ygcy? zrrj+HkrpVgddQbuy2344Y5Wo?:i6}cou3,~vvn'Dg~tRc`sh]nq}6788U8=h5|lnv2+uwa&GfyuQbork\ip~789;T8h5|lnv2+uwa&D;=h5|lnv2+uwa&D:8i5|lnv2+uwa&D?h6}cou3,~vvn'G=:=6}cou3,~vvn'jf`Sh`>2:qokq7(rzzb#nbd_dl25==tdf~:#w}i.aooZci:VCEZR>>4:qokq7(rzzb#nbd_dl\b0743zfdx5|lnv2+uwa&idycz31?30?vjh|8%q}g cnwmp9499:1x`bz>/{qsm*eh}g~7?3?<;rnlp4)}{yc$ob{at=6=56=tdf~:#w}i.alqkr;=7;97~b`t0-ywuo(kfexR>>2:qokq7(rzzb#naznu]257=tdf~:#w}i.alqkrX:880aa{1.xptl)dg|dS>?=;rnlp4)}{yc$ob{at^626>ukg};$v~~f/bmvjqY2911x`bz>/{qsm*eh}g~Tbbz?0132=>ukg};$v~~f/bmvjqYig}:;<b:qokq7(rzzb#naznu]mkq6788Um9<=4smmw5*|tx`%ocR}gigv2g>ukg};$v~~f/em\wmoa|Vkeh=>?003b?vjh|8%q}g ifllv`YtidzgiR=2:qokq7(rzzb#diaosg\wdkwdlUzS`{w012366=tdf~:#w}i.kdjjtbWzkf|akPq^ov|56788897~b`t0-ywuo(andd~hQ|alroaZwXff~;<=?=3:qokq7(rzzb#diaosg\wdkwdlUzSca{0122572<{ee="t|ph-jckiumVyja}bj_p]mkq67888:j6}cou3,~vvn'dexe<94smmw5*|tx`%fc~gPmtz3457602ygcy? zrrj+wcflVxnkR30?3:?vjh|8%q}g rdcg[wc`Wx6:<3?6;rnlp4)}{yc$~hok_sgd[t:697;27~b`t0-ywuo(zlkoSkh_p>26;7>3zfdx3?3:?vjh|8%q}g rdcg[wc`Wx6:83?6;rnlp4)}{yc$~hok_sgd[t:6=7;27~b`t0-ywuo(zlkoSkh_p>22;7>3zfdx7?3:?vjh|8%q}g rdcg[wc`Wx6:43?6;rnlp4)}{yc$~hok_sgd[t:617;37~b`t0-ywuo(zlkoSkh_p>2:4?<{ee="t|ph-qadbXzlmT}10;8wiis9&px|d!}e`f\v`aXy58:2<74smmw5*|tx`%yiljPrde\u9456830aa{1.xptl)umhnT~hiPq=00:4?<{ee="t|ph-qadbXzlmT}1<;>0;8wiis9&px|d!}e`f\v`aXy58>2<74smmw5*|tx`%yiljPrde\u9416830aa{1.xptl)umhnT~hiPq=04:4?<{ee="t|ph-qadbXzlmT}1<7>0;8wiis9&px|d!}e`f\v`aXy5822<64smmw5*|tx`%yiljPrde\u949901x`bz>/{qsm*tbimUyijQ~<22=5<=tdf~:#w}i.pfeaYumnUz0>?1199phjr6'sy{e"|jae]qabYv4:4:46}cou3,~vvn'{ojhR|jg^s?0;7?3zfdx0:8wiis9&px|d!}e`f\v`aXy5<5=55|lnv2+uwa&xnmiQ}ef]r828602ygcy? zrrj+wcflVxnkR38?3;?vjh|8%q}g rdcg[wc`Wx622<94smmw5*|tx`%yiljPrde\uZ66?2ygcy? zrrj+wcflVxnkRP10:8wiis9&px|d!}e`f\v`aXyV;;=55|lnv2+uwa&xnmiQ}ef]r[47602ygcy? zrrj+wcflVxnkRP133;?vjh|8%q}g rdcg[wc`WxU:?<64smmw5*|tx`%yiljPrde\uZ73911x`bz>/{qsm*tbimUyijQ~_072<>ukg};$v~~f/sgb`ZtboV{T=;?7;rnlp4)}{yc$~hok_sgd[tY6?820aa{1.xptl)umhnT~hiPq^3;5==tdf~:#w}i.pfeaYumnUzS<7>7:qokq7(rzzb#knd^pfcZwX:820aa{1.xptl)umhnT~hiPq^035==tdf~:#w}i.pfeaYumnUzS??>8:qokq7(rzzb#knd^pfcZwX:;;37~b`t0-ywuo(zlkoSkh_p]174><{ee="t|ph-qadbXzlmT}R<;199phjr6'sy{e"|jae]qabYvW;?:46}cou3,~vvn'{ojhR|jg^s\637?3zfdx7:qokq7(rzzb#knd^pfcZwX08=0aa{1.xptl)umhnT~hiPq^;2`>ukg};$v~~f/sgb`ZtboV{Tbbz?0132a>ukg};$v~~f/sgb`ZtboV{Tbbz?013257=tdf~:#w}i.pfeaXy8k0aa{1.xptl)umhnrS|Qbuy2345463zfdx/{qsm*qua}oT~hiPq=2=5d=tdf~:#w}i.uqmqcXzlmT}1??>0c8wiis9&px|d!xrhvf[wc`Wx6:=3?n;rnlp4)}{yc${g{e^pfcZw;9;4:m6}cou3,~vvn'~xbxhQ}ef]r84599h1x`bz>/{qsm*qua}oT~hiPq=37:4g<{ee="t|ph-tvlrbW{olS|2>5?3b?vjh|8%q}g wskwaZtboV{7=;0>a:qokq7(rzzb#z|ftd]qabYv48=5=l5|lnv2+uwa&}yeykPrde\u97?68k0aa{1.xptl)pz`~nSkh_p>2=;7>3zfdx=0>a:qokq7(rzzb#z|ftd]qabYv4;;5=l5|lnv2+uwa&}yeykPrde\u94568k0aa{1.xptl)pz`~nSkh_p>17;7f3zfdxukg};$v~~f/vpjp`YumnUz0?;11`9phjr6'sy{e"y}iug\v`aXy58=23zfdx7;27~b`t0-ywuo({ciR|jg^s?3;7>3zfdx/{qsm*qua}oT~hiPq^305<=tdf~:#w}i.uqmqcXzlmT}R?;189phjr6'sy{e"y}iug\v`aXyV;>=45|lnv2+uwa&}yeykPrde\uZ71901x`bz>/{qsm*qua}oT~hiPq^345<=tdf~:#w}i.uqmqcXzlmT}R?7189phjr6'sy{e"y}iug\v`aXyV;2=55|lnv2+uwa&}yeykPrde\uZ4612ygcy? zrrj+rtn|lUyijQ~_322=>ukg};$v~~f/vpjp`YumnUzS??>9:qokq7(rzzb#z|ftd]qabYvW;8:56}cou3,~vvn'~xbxhQ}ef]r[75612ygcy? zrrj+rtn|lUyijQ~_362=>ukg};$v~~f/vpjp`YumnUzS?;>9:qokq7(rzzb#z|ftd]qabYvW;<:56}cou3,~vvn'~xbxhQ}ef]r[71612ygcy? zrrj+rtn|lUyijQ~_3:2=>ukg};$v~~f/vpjp`YumnUzS?7>8:qokq7(rzzb#z|ftd]qabYvW:;27~b`t0-ywuo({ciR|jg^s\757>3zfdx/{qsm*qua}oT~hiPq^42<>ukg};$v~~f/vpjp`YumnUzS:?7;rnlp4)}{yc${g{e^pfcZwX0820aa{1.xptl)pz`~nSkh_p]:64=tdf~:#w}i.uqmqcXzlmT}Rczx1234969:;1x`bz>/{qsm*qua}oT~hiPq^ov|56785:5=?<4smmw5*|tx`%|~dzj_sgd[tYj}q:;<=2>0?07?vjh|8%q}g wskwaZtboV{Taxv?012?55869;90aa{1.xptl)pz`~nSkh_p]nq}67896:<3<=2:qokq7(rzzb#z|ftd]qabYvWds<=>?<03=66=tdf~:#w}i.uqmqcXzlmT}Rczx123497668897~b`t0-ywuo({ciR|jg^s\ip~789:7=?0=3:qokq7(rzzb#z|ftd]qabYvWds<=>?<00=574<{ee="t|ph-tvlrbW{olS|Qbuy2345:6;7887~b`t0-ywuo({ciR|jg^s\ip~789:7=>0>239phjr6'sy{e"y}iug\v`aXyVg~t=>?0=37:75<{ee="t|ph-tvlrbW{olS|Qbuy2345:6<7;9>6}cou3,~vvn'~xbxhQ}ef]r[hs89:;0<;1229phjr6'sy{e"y}iug\v`aXyVg~t=>?0=36:4453zfdx?01>23;443zfdx4:>?5|lnv2+uwa&}yeykPrde\uZkrp9:;<1?7>318wiis9&px|d!xrhvf[wc`WxUfyu>?01>2<;75:2ygcy? zrrj+rtn|lUyijQ~_lw{45674835>>5|lnv2+uwa&}yeykPrde\uZkrp9:;<1?6>002?vjh|8%q}g wskwaZtboV{Taxv?012?5;453zfdx6}cou3,~vvn'~xbxhQ}ef]r[hs89:;0?>1229phjr6'sy{e"y}iug\v`aXyVg~t=>?0=03:4453zfdx?01>16;443zfdx?5|lnv2+uwa&}yeykPrde\uZkrp9:;<1<<>318wiis9&px|d!xrhvf[wc`WxUfyu>?01>17;75:2ygcy? zrrj+rtn|lUyijQ~_lw{45674;>5>>5|lnv2+uwa&}yeykPrde\uZkrp9:;<1<;>001?vjh|8%q}g wskwaZtboV{Taxv?012?6085;2ygcy? zrrj+rtn|lUyijQ~_lw{45674;?5=?<4smmw5*|tx`%|~dzj_sgd[tYj}q:;<=2=6?00?vjh|8%q}g wskwaZtboV{Taxv?012?6386:;1x`bz>/{qsm*qua}oT~hiPq^ov|567858<2?=4smmw5*|tx`%|~dzj_sgd[tYj}q:;<=2=7?316>ukg};$v~~f/vpjp`YumnUzS`{w012387>9::1x`bz>/{qsm*qua}oT~hiPq^ov|56785832<<=;rnlp4)}{yc${g{e^pfcZwXe|r;<=>328<17>ukg};$v~~f/vpjp`YumnUzS`{w012387?99;;0aa{1.xptl)pz`~nSkh_p]nq}6789692?<4smmw5*|tx`%|~dzj_sgd[tYj}q:;<=2=>001?vjh|8%q}g wskwaZtboV{Taxv?012?7585;2ygcy? zrrj+rtn|lUyijQ~_lw{45674::5=?<4smmw5*|tx`%|~dzj_sgd[tYj}q:;<=2<1?00?vjh|8%q}g wskwaZtboV{Taxv?012?7486:81x`bz>/{qsm*qua}oT~hiPq^ov|5678595>?5|lnv2+uwa&}yeykPrde\uZkrp9:;<1=11338wiis9&px|d!xrhvf[wc`WxUfyu>?01>7:74<{ee="t|ph-tvlrbW{olS|Qbuy2345:3688:7~b`t0-ywuo({ciR|jg^s\ip~789:793<=;rnlp4)}{yc${g{e^pfcZwXe|r;<=>35?315>ukg};$v~~f/vpjp`YumnUzS`{w01238385:2ygcy? zrrj+rtn|lUyijQ~_lw{45674?4:><5|lnv2+uwa&}yeykPrde\uZkrp9:;<191239phjr6'sy{e"y}iug\v`aXyVg~t=>?0=5=577<{ee="t|ph-tvlrbW{olS|Qbuy2345:?6;80aa{1.xptl)pz`~nSkh_p]nq}6789632<<>;rnlp4)}{yc${g{e^pfcZwXe|r;<=>39?01?vjh|8%q}g wskwaZtboV{Taxv?012?=;7b3zfdx6}cou3,~vvnkea$oaePi^llp56798UBB[Q?1`9phjr6'sy{enbd/bnh[lYhz9:;</{qsmfjl'jf`~d}j_h]lv5678;:0aa{1.xptlekc&iggg|e^k\kw6789U9>?5|lnv2+uwajf`#nbdrhqf[lYhz9:;2:7><{ee="t|phaoo*vu'DidyczPcnwmp`tXkp6:2R|{249phjr6'sy{enbd/qp,Ifirf}Uhcx`{es]`}949:11x`bz>/{qsmfjl'yx$Anaznu]`kphsm{Uhu1<1_sv11>ukg};$v~~fcmi,tw)JkfexRm`uovfvZe~4:4946}cou3,~vvnkea$|!BcnwmpZeh}g~n~Rmv<2<\vq423zfdx3:8wiis9&px|dmck.rq+Heh}g~Tob{atdp\g|:26Vx>85|lnv2+uwajf`#}| MbmvjqYdg|diQly=4=6==tdf~:#w}ibnh+ut(Eje~byQlotlwawYdq5<5Sz=5:qokq7(rzzboae ps-Ngjsi|Vidyczjr^az828502ygcy? zrrjgim(x{%Fob{at^alqkrbzVir0:0Pru06?vjh|8%q}gllj-sv*Kdg|dSnaznugq[f;07837~b`t0-ywuoddb%{~"Clotlw[firf}oySnw38?]qp70<{ee="t|phaoo*vu'DidyczPcnwmp`tXd|~7<3/{qsmfjl'yx$Anaznu]`kphsm{Usc2<>348wiis9&px|dmck.rq+Heh}g~Tob{atdp\|jt;<78=7~b`t0-ywuoddb%{~"Clotlw[firf}oySua}<4<12>ukg};$v~~fcmi,tw)JkfexRm`uovfvZ~hz5<5>;5|lnv2+uwajf`#}| MbmvjqYdg|diQwos>4:70<{ee="t|phaoo*vu'DidyczPcnwmp`tXpfx743<9;rnlp4)}{ych`f!r.O`kphsWje~byk}_ymq8<8512ygcy? zrrjgim(x{%Fob{at^alqkrbzVrd~171_sv16>ukg};$v~~fcmi,tw)Je|rTi{kat^k\6Z`1:;1x`bz>/{qsmfjl'yx$A`{w_dtfjqYnW:Um9/{qsmfjl'yx$^h}zlu>2:4g<{ee="t|phaoo*vu'[oxyaz32?3b?vjh|8%q}gllj-sv*Tb{|f0>0>a:qokq7(rzzboae ps-Qavsk|5>5=l5|lnv2+uwajf`#}| Rdqvhq:268k0aa{1.xptlekc&zy#_k|umv?2;7f3zfdxukg};$v~~fcmi,tw)Umzgx1611`9phjr6'sy{enbd/qp,V`urd}622<74smmw5*|tx`igg"~}/bi2472Xy8=0aa{1.xptlekc&zy#ne>6^s2<>ukg};$v~~fcmi,tw)dc;?=S|?9;rnlp4)}{ych`f!r.ah6Zw6>2ygcy? zrrjgim(x{%hg9Q~169phjr6'sy{enbd/qp,gn03Wx;=7~b`t0-ywuoddb%{~"md8^s2f>ukg};$v~~fcmi,tw)dg|di2?>0`8wiis9&px|dmck.rq+firf}oy0<0>b:qokq7(rzzboae ps-`kphsm{6925:4d<{ee="t|phaoo*vu'je~byk}<6<2f>ukg};$v~~fcmi,tw)dg|di27>0`8wiis9&px|dmck.rq+firf}oy040>a:qokq7(rzzboae ps-`kphsm{U;=l5|lnv2+uwajf`#}| cnwmp`tX98k0aa{1.xptlekc&zy#naznugq[77f3zfdxukg};$v~~fcmi,tw)dg|diQ;1`9phjr6'sy{enbd/qp,gjsi|lxT9/{qsmfjl'yx$i{kat^k\ekb78989=6}cou3,~vvnkea$|!jvdlw[lYffm:;?0502?vjh|8%q}gllj-sv*cqmg~TeRoad123047d3zfdxukg};$v~~fcmi,twctkhfnS|2>>0`8wiis9&px|dmck.rqavefdlUz0?0>a:qokq7(rzzboae psgpgdjbWxU;=l5|lnv2+uwajf`#}|jsbcoaZwX98k0aa{1.xptlekc&zyi~mnld]r[7473zfdx95|lnv2+uwajf`#}|jsbcoaZtb{l~Taxv?0131f>ukg};$v~~fcmi,twctkhfnSk|eu]nq}6788;TECXP0058wiis9&px|dmck.pvjkoioV{:i6}cou3,~vvnkea$~x`aioe\uZiu89:;=k5|lnv2+uwajf`#{anhld[tYhz9:;<ukg};$v~~fcmi,wuoddbUecy>?0034?vjh|8;$m6}cou32+K4512ygcy?>/O1:?vjh|8;$B974smmw54)I=01x`bz>1.L555=tdf~:="\jstnw858682ygcy?>/Sgpqir;97;;7~b`t03,V`urd}692<>4smmw54)Umzgx1=1169phjr69&njxlQ}e`fz[aj~Wx;=7~b`t03,`lpnllxT~uQ~<1<23>ukg};:#igyiegq[w~Xy5;;2<94smmw54)cacoiQ}x^s?5486?2ygcy?>/ekumacuW{rT}1?=>058wiis98%oe{gkes]q|Zw;9:4:;6}cou32+aoqamoySvPq=37:41<{ee=6:qokq76'mc}eik}_sz\u9799?1x`bz>1.fjrlbbzVxsS|2=>048wiis98%oe{gkes]q|Zw;;7;=7~b`t03,`lpnllxT~uQ~<5<22>ukg};:#igyiegq[w~Xy5?5=;5|lnv25*bn~`nn~R|w_p>5:40<{ee=2ygcy?>/ekumacuW{rT}171149phjr69&nbzdjjr^p{[tY79<1x`bz>1.fjrlbbzVxsS|Q>179phjr69&nbzdjjr^p{[tY688<0aa{10-gmsocm{UytRP1035?vjh|8;$hdxfddp\v}YvW88::6}cou32+aoqamoySvPq^3053=tdf~:="jfvhffvZtWxU:8<84smmw54)cacoiQ}x^s\50723zfdx?003e?vjh|8;$hkndx]r53=tdf~:="j}e`fz[tYhz9:;<<94smmw54)czlkouRPos234576=2ygcy?>/gkekZoi{}keoh!<1:qokq76'ocmcRgasucmg`)JkfexR|kaefq[}iu494:=RGAV^21f>ukg};:#kgio^kmwqgikl%Fob{at^pgeabuWqey0<0>13`8wiis98%mekaPioqwekeb'DidyczPrecg`wYg{692?4smmw54)aaoeTec}{aoaf+Heh}g~T{okds]{kw:768;TECXP03`8wiis98%mekaPioqwekeb'DidyczPwscg`wYg{6:2ukg};:#kgio^kmwqgikl%F~lcPmdolv|5592ygcy?>/gkekZoi{}keoh!Br`o\i`khzp>9=6}cou32+coagVceyoacd-NvdkXelgd~t;=1:qokq76'ocmcRgasucmg`)JzhgTahc`rx415>ukg};:#kgio^kmwqgikl%F~lcPmdolv|1592ygcy?>/gkekZoi{}keoh!Br`o\i`khzp2:46}cou32+coagVceyoacd-M44703zfdx0d8wiis98%mekaPioqwekeb'[oxyaz30?32a>ukg};:#kgio^kmwqgikl%Yi~{ct=3=5c=tdf~:="hffn]jjvrffjo$^h}zlu>2:47b3zfdx/gkekZoi{}keoh!]erwop94998o0aa{10-emciXagymcmj/Sgpqir;;7;m7~b`t03,bl`hW`dxxl`le.Pfwpjs4:4:=i5|lnv25*`nnfUbb~znnbg,[dhc89:;=h5|lnv25*`nnfUbb~znnbg,[dhc89:;=f:qokq76'ocmcRgasucmg`)Xign;<=>P0378wiis98%mekaPioqwekeb'V|j~d`key2345:76;?0aa{10-emciXagymcmj/^tbvlhcmq:;<=2>>378wiis98%mekaPioqwekeb'V|j~d`key2345:56;?0aa{10-emciXagymcmj/^tbvlhcmq:;<=2<>378wiis98%mekaPioqwekeb'V|j~d`key2345:36;?0aa{10-emciXagymcmj/^tbvlhcmq:;<=2:>378wiis98%mekaPioqwekeb'V|j~d`key2345:16;?0aa{10-emciXagymcmj/^tbvlhcmq:;<=28>0c8wiis98%mekaPioqwekeb'lg{xt<8;rnlp47(n`ldSd`|t`l`a*cjx}sTzRmbp^gr4567:h1x`bz>1.djbjYnfz~jbnk elrw}ZpXkdzTi|>?016257?<{ee=;23c8wiis98%mekaPioqwekeb'og`RxPclr\at6789>:<9:>o5|lnv25*`nnfUbb~znnbg,bpjkWUha}Qjq1234177<;8o7~b`t03,bl`hW`dxxl`le.dvhiYqWjg{Sh?0127552Xn<827~b`t03,bl`hW`dxxl`le.dvhiYqWjg{Sh?0127644>3zfdx;690;?vjh|8;$jdh`_hlppdhdm&l~`aQy_bos[`w789:?5e:qokq76'ocmcRgasucmg`)ulhno~1<11d9phjr69&lbjbQfnrvbjfc(zmkoh2<>0f8wiis98%mekaPioqwekeb'{njhi|P00f8wiis98%mekaPioqwekeb'{njhi|P10f8wiis98%mekaPioqwekeb'{njhi|P20f8wiis98%mekaPioqwekeb'{njhi|P3318wiis98%mekaPioqwekeb'{njhi|Paof34565<2ygcy?>/gkekZoi{}keoh!}d`fgvZgil9:;<1.djbjYnfz~jbnk rdcg8386k2ygcy?>/gkekZoi{}keoh!}e`f?3;7e3zfdx?m;rnlp47(n`ldSd`|t`l`a*tbimU?=o5|lnv25*`nnfUbb~znnbg,v`gcW<;i7~b`t03,bl`hW`dxxl`le.pfeaY19k1x`bz>1.djbjYnfz~jbnk rdcg[27b3zfdx/gkekZoi{}keoh!xr`fgv9799l1x`bz>1.djbjYnfz~jbnk wscg`w:568o0aa{10-emciXagymcmj/vpb`at;;7;o7~b`t03,bl`hW`dxxl`le.uqeabuW9;o7~b`t03,bl`hW`dxxl`le.uqeabuW8;o7~b`t03,bl`hW`dxxl`le.uqeabuW;;o7~b`t03,bl`hW`dxxl`le.uqeabuW:;<7~b`t03,bl`hW{nTmcj?01225<=tdf~:="hffn]q`Zgil9:;</gkekZtcWhdo<=>?1^QT4*Ia3zfdx1x`bz>1.p{[lht|&hjyiijn.13?vjh|8;$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pcx>3:65<{ee=?01]`}969W{~8=6}cou32+w~Xagy#oozdfgm+HurjViexRokdrwa4567Wjs7==0<1:qokq76'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Snw310<05>ukg};:#vPioqw+ggrlnoe#@}zb^ampZgclzi<=>?_b{?578492ygcy?>/sz\mkus'kk~hjka/LqvfZei|Vkoh~{m0123[f;9:48=6}cou32+w~Xagy#oozdfgm+HurjViexRokdrwa4567Wjs7=90<0:qokq76'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Snw31?13?vjh|8;$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pcx>1:66<{ee=?01]`}959;91x`bz>1.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Ze~4=48<6}cou32+w~Xagy#oozdfgm+HurjViexRokdrwa4567Wjs793=?;rnlp47(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:Tot29>228wiis98%ytRgasu-aepb`mg%FxlPcov\eabt}k:;<=Qly=5=75=tdf~:="|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^az8=8482ygcy?>/sz\mkus'kk~hjka/LqvfZei|Vkoh~{m0123[f;17997~b`t03,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7==0<8:qokq76'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Sa{{<02=[LHQW9997~b`t03,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=<0<8:qokq76'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Sa{{<03=[LHQW9997~b`t03,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=?0<8:qokq76'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Sa{{<00=[LHQW9997~b`t03,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=>0<8:qokq76'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Sa{{<01=[LHQW9997~b`t03,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=90<8:qokq76'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Sa{{<06=[LHQW9997~b`t03,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=80<8:qokq76'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Sa{{<07=[LHQW99:7~b`t03,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=3=8;rnlp47(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:T`xz31?]JJSY7;81x`bz>1.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Zjr|585?:5|lnv25*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vf~x1<1_HLU[5563zfdx?0^nvp929;>1x`bz>1.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Zjr|5>5SD@Y_112?vjh|8;$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pltv?1;503zfdx?0^nvp909W@D]S==>;rnlp47(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:T`xz37?14?vjh|8;$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pltv?3;YNF_U;?<5|lnv25*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vf~x161369phjr69&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;1.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Z~hz5:5??5|lnv25*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vrd~1??>208wiis98%ytRgasu-aepb`mg%FxlPcov\eabt}k:;<=Qwos>25;553zfdx6}cou32+w~Xagy#oozdfgm+HurjViexRokdrwa4567Wqey0<=1339phjr69&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;?01]{kw:6=79:7~b`t03,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xpfx7=3=>;rnlp47(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:Ttb|32?12?vjh|8;$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pxnp?7;563zfdx;rnlp47(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:Ttb|36?12?vjh|8;$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pxnp?3;563zfdxe:qokq76'{rTec}{/ccv`bci'jd0<<11d9phjr69&xsSd`|t.`bqaabf&iex1?<>0g8wiis98%ytRgasu-aepb`mg%hby2>4?3f?vjh|8;$~uQfnrv,fdscold$ocz314<2`>ukg};:#vPioqw+ggrlnoe#n`{<0<2`>ukg};:#vPioqw+ggrlnoe#n`{<3<2`>ukg};:#vPioqw+ggrlnoe#n`{<2<2`>ukg};:#vPioqw+ggrlnoe#n`{<5<2`>ukg};:#vPioqw+ggrlnoe#n`{<4<2`>ukg};:#vPioqw+ggrlnoe#n`{<7<2`>ukg};:#vPioqw+ggrlnoe#n`{<6<2`>ukg};:#vPioqw+ggrlnoe#n`{<9<2`>ukg};:#vPioqw+ggrlnoe#n`{<8<2g>ukg};:#vPioqw+ggrlnoe#n`{_13`?vjh|8;$~uQfnrv,fdscold$oczP10f8wiis98%ytRgasu-aepb`mg%hbyQ>00f8wiis98%ytRgasu-aepb`mg%hbyQ>10f8wiis98%ytRgasu-aepb`mg%hbyQ>20f8wiis98%ytRgasu-aepb`mg%hbyQ>30f8wiis98%ytRgasu-aepb`mg%hbyQ>40f8wiis98%ytRgasu-aepb`mg%hbyQ>50a8wiis98%ytRgasu-aepb`mg%hbyQ=1b9phjr69&xsSd`|t.`bqaabf&iexR=>c:qokq76'{rTec}{/ccv`bci'jdS9?l;rnlp47(zqUbb~z b`wgc`h(kg~T9?0=2=6<=tdf~:="|w_hlpp*df}mmnb"mat^cg`vse89:;0<>1289phjr69&xsSd`|t.`bqaabf&iexRokdrwa456748;5>45|lnv25*tW`dxx"lnueefj*ei|Vkoh~{m01238449:01x`bz>1.p{[lht|&hjyiijn.ampZgclzi<=>?<01=6<=tdf~:="|w_hlpp*df}mmnb"mat^cg`vse89:;0<:1289phjr69&xsSd`|t.`bqaabf&iexRokdrwa456748?5>55|lnv25*tW`dxx"lnueefj*ei|Vkoh~{m0123848502ygcy?>/sz\mkus'kk~hjka/blw[dbc{|h;<=>32?0;?vjh|8;$~uQfnrv,fdscold$oczPaefpqg6789682?64smmw54)upVcey!matfdak)df}Ujhi}zb1234929:11x`bz>1.p{[lht|&hjyiijn.ampZgclzi<=>?<4<1<>ukg};:#vPioqw+ggrlnoe#n`{_`fgwpd789:7:3<7;rnlp47(zqUbb~z b`wgc`h(kg~Tmij|uc2345:06;20aa{10-q|Zoi{}%imxjheo-`jqYflmy~n=>?0=:=6==tdf~:="|w_hlpp*df}mmnb"mat^cg`vse89:;040=5:qokq76'{rTec}{/ccv`bci'jdSnc_ds34565?2ygcy?>/sz\mkus'kk~hjka/blw[fkwWl{;<=>>2358wiis98%ytRgasu-aepb`mg%hbyQlmq]fu5678;?9;6}cou32+w~Xagy#oozdfgm+fhsWjg{Sh?0127=71<{ee=;?0aa{10-q|Zoi{}%imxjheo-`jqYj}q:;<<2?>348wiis98%ytRgasu-aepb`mg%hbyQbuy2344:7688=7~b`t03,v}Ynfz~$nl{kgdl,gkrXe|r;<=?311<13>ukg};:#vPioqw+ggrlnoe#n`{_lw{456648:5=?84smmw54)upVcey!matfdak)df}Ufyu>?00>25;403zfdx279phjr69&xsSd`|t.`bqaabf&iexRczx12359756;=0aa{10-q|Zoi{}%imxjheo-`jqYj}q:;<<2>2?312>ukg};:#vPioqw+ggrlnoe#n`{_lw{45664895>:5|lnv25*tW`dxx"lnueefj*ei|Vg~t=>?1=30:4413zfdx5?04?vjh|8;$~uQfnrv,fdscold$oczPmtz3457;9<4:>85|lnv25*tW`dxx"lnueefj*ei|Vg~t=>?1=3=63=tdf~:="|w_hlpp*df}mmnb"mat^ov|56795;5=?;4smmw54)upVcey!matfdak)df}Ufyu>?00>1:70<{ee=2ygcy?>/sz\mkus'kk~hjka/blw[hs89::090>249phjr69&xsSd`|t.`bqaabf&iexRczx1235939:?1x`bz>1.p{[lht|&hjyiijn.ampZkrp9:;=1;11378wiis98%ytRgasu-aepb`mg%hbyQbuy2344:16;<0aa{10-q|Zoi{}%imxjheo-`jqYj}q:;<<29>006?vjh|8;$~uQfnrv,fdscold$oczPmtz3457;?78=7~b`t03,v}Ynfz~$nl{kgdl,gkrXe|r;<=?37?311>ukg};:#vPioqw+ggrlnoe#n`{_lw{45664149:6}cou32+w~Xagy#oozdfgm+fhsWds<=>><9<260=tdf~:="|w_hlpp*df}mmnb"mat^ov|5679535>;5|lnv25*tW`dxx"lnueefj*ei|Vg~t=>?1=;=574<{ee=199phjr69&xsSd`|t.`bqatb{l~:j6}cou32+w~Xagy#oozdsgpaqYhz9:;4smmw54)upVcey!matfqavcsWfx;<=>>1c9phjr69&xsSd`|t.fbpdeh}g~7<3?m;rnlp47(zqUbb~z d`vbgjsi|5;5=o5|lnv25*tW`dxx"jnt`alqkr;:7;j7~b`t03,v}Ynfz~$hlzncnwmpZ66i2ygcy?>/sz\mkus'mkmnaznu]25d=tdf~:="|w_hlpp*bf|hidyczP2318wiis98%ytRgasu-geqgdg|dS`{w01238585<2ygcy?>/sz\mkus'mkmnaznu]nq}67896;2<<:;rnlp47(zqUbb~z d`vbgjsi|Vg~t=>?0=2=54433zfdx?;4smmw54)upVcey!kauc`kphsWds<=>?<1<1570<{ee=?0=3=61=tdf~:="|w_hlpp*bf|hidyczPmtz3456;97;996}cou32+w~Xagy#io{abmvjqYj}q:;<=2>>0310>ukg};:#vPioqw+agsije~byQbuy2345:66;8=7~b`t03,v}Ynfz~$hlzncnwmpZkrp9:;<1?1_g717>ukg};:#vPioqw+agsije~byQbuy2345:56;>0aa{10-q|Zoi{}%omyolotlw[hs89:;0?0>169phjr69&xsSd`|t.fbpdi;87;<7~b`t03,v}Ynfz~$hlzno=3=52=tdf~:="|w_hlpp*bf|he7>3?8;rnlp47(zqUbb~z d`vbk9599>1x`bz>1.p{[lht|&njxla34?34?vjh|8;$~uQfnrv,`drfg5?5=:5|lnv25*tW`dxx"jnt`m?2;703zfdx6:qokq76'{rTec}{/ecwejY09j1x`bz>1.p{[lht|&njxlaPnnv34576m2ygcy?>/sz\mkus'mkmbQaou2344769m1x`bz>1.p{[lht|&njxlaPnnv34575911x`bz>1.p{[lht|&njxl|jae{14>ukg};:#vPioqw+agsi{ojhtQbuy2345473zfdx3?:;rnlp47(zqUbb~z ryf?7;723zfdxukg};:#vPioqw+w~cW;;?7~b`t03,v}Ynfz~$~ujP3068wiis98%ytRgasu-q|aY39=1x`bz>1.p{[lht|&xshR;>4:qokq76'{rTec}{/szg[3733zfdx1.p{[lht|&xsa{hflugq+Heh}g~Tob{at^s\|jt;:7;:?<5|lnv25*tW`dxx"|wmwdjhqcu'DidyczPcnwmpZwXpfx7?3?>259phjr69&xsSd`|t.p{is`nd}oy#naznu]r8585<2ygcy?>/sz\mkus'{rfzkgctdp,gjsi|V{7=3<;;rnlp47(zqUbb~z ryoubljsm{%hcx`{_p>1:72<{ee=>5|lnv25*tW`dxx"|wmwdjhqcu'je~byQ~_100?vjh|8;$~uQfnrv,v}kqn`fi!lotlw[tY6::1x`bz>1.p{[lht|&xsa{hflugq+firf}UzS?<<;rnlp47(zqUbb~z ryoubljsm{%hcx`{_p]06<=tdf~:="|w_hlpp*telb`yk}/bmvjqYvWge<=>>2`9phjr69&xsSd`|t.p{is`nd}oy#naznu]r[kis89::=?64smmw54)upVcey!}xltemirbz&idyczPq^mq4567:01x`bz>1.p{[lht|&xsa{hflugq+firf}UzSb|?01225`=tdf~:="|w_hlpp*telb`yk}/rmvp7?<{ee=?03c8wiis98%ytRgasu-q|hpaae~n~"}`uu]`iuY`m9:;<1.p{[lht|&ymykPFRO\BCb5%@d:9?=4smmw54)upVcey!|t`vf[CUJWOLo> Ga14317>ukg};:#vPioqw+vrf|lUM_@QIFe0.Mk72:;?0aa{10-q|Zoi{}%xxlzj_GQN[C@c:$Ce=8Qi5308wiis98%ytRgasu-ppdrbWOYFSKHk2,Km01773zfdxPcx>3:7e<{ee=^pw6d=tdf~:="|w_uknaw)J{|hToczPaefpqg6789Uhu1??>3c8wiis98%ytRzfmdp,IvseWjdSljkst`3456Xkp6:=3?0^az8459:h1x`bz>1.p{[qojm{%FxlPcov\eabt}k:;<=Qly=37:7?<{ee=>3;8wiis98%ytRzfmdp,IvseWjdSljkst`3456Xkp692?74smmw54)upV~bah| Mrwa[fhsWhnoxl?012\g|:46;30aa{10-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pcx>7:7?<{ee=3;8wiis98%ytRzfmdp,IvseWjdSljkst`3456Xkp6=2?74smmw54)upV~bah| Mrwa[fhsWhnoxl?012\g|:06;30aa{10-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pcx>;:7?<{ee=3`8wiis98%ytRzfmdp,IvseWjdSljkst`3456Xd|~7==0<1:qokq76'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5;;2RGAV^21f>ukg};:#vPthofv*Kt}kUhbyQndeqvf5678Vf~x1?>>238wiis98%ytRzfmdp,IvseWjdSljkst`3456Xd|~7=<0PIOT\47d<{ee=ukg};:#vPthofv*Kt}kUhbyQndeqvf5678Vf~x1?=>^KMRZ65j2ygcy?>/sz\plkbz&GxyoQlnu]b`aurj9:;Pltv?518492ygcy?>/sz\plkbz&GxyoQlnu]b`aurj9:;?0^nvp9726:;0aa{10-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pltv?508XAG\T?_mww848XAG\T?_mww878XAG\T?_mww868XAG\T?_mww818XAG\T?_mww808XAG\T79;7~b`t03,v}Ysadoy#@}zb^ampZgclzi<=>?_mww838XAG\T?_mww828XAG\T?_mww8=8XAG\T?_mww8<8XAG\T?_ymq8469:k1x`bz>1.p{[qojm{%FxlPcov\eabt}k:;<=Qwos>25;4e3zfdx3?0a?vjh|8;$~uQ{ilgq+HurjViexRokdrwa4567Wqey0<:12c9phjr69&xsSygbes-NwpdXkg~Tmij|uc2345Yg{6:93?0^zlv949:h1x`bz>1.p{[qojm{%FxlPcov\eabt}k:;<=Qwos>0:7g<{ee=l5|lnv25*tW}cfi!Bst`\gkrXimnxyo>?01]{kw:06;k0aa{10-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pxnp?<;4f3zfdxukg};:#vPthofv*ekcf=i5|lnv25*tW}cfi!lljmvpZiu89:;=h5|lnv25*tW}cfi!lljmvpZiu89:;=<84smmw54)upV~bah| cov?4;703zfdx1169phjr69&xsSygbes-`jq:697;<7~b`t03,v}Ysadoy#n`{<00=52=tdf~:="|w_uknaw)df}6:?3?8;rnlp47(zqUe`k}/blw84299>1x`bz>1.p{[qojm{%hby2>5?35?vjh|8;$~uQ{ilgq+fhs484::6}cou32+w~X|`gn~"mat=0=53=tdf~:="|w_uknaw)df}682<84smmw54)upV~bah| cov?0;713zfdx6:qokq76'{rTxdcjr.amp9099?1x`bz>1.p{[qojm{%hby28>048wiis98%ytRzfmdp,gkr;07;=7~b`t03,v}Ysadoy#n`{<8<21>ukg};:#vPthofv*ei|V::96}cou32+w~X|`gn~"mat^322>ukg};:#vPthofv*ei|V;;=;5|lnv25*tW}cfi!lnu]2540<{ee=2ygcy?>/sz\plkbz&iexR?;179phjr69&xsSygbes-`jqY6=8?0aa{10-q|Zrnelx$oczP2078wiis98%ytRzfmdp,gkrX;8?0aa{10-q|Zrnelx$oczP4078wiis98%ytRzfmdp,gkrX=8?0aa{10-q|Zrnelx$oczP6078wiis98%ytRzfmdp,gkrX?8?0aa{10-q|Zrnelx$oczP8078wiis98%ytRzfmdp,gkrX1;;0aa{10-q|Zrnelx$oczPaefpqg67896;2?<4smmw54)upV~bah| cov\eabt}k:;<=2>0?01?vjh|8;$~uQ{ilgq+fhsWhnoxl?012?5485:2ygcy?>/sz\plkbz&iexRokdrwa45674885>?5|lnv25*tW}cfi!lnu]b`aurj9:;<1?<>308wiis98%ytRzfmdp,gkrXimnxyo>?01>20;453zfdx?<3<15>ukg};:#vPthofv*ei|Vkoh~{m0123868592ygcy?>/sz\plkbz&iexRokdrwa45674=49=6}cou32+w~X|`gn~"mat^cg`vse89:;080=1:qokq76'{rTxdcjr.ampZgclzi<=>?<7<15>ukg};:#vPthofv*ei|Vkoh~{m0123828592ygcy?>/sz\plkbz&iexRokdrwa45674149=6}cou32+w~X|`gn~"mat^cg`vse89:;040>e:qokq76'{rTxdcjr.ampZejxVoz<=>?219phjr69&xsSygbes-`jqYdeyUn}=>?00014>ukg};:#vPthofv*ei|Vif|Rk~012360473zfdx=5|lnv25*tW}cfi!lnu]`iuYby9:;<:8>e:qokq76'{rTxdcjr.ampZkrp9:;=1>11g9phjr69&xsSygbes-`jqYj}q:;<<2?>03e?vjh|8;$~uQ{ilgq+fhsWds<=>><02=65=tdf~:="|w_uknaw)df}Ufyu>?00>24;76n2ygcy?>/sz\plkbz&iexRczx12359766;:0aa{10-q|Zrnelx$oczPmtz3457;984:=k5|lnv25*tW}cfi!lnu]nq}67886:>3ukg};:#vPthofv*ei|Vg~t=>?1=30:47a3zfdx1.p{[qojm{%hbyQbuy2344:6<7;:j6}cou32+w~X|`gn~"mat^ov|56795;>2?>4smmw54)upV~bah| cov\ip~789;7=80>1d9phjr69&xsSygbes-`jqYj}q:;<<2>>0d8wiis98%ytRzfmdp,gkrXe|r;<=?31?32a>ukg};:#vPthofv*ei|Vg~t=>?1=0=5c=tdf~:="|w_uknaw)df}Ufyu>?00>1:47b3zfdx/sz\plkbz&iexRczx123595998o0aa{10-q|Zrnelx$oczPmtz3457;<7;m7~b`t03,v}Ysadoy#n`{_lw{45664=4:=h5|lnv25*tW}cfi!lnu]nq}67886>2e:qokq76'{rTxdcjr.ampZkrp9:;=1811g9phjr69&xsSygbes-`jqYj}q:;<<29>03f?vjh|8;$~uQ{ilgq+fhsWds<=>><6<2b>ukg};:#vPthofv*ei|Vg~t=>?1=5=54c<{ee=1.p{[qojm{%hbyQbuy2344:>68l0aa{10-q|Zrnelx$oczPmtz3457;17;m7~b`t03,p}Ydg{o$=55|lnv25*rWjeyi"lftqmp858602ygcy?>/uz\gjtb'kc|b}31?3;?vjh|8;$xuQlosg,flrwgz692<64smmw54)spVid~h!miurlw9599>1x`bz>1.v{[fium&hbx}a|_134?vjh|8;$xuQlosg,flrwgzU:=:5|lnv25*rWjeyi"lftqmp[7703zfdx=<1<15>ukg};:#yvPcnpf+gosxfyTaxv?010?4;7582ygcy?>/uz\gjtb'kc|b}Pmtz3454;978:7~b`t03,p}Ydg{o$ndzor]nq}678;6:2<338wiis98%tRm`rd-amqvh{Vg~t=>?2=0=576<{ee=1.v{[fium&hbx}a|_lw{45654:4:=>5|lnv25*rWjeyi"gkld3a?vjh|8;$xuQlosg,majbWds<=>?239phjr69&~sSna}e.kgh`Yj}q:;<=?PIOT\44d<{ee=ukg};:#yvPcnpf+lbkmVddx=>?1036?vjh|8;$xuQlosg,mkrWf;o7~b`t03,p}Ydg{o$eczw_n]mkq6788;n7~b`t03,p}Ydg{o$eczw_n]mkq6788;:;6}cou32+q~Xkfxn#aanddf\v4`<{ee=/uz\gjtb'eejhhjPr^ov|567888:7~b`t03,p}Ydg{o$`bokee]q[hs89:;=>219phjr69&~sSna}e.nleaccW{Uecy>?00315>ukg};:#yvPcnpf+iifllnT~R``t1235475;2ygcy?>/uz\gjtb'eejhhjPr^llp56798Um9?>4smmw54)spVid~h!co`ff`ZtXff~;<=?=219phjr69&~sSna}e.nleaccW{Uecy>?00116>ukg};:#yvPcnpf+iifllnT~R``t1235Z`29<1x`bz>1.v{[fium&~T~1>1149phjr69&~sSna}e.v\v9799<1x`bz>1.v{[fium&~T~1<1149phjr69&~sSna}e.v\v9599<1x`bz>1.v{[fium&~T~1:1149phjr69&~sSna}e.v\v9399<1x`bz>1.v{[fium&~T~181149phjr69&~sSna}e.v\v9199=1x`bz>1.v{[fium&~T~R>>4:qokq76'}rTob|j/u]q[4733zfdx<:4smmw54)spVid~h!{_s]051=tdf~:="zw_bmqa*rXzV>:86}cou32+q~Xkfxn#yQ}_437?vjh|8;$xuQlosg,pZtX>8>0aa{10-w|Zehzl%SQ81`9phjr69&~sSna}e.v\vZhh|9:;=/uz\gjtb'}h~jkQ}<0<2=>ukg};:#yvPcnpf+qdrnoUy0?0>9:qokq76'}rTob|j/u`vbcYu4:4:56}cou32+q~Xkfxn#ylzfg]q818612ygcy?>/uz\gjtb'}h~jkQ}<4<2=>ukg};:#yvPcnpf+qdrnoUy0;0>9:qokq76'}rTob|j/u`vbcYu4>4:46}cou32+q~Xkfxn#ylzfg]q[57?3zfdx/uz\gjtb'}h~jkQ}_53;?vjh|8;$xuQlosg,pgsanVxT9<64smmw54)spVid~h!{btde[wY1911x`bz>1.v{[fium&~iykhPr^52a>ukg};:#yvPcnpf+qdrnoUySca{01225c=tdf~:="zw_bmqa*re}olT~R``t12354733zfdxf:qokq76'}rTob|j/uzg[wYj}q:;<>1202a>ukg};:#yvPcnpf+q~cW{Ufyu>?006;5`=tdf~:="zw_bmqa*rlVxTaxv?013;076<{ee=1.v{[fium&~shR|Pmtz3457??_b{?4;Yu|;k0aa{10-w|Zrnelx$A~{m_blw[dbc{|h;<=>Pcx>24;4f3zfdxukg};:#yvPthofv*Kt}kUhbyQndeqvf5678Vir0<<12`9phjr69&~sSygbes-NwpdXkg~Tmij|uc2345Ydq5;82?o4smmw54)spV~bah| Mrwa[fhsWhnoxl?012\g|:6<7827~b`t03,p}Ysadoy#@}zb^ampZgclzi<=>?_b{?5;4>3zfdx?_b{?1;4>3zfdx?_b{?=;4e3zfdx0?]JJSY7:k1x`bz>1.v{[qojm{%FxlPcov\eabt}k:;<=Qcuu>25;563zfdx?_mww8449;81x`bz>1.v{[qojm{%FxlPcov\eabt}k:;<=Qcuu>26;YNF_U;>o5|lnv25*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:6;79:7~b`t03,p}Ysadoy#@}zb^ampZgclzi<=>?_mww8459W@D]S=5?<5|lnv25*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:6<7UBB[Q?2c9phjr69&~sSygbes-NwpdXkg~Tmij|uc2345Yk}}6:93=>;rnlp47(|qUe`k}/LqvfZei|Vkoh~{m0123[iss48?5SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567We0<0<0:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5;5SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567We0?0<0:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|585SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567We0>0<0:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|595SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567We090<0:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5>5SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567We080<0:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5?5SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567We0;0<0:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5<5SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567We0:0<0:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|5=5SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567We050<0:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|525SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567We040<0:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|535SD@Y_10b?vjh|8;$xuQ{ilgq+HurjViexRokdrwa4567Wqey0=0=b:qokq76'}rTxdcjr.OpqgYdf}Ujhi}zb1234Z~hz5;;2?l4smmw54)spV~bah| Mrwa[fhsWhnoxl?012\|jt;9849n6}cou32+q~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv9756;h0aa{10-w|Zrnelx$A~{m_blw[dbc{|h;<=>Pxnp?5685j2ygcy?>/uz\plkbz&GxyoQlnu]b`aurj9:;ukg};:#yvPthofv*Kt}kUhbyQndeqvf5678Vrd~1?12`9phjr69&~sSygbes-NwpdXkg~Tmij|uc2345Yg{692?o4smmw54)spV~bah| Mrwa[fhsWhnoxl?012\|jt;;78j7~b`t03,p}Ysadoy#@}zb^ampZgclzi<=>?_ymq8185i2ygcy?>/uz\plkbz&GxyoQlnu]b`aurj9:;3c8wiis98%tRzfmdp,IvseWjdSljkst`3456Xpfx7;3?0^zlv9?99?1x`bz>1.v{[qojm{%h`fazt0f8wiis98%tRzfmdp,gimh}}Ud~=>?00g8wiis98%tRzfmdp,gimh}}Ud~=>?0035?vjh|8;$xuQ{ilgq+fhs494:;6}cou32+q~X|`gn~"mat=33:41<{ee=7:qokq76'}rTxdcjr.amp97568=0aa{10-w|Zrnelx$ocz312<23>ukg};:#yvPthofv*ei|5;?2<94smmw54)spV~bah| cov?5086>2ygcy?>/uz\plkbz&iex1?1179phjr69&~sSygbes-`jq:568<0aa{10-w|Zrnelx$ocz33?35?vjh|8;$xuQ{ilgq+fhs4=4::6}cou32+q~X|`gn~"mat=7=53=tdf~:="zw_uknaw)df}6=2<84smmw54)spV~bah| cov?3;713zfdx6:qokq76'}rTxdcjr.amp9?99<1x`bz>1.v{[qojm{%hbyQ?149phjr69&~sSygbes-`jqY69?1x`bz>1.v{[qojm{%hbyQ>0048wiis98%tRzfmdp,gkrX98;=7~b`t03,p}Ysadoy#n`{_0022>ukg};:#yvPthofv*ei|V;8=;5|lnv25*rW}cfi!lnu]2040<{ee=?:;rnlp47(|qUe`k}/blw[1723zfdx;rnlp47(|qUe`k}/blw[dbc{|h;<=>30?01?vjh|8;$xuQ{ilgq+fhsWhnoxl?012?5585:2ygcy?>/uz\plkbz&iexRokdrwa456748;5>?5|lnv25*rW}cfi!lnu]b`aurj9:;<1?=>308wiis98%tRzfmdp,gkrXimnxyo>?01>27;453zfdx6}cou32+q~X|`gn~"mat^cg`vse89:;0<;1209phjr69&~sSygbes-`jqYflmy~n=>?0=3=64=tdf~:="zw_uknaw)df}Ujhi}zb1234949:81x`bz>1.v{[qojm{%hbyQndeqvf5678595><5|lnv25*rW}cfi!lnu]b`aurj9:;<1:1209phjr69&~sSygbes-`jqYflmy~n=>?0=7=64=tdf~:="zw_uknaw)df}Ujhi}zb1234909:81x`bz>1.v{[qojm{%hbyQndeqvf56785=5><5|lnv25*rW}cfi!lnu]b`aurj9:;<161209phjr69&~sSygbes-`jqYflmy~n=>?0=;=5`=tdf~:="zw_uknaw)df}Uha}Qjq123476<{ee=1.v{[qojm{%hbyQlmq]fu5678;?9<6}cou32+q~X|`gn~"mat^antZcv89:;8492328wiis98%tRzfmdp,gkrXkdzTi|>?01555`=tdf~:="zw_uknaw)df}Ufyu>?00>3:4`<{ee=/uz\plkbz&iexRczx12359776;:0aa{10-w|Zrnelx$oczPmtz3457;994:=k5|lnv25*rW}cfi!lnu]nq}67886:=3ukg};:#yvPthofv*ei|Vg~t=>?1=31:47a3zfdx1.v{[qojm{%hbyQbuy2344:6;7;:j6}cou32+q~X|`gn~"mat^ov|56795;?2?>4smmw54)spV~bah| cov\ip~789;7=90>1g9phjr69&~sSygbes-`jqYj}q:;<<2>5?03?vjh|8;$xuQ{ilgq+fhsWds<=>><07=54c<{ee=1.v{[qojm{%hbyQbuy2344:568l0aa{10-w|Zrnelx$oczPmtz3457;:7;:i6}cou32+q~X|`gn~"mat^ov|5679595=k5|lnv25*rW}cfi!lnu]nq}6788682f:qokq76'}rTxdcjr.ampZkrp9:;=1:110g8wiis98%tRzfmdp,gkrXe|r;<=?35?3e?vjh|8;$xuQ{ilgq+fhsWds<=>><4<25`=tdf~:="zw_uknaw)df}Ufyu>?00>5:4`<{ee=/uz\plkbz&iexRczx12359199o1x`bz>1.v{[qojm{%hbyQbuy2344:068;n7~b`t03,p}Ysadoy#n`{_lw{4566414:j6}cou32+q~X|`gn~"mat^ov|5679525=1c9phjr69&~sSygbes-`jqYig}:;/v`\`drXgV8Taxv?01225d=tdf~:="ym_ecw[jY4Wds<=>?10c8wiis98%|nRjnt^m\0Zkrp9:;<1.ua[agsWfU3?k;rnlp45(Ehihy`Qnuekl[hoWjs7?3?k;rnlp45(Ehihy`Qnuekl[hoWjs783?k;rnlp45(Ehihy`Qnuekl[hoWjs793?k;rnlp45(Ehihy`Qnuekl[hoWjs7:3?k;rnlp45(Ehihy`Qnuekl[hoWjs7;3?k;rnlp45(Ehihy`Qnuekl[hoWjs743ukg};8#@olcto\epbngVgbtRbzt=0=5`=tdf~:?"Cncbwn[dscafUfeuQcuu>0:4c<{ee=>!BabaviZgrl`eTadvPltv?0;7b3zfdx<= M`a`qhYf}mcdS`gw_mww8086m2ygcy?3.ObgfsjWhoebQbiy]oqq:068o0aa{12-NefereVk~hdaPmhz\|jt;87;n7~b`t01,Ided}dUjyig`_lk{[}iu484:i6}cou30+Hgdk|gTmxjfo^oj|Z~hz585=h5|lnv27*KfkjfSl{kin]nm}Yg{682e:qokq74'DkhoxcPatfjkZknpVrd~1811d9phjr6;&Gjon{b_`wgmjYjaqUsc28>0g8wiis9:%Fmnmzm^cv`liXe`rTtb|38?3f?vjh|89$Almlul]bqaohWdcsSua}<8<15>ukg};8#@olcto\epbngVgbtRv`r=;=[wr5<2ygcy?n5|lnv27*Kdgdzj~Rkav^efjZei|Vif|Rij0123[f;9949o6}cou30+HeheykySh`y_fgm[fhsWjg{Sjk?012\g|:6978h7~b`t01,IfijxhxTicxPgdl\gkrXkdzTkh>?01]`}9756;i0aa{12-Ngjkwi{Unb{Qheo]`jqYdeyUli=>?0^az8459:j1x`bz>3.O`khvfzVoezRijn^ampZejxVmn<=>?_b{?5185k2ygcy?Pcx>21;4e3zfdx<= MbmntdtXmg|Tkh`Pcov\ghvXol:;<=Qly=3=6g=tdf~:?"ClolrbvZci~VmnbRmat^antZab89:;Snw32?0a?vjh|89$Anabp`p\akpXoldToczPclr\c`6789Uhu1=12c9phjr6;&Ghc`~nr^gmrZabfViexRmbp^ef4567Wjs783o5|lnv27*Kdgdzj~Rkav^efjZei|Vif|Rij0123[f;>78i7~b`t01,IfijxhxTicxPgdl\gkrXkdzTkh>?01]`}919:k1x`bz>3.O`khvfzVoezRijn^ampZejxVmn<=>?_b{?<;4e3zfdx<= MbmntdtXmg|Tkh`Pcov\ghvXol:;<=Qly=;=6f=tdf~:?"ClolrbvZci~VmnbRmat^antZab89:;Sa{{<1<1`>ukg};8#@m`mqcq[`hqWnoeSn`{_bos[bc789:T`xz311<1`>ukg};8#@m`mqcq[`hqWnoeSn`{_bos[bc789:T`xz310<1`>ukg};8#@m`mqcq[`hqWnoeSn`{_bos[bc789:T`xz313<1`>ukg};8#@m`mqcq[`hqWnoeSn`{_bos[bc789:T`xz312<1`>ukg};8#@m`mqcq[`hqWnoeSn`{_bos[bc789:T`xz315<1`>ukg};8#@m`mqcq[`hqWnoeSn`{_bos[bc789:T`xz314<1g>ukg};8#@m`mqcq[`hqWnoeSn`{_bos[bc789:T`xz31?0`?vjh|89$Anabp`p\akpXoldToczPclr\c`6789Ugyy2=>3a8wiis9:%Fobcas]fjsY`mgUhbyQlmq]da5678Vf~x1=12b9phjr6;&Ghc`~nr^gmrZabfViexRmbp^ef4567We090=c:qokq74'Dida}o}_dlu[bciWjdSnc_fg3456Xd|~793n5|lnv27*Kdgdzj~Rkav^efjZei|Vif|Rij0123[iss4149o6}cou30+HeheykySh`y_fgm[fhsWjg{Sjk?012\hpr;178o7~b`t01,IfijxhxTxb`j_fgm[jvX9Vif|Rb{0123[f;878n7~b`t01,IfijxhxTxb`j_fgm[jvX9Vif|Rb{0123[f;9949i6}cou30+HeheykySyaae^efjZiwW8Uha}Qct1234Ze~48;5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Ydq5;5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Ydq585>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Ydq595>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Ydq5>5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Ydq5?5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Ydq5<5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Ydq5=5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Ydq525>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Ydq535>h5|lnv27*Kdgdzj~Rz`nd]dakYhxV;To`~Plu2345Yk}}6;2?h4smmw56)Jkfg{mQ{oog\c`hXgyU:Snc_mv3456Xd|~7==0=f:qokq74'Dida}o}_ummaZabfVe{S>3g8wiis9:%Fobcas]wkkcXoldTc}Q>_bos[ir789:T`xz31?0f?vjh|89$Anabp`p\pjhbWnoeSb~P1^antZjs89:;Sa{{<3<1a>ukg};8#@m`mqcq[qiimVmnbRa_0]`iuYk|9:;7:7c<{ee=>!BcnosewYsggoTkh`Poq]2[fkwWe~;<=>Pltv?1;4b3zfdx<= MbmntdtX|fdnSjka_nr\5ZejxVf<=>?_mww8385m2ygcy??0^nvp919:l1x`bz>3.O`khvfzV~dbhQheo]ltZ7XkdzT`y>?01]oqq:?6;o0aa{12-Ngjkwi{UcckPgdl\kuY6Wjg{Saz?012\hpr;178o7~b`t01,IfijxhxTxb`j_fgm[jvX:Vif|Rb{0123[f;878n7~b`t01,IfijxhxTxb`j_fgm[jvX:Vif|Rb{0123[f;9949i6}cou30+HeheykySyaae^efjZiwW;Uha}Qct1234Ze~48;5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Ydq5;5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Ydq585>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Ydq595>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Ydq5>5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Ydq5?5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Ydq5<5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Ydq5=5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Ydq525>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Ydq535>h5|lnv27*Kdgdzj~Rz`nd]dakYhxV8To`~Plu2345Yk}}6;2?h4smmw56)Jkfg{mQ{oog\c`hXgyU9Snc_mv3456Xd|~7==0=f:qokq74'Dida}o}_ummaZabfVe{S?Qlmq]op5678Vf~x1?>>3g8wiis9:%Fobcas]wkkcXoldTc}Q=_bos[ir789:T`xz31?0f?vjh|89$Anabp`p\pjhbWnoeSb~P2^antZjs89:;Sa{{<3<1a>ukg};8#@m`mqcq[qiimVmnbRa_3]`iuYk|9:;7:7c<{ee=>!BcnosewYsggoTkh`Poq]1[fkwWe~;<=>Pltv?1;4b3zfdx<= MbmntdtX|fdnSjka_nr\6ZejxVf<=>?_mww8385m2ygcy??0^nvp919:l1x`bz>3.O`khvfzV~dbhQheo]ltZ4XkdzT`y>?01]oqq:?6;o0aa{12-Ngjkwi{UcckPgdl\kuY5Wjg{Saz?012\hpr;178o7~b`t01,IfijxhxTxb`j_fgm[jvX;Vif|Rb{0123[f;878n7~b`t01,IfijxhxTxb`j_fgm[jvX;Vif|Rb{0123[f;9949i6}cou30+HeheykySyaae^efjZiwW:Uha}Qct1234Ze~48;5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Ydq5;5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Ydq585>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Ydq595>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Ydq5>5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Ydq5?5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Ydq5<5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Ydq5=5>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Ydq525>i5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Ydq535>h5|lnv27*Kdgdzj~Rz`nd]dakYhxV9To`~Plu2345Yk}}6;2?h4smmw56)Jkfg{mQ{oog\c`hXgyU8Snc_mv3456Xd|~7==0=f:qokq74'Dida}o}_ummaZabfVe{S>Qlmq]op5678Vf~x1?>>3g8wiis9:%Fobcas]wkkcXoldTc}Q<_bos[ir789:T`xz31?0f?vjh|89$Anabp`p\pjhbWnoeSb~P3^antZjs89:;Sa{{<3<1a>ukg};8#@m`mqcq[qiimVmnbRa_2]`iuYk|9:;7:7c<{ee=>!BcnosewYsggoTkh`Poq]0[fkwWe~;<=>Pltv?1;4b3zfdx<= MbmntdtX|fdnSjka_nr\7ZejxVf<=>?_mww8385m2ygcy??0^nvp919:l1x`bz>3.O`khvfzV~dbhQheo]ltZ5XkdzT`y>?01]oqq:?6;o0aa{12-Ngjkwi{UcckPgdl\kuY4Wjg{Saz?012\hpr;17;>7~b`t01,Ifirf}UhbyQkiw35?vjh|89$Anaznu]`jqYca;::6}cou30+Heh}g~ToczPdht161=tdf~:?"Clotlw[fhsWmc}Sua}<1<25ZOI^V::i6}cou30+Heh}g~ToczPdht\|jt;97;:=h5|lnv27*Kdg|dSn`{_eku[}iu4;4:=0?00?vjh|89$Anaznu]fjsY`mgUhbyQly=33:Zts:91x`bz>3.O`kphsWld}Sjka_blw[f;9849?6}cou30+Heh}g~TicxPgdl\gkrXkp6:=3Q}t328wiis9:%Fob{at^gmrZabfViexRmv<00=66=tdf~:?"Clotlw[`hqWnoeSn`{_b{?578Xz}8;7~b`t01,Ifirf}Unb{Qheo]`jqYdq5;82?=4smmw56)JkfexRkav^efjZei|Vir0<=1_sv14>ukg};8#@m`uov\akpXoldToczPcx>20;443zfdx<= MbmvjqYbfUlicQlnu]`}9736Vx=k5|lnv27*Kdg|dSh`y_fgm[fhsWjs7=3<=;rnlp45(Eje~byQjnw]dakYdf}Uhu1?1_sv2b>ukg};8#@m`uov\akpXoldToczPcx>1:74<{ee=>!BcnwmpZci~VmnbRmat^az878Xz};m7~b`t01,Ifirf}Unb{Qheo]`jqYdq595>?5|lnv27*Kdg|dSh`y_fgm[fhsWjs7?3Q}t0d8wiis9:%Fob{at^gmrZabfViexRmv<5<16>ukg};8#@m`uov\akpXoldToczPcx>7:Zts9o1x`bz>3.O`kphsWld}Sjka_blw[f;=7897~b`t01,Ifirf}Unb{Qheo]`jqYdq5?5Sz>f:qokq74'DidyczPeot\c`hXkg~Tot29>308wiis9:%Fob{at^gmrZabfViexRmv<7<\vq7a3zfdx<= MbmvjqYbfUlicQlnu]`}919:;1x`bz>3.O`kphsWld}Sjka_blw[f;?7Uyx^pw5c=tdf~:?"Clotlw[`hqWnoeSn`{_b{?=;453zfdx<= MbmvjqYbfUlicQlnu]`}9?9W{~9<6}cou30+Heh}g~TicxPgdl\gkrXd|~7<3<9;rnlp45(Eje~byQjnw]dakYdf}Ugyy2?>^KMRZ6582ygcy?;rnlp45(Eje~byQjnw]dakYdf}Usc2>1?02?vjh|89$Anaznu]fjsY`mgUhbyQwos>26;463zfdx<= MbmvjqYbfUlicQlnu]{kw:6;78:7~b`t01,Ifirf}Unb{Qheo]`jqYg{6:83<>;rnlp45(Eje~byQjnw]dakYdf}Usc2>5?07?vjh|89$Anaznu]fjsY`mgUhbyQwos>21;Yu|;:0aa{12-Ngjsi|VoezRijn^ampZ~hz5;5>=5|lnv27*Kdg|dSh`y_fgm[fhsWqey0?0=0:qokq74'DidyczPeot\c`hXkg~Ttb|33?03?vjh|89$Anaznu]fjsY`mgUhbyQwos>7:76<{ee=>!BcnwmpZci~VmnbRmat^zlv939:91x`bz>3.O`kphsWld}Sjka_blw[}iu4?49<6}cou30+Heh}g~TicxPgdl\gkrXpfx7;3328wiis9:%Fob{at^gmrZabfViexRv`r=;=6d=tdf~:?"Clotlw[kin{lUlicQlnu]{kw:768;TECXP0368wiis9:%Fob{at^llmvcXoldToczPxnp?5;76:<1x`bz>3.O`kphsWgebhQheo]`jqYg{692259phjr6;&Ghcx`{_omjw`Y`mgUhbyQwos>1:445<2ygcy?!BcnwmpZvummc}oczPcx>3:76<{ee=>!BcnwmpZvummc}oczPcx>3:Zts9l1x`bz>3.O`kphsWyxnhdxlnu]`}97768o0aa{12-Ngjsi|Vzyiigycov\g|:697;n7~b`t01,Ifirf}U{~hjfvblw[f;9;4:i6}cou30+Heh}g~T|kkiwampZe~4895=h5|lnv27*Kdg|dS}|jdht`jqYdq5;?2e:qokq74'DidyczPpsggmsei|Vir0<911d9phjr6;&Ghcx`{_qpf`lpdf}Uhu1?7>0g8wiis9:%Fob{at^rqaaoqkg~Tot2>9?3g?vjh|89$Anaznu]sv`bn~jdSnw31?3f?vjh|89$Anaznu]sv`bn~jdSnw321<2a>ukg};8#@m`uov\twccaiexRmv<33=5`=tdf~:?"Clotlw[utbl`|hbyQly=01:4c<{ee=>!BcnwmpZvummc}oczPcx>17;7b3zfdx<= MbmvjqYwzlnbzn`{_b{?6186m2ygcy?3.O`kphsWyxnhdxlnu]`}94168o0aa{12-Ngjsi|Vzyiigycov\g|:5?7;n7~b`t01,Ifirf}U{~hjfvblw[f;:14:i6}cou30+Heh}g~T|kkiwampZe~4;35=i5|lnv27*Kdg|dS}|jdht`jqYdq585=h5|lnv27*Kdg|dS}|jdht`jqYdq59;22>f:qokq74'DidyczPpsggmsei|VozbR??10g8wiis9:%Fob{at^rqaaoqkg~Ti|`P103e?vjh|89$Anaznu]sv`bn~jdSha_0335c=tdf~:?"Clotlw[utbl`|hbyQjqo]2547b3zfdx<= MbmvjqYwzlnbzn`{_dsm[446n2ygcy?e:qokq74'DidyczPpsggmsei|VozbR?:1g9phjr6;&Ghcx`{_qpf`lpdf}Un}cQ>503f?vjh|89$Anaznu]sv`bn~jdSha_042b>ukg};8#@m`uov\twccaiexRk~n^3554c<{ee=>!BcnwmpZvummc}oczPepl\527a3zfdx<= MbmvjqYwzlnbzn`{_dsm[4169l1x`bz>3.O`kphsWyxnhdxlnu]fukY608l0aa{12-Ngjsi|Vzyiigycov\athX91;:i6}cou30+Heh}g~T|kkiwampZcvfV;2=k5|lnv27*Kdg|dS}|jdht`jqYbygU:5>f:qokq74'DidyczPpsggmsei|VozbR9?>e:qokq74'DidyczPpsggmsei|VozbR<:1g9phjr6;&Ghcx`{_qpf`lpdf}Un}cQ=503f?vjh|89$Anaznu]sv`bn~jdSha_342b>ukg};8#@m`uov\twccaiexRk~n^0554c<{ee=>!BcnwmpZvummc}oczPepl\627a3zfdx<= MbmvjqYwzlnbzn`{_dsm[7169l1x`bz>3.O`kphsWyxnhdxlnu]fukY508l0aa{12-Ngjsi|Vzyiigycov\athX:1;:i6}cou30+Heh}g~T|kkiwampZcvfV82=k5|lnv27*Kdg|dS}|jdht`jqYbygU95?j;rnlp45(Eje~byQrdfjrfhsWl{eS>>>f:qokq74'DidyczPpsggmsei|VozbR=?10g8wiis9:%Fob{at^rqaaoqkg~Ti|`P303e?vjh|89$Anaznu]sv`bn~jdSha_2325`=tdf~:?"Clotlw[utbl`|hbyQjqo]064b<{ee=>!BcnwmpZvummc}oczPepl\04c<{ee=>!BcnwmpZvummc}oczPepl\047c3zfdx<= MbmvjqYwzlnbzn`{_dsm[07b3zfdx<= MbmvjqYwzlnbzn`{_dsm[076l2ygcy?3.O`kphsWyxnhdxlnu]fukY09l1x`bz>3.O`kphsWyxnhdxlnu]fukY098n0aa{12-Ngjsi|Vzyiigycov\athX08o0aa{12-Ngjsi|Vzyiigycov\athX08;o7~b`t01,Ifirf}U{~hjfvblw[`wiW0;n7~b`t01,Ifirf}U{~hjfvblw[`wiW0;:j6}cou30+Heh}g~T|kkiwampZjr|5;;2?;4smmw56)JkfexR~}eekugkrXd|~7==0PIOT\44`<{ee=>!BcnwmpZvummc}oczPltv?5485=2ygcy?>f:qokq74'DidyczPpsggmsei|Vf~x1?=>378wiis9:%Fob{at^rqaaoqkg~T`xz313<\MKPX88l0aa{12-Ngjsi|Vzyiigycov\hpr;9:4996}cou30+Heh}g~T|kkiwampZjr|5;82RGAV^22b>ukg};8#@m`uov\twccaiexRbzt=37:73<{ee=>!BcnwmpZvummc}oczPltv?518XAG\T<^KMRZ66n2ygcy?ukg};8#@m`uov\twccaiexRbzt=34:ZOI^V::j6}cou30+Heh}g~T|kkiwampZjr|5;32?;4smmw56)JkfexR~}eekugkrXd|~7=50PIOT\44`<{ee=>!BcnwmpZvummc}oczPltv?5<85=2ygcy?6VCEZR>>e:qokq74'DidyczPpsggmsei|Vf~x1?1259phjr6;&Ghcx`{_qpf`lpdf}Ugyy2>>^KMRZ66n2ygcy?ukg};8#@m`uov\twccaiexRbzt=02:ZOI^V::j6}cou30+Heh}g~T|kkiwampZjr|5892?;4smmw56)JkfexR~}eekugkrXd|~7>?0PIOT\44`<{ee=>!BcnwmpZvummc}oczPltv?6685=2ygcy?>f:qokq74'DidyczPpsggmsei|Vf~x1<;>378wiis9:%Fob{at^rqaaoqkg~T`xz325<\MKPX88l0aa{12-Ngjsi|Vzyiigycov\hpr;:<4996}cou30+Heh}g~T|kkiwampZjr|58>2RGAV^22b>ukg};8#@m`uov\twccaiexRbzt=05:73<{ee=>!BcnwmpZvummc}oczPltv?638XAG\T<:0=5:qokq74'DidyczPpsggmsei|Vf~x1<8>^KMRZ66n2ygcy?ukg};8#@m`uov\twccaiexRbzt=0::ZOI^V::i6}cou30+Heh}g~T|kkiwampZjr|585>95|lnv27*Kdg|dS}|jdht`jqYk}}692RGAV^22b>ukg};8#@m`uov\twccaiexRbzt=13:73<{ee=>!BcnwmpZvummc}oczPltv?758XAG\T<>^KMRZ66m2ygcy?3.O`kphsWyxnhdxlnu]oqq:46VCEZR>>e:qokq74'DidyczPpsggmsei|Vf~x1:1259phjr6;&Ghcx`{_qpf`lpdf}Ugyy2;>^KMRZ66m2ygcy?3.O`kphsWyxnhdxlnu]oqq:26VCEZR>>e:qokq74'DidyczPpsggmsei|Vf~x181259phjr6;&Ghcx`{_qpf`lpdf}Ugyy29>^KMRZ66m2ygcy?3.O`kphsWyxnhdxlnu]oqq:06VCEZR>>e:qokq74'DidyczPpsggmsei|Vf~x161259phjr6;&Ghcx`{_qpf`lpdf}Ugyy27>^KMRZ66m2ygcy?3.O`kphsWyxnhdxlnu]oqq:>6VCEZR>>e:qokq74'DidyczPpsggmsei|Vrd~1>11g9phjr6;&Ghcx`{_qpf`lpdf}Usc2>0?3e?vjh|89$Anaznu]sv`bn~jdSua}<03=5c=tdf~:?"Clotlw[utbl`|hbyQwos>26;7a3zfdx<= MbmvjqYwzlnbzn`{_ymq84599o1x`bz>3.O`kphsWyxnhdxlnu]{kw:6<7;m7~b`t01,Ifirf}U{~hjfvblw[}iu48?5=k5|lnv27*Kdg|dS}|jdht`jqYg{6::3?i;rnlp45(Eje~byQrdfjrfhsWqey0<911g9phjr6;&Ghcx`{_qpf`lpdf}Usc2>8?3e?vjh|89$Anaznu]sv`bn~jdSua}<0;=5`=tdf~:?"Clotlw[utbl`|hbyQwos>2:4`<{ee=>!BcnwmpZvummc}oczPxnp?6586n2ygcy?90>f:qokq74'DidyczPpsggmsei|Vrd~1<:>0d8wiis9:%Fob{at^rqaaoqkg~Ttb|327<2b>ukg};8#@m`uov\twccaiexRv`r=04:4`<{ee=>!BcnwmpZvummc}oczPxnp?6=86n2ygcy?68o0aa{12-Ngjsi|Vzyiigycov\|jt;:7;m7~b`t01,Ifirf}U{~hjfvblw[}iu4::5=k5|lnv27*Kdg|dS}|jdht`jqYg{68=3?j;rnlp45(Eje~byQrdfjrfhsWqey0>0>e:qokq74'DidyczPpsggmsei|Vrd~1:11d9phjr6;&Ghcx`{_qpf`lpdf}Usc2:>0g8wiis9:%Fob{at^rqaaoqkg~Ttb|36?3f?vjh|89$Anaznu]sv`bn~jdSua}<6<2a>ukg};8#@m`uov\twccaiexRv`r=:=5`=tdf~:?"Clotlw[utbl`|hbyQwos>::74<{ee=>!BcnwmpZrhflUlicQlnu]2[f;87887~b`t01,Ifirf}UcckPgdl\gkrX9Vir0<>1279phjr6;&Ghcx`{_ummaZabfViexR?Pcx>24;Yu|;80aa{12-Ngjsi|V~dbhQheo]`jqY6Wjs7=3<:;rnlp45(Eje~byQ{oog\c`hXkg~T=Rmv<0<\vq453zfdx<= MbmvjqYsggoTkh`Pcov\5Ze~4;4996}cou30+Heh}g~Txb`j_fgm[fhsW8Uhu1<1_sv16>ukg};8#@m`uov\pjhbWnoeSn`{_0]`}959:<1x`bz>3.O`kphsW}eeiRijn^ampZ7Xkp682R|{239phjr6;&Ghcx`{_ummaZabfViexR?Pcx>7:73<{ee=>!BcnwmpZrhflUlicQlnu]2[f;<7Uyx?<4smmw56)JkfexRz`nd]dakYdf}U:Snw35?06?vjh|89$Anaznu]wkkcXoldToczP1^az808Xz}897~b`t01,Ifirf}UcckPgdl\gkrX9Vir0;0=5:qokq74'DidyczPtnlf[bciWjdS85|lnv27*Kdg|dSyaae^efjZei|V;Tot28>^pw67=tdf~:?"Clotlw[qiimVmnbRmat^3\g|:?6;?0aa{12-Ngjsi|V~dbhQheo]`jqY6Wjs743Q}t308wiis9:%Fob{at^vlj`Y`mgUhbyQ>_b{?=;423zfdx<= MbmvjqYsggoTkh`Pcov\5Ze~404T~y<<;rnlp45(Eje~byQ{oog\c`hXkg~T=Rbzt=2=6<=tdf~:?"Clotlw[qiimVmnbRmat^3\hpr;87UBB[Q?229phjr6;&Ghcx`{_ummaZabfViexR?Pxnp?4;433zfdx<= MbmvjqYsggoTkh`Pcov\5Z~hz5;;2?:4smmw56)JkfexRz`nd]dakYdf}U:Sua}<03=62=tdf~:?"Clotlw[qiimVmnbRmat^3\|jt;984T~y<<;rnlp45(Eje~byQ{oog\c`hXkg~T=Rv`r=3=66=tdf~:?"Clotlw[qiimVmnbRmat^3\|jt;:7887~b`t01,Ifirf}UcckPgdl\gkrX9Vrd~1=1229phjr6;&Ghcx`{_ummaZabfViexR?Pxnp?0;443zfdx<= MbmvjqYsggoTkh`Pcov\5Z~hz5?5>>5|lnv27*Kdg|dSyaae^efjZei|V;Ttb|36?00?vjh|89$Anaznu]wkkcXoldToczP1^zlv919::1x`bz>3.O`kphsW}eeiRijn^ampZ7Xpfx743<<;rnlp45(Eje~byQ{oog\c`hXkg~T=Rv`r=;=67=tdf~:?"Clotlw[qiimVmnbRmat^0\g|:76;90aa{12-Ngjsi|V~dbhQheo]`jqY5Wjs7==0=6:qokq74'DidyczPtnlf[bciWjdS?Qly=33:Zts:;1x`bz>3.O`kphsW}eeiRijn^ampZ4Xkp6:2?;4smmw56)JkfexRz`nd]dakYdf}U9Snw31?]qp74<{ee=>!BcnwmpZrhflUlicQlnu]1[f;:78>7~b`t01,Ifirf}UcckPgdl\gkrX:Vir0?0Pru01?vjh|89$Anaznu]wkkcXoldToczP2^az8685=2ygcy??5|lnv27*Kdg|dSyaae^efjZei|V8Tot2:>378wiis9:%Fob{at^vlj`Y`mgUhbyQ=_b{?1;Yu|;80aa{12-Ngjsi|V~dbhQheo]`jqY5Wjs7:3<:;rnlp45(Eje~byQ{oog\c`hXkg~T>Rmv<7<\vq453zfdx<= MbmvjqYsggoTkh`Pcov\6Ze~4>4996}cou30+Heh}g~Txb`j_fgm[fhsW;Uhu191_sv16>ukg};8#@m`uov\pjhbWnoeSn`{_3]`}9>9:<1x`bz>3.O`kphsW}eeiRijn^ampZ4Xkp632R|{239phjr6;&Ghcx`{_ummaZabfViexR::73<{ee=>!BcnwmpZrhflUlicQlnu]1[f;17Uyx?=4smmw56)JkfexRz`nd]dakYdf}U9Sa{{<1<1=>ukg};8#@m`uov\pjhbWnoeSn`{_3]oqq:76VCEZR>=3:qokq74'DidyczPtnlf[bciWjdS?Qwos>3:72<{ee=>!BcnwmpZrhflUlicQlnu]1[}iu48:5>95|lnv27*Kdg|dSyaae^efjZei|V8Ttb|310<13>ukg};8#@m`uov\pjhbWnoeSn`{_3]{kw:697Uyx?=4smmw56)JkfexRz`nd]dakYdf}U9Sua}<0<17>ukg};8#@m`uov\pjhbWnoeSn`{_3]{kw:56;90aa{12-Ngjsi|V~dbhQheo]`jqY5Wqey0>0=3:qokq74'DidyczPtnlf[bciWjdS?Qwos>7:75<{ee=>!BcnwmpZrhflUlicQlnu]1[}iu4<49?6}cou30+Heh}g~Txb`j_fgm[fhsW;Usc29>318wiis9:%Fob{at^vlj`Y`mgUhbyQ=_ymq8285;2ygcy?ukg};8#@m`uov\pjhbWnoeSn`{_2]`}969::1x`bz>3.O`kphsW}eeiRijn^ampZ5Xkp6:<3<9;rnlp45(Eje~byQ{oog\c`hXkg~T?Rmv<02=[wr5:2ygcy?85|lnv27*Kdg|dSyaae^efjZei|V9Tot2>>^pw67=tdf~:?"Clotlw[qiimVmnbRmat^1\g|:56;?0aa{12-Ngjsi|V~dbhQheo]`jqY4Wjs7>3Q}t308wiis9:%Fob{at^vlj`Y`mgUhbyQ<_b{?7;423zfdx<= MbmvjqYsggoTkh`Pcov\7Ze~4:4T~y<=;rnlp45(Eje~byQ{oog\c`hXkg~T?Rmv<5<11>ukg};8#@m`uov\pjhbWnoeSn`{_2]`}929W{~9>6}cou30+Heh}g~Txb`j_fgm[fhsW:Uhu1;1249phjr6;&Ghcx`{_ummaZabfViexR=Pcx>6:Zts:;1x`bz>3.O`kphsW}eeiRijn^ampZ5Xkp6=2?;4smmw56)JkfexRz`nd]dakYdf}U8Snw36?]qp74<{ee=>!BcnwmpZrhflUlicQlnu]0[f;?78>7~b`t01,Ifirf}UcckPgdl\gkrX;Vir0:0Pru01?vjh|89$Anaznu]wkkcXoldToczP3^az8=85=2ygcy?Qly=;=60=tdf~:?"Clotlw[qiimVmnbRmat^1\g|:>6Vx>>5|lnv27*Kdg|dSyaae^efjZei|V9T`xz30?0:?vjh|89$Anaznu]wkkcXoldToczP3^nvp969W@D]S=<<;rnlp45(Eje~byQ{oog\c`hXkg~T?Rv`r=2=61=tdf~:?"Clotlw[qiimVmnbRmat^1\|jt;994986}cou30+Heh}g~Txb`j_fgm[fhsW:Usc2>1?04?vjh|89$Anaznu]wkkcXoldToczP3^zlv9766Vx>>5|lnv27*Kdg|dSyaae^efjZei|V9Ttb|31?00?vjh|89$Anaznu]wkkcXoldToczP3^zlv949::1x`bz>3.O`kphsW}eeiRijn^ampZ5Xpfx7?3<<;rnlp45(Eje~byQ{oog\c`hXkg~T?Rv`r=6=66=tdf~:?"Clotlw[qiimVmnbRmat^1\|jt;=7887~b`t01,Ifirf}UcckPgdl\gkrX;Vrd~181229phjr6;&Ghcx`{_ummaZabfViexR=Pxnp?3;443zfdx<= MbmvjqYsggoTkh`Pcov\7Z~hz525>>5|lnv27*Kdg|dSyaae^efjZei|V9Ttb|39?3b?vjh|89$A`{w_v`\`drXg5:5S<>>a:qokq74'Dg~tRym_ecw[j:76V;:=n5|lnv27*Kj}qU|nRjnt^m?4;Y2Wo<:o6}cou30+HkrpV}iSio{_n>3:Z0Xn<;27~b`t01,IhsW~hThlzPo=2=[27d3zfdx<= Mlw{[rdXlh~Tc1>1_6]e14?<{ee=>!Bmtz\sgYci}Ud0=0P80c8wiis9:%FaxvPwc]geqYh494T4ukg};8#@czx^ua[agsWf6:2R;Pf73`?vjh|89$A`{w_v`\`drXg5;5S;Qi50;8wiis9:%FaxvPwc]geqYh484T;=45|lnv27*Kj}qU|nRjnt^m?5;Y?9h1x`bz>3.Onq}YpjVnjxRa31?];54?<{ee=>!Bmtz\sgYci}Ud0<0P90a8wiis9:%FaxvPwc]geqYh484T5Rh:1`9phjr6;&GfyuQxb^fbpZi;:7U:<8i0aa{12-Nip~XkUomyQ`<3<\2Z`2901x`bz>3.Onq}YpjVnjxRa32?]45f=tdf~:?"Cbuy]tfZbf|Ve7>3Q8_g72=>ukg};8#@czx^ua[agsWf692R6>a:qokq74'Dg~tRym_ecw[j:56V2:=45|lnv27*Kj}qU|nRjnt^m?6;Y>9j1x`bz>3.Onq}YpjVnjxRa32?]:[c36i2ygcy?^335d=tdf~:?"Cbuy]tfZbf|Ve7?3Q>10a8wiis9:%FaxvPwc]geqYh4:4T9Rh91b9phjr6;&GfyuQxb^fbpZi;;7U=Sk;>9:qokq74'Dg~tRym_ecw[j:46V=:o6}cou30+HkrpV}iSio{_n>0:Z1Xn<;27~b`t01,IhsW~hThlzPo=1=[=7f3zfdx<= Mlw{[rdXlh~Tc1=1_932=>ukg};8#@czx^ua[agsWf682R7>c:qokq74'Dg~tRym_ecw[j:46V3Tj8?m;rnlp45(E{efSiol_`os[hs89::=n5|lnv27*KugdUomnQnmq]nq}6788;:j6}cou30+HtheVnjoRobp^ov|56798;39??4smmw56)JzfgThlmPalr\ip~789;:=5;PF338wiis9:%F~bcPd`a\ehvXe|r;<=?>197\C4c<{ee=>!Brno\`deXidzTaxv?0132[C7b3zfdx<= Msmn[agdWhg{S`{w01225ZA6k2ygcy?c:qokq74'DxdaRjnc^cntZkrp9:;=8?k;rnlp45(E{efSiol_`os[hs89::9d:qokq74'DxdaRjnc^cntZkrp9:;=;?>f:qokq74'DxdaRjnc^cntZkrp9:;=;Qi50a8wiis9:%F~bcPd`a\ehvXe|r;<=?81e9phjr6;&Gyc`Qkab]biuYj}q:;<<9>1g9phjr6;&Gyc`Qkab]biuYj}q:;<<9Pf40:?vjh|89$A~{m_dlu[bciWjef|Rokdrwa4567Wjs7<3?_b{?5485i2ygcy?3c8wiis9:%FxlPeot\c`hXkfg{Sljkst`3456Xkp6:83<6;rnlp45(EziSh`y_fgm[fijxVkoh~{m0123[f;97827~b`t01,IvseWld}Sjka_bmntZgclzi<=>?_b{?6;4>3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Snw33?0:?vjh|89$A~{m_dlu[bciWjef|Rokdrwa4567Wjs783<6;rnlp45(EziSh`y_fgm[fijxVkoh~{m0123[f;=7827~b`t01,IvseWld}Sjka_bmntZgclzi<=>?_b{?2;4>3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Snw37?0:?vjh|89$A~{m_dlu[bciWjef|Rokdrwa4567Wjs743<6;rnlp45(EziSh`y_fgm[fijxVkoh~{m0123[f;178i7~b`t01,IvseWld}Sjka_bmntZgclzi<=>?_mww8469;81x`bz>3.OpqgYbfUlicQlolr\eabt}k:;<=Qcuu>24;YNF_U;>o5|lnv27*Kt}kUnb{Qheo]`khvXimnxyo>?01]oqq:6979:7~b`t01,IvseWld}Sjka_bmntZgclzi<=>?_mww8479W@D]S=?01]oqq:6:7UBB[Q?2c9phjr6;&GxyoQjnw]dakYdgdzTmij|uc2345Yk}}6:?3=>;rnlp45(EziSh`y_fgm[fijxVkoh~{m0123[iss4895SD@Y_10a?vjh|89$A~{m_dlu[bciWjef|Rokdrwa4567We0<:1309phjr6;&GxyoQjnw]dakYdgdzTmij|uc2345Yk}}6:83QFNW]36g=tdf~:?"C|uc]fjsY`mgUhc`~Paefpqg6789Ugyy2>5?12?vjh|89$A~{m_dlu[bciWjef|Rokdrwa4567We0<;1_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sa{{<0<04>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vf~x1?1_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sa{{<3<04>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vf~x1<1_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sa{{<2<04>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vf~x1=1_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sa{{<5<04>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vf~x1:1_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sa{{<4<04>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vf~x1;1_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sa{{<7<04>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vf~x181_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sa{{<6<04>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vf~x191_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sa{{<9<04>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vf~x161_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sa{{<8<04>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vf~x171_HLU[54f3zfdx<= Mrwa[`hqWnoeSnabp^cg`vse89:;Sua}<1<1f>ukg};8#@}zb^gmrZabfVida}Qndeqvf5678Vrd~1??>3`8wiis9:%FxlPeot\c`hXkfg{Sljkst`3456Xpfx7=<0=b:qokq74'Dy~nRkav^efjZeheyUjhi}zb1234Z~hz5;92?l4smmw56)J{|hTicxPgdl\gjkwWhnoxl?012\|jt;9:49n6}cou30+HurjVoezRijn^aliuYflmy~n=>?0^zlv9736;h0aa{12-NwpdXmg|Tkh`Pcnos[dbc{|h;<=>Pxnp?5085i2ygcy?3c8wiis9:%FxlPeot\c`hXkfg{Sljkst`3456Xpfx7?3?0^zlv939:h1x`bz>3.OpqgYbfUlicQlolr\eabt}k:;<=Qwos>5:7g<{ee=>!Bst`\akpXoldTobc_`fgwpd789:Ttb|37?0b?vjh|89$A~{m_dlu[bciWjef|Rokdrwa4567Wqey050=a:qokq74'Dy~nRkav^efjZeheyUjhi}zb1234Z~hz535>n5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Ze~4949j6}cou30+HurjV~dbhQheo]`khvX9Vkoh~{m0123[f;87Uyx?j4smmw56)J{|hTxb`j_fgm[fijxV;Tmij|uc2345Ydq5;;2?m4smmw56)J{|hTxb`j_fgm[fijxV;Tmij|uc2345Ydq5;5>n5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Ze~4;49o6}cou30+HurjV~dbhQheo]`khvX9Vkoh~{m0123[f;;78h7~b`t01,IvseW}eeiRijn^aliuY6Whnoxl?012\g|:36;i0aa{12-NwpdX|fdnSjka_bmntZ7Ximnxyo>?01]`}939:j1x`bz>3.OpqgYsggoTkh`Pcnos[4Yflmy~n=>?0^az8385k2ygcy??_b{?3;4d3zfdx<= Mrwa[qiimVmnbRm`mq]2[dbc{|h;<=>Pcx>;:7e<{ee=>!Bst`\pjhbWnoeSnabp^3\eabt}k:;<=Qly=;=6`=tdf~:?"C|uc]wkkcXoldTobc_0]b`aurj9:;!Bst`\pjhbWnoeSnabp^3\eabt}k:;<=Qcuu>24;YNF_U;>h5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Zjr|5;:2>:4smmw56)J{|hTxb`j_fgm[fijxV;Tmij|uc2345Yk}}6:=3QFNW]36a=tdf~:?"C|uc]wkkcXoldTobc_0]b`aurj9:;Pltv?0;543zfdx<= Mrwa[qiimVmnbRm`mq]2[dbc{|h;<=>Pltv?0;YNF_U;>i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Zjr|5?5?>5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Zjr|5?5SD@Y_10g?vjh|89$A~{m_ummaZabfVida}Q>_`fgwpd789:T`xz36?10?vjh|89$A~{m_ummaZabfVida}Q>_`fgwpd789:T`xz36?]JJSY7:m1x`bz>3.OpqgYsggoTkh`Pcnos[4Yflmy~n=>?0^nvp919;:1x`bz>3.OpqgYsggoTkh`Pcnos[4Yflmy~n=>?0^nvp919W@D]S=i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Z~hz5;5>i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Z~hz585>i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Z~hz595>i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Z~hz5>5>i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Z~hz5?5>i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Z~hz5<5>i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Z~hz5=5>i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Z~hz525>i5|lnv27*Kt}kUcckPgdl\gjkwW8Ujhi}zb1234Z~hz535>n5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Ze~4949j6}cou30+HurjV~dbhQheo]`khvX:Vkoh~{m0123[f;87Uyx?j4smmw56)J{|hTxb`j_fgm[fijxV8Tmij|uc2345Ydq5;;2?m4smmw56)J{|hTxb`j_fgm[fijxV8Tmij|uc2345Ydq5;5>n5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Ze~4;49o6}cou30+HurjV~dbhQheo]`khvX:Vkoh~{m0123[f;;78h7~b`t01,IvseW}eeiRijn^aliuY5Whnoxl?012\g|:36;i0aa{12-NwpdX|fdnSjka_bmntZ4Ximnxyo>?01]`}939:j1x`bz>3.OpqgYsggoTkh`Pcnos[7Yflmy~n=>?0^az8385k2ygcy??_b{?3;4d3zfdx<= Mrwa[qiimVmnbRm`mq]1[dbc{|h;<=>Pcx>;:7e<{ee=>!Bst`\pjhbWnoeSnabp^0\eabt}k:;<=Qly=;=6`=tdf~:?"C|uc]wkkcXoldTobc_3]b`aurj9:;!Bst`\pjhbWnoeSnabp^0\eabt}k:;<=Qcuu>24;YNF_U;>h5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Zjr|5;:2>:4smmw56)J{|hTxb`j_fgm[fijxV8Tmij|uc2345Yk}}6:=3QFNW]36a=tdf~:?"C|uc]wkkcXoldTobc_3]b`aurj9:;Pltv?0;543zfdx<= Mrwa[qiimVmnbRm`mq]1[dbc{|h;<=>Pltv?0;YNF_U;>i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Zjr|5?5?>5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Zjr|5?5SD@Y_10g?vjh|89$A~{m_ummaZabfVida}Q=_`fgwpd789:T`xz36?10?vjh|89$A~{m_ummaZabfVida}Q=_`fgwpd789:T`xz36?]JJSY7:m1x`bz>3.OpqgYsggoTkh`Pcnos[7Yflmy~n=>?0^nvp919;:1x`bz>3.OpqgYsggoTkh`Pcnos[7Yflmy~n=>?0^nvp919W@D]S=i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Z~hz5;5>i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Z~hz585>i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Z~hz595>i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Z~hz5>5>i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Z~hz5?5>i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Z~hz5<5>i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Z~hz5=5>i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Z~hz525>i5|lnv27*Kt}kUcckPgdl\gjkwW;Ujhi}zb1234Z~hz535>n5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Ze~4949j6}cou30+HurjV~dbhQheo]`khvX;Vkoh~{m0123[f;87Uyx?j4smmw56)J{|hTxb`j_fgm[fijxV9Tmij|uc2345Ydq5;;2?m4smmw56)J{|hTxb`j_fgm[fijxV9Tmij|uc2345Ydq5;5>n5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Ze~4;49o6}cou30+HurjV~dbhQheo]`khvX;Vkoh~{m0123[f;;78h7~b`t01,IvseW}eeiRijn^aliuY4Whnoxl?012\g|:36;i0aa{12-NwpdX|fdnSjka_bmntZ5Ximnxyo>?01]`}939:j1x`bz>3.OpqgYsggoTkh`Pcnos[6Yflmy~n=>?0^az8385k2ygcy??_b{?3;4d3zfdx<= Mrwa[qiimVmnbRm`mq]0[dbc{|h;<=>Pcx>;:7e<{ee=>!Bst`\pjhbWnoeSnabp^1\eabt}k:;<=Qly=;=6`=tdf~:?"C|uc]wkkcXoldTobc_2]b`aurj9:;!Bst`\pjhbWnoeSnabp^1\eabt}k:;<=Qcuu>24;YNF_U;>h5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Zjr|5;:2>:4smmw56)J{|hTxb`j_fgm[fijxV9Tmij|uc2345Yk}}6:=3QFNW]36a=tdf~:?"C|uc]wkkcXoldTobc_2]b`aurj9:;Qndeqvf5678Vf~x1=1329phjr6;&GxyoQ{oog\c`hXkfg{S>Qndeqvf5678Vf~x1=1_HLU[54c3zfdx<= Mrwa[qiimVmnbRm`mq]0[dbc{|h;<=>Pltv?0;543zfdx<= Mrwa[qiimVmnbRm`mq]0[dbc{|h;<=>Pltv?0;YNF_U;>i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Zjr|5?5?>5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Zjr|5?5SD@Y_10g?vjh|89$A~{m_ummaZabfVida}Q<_`fgwpd789:T`xz36?10?vjh|89$A~{m_ummaZabfVida}Q<_`fgwpd789:T`xz36?]JJSY7:m1x`bz>3.OpqgYsggoTkh`Pcnos[6Yflmy~n=>?0^nvp919;:1x`bz>3.OpqgYsggoTkh`Pcnos[6Yflmy~n=>?0^nvp919W@D]S=i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Z~hz5;5>i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Z~hz585>i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Z~hz595>i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Z~hz5>5>i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Z~hz5?5>i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Z~hz5<5>i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Z~hz5=5>i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Z~hz525>i5|lnv27*Kt}kUcckPgdl\gjkwW:Ujhi}zb1234Z~hz535>>5|lnv27*Kg{U{caw>7^zlv5678Vrd0=0>2301?vjh|89$Aua}_qmo}41Xpfx;<=>Pxn>3:435:2ygcy??5|lnv27*Kg{U{caw>7^zlv5678Vrd0=0:2308wiis9:%Ftb|Ppnnz52Yg{:;<=Qwo=2=24453zfdx<= Mymq[uikq8=Ttb|?012\|j:76>>27~b`t01,J4g<{ee=>!A26c8wiis9:%E?=o4smmw56)I;!A36c8wiis9:%E?4o4smmw56)I<9k0aa{12-M07g<{ee=>!A62c8wiis9:%E4:o4smmw56)I01k0aa{12-M<<763zfdx<= O^CV@LI;87;:7~b`t01,KZGRL@E7=3?>;rnlp45(GVK^HDA32?32?vjh|89$CROZDHM?7;763zfdx<= O^CV@LI;<7;:7~b`t01,KZGRL@E793?>;rnlp45(GVK^HDA36?32?vjh|89$CROZDHM?3;773zfdx<= O^CV@LIX88:0aa{12-L[DSCAFU:==5|lnv27*IXI\NBCR<>0:qokq74'FUJYIG@_233?vjh|89$CROZDHM\046<{ee=>!@_@WGMJY2991x`bz>3.M\EPBNGV<:<6}cou30+JYF]MCDS:?6;rnlp45(GVK^HDAPmtz3457;97;j7~b`t01,KZGRL@ETaxv?013?5;7612ygcy?><3<2e>ukg};8#BQNUEKL[hs89::0?0>189phjr6;&ETMXJFO^ov|5679595=l5|lnv27*IXI\NBCRczx12359599830aa{12-L[DSCAFUfyu>?00>7:4g<{ee=>!@_@WGMJYj}q:;<<2;>03:?vjh|89$CROZDHM\ip~789;793?n;rnlp45(GVK^HDAPmtz3457;=7;:56}cou30+JYF]MCDS`{w01228386i2ygcy?><7<25<=tdf~:?"APATFJKZkrp9:;=1911`9phjr6;&ETMXJFO^ov|56795=5=<74smmw56)HWH_OEBQbuy2344:?68k0aa{12-L[DSCAFUfyu>?00>;:4773zfdx<= Rdqvhq:768;0aa{12-Qavsk|5:5=12038wiis9:%Yi~{ct=2=747<{ee=>!]erwop969<8;0aa{12-Qavsk|5:5916038wiis9:%Yi~{ct=2=347<{ee=>!]erwop9776880aa{12-Qavsk|5;;20?026>ukg};8#_k|umv?55849;1x`bz>3.Pfwpjs48:58>008wiis9:%Yi~{ct=32:4753zfdx<= Rdqvhq:6978:>6}cou30+Wct}e~7=<0<139phjr6;&Xnxb{<03=047<{ee=>!]erwop9756880aa{12-Qavsk|5;92;rnlp45(Zly~`y2>3?31?vjh|89$^h}zlu>27;7692ygcy?6}cou30+Wct}e~7=90>109phjr6;&Xnxb{<07=57=tdf~:?"\jstnw843998;0aa{12-Qavsk|5;=2038wiis9:%Yi~{ct=3;:47<{ee=>!]erwop97>68:0aa{12-Qavsk|5;5=<5|lnv27*Tb{|f0<0>109phjr6;&Xnxb{<0<154=tdf~:?"\jstnw8484981x`bz>3.Pfwpjs484?=<5|lnv27*Tb{|f0<0:109phjr6;&Xnxb{<0<554=tdf~:?"\jstnw8480981x`bz>3.Pfwpjs4;:5=<5|lnv27*Tb{|f0??1109phjr6;&Xnxb{<30=54=tdf~:?"\jstnw8759981x`bz>3.Pfwpjs4;>5=<5|lnv27*Tb{|f0?;1109phjr6;&Xnxb{<34=54=tdf~:?"\jstnw8719981x`bz>3.Pfwpjs4;25=<5|lnv27*Tb{|f0?71119phjr6;&Xnxb{<3<25>ukg};8#_k|umv?6;7692ygcy?3=>1:qokq74'[oxyaz32?625>ukg};8#_k|umv?6;3692ygcy?39>1:qokq74'[oxyaz331<25>ukg};8#_k|umv?748682ygcy?;rnlp45(Zly~`y2<>332?vjh|89$^h}zlu>0:6763zfdx<= Rdqvhq:46=;:7~b`t01,V`urd}6828?>;rnlp45(Zly~`y2<>732?vjh|89$^h}zlu>0:2763zfdx<= Rdqvhq:461;:7~b`t01,V`urd}6824?<;rnlp45(Zly~`y2<>^d655=tdf~:?"\jstnw818692ygcy?1:qokq74'[oxyaz34?125>ukg};8#_k|umv?0;2692ygcy?1:qokq74'[oxyaz34?520>ukg};8#_k|umv?0;YT_9;?7~b`t01,V`urd}6?2R]X1028wiis9:%Yi~{ct=7=54=tdf~:?"\jstnw8086981x`bz>3.Pfwpjs4<49=<5|lnv27*Tb{|f080<109phjr6;&Xnxb{<4<754=tdf~:?"\jstnw8082991x`bz>3.Pfwpjs4?4:=6}cou30+Wct}e~7:3?>1:qokq74'[oxyaz36?025>ukg};8#_k|umv?2;5692ygcy?7>:=6}cou30+Wct}e~7:3;>0:qokq74'[oxyaz37?32?vjh|89$^h}zlu>4:4763zfdx<= Rdqvhq:06;;:7~b`t01,V`urd}6<2>?>;rnlp45(Zly~`y28>532?vjh|89$^h}zlu>4:0773zfdx<= Rdqvhq:?68;0aa{12-Qavsk|525=!]erwop9>9<8;0aa{12-Qavsk|5259<>4smmw56)Umzgx171109phjr6;&Xnxb{<8<254=tdf~:?"\jstnw8<85981x`bz>3.Pfwpjs4048=<5|lnv27*Tb{|f040;109phjr6;&Xnxb{<8<650=tdf~:?"ozdhm\blhfd5;5=85|lnv27*grl`eTjd`nl=0=50=tdf~:?"ozdhm\blhfd595=85|lnv27*grl`eTjd`nl=6=50=tdf~:?"ozdhm\blhfd5?5=85|lnv27*grl`eTjd`nl=4=50=tdf~:?"ozdhm\blhfd5=5=85|lnv27*grl`eTjd`nl=:=50=tdf~:?"ozdhm\blhfd535=95|lnv27*grl`eTjd`nl^320>ukg};8#l{kin]emkgkW;;?7~b`t01,epbngVlbblbP3068wiis9:%jyig`_gkmeiY39=1x`bz>3.cv`liXn`dj`R;>4:qokq74'hoebQiioco[3733zfdx<= atfjkZ`nfhfT;<:4smmw56)f}mcdSkgaam];51=tdf~:?"ozdhm\blhfdV3:m6}cou30+dscafUmecoc_omw45669k1x`bz>3.cv`liXn`dj`R``t12354743zfdx<= atfjkZknp5:5=>5|lnv27*grl`eTadv31?30?vjh|89$mxjfo^oj|9499:1x`bz>3.cv`liXe`r7?3?<;rnlp45(i|nbcRcfx=6=56=tdf~:?"ozdhm\il~;=7;87~b`t01,epbngVgbt181129phjr6;&k~hdaPmhz?3;743zfdx<= atfjkZknp525=>5|lnv27*grl`eTadv39?31?vjh|89$mxjfo^oj|Z66:2ygcy?:>6}cou30+dscafUfeuQ:139phjr6;&k~hdaPmhz\244<{ee=>!nuekl[hoW>;97~b`t01,epbngVgbtR6>2:qokq74'hoebQbiy]:52=tdf~:?"mgao]biuYffm:;<=?7;rnlp45(kakeSlc_`lg456798n0aa{12-`ldhXidzTmcj?0122=ZUP8830aa{12-`ldhXidzTmcj?0120<4?<{ee=>!lh`l\ehvXign;<=>;90`8wiis9:%hdl`Palr\ekb789:?5RH>b:qokq74'jbjbRobp^cm`5678=3TK3.akekYfeyUfyu>?03>3:4619j1x`bz>3.akekYfeyUfyu>?03>3:476k2ygcy??2=2=577d3zfdx<= cicm[dkwWds<=>=<1<274c<{ee=>!lh`l\ehvXe|r;<=<30?3\b07d3zfdx<= cicm[dkwWds<=>=<1<114d<{ee=>!lh`l\ehvXe|r;<=<30?614>ukg};8#nfnn^cntZkrp9:;>1>145]PS57d3zfdx<= cicm[dkwWds<=>=<1<534c<{ee=>!lh`l\ehvXe|r;<=<30?44[C7b3zfdx<= cicm[dkwWds<=>=<1<53ZA6k2ygcy??2=2==57f3zfdx<= cicm[dkwWds<=>=<0<2g>ukg};8#nfnn^cntZkrp9:;>1?1133`?vjh|89$oeoa_`os[hs89:90<0=1358wiis9:%hdl`Palr\ip~78987=3<>/MWW0ZBXJ\L:o6}cou30+fnffVkf|Rczx1236979:;;h7~b`t01,gmgiWhg{S`{w01218484:;=0aa{12-`ldhXidzTaxv?010?5;55'E__8RJPBTD2g>ukg};8#nfnn^cntZkrp9:;>1?13904?vjh|89$oeoa_`os[hs89:90<0<8.NVP7YKWK_M=h5|lnv27*eoigUja}Qbuy2347:66:2$C:=h5|lnv27*eoigUja}Qbuy2347:66=;T=3.akekYfeyUfyu>?03>1:6>5?2ygcy??2=0=7=)K]]8T@RLZF0g8wiis9:%hdl`Palr\ip~78987>3=7/N3`?vjh|89$oeoa_`os[hs89:90?0;10g8wiis9:%hdl`Palr\ip~78987>3:>_03b?vjh|89$oeoa_`os[hs89:90>0>c:qokq74'jbjbRobp^ov|567:595=??l;rnlp45(kakeSlc_lw{45654:49>:5|lnv27*eoigUja}Qbuy2347:46:2$@XZ=_M]AQC7b3zfdx<= cicm[dkwWds<=>=<2<0<*I6k2ygcy??2=1=047b3zfdx<= cicm[dkwWds<=>=<2<75Z76i2ygcy??2=6=5f=tdf~:?"mgao]biuYj}q:;002g>ukg};8#nfnn^cntZkrp9:;>1:1233`?vjh|89$oeoa_`os[hs89:9090<80a8wiis9:%hdl`Palr\ip~7898783:>1d9phjr6;&icmcQnmq]nq}678;6?29?P1038wiis9:%hbyQkiw>3:47<{ee=>!lnu]gms:668;0aa{12-`jqYca692<>4smmw56)df}Uoe{Q?119phjr6;&iexRjfv^324>ukg};8#n`{_eku[7763zfdx<= d`a\ehv;87;:7~b`t01,`deXidz7=3?>;rnlp45(lhiTm`~32?32?vjh|89$hlmPalr?7;763zfdx<= d`a\ehv;<7;:7~b`t01,`deXidz793?>;rnlp45(lhiTm`~36?32?vjh|89$hlmPalr?3;773zfdx<= d`a\ehvX88:0aa{12-gefYfeyU:==5|lnv27*bfkVkf|R<>0:qokq74'mkhSlc_233?vjh|89$hlmPalr\046<{ee=>!kab]biuY2991x`bz>3.fbgZgjxV<:<6}cou30+agdWhg{S:h4smmw56)caiggh`>6:qokq74'mc}oaejn^mq45679>1x`bz>3.fjrfjlmgUd~=>?00g8wiis9:%nblQkiw37?vjh|89$icoPdht\jjotm8h0aa{12-fjdYcaUecd}j_np34566k2ygcy?>6:qokq74'ldjSigy_np34566m2ygcy?3.gmrZciiVey<=>?179phjr6;&oezRkaa^mq456798?0aa{12-fjsY`mgUhby2?>048wiis9:%nb{Qheo]`jq:687;=7~b`t01,akpXoldTocz310<22>ukg};8#h`y_fgm[fhs4885=;5|lnv27*ci~VmnbRmat=30:40<{ee=>!jnw]dakYdf}6:83?9;rnlp45(mg|Tkh`Pcov?5086=2ygcy?5:qokq74'ld}Sjka_blw8786=2ygcy?0>5:qokq74'ld}Sjka_blw8186=2ygcy?5:qokq74'ld}Sjka_blw8386=2ygcy?5:qokq74'ld}Sjka_blw8=86=2ygcy?4:qokq74'ld}Sjka_blw[5733zfdx<= eot\c`hXkg~T=<;4smmw56)bfUlicQlnu]2443<{ee=>!jnw]dakYdf}U:=<;4smmw56)bfUlicQlnu]2643<{ee=>!jnw]dakYdf}U:?<;4smmw56)bfUlicQlnu]2043<{ee=>!jnw]dakYdf}U:9<:4smmw56)bfUlicQlnu]151=tdf~:?"kav^efjZei|V9:86}cou30+`hqWnoeSn`{_537?vjh|89$icxPgdl\gkrX=8>0aa{12-fjsY`mgUhbyQ9159phjr6;&oezRijn^ampZ16<2ygcy?!jnw]dakYdf}Ujbi>?013a?vjh|89$icxPgdl\gkrXign;<=>>1e9phjr6;&oezRijn^ampZejxVmn<=>?209phjr6;&oezRijn^aliuYflmy~n=>?0=2=67=tdf~:?"kav^efjZeheyUjhi}zb12349776;80aa{12-fjsY`mgUhc`~Paefpqg67896:=3<=;rnlp45(mg|Tkh`Pcnos[dbc{|h;<=>313<16>ukg};8#h`y_fgm[fijxVkoh~{m01238459:;1x`bz>3.gmrZabfVida}Qndeqvf56785;?2?<4smmw56)bfUlicQlolr\eabt}k:;<=2>5?02?vjh|89$icxPgdl\gjkwWhnoxl?012?5;463zfdx<= eot\c`hXkfg{Sljkst`3456;:78:7~b`t01,akpXoldTobc_`fgwpd789:7?3<>;rnlp45(mg|Tkh`Pcnos[dbc{|h;<=>34?02?vjh|89$icxPgdl\gjkwWhnoxl?012?1;463zfdx<= eot\c`hXkfg{Sljkst`3456;>78:7~b`t01,akpXoldTobc_`fgwpd789:7;3<>;rnlp45(mg|Tkh`Pcnos[dbc{|h;<=>38?02?vjh|89$icxPgdl\gjkwWhnoxl?012?=;7b3zfdx<= eot\c`hXkfg{Snc_ds3456582ygcy?"BZT5]O[GSA:;1x`bz>3.gmrZabfVida}Qlmq]fu567888$C?>4smmw56)bfUlicQlolr\ghvXmx:;<=<:219phjr6;&oezRijn^aliuYdeyUn}=>?05;14>ukg};8#h`y_fgm[fijxVif|Rk~012327473zfdx<= eot\c`hXkfg{Snc_ds34560>o1x`bz>3.gmrZnhdm;<7~b`t01,akpX`ffoS`{w01235<=tdf~:?"kav^jlhaYj}q:;<=??1`9phjr6;&oezRf`le]nq}6789;:<3zfdx<= eot\ljjcWds<=>?403:?vjh|89$icxPhnng[hs89:;9??m;rnlp45(mg|Tdbbk_lw{4567=;U9=i5|lnv27*ci~Vbd`iQbuy234535WZ];=k5|lnv27*ci~Vbd`iQbuy234535WZ];S>189phjr6;&oezRf`le]mkq67888==l5|lnv27*ci~Vbd`iQaou23444198k0aa{12-fjsYogenTbbz?0131277d3zfdx<= eot\ljjcWge<=>>27]e14g<{ee=>!jnw]kkibXff~;<=?<103:?vjh|89$icxPhnng[kis89::;9?6;rnlp45(mg|Tdbbk_omw45661>;j7~b`t01,akpX`ffoSca{0122=276k2ygcy??185\b0c<{ee=>!jnw]jjf713zfdx<= eot\mkeXe|r;<=?>7:qokq74'ld}Sd`l_lw{456698<0aa{12-fjsYnfjUecy>?0034?vjh|89$icxPioa\jjr789;:=55|lnv27*ci~VceoR``t1235476i2ygcy?>1^d652=tdf~:?"kav^kmgZhh|9:;=??7;rnlp45(mg|TecmPnnv3457598k0aa{12-fjsYnfjUecy>?000\b0703zfdx<= eot\mkeXff~;<=?<169phjr6;&oezRgac^llp5679=;27~b`t01,akpXagiTbbz?013\b0773zfdx<= eot\v`ub|820aa{12-fjsYumzoSca{01225f=tdf~:?"kav^pfw`rXff~;<=?PSV225>ukg};8#h`y_wmo858692ygcy?1:qokq74'ld}S{ac<2<25>ukg};8#h`y_wmo818682ygcy?4smmw56)bfU}caQ=119phjr6;&oezRx`l^124>ukg};8#h`y_wmo[1713zfdx<= eot\rjjXign;<=>>7:qokq74'ld}S{ac_`lg456798<0aa{12-fjsYqgeUecy>?0034?vjh|89$icxPvnn\jjr789;:==5|lnv27*hhazoThdx>8:qokq74'gebhQkiw]mkq678;;27~b`t01,jjotmVnbzR``t123647?3zfdx<= nnkpaZbn~Vddx=>?30d8wiis9:%ecd}j_eku[kis89:8=RGAV^223>ukg};8#cafsd]dakYdf}6;2<94smmw56)ig`ynSjka_blw8486?2ygcy?058wiis9:%ecd}j_fgm[fhs4:4:;6}cou30+kin{lUlicQlnu>7:40<{ee=>!aohqf[bciWjdS=?9;rnlp45(ffcxiRijn^ampZ76>2ygcy??00d8wiis9:%ecd}j_fgm[fhsWhdo<=>?1102b>ukg};8#cafsd]dakYdf}Ujbi>?013154`<{ee=>!aohqf[bciWjdSl`k012356>6n2ygcy?=2:qokq74'gebhQheo]`jqYffm:;<=!aohqf[bciWjdSl`k0123017c3zfdx<= nnkpaZabfViexRoad123407b3zfdx<= nnkpaZabfViexRoad123406512ygcy?RJPBTD2a>ukg};8#cafsd]dakYdf}Ujbi>?015565=tdf~:?"``irg\c`hXkg~Tmcj?01242Z@582ygcy?6;2038wiis9:%{caw>7=32:47<{ee=>!om{2397568;0aa{12-ski6?5;82038wiis9:%{caw>7=36:47<{ee=>!om{2397168:0aa{12-ski6?5;5==5|lnv27*vhdp;<0?0>0:qokq74'yegu<933?33?vjh|89$|bbv16>7:46<{ee=>!om{23939991x`bz>3.rlh|704?4:<6}cou30+uikq8=7;3??;rnlp45(xffr=:27>028wiis9:%{caw>7=;=b>ukg};8#}acy05\4c=tdf~:?"~`lx34[4773zfdx<= pnnz52Y688:0aa{12-ski6?V;:==5|lnv27*vhdp;0:qokq74'yegu<9P1233?vjh|89$|bbv16]2046<{ee=>!om{23Z72991x`bz>3.rlh|70W8U9j6}cou30+uikq8=T?k5|lnv27*vhdp;7^;21>ukg};8#}acy05\|jt789::?6}cou30+utbl`|hby2?>068wiis9:%{~hjfvblw84699=1x`bz>3.rqaaoqkg~7=<0>4:qokq74'yxnhdxlnu>26;733zfdx<= psggmsei|5;82<:4smmw56)wzlnbzn`{<06=51=tdf~:?"~}eekugkr;9<4:86}cou30+utbl`|hby2>6?37?vjh|89$|kkiwamp97068>0aa{12-sv`bn~jd0<61159phjr6;&zyiigycov?5<86;2ygcy?0aa{12-sv`bn~jd0?:1159phjr6;&zyiigycov?6086<2ygcy?!rdfjrfhs4;25=95|lnv27*vummc}ocz328<27>ukg};8#}|jdht`jq:568>0aa{12-sv`bn~jd0>>1159phjr6;&zyiigycov?7486;2ygcy?ukg};8#}|jdht`jq:26890aa{12-sv`bn~jd0;0>3:qokq74'yxnhdxlnu>4:45<{ee=>!rdfjrfhs414:?6}cou30+utbl`|hby26>008wiis9:%{~hjfvblw[5753zfdx<= psggmsei|V;:?6}cou30+utbl`|hbyQ>0018wiis9:%{~hjfvblw[476;2ygcy?<=4smmw56)wzlnbzn`{_0127>ukg};8#}|jdht`jqY6<890aa{12-sv`bn~jdS<;>3:qokq74'yxnhdxlnu]2245<{ee=>!rdfjrfhsW8=:?6}cou30+utbl`|hbyQ>8018wiis9:%{~hjfvblw[4?6:2ygcy?5|lnv27*vummc}oczP2130?vjh|89$|kkiwampZ469:1x`bz>3.rqaaoqkg~T>??<;rnlp45(x{ooe{mat^0056=tdf~:?"~}eekugkrX:=;87~b`t01,twccaiexR<:129phjr6;&zyiigycov\63743zfdx<= psggmsei|V8<=>5|lnv27*vummc}oczP2930?vjh|89$|kkiwampZ4>9;1x`bz>3.rqaaoqkg~T?<=4smmw56)wzlnbzn`{_2227>ukg};8#}|jdht`jqY49880aa{12-sv`bn~jdS9?=;rnlp45(x{ooe{mat^726>ukg};8#}|jdht`jqY19;1x`bz>3.rqaaoqkg~T;<<4smmw56)wzlnbzn`{_931?vjh|89$|kkiwampZ?5<2ygcy?2:72<{ee=>!rdfjrfhsWjg{Sh?012\sbYdq585>95|lnv27*vummc}oczPclr\at6789U|kRmv<2<10>ukg};8#}|jdht`jqYdeyUn}=>?0^ud[f;<78?7~b`t01,twccaiexRmbp^gr4567W~mTot2:>368wiis9:%{~hjfvblw[fkwWl{;<=>Pwf]`}909:=1x`bz>3.rqaaoqkg~To`~Pep2345YpoVir0:0=5:qokq74'yxnhdxlnu]`iuYby9:;0=5:qokq74'yxnhdxlnu]`iuYby9:;c:qokq74'yxnhdxlnu]mkq6788Ubb{k4smmw56)umnU;S=k4smmw56)umnU;Sk4smmw56)umnU;S9k4smmw56)umnU;S8k4smmw56)umnU;S;k4smmw56)umnU;S:?;;rnlp45(zlmT!}ef]3[kis89::=<84smmw56)umnU;Sca{012254463zfdx<= rde\4Zhh|9:;=?133e?vjh|89$~hiP11]3b>ukg};8#kh_02\5c=tdf~:?"|jg^33[7`<{ee=>!}ef]24Z5a3zfdx<= rde\55Y39<1x`bz>3.pfcZ77Wge<=>>179phjr6;&xnkR??_omw45669o1x`bz>3.pfcZ76W9l0aa{12-qabY69V;m7~b`t01,v`aX98U9j6}cou30+wc`W8;T?k5|lnv27*tboV;:S9h4smmw56)umnU:=R;i;rnlp45(zlmT=1^llp5679o1x`bz>3.pfcZ75W9l0aa{12-qabY6:V;m7~b`t01,v`aX9;U9j6}cou30+wc`W88T?k5|lnv27*tboV;9S9h4smmw56)umnU:>R;i;rnlp45(zlmT=?Q9f:qokq74'{olS<2^llp56798<0aa{12-qabY6:Vddx=>?10d8wiis9:%yijQ>3^2e?vjh|89$~hiP12]2b>ukg};8#kh_01\6c=tdf~:?"|jg^30[6723zfdx<= rde\56Yig}:;<Qaou23447b3zfdx<= rde\5Z6b3zfdx<= rde\5Z7b3zfdx<= rde\5Z4b3zfdx<= rde\5Z56<2ygcy?ukg};8#kh_3]mkq6788;>7~b`t01,v`aX:Vddx=>?10g8wiis9:%yijQ<_1g8wiis9:%yijQ<_0g8wiis9:%yijQ<_3g8wiis9:%yijQ<_237?vjh|89$~hiP3^llp56798?0aa{12-qabY4Wge<=>>1d9phjr6;&xnkR:P0d9phjr6;&xnkR:P1d9phjr6;&xnkR:P2d9phjr6;&xnkR:P3d9phjr6;&xnkR:P4d9phjr6;&xnkR:P5d9phjr6;&xnkR:P6d9phjr6;&xnkR:P7068wiis9:%yijQ;_omw45669<1x`bz>3.pfcZ2Xff~;<=?>e:qokq74'{olS8Q?e:qokq74'{olS8Q>e:qokq74'{olS8Q=e:qokq74'{olS8Q<159phjr6;&xnkR;Pnnv34576=2ygcy?j;rnlp45(zlmT:R?j;rnlp45(zlmT:R4:qokq74'{olS;Qaou23447?3zfdx<= rde\2Zhh|9:;=R]X0d9phjr6;&xnkR9P0d9phjr6;&xnkR9P1d9phjr6;&xnkR9P2d9phjr6;&xnkR9P3d9phjr6;&xnkR9P4d9phjr6;&xnkR9P5d9phjr6;&xnkR9P6d9phjr6;&xnkR9P7068wiis9:%yijQ8_omw45669<1x`bz>3.pfcZ1Xff~;<=?>e:qokq74'{olS5Q?e:qokq74'{olS5Q>e:qokq74'{olS5Q=e:qokq74'{olS5Qj;rnlp45(zlmT5R?j;rnlp45(zlmT5R4:qokq74'{olS4Qaou23447?3zfdx<= rde\=Zhh|9:;=R]X1058wiis9:%cckPgdl\gkrX9V::;6}cou30+qiimVmnbRmat^3\54><{ee=>!{oog\c`hXkg~T=R??199phjr6;&~dbhQheo]`jqY6W8;:;6}cou30+qiimVmnbRmat^3\641<{ee=>!{oog\c`hXkg~T=R=>7:qokq74'}eeiRijn^ampZ7X<8=0aa{12-wkkcXoldToczP1^723>ukg};8#yaae^efjZei|V;T:<94smmw56)sggoTkh`Pcov\5Z16?2ygcy?199phjr6;&~dbhQheo]`jqY5W8::46}cou30+qiimVmnbRmat^0\54703zfdx<= tnlf[bciWjdS?Q=169phjr6;&~dbhQheo]`jqY5W:;<7~b`t01,pjhbWnoeSn`{_3]752=tdf~:?"z`nd]dakYdf}U9S8?8;rnlp45(|fdnSjka_blw[7Y19>1x`bz>3.vlj`Y`mgUhbyQ=_634?vjh|89$xb`j_fgm[fhsW;U3=:5|lnv27*rhflUlicQlnu]1[<7c3zfdx<= tnlf[bciWjdS?Qnne23457b3zfdx<= tnlf[bciWjdS?Qnne234576?2ygcy?Q>1058wiis9:%cckPgdl\gkrX;V8:;6}cou30+qiimVmnbRmat^1\741<{ee=>!{oog\c`hXkg~T?R:>7:qokq74'}eeiRijn^ampZ5X=8=0aa{12-wkkcXoldToczP3^423>ukg};8#yaae^efjZei|V9T;<94smmw56)sggoTkh`Pcov\7Z>6?2ygcy??00g8wiis9:%cckPgdl\gkrX;Vkeh=>?0007?vjh|89$xb`j_fgm[fijxV;Tmij|uc2345:76;?0aa{12-wkkcXoldTobc_0]b`aurj9:;<1??>378wiis9:%cckPgdl\gjkwW8Ujhi}zb12349766;>0aa{12-wkkcXoldTobc_0]b`aurj9:;<1?1259phjr6;&~dbhQheo]`khvX9Vkoh~{m01238785<2ygcy?_`fgwpd789:7?3<;;rnlp45(|fdnSjka_bmntZ7Ximnxyo>?01>7:72<{ee=>!{oog\c`hXkfg{S95|lnv27*rhflUlicQlolr\5Zgclzi<=>?<7<10>ukg};8#yaae^efjZeheyU:Sljkst`3456;?78?7~b`t01,pjhbWnoeSnabp^3\eabt}k:;<=27>368wiis9:%cckPgdl\gjkwW8Ujhi}zb12349?9:81x`bz>3.vlj`Y`mgUhc`~P1^antZcv89:;>>5|lnv27*rhflUlicQlolr\5ZejxVoz<=>?130f?vjh|89$xb`j_fgm[fijxV;To`~Pep234575'E__8RBPBTD11>ukg};8#yaae^efjZeheyU:Snc_ds34566:&E9?6}cou30+qiimVmnbRm`mq]2[fkwWl{;<=>=5318wiis9:%cckPgdl\gjkwW8Uha}Qjq12341?5;2ygcy?_bos[`w789:>=?:4smmw56)sggoTkh`Pcnos[7Yflmy~n=>?0=2=60=tdf~:?"z`nd]dakYdgdzT>Rokdrwa456748:5>85|lnv27*rhflUlicQlolr\6Zgclzi<=>?<03=61=tdf~:?"z`nd]dakYdgdzT>Rokdrwa4567484986}cou30+qiimVmnbRm`mq]1[dbc{|h;<=>32?07?vjh|89$xb`j_fgm[fijxV8Tmij|uc2345:46;>0aa{12-wkkcXoldTobc_3]b`aurj9:;<1:1259phjr6;&~dbhQheo]`khvX:Vkoh~{m01238085<2ygcy??01>4:72<{ee=>!{oog\c`hXkfg{S?Qndeqvf5678525>95|lnv27*rhflUlicQlolr\6Zgclzi<=>?<8<15>ukg};8#yaae^efjZeheyU9Snc_ds34565;2ygcy??k4smmw56)sggoTkh`Pcnos[7YdeyUn}=>?000,HPR3WEUIYK<:;rnlp45(|fdnSjka_bmntZ4XkdzTi|>?0131+J443zfdx<= tnlf[bciWjef|R>>5|lnv27*rhflUlicQlolr\6ZejxVoz<=>?4800?vjh|89$xb`j_fgm[fijxV8To`~Pep234536:=1x`bz>3.vlj`Y`mgUhc`~P3^cg`vse89:;0=0=5:qokq74'}eeiRijn^aliuY4Whnoxl?012?5585=2ygcy??0=1=61=tdf~:?"z`nd]dakYdgdzT?Rokdrwa45674=4986}cou30+qiimVmnbRm`mq]0[dbc{|h;<=>35?07?vjh|89$xb`j_fgm[fijxV9Tmij|uc2345:16;>0aa{12-wkkcXoldTobc_2]b`aurj9:;<191259phjr6;&~dbhQheo]`khvX;Vkoh~{m01238=85<2ygcy?;rnlp45(|fdnSjka_bmntZ5XkdzTi|>?0100?vjh|89$xb`j_fgm[fijxV9To`~Pep234575:l1x`bz>3.vlj`Y`mgUhc`~P3^antZcv89:;=?!CUU6\HZDRN;?0aa{12-wkkcXoldTobc_2]`iuYby9:;<<< O318wiis9:%cckPgdl\gjkwW:Uha}Qjq1234735;2ygcy??04321>ukg};8#yaae^efjZiw484:96}cou30+qiimVmnbRa<3<21>ukg};8#yaae^efjZiw4:4:86}cou30+qiimVmnbRa_03`?vjh|89$xb`j_fgm[jvX9Vddx=>?3318wiis9:%cckPgdl\kuY6Wge<=><1^KMRZ66<2ygcy?ukg};8#yaae^efjZiwW:;h7~b`t01,pjhbWnoeSb~P3^llp567;;90aa{12-wkkcXoldTc}Q<_omw45649VCEZR>>3:qokq74'~hTmi|Pi=7=442<{ee=>!xb^cgvZo;=7;;=85|lnv27*qeWhnySd2:>03465=tdf~:?"ym_`fq[l:268;<#A[[4^N\FP@6?2ygcy?!xb^cgvZo;=78:;?>4smmw56)pjVko~Rg35?023*JR\=UGSO[I169phjr6;&}iSlj}_h>6:770'F;>7~b`t01,sgYfl{Ub080=2636?vjh|89${oQnds]j8085?8;?7~b`t01,sgYfl{Ub080<0078wiis9:%|nRokr^k?1;56?;:0aa{12-tfZgczVc793=>7.NVP1YKWK_M=:5|lnv27*qeWhnySd2:>234+J723zfdx<= wc]b`wYn4<48>:?;;rnlp45(kUjhQf<4<754`<{ee=>!xb^cgvZo;=7>:#A[[4^F\FP@6k2ygcy?Taxv?01227<7b3zfdx<= wc]geqYhW=Ufyu>?0130=Z@6m2ygcy?Taxv?012273.ua[agsWfU?S`{w01235=46j2ygcy?Taxv?012134b<{ee=>!xb^fbpZiX?035\B4b<{ee=>!xb^fbpZiX?035\C4d<{ee=>!xb^fbpZiX?0912`>ukg};8#zlPd`v\kZ2Xe|r;<=>73^D2`>ukg};8#zlPd`v\kZ2Xe|r;<=>73^E2f>ukg};8#zlPd`v\kZ3Xe|r;<=>=00a8wiis9:%|nRjnt^m\1Zkrp9:;<> LTV0[AYE]O;i7~b`t01,sgYci}UdS8Qbuy23454>9k1x`bz>3.ua[agsWfU>S`{w012304413zfdx<= wc]geqYhW?0162+ISSd:qokq74'~hThlzPo^7\ip~789:?="A>a:qokq74'~hThlzPo^7\ip~789:<=o5|lnv27*qeWmkSbQ:_lw{4567?8;i7~b`t01,sgYci}UdS8Qbuy2345>59k1x`bz>3.ua[agsWfU=S`{w0123657e3zfdx<= wc]geqYhW?Ufyu>?016263=tdf~:?"ym_ecw[jY1Wds<=>?40-OQQ2XDVH^J;i7~b`t01,sgYci}UdS;Qbuy2345169k1x`bz>3.ua[agsWfU=S`{w0123<77e3zfdx<= wc]geqYhW>Ufyu>?01035g=tdf~:?"ym_ecw[jY0Wds<=>?283a?vjh|89${oQkau]l[2Yj}q:;<=:>1`9phjr6;&}iSio{_n]4[hs89:;;;:n6}cou30+rdXlh~TcR9Pmtz3456?:>1x`bz>4.a8wiis9=%jofQf129phjr6<&khgRgPos2345733zfdx<: abi\mZiu89:;=o5|lnv20*unl&o0aa{15-pma)I99;?7~b`t06,wlb(lfUiyk2?>068wiis9=%xei!ko^`vb9799=1x`bz>4.qj`*bhWkm0?0>4:qokq73'zco#iaPbtd?7;733zfdx<: shf,`jYe}o6?2<:4smmw51)tam%ocRlzf=7=51=tdf~:8"}fd.fl[gsa4?4:86}cou37+voc'meTnxh37?30?vjh|8>$dj dn]aqcY79:1x`bz>4.qj`*bhWkmS5|lnv20*unl&ndSo{i_63g?vjh|8>$dj dn]aqcYj}q:;<>2?>33e?vjh|8>$dj dn]aqcYj}q:;<>2?>3]164=tdf~:8"}fd.fl[gsaWds<=><<1<1[VQ79j1x`bz>4.qj`*bhWkmS`{w01208286l2ygcy?;/rkg+aiXj|lTaxv?011?3;76n2ygcy?;/rkg+aiXj|lTaxv?011?3;7X:?1x`bz6/328wiis1&Gjon{b_web[sYhnoynxRmv<02=66=tdf~2#@olcto\rbgX~Vemj~k{_b{?558Xz}8;7~b`t8-NefereV|lmRxPogdpaqYdq5;:2?=4smmw=*KfkjfS{in_w]lbcub|Vir0ukg}3$Almlul]ucdYqWflmhzPcx>26;443zfdx4!BabaviZp`iV|Tckh|eu]`}9756Vx>=5|lnv:+Hgdk|gTzjoPv^mebvcsWjs7=>0=3:qokq?(Ehihy`Qyg`]u[j`a{l~Tot2>3?]qp4`<{ee5"Cncbwn[safWUdjk}jt^az8086n2ygcy7 M`a`qhYqohU}Sbhisdv\g|:16;80aa{9.ObgfsjWmjS{Q`fgqfpZe~4?4T~y?i;rnlp<)Jiji~aRxha^t\kc`tm}Uhu1911g9phjr>'DkhoxcPvfc\rZianzoSnw38?01?vjh|0%Fmnmzm^tdeZpXgolxiyQly=:=[wr6n2ygcy7 M`a`qhYqohU}Sbhisdv\g|:>6;80aa{9.ObgfsjWmjS{Q`fgqfpZe~404T~y348wiis1&Gjon{b_web[sYhnoynxRbzt=7=[LHQW98;7~b`t8-NefereV|lmRxPogdpaqYk}}6<2?84smmw=*KfkjfS{in_w]lbcub|Vf~x191_HLU[5463zfdx4!BabaviZp`iV|Tckh|eu]{kw:6878:7~b`t8-NefereV|lmRxPogdpaqYg{6:=3<>;rnlp<)Jiji~aRxha^t\kc`tm}Usc2>2?02?vjh|0%Fmnmzm^tdeZpXgolxiyQwos>27;463zfdx4!BabaviZp`iV|Tckh|eu]{kw:6<78?7~b`t8-NefereV|lmRxPogdpaqYg{6:83Q}t328wiis1&Gjon{b_web[sYhnoynxRv`r=7=65=tdf~2#@olcto\rbgX~Vemj~k{_ymq838582ygcy7 M`a`qhYqohU}Sbhisdv\|jt;?78;7~b`t8-NefereV|lmRxPogdpaqYg{632?>4smmw=*KfkjfS{in_w]lbcub|Vrd~171219phjr>'DkohRxha^pbiZgcl{oxRmv<1<17>ukg}3$Aljk_web[wgjWhno~h}|_b{?4;Yu|;;0aa{9.Ob`aYqohUym`QndepfwvYdq5;;2??4smmw=*KflmU}klQ}al]b`atb{zUhu1?>>338wiis1&GjhiQyg`]qehYflmxn~Qly=31:72<{ee5"Cnde]ucdYuidUjhi|jsr]`}9756Vx><5|lnv:+HgclV|lmR|nm^cg`wct{Vir0<=1259phjr>'DkohRxha^pbiZgcl{oxRmv<01=[wr582ygcy7 M`fg[safW{kfSljkrdqp[f;97887~b`t8-NeabX~nkT~lcPaefqavuXkp6:2R|{219phjr>'DkohRxha^pbiZgcl{oxRmv<3<17>ukg}3$Aljk_web[wgjWhno~h}|_b{?6;Yu|;:0aa{9.Ob`aYqohUym`QndepfwvYdq595>>5|lnv:+HgclV|lmR|nm^cg`wct{Vir0>0Pru03?vjh|0%FmijPvfc\vdkXimnyi~}Pcx>7:75<{ee5"Cnde]ucdYuidUjhi|jsr]`}929W{~9<6}cou;,IdbcWmjSob_`fgv`utWjs793328wiis1&GjhiQyg`]qehYflmxn~Qly=5=65=tdf~2#@okd^tdeZtfeVkohk|s^az8=8582ygcy7 M`fg[safW{kfSljkrdqp[f;17897~b`t8-NeabX~nkT~lcPaefqavuXd|~7==0=2:qokq?(EhnoS{in_scn[dbczlyxSa{{<03=64=tdf~2#@okd^tdeZtfeVkohk|s^nvp939:81x`bz6/Lcg`Zp`iVxjaRokdsgpwZjr|5<5><5|lnv:+HgclV|lmR|nm^cg`wct{Vf~x191209phjr>'DkohRxha^pbiZgcl{oxRbzt=:=64=tdf~2#@okd^tdeZtfeVkohk|s^nvp9?9:81x`bz6/Lcg`Zp`iVxjaRokdsgpwZ~hz5:5>?5|lnv:+HgclV|lmR|nm^cg`wct{Vrd~1??>308wiis1&GjhiQyg`]qehYflmxn~Qwos>25;453zfdx4!Baef\rbgXzhgTmij}erq\|jt;9;49>6}cou;,IdbcWmjSob_`fgv`utWqey0<=1239phjr>'DkohRxha^pbiZgcl{oxRv`r=37:73<{ee5"Cnde]ucdYuidUjhi|jsr]{kw:6<7Uyx??4smmw=*KflmU}klQ}al]b`atb{zUsc2>>338wiis1&GjhiQyg`]qehYflmxn~Qwos>1:77<{ee5"Cnde]ucdYuidUjhi|jsr]{kw:46;;0aa{9.Ob`aYqohUym`QndepfwvYg{6?2??4smmw=*KflmU}klQ}al]b`atb{zUsc2:>338wiis1&GjhiQyg`]qehYflmxn~Qwos>5:77<{ee5"Cnde]ucdYuidUjhi|jsr]{kw:06;;0aa{9.Ob`aYqohUym`QndepfwvYg{632??4smmw=*KflmU}klQ}al]b`atb{zUsc26>0`8wiis1&Ghcx`{_ialqkrXyVir0=0>b:qokq?(Eje~byQgcnwmpZwXkp6:24:Zts9k1x`bz6/LalqkrX`je~byQ~_b{?<;7b3zfdx4!BcnwmpZndg|dS|Qly=:=[wr6j2ygcy7 MbmvjqYokfexRPcx>::4c<{ee5"Clotlw[meh}g~T}Rmv<8<\vq7d3zfdx4!BcnwmpZndg|dS|Qcuu>3:74<{ee5"Clotlw[meh}g~T}Rbzt=2=[LHQW9;27~b`t8-Ngjsi|Vbhcx`{_p]uei7f3zfdx4!BcnwmpZndg|dS|Qyam32g>ukg}3$Anaznu]kgjsi|V{Ttb|30?3g?vjh|0%Fob{at^j`kphsWxUsc2>0?03?vjh|0%Fob{at^j`kphsWxUsc2>0?]qp4e<{ee5"Clotlw[meh}g~T}Rv`r=3=5f=tdf~2#@m`uov\lfirf}UzSua}<3<2g>ukg}3$Anaznu]kgjsi|V{Ttb|33?3`?vjh|0%Fob{at^j`kphsWxUsc2;>0a8wiis1&Ghcx`{_ialqkrXyVrd~1;11b9phjr>'DidyczPhbmvjqYvWqey0;0>c:qokq?(Eje~byQgcnwmpZwXpfx7;3?l;rnlp<)JkfexRflotlw[tYg{632ukg}3$Anaznu]k`luwWjs7==0Pru3;?vjh|0%Fob{at^jgmvvXkp6:2^pw5==tdf~2#@m`uov\laotxVir0>0>b:qokq?(Eje~byQgdhqs[f;;7Uyx<64smmw=*Kdg|dSejfsq]`}9299k1x`bz6/LalqkrX`mcx|Rmv<5<\vq7?3zfdx4!BcnwmpZncazzTot2:>0`8wiis1&Ghcx`{_ifjwuYdq5?5Sz>8:qokq?(Eje~byQgdhqs[f;>7;i7~b`t8-Ngjsi|Vboe~~Pcx>5:Zts911x`bz6/LalqkrX`mcx|Rmv<6<2f>ukg}3$Anaznu]k`luwWjs7;3Q}t0:8wiis1&Ghcx`{_ifjwuYdq525=o5|lnv:+Heh}g~Tdig|p^az8=8Xz};37~b`t8-Ngjsi|Vboe~~Pcx>::4d<{ee5"Clotlw[mbn{yUhu171_sv2=>ukg}3$Anaznu]k`luwWe0=0>f:qokq?(Eje~byQgdhqs[iss494TECXP00;8wiis1&Ghcx`{_ifjwuYg{6;2ukg}3$Anaznu]k`luwWqey0?0>9:qokq?(Eje~byQgdhqs[}iu4:4:56}cou;,Ifirf}Uchd}_ymq818612ygcy7 MbmvjqYol`y{Sua}<4<2=>ukg}3$Anaznu]k`luwWqey0;0>9:qokq?(Eje~byQgdhqs[}iu4>4:56}cou;,Ifirf}Uchd}_ymq8=8612ygcy7 MbmvjqYol`y{Sua}<8<14>ukg}3$Anaznu]kkc`Xpfx7<3?>_HLU[57f3zfdx4!BcnwmpZnhnoUsc2>>032e>ukg}3$Anaznu]kkc`Xpfx7>3?>1c9phjr>'DidyczPvbmvjqYvWjs7<3?m;rnlp<)JkfexRxlotlw[tYdq5;5=h5|lnv:+Heh}g~Tznaznu]r[f;97Uyx5:Zts9k1x`bz6/LalqkrX~je~byQ~_b{?3;7b3zfdx4!BcnwmpZpdg|dS|Qly=5=[wr6j2ygcy7 MbmvjqYqkfexRPcx>;:4c<{ee5"Clotlw[seh}g~T}Rmv<9<\vq7d3zfdx4!BcnwmpZpdg|dS|Qcuu>3:74<{ee5"Clotlw[seh}g~T}Rbzt=2=[LHQW9;27~b`t8-Ngjsi|V|hcx`{_p]uei7f3zfdx4!BcnwmpZpdg|dS|Qyam32g>ukg}3$Anaznu]ugjsi|V{Ttb|30?3`?vjh|0%Fob{at^t`kphsWxUsc2>>0a8wiis1&Ghcx`{_walqkrXyVrd~1<11b9phjr>'DidyczPvbmvjqYvWqey0>0>c:qokq?(Eje~byQycnwmpZwXpfx783?l;rnlp<)JkfexRxlotlw[tYg{6>24:o6}cou;,Ifirf}U}ob{at^s\|jt;07;h7~b`t8-Ngjsi|V|hcx`{_p]{kw:>68l0aa{9.O`kphsWidyczPq^zlv9?9W{~9<6}cou;,Ifirf}U}ckhPxnp?4;76W@D]S=?n;rnlp<)JkfexRx`fg]{kw:668;:m6}cou;,Ifirf}U}ckhPxnp?6;76981x`bz6/i]p}keX|`i`=n5|lnv:+mYtqgiTxdmd_bos[`w789::h6}cou;,lZu~fjUenePclr\at6789;9=6}cou;,lZu~fjUenePclr\at6789;T_Z>>1:qokq?(`je~byQ~<1<26>ukg}3$dnaznu]r8469981x`bz6/ialqkrXy5;5=<5|lnv:+meh}g~T}1<1109phjr>'aidyczPq=1=54=tdf~2#em`uov\u929981x`bz6/ialqkrXy5?5=<5|lnv:+meh}g~T}181109phjr>'aidyczPq=5=54=tdf~2#em`uov\u9>9981x`bz6/ialqkrXy535==5|lnv:+meh}g~T}R>>0:qokq?(`je~byQ~_032?vjh|0%cob{at^s\55773zfdx4!gcnwmpZwX:8:0aa{9.j`kphsWxU8==5|lnv:+meh}g~T}R:>0:qokq?(`je~byQ~_433?vjh|0%cob{at^s\246<{ee5"flotlw[tY0991x`bz6/ialqkrXyV2:<6}cou;,lfirf}UzS4h4smmw=*ncazz7==0i;rnlp<)ol`y{0g9phjr>'anb}2>3?g8wiis1&boe~~32?g8wiis1&boe~~33?g8wiis1&boe~~34?g8wiis1&boe~~35?g8wiis1&boe~~36?g8wiis1&boe~~37?g8wiis1&boe~~38?g8wiis1&boe~~39?g8wiis1&boe~~P11g8wiis1&boe~~P10g8wiis1&boe~~P13g8wiis1&boe~~P12f8wiis1&boe~~P2e9phjr>'anb}Q?159phjr>'anb}Qnne234576>2ygcy7 hekptZejxVoz<=>?199phjr>'anb}Qlmq]fu56788::46}cou;,laotxVif|Rk~0123547?3zfdx4!gdhqs[fkwWl{;<=>=90:8wiis1&boe~~Pclr\at67899:=>5|lnv:+mbn{yUecy>?0037?vjh|0%chd}_omw45669m1x`bz6/imeb969l2ygcy7 hnde848c3zfdx4!gogd?6;e<{ee5"f`fg]3g>ukg}3$dbhi_0a8wiis1&bdjkQ=139phjr>'aemjRoad123440<{ee5"f`fg]bja6789UX[=o4smmw=*tfe&;?7~b`t8-qeh)jaVkohfPq048wiis1&xja"cf_ecw[j:768;=7~b`t8-qeh)jaVnjxRa31?322>ukg}3$~lc mh]geqYh4;4:=;5|lnv:+wgj'dcThlzPo=1=540<{ee5"|nm.oj[agsWf6?22ygcy7 r`o,ilYci}Ud0;0>179phjr>'{kf#`gPd`v\k91998h0aa{9.pbi*knW{kfS=Qkau]l8586j2ygcy7 r`o,ilYuidU;Sio{_n>2:4d<{ee5"|nm.oj[wgjW9UomyQ`<3<2f>ukg}3$~lc mh]qehY7WmkSb2<>0`8wiis1&xja"cf_scn[5Yci}Ud090>b:qokq?(zhg$adQ}al]3[agsWf6>22:4d<{ee5"|nm.oj[wgjW8UomyQ`<3<2f>ukg}3$~lc mh]qehY6WmkSb2<>0`8wiis1&xja"cf_scn[4Yci}Ud090>b:qokq?(zhg$adQ}al]2[agsWf6>2_ecw[j:06o1x`bz6/scn+wgj8&;37~b`t8-qeh)uid:$Aob_SCN54><{ee5"|nm.pbi5)JzhgT^LC=199phjr>'{kf#ob0.OqehYUID9:46}cou;,vdk(zhg;#@|nm^PBI17?3zfdx4!}al-qeh6(E{kfS_OB50:8wiis1&xja"|nm1-NvdkXZHG==55|lnv:+wgj'{kf<"C}al]QEH1602ygcy7 r`o,vdk7'DxjaR\NM9d8wiis1&xja"|nm0-2<>ukg}3$~lc r`o2+HtfeVXJA4:qokq?(zhg$zRjnt`>3:4733zfdx4!}al-u[agsi5;5=<:4smmw=*tfe&|Thlzn<3<251=tdf~2#ob/w]geqg;;7;:86}cou;,vdk(~Vnjxl2;>037?vjh|0%ym`!y_ecwe93998>0aa{9.pbi*pXlh~j0;0>159phjr>'{kf#{Qkauc?3;7612ygcy7 r`o,rZtfeV:Thlzn<1<2=>ukg}3$~lc v^pbiZ6Xlh~j0<0>9:qokq?(zhg$zR|nm^2\`drf4;4:56}cou;,vdk(~VxjaR>Pd`vb868612ygcy7 r`o,rZtfeV:Thlzn<5<2=>ukg}3$~lc v^pbiZ6Xlh~j080>9:qokq?(zhg$zR|nm^2\`drf4?4:56}cou;,vdk(~VxjaR>Pd`vb8286<2ygcy7 r`o,rZtfeV:Tic?m;rnlp<)uid%}Sob_1]fj4YNF_U;=45|lnv:+wgj'Uym`Q>_ecwe969901x`bz6/scn+sYuidU:Sio{a=3=5<=tdf~2#ob/w]qehY6Wmkm1<1189phjr>'{kf#{Q}al]2[agsi595=45|lnv:+wgj'Uym`Q>_ecwe929901x`bz6/scn+sYuidU:Sio{a=7=5<=tdf~2#ob/w]qehY6Wmkm181189phjr>'{kf#{Q}al]2[agsi5=5o6}cou;,vdkXln0aa{9.pbiZqb98:0aa{9.pfeaYbfmoo=55|lnv:+wcflVoehhjPaof3456612ygcy7 rdcg[`hcmmUjbi>?013a?vjh|0%yy>f:qokq?(zz~z=Rgav048wiis1&xxx|?Piot2[LHQW9h0aa{9.pppt4a3zfdx4!y_ekptig~9:1x`bz6/w]gmvvkipUbb{?n;rnlp<)qWmcx|aov_hlu5ZOI^V::;6}cou;,rZbn{yfjuR``t12354?<{ee5"xPdhqshdXff~;<=?>50;8wiis1&|Thd}l`{\jjr789;9><74smmw=*pXl`y{`lwPnnv34575?820aa{9.t\`luwdhsTbbz?013;54=tdf~2#{m`uov\u969981x`bz6/walqkrXy5;5=<5|lnv:+seh}g~T}1<1109phjr>'idyczPq=1=54=tdf~2#{m`uov\u929981x`bz6/walqkrXy5?5=<5|lnv:+seh}g~T}181109phjr>'idyczPq=5=54=tdf~2#{m`uov\u9>9981x`bz6/walqkrXy535==5|lnv:+seh}g~T}R>>0:qokq?(~je~byQ~_033?vjh|0%}ob{at^s\646<{ee5"xlotlw[tY4991x`bz6/walqkrXyV>:<6}cou;,rfirf}UzS8??;rnlp<)qkfexRP6028wiis1&|hcx`{_p]455=tdf~2#{m`uov\uZ>682ygcy7 vbmvjqYvW0;=7~b`t8-ugjsi|V{Tmcj?01223>ukg}3$znaznu]r[dhc89:;=h5|lnv:+safWk6;2h5|lnv:+safWk6:2i5|lnv:+safWkU;h6}cou;,rbgXjV;n7~b`t8-ucdY`494n7~b`t8-ucdY`484n7~b`t8-ucdY`4;4o7~b`t8-ucdY`W9n0aa{9.tdeZaX9m1x`bz6/web[bY5n2ygcy7 vfc\lvik8=0aa{9.tdeZntqgiTmcj?01223>ukg}3$zjoPhr{mgZkrp9:;<<64smmw=*p`iVbxucmPmtz34565901x`bz6/web[mu~fjUfyu>?01025g=tdf~2#{in_iqzjfYj}q:;<=1e:qokq?(~nkT~1?1e:qokq?(~nkT~1<1d:qokq?(~nkT~R>k;rnlp<)qohUyS<64smmw=*p`iVxjaRokdsgpw969901x`bz6/web[wgjWhno~h}|<02=5<=tdf~2#{in_scn[dbczlyx0'mjSob_`fgv`ut4885=45|lnv:+safW{kfSljkrdqp8459901x`bz6/web[wgjWhno~h}|<06=5==tdf~2#{in_scn[dbczlyx0<0>8:qokq?(~nkT~lcPaefqavu;:7;37~b`t8-ucdYuidUjhi|jsr>0:4><{ee5"xha^pbiZgcl{ox1:1199phjr>'mjSob_`fgv`ut4<4:46}cou;,rbgXzhgTmij}erq?2;7?3zfdx4!yg`]qehYflmxn~28>0:8wiis1&|lmR|nm^cg`wct{525=55|lnv:+safW{kfSljkrdqp8<86=2ygcy7 vfc\vdkXlh~j0=0>5:qokq?(~nkT~lcPd`vb8486=2ygcy7 vfc\vdkXlh~j0?0>5:qokq?(~nkT~lcPd`vb8686=2ygcy7 vfc\vdkXlh~j090>5:qokq?(~nkT~lcPd`vb8086=2ygcy7 vfc\vdkXlh~j0;0>5:qokq?(~nkT~lcPd`vb8286>2ygcy7 vfc\rZianzo0<>1179phjr>'mjS{Q`fgqfp97668<0aa{9.tdeZpXgolxiy2>2?35?vjh|0%}klQy_ndew`r;9:4::6}cou;,rbgX~Vemj~k{<06=50=tdf~2#{in_w]lbcub|5?5=85|lnv:+safWUdjk}jt=4=50=tdf~2#{in_w]lbcub|5=5=85|lnv:+safWUdjk}jt=:=50=tdf~2#{in_w]lbcub|535=85|lnv:+safWUdjk}jt^3350=tdf~2#{in_w]lbcub|V;:=85|lnv:+safWUdjk}jt^3150=tdf~2#{in_w]lbcub|V;8=85|lnv:+safWUdjk}jt^3751=tdf~2#{in_w]lbcub|V?:86}cou;,rbgX~Vemj~k{_737?vjh|0%}klQy_ndew`rX?8>0aa{9.tdeZpXgolxiyQ7159phjr>'mjS{Q`fgqfpZ?6l2ygcy7 vfc\rZianzoSnc_ds34566n2ygcy7 vfc\rZianzoSnc_ds3456688l0aa{9.tdeZpXgolxiyQlmq]fu5678;>:j6}cou;,rbgX~Vemj~k{_bos[`w789:88ukg}3$zjoPvr{mgZgil9:;b:qokq?(~nkTz~wac^cm`5678VY\<<94smmw=*p`iV|xucmPmtz34566j2ygcy7 vfc\rvikVg~t=>?0^QT4a=tdf~2#{aif=2=`>ukg}3$zbhi<0?149phjr>'emjRa}0123[VQ7k2ygcyQncj>25;d<{eeSlmd<022;Ynf;o7~b`t^cg`wct{5:50<81_hlu[LHQW9;37~b`t^cg`wct{5:50?81_4]e24?<{eeSljkrdqp858;:?4T9Rh910c8wiisWhno~h}|<11<34=[0Ya>8;:m6}cou]b`atb{z6;21<9>^7\b3759h1x`bzPaefqavu;8769:3Q:_g4274g<{eeSljkrdqp858;:?4T9Rh9153b?vjh|Vkohk|s=2=8709Wa:qokqYflmxn~2?>=05:Z3Xn?;==l5|lnv\eabumzy7<32=6?]6[c06?8k0aa{_`fgv`ut4947>;0P5^d55=7f3zfdxRokdsgpw9694;<5S8Qi60;2=>ukg}Ujhi|jsr>3:9416V?Tj;<>a:qokqYflmxn~2?>=05:Z3Xn?8;=l5|lnv\eabumzy7<32=6?]6[c0598k0aa{_`fgv`ut4947>;0P5^d5677f3zfdxRokdsgpw9694;<5S8Qi6312e>ukg}Ujhi|jsr>3:9416V?Tj;<;1`9phjrXimnyi~}30?>12;Y2Wo<997U>Sk8=90;8wiisWhno~h}|<13zfdxRokdsgpw9694;<5S8Qi643:?vjh|Vkohk|s=2=8709W1<34=[0Ya>>;27~b`t^cg`wct{5:50?81_4]e2=7>3zfdxRokdsgpw9694;<5S8Qi683b?vjh|Vkohk|s=2=8709W>a:qokqYflmxn~2?>=05:Z3Xn?U:=o5|lnv\eabumzy7<32=6?]6[c0X99;i7~b`t^cg`wct{5:50?81_4]e2Z769k1x`bzPaefqavu;8769:3Q:_g4\577e3zfdxRokdsgpw9694;<5S8Qi6^305g=tdf~Tmij}erq?4;:5>7U>Sk8P153a?vjh|Vkohk|s=2=8709W12;Y2Wo1<34=[0Ya>V;<=o5|lnv\eabumzy7<32=6?]6[c0X91;i7~b`t^cg`wct{5:50?81_4]e2Z7>9h1x`bzPaefqavu;8769:3Q:_g4\64d<{eeSljkrdqp858;:?4T9Rh9_322f>ukg}Ujhi|jsr>3:9416V?Tj;Q=10`8wiisWhno~h}|<1b:qokqYflmxn~2?>=05:Z3Xn?U9?:n6}cou]b`atb{z6;21<9>^7\b3Y5=8h0aa{_`fgv`ut4947>;0P5^d5[706j2ygcyQndepfwv:7658=2R;Pf7]134d<{eeSljkrdqp858;:?4T9Rh9_3:2f>ukg}Ujhi|jsr>3:9416V?Tj;Q=90c8wiisWhno~h}|<1?n;rnlpZgcl{ox1>1<34=[0Ya>V>:m6}cou]b`atb{z6;21<9>^7\b3Y29h1x`bzPaefqavu;8769:3Q:_g4\24g<{eeSljkrdqp858;:?4T9Rh9_63b?vjh|Vkohk|s=2=8709Wa:qokqYflmxn~2?>=05:Z3Xn?U2=55|lnv\eabumzy7<32=6?]5[c3612ygcyQndepfwv:7658=2R8Pf432e>ukg}Ujhi|jsr>3:9416V12;Y1Wo?:>7U=Sk;=1`9phjrXimnyi~}30?>12;Y1Wo?9<7U=Sk;=60c8wiisWhno~h}|<1Vl>>5?n;rnlpZgcl{ox1>1<34=[3Ya=:::m6}cou]b`atb{z6;21<9>^4\b0559h1x`bzPaefqavu;8769:3Q9_g7004g<{eeSljkrdqp858;:?4T:Rh:373b?vjh|Vkohk|s=2=8709W?Um9>6>9:qokqYflmxn~2?>=05:Z0Xn<>:m6}cou]b`atb{z6;21<9>^4\b0279h1x`bzPaefqavu;8769:3Q9_g7764g<{eeSljkrdqp858;:?4T:Rh:423b?vjh|Vkohk|s=2=8709W?Um99:>a:qokqYflmxn~2?>=05:Z0Xn<>==l5|lnv\eabumzy7<32=6?]5[c3308k0aa{_`fgv`ut4947>;0P6^d60<7f3zfdxRokdsgpw9694;<5S;Qi5422e>ukg}Ujhi|jsr>3:9416V1`9phjrXimnyi~}30?>12;Y1Wo?>>7U=Sk;:60c8wiisWhno~h}|<1Vl>9:?n;rnlpZgcl{ox1>1<34=[3Ya=<2:56}cou]b`atb{z6;21<9>^4\b006i2ygcyQndepfwv:7658=2R8Pf4435<=tdf~Tmij}erq?4;:5>7U=Sk;71`9phjrXimnyi~}30?>12;Y1Wo?T<;0P6^d6[456m2ygcyQndepfwv:7658=2R8Pf4]27Zts9k1x`bzPaefqavu;8769:3Q9_g7\507b3zfdxRokdsgpw9694;<5S;Qi5^36[wr6j2ygcyQndepfwv:7658=2R8Pf4]234c<{eeSljkrdqp858;:?4T:Rh:_05\vq7e3zfdxRokdsgpw9694;<5S;Qi5^3:5`=tdf~Tmij}erq?4;:5>7U=Sk;P18]qp4b<{eeSljkrdqp858;:?4T:Rh:_0]qp4d<{eeSljkrdqp858;:?4T:Rh:_322f>ukg}Ujhi|jsr>3:9416VVl>S??Pru3a?vjh|Vkohk|s=2=8709W?Um9R<<1d9phjrXimnyi~}30?>12;Y1Wo?T>>Q}t0`8wiisWhno~h}|<1Vl>S?;>e:qokqYflmxn~2?>=05:Z0Xn12;Y1Wo?T>:?j;rnlpZgcl{ox1>1<34=[3Ya=V8b:qokqYflmxn~2?>=05:Z0Xn1<34=[3Ya=V9:n6}cou]b`atb{z6;21<9>^4\b0Y498o0aa{_`fgv`ut4947>;0P6^d6[67Xz};i7~b`t^cg`wct{5:50?81_7]e1Z549l1x`bzPaefqavu;8769:3Q9_g7\76Yu|8h0aa{_`fgv`ut4947>;0P6^d6[636m2ygcyQndepfwv:7658=2R8Pf4]01Zts9k1x`bzPaefqavu;8769:3Q9_g7\727b3zfdxRokdsgpw9694;<5S;Qi5^14[wr6j2ygcyQndepfwv:7658=2R8Pf4]0=4c<{eeSljkrdqp858;:?4T:Rh:_2;\vq7c3zfdxRokdsgpw9694;<5S;Qi5^1\vq7e3zfdxRokdsgpw9694;<5S;Qi5^625`=tdf~Tmij}erq?4;:5>7U=Sk;P40]qp4d<{eeSljkrdqp858;:?4T:Rh:_502f>ukg}Ujhi|jsr>3:9416VVl>S9=Pru3a?vjh|Vkohk|s=2=8709W?Um9R::1d9phjrXimnyi~}30?>12;Y1Wo?T88Q}t0`8wiisWhno~h}|<1Vl>S99>e:qokqYflmxn~2?>=05:Z0Xn12;Y1Wo?T85?m;rnlpZgcl{ox1>1<34=[3Ya=V>2=h5|lnv\eabumzy7<32=6?]5[c3X<0Uyxukg}Ujhi|jsr>3:9416V7U=Sk;P553a?vjh|Vkohk|s=2=8709W?Um9R;:1d9phjrXimnyi~}30?>12;Y1Wo?T98Q}t0`8wiisWhno~h}|<1Vl>S88>b:qokqYflmxn~2?>=05:Z0Xn;1<34=[3Ya=V?2=h5|lnv\eabumzy7<32=6?]5[c3X=0Uyx;o7~b`t^cg`wct{5:50?81_7]e1Z1Xz};j7~b`t^cg`wct{5:50?81_7]e1Z?6l2ygcyQndepfwv:7658=2R8Pf4]:[wr6j2ygcyQndepfwv:7658=2R8Pf4]qp43<{eeSljkrdqp858;:?4T;<84smmw[dbczlyx0=0327<\34703zfdxRokdsgpw9694;<5S:??169phjrXimnyi~}30?>12;Y09;;<7~b`t^cg`wct{5:50?81_63752=tdf~Tmij}erq?4;:5>7U<=;?8;rnlpZgcl{ox1>1<34=[27?9?1x`bzPaefqavu;8769:3Q82058wiisWhno~h}|<1^51541<{eeSljkrdqp858;:?4T;?<>7:qokqYflmxn~2?>=05:Z15<8=0aa{_`fgv`ut4947>;0P73423>ukg}Ujhi|jsr>3:9416V=94<94smmw[dbczlyx0=0327<\3666?2ygcyQndepfwv:7658=2R9<2058wiisWhno~h}|<1:;6}cou]b`atb{z6;21<9>^50241<{eeSljkrdqp858;:?4T;>6>6:qokqYflmxn~2?>=05:Z139>1x`bzPaefqavu;8769:3Q84134?vjh|Vkohk|s=2=8709W>>9=:5|lnv\eabumzy7<32=6?]406703zfdxRokdsgpw9694;<5S::;169phjrXimnyi~}30?>12;Y07U<84?8;rnlpZgcl{ox1>1<34=[2379>1x`bzPaefqavu;8769:3Q85034?vjh|Vkohk|s=2=8709W>?9=:5|lnv\eabumzy7<32=6?]416703zfdxRokdsgpw9694;<5S:;;169phjrXimnyi~}30?>12;Y0=<;<7~b`t^cg`wct{5:50?81_67552=tdf~Tmij}erq?4;:5>7U<9:?8;rnlpZgcl{ox1>1<34=[23?9?1x`bzPaefqavu;8769:3Q86058wiisWhno~h}|<1^55540<{eeSljkrdqp858;:?4T;5?7;rnlpZgcl{ox1>1<34=[2Ya=830aa{_`fgv`ut4947>;0P7^d654g<{eeSljkrdqp858;:?4T;Rh:113b?vjh|Vkohk|s=2=8709W>Um9a:qokqYflmxn~2?>=05:Z1Xn<;9=l5|lnv\eabumzy7<32=6?]4[c36;8k0aa{_`fgv`ut4947>;0P7^d6517f3zfdxRokdsgpw9694;<5S:Qi5072e>ukg}Ujhi|jsr>3:9416V=Tj8?91`9phjrXimnyi~}30?>12;Y0Wo?:;ukg}Ujhi|jsr>3:9416V=Tj812;Y0Wo?9=7U>;?n;rnlpZgcl{ox1>1<34=[2Ya=;=:m6}cou]b`atb{z6;21<9>^5\b04?9h1x`bzPaefqavu;8769:3Q8_g71=4?<{eeSljkrdqp858;:?4T;Rh:30c8wiisWhno~h}|<1?=?6;rnlpZgcl{ox1>1<34=[2Ya==;27~b`t^cg`wct{5:50?81_6]e107>3zfdxRokdsgpw9694;<5S:Qi573:?vjh|Vkohk|s=2=8709W>Um9:?6;rnlpZgcl{ox1>1<34=[2Ya=1;27~b`t^cg`wct{5:50?81_6]e1<7f3zfdxRokdsgpw9694;<5S:Qi5^22e>ukg}Ujhi|jsr>3:9416V=Tj8Q>1c9phjrXimnyi~}30?>12;Y0Wo?T==?m;rnlpZgcl{ox1>1<34=[2Ya=V;:=o5|lnv\eabumzy7<32=6?]4[c3X9;;i7~b`t^cg`wct{5:50?81_6]e1Z749k1x`bzPaefqavu;8769:3Q8_g7\517e3zfdxRokdsgpw9694;<5S:Qi5^365g=tdf~Tmij}erq?4;:5>7UUm9R?81c9phjrXimnyi~}30?>12;Y0Wo?T=5?m;rnlpZgcl{ox1>1<34=[2Ya=V;2=l5|lnv\eabumzy7<32=6?]4[c3X:8h0aa{_`fgv`ut4947>;0P7^d6[766j2ygcyQndepfwv:7658=2R9Pf4]154d<{eeSljkrdqp858;:?4T;Rh:_302f>ukg}Ujhi|jsr>3:9416V=Tj8Q=30`8wiisWhno~h}|<1S?:>b:qokqYflmxn~2?>=05:Z1Xn^5\b0Y5?8h0aa{_`fgv`ut4947>;0P7^d6[7>6j2ygcyQndepfwv:7658=2R9Pf4]1=4g<{eeSljkrdqp858;:?4T;Rh:_23b?vjh|Vkohk|s=2=8709W>Um9R:>a:qokqYflmxn~2?>=05:Z1Xn=l5|lnv\eabumzy7<32=6?]4[c3X>8k0aa{_`fgv`ut4947>;0P7^d6[27f3zfdxRokdsgpw9694;<5S:Qi5^:2e>ukg}Ujhi|jsr>3:9416V=Tj8Q6179phjrXimnyi~}30?>12;Y?98=0aa{_`fgv`ut4947>;0P80223>ukg}Ujhi|jsr>3:9416V2:=1<34=[=749>1x`bzPaefqavu;8769:3Q71734?vjh|Vkohk|s=2=8709W1;2=:5|lnv\eabumzy7<32=6?];67703zfdxRokdsgpw9694;<5S5<:169phjrXimnyi~}30?>12;Y?:1;<7~b`t^cg`wct{5:50?81_91252=tdf~Tmij}erq?4;:5>7U3???8;rnlpZgcl{ox1>1<34=[=539>1x`bzPaefqavu;8769:3Q73635?vjh|Vkohk|s=2=8709W1>:;6}cou]b`atb{z6;21<9>^:7441<{eeSljkrdqp858;:?4T49=>7:qokqYflmxn~2?>=05:Z>3>8=0aa{_`fgv`ut4947>;0P85;23>ukg}Ujhi|jsr>3:9416V2>><94smmw[dbczlyx0=0327<\<036?2ygcyQndepfwv:7658=2R6:8058wiisWhno~h}|<1^:5041<{eeSljkrdqp858;:?4T4;;>7:qokqYflmxn~2?>=05:Z>1?8<0aa{_`fgv`ut4947>;0P8634?vjh|Vkohk|s=2=8709W1=;=:5|lnv\eabumzy7<32=6?];36703zfdxRokdsgpw9694;<5S59;169phjrXimnyi~}30?>12;Y???;<7~b`t^cg`wct{5:50?81_95452=tdf~Tmij}erq?4;:5>7U3;4?8;rnlpZgcl{ox1>1<34=[=>79>1x`bzPaefqavu;8769:3Q78334?vjh|Vkohk|s=2=8709W128=:5|lnv\eabumzy7<32=6?];<0703zfdxRokdsgpw9694;<5S569169phjrXimnyi~}30?>12;Y?01;<7~b`t^cg`wct{5:50?81_9;250=tdf~Tmij}erq?4;:5>7U2=;5|lnv\eabumzy7<32=6?]:541<{eeSljkrdqp858;:?4T5<>>7:qokqYflmxn~2?>=05:Z?698=0aa{_`fgv`ut4947>;0P90023>ukg}Ujhi|jsr>3:9416V3:?<94smmw[dbczlyx0=0327<\=426?2ygcyQndepfwv:7658=2R7>5058wiisWhno~h}|<1^;2341<{eeSljkrdqp858;:?4T5<6>7:qokqYflmxn~2?>=05:Z?618<0aa{_`fgv`ut4947>;0P9334?vjh|Vkohk|s=2=8709W08;=:5|lnv\eabumzy7<32=6?]:64703zfdxRokdsgpw9694;<5S4<=169phjrXimnyi~}30?>12;Y>::;<7~b`t^cg`wct{5:50?81_80752=tdf~Tmij}erq?4;:5>7U2>8?8;rnlpZgcl{ox1>1<34=[<419>1x`bzPaefqavu;8769:3Q62634?vjh|Vkohk|s=2=8709W083=:5|lnv\eabumzy7<32=6?]:6<713zfdxRokdsgpw9694;<5S4=>7:qokqYflmxn~2?>=05:Z?488<0aa{_`fgv`ut4947>;0P9535?vjh|Vkohk|s=2=8709W0?::6}cou]b`atb{z6;21<9>^;553=tdf~Tmij}erq?4;:5>7U2;<84smmw[dbczlyx0=0327<\==713zfdxRokdsgpw9694;<5S47m;rnlpZe~k5:5o6}cou]`}f:6;7i0aa{_b{`8429j2ygcyQlyb>1:g=tdf~Totm33?`8wiisWjsh080m;rnlpZe~k5<5n6}cou]`}f:06k1x`bzPcxa?=;`<{eeSknd=2=858a3zfdxR|jae>3:979n2ygcyQ}e`f?4;:56o1x`bzPrdcg858;;7l0aa{_sgb`9694=4m7~b`t^pfea:765?5j6}cou]qadb;876=2k5|lnv\v`gc4947;3??;rnlpZtbim6:=32?>028wiisW{ojh1?>>=3=55=tdf~T~hok<03=878682ygcyQ}e`f?548;;7;;7~b`t^pfea:6976?2<>4smmw[wcfl5;:21;1119phjrXzlko0ukg}Uyilj31027;:768:0aa{_sgb`97465;5==5|lnv\v`gc48950?0>0:qokqYumhn7=>033?33?vjh|Vxnmi2>3?>7:46<{eeSknd=30:939991x`bzPrdcg84594?4:<6}cou]qadb;9:47;3h4smmw[wcfl5;50=0i;rnlpZtbim6:21?1f:qokqYumhn7=32=>g9phjrXzlko0?030?33?vjh|Vxnmi2=>=33:46<{eeSknd=0=8479991x`bzPrdcg878;9;4:<6}cou]qadb;:76:?3??;rnlpZtbim6921?;>028wiisW{ojh1<1<07=55=tdf~T~hok<34smmw[wcfl5850<71f:qokqYumhn7>32>>028wiisW{ojh1<1<32=55=tdf~T~hok<34smmw[wcfl5850?:1119phjrXzlko0?0324<24>ukg}Uyilj32?>12;773zfdxR|jae>1:94068:0aa{_sgb`9494;25==5|lnv\v`gc4;47>40i;rnlpZtbim6921<1119phjrXzlko0?0331<24>ukg}Uyilj32?>05;`<{eeSknd=0=868a3zfdxR|jae>1:929n2ygcyQ}e`f?6;:26o1x`bzPrdcg878;>7l0aa{_sgb`9494>4m7~b`t^pfea:56525j6}cou]qadb;:7622k5|lnv\v`gc4:47<3??;rnlpZtbim6821??>028wiisW{ojh1=1<03=55=tdf~T~hok<24smmw[wcfl5950<;1119phjrXzlko0>0317<24>ukg}Uyilj33?>23;773zfdxR|jae>0:97?68:0aa{_sgb`9594835j6}cou]qadb;;76:2<>4smmw[wcfl5950?>1119phjrXzlko0>0320<24>ukg}Uyilj33?>16;773zfdxR|jae>0:94468:0aa{_sgb`9594;>5==5|lnv\v`gc4:47>80>0:qokqYumhn7?32=6?33?vjh|Vxnmi2<>=04:46<{eeSknd=1=87>9991x`bzPrdcg868;:04m7~b`t^pfea:46585==5|lnv\v`gc4:47?=0>0:qokqYumhn7?32<1?d8wiisW{ojh1=1<2=6=b>ukg}Uyilj33?>6:c=tdf~T~hok<20:9>9n2ygcyQ}e`f?7;:>6o1x`bzPrdcg838;87l0aa{_sgb`909484m7~b`t^pfea:16585j6}cou]qadb;>7682k5|lnv\v`gc4?4783h4smmw[wcfl5<5080i;rnlpZtbim6=2181f:qokqYumhn7:328>g9phjrXzlko0;038?d8wiisW{ojh181<8=2=55=tdf~T~hok<64smmw[wcfl5=50<=1119phjrXzlko0:0315<24>ukg}Uyilj37?>21;`<{eeSknd=5=848a3zfdxR|jae>4:949n2ygcyQ}e`f?3;:46o1x`bzPrdcg828;<7l0aa{_sgb`9194<4m7~b`t^pfea:065<5j6}cou]qadb;?76<2k5|lnv\v`gc4>4743h4smmw[wcfl5=5040i;rnlpZtbim6221>1f:qokqYumhn7532>>g9phjrXzlko04032?d8wiisW{ojh171<2=6=b>ukg}Uyilj39?>6:c=tdf~T~hok<83zzj~yoa3d48w|uddb<0t}}sua8plkbzzUbb~z 199wmhcu{Vcey!{ilgq4Zoi{}%886zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rayf^antZcv89:;Snw30?17?qojm{yTec}{/uknaw6Xagy#@m`mqcq[jpaWjg{Sh?012\g|:66:>0xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxTc{hPclr\at6789Uhu1<1359wmhcu{Vcey!{ilgq4Zoi{}%Fobcas]lrcYdeyUn}=>?0^az8684<2~bah||_hlpp*rnelx;Sd`|t.O`khvfzVe}jRmbp^gr4567Wjs783=;;uknawuXagy#ygbes2\mkus'Dida}o}_nte[fkwWl{;<=>Pcx>6:62<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXglTo`~Pep2345Ydq5<5?95{ilgqwZoi{}%e`k}0^kmwq)Jkfg{mQ`vg]`iuYby9:;rnelxxSd`|t.vji`t7W`dxx"ClolrbvZiqnVif|Rk~0123[iss494896zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rayf^antZcv89:;Sa{{<0<01>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZiqnVif|Rk~0123[iss4;4896zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rayf^antZcv89:;Sa{{<2<01>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZiqnVif|Rk~0123[iss4=4896zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rayf^antZcv89:;Sa{{<4<01>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZiqnVif|Rk~0123[iss4?4896zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~Rayf^antZcv89:;Sa{{<6<0e>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Uhu1>13c9wmhcu{Vcey!{ilgq4Zoi{}%Fobcas]sshYh}}U;Snc_fg3456Xkp6:<3=m;uknawuXagy#ygbes2\mkus'Dida}o}_qun[jssW9Uha}Qhe1234Ze~48;5?o5{ilgqwZoi{}%e`k}0^kmwq)Jkfg{mQwl]lqqY7Wjg{Sjk?012\g|:6:79i7ygbesq\mkus'}cfi>Pioqw+HeheykyS}yb_nww[5YdeyUli=>?0^az8459;k1e`k}s^kmwq)sadoyPcx>20;5e3}cfi}Pioqw+qojm{:Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;>2c8plkbzzUbb~z thofv5Ynfz~$Anabp`p\trkXg|~T3=n;uknawuXagy#ygbes2\mkus'Dida}o}_qun[jssW9Uha}Qhe1234Ze~4:48m6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]`}929;h1e`k}s^kmwq)sadoyPcx>6:6g<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXx~gTcxzP0^antZab89:;Snw36?1b?qojm{yTec}{/uknaw6Xagy#@m`mqcq[uqjWfS=Qlmq]da5678Vir0:06:h0xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxT|zcPotv\4ZejxVmn<=>?_mww8584k2~bah||_hlpp*rnelx;Sd`|t.O`khvfzVz|aRazt^2\ghvXol:;<=Qcuu>24;5d3}cfi}Pioqw+qojm{:Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;rnelxxSd`|t.vji`t7W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Ugyy2>4?1`?qojm{yTec}{/uknaw6Xagy#@m`mqcq[uqjWfS=Qlmq]da5678Vf~x1?:>2`8plkbzzUbb~z thofv5Ynfz~$Anabp`p\trkXg|~Tl4thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ppvo\kprX8Vif|Rij0123[iss4:48n6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]oqq:36:h0xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxT|zcPotv\4ZejxVmn<=>?_mww8084j2~bah||_hlpp*rnelx;Sd`|t.O`khvfzVz|aRazt^2\ghvXol:;<=Qcuu>5:6d<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXx~gTcxzP0^antZab89:;Sa{{<6<0f>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Ugyy27>2`8plkbzzUbb~z thofv5Ynfz~$Anabp`p\trkXg|~TPioqw+HeheykyS}yb_nww[5YdeyUgx=>?0^az8479;k1e`k}s^kmwq)sadoyPcx>26;5e3}cfi}Pioqw+qojm{:Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;4?1a?qojm{yTec}{/uknaw6Xagy#@m`mqcq[uqjWfS=Qlmq]op5678Vir0<;13`9wmhcu{Vcey!{ilgq4Zoi{}%Fobcas]sshYh}}U;Snc_mv3456Xkp6:2>o4thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[f;:79j7ygbesq\mkus'}cfi>Pioqw+HeheykyS}yb_nww[5YdeyUgx=>?0^az8684i2~bah||_hlpp*rnelx;Sd`|t.O`khvfzVz|aRazt^2\ghvXd}:;<=Qly=6=7d=sadoyRgasu-wmhcu8Vcey!BcnosewYwdUdyyQ?_bos[ir789:Tot2:>2c8plkbzzUbb~z thofv5Ynfz~$Anabp`p\trkXg|~T48m6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~R~xm^mvpZ6XkdzT`y>?01]`}9>9;h1e`k}s^kmwq)sadoyPcx>::6d<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXx~gTcxzP0^antZjs89:;Sa{{<1<0g>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZvpeVe~xR>Pclr\hq6789Ugyy2>0?1`?qojm{yTec}{/uknaw6Xagy#@m`mqcq[uqjWfS=Qlmq]op5678Vf~x1?>>2a8plkbzzUbb~z thofv5Ynfz~$Anabp`p\trkXg|~T02>l4thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[iss4848n6zfmdpp[lht|&~bah|?_hlpp*Kdgdzj~R~xm^mvpZ6XkdzT`y>?01]oqq:56:h0xdcjrr]jjvr(|`gn~=Qfnrv,IfijxhxT|zcPotv\4ZejxVf<=>?_mww8684j2~bah||_hlpp*rnelx;Sd`|t.O`khvfzVz|aRazt^2\ghvXd}:;<=Qcuu>7:6d<|`gn~~Qfnrv,plkbz9Ubb~z MbmntdtXx~gTcxzP0^antZjs89:;Sa{{<4<0f>rnelxxSd`|t.vji`t7W`dxx"ClolrbvZvpeVe~xR>Pclr\hq6789Ugyy29>2`8plkbzzUbb~z thofv5Ynfz~$Anabp`p\trkXg|~Tl4thofvvYnfz~$xdcjr1]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[iss4049m6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}969:k1e`k}s^kmwq)sadoy24;4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<02=[wr5j2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=32:7c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw310<\vq4e3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<00=6`=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2>2?]qp7d<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw312<1a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1?<>^pw6g=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2>4?0f?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0<:1_sv1f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1?:>3g8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7=80Pru0a?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0<812d9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp6::3Q}t3`8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7=:0=e:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYdq5;<2R|{2c9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp6:43Pioqw+Heh}g~TX^MPq^az8779:l1e`k}s^kmwq)sadoy15;Yu|;h0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_b{?6785m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=01:Zts:k1e`k}s^kmwq)sadoy17;4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<31=[wr5j2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=07:7c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw325<\vq4e3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rmv<37=6`=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2=5?]qp7d<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSnw327<1a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1<9>^pw6g=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2=7?0f?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0?91_sv1f>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUhu1<7>3g8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7>50Pru0a?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVir0?712d9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXkp6953Q}t3c8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7>3h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\g|:487Uyx?o4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ[SB]r[f;;78o7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az868Xz}8j7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^az8185l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=6=[wr5i2~bah||_hlpp*rnelx;Sd`|t.O`kphsW]YHS|Qly=7=6a=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot2:>^pw6d=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Tot29>3f8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7:3Q}t3c8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWjs7;34T~y9W{~9m6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]`}9?9:m1e`k}s^kmwq)sadoy::Zts:k1e`k}s^kmwq)sadoyPioqw+Heh}g~TX^MPq^zlv969:j1e`k}s^kmwq)sadoy25;4d3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rv`r=31:7e<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<01=6f=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Ttb|315<1g>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc2>5?0`?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVrd~1?9>3a8plkbzzUbb~z thofv5Ynfz~$Anaznu]WWFYvWqey0<912b9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXpfx7=50=c:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{6:53n5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\|jt;:949o6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSY]L_p]{kw:5978h7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^zlv9456;i0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}U__NQ~_ymq8759:j1e`k}s^kmwq)sadoy11;4d3}cfi}Pioqw+qojm{:Tec}{/LalqkrX\ZIT}Rv`r=05:7e<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYS[JUzSua}<35=6f=sadoyRgasu-wmhcu8Vcey!BcnwmpZRTKV{Ttb|329<1g>rnelxxSd`|t.vji`t7W`dxx"Clotlw[QUDWxUsc2=9?0a?qojm{yTec}{/uknaw6Xagy#@m`uov\PVEXyVrd~1<12b9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^VPGZwXpfx7?=0=c:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_UQ@[tYg{68=35>o5{ilgqwZoi{}%e`k}0^kmwq)JkfexRZ\C^s\|jt;=78i7ygbesq\mkus'}cfi>Pioqw+Heh}g~TX^MPq^zlv909:k1e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuz'iexRmv<03=6`=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{$hbyQly=31:7c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{x%oczPcx>27;4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxy"n`{_b{?5185l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^az8485l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^az8785l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^az8685l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^az8185l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^az8085l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^az8385l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^az8285l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^az8=85l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^az8<85l2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^grjZ65m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^grjZ66:m1e`k}s^kmwq)sadoyk5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs,`jqYbygU:=<Pioqw+Heh}g~Tx`|}.blw[`wiW;8n7ygbesq\mkus'}cfi>Pioqw+Heh}g~Tx`|}.blw[`wiW;;9h6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r/ampZcvfV99i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r/ampZcvfV9:>i5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs,`jqYbygU?>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs,`jqYbygU?=?j4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp-gkrXmxdT9?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp-gkrXmxdT9<2e9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^vnvw(df}Un}cQ72d9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^vnvw(df}Un}cQ713f8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwt)kg~Ti|`P93g8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwt)kg~Ti|`P900f?qojm{yTec}{/uknaw6Xagy#@m`uov\phtu&jdSa{{<1<1b>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuz'iexRbzt=33:7`<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{x%oczPltv?5485n2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^nvp9756;l0xdcjrr]jjvr(|`gn~=Qfnrv,Ifirf}Ua|!cov\hpr;9:49j6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r/ampZjr|5;?2?h4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp-gkrXd|~7=80=e:vji`ttW`dxx"zfmdp3[lht|&Ghcx`{_uoqv+ei|Vf~x1?12d9wmhcu{Vcey!{ilgq4Zoi{}%Fob{at^vnvw(df}Ugyy2=>3g8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwt)kg~T`xz33?0f?qojm{yTec}{/uknaw6Xagy#@m`uov\phtu&jdSa{{<5<1a>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuz'iexRbzt=7=6`=sadoyRgasu-wmhcu8Vcey!BcnwmpZrjz{$hbyQcuu>5:7c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{x%oczPltv?3;4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxy"n`{_mww8=85m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^nvp9?9:l1e`k}s^kmwq)sadoy3d8plkbzzUbb~z thofv5Ynfz~$Anaznu]wiwt)kg~Ttb|315<1b>rnelxxSd`|t.vji`t7W`dxx"Clotlw[qkuz'iexRv`r=36:7c<|`gn~~Qfnrv,plkbz9Ubb~z MbmvjqYse{x%oczPxnp?5;4b3}cfi}Pioqw+qojm{:Tec}{/LalqkrX|dxy"n`{_ymq8785m2~bah||_hlpp*rnelx;Sd`|t.O`kphsW}gy~#mat^zlv959:l1e`k}s^kmwq)sadoyPioqw+Heh}g~Tx`|}.blw[}iu4?49i6zfmdpp[lht|&~bah|?_hlpp*Kdg|dSyc}r/ampZ~hz5=5>h5{ilgqwZoi{}%e`k}0^kmwq)JkfexRzbrs,`jqYg{632?k4thofvvYnfz~$xdcjr1]jjvr(Eje~byQ{msp-gkrXpfx753l5{ilgqwZoi{}%e`k}0^kmwq)Je|rT{oQkau]l878X<;n0xdcjrr]jjvr(|`gn~=Qfnrv,IhsW~hThlzPo=1=[7Ya=;k0xdcjrr]jjvr(|`gn~=Qfnrv,IhsW~hThlzPo=1=[64f3}cfi}Pioqw+qojm{:Tec}{/Lov|ZqeWmkSb2<>^61`>rnelxxSd`|t.vji`t7W`dxx"Cbuy]tfZbf|Ve783Q=_g71e>rnelxxSd`|t.vji`t7W`dxx"Cbuy]tfZbf|Ve783Q<2`9wmhcu{Vcey!{ilgq4Zoi{}%FaxvPwc]geqYh4=4T8?j4thofvvYnfz~$xdcjr1]jjvr(EdsSzlPd`v\k939W;Um9?o4thofvvYnfz~$xdcjr1]jjvr(EdsSzlPd`v\k939W:8j7ygbesq\mkus'}cfi>Pioqw+HkrpV}iSio{_n>6:Z25l2~bah||_hlpp*rnelx;Sd`|t.Onq}YpjVnjxRa36?]1[c35i2~bah||_hlpp*rnelx;Sd`|t.Onq}YpjVnjxRa36?]06d=sadoyRgasu-wmhcu8Vcey!Bmtz\sgYci}Ud0;0P43f8plkbzzUbb~z thofv5Ynfz~$A`{w_v`\`drXg5=5S?Qi53c8plkbzzUbb~z thofv5Ynfz~$A`{w_v`\`drXg5=5S>9h6zfmdpp[lht|&~bah|?_hlpp*Kj}qU|nRjnt^m?=;Y5Wo?9m6zfmdpp[lht|&~bah|?_hlpp*Kj}qU|nRjnt^m?=;Y4:h1e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"@>20c8plkbzzUbb~z thofv5Ynfz~$B>?n;uknawuXagy#ygbes2\mkus'G>:m6zfmdpp[lht|&~bah|?_hlpp*H19h1e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"\jstnw84699;80xdcjrr]jjvr(|`gn~=Qfnrv,V`urd}6:=3<<;uknawuXagy#ygbes2\mkus'[oxyaz310<267=sadoyRgasu-wmhcu8Vcey!]erwop9756;90xdcjrr]jjvr(|`gn~=Qfnrv,V`urd}6:>3?=2:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<01=66=sadoyRgasu-wmhcu8Vcey!]erwop97468897ygbesq\mkus'}cfi>Pioqw+Wct}e~7=90=3:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<06=574<|`gn~~Qfnrv,plkbz9Ubb~z Rdqvhq:6=7887ygbesq\mkus'}cfi>Pioqw+Wct}e~7=80>239wmhcu{Vcey!{ilgq4Zoi{}%Yi~{ct=35:74<|`gn~~Qfnrv,plkbz9Ubb~z Rdqvhq:6?7897ygbesq\mkus'}cfi>Pioqw+Wct}e~7=50=2:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<0;=64=sadoyRgasu-wmhcu8Vcey!]erwop979:;1e`k}s^kmwq)sadoy?5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1<<>308plkbzzUbb~z thofv5Ynfz~$^h}zlu>10;453}cfi}Pioqw+qojm{:Tec}{/Sgpqir;:<49>6zfmdpp[lht|&~bah|?_hlpp*Tb{|f0?81239wmhcu{Vcey!{ilgq4Zoi{}%Yi~{ct=04:74<|`gn~~Qfnrv,plkbz9Ubb~z Rdqvhq:507897ygbesq\mkus'}cfi>Pioqw+Wct}e~7>40=1:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<3<16>rnelxxSd`|t.vji`t7W`dxx"\jstnw8786:;1e`k}s^kmwq)sadoy308plkbzzUbb~z thofv5Ynfz~$^h}zlu>5:4463}cfi}Pioqw+qojm{:Tec}{/Sgpqir;?7897ygbesq\mkus'}cfi>Pioqw+Wct}e~7;3?=1:vji`ttW`dxx"zfmdp3[lht|&Xnxb{<9<16>rnelxxSd`|t.vji`t7W`dxx"\jstnw8=86:81e`k}s^kmwq)sadoy?5{ilgqwZoi{}%e`k}0^kmwq)Umzgx1711328plkbzzUbb~z thofv5Ynfz~$X^MPq=2=64=sadoyRgasu-wmhcu8Vcey![SB]r8469:81e`k}s^kmwq)sadoy<5{ilgqwZoi{}%e`k}0^kmwq)S[JUz0<<1209wmhcu{Vcey!{ilgq4Zoi{}%__NQ~<01=64=sadoyRgasu-wmhcu8Vcey![SB]r8429:81e`k}s^kmwq)sadoy<5{ilgqwZoi{}%e`k}0^kmwq)S[JUz0<81209wmhcu{Vcey!{ilgq4Zoi{}%__NQ~<05=64=sadoyRgasu-wmhcu8Vcey![SB]r84>9:81e`k}s^kmwq)sadoy=5{ilgqwZoi{}%e`k}0^kmwq)S[JUz0<0=1:vji`ttW`dxx"zfmdp3[lht|&^XOR321<15>rnelxxSd`|t.vji`t7W`dxx"Z\C^s?648592~bah||_hlpp*rnelx;Sd`|t.VPGZw;:;49=6zfmdpp[lht|&~bah|?_hlpp*RTKV{7>>0=1:vji`ttW`dxx"zfmdp3[lht|&^XOR325<15>rnelxxSd`|t.vji`t7W`dxx"Z\C^s?608592~bah||_hlpp*rnelx;Sd`|t.VPGZw;:?49=6zfmdpp[lht|&~bah|?_hlpp*RTKV{7>:0=1:vji`ttW`dxx"zfmdp3[lht|&^XOR329<15>rnelxxSd`|t.vji`t7W`dxx"Z\C^s?6<8582~bah||_hlpp*rnelx;Sd`|t.VPGZw;:78:7ygbesq\mkus'}cfi>Pioqw+QUDWx68<3<>;uknawuXagy#ygbes2\mkus']YHS|2<1?03?qojm{yTec}{/uknaw6Xagy#Y]L_p>0:76<|`gn~~Qfnrv,plkbz9Ubb~z TRA\u929:91e`k}s^kmwq)sadoy328plkbzzUbb~z thofv5Ynfz~$X^MPq=:=65=sadoyRgasu-wmhcu8Vcey![SB]r8<86n2~bah||_hlpp*rnelx;Sd`|t.VPGZwX88l0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXyV;9<6zfmdpp[lht|&~bah|?_hlpp*RTKV{T==1328plkbzzUbb~z thofv5Ynfz~$X^MPq^3165=sadoyRgasu-wmhcu8Vcey![SB]r[45582~bah||_hlpp*rnelx;Sd`|t.VPGZwX9=8;7ygbesq\mkus'}cfi>Pioqw+QUDWxU:9?>4thofvvYnfz~$xdcjr1]jjvr(\ZIT}R?9219wmhcu{Vcey!{ilgq4Zoi{}%__NQ~_0514>rnelxxSd`|t.vji`t7W`dxx"Z\C^s\5=473}cfi}Pioqw+qojm{:Tec}{/UQ@[tY618l0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXyV89<6zfmdpp[lht|&~bah|?_hlpp*RTKV{T>=Pioqw+QUDWxU99?>4thofvvYnfz~$xdcjr1]jjvr(\ZIT}R<9219wmhcu{Vcey!{ilgq4Zoi{}%__NQ~_3514>rnelxxSd`|t.vji`t7W`dxx"Z\C^s\6=473}cfi}Pioqw+qojm{:Tec}{/UQ@[tY518l0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXyV99<6zfmdpp[lht|&~bah|?_hlpp*RTKV{T?=rnelxxSd`|t.vji`t7W`dxx"Z\C^s\14`<|`gn~~Qfnrv,plkbz9Ubb~z TRA\uZ06n2~bah||_hlpp*rnelx;Sd`|t.VPGZwX?8l0xdcjrr]jjvr(|`gn~=Qfnrv,PVEXyV2:j6zfmdpp[lht|&~bah|?_hlpp*RTKV{T5?j4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#9?4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#@m`uov\gjsi|lxTot2?>538plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/LalqkrXkfexh|Pcx>2:12<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Heh}g~Tob{atdp\g|:66Vx8<5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$Anaznu]`kphsm{Uhu1<1459wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z MbmvjqYdg|diQly=0=[wr392~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)JkfexRm`uovfvZe~4:4?86zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%Fob{at^alqkrbzVir0>0Pru62?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.O`kphsWje~byk}_b{?0;233}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*Kdg|dSnaznugq[f;<7Uyx9?4thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#@m`uov\gjsi|lxTot2:>568plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/LalqkrXkfexh|Pcx>6:Zts<81e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Ngjsi|Vidyczjr^az8283<2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)JkfexRm`uovfvZe~4>4T~y:>;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySnw38?67?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.O`kphsWje~byk}_b{?<;Yu|=80xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'DidyczPcnwmp`tXd|~7<3:7;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySa{{<1<\MKPX8=80xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'DidyczPcnwmp`tXpfx7<3:=;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"Clotlw[firf}oySua}<0<76>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Ngjsi|Vidyczjr^zlv949<;1e`k}s^kmwq)sadoyrdq`eicXagy#@m`uov\gjsi|lxTtb|34?61?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.O`kphsWje~byk}_ymq8083:2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)JkfexRm`uovfvZ~hz5<58?5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$Anaznu]`kphsm{Usc28>508plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/LalqkrXkfexh|Pxnp?<;253}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*Kdg|dSnaznugq[}iu404?96zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%Fob{at^alqkrbzVrd~171_sv0a>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Nip~XmoexRgP2^d57`=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,IhsWl|nbyQf_2]e16d<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+HkrpVo}iczPi^60a>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Nip~XmoexRgP4^d67g=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,IhsWl|nbyQf_41`?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.Onq}Yb~ldSdQ:12`8plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/Lov|Zcqmg~TeR8<6:vji`ttW`dxx"zfmdp3[lht|&zy"yc}0qpfwfgkmVcey!]erwop969;?1e`k}s^kmwq)sadoy>248plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/Sgpqir;:79=7ygbesq\mkus'}cfi>Pioqw+ut)|dx;|k|c`nf[lht|&Xnxb{<2<02>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-Qavsk|5>5?;5{ilgqwZoi{}%e`k}0^kmwq)wz'~f~=~}erabh`Ynfz~$^h}zlu>6:60<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+Wct}e~7:3=9;uknawuXagy#ygbes2\mkus'yx%x`|?psgpgdjbW`dxx"\jstnw8284>2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)Umzgx161379wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z Rdqvhq:>6:?0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'ja:rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-`o1Yv;:1e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-`kphsm{6;2>94thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#naznugq8484?2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)dg|di2=>258plkbzzUbb~z thofv5Ynfz~$| {ms2sv`udieoTec}{/bmvjqcu4:48;6zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%hcx`{es>7:61<|`gn~~Qfnrv,plkbz9Ubb~z ps,wiw6wzlyhmakPioqw+firf}oy080<7:vji`ttW`dxx"zfmdp3[lht|&zy"yc}0qpfwfgkmVcey!lotlwaw:16:=0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'je~byk}<6<03>rnelxxSd`|t.vji`t7W`dxx"~}.uoq4utb{jkgiRgasu-`kphsm{632>94thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#naznugq8<84>2~bah||_hlpp*rnelx;Sd`|t.rq*qku8yxnnoce^kmwq)dg|diQ?379wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z cnwmp`tX9:<0xdcjrr]jjvr(|`gn~=Qfnrv,tw(se{:{~h}lamg\mkus'je~byk}_315?qojm{yTec}{/uknaw6Xagy#}|!tlp3twctkhfnSd`|t.alqkrbzV98:6zfmdpp[lht|&~bah|?_hlpp*vu&}gy<}|jsbcoaZoi{}%hcx`{es]773=sadoyRgasu-wmhcu8Vcey!r/vnv5vumzij`hQfnrv,gjsi|lxT9>84thofvvYnfz~$xdcjr1]jjvr(x{$a>rdq`eicXagy#naznugq[3513}cfi}Pioqw+qojm{:Tec}{/qp-pht7x{oxolbj_hlpp*eh}g~n~R9<6:vji`ttW`dxx"zfmdp3[lht|&zy"yc}0qpfwfgkmVcey!lotlwawY?;?1e`k}s^kmwq)sadoy?3e9wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z ewgmpZoXign;<=>>3b9wmhcu{Vcey!{ilgq4Zoi{}%{~#zbr1rqavefdlUbb~z ewgmpZoXign;<=<rnelxxSd`|t.vji`t7W`dxx"~xm^mvpZ65k2~bah||_hlpp*rnelx;Sd`|t.rtiZir|V:To`~Pgd23454?3}cfi}Pioqw+qojm{:Tec}{/qun[jssW9Ud~=>?03;8plkbzzUbb~z thofv5Ynfz~$|zcPotv\4Ziu89:;=?l4thofvvYnfz~$xdcjr1]jjvr(|dx;S}|jsbcoaZcqmg~9<6zfmdpp[lht|&~bah|?_hlpp*rjz{$ho`<=;uknawuXagy#ygbes2\mkus'}gy~#mbp^216>rnelxxSd`|t.vji`t7W`dxx"zbrs,`iuY6::1e`k}s^kmwq)sadoyPioqw+qkuz'if|R?<229wmhcu{Vcey!{ilgq4Zoi{}%a|!clr\51443}cfi}Pioqw+qojm{:Tec}{/uoqv+ejxV;>>?5{ilgqwZoi{}%e`k}0^kmwq)se{x%o`~P2308plkbzzUbb~z thofv5Ynfz~$x`|}.bos[6453}cfi}Pioqw+qojm{:Tec}{/uoqv+ejxV>9>6zfmdpp[lht|&~bah|?_hlpp*rjz{$ha}Q:239wmhcu{Vcey!{ilgq4Zoi{}%a|!clr\274<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*fkwW>897ygbesq\mkus'}cfi>Pioqw+qkuz'if|R6=2:vji`ttW`dxx"zfmdp3[lht|&~f~ lmq]:67=sadoyRgasu-wmhcu8Vcey!{msp-gkrX8;80xdcjrr]jjvr(|`gn~=Qfnrv,phtu&jdS<<<;uknawuXagy#ygbes2\mkus'}gy~#mat^3366=sadoyRgasu-wmhcu8Vcey!{msp-gkrX98887ygbesq\mkus'}cfi>Pioqw+qkuz'iexR?=229wmhcu{Vcey!{ilgq4Zoi{}%a|!cov\56443}cfi}Pioqw+qojm{:Tec}{/uoqv+ei|V;?>>5{ilgqwZoi{}%e`k}0^kmwq)se{x%oczP1401?qojm{yTec}{/uknaw6Xagy#yc}r/ampZ45:2~bah||_hlpp*rnelx;Sd`|t.vnvw(df}U8>?5{ilgqwZoi{}%e`k}0^kmwq)se{x%oczP4308plkbzzUbb~z thofv5Ynfz~$x`|}.blw[0453}cfi}Pioqw+qojm{:Tec}{/uoqv+ei|V<9>6zfmdpp[lht|&~bah|?_hlpp*rjz{$hbyQ8239wmhcu{Vcey!{ilgq4Zoi{}%a|!cov\<74<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*fhsW08;7ygbesq\mkus'}cfi>Pioqw+qkuz'nb~>5{ilgqwZoi{}%e`k}0^kmwq)se{x%|k|_000?qojm{yTec}{/uknaw6Xagy#yc}r/rqavY5:=1e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"zbrs,ssht;87$ha}ffgi]257`<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*uqjz5:5"nchhek[445n2~bah||_hlpp*rnelx;Sd`|t.vnvw(wdx7<3 lmqjjcmY6;;l0xdcjrr]jjvr(|`gn~=Qfnrv,phtu&y}f~1>1.bosllaoW8>9j6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iunnoaU:9?k4thofvvYnfz~$xdcjr1]jjvr(|dxy"}ybr=2=*fkw``mcS?3:+ejxacldR==e:vji`ttW`dxx"zfmdp3[lht|&~f~ wlp?4;(deybbkeQ;2d9wmhcu{Vcey!{ilgq4Zoi{}%a|!pvoq858)kdzcejfP53g8plkbzzUbb~z thofv5Ynfz~$x`|}.qunv969&jg{ddig_70f?qojm{yTec}{/uknaw6Xagy#yc}r/rtiw:76'if|eghh^51a>rnelxxSd`|t.vji`t7W`dxx"zbrs,ssht;87$ha}ffgi];6`=sadoyRgasu-wmhcu8Vcey!{msp-trku494%o`~gifj\=7b<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*uqjz5:5"nclnu\47b<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*uqjz5:5"nclnu\57c<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*uqjz5:5"nclnu\554b3}cfi}Pioqw+qojm{:Tec}{/uoqv+vpe{6;2#mbpmmt[475m2~bah||_hlpp*rnelx;Sd`|t.vnvw(wdx7<3 lmqnlsZ75:l1e`k}s^kmwq)sadoy1.boshjqX9=8n7ygbesq\mkus'}cfi>Pioqw+qkuz'z|a2?>/antiipW8?9h6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iujhV89h6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iujhV99h6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iujhV>9h6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iujhV?9h6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iujhV<9h6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iujhV=9h6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iujhV29h6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,`iujhV39;6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|30?,fj7`<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*uqjzk6;2#mbpikdlZ65n2~bah||_hlpp*rnelx;Sd`|t.vnvw(wdxi0=0!clrkmbnX9::0xdcjrr]jjvr(|`gn~=Qfnrv,phtu&y}f~o2?>/antmo``V;;?=5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}b=2=*fkw``mcS1.bosllaoW8?9j6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|m<1<-ghvoanbT>?h4thofvvYnfz~$xdcjr1]jjvr(|dxy"}ybrc>3:+ejxacldR==f:vji`ttW`dxx"zfmdp3[lht|&~f~ wlpa858)kdzcejfP43d8plkbzzUbb~z thofv5Ynfz~$x`|}.qunvg:76'if|eghh^71b>rnelxxSd`|t.vji`t7W`dxx"zbrs,sshte494%o`~gifj\27`<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*uqjzk6;2#mbpikdlZ15n2~bah||_hlpp*rnelx;Sd`|t.vnvw(wdxi0=0!clrkmbnX0;l0xdcjrr]jjvr(|`gn~=Qfnrv,phtu&y}f~o2?>/antmo``V39i6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|m<1<-ghvkg~U;>h5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}b=2=*fkwdf}T=?h4thofvvYnfz~$xdcjr1]jjvr(|dxy"}ybrc>3:+ejxee|S<>=f:vji`ttW`dxx"zfmdp3[lht|&~f~ wlpa858)kdzgczQ>13d8plkbzzUbb~z thofv5Ynfz~$x`|}.qunvg:76'if|aax_001b>rnelxxSd`|t.vji`t7W`dxx"zbrs,sshte494%o`~cov]277`<|`gn~~Qfnrv,plkbz9Ubb~z tlpq*uqjzk6;2#mbpmmt[425n2~bah||_hlpp*rnelx;Sd`|t.vnvw(wdxi0=0!clrokrY6=;o0xdcjrr]jjvr(|`gn~=Qfnrv,phtu&y}f~o2?>/antiipW;8n7ygbesq\mkus'}cfi>Pioqw+qkuz'z|al30?,`iujhV99i6zfmdpp[lht|&~bah|?_hlpp*rjz{${{`|m<1<-ghvkg~U?>h5{ilgqwZoi{}%e`k}0^kmwq)se{x%|zc}b=2=*fkwdf}T9?k4thofvvYnfz~$xdcjr1]jjvr(|dxy"}ybrc>3:+ejxee|S;>5{ilgqwZoi{}%e`k}0^kmwq)se{x%y}j_100?qojm{yTec}{/uknaw6Xagy#yc}r/ws`uY6:11e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"zbrs]`iuYig}:;<349wmhcu{Vcey!{ilgq4Zoi{}%a|Pclr\jjr789;:="BZT5]G[GSA:11e`k}s^kmwq)sadoyrnelxxSd`|t.vji`t7W`dxx"zbrs]`jqYffm:;<=?=8:vji`ttW`dxx"zfmdp3[lht|&~f~Qlnu]bja6788827ygbesq\mkus'}cfi>Pioqw+qkuzViexRoad12354533}cfi}Pioqw+qojm{:Tec}{/uoqvZei|Vkeh=>?10-OQQ2XLVH^J?64thofvvYnfz~$xdcjr1]jjvr(|dxySn`{_omw4566:h1e`k}s^kmwq)sadoy>18]26`=sadoyRgasu-wmhcu8Vcey!{msp\gkrXff~;<=?>9^QT466<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[fhsWge<=>>18]PS5Y6:01e`k}s^kmwq)sadoy>289wmhcu{Vcey!{ilgq4Zoi{}%a|Piovq[hs89:;>l5{ilgqwZoi{}%e`k}0^kmwq)se{xTecz}_lw{45679;30xdcjrr]jjvr(|`gn~=Qfnrv,phtuW`d~R``t12357d<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[lhszVddx=>?10302>rnelxxSd`|t.vji`t7W`dxx"zbrs]jjqtXff~;<=?>1.NVP1YCWK_M>o5{ilgqwZoi{}%e`k}0^kmwq)se{xTecz}_omw45669?8h7ygbesq\mkus'}cfi>Pioqw+qkuzVcexQaou2344719;o0xdcjrr]jjvr(|`gn~=Qfnrv,phtuW`d~R``t123540Xn<8h7ygbesq\mkus'}cfi>Pioqw+qkuzVcexQaou2344469;h0xdcjrr]jjvr(|`gn~=Qfnrv,phtuW`d~R``t1235654?2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdx7<3QlmqjjcmYj}q:;<=2?>2:8plkbzzUbb~z thofv5Ynfz~$x`|}_qunv969Wjg{ddig_lw{4567494:?55{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}<1<\ghvoanbTaxv?012?558412~bah||_hlpp*rnelx;Sd`|t.vnvwYwdx7<3QlmqjjcmYj}q:;<=2>0?30<>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht;87Uha}ffgi]nq}67896:=3=6;uknawuXagy#ygbes2\mkus'}gy~R~xms>3:ZejxacldRczx123497668937ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antmo``Vg~t=>?0=31:6?<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snchhek[hs89:;0<<112:8plkbzzUbb~z thofv5Ynfz~$x`|}_qunv969Wjg{ddig_lw{45674895?45{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}<1<\ghvoanbTaxv?012?5686;11e`k}s^kmwq)sadoy315<0=>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht;87Uha}ffgi]nq}67896:83?<8:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;YdeybbkeQbuy2345:6=7927ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antmo``Vg~t=>?0=36:4503}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2RmbpikdlZkrp9:;<1?1399wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzcejfPmtz3456;97;8;6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|30?]`iunnoaUfyu>?01>1:6><|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snchhek[hs89:;0?0>369wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzcejfPmtz3456;;7937ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antmo``Vg~t=>?0=1=561<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snchhek[hs89:;090<8:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;YdeybbkeQbuy2345:3689<7ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antmo``Vg~t=>?0=7=7==sadoyRgasu-wmhcu8Vcey!{msp\trku494To`~gifj\ip~789:793?<7:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;YdeybbkeQbuy2345:16:20xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_bosllaoWds<=>?<7<272=sadoyRgasu-wmhcu8Vcey!{msp\trku494To`~gifj\ip~789:7;3=7;uknawuXagy#ygbes2\mkus'}gy~R~xms>3:ZejxacldRczx12349199:=0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_bosllaoWds<=>?<9<0<>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht;87Uha}ffgi]nq}6789632<=8;uknawuXagy#ygbes2\mkus'}gy~R~xms>3:ZejxacldRczx12349?9;11e`k}s^kmwq)sadoy39?302>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht;87Uha}b`w^ov|56785:5?:5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}<1<\ghvkg~Ufyu>?01>3:4503}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2Rmbpmmt[hs89:;0<>1399wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzgczQbuy2345:687;8;6zfmdpp[lht|&~bah|?_hlpp*rjz{U{{`|30?]`iujhVg~t=>?0=32:6><|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snclnu\ip~789:7=<0>369wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzgczQbuy2345:6:7937ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antiipWds<=>?<00=561<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snclnu\ip~789:7=>0<8:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;Ydeyfd{Rczx1234974689<7ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antiipWds<=>?<06=7==sadoyRgasu-wmhcu8Vcey!{msp\trku494To`~cov]nq}67896:83?<7:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;Ydeyfd{Rczx12349726:20xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_boshjqXe|r;<=>314<273=sadoyRgasu-wmhcu8Vcey!{msp\trku494To`~cov]nq}67896:2>94thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybr=2=[fkwdf}Taxv?012?5;74>2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdx7<3QlmqnlsZkrp9:;<1<1369wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzgczQbuy2345:5689=7ygbesq\mkus'}cfi>Pioqw+qkuzVz|a2?>^antiipWds<=>?<2<03>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht;87Uha}b`w^ov|5678595=>84thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybr=2=[fkwdf}Taxv?012?0;503}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2Rmbpmmt[hs89:;090>379wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoq858XkdzgczQbuy2345:26:=0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_boshjqXe|r;<=>35?302>rnelxxSd`|t.vji`t7W`dxx"zbrs]ssht;87Uha}b`w^ov|56785<5?:5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}<1<\ghvkg~Ufyu>?01>5:4513}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{6;2Rmbpmmt[hs89:;0:0<7:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;Ydeyfd{Rczx12349199:<0xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_boshjqXe|r;<=>38?14?qojm{yTec}{/uknaw6Xagy#yc}r^rtiw:76Vif|aax_lw{4567414:?;5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}<1<\ghvkg~Ufyu>?01>::61<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Snclnu\ip~789:753?=f:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;YbfVg~t=>?0238plkbzzUbb~z thofv5Ynfz~$x`|}_qunv969WldTaxv?0122567<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjz5:5Sh`Pmtz345649:90xdcjrr]jjvr(|`gn~=Qfnrv,phtuWy}f~1>1_dl\ip~789:T_Z><3:vji`ttW`dxx"zfmdp3[lht|&~f~Qwlp?4;YbfVg~t=>?0^QT563<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzk6;2RmbpikdlZhh|9:;=>84thofvvYnfz~$xdcjr1]jjvr(|dxyS}ybrc>3:ZejxacldR``t12354503}cfi}Pioqw+qojm{:Tec}{/uoqvZvpe{h7<3QlmqjjcmYig}:;<389wmhcu{Vcey!{ilgq4Zoi{}%a|Ppvoqf969Wjg{ddig_omw45669Vl>?;5{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}b=2=[fkw``mcSca{0122662<|`gn~~Qfnrv,plkbz9Ubb~z tlpq[uqjzk6;2Rmbpmmt[kis89::?85{ilgqwZoi{}%e`k}0^kmwq)se{xT|zc}b=2=[fkwdf}Tbbz?013275=sadoyRgasu-wmhcu8Vcey!{msp\trkuj5:5Sh`Pmtz34564<2~bah||_hlpp*rnelx;Sd`|t.vnvwYwdxi0=0Peo]nq}6789UX[==?;uknawuXagy#ygbes2\mkus'}gy~R~xms`?4;YbfVddx=>?1238plkbzzUbb~z thofv5Ynfz~$x`|}_qunvg:76VoeSca{012254g<|`gn~~Qfnrv,plkbz9Uyilj30?3a?qojm{yTec}{/uknaw6Xzlko0<>11`9wmhcu{Vcey!{ilgq4Ztbim6:2Prdcg8686i2~bah||_hlpp*rnelx;Sknd=6=5d=sadoyRgasu-wmhcu8Vxnmi2:>0c8plkbzzUbb~z thofv5Yumhn7:3?n;uknawuXagy#ygbes2\v`gc4>4:m6zfmdpp[lht|&~bah|?_sgb`9?99?1e`k}s^kmwq)sadoy=Rmvc058plkbzzUbb~z thofv4Ydqj;:46zfmdpp[lht|&~bah|>_hlpp*533}cfi}Pioqw+qojm{;Tec}{/LaliuguWf|mSnc_ds3456Xkp6;2>;4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Powd\ghvXmx:;<=Qly=33:63<|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXglTo`~Pep2345Ydq5;:2>:4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Powd\ghvXmx:;<=Qly=3=71=sadoyRgasu-wmhcu9Vcey!BcnosewYh~oUha}Qjq1234Ze~4;4886zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~Rayf^antZcv89:;Snw33?17?qojm{yTec}{/uknaw7Xagy#@m`mqcq[jpaWjg{Sh?012\g|:36:>0xdcjrr]jjvr(|`gn~?0^az8384<2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVe}jRmbp^gr4567Wjs7;3=;;uknawuXagy#ygbes3\mkus'Dida}o}_nte[fkwWl{;<=>Pcx>;:62<|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXglTo`~Pep2345Ydq535?85{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQ`vg]`iuYby9:;84thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Powd\ghvXmx:;<=Qcuu>25;523}cfi}Pioqw+qojm{;Tec}{/LaliuguWf|mSnc_ds3456Xd|~7=3=:;uknawuXagy#ygbes3\mkus'Dida}o}_nte[fkwWl{;<=>Pltv?6;523}cfi}Pioqw+qojm{;Tec}{/LaliuguWf|mSnc_ds3456Xd|~7?3=:;uknawuXagy#ygbes3\mkus'Dida}o}_nte[fkwWl{;<=>Pltv?0;523}cfi}Pioqw+qojm{;Tec}{/LaliuguWf|mSnc_ds3456Xd|~793=:;uknawuXagy#ygbes3\mkus'Dida}o}_nte[fkwWl{;<=>Pltv?2;523}cfi}Pioqw+qojm{;Tec}{/LaliuguWf|mSnc_ds3456Xd|~7;3=:;uknawuXagy#ygbes3\mkus'Dida}o}_nte[fkwWl{;<=>Pltv?<;523}cfi}Pioqw+qojm{;Tec}{/LaliuguWf|mSnc_ds3456Xd|~753=n;uknawuXagy#ygbes3\mkus'Dida}o}_qun[jssW9Uha}Qhe1234Ze~4948n6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]`}9776:h0xdcjrr]jjvr(|`gn~?_b{?5484j2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXol:;<=Qly=31:6d<|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXx~gTcxzP0^antZab89:;Snw312<0f>rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Uhu1?;>2`8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~Tl4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rij0123[f;9>48n6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]`}97?6:h0xdcjrr]jjvr(|`gn~?_b{?5<84i2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXol:;<=Qly=3=7g=sadoyRgasu-wmhcu9Vcey!BcnosewYwdUdyyQ?_bos[bc789:Tot2=0?1a?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]da5678Vir0??13c9wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]sshYh}}U;Snc_fg3456Xkp69>3=m;uknawuXagy#ygbes3\mkus'Dida}o}_qun[jssW9Uha}Qhe1234Ze~4;95?l5{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQwl]lqqY7Wjg{Sjk?012\g|:56:k0xdcjrr]jjvr(|`gn~?_b{?7;5f3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Uhu1;13`9wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]sshYh}}U;Snc_fg3456Xkp6=2>o4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rij0123[f;?79j7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUli=>?0^az8=84i2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXol:;<=Qly=;=7g=sadoyRgasu-wmhcu9Vcey!BcnosewYwdUdyyQ?_bos[bc789:T`xz30?1`?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]da5678Vf~x1??>2a8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~Tm4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rij0123[iss48?5?n5{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQwl]lqqY7Wjg{Sjk?012\hpr;9?48o6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzTkh>?01]oqq:6?79h7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUli=>?0^nvp97?6:i0xdcjrr]jjvr(|`gn~?_mww84?9;k1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWno;<=>Pltv?5;5d3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\c`6789Ugyy2=3?1a?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]da5678Vf~x1<13c9wmhcu{Vcey!{ilgq5Zoi{}%Fobcas]sshYh}}U;Snc_fg3456Xd|~7?3=m;uknawuXagy#ygbes3\mkus'Dida}o}_qun[jssW9Uha}Qhe1234Zjr|5>5?o5{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQwl]lqqY7Wjg{Sjk?012\hpr;=79i7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUli=>?0^nvp909;k1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWno;<=>Pltv?3;5e3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuY`m9:;l4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[f;9848n6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzT`y>?01]`}9756:h0xdcjrr]jjvr(|`gn~?_b{?5684j2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXd}:;<=Qly=37:6d<|`gn~~Qfnrv,plkbz8Ubb~z MbmntdtXx~gTcxzP0^antZjs89:;Snw314<0f>rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\hq6789Uhu1?9>2`8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~Tl4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[f;9048m6zfmdpp[lht|&~bah|>_hlpp*Kdgdzj~R~xm^mvpZ6XkdzT`y>?01]`}979;k1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWe~;<=>Pcx>14;5e3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;o4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[f;;79j7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUgx=>?0^az8184i2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXd}:;<=Qly=7=7d=sadoyRgasu-wmhcu9Vcey!BcnosewYwdUdyyQ?_bos[ir789:Tot29>2c8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~T_hlpp*Kdgdzj~R~xm^mvpZ6XkdzT`y>?01]`}9?9;k1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWe~;<=>Pltv?4;5d3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;rnelxxSd`|t.vji`t6W`dxx"ClolrbvZvpeVe~xR>Pclr\hq6789Ugyy2>3?1`?qojm{yTec}{/uknaw7Xagy#@m`mqcq[uqjWfS=Qlmq]op5678Vf~x1?;>2a8plkbzzUbb~z thofv4Ynfz~$Anabp`p\trkXg|~Tm4thofvvYnfz~$xdcjr0]jjvr(Ejef|l|Ppvo\kprX8Vif|Rb{0123[iss4835?o5{ilgqwZoi{}%e`k}1^kmwq)Jkfg{mQwl]lqqY7Wjg{Saz?012\hpr;979h7ygbesq\mkus'}cfi?Pioqw+HeheykyS}yb_nww[5YdeyUgx=>?0^nvp9476:i0xdcjrr]jjvr(|`gn~?_mww8779;j1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWe~;<=>Pltv?6784k2~bah||_hlpp*rnelx:Sd`|t.O`khvfzVz|aRazt^2\ghvXd}:;<=Qcuu>17;5e3}cfi}Pioqw+qojm{;Tec}{/LaliuguWy}fSb{{_1]`iuYk|9:;?0^nvp9>9;k1e`k}s^kmwq)sadoy=Rgasu-Ngjkwi{U{{`Q`uu]3[fkwWe~;<=>Pltv?=;4c3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_b{?4;4b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_b{?5585m2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^az8479:l1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]`}9756;o0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZe~48?5>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYdq5;=2?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXkp6:;33g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Tot2=1?0f?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSnw323<1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRmv<3<1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRmv<2<1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRmv<5<1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRmv<4<1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRmv<7<1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRmv<6<1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRmv<9<1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRmv<8<1`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRk~n^21a>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRk~n^226a=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQjqo]26`=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQjqo]247`<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPepl\5575m2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^grjZ76:o1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]fukY6988n7ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}.blw[`wiW889j6zfmdpp[lht|&~bah|>_hlpp*Kdg|dSyc}r/ampZcvfV;9=?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXmxdT=>2d9wmhcu{Vcey!{ilgq5Zoi{}%Fob{at^vnvw(df}Un}cQ>43d8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Ti|`P1531a>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRk~n^366c=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQjqo]2144b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_dsm[405n2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^grjZ719;o0xdcjrr]jjvr(|`gn~8m7ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}.blw[`wiW8=:>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYbygU:4?h4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXmxdT=5?=e:vji`ttW`dxx"zfmdp2[lht|&Ghcx`{_uoqv+ei|VozbR?62g9wmhcu{Vcey!{ilgq5Zoi{}%Fob{at^vnvw(df}Un}cQ>900g?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSha_30f?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSha_321b>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRk~n^0357c<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPepl\644a3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_dsm[776:l1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]fukY5:;l0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZcvfV88>k5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYbygU9?<2e9wmhcu{Vcey!{ilgq5Zoi{}%Fob{at^vnvw(df}Un}cQ;2d9wmhcu{Vcey!{ilgq5Zoi{}%Fob{at^vnvw(df}Un}cQ;13f8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Ti|`P53g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Ti|`P500g?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSha_70f?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSha_731`>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRk~n^51a>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRk~n^526a=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQjqo];6`=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQjqo];57b<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPepl\=7c<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPepl\=44b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_mww8585n2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^nvp9776;l0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZjr|5;92?h4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXd|~7=>0=f:vji`ttW`dxx"zfmdp2[lht|&Ghcx`{_uoqv+ei|Vf~x1?;>3d8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~T`xz314<1b>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRbzt=35:7`<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPltv?5285n2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^nvp97?6;l0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZjr|5;5>k5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYk}}69<31:7c<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPltv?7;4b3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_mww8185m2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^nvp939:l1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]oqq:16;o0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZjr|535>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYg{6;2?h4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXpfx7==0=f:vji`ttW`dxx"zfmdp2[lht|&Ghcx`{_uoqv+ei|Vrd~1?>>3d8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Ttb|313<1b>rnelxxSd`|t.vji`t6W`dxx"Clotlw[qkuz'iexRv`r=30:7`<|`gn~~Qfnrv,plkbz8Ubb~z MbmvjqYse{x%oczPxnp?5185n2~bah||_hlpp*rnelx:Sd`|t.O`kphsW}gy~#mat^zlv9726;l0xdcjrr]jjvr(|`gn~_hlpp*Kdg|dSyc}r/ampZ~hz5;<2?h4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXpfx7=50=f:vji`ttW`dxx"zfmdp2[lht|&Ghcx`{_uoqv+ei|Vrd~1?6>3g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Ttb|31?0e?qojm{yTec}{/uknaw7Xagy#@m`uov\phtu&jdSua}<32=6c=sadoyRgasu-wmhcu9Vcey!BcnwmpZrjz{$hbyQwos>15;4a3}cfi}Pioqw+qojm{;Tec}{/LalqkrX|dxy"n`{_ymq8749:o1e`k}s^kmwq)sadoy=Rgasu-Ngjsi|V~f~ lnu]{kw:5;78n7ygbesq\mkus'}cfi?Pioqw+Heh}g~Tx`|}.blw[}iu4;49i6zfmdpp[lht|&~bah|>_hlpp*Kdg|dSyc}r/ampZ~hz595>h5{ilgqwZoi{}%e`k}1^kmwq)JkfexRzbrs,`jqYg{6?2?k4thofvvYnfz~$xdcjr0]jjvr(Eje~byQ{msp-gkrXpfx7933g8plkbzzUbb~z thofv4Ynfz~$Anaznu]wiwt)kg~Ttb|39?0a?qojm{yTec}{/uknaw7Xagy#@czx^ua[agsWf6;2??>1c9wmhcu{Vcey!{ilgq5Zoi{}%E==?n;uknawuXagy#ygbes3\mkus'G8:m6zfmdpp[lht|&~bah|>_hlpp*H?9h1e`k}s^kmwq)sadoy=Rgasu-M=77<|`gn~~Qfnrv,plkbz8Ubb~z Rdqvhq:76;80xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W`dxx"\jstnw8449:;1e`k}s^kmwq)sadoy=Rgasu-Qavsk|5;82?<4thofvvYnfz~$xdcjr0]jjvr(Zly~`y2>4?01?qojm{yTec}{/uknaw7Xagy#_k|umv?5085:2~bah||_hlpp*rnelx:Sd`|t.Pfwpjs48<5>?5{ilgqwZoi{}%e`k}1^kmwq)Umzgx1?8>308plkbzzUbb~z thofv4Ynfz~$^h}zlu>2<;453}cfi}Pioqw+qojm{;Tec}{/Sgpqir;9049=6zfmdpp[lht|&~bah|>_hlpp*Tb{|f0<0=2:vji`ttW`dxx"zfmdp2[lht|&Xnxb{<32=67=sadoyRgasu-wmhcu9Vcey!]erwop9466;80xdcjrr]jjvr(|`gn~3<=;uknawuXagy#ygbes3\mkus'[oxyaz322<15>rnelxxSd`|t.vji`t6W`dxx"\jstnw878592~bah||_hlpp*rnelx:Sd`|t.Pfwpjs4:49=6zfmdpp[lht|&~bah|>_hlpp*Tb{|f090=1:vji`ttW`dxx"zfmdp2[lht|&Xnxb{<4<15>rnelxxSd`|t.vji`t6W`dxx"\jstnw838592~bah||_hlpp*rnelx:Sd`|t.Pfwpjs4>49=6zfmdpp[lht|&~bah|>_hlpp*Tb{|f050=1:vji`ttW`dxx"zfmdp2[lht|&Xnxb{<8<16>rnelxxSd`|t.vji`t6W`dxx"~xm^mvp969:81e`k}s^kmwq)sadoy=Rgasu-sshYh}}U;>n5{ilgqwZoi{}%e`k}1^kmwq)wdUdyyQ?_bos[bc789:946zfmdpp[lht|&~bah|>_hlpp*vpeVe~xR>Pos23454>3}cfi}Pioqw+qojm{;Tec}{/qun[jssW9Ud~=>?0003?qojm{yTec}{/uknaw7Xagy#yc}r/a`i74<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*fkwW9897ygbesq\mkus'}cfi?Pioqw+qkuz'if|R?=3:vji`ttW`dxx"zfmdp2[lht|&~f~ lmq]2475<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*fkwW8;9?6zfmdpp[lht|&~bah|>_hlpp*rjz{$ha}Q>2318plkbzzUbb~z thofv4Ynfz~$x`|}.bos[455;2~bah||_hlpp*rnelx:Sd`|t.vnvw(deyU:8?=4thofvvYnfz~$xdcjr0]jjvr(|dxy"nc_0717>rnelxxSd`|t.vji`t6W`dxx"zbrs,`iuY6>;90xdcjrr]jjvr(|`gn~6zfmdpp[lht|&~bah|>_hlpp*rjz{$ha}Q=229wmhcu{Vcey!{ilgq5Zoi{}%a|!clr\65443}cfi}Pioqw+qojm{;Tec}{/uoqv+ejxV8:>>5{ilgqwZoi{}%e`k}1^kmwq)se{x%o`~P2300?qojm{yTec}{/uknaw7Xagy#yc}r/antZ44:;1e`k}s^kmwq)sadoy=Rgasu-wiwt)kdzT??<4thofvvYnfz~$xdcjr0]jjvr(|dxy"nc_501?qojm{yTec}{/uknaw7Xagy#yc}r/antZ35:2~bah||_hlpp*rnelx:Sd`|t.vnvw(deyU=>?5{ilgqwZoi{}%e`k}1^kmwq)se{x%o`~P7308plkbzzUbb~z thofv4Ynfz~$x`|}.bos[=453}cfi}Pioqw+qojm{;Tec}{/uoqv+ejxV39>6zfmdpp[lht|&~bah|>_hlpp*rjz{$hbyQ?239wmhcu{Vcey!{ilgq5Zoi{}%a|!cov\575<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*fhsW8:9?6zfmdpp[lht|&~bah|>_hlpp*rjz{$hbyQ>1318plkbzzUbb~z thofv4Ynfz~$x`|}.blw[445;2~bah||_hlpp*rnelx:Sd`|t.vnvw(df}U:??=4thofvvYnfz~$xdcjr0]jjvr(|dxy"n`{_0617>rnelxxSd`|t.vji`t6W`dxx"zbrs,`jqY6=;90xdcjrr]jjvr(|`gn~_hlpp*rjz{$hbyQ>9308plkbzzUbb~z thofv4Ynfz~$x`|}.blw[7443}cfi}Pioqw+qojm{;Tec}{/uoqv+ei|V8;>>5{ilgqwZoi{}%e`k}1^kmwq)se{x%oczP2000?qojm{yTec}{/uknaw7Xagy#yc}r/ampZ45::1e`k}s^kmwq)sadoy=Rgasu-wiwt)kg~T>><=;uknawuXagy#ygbes3\mkus'}gy~#mat^116>rnelxxSd`|t.vji`t6W`dxx"zbrs,`jqY3:;1e`k}s^kmwq)sadoy=Rgasu-wiwt)kg~T9?<4thofvvYnfz~$xdcjr0]jjvr(|dxy"n`{_701?qojm{yTec}{/uknaw7Xagy#yc}r/ampZ15:2~bah||_hlpp*rnelx:Sd`|t.vnvw(df}U3>?5{ilgqwZoi{}%e`k}1^kmwq)se{x%oczP9328plkbzzUbb~z thofv4Ynfz~$x`|}.ekq5c=sadoyRgasu-wmhcu9Vcey!{msp-ak473}cfi}Pioqw+qojm{;Tec}{/uoqv+obf;;0xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W`dxx"zbrs,sv`uX9;90xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}ffgi]257`<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*uqjz5:5"nchhek[445n2~bah||_hlpp*rnelx:Sd`|t.vnvw(wdx7<3 lmqjjcmY6;;l0xdcjrr]jjvr(|`gn~1.bosllaoW8>9j6zfmdpp[lht|&~bah|>_hlpp*rjz{${{`|30?,`iunnoaU:9?h4thofvvYnfz~$xdcjr0]jjvr(|dxy"}ybr=2=*fkw``mcS<8=f:vji`ttW`dxx"zfmdp2[lht|&~f~ wlp?4;(deybbkeQ>73d8plkbzzUbb~z thofv4Ynfz~$x`|}.qunv969&jg{ddig_0:1b>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}ffgi]2=7c<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*uqjz5:5"nchhek[74a3}cfi}Pioqw+qojm{;Tec}{/uoqv+vpe{6;2#mbpikdlZ47:o1e`k}s^kmwq)sadoy=Rgasu-wiwt)x~gy0=0!clrkmbnX:88m7ygbesq\mkus'}cfi?Pioqw+qkuz'z|a2?>/antmo``V89>k5{ilgqwZoi{}%e`k}1^kmwq)se{x%|zc}<1<-ghvoanbT>>3:+ejxacldR==e:vji`ttW`dxx"zfmdp2[lht|&~f~ wlp?4;(deybbkeQ;2d9wmhcu{Vcey!{ilgq5Zoi{}%a|!pvoq858)kdzcejfP53g8plkbzzUbb~z thofv4Ynfz~$x`|}.qunv969&jg{ddig_70f?qojm{yTec}{/uknaw7Xagy#yc}r/rtiw:76'if|eghh^51a>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}ffgi];6`=sadoyRgasu-wmhcu9Vcey!{msp-trku494%o`~gifj\=7b<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*uqjz5:5"nclnu\47b<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*uqjz5:5"nclnu\57c<|`gn~~Qfnrv,plkbz8Ubb~z tlpq*uqjz5:5"nclnu\554b3}cfi}Pioqw+qojm{;Tec}{/uoqv+vpe{6;2#mbpmmt[475m2~bah||_hlpp*rnelx:Sd`|t.vnvw(wdx7<3 lmqnlsZ75:l1e`k}s^kmwq)sadoy=Rgasu-wiwt)x~gy0=0!clrokrY6;;o0xdcjrr]jjvr(|`gn~1.boshjqX9=8n7ygbesq\mkus'}cfi?Pioqw+qkuz'z|a2?>/antiipW8?9i6zfmdpp[lht|&~bah|>_hlpp*rjz{${{`|30?,`iujhV;=>h5{ilgqwZoi{}%e`k}1^kmwq)se{x%|zc}<1<-ghvkg~U:;?k4thofvvYnfz~$xdcjr0]jjvr(|dxy"}ybr=2=*fkwdf}T=53:+ejxee|S<7=d:vji`ttW`dxx"zfmdp2[lht|&~f~ wlp?4;(deyfd{R<=e:vji`ttW`dxx"zfmdp2[lht|&~f~ wlp?4;(deyfd{RrnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}b`w^11`>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}b`w^61`>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}b`w^71`>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}b`w^41`>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}b`w^51`>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}b`w^:1`>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$ha}b`w^;13>rnelxxSd`|t.vji`t6W`dxx"zbrs,ssht;87$nb?=4thofvvYnfz~$xdcjr0]jjvr(|dxy"x~kp^217>rnelxxSd`|t.vji`t6W`dxx"zbrs,vtavX9;20xdcjrr]jjvr(|`gn~299wmhcu{Vcey!{ilgq5Zoi{}%a|Pcov\ekb789:956zfmdpp[lht|&~bah|>_hlpp*rjz{UhbyQnne23457502~bah||_hlpp*rnelx:Sd`|t.vnvwYdf}Uecy>?000:?qojm{yTec}{/uknaw7Xagy#yc}r^ampZhh|9:;=<<;;uknawuXagy#ygbes3\mkus'}gy~Rjfr^kmr7d<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[aouW`d}>RGAV^21<>rnelxxSd`|t.vji`t6W`dxx"zbrs]jakYffm:;<=<6;uknawuXagy#ygbes3\mkus'}gy~Rgjn^cm`56788827ygbesq\mkus'}cfi?Pioqw+qkuzVcexQbuy23454a3}cfi}Pioqw+qojm{;Tec}{/uoqvZoi|{Ufyu>?0102[VQ7:k1e`k}s^kmwq)sadoy=Rgasu-wiwtXag~yS`{w01236<4d3}cfi}Pioqw+qojm{;Tec}{/uoqvZoi|{Ufyu>?010:57c<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[lhszVg~t=>?03;\b04f3}cfi}Pioqw+qojm{;Tec}{/uoqvZoi|{Ufyu>?0151=>rnelxxSd`|t.vji`t6W`dxx"zbrs]jjqtXff~;<=?=c:vji`ttW`dxx"zfmdp2[lht|&~f~Qfnup\jjr789;8=<=8;uknawuXagy#ygbes3\mkus'}gy~Rgats]mkq67889:="BZT5]G[GSA:k1e`k}s^kmwq)sadoy=Rgasu-wiwtXag~ySca{0122774c3}cfi}Pioqw+qojm{;Tec}{/uoqvZoi|{Uecy>?0011[74a3}cfi}Pioqw+qojm{;Tec}{/uoqvZoi|{Uecy>?0011[VQ7;h1e`k}s^kmwq)sadoy=Rgasu-wiwtXag~ySca{012277YT_9%GYY:PL^@VB67<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[lhszVddx=>?120\WR6(G:;0xdcjrr]jjvr(|`gn~?j4thofvvYnfz~$xdcjr0]jjvr(|dxySd`{r^llp5679VY\<>64thofvvYnfz~$xdcjr0]jjvr(|dxySd`{r^llp5679VY\<"BZT5]O[GSA:o1e`k}s^kmwq)sadoy=Rgasu-wiwtXag~ySca{0122[VQ7'F9?7ygbesq\mkus'}cfi?Pioqw+qkuzVz|a2?>^antmo``Vddx=>?1278plkbzzUbb~z thofv4Ynfz~$x`|}_qunv969Wjg{ddig_omw45669=:0xdcjrr]jjvr(|`gn~1_bosllaoWge<=>>1.NVP1YCWK_M?45{ilgqwZoi{}%e`k}1^kmwq)se{xT|zc}<1<\ghvoanbTbbz?0132[VQ7;<1e`k}s^kmwq)sadoy=Rgasu-wiwtXx~gy0=0PclrkmbnXff~;<=?=329wmhcu{Vcey!{ilgq5Zoi{}%a|Ppvoq858XkdzgczQaou2344533}cfi}Pioqw+qojm{;Tec}{/uoqvZvpe{6;2Rmbpmmt[kis89::=?h4thofvvYnfz~$xdcjr0]jjvr(|dxyS}ybr=2=[`hXe|r;<=><3:vji`ttW`dxx"zfmdp2[lht|&~f~Qwlp?4;YbfVg~t=>?0^QT465<|`gn~~Qfnrv,plkbz8Ubb~z tlpq[uqjz5:5Sh`Pmtz3456X[^;9j6zfmdpp[lht|&~bah|>_hlpp*rjz{U{{`|30?]fjZhh|9:;=>>4thofvvYnfz~$xdcjr0]jjvr(|dxyS}ybr=2=[`hXff~;<=?>179wmhcu{Vcey!{ilgq5Zusj8=0xdcjrr]jjvr(|`gn~rnelxxSd`|t.vji`t6W~o::6zfmdpp[lht|&~bah|>_vg25==sadoyRgasu-tfZbf|Ve7<3>>9:vji`ttW`dxx"ym_ecw[j:768?:56zfmdpp[lht|&}iSio{_n>3:16612~bah||_hlpp*qeWmkSb2>0?22e>rnelxxSd`|t.ua[agsWf6:<3?:1`9wmhcu{Vcey!xb^fbpZi;994?<1?6:[C7d3}cfi}Pioqw+rdXlh~Tc1?>>5;\C4g<|`gn~~Qfnrv,sgYci}Ud0<<1173b?qojm{yTec}{/v`\`drXg5;9297>c:vji`ttW`dxx"ym_ecw[j:6:7>2SK?l;uknawuXagy#zlPd`v\k9756=3TK3?6:[C7d3}cfi}Pioqw+rdXlh~Tc1?<>5;\C4g<|`gn~~Qfnrv,sgYci}Ud0<:1173b?qojm{yTec}{/v`\`drXg5;?297>c:vji`ttW`dxx"ym_ecw[j:6<7>2SK?l;uknawuXagy#zlPd`v\k9736=3TK5?6:[C7d3}cfi}Pioqw+rdXlh~Tc1?:>5;\C4><|`gn~~Qfnrv,sgYci}Ud0<811`9wmhcu{Vcey!xb^fbpZi;9?4TJa:vji`ttW`dxx"ym_ecw[j:607;==n5{ilgqwZoi{}%|nRjnt^m?5=86>VL:o6zfmdpp[lht|&}iSio{_n>2<;71WN;j7ygbesq\mkus'~hThlzPo=3::406k2~bah||_hlpp*qeWmkSb2>9?35[C7d3}cfi}Pioqw+rdXlh~Tc1?6>04\C4><|`gn~~Qfnrv,sgYci}Ud0<0?189wmhcu{Vcey!xb^fbpZi;97;>=45{ilgqwZoi{}%|nRjnt^m?5;279h1e`k}s^kmwq)pjVnjxRa321<224e<|`gn~~Qfnrv,sgYci}Ud0?>117]E5f=sadoyRgasu-tfZbf|Ve7>=0>6^E2e>rnelxxSd`|t.ua[agsWf69=3?91b9wmhcu{Vcey!xb^fbpZi;:84::RH>c:vji`ttW`dxx"ym_ecw[j:597;=SJ?n;uknawuXagy#zlPd`v\k94568<:o6zfmdpp[lht|&}iSio{_n>16;71WO;h7ygbesq\mkus'~hThlzPo=01:40XO8k0xdcjrr]jjvr(kUomyQ`<31=537d3}cfi}Pioqw+rdXlh~Tc1<<>04\B4e<|`gn~~Qfnrv,sgYci}Ud0?=117]D5<=sadoyRgasu-tfZbf|Ve7>90>189wmhcu{Vcey!xb^fbpZi;:<4:=45{ilgqwZoi{}%|nRjnt^m?6386901e`k}s^kmwq)pjVnjxRa326<25<=sadoyRgasu-tfZbf|Ve7>50>189wmhcu{Vcey!xb^fbpZi;:04:=55{ilgqwZoi{}%|nRjnt^m?6;6612~bah||_hlpp*qeWmkSb2=>072=>rnelxxSd`|t.ua[agsWf6929>>9:vji`ttW`dxx"ym_ecw[j:487;:56zfmdpp[lht|&}iSio{_n>05;7602~bah||_hlpp*qeWmkSb2<>13:?qojm{yTec}{/v`\`drXg595=8?6;uknawuXagy#zlPd`v\k959<9;37ygbesq\mkus'~hThlzPo=6=44?<|`gn~~Qfnrv,sgYci}Ud090>50;8plkbzzUbb~z wc]geqYh4=4?<<64thofvvYnfz~${oQkau]l8087901e`k}s^kmwq)pjVnjxRa35?365<=sadoyRgasu-tfZbf|Ve793:?199wmhcu{Vcey!xb^fbpZi;>7::56zfmdpp[lht|&}iSio{_n>5:43612~bah||_hlpp*qeWmkSb29>522<>rnelxxSd`|t.ua[agsWf6<2=?6;uknawuXagy#zlPd`v\k9199<;27ygbesq\mkus'~hThlzPo=5=057>3}cfi}Pioqw+rdXlh~Tc161173:?qojm{yTec}{/v`\`drXg52584?m;uknawuXagy#zlPd`v\k9>9<0UM=o5{ilgqwZoi{}%|nRjnt^m?<;2>WN;37ygbesq\mkus'~hThlzPo=;=44?<|`gn~~Qfnrv,sgYci}Ud040>50;8plkbzzUbb~z wc]geqYh404?sfz}Ubb~z N4a8qdtsW`dxx"@9129vewrXagy#_k|umv?4;743|kyxRgasu-Qavsk|5;5=>5zasv\mkus'[oxyaz32?30?pgu|Vcey!]erwop9599h1~mzPioqw+agsiVxnmiwPdm{\u4?<}hxSd`|t.fjrlbbzVxsS|2?>0c8qdtsW`dxx"jfvhffvZtWx6:<3?n;tcqpZoi{}%oe{gkes]q|Zw;984:m6{nru]jjvr(l`|bhh|Pry]r84499h1~mzPioqw+aoqamoySvPq=30:4g<}hxSd`|t.fjrlbbzVxsS|2>4?3b?pgu|Vcey!kiwkgawYupV{7=80>9:wbvqYnfz~$hdxfddp\v}Yv484:56{nru]jjvr(l`|bhh|Pry]r878612j~yQfnrv,`lpnllxT~uQ~<2<2=>sfz}Ubb~z dhtj``tXzqUz090>9:wbvqYnfz~$hdxfddp\v}Yv4<4:56{nru]jjvr(l`|bhh|Pry]r838612j~yQfnrv,`lpnllxT~uQ~<6<2=>sfz}Ubb~z dhtj``tXzqUz050>9:wbvqYnfz~$hdxfddp\v}Yv404:46{nru]jjvr(l`|bhh|Pry]r[57?3|kyxRgasu-gmsocm{UytRP10;8qdtsW`dxx"jfvhffvZtWxU:<<74u`pw[lht|&nbzdjjr^p{[tY69830yl|{_hlpp*bn~`nn~R|w_p]264?<}hxSd`|t.fjrlbbzVxsS|Q>30;8qdtsW`dxx"jfvhffvZtWxU:8<74u`pw[lht|&nbzdjjr^p{[tY6=820yl|{_hlpp*bn~`nn~R|w_p]15==ri{~Tec}{/ekumacuW{rT}R=>8:wbvqYnfz~$hdxfddp\v}YvW=;37xo}t^kmwq)cacoiQ}x^s\14><}hxSd`|t.fjrlbbzVxsS|Q9199vewrXagy#igyiegq[w~XyV=:46{nru]jjvr(l`|bhh|Pry]r[=7?3|kyxRgasu-gmsocm{UytRP90g8qdtsW`dxx"jfvhffvZtWxUecy>?003e?pgu|Vcey!kiwkgawYupV{Tbbz?013257=ri{~Tec}{/epfeaXy830yl|{_hlpp*bumhnrS|Q`r12344g<}hxSd`|t.fqadb~WxUd~=>?0035?pgu|Vcey!iigm\`drf494::6{nru]jjvr(n`ldSio{a=3=53=ri{~Tec}{/gkekZbf|h692<84u`pw[lht|&lbjbQkauc?7;713|kyxRgasu-emciXlh~j090>6:wbvqYnfz~$jdh`_ecwe9399?1~mzPioqw+coagVnjxl29>048qdtsW`dxx"hffn]geqg;?7;37xo}t^kmwq)aaoeTec}{aoaf+6><}hxSd`|t.djbjYnfz~jbnk MbmntdtXmdzuRxPclr\at6789Uhu1>1399vewrXagy#kgio^kmwqgikl%Fobcas]fiur~WUha}Qjq1234Ze~484846{nru]jjvr(n`ldSd`|t`l`a*Kdgdzj~Rkbpu{\rZejxVoz<=>?_b{?6;5?3|kyxRgasu-emciXagymcmj/LaliuguWlg{xtQy_bos[`w789:Tot2<>2:8qdtsW`dxx"hffn]jjvrffjo$Anabp`p\ahvsqV|To`~Pep2345Ydq5>5?55zasv\mkus'ocmcRgasucmg`)Jkfg{mQjmqvz[sYdeyUn}=>?0^az808412j~yQfnrv,bl`hW`dxxl`le.O`khvfzVof|ywPv^antZcv89:;Sa{{<1<0=>sfz}Ubb~z fhdl[lht|hdhi"ClolrbvZcjx}sTzRmbp^gr4567We0<0<9:wbvqYnfz~$jdh`_hlppdhdm&Ghc`~nr^gntqX~Vif|Rk~0123[iss4;4856{nru]jjvr(n`ldSd`|t`l`a*Kdgdzj~Rkbpu{\rZejxVoz<=>?_mww868412j~yQfnrv,bl`hW`dxxl`le.O`khvfzVof|ywPv^antZcv89:;Sa{{<5<0=>sfz}Ubb~z fhdl[lht|hdhi"ClolrbvZcjx}sTzRmbp^gr4567We080<7:wbvqYnfz~$jdh`_hlppdhdm&Ghc`~nr^dvhiYqWjg{Sh?012\g|:76:=0yl|{_hlpp*`nnfUbb~znnbg,IfijxhxTjxbc_w]`iuYby9:;sfz}Ubb~z fhdl[lht|hdhi"ClolrbvZ`rdeU}Snc_ds3456Xkp692>94u`pw[lht|&lbjbQfnrvbjfc(Ejef|l|Pftno[sYdeyUn}=>?0^az8684?2j~yQfnrv,bl`hW`dxxl`le.O`khvfzVl~`aQy_bos[`w789:Tot2;>258qdtsW`dxx"hffn]jjvrffjo$Anabp`p\bpjkWUha}Qjq1234Ze~4<4846{nru]jjvr(n`ldSd`|t`l`a*Kdgdzj~Rhzlm]u[fkwWl{;<=>Pltv?4;5?3|kyxRgasu-emciXagymcmj/LaliuguWog`RxPclr\at6789Ugyy2>>2:8qdtsW`dxx"hffn]jjvrffjo$Anabp`p\bpjkWUha}Qjq1234Zjr|585?55zasv\mkus'ocmcRgasucmg`)Jkfg{mQiumn\rZejxVoz<=>?_mww868402j~yQfnrv,bl`hW`dxxl`le.O`khvfzVl~`aQy_bos[`w789:T`xz34?1;?pgu|Vcey!iigm\mkusigin#@m`mqcq[cskdV|To`~Pep2345Yk}}6>2?l4u`pw[lht|&lbjbQfnrvbjfc(Eje~byQ}d`fgvZe~4949n6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSjndep\g|:66;o0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}Uyhljkr^az848Xz}8i7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T~iokds]`}949:l1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_b{?6;Yu|;h0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}Uyhljkr^az8685m2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pcx>0:Zts:k1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_b{?0;4b3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQly=6=[wr5j2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pcx>6:7c<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rmv<4<\vq4e3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQly=4=6`=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZtcimnySnw36?]qp7d<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rmv<6<1a>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[wbflmxTot28>^pw6g=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZtcimnySnw38?0f?pgu|Vcey!iigm\mkusigin#@m`uov\vagcl{Uhu161_sv1f>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[wbflmxTot26>3g8qdtsW`dxx"hffn]jjvrffjo$Anaznu]q`dbczVir040Pru0`?pgu|Vcey!iigm\mkusigin#@m`uov\vagcl{Ugyy2?>208qdtsW`dxx"hffn]jjvrffjo$Anaznu]q`dbczVf~x1>1_HLU[54d3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQwos>3:7b<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rv`r=33:66<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rv`r=33:Zts:j1~mzPioqw+coagVceyoacd-Ngjsi|Vxomij}_ymq8485k2j~yQfnrv,bl`hW`dxxl`le.O`kphsW{njhi|Pxnp?6;4d3|kyxRgasu-emciXagymcmj/LalqkrXzmkohQwos>0:7e<}hxSd`|t.djbjYnfz~jbnk MbmvjqYulhno~Rv`r=6=6f=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZtcimnySua}<4<1g>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[wbflmxTtb|36?0`?pgu|Vcey!iigm\mkusigin#@m`uov\vagcl{Usc28>3a8qdtsW`dxx"hffn]jjvrffjo$Anaznu]q`dbczVrd~1612b9vewrXagy#kgio^kmwqgikl%Fob{at^pgeabuWqey040=b:wbvqYnfz~$jdh`_hlppdhdm&Ghcx`{_vpb`atXkp6;2?l4u`pw[lht|&lbjbQfnrvbjfc(Eje~byQxr`fgvZe~4849i6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSz|ndep\g|:66Vx>o5zasv\mkus'ocmcRgasucmg`)JkfexRy}aefq[f;:78n7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]`}949W{~9n6{nru]jjvr(n`ldSd`|t`l`a*Kdg|dSz|ndep\g|:46;o0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^az868Xz}8i7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]`}929:l1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_b{?0;Yu|;h0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^az8085m2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pcx>6:Zts:k1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_b{?2;4b3|kyxRgasu-emciXagymcmj/LalqkrX{kohQly=4=[wr5j2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pcx>4:7c<}hxSd`|t.djbjYnfz~jbnk MbmvjqYpzhno~Rmv<6<\vq4e3|kyxRgasu-emciXagymcmj/LalqkrX{kohQly=:=6`=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZquimnySnw38?]qp7d<}hxSd`|t.djbjYnfz~jbnk MbmvjqYpzhno~Rmv<8<1a>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[rtflmxTot26>^pw6f=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZquimnySa{{<1<06>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[rtflmxT`xz30?]JJSY7:j1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_ymq8585l2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pxnp?558482j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pxnp?558Xz}8h7xo}t^kmwq)aaoeTec}{aoaf+Heh}g~T{okds]{kw:66;i0yl|{_hlpp*`nnfUbb~znnbg,Ifirf}U|~ljkr^zlv949:j1~mzPioqw+coagVceyoacd-Ngjsi|V}ymij}_ymq8685k2j~yQfnrv,bl`hW`dxxl`le.O`kphsW~xjhi|Pxnp?0;4d3|kyxRgasu-emciXagymcmj/LalqkrX{kohQwos>6:7e<}hxSd`|t.djbjYnfz~jbnk MbmvjqYpzhno~Rv`r=4=6f=ri{~Tec}{/gkekZoi{}keoh!BcnwmpZquimnySua}<6<1g>sfz}Ubb~z fhdl[lht|hdhi"Clotlw[rtflmxTtb|38?0`?pgu|Vcey!iigm\mkusigin#@m`uov\swgcl{Usc26>318qdtsW`dxx"hffn]jjvrffjo$Aob_lgnkw4<2j~yQfnrv,bl`hW`dxxl`le.OpqgYa}efTzRokdrwa4567Wjs7<3=8;tcqpZoi{}%mekaPioqwekeb'Dy~nRhzlm]u[dbc{|h;<=>Pcx>3:Zts;=1~mzPioqw+coagVceyoacd-NwpdXn|fgS{Qndeqvf5678Vir0<0<4:wbvqYnfz~$jdh`_hlppdhdm&GxyoQiumn\rZgclzi<=>?_b{?6;533|kyxRgasu-emciXagymcmj/LqvfZ`rdeU}Sljkst`3456Xkp682>:4u`pw[lht|&lbjbQfnrvbjfc(EziSk{cl^t\eabt}k:;<=Qly=6=71=ri{~Tec}{/gkekZoi{}keoh!Bst`\bpjkWUjhi}zb1234Ze~4<4886{nru]jjvr(n`ldSd`|t`l`a*Kt}kUmyabPv^cg`vse89:;Snw36?17?pgu|Vcey!iigm\mkusigin#@}zb^dvhiYqWhnoxl?012\g|:06:>0yl|{_hlpp*`nnfUbb~znnbg,IvseWog`RxPaefpqg6789Uhu161359vewrXagy#kgio^kmwqgikl%FxlPftno[sYflmy~n=>?0^az8<84>2j~yQfnrv,bl`hW`dxxl`le.OpqgYa}efTzRokdrwa4567We0<>13b9vewrXagy#kgio^kmwqgikl%FxlPftno[sYflmy~n=>?0^nvp9776VCEZR><5:wbvqYnfz~$jdh`_hlppdhdm&GxyoQiumn\rZgclzi<=>?_mww8484j2j~yQfnrv,bl`hW`dxxl`le.OpqgYa}efTzRokdrwa4567We0<0PIOT\463<}hxSd`|t.djbjYnfz~jbnk Mrwa[cskdV|Tmij|uc2345Yk}}692>l4u`pw[lht|&lbjbQfnrvbjfc(EziSk{cl^t\eabt}k:;<=Qcuu>1:ZOI^V:896{nru]jjvr(n`ldSd`|t`l`a*Kt}kUmyabPv^cg`vse89:;Sa{{<2<0f>sfz}Ubb~z fhdl[lht|hdhi"C|uc]eqijX~Vkoh~{m0123[iss4:4TECXP0278qdtsW`dxx"hffn]jjvrffjo$A~{m_gwohZpXimnxyo>?01]oqq:36:h0yl|{_hlpp*`nnfUbb~znnbg,IvseWog`RxPaefpqg6789Ugyy2;>^KMRZ64=2j~yQfnrv,bl`hW`dxxl`le.OpqgYa}efTzRokdrwa4567We080?_mww808XAG\T<>;4u`pw[lht|&lbjbQfnrvbjfc(EziSk{cl^t\eabt}k:;<=Qcuu>5:6d<}hxSd`|t.djbjYnfz~jbnk Mrwa[cskdV|Tmij|uc2345Yk}}6=2RGAV^201>sfz}Ubb~z fhdl[lht|hdhi"C|uc]eqijX~Vkoh~{m0123[iss4>48n6{nru]jjvr(n`ldSd`|t`l`a*Kt}kUmyabPv^cg`vse89:;Sa{{<6<\MKPX8:?0yl|{_hlpp*`nnfUbb~znnbg,IvseWog`RxPaefpqg6789Ugyy27>2`8qdtsW`dxx"hffn]jjvrffjo$A~{m_gwohZpXimnxyo>?01]oqq:?6VCEZR><5:wbvqYnfz~$jdh`_hlppdhdm&GxyoQiumn\rZgclzi<=>?_mww8<84j2j~yQfnrv,bl`hW`dxxl`le.OpqgYa}efTzRokdrwa4567We040PIOT\463<}hxSd`|t.djbjYnfz~jbnk Mrwa[cskdV|Tmij|uc2345Yg{6;2>84u`pw[lht|&lbjbQfnrvbjfc(EziSk{cl^t\eabt}k:;<=Qwos>24;523|kyxRgasu-emciXagymcmj/LqvfZ`rdeU}Sljkst`3456Xpfx7=3=:;tcqpZoi{}%mekaPioqwekeb'Dy~nRhzlm]u[dbc{|h;<=>Pxnp?6;523|kyxRgasu-emciXagymcmj/LqvfZ`rdeU}Sljkst`3456Xpfx7?3=:;tcqpZoi{}%mekaPioqwekeb'Dy~nRhzlm]u[dbc{|h;<=>Pxnp?0;523|kyxRgasu-emciXagymcmj/LqvfZ`rdeU}Sljkst`3456Xpfx793=:;tcqpZoi{}%mekaPioqwekeb'Dy~nRhzlm]u[dbc{|h;<=>Pxnp?2;523|kyxRgasu-emciXagymcmj/LqvfZ`rdeU}Sljkst`3456Xpfx7;3=:;tcqpZoi{}%mekaPioqwekeb'Dy~nRhzlm]u[dbc{|h;<=>Pxnp?<;523|kyxRgasu-emciXagymcmj/LqvfZ`rdeU}Sljkst`3456Xpfx753<>;tcqpZoi{}%mekaPioqwekeb'[oxyaz30?01?pgu|Vcey!iigm\mkusigin#_k|umv?4;75:2j~yQfnrv,bl`hW`dxxl`le.Pfwpjs48:5>>5zasv\mkus'ocmcRgasucmg`)Umzgx1??>002?pgu|Vcey!iigm\mkusigin#_k|umv?5;453|kyxRgasu-emciXagymcmj/Sgpqir;97;9=6{nru]jjvr(n`ldSd`|t`l`a*Tb{|f0?0=2:wbvqYnfz~$jdh`_hlppdhdm&Xnxb{<3<264=ri{~Tec}{/gkekZoi{}keoh!]erwop959:;1~mzPioqw+coagVceyoacd-Qavsk|595=??4u`pw[lht|&lbjbQfnrvbjfc(Zly~`y2;>308qdtsW`dxx"hffn]jjvrffjo$^h}zlu>7:4463|kyxRgasu-emciXagymcmj/Sgpqir;=7897xo}t^kmwq)aaoeTec}{aoaf+Wct}e~793?=1:wbvqYnfz~$jdh`_hlppdhdm&Xnxb{<7<16>sfz}Ubb~z fhdl[lht|hdhi"\jstnw8386:81~mzPioqw+coagVceyoacd-Qavsk|5=5>?5zasv\mkus'ocmcRgasucmg`)Umzgx1911338qdtsW`dxx"hffn]jjvrffjo$^h}zlu>;:74<}hxSd`|t.djbjYnfz~jbnk Rdqvhq:?688:7xo}t^kmwq)aaoeTec}{aoaf+Wct}e~753<=;tcqpZoi{}%mekaPioqwekeb'[oxyaz39?314>sfz}Ubb~z fhdl[lht|hdhi"Qnne2345453|kyxRgasu-emciXagymcmj/^cm`56788;9=6{nru]jjvr(n`ldSd`|t`l`a*Yffm:;<=<=2:wbvqYnfz~$jdh`_hlppdhdm&Ujbi>?01]35a=ri{~Tec}{/gkekZoi{}keoh!jmqvz6f=ri{~Tec}{/gkekZoi{}keoh!iumn\rZgclzi<=>?<1<1`>sfz}Ubb~z fhdl[lht|hdhi"hzlm]u[dbc{|h;<=>311<1g>sfz}Ubb~z fhdl[lht|hdhi"hzlm]u[dbc{|h;<=>31?0`?pgu|Vcey!iigm\mkusigin#k{cl^t\eabt}k:;<=2=>3a8qdtsW`dxx"hffn]jjvrffjo$jxbc_w]b`aurj9:;<1=12b9vewrXagy#kgio^kmwqgikl%myabPv^cg`vse89:;090=c:wbvqYnfz~$jdh`_hlppdhdm&l~`aQy_`fgwpd789:793n5zasv\mkus'ocmcRgasucmg`)a}efTzRokdrwa45674149o6{nru]jjvr(n`ldSd`|t`l`a*`rdeU}Sljkst`3456;178:7xo}t^kmwq)aaoeTec}{aoaf+wbflmx7<3<=;tcqpZoi{}%mekaPioqwekeb'{njhi|311<15>sfz}Ubb~z fhdl[lht|hdhi"|kaefq848592j~yQfnrv,bl`hW`dxxl`le.pgeabu4;49=6{nru]jjvr(n`ldSd`|t`l`a*tcimny0>0=1:wbvqYnfz~$jdh`_hlppdhdm&xomij}<5<15>sfz}Ubb~z fhdl[lht|hdhi"|kaefq808592j~yQfnrv,bl`hW`dxxl`le.pgeabu4?49=6{nru]jjvr(n`ldSd`|t`l`a*tcimny0:0=1:wbvqYnfz~$jdh`_hlppdhdm&xomij}<9<15>sfz}Ubb~z fhdl[lht|hdhi"|kaefq8<8582j~yQfnrv,bl`hW`dxxl`le.pgeabuW98;7xo}t^kmwq)aaoeTec}{aoaf+wbflmxT=??4u`pw[lht|&lbjbQfnrvbjfc(zmkohQ>0328qdtsW`dxx"hffn]jjvrffjo$~iokds]165=ri{~Tec}{/gkekZoi{}keoh!}d`fgvZ5582j~yQfnrv,bl`hW`dxxl`le.pgeabuW=8;7xo}t^kmwq)aaoeTec}{aoaf+wbflmxT9?>4u`pw[lht|&lbjbQfnrvbjfc(zmkohQ9219vewrXagy#kgio^kmwqgikl%yhljkr^514>sfz}Ubb~z fhdl[lht|hdhi"|kaefq[=473|kyxRgasu-emciXagymcmj/sfb`atX1;<0yl|{_hlpp*`nnfUbb~znnbg,vagcl{Ujbi>?0104?pgu|Vcey!iigm\mkusigin#jndep\ekb789::><5zasv\mkus'ocmcRgasucmg`)pzhno~1>1239vewrXagy#kgio^kmwqgikl%|~ljkr=33:77<}hxSd`|t.djbjYnfz~jbnk wscg`w:66;;0yl|{_hlpp*`nnfUbb~znnbg,swgcl{692??4u`pw[lht|&lbjbQfnrvbjfc({koh2<>338qdtsW`dxx"hffn]jjvrffjo${okds>7:77<}hxSd`|t.djbjYnfz~jbnk wscg`w:26;;0yl|{_hlpp*`nnfUbb~znnbg,swgcl{6=2??4u`pw[lht|&lbjbQfnrvbjfc({koh28>338qdtsW`dxx"hffn]jjvrffjo${okds>;:77<}hxSd`|t.djbjYnfz~jbnk wscg`w:>6;:0yl|{_hlpp*`nnfUbb~znnbg,swgcl{U;>=5zasv\mkus'ocmcRgasucmg`)pzhno~R?=1:wbvqYnfz~$jdh`_hlppdhdm&}ymij}_0214>sfz}Ubb~z fhdl[lht|hdhi"y}aefq[7473|kyxRgasu-emciXagymcmj/vpb`atX;;:0yl|{_hlpp*`nnfUbb~znnbg,swgcl{U?>=5zasv\mkus'ocmcRgasucmg`)pzhno~R;=0:wbvqYnfz~$jdh`_hlppdhdm&}ymij}_703?pgu|Vcey!iigm\mkusigin#z|ndep\376<}hxSd`|t.djbjYnfz~jbnk wscg`wY?:91~mzPioqw+coagVceyoacd-tvdbczV3:n6{nru]jjvr(n`ldSjPaof345669880yl|{_hlpp*tW`dxx"?n;tcqpZoi{}%ytRgasu-aepb`mg%8?6{nru]jjvr(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:Tot2?>248qdtsW`dxx"|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^az858Xz}9?7xo}t^kmwq)upVcey!matfdak)J{|hToczPaefpqg6789Uhu1??>268qdtsW`dxx"|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^az8479;=1~mzPioqw+w~Xagy#oozdfgm+HurjViexRokdrwa4567Wjs7=?0<4:wbvqYnfz~$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pcx>27;533|kyxRgasu-q|Zoi{}%imxjheo-NwpdXkg~Tmij|uc2345Ydq5;?2>=4u`pw[lht|&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;sfz}Ubb~z ry]jjvr(jhokh` Mrwa[fhsWhnoxl?012\g|:56:90yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vir0>0<3:wbvqYnfz~$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pcx>7:65<}hxSd`|t.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Ze~4<48?6{nru]jjvr(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:Tot29>218qdtsW`dxx"|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^az8284;2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xkp632>=4u`pw[lht|&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;sfz}Ubb~z ry]jjvr(jhokh` Mrwa[fhsWhnoxl?012\hpr;9948n6{nru]jjvr(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:T`xz311<\MKPX8:?0yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vf~x1?>>2`8qdtsW`dxx"|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^nvp9766VCEZR><5:wbvqYnfz~$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pltv?5784j2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=?0PIOT\463<}hxSd`|t.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Zjr|5;82>l4u`pw[lht|&xsSd`|t.`bqaabf&GxyoQlnu]b`aurj9:;sfz}Ubb~z ry]jjvr(jhokh` Mrwa[fhsWhnoxl?012\hpr;9=4TECXP0278qdtsW`dxx"|w_hlpp*df}mmnb"C|uc]`jqYflmy~n=>?0^nvp9726:h0yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vf~x1?:>^KMRZ64<2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~7=3=n;tcqpZoi{}%ytRgasu-aepb`mg%FxlPcov\eabt}k:;<=Qcuu>2:ZOI^V:886{nru]jjvr(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:T`xz32?1b?pgu|Vcey!}x^kmwq)ei|nlic!Bst`\gkrXimnxyo>?01]oqq:56VCEZR><4:wbvqYnfz~$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pltv?7;5f3|kyxRgasu-q|Zoi{}%imxjheo-NwpdXkg~Tmij|uc2345Yk}}682RGAV^200>sfz}Ubb~z ry]jjvr(jhokh` Mrwa[fhsWhnoxl?012\hpr;<79j7xo}t^kmwq)upVcey!matfdak)J{|hToczPaefpqg6789Ugyy2;>^KMRZ64<2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~793=n;tcqpZoi{}%ytRgasu-aepb`mg%FxlPcov\eabt}k:;<=Qcuu>6:ZOI^V:886{nru]jjvr(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:T`xz36?1b?pgu|Vcey!}x^kmwq)ei|nlic!Bst`\gkrXimnxyo>?01]oqq:16VCEZR><4:wbvqYnfz~$~uQfnrv,fdscold$A~{m_blw[dbc{|h;<=>Pltv?3;5f3|kyxRgasu-q|Zoi{}%imxjheo-NwpdXkg~Tmij|uc2345Yk}}6<2RGAV^200>sfz}Ubb~z ry]jjvr(jhokh` Mrwa[fhsWhnoxl?012\hpr;079j7xo}t^kmwq)upVcey!matfdak)J{|hToczPaefpqg6789Ugyy27>^KMRZ64<2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xd|~753=n;tcqpZoi{}%ytRgasu-aepb`mg%FxlPcov\eabt}k:;<=Qcuu>::ZOI^V:886{nru]jjvr(zqUbb~z b`wgc`h(EziSn`{_`fgwpd789:Ttb|30?16?pgu|Vcey!}x^kmwq)ei|nlic!Bst`\gkrXimnxyo>?01]{kw:6879>7xo}t^kmwq)upVcey!matfdak)J{|hToczPaefpqg6789Usc2>1?16?pgu|Vcey!}x^kmwq)ei|nlic!Bst`\gkrXimnxyo>?01]{kw:6:79>7xo}t^kmwq)upVcey!matfdak)J{|hToczPaefpqg6789Usc2>3?16?pgu|Vcey!}x^kmwq)ei|nlic!Bst`\gkrXimnxyo>?01]{kw:6<79>7xo}t^kmwq)upVcey!matfdak)J{|hToczPaefpqg6789Usc2>5?17?pgu|Vcey!}x^kmwq)ei|nlic!Bst`\gkrXimnxyo>?01]{kw:66:>0yl|{_hlpp*tW`dxx"lnueefj*Kt}kUhbyQndeqvf5678Vrd~1<1359vewrXagy#vPioqw+ggrlnoe#@}zb^ampZgclzi<=>?_ymq8684<2j~yQfnrv,v}Ynfz~$nl{kgdl,IvseWjdSljkst`3456Xpfx783=;;tcqpZoi{}%ytRgasu-aepb`mg%FxlPcov\eabt}k:;<=Qwos>6:62<}hxSd`|t.p{[lht|&hjyiijn.OpqgYdf}Ujhi}zb1234Z~hz5<5?95zasv\mkus'{rTec}{/ccv`bci'Dy~nRmat^cg`vse89:;Sua}<6<00>sfz}Ubb~z ry]jjvr(jhokh` Mrwa[fhsWhnoxl?012\|jt;079?7xo}t^kmwq)upVcey!matfdak)J{|hToczPaefpqg6789Usc26>328qdtsW`dxx"|w_hlpp*df}mmnb"mcknww62=ri{~Tec}{/sz\mkus'kk~hjka/bnhkprXg{:;<=<7;tcqpZoi{}%ytRgasu-aepb`mg%h`fazt^mq45679;:0yl|{_hlpp*tW`dxx"lnueefj*ei|5:5><5zasv\mkus'{rTec}{/ccv`bci'jd0<>1209vewrXagy#vPioqw+ggrlnoe#n`{<03=64=ri{~Tec}{/sz\mkus'kk~hjka/blw8449:81~mzPioqw+w~Xagy#oozdfgm+fhs4895><5zasv\mkus'{rTec}{/ccv`bci'jd0<:1209vewrXagy#vPioqw+ggrlnoe#n`{<07=65=ri{~Tec}{/sz\mkus'kk~hjka/blw848582j~yQfnrv,v}Ynfz~$nl{kgdl,gkr;:78;7xo}t^kmwq)upVcey!matfdak)df}682?>4u`pw[lht|&xsSd`|t.`bqaabf&iex1:1219vewrXagy#vPioqw+ggrlnoe#n`{<4<14>sfz}Ubb~z ry]jjvr(jhokh` cov?2;473|kyxRgasu-q|Zoi{}%imxjheo-`jq:06;:0yl|{_hlpp*tW`dxx"lnueefj*ei|525>=5zasv\mkus'{rTec}{/ccv`bci'jd040>f:wbvqYnfz~$~uQfnrv,fdscold$oczP00d8qdtsW`dxx"|w_hlpp*df}mmnb"mat^314>sfz}Ubb~z ry]jjvr(jhokh` cov\55473|kyxRgasu-q|Zoi{}%imxjheo-`jqY69;:0yl|{_hlpp*tW`dxx"lnueefj*ei|V;9>=5zasv\mkus'{rTec}{/ccv`bci'jdS<==0:wbvqYnfz~$~uQfnrv,fdscold$oczP1503?pgu|Vcey!}x^kmwq)ei|nlic!lnu]214`<}hxSd`|t.p{[lht|&hjyiijn.ampZ46n2j~yQfnrv,v}Ynfz~$nl{kgdl,gkrX;8l0yl|{_hlpp*tW`dxx"lnueefj*ei|V>:j6{nru]jjvr(zqUbb~z b`wgc`h(kg~T9f:wbvqYnfz~$~uQfnrv,fdscold$oczP70d8qdtsW`dxx"|w_hlpp*df}mmnb"mat^:2b>sfz}Ubb~z ry]jjvr(jhokh` cov\=7d<}hxSd`|t.p{[lht|&hjyiijn.ampZgclzi<=>?<1<1g>sfz}Ubb~z ry]jjvr(jhokh` cov\eabt}k:;<=2>0?0`?pgu|Vcey!}x^kmwq)ei|nlic!lnu]b`aurj9:;<1?>>3a8qdtsW`dxx"|w_hlpp*df}mmnb"mat^cg`vse89:;0<<12b9vewrXagy#vPioqw+ggrlnoe#n`{_`fgwpd789:7=>0=c:wbvqYnfz~$~uQfnrv,fdscold$oczPaefpqg67896:832?l4u`pw[lht|&xsSd`|t.`bqaabf&iexRokdrwa45674849n6{nru]jjvr(zqUbb~z b`wgc`h(kg~Tmij|uc2345:56;h0yl|{_hlpp*tW`dxx"lnueefj*ei|Vkoh~{m01238685j2j~yQfnrv,v}Ynfz~$nl{kgdl,gkrXimnxyo>?01>7:7d<}hxSd`|t.p{[lht|&hjyiijn.ampZgclzi<=>?<4<1f>sfz}Ubb~z ry]jjvr(jhokh` cov\eabt}k:;<=29>3`8qdtsW`dxx"|w_hlpp*df}mmnb"mat^cg`vse89:;0:0=b:wbvqYnfz~$~uQfnrv,fdscold$oczPaefpqg6789632?l4u`pw[lht|&xsSd`|t.`bqaabf&iexRokdrwa4567404946{nru]jjvr(zqUbb~z b`wgc`h(kg~To`~Pep23454f3|kyxRgasu-q|Zoi{}%imxjheo-`jqYdeyUn}=>?0001e>sfz}Ubb~z ry]jjvr(jhokh` cov\ghvXmx:;<=<:2`9vewrXagy#vPioqw+ggrlnoe#n`{_bos[`w789:?5?o4u`pw[lht|&xsSd`|t.`bqaabf&iexRmbp^gr4567>;8j7xo}t^kmwq)upVcey!matfdak)df}Uha}Qjq123420502j~yQfnrv,v}Ynfz~$nl{kgdl,gkrXe|r;<=?30?0:?pgu|Vcey!}x^kmwq)ei|nlic!lnu]nq}67886;2<<6;tcqpZoi{}%ytRgasu-aepb`mg%hbyQbuy2344:6878j7xo}t^kmwq)upVcey!matfdak)df}Ufyu>?00>24;7512j~yQfnrv,v}Ynfz~$nl{kgdl,gkrXe|r;<=?310<1e>sfz}Ubb~z ry]jjvr(jhokh` cov\ip~789;7=<0>289vewrXagy#vPioqw+ggrlnoe#n`{_lw{45664885>l5zasv\mkus'{rTec}{/ccv`bci'jdS`{w012284499;30yl|{_hlpp*tW`dxx"lnueefj*ei|Vg~t=>?1=30:7g<}hxSd`|t.p{[lht|&hjyiijn.ampZkrp9:;=1?<>00:?pgu|Vcey!}x^kmwq)ei|nlic!lnu]nq}67886:833|kyxRgasu-q|Zoi{}%imxjheo-`jqYj}q:;<<2=>00;?pgu|Vcey!}x^kmwq)ei|nlic!lnu]nq}6788682?74u`pw[lht|&xsSd`|t.`bqaabf&iexRczx12359599;20yl|{_hlpp*tW`dxx"lnueefj*ei|Vg~t=>?1=6=6<=ri{~Tec}{/sz\mkus'kk~hjka/blw[hs89::090>299vewrXagy#vPioqw+ggrlnoe#n`{_lw{45664<4956{nru]jjvr(zqUbb~z b`wgc`h(kg~Taxv?013?1;7502j~yQfnrv,v}Ynfz~$nl{kgdl,gkrXe|r;<=?36?0:?pgu|Vcey!}x^kmwq)ei|nlic!lnu]nq}67886=2<<7;tcqpZoi{}%ytRgasu-aepb`mg%hbyQbuy2344:06;30yl|{_hlpp*tW`dxx"lnueefj*ei|Vg~t=>?1=5=57><}hxSd`|t.p{[lht|&hjyiijn.ampZkrp9:;=161289vewrXagy#vPioqw+ggrlnoe#n`{_lw{4566414:>55zasv\mkus'{rTec}{/ccv`bci'jdS`{w01228<8512j~yQfnrv,v}Ynfz~$nl{kgdl,gkrXe|r;<=?39?311>sfz}Ubb~z ry]jjvr(jhokh` cov\jjr78989:6{nru]jjvr(zqUbb~z b`wgc`h(kg~Tbbz?01025g=ri{~Tec}{/sz\mkus'kk~hk|eu01?pgu|Vcey!}x^kmwq)ei|nyi~k{_np34565;2j~yQfnrv,v}Ynfz~$nl{krdqfpZiu89:;=e:wbvqYnfz~$~uQfnrv,`drfkfex1<11e9vewrXagy#vPioqw+agsije~byQ?1e9vewrXagy#vPioqw+agsije~byQ>1e9vewrXagy#vPioqw+agsije~byQ=279vewrXagy#vPioqw+agsije~byQbuy2345:76;=0yl|{_hlpp*tW`dxx"jnt`alqkrXe|r;<=>30?31<>sfz}Ubb~z ry]jjvr(lh~job{at^ov|56785:5=<<8;tcqpZoi{}%ytRgasu-geqgdg|dS`{w01238585:11~mzPioqw+w~Xagy#io{abmvjqYj}q:;<=2?>331=>sfz}Ubb~z ry]jjvr(lh~job{at^ov|56785:5Sk;=6:wbvqYnfz~$~uQfnrv,`drfkfexRczx1234979:>1~mzPioqw+w~Xagy#io{abmvjqYj}q:;<=2>>00;?pgu|Vcey!}x^kmwq)ci}khcx`{_lw{4567484:=?94u`pw[lht|&xsSd`|t.fbpdeh}g~Taxv?012?5;4512j~yQfnrv,v}Ynfz~$hlzncnwmpZkrp9:;<1?1_g712>sfz}Ubb~z ry]jjvr(lh~job{at^ov|5678585>:5zasv\mkus'{rTec}{/ecwefirf}Ufyu>?01>1:47f3|kyxRgasu-q|Zoi{}%omyo`<1<2e>sfz}Ubb~z ry]jjvr(lh~jc1?11`9vewrXagy#vPioqw+agsif6920c8qdtsW`dxx"|w_hlpp*bf|he7;3?6;tcqpZoi{}%ytRgasu-geqghW9;27xo}t^kmwq)upVcey!kaucl[47>3|kyxRgasu-q|Zoi{}%omyo`_33:?pgu|Vcey!}x^kmwq)ci}kdS>?6;tcqpZoi{}%ytRgasu-geqghW=;27xo}t^kmwq)upVcey!kaucl[07>3|kyxRgasu-q|Zoi{}%omyo`_73:?pgu|Vcey!}x^kmwq)ci}kdS:?i;tcqpZoi{}%ytRgasu-geqghWge<=>>209vewrXagy#vPioqw+agsifUecy>?003265=ri{~Tec}{/sz\mkus'mkmbQaou234446j2j~yQfnrv,v}Ynfz~$hlznrdcg}75<}hxSd`|t.p{[lht|&njxl|jae{\ip~789:9?6{nru]jjvr(zqUbb~z d`vbv`gcqVddx=>?1368qdtsW`dxx"|w_hlpp*bf|hxnmiwPnnv34576911~mzPioqw+w~Xagy#vk<1<2<>sfz}Ubb~z ry]jjvr(zqn7=3?7;tcqpZoi{}%ytRgasu-q|a:56820yl|{_hlpp*tW`dxx"|wd=1=5==ri{~Tec}{/sz\mkus'{ro090>8:wbvqYnfz~$~uQfnrv,v}b;=7;37xo}t^kmwq)upVcey!}xe>5:4><}hxSd`|t.p{[lht|&xsh191169vewrXagy#vPioqw+w~cW9;m7xo}t^kmwq)upVcey!}xe]3[kis89::>=5zasv\mkus'{rTec}{/szg[5Yig}:;<7:wbvqYnfz~$~uQfnrv,v}bX98=0yl|{_hlpp*tW`dxx"|wd^023>sfz}Ubb~z ry]jjvr(zqnT?<94u`pw[lht|&xsSd`|t.p{`Z26?2j~yQfnrv,v}Ynfz~$~ujP5058qdtsW`dxx"|w_hlpp*tlV<:;6{nru]jjvr(zqUbb~z ryf\34b<}hxSd`|t.p{[lht|&xsa{hflugq+6g<}hxSd`|t.p{[lht|&xsa{hflugq+Heh}g~Tob{at^s\|jt;87;:SD@Y_117?pgu|Vcey!}x^kmwq)upd|meazjr.O`kphsWje~byQ~_ymq84869:>0yl|{_hlpp*tW`dxx"|wmwdjhqcu'DidyczPcnwmpZwXpfx7>3?>359vewrXagy#vPioqw+w~j~ocgxh| MbmvjqYdg|dS|Qwos>0:475?2j~yQfnrv,v}Ynfz~$~ucyfhnwaw)dg|dS|2?>358qdtsW`dxx"|w_hlpp*telb`yk}/bmvjqYv4849;6{nru]jjvr(zqUbb~z ryoubljsm{%hcx`{_p>1:71<}hxSd`|t.p{[lht|&xsa{hflugq+firf}Uz0>0=6:wbvqYnfz~$~uQfnrv,v}kqn`fi!lotlw[tY7:?1~mzPioqw+w~Xagy#vbvgkop`t(kfexRP1348qdtsW`dxx"|w_hlpp*telb`yk}/bmvjqYvW;8=7xo}t^kmwq)upVcey!}xltemirbz&idyczPq^11g>sfz}Ubb~z ry]jjvr(zqg}jdb{es-`kphsWxUecy>?000g?pgu|Vcey!}x^kmwq)upd|meazjr.alqkrXyVddx=>?100a?pgu|Vcey!}x^kmwq)upd|meazjr.alqkrXyVey<=>?2b9vewrXagy#vPioqw+w~j~ocgxh| cnwmpZwXg{:;<=?=1:wbvqYnfz~$~uQfnrv,v}kqn`fi!|otv1g>sfz}Ubb~z ry]jjvr(zqg}jdb{es-pkprXkdzTkh>?010g?pgu|Vcey!}x^kmwq)upd|meazjr.qlqqYdeyUli=>?0003?pgu|Vcey!}x^kmwq)t|h~nSK]B_GDg575<}hxSd`|t.p{[lht|&ymykPFRO\BCb6%@d986{nru]jjvr(zqUbb~z sucwaZ@TEVLMh<#Fn003?pgu|Vcey!}x^kmwq)t|h~nSK]B_GDg675<}hxSd`|t.p{[lht|&ymykPFRO\BCb5%@d996{nru]jjvr(zqUbb~z sucwaZ@TEVLMh?#Fn511=>sfz}Ubb~z ry]jjvr({}kiRH\M^DE`7+Nf=9T_Z>=a:wbvqYnfz~$~uQfnrv,wqgsmVLXARHId3/Jj15X[^::>l5zasv\mkus'{rTec}{/rvbp`YA[DUMJi<"Io60[VQ7:;i0yl|{_hlpp*tW`dxx"}{aug\BVKXNOn9!D`;3^QT4Z`29:1~mzPioqw+w~X|`gn~"0=d:wbvqYnfz~$~uQ{ilgq+HurjViexRokdrwa4567Wjs7=90=c:wbvqYnfz~$~uQ{ilgq+HurjViexRokdrwa4567Wjs7=3n5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Ze~4=49o6{nru]jjvr(zqUe`k}/LqvfZei|Vkoh~{m0123[f;=78h7xo}t^kmwq)upV~bah| Mrwa[fhsWhnoxl?012\g|:16;i0yl|{_hlpp*tW}cfi!Bst`\gkrXimnxyo>?01]`}919:j1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^az8=85k2j~yQfnrv,v}Ysadoy#@}zb^ampZgclzi<=>?_b{?=;4b3|kyxRgasu-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pltv?5584<2j~yQfnrv,v}Ysadoy#@}zb^ampZgclzi<=>?_mww8469W@D]S=Pltv?5784<2j~yQfnrv,v}Ysadoy#@}zb^ampZgclzi<=>?_mww8449W@D]S=0<4:wbvqYnfz~$~uQ{ilgq+HurjViexRokdrwa4567We0<=1_HLU[54b3|kyxRgasu-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pltv?5184<2j~yQfnrv,v}Ysadoy#@}zb^ampZgclzi<=>?_mww8429W@D]S=Pltv?5;543|kyxRgasu-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pltv?5;YNF_U;>i5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|585?>5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Zjr|585SD@Y_10g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:T`xz33?10?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:T`xz33?]JJSY7:m1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^nvp929;:1~mzPioqw+w~X|`gn~"C|uc]`jqYflmy~n=>?0^nvp929W@D]S=Pltv?=;543|kyxRgasu-q|Zrnelx$A~{m_blw[dbc{|h;<=>Pltv?=;YNF_U;>i5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Z~hz5:5>h5zasv\mkus'{rTxdcjr.OpqgYdf}Ujhi}zb1234Z~hz5;;2?k4u`pw[lht|&xsSygbes-NwpdXkg~Tmij|uc2345Yg{6:=33g8qdtsW`dxx"|w_uknaw)J{|hToczPaefpqg6789Usc2>5?0g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|31?0g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|32?0g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|33?0g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|34?0g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|35?0g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|36?0g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|37?0g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|38?0g?pgu|Vcey!}x^vji`t(EziSn`{_`fgwpd789:Ttb|39?3:?pgu|Vcey!}x^vji`t(keadyy?0338qdtsW`dxx"|w_uknaw)ddbe~xRa}012354?<}hxSd`|t.p{[qojm{%hby2?>0c8qdtsW`dxx"|w_uknaw)df}6:<3?n;tcqpZoi{}%ytRzfmdp,gkr;984:m6{nru]jjvr(zqUe`k}/blw84499h1~mzPioqw+w~X|`gn~"mat=30:4g<}hxSd`|t.p{[qojm{%hby2>4?3b?pgu|Vcey!}x^vji`t(kg~7=80>9:wbvqYnfz~$~uQ{ilgq+fhs484:56{nru]jjvr(zqUe`k}/blw878612j~yQfnrv,v}Ysadoy#n`{<2<2=>sfz}Ubb~z ry]wmhcu'jd090>9:wbvqYnfz~$~uQ{ilgq+fhs4<4:56{nru]jjvr(zqUe`k}/blw838612j~yQfnrv,v}Ysadoy#n`{<6<2=>sfz}Ubb~z ry]wmhcu'jd050>9:wbvqYnfz~$~uQ{ilgq+fhs404:46{nru]jjvr(zqUe`k}/blw[57?3|kyxRgasu-q|Zrnelx$oczP10;8qdtsW`dxx"|w_uknaw)df}U:<<74u`pw[lht|&xsSygbes-`jqY69830yl|{_hlpp*tW}cfi!lnu]264?<}hxSd`|t.p{[qojm{%hbyQ>30;8qdtsW`dxx"|w_uknaw)df}U:8<74u`pw[lht|&xsSygbes-`jqY6=820yl|{_hlpp*tW}cfi!lnu]15==ri{~Tec}{/sz\plkbz&iexR=>8:wbvqYnfz~$~uQ{ilgq+fhsW=;37xo}t^kmwq)upV~bah| cov\14><}hxSd`|t.p{[qojm{%hbyQ9199vewrXagy#vPthofv*ei|V=:46{nru]jjvr(zqUe`k}/blw[=7?3|kyxRgasu-q|Zrnelx$oczP9368qdtsW`dxx"|w_uknaw)df}Ujhi}zb1234969:<1~mzPioqw+w~X|`gn~"mat^cg`vse89:;0<>1249vewrXagy#vPthofv*ei|Vkoh~{m01238479:<1~mzPioqw+w~X|`gn~"mat^cg`vse89:;0<<1249vewrXagy#vPthofv*ei|Vkoh~{m01238459:<1~mzPioqw+w~X|`gn~"mat^cg`vse89:;0<:1249vewrXagy#vPthofv*ei|Vkoh~{m01238439:=1~mzPioqw+w~X|`gn~"mat^cg`vse89:;0<0=4:wbvqYnfz~$~uQ{ilgq+fhsWhnoxl?012?6;433|kyxRgasu-q|Zrnelx$oczPaefpqg6789682?:4u`pw[lht|&xsSygbes-`jqYflmy~n=>?0=6=61=ri{~Tec}{/sz\plkbz&iexRokdrwa45674<4986{nru]jjvr(zqUe`k}/blw[dbc{|h;<=>36?07?pgu|Vcey!}x^vji`t(kg~Tmij|uc2345:06;>0yl|{_hlpp*tW}cfi!lnu]b`aurj9:;<161259vewrXagy#vPthofv*ei|Vkoh~{m01238<8592j~yQfnrv,v}Ysadoy#n`{_bos[`w789:9?6{nru]jjvr(zqUe`k}/blw[fkwWl{;<=>>2318qdtsW`dxx"|w_uknaw)df}Uha}Qjq1234735;2j~yQfnrv,v}Ysadoy#n`{_bos[`w789:?5?=4u`pw[lht|&xsSygbes-`jqYdeyUn}=>?07017>sfz}Ubb~z ry]wmhcu'jdSnc_ds34560>;;0yl|{_hlpp*tW}cfi!lnu]nq}67886;2?<4u`pw[lht|&xsSygbes-`jqYj}q:;<<2?>001?pgu|Vcey!}x^vji`t(kg~Taxv?013?5585;2j~yQfnrv,v}Ysadoy#n`{_lw{456648:5=?<4u`pw[lht|&xsSygbes-`jqYj}q:;<<2>1?00?pgu|Vcey!}x^vji`t(kg~Taxv?013?5486:;1~mzPioqw+w~X|`gn~"mat^ov|56795;92?=4u`pw[lht|&xsSygbes-`jqYj}q:;<<2>2?316>sfz}Ubb~z ry]wmhcu'jdS`{w01228459::1~mzPioqw+w~X|`gn~"mat^ov|56795;82<<=;tcqpZoi{}%ytRzfmdp,gkrXe|r;<=?315<17>sfz}Ubb~z ry]wmhcu'jdS`{w012284299;80yl|{_hlpp*tW}cfi!lnu]nq}67886:93<<;tcqpZoi{}%ytRzfmdp,gkrXe|r;<=?314<264=ri{~Tec}{/sz\plkbz&iexRczx1235979:;1~mzPioqw+w~X|`gn~"mat^ov|56795;5=??4u`pw[lht|&xsSygbes-`jqYj}q:;<<2=>308qdtsW`dxx"|w_uknaw)df}Ufyu>?00>1:4463|kyxRgasu-q|Zrnelx$oczPmtz3457;;7897xo}t^kmwq)upV~bah| cov\ip~789;7?3?=1:wbvqYnfz~$~uQ{ilgq+fhsWds<=>><5<16>sfz}Ubb~z ry]wmhcu'jdS`{w01228186:81~mzPioqw+w~X|`gn~"mat^ov|56795?5>?5zasv\mkus'{rTxdcjr.ampZkrp9:;=1;11338qdtsW`dxx"|w_uknaw)df}Ufyu>?00>5:74<}hxSd`|t.p{[qojm{%hbyQbuy2344:1688:7xo}t^kmwq)upV~bah| cov\ip~789;7;3<=;tcqpZoi{}%ytRzfmdp,gkrXe|r;<=?37?315>sfz}Ubb~z ry]wmhcu'jdS`{w01228=85:2j~yQfnrv,v}Ysadoy#n`{_lw{4566414:><5zasv\mkus'{rTxdcjr.ampZkrp9:;=171239vewrXagy#vPthofv*ei|Vg~t=>?1=;=544<}hxSd`|t.v{[fium&;i7xo}t^kmwq)spVid~h!miurlw9699k1~mzPioqw+q~Xkfxn#og{pnq?5;7e3|kyxRgasu-w|Zehzl%iey~`s=0=5g=ri{~Tec}{/uz\gjtb'kc|b}33?3b?pgu|Vcey!{x^alv`)ea}zdR>>a:wbvqYnfz~$xuQlosg,flrwgzU:=l5zasv\mkus'}rTob|j/ckwtjuX:8k0yl|{_hlpp*rWjeyi"lftqmp[6443|kyxRgasu-w|Zehzl%iey~`s^ov|567:5:5>95zasv\mkus'}rTob|j/ckwtjuXe|r;<=<30?317>sfz}Ubb~z ty]`kwc(j`~{c~Qbuy2347:66;>0yl|{_hlpp*rWjeyi"lftqmp[hs89:90<0>229vewrXagy#yvPcnpf+gosxfyTaxv?010?6;433|kyxRgasu-w|Zehzl%iey~`s^ov|567:585=?=4u`pw[lht|&~sSna}e.`jpuitWds<=>=<2<10>sfz}Ubb~z ty]`kwc(j`~{c~Qbuy2347:468;=7xo}t^kmwq)spVid~h!fdmg2a>sfz}Ubb~z ty]`kwc(amfnS`{w012360=ri{~Tec}{/uz\gjtb'`ngiRczx12344YNF_U;=h5zasv\mkus'}rTob|j/hfoaZhh|9:;=8:wbvqYnfz~$xuQlosg,mkrWf8;7xo}t^kmwq)spVid~h!fnuz\kZhh|9:;=??4u`pw[lht|&~sSna}e.kmp}YhWge<=>>10c8qdtsW`dxx"zw_bmqa*jhimooS<=;tcqpZoi{}%tRm`rd-okdbblVxTaxv?01217>sfz}Ubb~z ty]`kwc(dfkoiiQ}_lw{45679;>0yl|{_hlpp*rWjeyi"b`aegg[wYj}q:;<=?>239vewrXagy#yvPcnpf+iifllnT~R``t123575<}hxSd`|t.v{[fium&fdmikk_s]mkq6788;986{nru]jjvr(|qUhck lncgaaYuWge<=>>1005?pgu|Vcey!{x^alv`)kghnnhR|Pnnv34576Wo?986{nru]jjvr(|qUhck lncgaaYuWge<=>>_005?pgu|Vcey!{x^alv`)kghnnhR|Pnnv3457X[^;946{nru]jjvr(|qUhck lncgaaYuWge<=>>_RU2[77?3|kyxRgasu-w|Zehzl%S2?>0:8qdtsW`dxx"zw_bmqa*rXz5;5=55zasv\mkus'}rTob|j/u]q878602j~yQfnrv,p}Ydg{o$xR|33?3;?pgu|Vcey!{x^alv`)sW{6?2<64u`pw[lht|&~sSna}e.v\v939911~mzPioqw+q~Xkfxn#yQ}<7<2<>sfz}Ubb~z ty]`kwc(|Vx7;3?8;tcqpZoi{}%tRm`rd-w[wY79>1~mzPioqw+q~Xkfxn#yQ}_034?pgu|Vcey!{x^alv`)sW{U9=:5zasv\mkus'}rTob|j/u]q[6703|kyxRgasu-w|Zehzl%SQ;169vewrXagy#yvPcnpf+qYuW<;<7xo}t^kmwq)spVid~h!{_s]552=ri{~Tec}{/uz\gjtb'}UyS:?k;tcqpZoi{}%tRm`rd-w[wYig}:;<c:wbvqYnfz~$xuQlosg,pgsanVx7<3?l;tcqpZoi{}%tRm`rd-wfp`aW{6:2sfz}Ubb~z ty]`kwc(|kmjR|P20`8qdtsW`dxx"zw_bmqa*re}olT~R=>b:wbvqYnfz~$xuQlosg,pgsanVxT88h0yl|{_hlpp*rWjeyi"zmugd\vZ1592j~yQfnrv,p}Ydg{o$xo{if^p\jjr789;9>6{nru]jjvr(|qUhck tcwebZtXff~;<=?>169vewrXagy#yvPcnpf+q~cW{;m7xo}t^kmwq)spVid~h!{xe]q[hs89::>?5zasv\mkus'}rTob|j/uzg[wYj}q:;<7338qdtsW`dxx"zw_bmqa*rlVxTaxv?0132674<}hxSd`|t.v{[fium&~shR|Pmtz34576:8897xo}t^kmwq)spVid~h!{xe]q[hs89::=><=1:wbvqYnfz~$xuQlosg,p}bXzVg~t=>?15:15>sfz}Ubb~z ty]`kwc(|qnT~Rczx1235=25;2j~yQfnrv,p}Ydg{o$xujPr^ov|56791>TJ?=4u`pw[lht|&~sSna}e.v{`ZtXe|r;<=?74^E27>sfz}Ubb~z ty]wmhcu';i0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]`}969:o1~mzPioqw+q~X|`gn~"C|uc]`jqYflmy~n=>?0^az858Xz}8o7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\g|:6878o7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\g|:6978o7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\g|:6:78o7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\g|:6;78o7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\g|:6<78h7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\g|:66;i0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]`}949:j1~mzPioqw+q~X|`gn~"C|uc]`jqYflmy~n=>?0^az8685k2j~yQfnrv,p}Ysadoy#@}zb^ampZgclzi<=>?_b{?0;4d3|kyxRgasu-w|Zrnelx$A~{m_blw[dbc{|h;<=>Pcx>6:7e<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qly=4=6f=ri{~Tec}{/uz\plkbz&GxyoQlnu]b`aurj9:;sfz}Ubb~z ty]wmhcu'Dy~nRmat^cg`vse89:;Snw38?0`?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:Tot26>3g8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2>0?17?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:T`xz311<\MKPX8;o0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:6979?7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\hpr;984TECXP03g8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2>2?17?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:T`xz313<\MKPX8;o0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:6;79?7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\hpr;9:4TECXP03g8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2>4?17?pgu|Vcey!{x^vji`t(EziSn`{_`fgwpd789:T`xz315<\MKPX8;o0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:6=79?7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\hpr;9<4TECXP03f8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2>>218qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy2>>^KMRZ65l2j~yQfnrv,p}Ysadoy#@}zb^ampZgclzi<=>?_mww8784;2j~yQfnrv,p}Ysadoy#@}zb^ampZgclzi<=>?_mww878XAG\T=4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yk}}682RGAV^21`>sfz}Ubb~z ty]wmhcu'Dy~nRmat^cg`vse89:;Sa{{<5<07>sfz}Ubb~z ty]wmhcu'Dy~nRmat^cg`vse89:;Sa{{<5<\MKPX8;n0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:26:90yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]oqq:26VCEZR>=d:wbvqYnfz~$xuQ{ilgq+HurjViexRokdrwa4567We0;0<3:wbvqYnfz~$xuQ{ilgq+HurjViexRokdrwa4567We0;0PIOT\47b<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qcuu>4:65<}hxSd`|t.v{[qojm{%FxlPcov\eabt}k:;<=Qcuu>4:ZOI^V:9h6{nru]jjvr(|qUe`k}/LqvfZei|Vkoh~{m0123[iss4148?6{nru]jjvr(|qUe`k}/LqvfZei|Vkoh~{m0123[iss414TECXP03f8qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy26>218qdtsW`dxx"zw_uknaw)J{|hToczPaefpqg6789Ugyy26>^KMRZ65l2j~yQfnrv,p}Ysadoy#@}zb^ampZgclzi<=>?_ymq8585m2j~yQfnrv,p}Ysadoy#@}zb^ampZgclzi<=>?_ymq8469:l1~mzPioqw+q~X|`gn~"C|uc]`jqYflmy~n=>?0^zlv9766;o0yl|{_hlpp*rW}cfi!Bst`\gkrXimnxyo>?01]{kw:6:78n7xo}t^kmwq)spV~bah| Mrwa[fhsWhnoxl?012\|jt;9:49i6{nru]jjvr(|qUe`k}/LqvfZei|Vkoh~{m0123[}iu48>5>h5zasv\mkus'}rTxdcjr.OpqgYdf}Ujhi}zb1234Z~hz5;>2?j4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yg{6:2?j4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yg{692?j4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yg{682?j4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yg{6?2?j4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yg{6>2?j4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yg{6=2?j4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yg{6<2?j4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yg{632?j4u`pw[lht|&~sSygbes-NwpdXkg~Tmij|uc2345Yg{622<74u`pw[lht|&~sSygbes-`hnir|;:0yl|{_hlpp*rW}cfi!lljmvpZiu89:;><5zasv\mkus'}rTxdcjr.aoojssWfx;<=>>189vewrXagy#yvPthofv*ei|5:5=l5zasv\mkus'}rTxdcjr.amp97768k0yl|{_hlpp*rW}cfi!lnu>25;7f3|kyxRgasu-w|Zrnelx$ocz313<2e>sfz}Ubb~z ty]wmhcu'jd0<=11`9vewrXagy#yvPthofv*ei|5;?23|kyxRgasu-w|Zrnelx$ocz32?3:?pgu|Vcey!{x^vji`t(kg~7?3?6;tcqpZoi{}%tRzfmdp,gkr;<7;27xo}t^kmwq)spV~bah| cov?1;7>3|kyxRgasu-w|Zrnelx$ocz36?3:?pgu|Vcey!{x^vji`t(kg~7;3?6;tcqpZoi{}%tRzfmdp,gkr;07;27xo}t^kmwq)spV~bah| cov?=;7?3|kyxRgasu-w|Zrnelx$oczP00:8qdtsW`dxx"zw_uknaw)df}U:=45zasv\mkus'}rTxdcjr.ampZ77901~mzPioqw+q~X|`gn~"mat^325<=ri{~Tec}{/uz\plkbz&iexR?=189vewrXagy#yvPthofv*ei|V;8=45zasv\mkus'}rTxdcjr.ampZ73901~mzPioqw+q~X|`gn~"mat^365==ri{~Tec}{/uz\plkbz&iexR<>8:wbvqYnfz~$xuQ{ilgq+fhsW:;37xo}t^kmwq)spV~bah| cov\04><}hxSd`|t.v{[qojm{%hbyQ:199vewrXagy#yvPthofv*ei|V<:46{nru]jjvr(|qUe`k}/blw[27?3|kyxRgasu-w|Zrnelx$oczP80:8qdtsW`dxx"zw_uknaw)df}U2>95zasv\mkus'}rTxdcjr.ampZgclzi<=>?<1<11>sfz}Ubb~z ty]wmhcu'jdSljkst`3456;994996{nru]jjvr(|qUe`k}/blw[dbc{|h;<=>310<11>sfz}Ubb~z ty]wmhcu'jdSljkst`3456;9;4996{nru]jjvr(|qUe`k}/blw[dbc{|h;<=>312<11>sfz}Ubb~z ty]wmhcu'jdSljkst`3456;9=4996{nru]jjvr(|qUe`k}/blw[dbc{|h;<=>314<10>sfz}Ubb~z ty]wmhcu'jdSljkst`3456;978?7xo}t^kmwq)spV~bah| cov\eabt}k:;<=2=>368qdtsW`dxx"zw_uknaw)df}Ujhi}zb1234959:=1~mzPioqw+q~X|`gn~"mat^cg`vse89:;090=4:wbvqYnfz~$xuQ{ilgq+fhsWhnoxl?012?1;433|kyxRgasu-w|Zrnelx$oczPaefpqg67896=2?:4u`pw[lht|&~sSygbes-`jqYflmy~n=>?0=5=61=ri{~Tec}{/uz\plkbz&iexRokdrwa4567414986{nru]jjvr(|qUe`k}/blw[dbc{|h;<=>39?02?pgu|Vcey!{x^vji`t(kg~To`~Pep2345443|kyxRgasu-w|Zrnelx$oczPclr\at6789;9>>5zasv\mkus'}rTxdcjr.ampZejxVoz<=>?2400?pgu|Vcey!{x^vji`t(kg~To`~Pep23452>::1~mzPioqw+q~X|`gn~"mat^antZcv89:;:?<<;tcqpZoi{}%tRzfmdp,gkrXkdzTi|>?015564=ri{~Tec}{/uz\plkbz&iexRczx1235969:;1~mzPioqw+q~X|`gn~"mat^ov|56795:5=?<4u`pw[lht|&~sSygbes-`jqYj}q:;<<2>0?00?pgu|Vcey!{x^vji`t(kg~Taxv?013?5586:;1~mzPioqw+q~X|`gn~"mat^ov|56795;:2?=4u`pw[lht|&~sSygbes-`jqYj}q:;<<2>1?316>sfz}Ubb~z ty]wmhcu'jdS`{w01228449::1~mzPioqw+q~X|`gn~"mat^ov|56795;92<<=;tcqpZoi{}%tRzfmdp,gkrXe|r;<=?312<17>sfz}Ubb~z ty]wmhcu'jdS`{w012284599;80yl|{_hlpp*rW}cfi!lnu]nq}67886:83<<;tcqpZoi{}%tRzfmdp,gkrXe|r;<=?315<267=ri{~Tec}{/uz\plkbz&iexRczx12359726;90yl|{_hlpp*rW}cfi!lnu]nq}67886:93?=1:wbvqYnfz~$xuQ{ilgq+fhsWds<=>><0<16>sfz}Ubb~z ty]wmhcu'jdS`{w01228486:81~mzPioqw+q~X|`gn~"mat^ov|5679585>?5zasv\mkus'}rTxdcjr.ampZkrp9:;=1<11338qdtsW`dxx"zw_uknaw)df}Ufyu>?00>0:74<}hxSd`|t.v{[qojm{%hbyQbuy2344:4688:7xo}t^kmwq)spV~bah| cov\ip~789;783<=;tcqpZoi{}%tRzfmdp,gkrXe|r;<=?34?315>sfz}Ubb~z ty]wmhcu'jdS`{w01228085:2j~yQfnrv,p}Ysadoy#n`{_lw{45664<4:><5zasv\mkus'}rTxdcjr.ampZkrp9:;=181239vewrXagy#yvPthofv*ei|Vg~t=>?1=4=577<}hxSd`|t.v{[qojm{%hbyQbuy2344:06;80yl|{_hlpp*rW}cfi!lnu]nq}67886<2<<>;tcqpZoi{}%tRzfmdp,gkrXe|r;<=?38?01?pgu|Vcey!{x^vji`t(kg~Taxv?013?<;7592j~yQfnrv,p}Ysadoy#n`{_lw{45664049>6{nru]jjvr(|qUe`k}/blw[hs89::040>1d9vewrXagy#yvPthofv*ei|Vddx=>?20d8qdtsW`dxx"zw_uknaw)df}Uecy>?0332`>sfz}Ubb~z wc]geqYhW9Ufyu>?0132`>sfz}Ubb~z wc]geqYhW8Ufyu>?0132`>sfz}Ubb~z wc]geqYhW;Ufyu>?0135?safkea::6vl4123a)pnz}os {g}tdz6<>~nd`dsyc?7;ynm|Zgr|fU;Sujm/bqw*}ddl?1raR}k/0g8}hYtl&Gjon{b_rkdidYki}icSnw30?3f?|kX{m%Fmnmzm^qjchgXdh~hdRmv<0<2a>jWzn$Almlul]pmbkfWekoeQly=0=5`=~eVyo#@olcto\wlajiVfjxnfPcx>0:4c7;m7tcPse-NefereVybk`oPl`v`lZ~hz5=5=k5vm^qg+Hgdk|gTdiba^nbpfnXpfx743?i;xo\wa)Jiji~aR}fglc\hdrd`Vrd~171f:{n[vb(Zly~`y2?>g9ziZuc'[oxyaz31?d8}hYtl&Xnxb{<3jWzn$^h}zlu>7:c=~eVyo#_k|umv?1;`7o0u`Q|d.fbpZw;?7n0u`Q|d.fbpZwX8m1raR}k/ecw[tY6l2sfS~j d`v\uZ4c3pgTi!kau]r[6bjWzn$hlzPq^4g?|kX{m%omyQ~_6g8}hYtl&njxlQ`uu37?|kX{m%xejcn_mcwgm:768>0u`Q|d.qjchgXdh~hd1?1159ziZuc'zclalQcauak8786<2sfS~j sheneZjf|jb7?3?;;xo\wa)tangjSao{ci>7:42jWzn$diba^nbpfn;?7;?7tcPse-pmbkfWekoe27>068}hYtl&ybk`oPl`v`l9?99:1raR}k/rkdidYki}icS=?<;xo\wa)tangjSao{ci]256=~eVyo#~ghm`]oeqeoW;;87tcPse-pmbkfWekoeQ<129ziZuc'zclalQcauak[1743pgTi!|ifob[igskaU>=>5vm^qg+vo`ehUgmymg_730?|kX{m%xejcn_mcwgmY09:1raR}k/rkdidYki}icS5?<;xo\wa)tangjSao{ci]:3>|w}`dd#n5uptkmk*ehzl%9n6tuhll+fium&GjhiQeulr\eabumzyTmij?012\g|:76;i0v}{fnn-`kwc(EhnoSg{bp^cg`wct{Vkoh=>?0^az8469:j1q|xgao.alv`)JimnTfxc_`fgv`utWhno<=>?_b{?5485k2p{yd``/bmqa*KflmUay`~PaefqavuXimn;<=>Pcx>26;4e3sz~eca cnpf+HgclV`~a}QndepfwvYflm:;<=Qly=3=6g=}x|cec"m`rd-NeabXb|g{Sljkrdqp[dbc89:;Snw32?0a?vrage$ob|j/Lcg`ZlreyUjhi|jsr]b`a6789Uhu1=12c9ytpoig&id~h!Baef\npkwWhno~h}|_`fg4567Wjs783o5uptkmk*ehzl%FmijPjtos[dbczlyxSljk0123[f;>78i7w~ziom,gjtb'DkohRdzmq]b`atb{zUjhi>?01]`}919:k1q|xgao.alv`)JimnTfxc_`fgv`utWhno<=>?_b{?<;4e3sz~eca cnpf+HgclV`~a}QndepfwvYflm:;<=Qly=;=6f=}x|cec"m`rd-NeabXb|g{Sljkrdqp[dbc89:;Sa{{<1<1`>|w}`dd#na}e.Ob`aYm}dzTmij}erq\eab789:T`xz311<1`>|w}`dd#na}e.Ob`aYm}dzTmij}erq\eab789:T`xz310<1`>|w}`dd#na}e.Ob`aYm}dzTmij}erq\eab789:T`xz313<1`>|w}`dd#na}e.Ob`aYm}dzTmij}erq\eab789:T`xz312<1g>|w}`dd#na}e.Ob`aYm}dzTmij}erq\eab789:T`xz31?0`?vrage$ob|j/Lcg`ZlreyUjhi|jsr]b`a6789Ugyy2=>3a8~usnff%hck M`fg[osjxVkohk|s^cg`5678Vf~x1=12b9ytpoig&id~h!Baef\npkwWhno~h}|_`fg4567We090=c:xsqlhh'jeyi"Cnde]iqhvXimnyi~}Paef3456Xd|~793n5uptkmk*ehzl%FmijPjtos[dbczlyxSljk0123[iss4149o6tuhll+fium&GjhiQeulr\eabumzyTmij?012\hpr;178h7w~ziom,gjtb'DkohRdzmq]b`atb{zUjhi>?01]{kw:76;n0v}{fnn-`kwc(EhnoSg{bp^cg`wct{Vkoh=>?0^zlv9776;n0v}{fnn-`kwc(EhnoSg{bp^cg`wct{Vkoh=>?0^zlv9766;n0v}{fnn-`kwc(EhnoSg{bp^cg`wct{Vkoh=>?0^zlv9756;n0v}{fnn-`kwc(EhnoSg{bp^cg`wct{Vkoh=>?0^zlv9746;i0v}{fnn-`kwc(EhnoSg{bp^cg`wct{Vkoh=>?0^zlv979:j1q|xgao.alv`)JimnTfxc_`fgv`utWhno<=>?_ymq8785k2p{yd``/bmqa*KflmUay`~PaefqavuXimn;<=>Pxnp?7;4d3sz~eca cnpf+HgclV`~a}QndepfwvYflm:;<=Qwos>7:7e|w}`dd#na}e.Ob`aYm}dzTmij}erq\eab789:Ttb|37?0`?vrage$ob|j/Lcg`ZlreyUjhi|jsr]b`a6789Usc27>3a8~usnff%hck M`fg[osjxVkohk|s^cg`5678Vrd~171249ytpoig&id~h!Baef\tfhbp}Ujhi>?01]`}969:?1q|xgao.alv`)JimnT|n`jxu]b`a6789Uhu1??>3;8~usnff%hck M`fg[ueimq~Tmij?012\g|:687Uyx?84zqwjjj)dg{o$Aljk_qama}rXimn;<=>Pcx>25;4>3sz~eca cnpf+HgclVzhbhv{_`fg4567Wjs7=<0Pru05?vrage$ob|j/Lcg`ZvdflrSljk0123[f;9;4956tuhll+fium&GjhiQcog{pZgcl9:;?0^az848Xz}8>7w~ziom,gjtb'DkohR~lndzw[dbc89:;Snw32?0;?vrage$ob|j/Lcg`ZvdflrSljk0123[f;:7Uyx?;4zqwjjj)dg{o$Aljk_qama}rXimn;<=>Pcx>0:7>?01]`}929:11q|xgao.alv`)JimnT|n`jxu]b`a6789Uhu1:1_sv11>|w}`dd#na}e.Ob`aYwkgosxRokd1234Ze~4<4946tuhll+fium&GjhiQcog{pZgcl9:;?_b{?2;Yu|;?0v}{fnn-`kwc(EhnoS}maeyv\eab789:Tot28>3:8~usnff%hck M`fg[ueimq~Tmij?012\g|:06Vx>85uptkmk*ehzl%FmijPpblf|qYflm:;<=Qly=:=6==}x|cec"m`rd-NeabXxjdntyQnde2345Ydq525Sz=5:xsqlhh'jeyi"Cnde]sgkc|Vkoh=>?0^az8<8502p{yd``/bmqa*KflmU{ockwt^cg`5678Vir040Pru05?vrage$ob|j/Lcg`ZvdflrSljk0123[iss4949o6tuhll+fium&GjhiQcog{pZgcl9:;|w}`dd#na}e.Ob`aYwkgosxRokd1234Z~hz5;;2?94zqwjjj)dg{o$Aljk_qama}rXimn;<=>Pxnp?5485?2p{yd``/bmqa*KflmU{ockwt^cg`5678Vrd~1?=>358~usnff%hck M`fg[ueimq~Tmij?012\|jt;9:49m6tuhll+fium&GjhiQcog{pZgcl9:;>348~usnff%hck M`fg[ueimq~Tmij?012\|jt;:78=7w~ziom,gjtb'DkohR~lndzw[dbc89:;Sua}<2<12>|w}`dd#na}e.Ob`aYwkgosxRokd1234Z~hz5>5>;5uptkmk*ehzl%FmijPpblf|qYflm:;<=Qwos>6:70?_ymq8285>2p{yd``/bmqa*KflmU{ockwt^cg`5678Vrd~161279ytpoig&id~h!Baef\tfhbp}Ujhi>?01]{kw:>6;o0v}{fnn-`kwc(EhnoS}|jfs,ptkc|Vkoh~{m0122[f;<79;7w~ziom,gjtb'DkohR~}egp-wuhbp}Ujhi}zb1235Ze~4=4:=?k4zqwjjj)dg{o$Aljk_qpfbw(txgosxRokdrwa4566Wjs7:3=?;{rvmki(kfxn#@okd^rqact){ydntyQndeqvf5679Vir0;0>13g8~usnff%hck M`fg[vrfkbUjSljkr^cg`vse89:;Snw30?0f?vrage$ob|j/Lcg`ZusijaTmRokds]b`aurj9:;|w}`dd#na}e.Ob`aYt|hi`SlQndep\eabt}k:;<=Qly=0=6`=}x|cec"m`rd-NeabX{}khgRoPaefq[dbc{|h;<=>Pcx>0:7c?_b{?0;4b3sz~eca cnpf+HgclVymnePa^cg`wYflmy~n=>?0^az808492p{yd``/bmqa*KflmUxxlmd_`]b`atXimnxyo>?01]`}939W{~9i6tuhll+fium&GjhiQ|t`ah[dYflmxTmij|uc2345Ydq5<5?<5uptkmk*ehzl%FmijPsuc`oZgXimnySljkst`3456Xkp6=2R|{2d9ytpoig&id~h!Baef\wqgdcVkTmij}_`fgwpd789:Tot28>238~usnff%hck M`fg[vrfkbUjSljkr^cg`vse89:;Snw37?]qp7`?_mww8585n2p{yd``/bmqa*KflmUxxlmd_`]b`atXimnxyo>?01]oqq:66;l0v}{fnn-`kwc(EhnoS~zncj]b[dbczVkoh~{m0123[iss4;49j6tuhll+fium&GjhiQ|t`ah[dYflmxTmij|uc2345Yk}}682?h4zqwjjj)dg{o$Aljk_rvbgnYfWhno~Rokdrwa4567We090=f:xsqlhh'jeyi"Cnde]ppdelWhUjhi|Paefpqg6789Usc2?>3d8~usnff%hck M`fg[vrfkbUjSljkr^cg`vse89:;Sua}<0<1b>|w}`dd#na}e.Ob`aYt|hi`SlQndep\eabt}k:;<=Qwos>1:7`?_ymq8685n2p{yd``/bmqa*KflmUxxlmd_`]b`atXimnxyo>?01]{kw:36;l0v}{fnn-`kwc(EhnoS~zncj]b[dbczVkoh~{m0123[}iu4<49j6tuhll+fium&GjhiQ|t`ah[dYflmxTmij|uc2345Yg{6=2?h4zqwjjj)dg{o$Aljk_rvbgnYfWhno~Rokdrwa4567Wqey0:0=f:xsqlhh'jeyi"Cnde]ppdelWhUjhi|Paefpqg6789Usc27>208~usnff%hck M`fg[vrfkbUjSljkr^cg`vse89:;Sua}<9<\vq4e3sz~eca cnpf+HgclVymnePb^cg`wYflm:;<=Qly=2=6g=}x|cec"m`rd-NeabX{}khgRlPaefq[dbc89:;Snw31?0f?vrage$ob|j/Lcg`ZusijaTnRokds]b`a6789Uhu1?1_sv1f>|w}`dd#na}e.Ob`aYt|hi`SoQndep\eab789:Tot2=>3g8~usnff%hck M`fg[vrfkbUiSljkr^cg`5678Vir0?0Pru0a?vrage$ob|j/Lcg`ZusijaTnRokds]b`a6789Uhu1=12d9ytpoig&id~h!Baef\wqgdcVhTmij}_`fg4567Wjs7?3Q}t3`8~usnff%hck M`fg[vrfkbUiSljkr^cg`5678Vir090=e:xsqlhh'jeyi"Cnde]ppdelWkUjhi|Paef3456Xkp6?2R|{2c9ytpoig&id~h!Baef\wqgdcVhTmij}_`fg4567Wjs793h5uptkmk*ehzl%FmijPsuc`oZdXimnySljk0123[f;?7Uyx?m4zqwjjj)dg{o$Aljk_rvbgnYeWhno~Rokd1234Zjr|5:5??5uptkmk*ehzl%FmijPsuc`oZdXimnySljk0123[iss494TECXP03a8~usnff%hck M`fg[vrfkbUiSljkr^cg`5678Vrd~1>12b9ytpoig&id~h!Baef\wqgdcVhTmij}_`fg4567Wqey0<0=c:xsqlhh'jeyi"Cnde]ppdelWkUjhi|Paef3456Xpfx7>35>n5uptkmk*ehzl%FmijPsuc`oZdXimnySljk0123[}iu4<49o6tuhll+fium&GjhiQ|t`ah[gYflmxTmij?012\|jt;>78h7w~ziom,gjtb'DkohR}{abi\fZgcl{Ujhi>?01]{kw:06;i0v}{fnn-`kwc(EhnoS~zncj]a[dbczVkoh=>?0^zlv9>9:o1q|xgao.alv`)JimnTyolk^`\eabuWhno<=>?_ymq8=8Xz}8n7w~ziom,gjtb'DkohR}{abi\fZgcl{Ujhi}zb1234Ze~4949i6tuhll+fium&GjhiQ|t`ah[gYflmxTmij|uc2345Ydq5;5>h5uptkmk*ehzl%FmijPsuc`oZdXimnySljkst`3456Xkp692?k4zqwjjj)dg{o$Aljk_rvbgnYeWhno~Rokdrwa4567Wjs7?3^pw6`=}x|cec"m`rd-NeabX{}khgRlPaefq[dbc{|h;<=>Pcx>5:67?_b{?2;Yu|;o0v}{fnn-`kwc(EhnoS~zncj]a[dbczVkoh~{m0123[f;?79:7w~ziom,gjtb'DkohR}{abi\fZgcl{Ujhi}zb1234Ze~4>4T~y12g9ytpoig&id~h!Baef\wqgdcVhTmij}_`fgwpd789:T`xz31?0e?vrage$ob|j/Lcg`ZusijaTnRokds]b`aurj9:;Pltv?7;4a3sz~eca cnpf+HgclVymnePb^cg`wYflmy~n=>?0^nvp929:o1q|xgao.alv`)JimnTyolk^`\eabuWhnoxl?012\|jt;878m7w~ziom,gjtb'DkohR}{abi\fZgcl{Ujhi}zb1234Z~hz5;5>k5uptkmk*ehzl%FmijPsuc`oZdXimnySljkst`3456Xpfx7>3Pxnp?2;4a3sz~eca cnpf+HgclVymnePb^cg`wYflmy~n=>?0^zlv919:o1q|xgao.alv`)JimnTyolk^`\eabuWhnoxl?012\|jt;07997w~ziom,gjtb'DkohR}{abi\fZgcl{Ujhi}zb1234Z~hz525Sz=5:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?0^az8585>2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vir0<>1279ytpoig&id~h!Baef\s4(sgzUjhi>?01]`}9766;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789:Tot2>2?05?vrage$ob|j/Lcg`Zq6&}exSljk0123[f;9:49:6tuhll+fium&GjhiQx1/vlwZgcl9:;2?84zqwjjj)dg{o$Aljk_v3-pjuXimn;<=>Pcx>22;413sz~eca cnpf+HgclV}:"ya|_`fg4567Wjs7=:0=6:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?0^az84>9:?1q|xgao.alv`)JimnT{< {or]b`a6789Uhu1?6>378~usnff%hck M`fg[r7)|fyTmij?012\g|:66;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789:Tot2=0?05?vrage$ob|j/Lcg`Zq6&}exSljk0123[f;:849:6tuhll+fium&GjhiQx1/vlwZgcl9:;Pcx>10;413sz~eca cnpf+HgclV}:"ya|_`fg4567Wjs7>80=6:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?0^az8709:?1q|xgao.alv`)JimnT{< {or]b`a6789Uhu1<8>348~usnff%hck M`fg[r7)|fyTmij?012\g|:5078=7w~ziom,gjtb'DkohRy>.ump[dbc89:;Snw328<11>|w}`dd#na}e.Ob`aYp9'~dRokd1234Ze~4;49:6tuhll+fium&GjhiQx1/vlwZgcl9:;85uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qly=6=60=}x|cec"m`rd-NeabX8$c~Qnde2345Ydq5?5>85uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qly=4=60=}x|cec"m`rd-NeabX8$c~Qnde2345Ydq5=5>85uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qly=:=60=}x|cec"m`rd-NeabX8$c~Qnde2345Ydq535>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qcuu>3:71?0^nvp9766;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789:T`xz313<13>|w}`dd#na}e.Ob`aYp9'~dRokd1234Zjr|5;82?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=>Pltv?5185?2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vf~x1?:>358~usnff%hck M`fg[r7)|fyTmij?012\hpr;9?49;6tuhll+fium&GjhiQx1/vlwZgcl9:;?0^nvp97>6;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789:T`xz31?04?vrage$ob|j/Lcg`Zq6&}exSljk0123[iss4;:5>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qcuu>15;403sz~eca cnpf+HgclV}:"ya|_`fg4567We0?<1269ytpoig&id~h!Baef\s4(sgzUjhi>?01]oqq:5;78<7w~ziom,gjtb'DkohRy>.ump[dbc89:;Sa{{<36=62=}x|cec"m`rd-NeabX8$c~Qnde2345Yk}}6993<8;{rvmki(kfxn#@okd^u2*qitWhno<=>?_mww8709:>1q|xgao.alv`)JimnT{< {or]b`a6789Ugyy2=7?04?vrage$ob|j/Lcg`Zq6&}exSljk0123[iss4;25>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qcuu>1=;413sz~eca cnpf+HgclV}:"ya|_`fg4567We0?0=7:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?0^nvp9576;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789:T`xz330<12>|w}`dd#na}e.Ob`aYp9'~dRokd1234Zjr|595>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qcuu>7:70?_mww8385>2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vf~x191279ytpoig&id~h!Baef\s4(sgzUjhi>?01]oqq:?6;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789:T`xz39?05?vrage$ob|j/Lcg`Zq6&}exSljk0123[}iu4949;6tuhll+fium&GjhiQx1/vlwZgcl9:;?0^zlv9756;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789:Ttb|312<13>|w}`dd#na}e.Ob`aYp9'~dRokd1234Z~hz5;?2?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=>Pxnp?5085?2p{yd``/bmqa*KflmU|=#z`s^cg`5678Vrd~1?9>358~usnff%hck M`fg[r7)|fyTmij?012\|jt;9>49;6tuhll+fium&GjhiQx1/vlwZgcl9:;?0^zlv979:>1q|xgao.alv`)JimnT{< {or]b`a6789Usc2=0?04?vrage$ob|j/Lcg`Zq6&}exSljk0123[}iu4;;5>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qwos>16;403sz~eca cnpf+HgclV}:"ya|_`fg4567Wqey0?=1269ytpoig&id~h!Baef\s4(sgzUjhi>?01]{kw:5<78<7w~ziom,gjtb'DkohRy>.ump[dbc89:;Sua}<37=62=}x|cec"m`rd-NeabX8$c~Qnde2345Yg{69:3<8;{rvmki(kfxn#@okd^u2*qitWhno<=>?_ymq8719:>1q|xgao.alv`)JimnT{< {or]b`a6789Usc2=8?04?vrage$ob|j/Lcg`Zq6&}exSljk0123[}iu4;35>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<=Qwos>1:71?0^zlv9566;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789:Ttb|33?05?vrage$ob|j/Lcg`Zq6&}exSljk0123[}iu4=49:6tuhll+fium&GjhiQx1/vlwZgcl9:;Pxnp?3;413sz~eca cnpf+HgclV}:"ya|_`fg4567Wqey050=6:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?0^zlv9?9:<1q|xgao.alv`)JimnT{< {or]b`a6788Uhu1>1279ytpoig&id~h!Baef\s4(sgzUjhi>?00]`}9776;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789;Tot2>1?05?vrage$ob|j/Lcg`Zq6&}exSljk0122[f;9;49:6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rmv<01=63=}x|cec"m`rd-NeabX8$c~Qnde2344Ydq5;?2?84zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pcx>21;413sz~eca cnpf+HgclV}:"ya|_`fg4566Wjs7=;0=6:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?1^az8419:?1q|xgao.alv`)JimnT{< {or]b`a6788Uhu1?7>348~usnff%hck M`fg[r7)|fyTmij?013\g|:6178>7w~ziom,gjtb'DkohRy>.ump[dbc89::Snw31?05?vrage$ob|j/Lcg`Zq6&}exSljk0122[f;:949:6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rmv<33=63=}x|cec"m`rd-NeabX8$c~Qnde2344Ydq5892?84zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pcx>17;413sz~eca cnpf+HgclV}:"ya|_`fg4566Wjs7>90=6:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?1^az8739:?1q|xgao.alv`)JimnT{< {or]b`a6788Uhu1<9>348~usnff%hck M`fg[r7)|fyTmij?013\g|:5?78=7w~ziom,gjtb'DkohRy>.ump[dbc89::Snw329<12>|w}`dd#na}e.Ob`aYp9'~dRokd1235Ze~4;35>85uptkmk*ehzl%FmijPw0,wkvYflm:;<0:736:734:73::70>_mww8469:>1q|xgao.alv`)JimnT{< {or]b`a6788Ugyy2>1?04?vrage$ob|j/Lcg`Zq6&}exSljk0122[iss4885>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<27;403sz~eca cnpf+HgclV}:"ya|_`fg4566We0<:1269ytpoig&id~h!Baef\s4(sgzUjhi>?00]oqq:6=78<7w~ziom,gjtb'DkohRy>.ump[dbc89::Sa{{<04=62=}x|cec"m`rd-NeabX8$c~Qnde2344Yk}}6:;3<8;{rvmki(kfxn#@okd^u2*qitWhno<=>>_mww84>9:>1q|xgao.alv`)JimnT{< {or]b`a6788Ugyy2>9?05?vrage$ob|j/Lcg`Zq6&}exSljk0122[iss4849;6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rbzt=03:71<0=7:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?1^nvp9456;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789;T`xz322<13>|w}`dd#na}e.Ob`aYp9'~dRokd1235Zjr|58?2?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pltv?6085?2p{yd``/bmqa*KflmU|=#z`s^cg`5679Vf~x1<9>358~usnff%hck M`fg[r7)|fyTmij?013\hpr;:>49;6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rbzt=0;:7140=6:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?1^nvp949:>1q|xgao.alv`)JimnT{< {or]b`a6788Ugyy2<0?04?vrage$ob|j/Lcg`Zq6&}exSljk0122[iss4:;5>;5uptkmk*ehzl%FmijPw0,wkvYflm:;<0:70>_mww8085>2p{yd``/bmqa*KflmU|=#z`s^cg`5679Vf~x181279ytpoig&id~h!Baef\s4(sgzUjhi>?00]oqq:06;<0v}{fnn-`kwc(EhnoSz?!tnq\eab789;T`xz38?05?vrage$ob|j/Lcg`Zq6&}exSljk0122[iss4049:6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rv`r=2=62=}x|cec"m`rd-NeabX8$c~Qnde2344Yg{6:<3<8;{rvmki(kfxn#@okd^u2*qitWhno<=>>_ymq8479:>1q|xgao.alv`)JimnT{< {or]b`a6788Usc2>2?04?vrage$ob|j/Lcg`Zq6&}exSljk0122[}iu4895>:5uptkmk*ehzl%FmijPw0,wkvYflm:;<20;403sz~eca cnpf+HgclV}:"ya|_`fg4566Wqey0<;1269ytpoig&id~h!Baef\s4(sgzUjhi>?00]{kw:6>78<7w~ziom,gjtb'DkohRy>.ump[dbc89::Sua}<05=62=}x|cec"m`rd-NeabX8$c~Qnde2344Yg{6:43<8;{rvmki(kfxn#@okd^u2*qitWhno<=>>_ymq84?9:?1q|xgao.alv`)JimnT{< {or]b`a6788Usc2>>358~usnff%hck M`fg[r7)|fyTmij?013\|jt;:949;6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rv`r=02:71?0=7:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?1^zlv9446;=0v}{fnn-`kwc(EhnoSz?!tnq\eab789;Ttb|325<13>|w}`dd#na}e.Ob`aYp9'~dRokd1235Z~hz58>2?94zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pxnp?6385?2p{yd``/bmqa*KflmU|=#z`s^cg`5679Vrd~1<8>358~usnff%hck M`fg[r7)|fyTmij?013\|jt;:149;6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rv`r=0::703<8;{rvmki(kfxn#@okd^u2*qitWhno<=>>_ymq8669:>1q|xgao.alv`)JimnT{< {or]b`a6788Usc2<1?05?vrage$ob|j/Lcg`Zq6&}exSljk0122[}iu4:49:6tuhll+fium&GjhiQx1/vlwZgcl9:;=Rv`r=6=63=}x|cec"m`rd-NeabX8$c~Qnde2344Yg{6>2?84zqwjjj)dg{o$Aljk_v3-pjuXimn;<=?Pxnp?2;413sz~eca cnpf+HgclV}:"ya|_`fg4566Wqey0:0=6:xsqlhh'jeyi"Cnde]t5+rh{Vkoh=>?1^zlv9>9:?1q|xgao.alv`)JimnT{< {or]b`a6788Usc26>3f8~usnff%hck MbmntdtX8$c~Q?_bos[`w789:Tot2?>3g8~usnff%hck MbmntdtX8$c~Q?_bos[`w789:Tot2>0?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZcv89:;Snw310<1a>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYby9:;Pcx>20;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVoz<=>?_b{?5085l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^az8485l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^az8785l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^az8685l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^az8185l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^az8085l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^az8385l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^az8285l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^az8=85l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^az8<85m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^nvp969:o1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzTi|>?01]oqq:6878m7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rk~0123[iss48;5>k5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Pep2345Yk}}6:>3Pclr\at6789Ugyy2>4?0e?vrage$ob|j/LaliuguW~;%xb}P0^antZcv89:;Sa{{<07=6`=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXmx:;<=Qcuu>2:7cPltv?6;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVoz<=>?_mww8685m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUn}=>?0^nvp929:l1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzTi|>?01]oqq:26;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Sh?012\hpr;>78n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rk~0123[iss4>49i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qjq1234Zjr|525>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Pep2345Yk}}622?j4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3456Xkp6;2?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3456Xkp6:<3Pclr\hq6789Uhu1?<>3g8~usnff%hck MbmntdtX8$c~Q?_bos[ir789:Tot2>4?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89:;Snw314<1a>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;Pcx>2<;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>?_b{?5<85l2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?0^az8485m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?0^az8769:l1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?01]`}9466;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?012\g|:5:78n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0123[f;::49i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Ze~4;>5>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2345Ydq58>2?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3456Xkp69:3:0=e:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5678Vir0?612d9ytpoig&id~h!BcnosewYp9'~dR>Pclr\hq6789Uhu1<6>3f8~usnff%hck MbmntdtX8$c~Q?_bos[ir789:Tot2=>3g8~usnff%hck MbmntdtX8$c~Q?_bos[ir789:Tot2<0?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89:;Snw330<1`>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;24;4a3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>?_mww8479:o1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?01]oqq:6:78m7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0123[iss4895>k5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2345Yk}}6:83Pclr\hq6789Ugyy2>6?0e?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89:;Sa{{<05=6c=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<=Qcuu>2<;4a3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>?_mww84?9:l1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?01]oqq:66;l0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?012\hpr;:949j6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Zjr|58:2?h4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3456Xd|~7>?0=f:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5678Vf~x1<<>3d8~usnff%hck MbmntdtX8$c~Q?_bos[ir789:T`xz325<1b>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;Pltv?6385n2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?0^nvp9406;l0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?012\hpr;:149j6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1234Zjr|5822?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3456Xd|~7>3>12g9ytpoig&id~h!BcnosewYp9'~dR>Pclr\hq6789Ugyy2<1?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89:;Sa{{<2<1a>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;6:7cPltv?2;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>?_mww8285m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?0^nvp9>9:l1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?01]oqq:>6;n0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?013\g|:76;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?013\g|:6878n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0122[f;9849i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1235Ze~4885>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2344Ydq5;82?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3457Xkp6:83Pclr\hq6788Uhu1?8>3g8~usnff%hck MbmntdtX8$c~Q?_bos[ir789;Tot2>8?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89::Snw318<1`>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;=Rmv<0<1a>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;=Rmv<32=6`=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<16;4b3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>>_b{?6685m2p{yd``/bmqa*Kdgdzj~Ry>.ump[5YdeyUgx=>?1^az8729:l1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?00]`}9426;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?013\g|:5>78n7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0122[f;:>49i6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1235Ze~4;25>h5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2344Ydq5822?j4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3457Xkp692?k4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3457Xkp68<30=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vir090=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vir080=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vir0;0=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vir0:0=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vir050=d:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vir040=e:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vf~x1>12g9ytpoig&id~h!BcnosewYp9'~dR>Pclr\hq6788Ugyy2>0?0e?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89::Sa{{<03=6c=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<26;4a3sz~eca cnpf+HeheykySz?!tnq\4ZejxVf<=>>_mww8459:o1q|xgao.alv`)Jkfg{mQx1/vlwZ6XkdzT`y>?00]oqq:6<78m7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0122[iss48?5>k5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2344Yk}}6::3Pclr\hq6788Ugyy2>8?0e?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89::Sa{{<0;=6`=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<2:7`.ump[5YdeyUgx=>?1^nvp9466;l0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?013\hpr;:;49j6tuhll+fium&Ghc`~nr^u2*qitW9Uha}Qct1235Zjr|5882?h4zqwjjj)dg{o$Anabp`p\s4(sgzU;Snc_mv3457Xd|~7>90=f:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vf~x1<:>3d8~usnff%hck MbmntdtX8$c~Q?_bos[ir789;T`xz327<1b>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;=Rbzt=04:7`.ump[5YdeyUgx=>?1^nvp94>6;o0v}{fnn-`kwc(Ejef|l|Pw0,wkvY7Wjg{Saz?013\hpr;:78m7w~ziom,gjtb'Dida}o}_v3-pjuX8Vif|Rb{0122[iss4::5>k5uptkmk*ehzl%Fobcas]t5+rh{V:To`~Plu2344Yk}}68=30=e:xsqlhh'jeyi"ClolrbvZq6&}exS=Qlmq]op5679Vf~x1:12d9ytpoig&id~h!BcnosewYp9'~dR>Pclr\hq6788Ugyy2:>3g8~usnff%hck MbmntdtX8$c~Q?_bos[ir789;T`xz36?0f?vrage$ob|j/LaliuguW~;%xb}P0^antZjs89::Sa{{<6<1a>|w}`dd#na}e.O`khvfzV}:"ya|_1]`iuYk|9:;=Rbzt=:=6`=}x|cec"m`rd-Ngjkwi{U|=#z`s^2\ghvXd}:;<::7`>h5uptkmk*ehzl%FxlPpsgev+uwflrSljkst`3456Xkp6;2>?4zqwjjj)dg{o$A~{m_qpfbw(txgosxRokdrwa4567Wjs7<3Q}t3g8~usnff%hck Mrwa[utbn{$x|ckwt^cg`vse89:;Snw31?0f?vrage$ob|j/LqvfZvumox%}`jxu]b`aurj9:;|w}`dd#na}e.OpqgYwzlly"~~aeyv\eabt}k:;<=Qly=1=6`=}x|cec"m`rd-NwpdXx{om~#}ndzw[dbc{|h;<=>Pcx>7:7c?_b{?1;4b3sz~eca cnpf+HurjVzyik|!sqlf|qYflmy~n=>?0^az8385m2p{yd``/bmqa*Kt}kU{~hh}.rrma}rXimnxyo>?01]`}919:o1q|xgao.alv`)J{|hT|kir/qsj`~sWhnoxl?012\hpr;979>7w~ziom,gjtb'Dy~nR~}egp-wuhbp}Ujhi}zb1234Zjr|5;5SD@Y_10e?vrage$ob|j/LqvfZvumox%}`jxu]b`aurj9:;Pltv?6;YNF_U;>k5uptkmk*ehzl%FxlPpsgev+uwflrSljkst`3456Xd|~7?3=:;{rvmki(kfxn#@}zb^rqact){ydntyQndeqvf5678Vf~x1=1_HLU[54a3sz~eca cnpf+HurjVzyik|!sqlf|qYflmy~n=>?0^nvp929;<1q|xgao.alv`)J{|hT|kir/qsj`~sWhnoxl?012\hpr;<7UBB[Q?2g9ytpoig&id~h!Bst`\twcaz'y{bhv{_`fgwpd789:T`xz35?16?vrage$ob|j/LqvfZvumox%}`jxu]b`aurj9:;Pltv?3;523sz~eca cnpf+HurjVzyik|!sqlf|qYflmy~n=>?0^nvp919W@D]S=k5uptkmk*ehzl%FxlPpsgev+uwflrSljkst`3456Xpfx7>3Pxnp?2;4a3sz~eca cnpf+HurjVzyik|!sqlf|qYflmy~n=>?0^zlv919:o1q|xgao.alv`)J{|hT|kir/qsj`~sWhnoxl?012\|jt;07;<7w~ziom,gjtb'mohcikPjtos5g=}x|cec"m`rd-gaficmV`~a}Q\W105?vrage$ob|j/eg`kacXb|g{S^Y?/MWW0ZJXJ\L:h6tuhll+fium&nnobjj_kwntZUP8&E:m6tuhll+fium&nnobjj_mmb`Zuw9?1q|xgao.alv`)cmjx%nknk328~usnff%hck ddaq*acdgmooC}m`dd]264=}x|cec"m`rd-gaft)llidhhj@pbmgaZ75:81q|xgao.alv`)cmjx%hhm`ddfLtficmV;8><5uptkmk*ehzl%oin|!ddal``bHxjeoiR?;209ytpoig&id~h!kebp-``ehllnD|nake^3664=}x|cec"m`rd-gaft)llidhhj@pbmgaZ7>:81q|xgao.alv`)cmjx%hhm`ddfLtficmV89><5uptkmk*ehzl%oin|!ddal``bHxjeoiR<<209ytpoig&id~h!kebp-``ehllnD|nake^0764=}x|cec"m`rd-gaft)llidhhj@pbmgaZ42:81q|xgao.alv`)cmjx%hhm`ddfLtficmV8<>=5uptkmk*ehzl%oin|!ddal``bHxjeoiR==1:xsqlhh'jeyi"jjcs,gaficmmE{objj_2215>|w}`dd#na}e.ffgw(cmjeoiiAcnff[67592p{yd``/bmqa*bbk{$oinakeeMsgjbbW:89=6tuhll+fium&nno kebmgaaIwkfnnS>==0:xsqlhh'jeyi"jjcs,gaficmmE{objj_503?vrage$ob|j/eg`v+bbkfnnhB~loeg\1769:1q|xgao.alv`)cmjx%e`?6;{rvmki(kfxn#iklr/msgjbbW9;27w~ziom,gjtb'moh~#acnff[47>3sz~eca cnpf+acdz'e{objj_33:?vrage$ob|j/eg`v+iwkfnnS>?6;{rvmki(kfxn#iklr/msgjbbW=;27w~ziom,gjtb'moh~#acnff[07>3sz~eca cnpf+acdz'e{objj_736?vrage$ob|j/eg`v+vdW9;>7w~ziom,gjtb'moh~#~l_035?vrage$ob|j/eg`v+vdW8:::6tuhll+fium&nno c^3253=}x|cec"m`rd-gaft)xjU:><84zqwjjj)dg{o$hhm}.qa\56723sz~eca cnpf+acdz'zhS??:;{rvmki(kfxn#iklr/r`[6723sz~eca cnpf+acdz'zhS9?:;{rvmki(kfxn#iklr/r`[0723sz~eca cnpf+acdz'zhS;?:;{rvmki(kfxn#iklr/r`[2723sz~eca cnpf+acdz'zhS5?:;{rvmki(kfxn#iklr/r`[<7?3sz~eca cnpf+acdz'zheczP00:8~usnff%hck ddaq*uenf}U:=45uptkmk*ehzl%oin|!pbkmpZ77901q|xgao.alv`)cmjx%|ngat^325<=}x|cec"m`rd-gaft)xjcexR?=189ytpoig&id~h!kebp-tfoi|V;8=55uptkmk*ehzl%oin|!pbkmpZ4602p{yd``/bmqa*bbk{${od`{_23;?vrage$ob|j/eg`v+vdag~T8<64zqwjjj)dg{o$hhm}.qajjqY2911q|xgao.alv`)cmjx%|ngat^42<>|w}`dd#na}e.ffgw(wk`dS:?7;{rvmki(kfxn#iklr/r`mkrX0820v}{fnn-`kwc(lliy"}mfnu]:5g=}x|cec"m`rd-gaft){yEmj~k{_13a?vrage$ob|j/eg`v+uwGolxiyQ>1c9ytpoig&id~h!kebp-wuIanzoS??m;{rvmki(kfxn#iklr/qsKc`tm}U8=o5uptkmk*ehzl%oin|!sqMebvcsW=8:7w~ziom,gjtb'moh~#}{abiLt`tf|`eeS<<>;{rvmki(kfxn#iklr/qwefmHxlxjxdaa_302?vrage$ob|j/eg`v+usijaD|h|nthmm[67a3sz~eca cnpf+acdz'ymykPFRO\BCb5:;1q|xgao.alv`)cmjx%yo{e^DPIZ@Al;'Bb:h6tuhll+fium&nno {orQlqwebW8?:h6tuhll+fium&nno {orQlqwebW8<:h6tuhll+fium&nno {orQlqwebW8=:o6tuhll+fium&nno {orQlqwebW;;h7w~ziom,gjtb'moh~#z`sRmvvfcX;8i0v}{fnn-`kwc(lliy"ya|Snwqg`Y39j1q|xgao.alv`)cmjx%xb}\otp`aZ36k2p{yd``/bmqa*bbk{$c~]`usaf[37d3sz~eca cnpf+acdz'~d^azrbg\34e|w}`dd#na}e.ffgw(qieco=h5uptkmk*ehzl%oin|PbsgboZkrp9:;<f:xsqlhh'jeyi"jjcs]av`glWds<=>?2338~usnff%hck ddaq[gtbibUfyu>?01]e17g2?0a?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:7=?0>2`9ytpoig&id~h!kebp\``ehllnD|nake^ov|56785;82?l4zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:6;7;9m6tuhll+fium&nnoQkebmgaaIwkfnnS`{w01238429:l1q|xgao.alv`)cmjxThhm`ddfLtficmVg~t=>?0=37:ZUP8:30v}{fnn-`kwc(lliySikloeggKuehllUfyu>?01>20;YT_9%GYY=PL^@VB664?]PS5)H:h1q|xgao.alv`)cmjxThhm`ddfLtficmVg~t=>?0=36:7c5?]PS55>3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>314<\WR6(D\^8SAQMUG13?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:7=80PSV2,K7g9?0f?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:7=40PSV20=>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0<71_RU3+ISS;VFTNXH<0:xsqlhh'jeyi"jjcs]gaficmmE{objj_lw{45674835S^Y?/N0:?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:7=3l5uptkmk*ehzl%oin|Pddal``bHxjeoiRczx1234979:;k0v}{fnn-`kwc(lliySikloeggKuehllUfyu>?01>16;4b3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>323<\WR6412p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<30=[VQ7'E__?RBPBTD04>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0?<1_RU3+J4f3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>322<1a>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0?=1_RU36d=}x|cec"m`rd-gaftXllidhhj@pbmgaZkrp9:;<1<;>3`8~usnff%hck ddaq[acdgmooC}m`dd]nq}67896983?=a:xsqlhh'jeyi"jjcs]gaficmmE{objj_lw{45674;?5>o5uptkmk*ehzl%oin|Pddal``bHxjeoiRczx1234942688j7w~ziom,gjtb'moh~Rjjcnff`JvdgmoTaxv?012?6285m2p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<35=[VQ7;01q|xgao.alv`)cmjxThhm`ddfLtficmVg~t=>?0=04:ZUP8&F^X9QC_CWE75=}x|cec"m`rd-gaftXllidhhj@pbmgaZkrp9:;<1<8>^QT4*I5i2p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<22=6`=}x|cec"m`rd-gaftXllidhhj@pbmgaZkrp9:;<1=?>^QT46?>3a8~usnff%hck ddaq[acdgmooC}m`dd]nq}678968=3?<2e9ytpoig&id~h!kebp\``ehllnD|nake^ov|567859:2<=>2g9ytpoig&id~h!kebp\``ehllnD|nake^ov|567859:2<=Pf40`?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:7?<0>43a8~usnff%hck ddaq[acdgmooC}m`dd]nq}678968=3<>369ytpoig&id~h!kebp\``ehllnD|nake^ov|567859:2?? LTV0[AYE]O8h7w~ziom,gjtb'moh~Rjjcnff`JvdgmoTaxv?012?7485;;k0v}{fnn-`kwc(lliySikloeggKuehllUfyu>?01>06;4b3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>333<\WR6412p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<20=[VQ7'E__?RBPBTD04>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0><1_RU3+J4f3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>332<1a>|w}`dd#na}e.ffgwYcmjeoiiAcnff[hs89:;0>=1_RU36<=}x|cec"m`rd-gaftXllidhhj@pbmgaZkrp9:;<1=12`9ytpoig&id~h!kebp\``ehllnD|nake^ov|5678595=?74zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:36;h0v}{fnn-`kwc(lliySikloeggKuehllUfyu>?01>7:474>2p{yd``/bmqa*bbk{UoinakeeMsgjbbWds<=>?<5<25*JR\:UOSO[I2e9ytpoig&id~h!kebp\``ehllnD|nake^ov|56785>5S^Y?399ytpoig&id~h!kebp\``ehllnD|nake^ov|56785>5S^Y?/MWW0ZJXJ\L9j6tuhll+fium&nnoQkebmgaaIwkfnnS`{w0123818X[^:$C?74zqwjjj)dg{o$hhm}_eg`kaccGyidhhQbuy2345:26;k0v}{fnn-`kwc(lliySikloeggKuehllUfyu>?01>6:44>3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>36?0b?vrage$ob|j/eg`vZbbkfnnhB~loeg\ip~789:7:3?=9:xsqlhh'jeyi"jjcs]gaficmmE{objj_lw{45674149m6tuhll+fium&nnoQkebmgaaIwkfnnS`{w01238=86:k1q|xgao.alv`)cmjxThhm`ddfLtficmVg~t=>?0=:=54513sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>38?32+ISS;VNTNXH=9:xsqlhh'jeyi"jjcs]gaficmmE{objj_lw{45674049h6tuhll+fium&nnoQkebmgaaIwkfnnS`{w01238<8X[^:846tuhll+fium&nnoQkebmgaaIwkfnnS`{w01238<8X[^:$@XZ<_M]AQC4a3sz~eca cnpf+acdzVnnobjjdNr`kacXe|r;<=>39?]PS5)H::1q|xgao.alv`)cmjxTjhzlhqa\ip~789:7<3<:;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=2=54413sz~eca cnpf+acdzVlnxnfc^ov|56785:5=?01>3:425=2p{yd``/bmqa*bbk{Umiymgpb]nq}67896;2??<0:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>3:77(D\^?SIQMUG07?vrage$ob|j/eg`vZ`b|jb{oRczx1234969<;l0v}{fnn-`kwc(lliySkk{cir`[hs89:;0=0;/MWW0ZJXJ\L9:6tuhll+fium&nnoQieuaktfYj}q:;<=2?>5-L61=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;9949:6tuhll+fium&nnoQieuaktfYj}q:;<=2>0?3263=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;994:8?;4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<02=0661q|xgao.alv`)cmjxTjhzlhqa\ip~789:7==0;/N07?vrage$ob|j/eg`vZ`b|jb{oRczx12349766;<0v}{fnn-`kwc(lliySkk{cir`[hs89:;0996tuhll+fium&nnoQieuaktfYj}q:;<=2>1?604>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:697>$@XZ;_M]AQC403sz~eca cnpf+acdzVlnxnfc^ov|56785;:29!@259ytpoig&id~h!kebp\b`rd`yiTaxv?012?5785>2p{yd``/bmqa*bbk{Umiymgpb]nq}67896:>3?>279ytpoig&id~h!kebp\b`rd`yiTaxv?012?5786<;?0v}{fnn-`kwc(lliySkk{cir`[hs89:;0<<14228~usnff%hck ddaq[ccskazhS`{w01238449<&F^X9QC_CWE62=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;9;4?#B<;;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=30:70?0=30:425=2p{yd``/bmqa*bbk{Umiymgpb]nq}67896:?3:<0:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>27;2(D\^?SAQMUG04?vrage$ob|j/eg`vZ`b|jb{oRczx12349746=%D>>5uptkmk*ehzl%oin|Pfdv`lueXe|r;<=>31?06?vrage$ob|j/eg`vZ`b|jb{oRczx1234979988>7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1?11507?vrage$ob|j/eg`vZ`b|jb{oRczx1234979<;l0v}{fnn-`kwc(lliySkk{cir`[hs89:;0<0;/MWW0ZJXJ\L9:6tuhll+fium&nnoQieuaktfYj}q:;<=2>>5-L66=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;:78>7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1<11006?vrage$ob|j/eg`vZ`b|jb{oRczx12349499=8?7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1<143d8~usnff%hck ddaq[ccskazhS`{w01238783'E__8RBPBTD12>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:56=%D>>5uptkmk*ehzl%oin|Pfdv`lueXe|r;<=>33?06?vrage$ob|j/eg`vZ`b|jb{oRczx1234959988>7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1=11507?vrage$ob|j/eg`vZ`b|jb{oRczx1234959<;l0v}{fnn-`kwc(lliySkk{cir`[hs89:;0>0;/MWW0ZJXJ\L9:6tuhll+fium&nnoQieuaktfYj}q:;<=2<>5-L66=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;<78>7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1:11006?vrage$ob|j/eg`vZ`b|jb{oRczx12349299=8?7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1:14318~usnff%hck ddaq[ccskazhS`{w01238085=2p{yd``/bmqa*bbk{Umiymgpb]nq}67896>2<>=5:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>6:41512p{yd``/bmqa*bbk{Umiymgpb]nq}67896>2<9PSV211>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:26;;8<6tuhll+fium&nnoQieuaktfYj}q:;<=2:>33,HPR3WMUIYK<:;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=7=74423sz~eca cnpf+acdzVlnxnfc^ov|56785?59<=?;{rvmki(kfxn#iklr^dfpfnwkVg~t=>?0=7=14)K]]>THRLZF348~usnff%hck ddaq[ccskazhS`{w01238082988j7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<1;1503\WR65=2p{yd``/bmqa*bbk{Umiymgpb]nq}67896>2:<=3:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>5:73?<7<254563sz~eca cnpf+acdzVlnxnfc^ov|56785<5=?0=4=7=4>3sz~eca cnpf+acdzVlnxnfc^ov|56785<5?5Q\W117?vrage$ob|j/eg`vZ`b|jb{oRczx1234909;1UX[=!CUU6\HZDRN;h0v}{fnn-`kwc(lliySkk{cir`[hs89:;0;0<8^QT4*I5;2p{yd``/bmqa*bbk{Umiymgpb]nq}67896<2?;4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<6<257?4:=R]X0378~usnff%hck ddaq[ccskazhS`{w012382861;?0v}{fnn-`kwc(lliySkk{cir`[hs89:;0:0<83;8~usnff%hck ddaq[ccskazhS`{w012382840VY\<>:4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<6<09:<1q|xgao.alv`)cmjxTjhzlhqa\ip~789:743?>289ytpoig&id~h!kebp\b`rd`yiTaxv?012?<;76WZ];>85uptkmk*ehzl%oin|Pfdv`lueXe|r;<=>38?3:60=}x|cec"m`rd-gaftXnl~hd}mPmtz3456;0793>45uptkmk*ehzl%oin|Pfdv`lueXe|r;<=>38?1;[VQ7;=1q|xgao.alv`)cmjxTjhzlhqa\ip~789:743=7_RU3+ISS?01>;:6>X[^:$C?=4zqwjjj)dg{o$hhm}_ggwgmvdWds<=>?<8<11>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:>68;956tuhll+fium&nnoQieuaktfYj}q:;<=26>03\WR65=2p{yd``/bmqa*bbk{Umiymgpb]nq}6789622<7=5:xsqlhh'jeyi"jjcs]eaqeoxjUfyu>?01>::6>512p{yd``/bmqa*bbk{Umiymgpb]nq}6789622>6PSV200>|w}`dd#na}e.ffgwYam}ic|nQbuy2345:>6:2T_Z> LTV7[IYE]O8i7w~ziom,gjtb'moh~RhjtbjsgZkrp9:;<17139]PS5)H9k1q|xgao.alv`)cmjxTe`Qbuy23457a3sz~eca cnpf+acdzVcfS`{w0123[VQ6:;1q|xgao.alv`)cmjxTc}m`dd]nq}67896;2?=4zqwjjj)dg{o$hhm}_nr`kacXe|r;<=>30?016>|w}`dd#na}e.ffgwYhxjeoiRczx1234979::1q|xgao.alv`)cmjxTc}m`dd]nq}67896:2<<=;{rvmki(kfxn#iklr^msgjbbWds<=>?<3<17>|w}`dd#na}e.ffgwYhxjeoiRczx12349499;80v}{fnn-`kwc(lliySb~loeg\ip~789:7?3<<;{rvmki(kfxn#iklr^msgjbbWds<=>?<2<267=}x|cec"m`rd-gaftXgyidhhQbuy2345:36;90v}{fnn-`kwc(lliySb~loeg\ip~789:783?=2:xsqlhh'jeyi"jjcs]ltficmVg~t=>?0=7=66=}x|cec"m`rd-gaftXgyidhhQbuy2345:268897w~ziom,gjtb'moh~Racnff[hs89:;0;0=3:xsqlhh'jeyi"jjcs]ltficmVg~t=>?0=4=54d|w}`dd#na}e.ffgwYwkVddx=>?1002?vrage$ob|j/eg`vZvdag~Taxv?012?4;453sz~eca cnpf+acdzVzheczPmtz3456;87;9?6tuhll+fium&nnoQchlw[hs89:;0=0=13g8~usnff%hck ddaq[uenf}Ufyu>?01>3:77(D\^?SIQMUG00?vrage$ob|j/eg`vZvdag~Taxv?012?4;56:l1q|xgao.alv`)cmjxT|ngat^ov|56785:5?311<267=}x|cec"m`rd-gaftXxjcexRczx12349766;90v}{fnn-`kwc(lliyS}mfnu]nq}67896:=3?=2:xsqlhh'jeyi"jjcs]sglhsWds<=>?<00=66=}x|cec"m`rd-gaftXxjcexRczx123497568897w~ziom,gjtb'moh~R~liov\ip~789:7=>0=3:xsqlhh'jeyi"jjcs]sglhsWds<=>?<01=577;{rvmki(kfxn#iklr^r`mkrXe|r;<=>32?01?vrage$ob|j/eg`vZvdag~Taxv?012?6;7592p{yd``/bmqa*bbk{U{od`{_lw{45674:49>6tuhll+fium&nnoQchlw[hs89:;0>0>209ytpoig&id~h!kebp\tfoi|Vg~t=>?0=6=67=}x|cec"m`rd-gaftXxjcexRczx12349299;;0v}{fnn-`kwc(lliyS}mfnu]nq}67896>2?<4zqwjjj)dg{o$hhm}_qajjqYj}q:;<=2:>002?vrage$ob|j/eg`vZvdag~Taxv?012?2;453sz~eca cnpf+acdzVzheczPmtz3456;>7;9=6tuhll+fium&nnoQchlw[hs89:;0:0=2:xsqlhh'jeyi"jjcs]sglhsWds<=>?<6<264=}x|cec"m`rd-gaftXxjcexRczx12349>9:;1q|xgao.alv`)cmjxT|ngat^ov|5678525=??4zqwjjj)dg{o$hhm}_qajjqYj}q:;<=26>308~usnff%hck ddaq[uenf}Ufyu>?01>::4433sz~eca cnpf+acdzVy{Ckh|eu]nq}67896;2?;4zqwjjj)dg{o$hhm}_rrLbcub|Vg~t=>?0=2=57285uptkmk*ehzl%oin|PsqMebvcsWds<=>?<0<261=}x|cec"m`rd-gaftX{yEmj~k{_lw{45674;4996tuhll+fium&nnoQ|pNdew`rXe|r;<=>32?310>|w}`dd#na}e.ffgwYtxFlmhzPmtz3456;;78>7w~ziom,gjtb'moh~R}OgdpaqYj}q:;<=2<>007?vrage$ob|j/eg`vZuwGolxiyQbuy2345:36;?0v}{fnn-`kwc(lliyS~~@fgqfpZkrp9:;<1:113c8~usnff%hck ddaq[vrfkbE{io{inl\ip~789:7=3?<0<56*I5k2p{yd``/bmqa*bbk{UxxlmdOqgqeqohfVg~t=>?0=3=314f3sz~eca cnpf+acdzVymne@pdpbpliiWds<=>?<3<1`>|w}`dd#na}e.ffgwYt|hi`C}k}aukljZkrp9:;<1<110205>|w}`dd#na}e.ffgwYt|hi`C}k}aukljZkrp9:;<1<1102\WR64k2p{yd``/bmqa*bbk{UxxlmdOqgqeqohfVg~t=>?0=0=546X[^:$@XZ<_M]AQC543sz~eca cnpf+acdzVymne@pdpbpliiWds<=>?<3<255YT_9%D>n5uptkmk*ehzl%oin|Psuc`oJvbzh~bccQbuy2345:56;<9h6tuhll+fium&nnoQ|t`ahKucui}cdbRczx1234949:?;9h6tuhll+fium&nnoQ|t`ahKucui}cdbRczx1234949:?89j6tuhll+fium&nnoQ|t`ahKucui}cdbRczx1234949:?Um9?m4zqwjjj)dg{o$hhm}_rvbgnIwm{keb`Pmtz3456;:7><>n5uptkmk*ehzl%oin|Psuc`oJvbzh~bccQbuy2345:56?<9m6tuhll+fium&nnoQ|t`ahKucui}cdbRczx1234959:j1q|xgao.alv`)cmjxTyolkNrfvdrnggUfyu>?01>0:11482p{yd``/bmqa*bbk{UxxlmdOqgqeqohfVg~t=>?0=1=02YT_9997w~ziom,gjtb'moh~R}{abiLt`tf|`eeS`{w01238683?VY\;5uptkmk*ehzl%oin|PtnqPkptdmVg~t=>?0=2=5700?32+ISS;VNTNXH=8:xsqlhh'jeyi"jjcs]wkvUh}{inS`{w01238469:8987w~ziom,gjtb'moh~Rz`sRmvvfcXe|r;<=>311<15*JR\:UOSO[I299ytpoig&id~h!kebp\pjuTg|xhiRczx12349776:;8?6tuhll+fium&nnoQ{orQlqwebWds<=>?<02=74)K]]>THRLZF3c8~usnff%hck ddaq[qit[fyohQbuy2345:687UX[==:;{rvmki(kfxn#iklr^vlwVirzjoTaxv?012?558X[^:$@XZ<_M]AQC4d3sz~eca cnpf+acdzV~d^azrbg\ip~789:7==0PSV2,K70=6:xsqlhh'jeyi"jjcs]wkvUh}{inS`{w01238449:>1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1?=>005?vrage$ob|j/eg`vZrh{Ze~~nkPmtz3456;9:49m6tuhll+fium&nnoQ{orQlqwebWds<=>?<01=[VQ7;<1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1?<>^QT4*JR\:UGSO[I2b9ytpoig&id~h!kebp\pjuTg|xhiRczx12349746VY\<"A=6:xsqlhh'jeyi"jjcs]wkvUh}{inS`{w01238429:>1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1?;>00;?vrage$ob|j/eg`vZrh{Ze~~nkPmtz3456;9=4:=?84zqwjjj)dg{o$hhm}_umpWjsuklUfyu>?01>21;403sz~eca cnpf+acdzV~d^azrbg\ip~789:7=80>279ytpoig&id~h!kebp\pjuTg|xhiRczx12349716;20v}{fnn-`kwc(lliySya|Snwqg`Yj}q:;<=2>6?066f=}x|cec"m`rd-gaftX|fyXcx|le^ov|56785;=2?;PSV21g>|w}`dd#na}e.ffgwYsgzYdymj_lw{456748<5>8Q\W004?vrage$ob|j/eg`vZrh{Ze~~nkPmtz3456;9?4>??5uptkmk*ehzl%oin|PtnqPkptdmVg~t=>?0=35:0)K]]9T@RLZF3;8~usnff%hck ddaq[qit[fyohQbuy2345:6>7?$C?84zqwjjj)dg{o$hhm}_umpWjsuklUfyu>?01>23;4f3sz~eca cnpf+acdzV~d^azrbg\ip~789:7=:0PSV201>|w}`dd#na}e.ffgwYsgzYdymj_lw{456748=5S^Y?/MWW0ZJXJ\L9o6tuhll+fium&nnoQ{orQlqwebWds<=>?<05=[VQ7'F8>7w~ziom,gjtb'moh~Rz`sRmvvfcXe|r;<=>31?05?vrage$ob|j/eg`vZrh{Ze~~nkPmtz3456;97:8=6tuhll+fium&nnoQ{orQlqwebWds<=>?<0<3+ISS;VFTNXH=8:xsqlhh'jeyi"jjcs]wkvUh}{inS`{w01238487'F8<7w~ziom,gjtb'moh~Rz`sRmvvfcXe|r;<=>31?3762=}x|cec"m`rd-gaftX|fyXcx|le^ov|56785;5=5==;{rvmki(kfxn#iklr^vlwVirzjoTaxv?012?5;7?'E__>RJPBTD13>|w}`dd#na}e.ffgwYsgzYdymj_lw{4567484?:?74zqwjjj)dg{o$hhm}_umpWjsuklUfyu>?01>2:10XN;30v}{fnn-`kwc(lliySya|Snwqg`Yj}q:;<=2>>54\C712p{yd``/bmqa*bbk{Uc~]`usaf[hs89:;0?0>249ytpoig&id~h!kebp\pjuTg|xhiRczx1234959:?1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1=11378~usnff%hck ddaq[qit[fyohQbuy2345:36;20v}{fnn-`kwc(lliySya|Snwqg`Yj}q:;<=2;>03276=}x|cec"m`rd-gaftX|fyXcx|le^ov|56785>5=34?3;6<=}x|cec"m`rd-gaftX|fyXcx|le^ov|56785>5=5QI289ytpoig&id~h!kebp\pjuTg|xhiRczx123492991UL>:5uptkmk*ehzl%oin|PtnqPkptdmVg~t=>?0=6=60403sz~eca cnpf+acdzV~d^azrbg\ip~789:783;=2c9ytpoig&id~h!kebp\pjuTg|xhiRczx1234929=;UX[==9;{rvmki(kfxn#iklr^vlwVirzjoTaxv?012?0;35WZ];#A[[4^N\FP@5l2p{yd``/bmqa*bbk{Uc~]`usaf[hs89:;090:2^QT4*I5=2p{yd``/bmqa*bbk{Uc~]`usaf[hs89:;080=6:xsqlhh'jeyi"jjcs]wkvUh}{inS`{w01238086:<1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1812e9ytpoig&id~h!kebp\pjuTg|xhiRczx1234909WZ];S^Y?249ytpoig&id~h!kebp\pjuTg|xhiRczx1234919:m1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<191_RU3[VQ7:<1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<1612e9ytpoig&id~h!kebp\pjuTg|xhiRczx12349>9WZ];S^Y?249ytpoig&id~h!kebp\pjuTg|xhiRczx12349?9:>1q|xgao.alv`)cmjxTxb}\otp`aZkrp9:;<171120;?vrage$ob|j/eg`vZrh{Ze~~nkPmtz3456;17;8=?o4zqwjjj)dg{o$hhm}_umpWjsuklUfyu>?01>::45Xn<8<7w~ziom,gjtb'moh~Rz`sRmvvfcXe|r;<=>39?005`=}x|cec"m`rd-gaftX~hfbhRczx12344`|w}`dd#na}e.g{v+hh{VyjzhQ>10`8~usnff%hck eyp-jjuX{h|nS<<>b:xsqlhh'jeyi"kwr/llwZuf~lU:?:n6tuhll+fium&os~#``s^qbr`Y6=8h0v}{fnn-`kwc(mqx%bb}Ps`tf[406j2p{yd``/bmqa*cz'ddR}nvd]234d|w}`dd#na}e.g{v+hh{VyjzhQ>90c8~usnff%hck eyp-jjuX{h|nS??m;{rvmki(kfxn#hv}.omp[vgqmV8;=o5uptkmk*ehzl%nt aor]pescX:8;i7w~ziom,gjtb'lry"ca|_rcuaZ459k1q|xgao.alv`)bp{$ec~Q|awg\667e3sz~eca cnpf+`~u&gexS~oye^075g=}x|cec"m`rd-f|w(igzUxm{kP243a?vrage$ob|j/dzq*kitWzk}iR<91c9ytpoig&id~h!jxs,mkvYtioT>:?m;{rvmki(kfxn#hv}.omp[vgqmV83=o5uptkmk*ehzl%nt aor]pescX:0;j7w~ziom,gjtb'lry"ca|_rcuaZ56j2p{yd``/bmqa*cz'ddR}nvd]044d|w}`dd#na}e.g{v+hh{VyjzhQ;1`9ytpoig&id~h!jxs,mkvYtioT9f:xsqlhh'jeyi"kwr/qweqcXNZGTJKj>1308~usnff%hck eyp-wqgsmVLXARHId03.Mk413sz~eca cnpf+`~u&z~jxhQISL]EBa76%@dT_Z?>f:xsqlhh'jeyi"kwr/qweqcXNZGTJKj>2308~usnff%hck eyp-wqgsmVLXARHId00.Mk443sz~eca cnpf+`~u&z~jxhQISL]EBa75%@d:=k5uptkmk*ehzl%nt |t`vf[CUJWOLo=><=;{rvmki(kfxn#hv}.rvbp`YA[DUMJi?<-Hl17>|w}`dd#na}e.g{v+usi}oTJ^CPFGf27(Oi98l0v}{fnn-`kwc(mqx%yo{e^DPIZ@Al8>9>6tuhll+fium&os~#}{aug\BVKXNOn:8 Ga249ytpoig&id~h!jxs,ppdrbWOYFSKHk15/Jj47?:01q|xgao.alv`)bp{$xxlzj_GQN[C@c9='Bb3sz~eca cnpf+`~u&z~jxhQISL]EBa73%@d:9R]X000:?vrage$ob|j/dzq*vrf|lUM_@QIFe37)Lh6=VY\>95uptkmk*ehzl%nt |t`vf[CUJWOLo=9#Fn2210>|w}`dd#na}e.g{v+usi}oTJ^CPFGf20(Oi<:8?7w~ziom,gjtb'lry"~zntd]EWHYANm;?!D`96368~usnff%hck eyp-wqgsmVLXARHId06.Mk0?9l1q|xgao.alv`)bp{$xxlzj_GQN[C@c:;;0v}{fnn-`kwc(mqx%yo{e^DPIZ@Al;'Bb?<4zqwjjj)dg{o$iu|!sucwaZ@TEVLMh?#Fn03f?vrage$ob|j/dzq*vrf|lUM_@QIFe115>|w}`dd#na}e.g{v+usi}oTJ^CPFGf0)Lh5:2p{yd``/bmqa*cz'ymykPFRO\BCb4%@d:=h5uptkmk*ehzl%nt |t`vf[CUJWOLo8??4zqwjjj)dg{o$iu|!sucwaZ@TEVLMh9#Fn308~usnff%hck eyp-wqgsmVLXARHId5/Jj47b3sz~eca cnpf+`~u&z~jxhQISL]EBa3592p{yd``/bmqa*cz'ymykPFRO\BCb2%@d9>6tuhll+fium&os~#}{aug\BVKXNOn>!D`>1d9ytpoig&id~h!jxs,ppdrbWOYFSKHk6338~usnff%hck eyp-wqgsmVLXARHId7/Jj74:81q|xgao.alv`)bp{$xxlzj_GQN[C@c1$Ce>?5uptkmk*ehzl%nt |t`vf[CUJWOLo5 Ga10a8~usnff%hck eyp-wqgsmVE~x<8>149ytpoig&id~h!jxs,wkvY79<1q|xgao.alv`)bp{$c~Q>179ytpoig&id~h!jxs,wkvY688<0v}{fnn-`kwc(mqx%xb}P1035?vrage$ob|j/dzq*qitW88::6tuhll+fium&os~#z`s^3053=}x|cec"m`rd-f|w(sgzU:8<84zqwjjj)dg{o$iu|!tnq\50713sz~eca cnpf+`~u&}exS<8>6:xsqlhh'jeyi"kwr/vlwZ709?1q|xgao.alv`)bp{$c~Q>8048~usnff%hck eyp-pjuX90;>7w~ziom,gjtb'lry"ya|_335?vrage$ob|j/dzq*qitW;:::6tuhll+fium&os~#z`s^0253=}x|cec"m`rd-f|w(sgzU9><84zqwjjj)dg{o$iu|!tnq\66713sz~eca cnpf+`~u&}exS?:>6:xsqlhh'jeyi"kwr/vlwZ429?1q|xgao.alv`)bp{$c~Q=6048~usnff%hck eyp-pjuX:>;=7w~ziom,gjtb'lry"ya|_3:22>|w}`dd#na}e.g{v+rh{V82=85uptkmk*ehzl%nt {or]053=}x|cec"m`rd-f|w(sgzU8<<84zqwjjj)dg{o$iu|!tnq\74723sz~eca cnpf+`~u&}exS9?:;{rvmki(kfxn#hv}.ump[0723sz~eca cnpf+`~u&}exS;?:;{rvmki(kfxn#hv}.ump[2723sz~eca cnpf+`~u&}exS5?:;{rvmki(kfxn#hv}.ump[<7f3sz~eca cnpf+`~u&}exS~oye^22e>|w}`dd#na}e.g{v+rh{VyjzhQ>1c9ytpoig&id~h!jxs,wkvYtioT==?m;{rvmki(kfxn#hv}.ump[vgqmV;:=o5uptkmk*ehzl%nt {or]pescX9;;i7w~ziom,gjtb'lry"ya|_rcuaZ749k1q|xgao.alv`)bp{$c~Q|awg\517e3sz~eca cnpf+`~u&}exS~oye^365g=}x|cec"m`rd-f|w(sgzUxm{kP173a?vrage$ob|j/dzq*qitWzk}iR?81c9ytpoig&id~h!jxs,wkvYtioT=5?m;{rvmki(kfxn#hv}.ump[vgqmV;2=l5uptkmk*ehzl%nt {or]pescX:8h0v}{fnn-`kwc(mqx%xb}Ps`tf[766j2p{yd``/bmqa*cz'~dR}nvd]154d|w}`dd#na}e.g{v+rh{VyjzhQ=30`8~usnff%hck eyp-pjuX{h|nS?:>b:xsqlhh'jeyi"kwr/vlwZuf~lU996j2p{yd``/bmqa*cz'~dR}nvd]1=4g:m6tuhll+fium&os~#z`s^qbr`Y29h1q|xgao.alv`)bp{$c~Q|awg\24ga:xsqlhh'jeyi"kwr/vlwZuf~lU2=;5uptkmk*ehzl%nt xb^azg43|w}`dd#na}e.g{vZoiAg~n~{t^ov|567988;7w~ziom,gjtb'lrySya|_rcuaZhh|9:;=??4zqwjjj)dg{o$iu|Ptnq\wdpbWge<=>>10g8~usnff%hck eyp\sgYdqjUfyu>?0003?vrage$ob|j/dzq[rdXkpiTaxv?0131<77?=4zqwjjj)dg{o$iu|Pwc]`}fYj}q:;<<<7_g72b>|w}`dd#na}e.g{vZqeWjshS`{w0122<4b|w}`dd#na}e.g{qZdr{p>9S^Y?149ytpoig&id~h!jxt]aqv>911q|xgao.alv`)bp|Uiy~wPiot2=>|w}`dd#na}e.g{qZdr{pUbb{?=2:xsqlhh'jeyi"dzmq]b`atb{zU;Sl`k01236g=}x|cec"m`rd-iqhvXimnyi~}P0^cm`5678V}lSnw30?0a?vrage$ob|j/kwntZgcl{oxR>Paof3456XnUhu1?12c9ytpoig&id~h!eulr\eabumzyT3o5uptkmk*ehzl%ay`~PaefqavuX8Vkeh=>?0^ud[f;<78i7w~ziom,gjtb'cf|RokdsgpwZ6Xign;<=>Pwf]`}939:k1q|xgao.alv`)m}dzTmij}erq\4Zgil9:;|w}`dd#na}e.hviuYflmxn~Q?_`lg4567W~mT`xz31?0`?vrage$ob|j/kwntZgcl{oxR>Paof3456XnUgyy2=>3a8~usnff%hck jtos[dbczlyxS=Qnne2345YpoVf~x1=12b9ytpoig&id~h!eulr\eabumzyT?01]tcZjr|5=5>>5uptkmk*ehzl%ay`~PaefqavuXimn;<=>30?07?vrage$ob|j/kwntZgcl{oxRokd12349776;>0v}{fnn-`kwc(b|g{Sljkrdqp[dbc89:;0?0=3=66=}x|cec"m`rd-iqhvXimnyi~}Paef3456;:7887w~ziom,gjtb'cf|RokdsgpwZgcl9:;<1=1229ytpoig&id~h!eulr\eabumzyTmij?012?0;443sz~eca cnpf+osjxVkohk|s^cg`56785?5>>5uptkmk*ehzl%ay`~PaefqavuXimn;<=>36?00?vrage$ob|j/kwntZgcl{oxRokd1234919::1q|xgao.alv`)m}dzTmij}erq\eab789:743<<;{rvmki(kfxn#g{bp^cg`wct{Vkoh=>?0=;=5g=}x|cec"m`rd-owmoa|lxTicomld30?vrage$ob|j/omp85869l1q|xgao.alv`)igz6;20v}{fnn-`kwc(ffy7==0>1g9ytpoig&id~h!aor>24;7(D\^8SIQMUG37?vrage$ob|j/omp847998l0v}{fnn-`kwc(ffy7=<0>/MWW7ZBXJ\L:86tuhll+fium&dd1?=>03e?vrage$ob|j/omp84499&F^X>QK_CWE51=}x|cec"m`rd-mkv:6;7;:j6tuhll+fium&dd1?<>0-OQQ5XLVH^J<:4zqwjjj)dg{o$bb}315<25c=}x|cec"m`rd-mkv:6<7;$@XZ<_E]AQC733sz~eca cnpf+kit48?5=4:xsqlhh'jeyi"``s=35:47a3sz~eca cnpf+kit48<5="BZT2]G[GSA9=1q|xgao.alv`)igz6:;3?>f:xsqlhh'jeyi"``s=34:4)K]]9THRLZF068~usnff%hck nnq?5=869o1q|xgao.alv`)igz6:43? LTV0[AYE]O;?7w~ziom,gjtb'gex0<7110d8~usnff%hck nnq?5<86'E__?RJPBTD27>|w}`dd#na}e.llw97998>0v}{fnn-`kwc(ffy7>=0>1g9ytpoig&id~h!aor>14;7(D\^8SIQMUG37?vrage$ob|j/omp877998l0v}{fnn-`kwc(ffy7><0>/MWW7ZBXJ\L:86tuhll+fium&dd1<=>03e?vrage$ob|j/omp87499&F^X>QK_CWE51=}x|cec"m`rd-mkv:5;7;:j6tuhll+fium&dd1<<>0-OQQ5XLVH^J<:4zqwjjj)dg{o$bb}325<25c=}x|cec"m`rd-mkv:5<7;$@XZ<_E]AQC733sz~eca cnpf+kit4;?5=4:xsqlhh'jeyi"``s=05:47a3sz~eca cnpf+kit4;<5="BZT2]G[GSA9=1q|xgao.alv`)igz69;3?>4:xsqlhh'jeyi"``s=0;:47a3sz~eca cnpf+kit4;25="BZT2]G[GSA9=1q|xgao.alv`)igz6953?>f:xsqlhh'jeyi"``s=0::4)K]]9THRLZF018~usnff%hck nnq?6;76<2p{yd``/bmqa*hh{59;205;76;2p{yd``/bmqa*hh{595=<=4zqwjjj)dg{o$bb}34?327>|w}`dd#na}e.llw9399890v}{fnn-`kwc(ffy7:3?>e:xsqlhh'jeyi"``s=4=5*JR\:UOSO[I129ytpoig&id~h!aor>4:47b3sz~eca cnpf+kit4>4:#A[[3^F\FP@6;2p{yd``/bmqa*hh{525=68%GYY=PD^@VB4324;713sz~eca cnpf+ueimq~7=<0>6:xsqlhh'jeyi"~lndzw84499?1q|xgao.alv`)wkgosx1?<>078~usnff%hck pblf|q:668?0v}{fnn-`kwc(xjdnty2=>078~usnff%hck pblf|q:468?0v}{fnn-`kwc(xjdnty2;>078~usnff%hck pblf|q:268?0v}{fnn-`kwc(xjdnty29>078~usnff%hck pblf|q:068?0v}{fnn-`kwc(xjdnty27>078~usnff%hck pblf|q:>68>0v}{fnn-`kwc(xoTnx}v149ytpoig&id~h!ft]aqv69>1q|xgao.alv`)wgyzhSd`|t001?vrage$ob|j/qmstfYnfz~:#A[[3^F\FP@6?2p{yd``/bmqa*vumox%nknk338~usnff%hck psgev+bbkfnnhB~loeg\574?<=;{rvmki(kfxn#}|jfs,gaficmmE{objj_3116>|w}`dd#na}e.rqact)llidhhj@pbmgaZ43:;1q|xgao.alv`)wzlly"ikloeggKuehllU99?<4zqwjjj)dg{o$|kir/ffgjbblFzhcikP2602?vrage$ob|j/qpfbw(cmjeoiiAcnff[6453sz~eca cnpf+utbn{$oinakeeMsgjbbW::9>6tuhll+fium&zyik|!ddal``bHxjeoiR=>239ytpoig&id~h!rddq*acdgmooC}m`dd]0674;{rvmki(kfxn#}|jfs,gaficmmE{objj_402?vrage$ob|j/qpfbw(cmjeoiiAcnff[3463sz~eca cnpf+utbn{$oinakeeMsgjbbW18:7w~ziom,gjtb'yxnj kebmgaaIwkfnnS4?m;{rvmki(kfxn#}|jfs,eaqeoxjU;=o5uptkmk*ehzl%{~hh}.ggwgmvdW8;h7w~ziom,gjtb'yxnj ieuaktfY688i0v}{fnn-`kwc(x{om~#hjtbjsgZ769j1q|xgao.alv`)wzlly"kk{cir`[446k2p{yd``/bmqa*vumox%jhzlhqa\567e3sz~eca cnpf+utbn{$miymgpb]15g=}x|cec"m`rd-sv``u&oooe~l_23a?vrage$ob|j/qpfbw(am}ic|nQ;1c9ytpoig&id~h!rddq*ccskazhS8?m;{rvmki(kfxn#}|jfs,eaqeoxjU==o5uptkmk*ehzl%{~hh}.ggwgmvdW>;i7w~ziom,gjtb'yxnj ieuaktfY?9k1q|xgao.alv`)wzlly"kk{cir`[<7b3sz~eca cnpf+utbn{$d|ZgclGpfac6i2p{yd``/bmqa*vumox%c}m`dd]35d=}x|cec"m`rd-sv``u&fzhcikP10c8~usnff%hck psgev+iwkfnnS??n;{rvmki(kfxn#}|jfs,ltficmV9:m6tuhll+fium&zyik|!oqal``Y39h1q|xgao.alv`)wzlly"b~loeg\14g1q|xgao.alv`)wzlly"}mP1334?vrage$ob|j/qpfbw(wkV;8=;5uptkmk*ehzl%{~hh}.qa\640?9;{rvmki(kfxn#}|jfs,sgZ26>2p{yd``/bmqa*vumox%|nQ:179ytpoig&id~h!rddq*ueX>8<0v}{fnn-`kwc(x{om~#~l_635?vrage$ob|j/qpfbw(wkV2::6tuhll+fium&zyik|!pb]:5`=}x|cec"m`rd-sv``u&{ohc`~ztd]pt41>6:xsqlhh'jeyi"~}egp-wuY59?1q|xgao.alv`)wzlly"~~P3048~usnff%hck psgev+uwW=;=7w~ziom,gjtb'yxnj |p^722>|w}`dd#na}e.rqact){yU==;5uptkmk*ehzl%{~hh}.rr\340a:xsqlhh'jeyi"~}egp-wuhbp}U?=l5uptkmk*ehzl%{~hh}.rrma}rX=8k0v}{fnn-`kwc(x{om~#}ndzw[37f3sz~eca cnpf+utbn{$x|ckwt^52e>|w}`dd#na}e.rqact){ydntyQ71`9ytpoig&id~h!rddq*vvimq~T5e:xsqlhh'jeyi"~}egp-pjuTg|xhiR?=1d9ytpoig&id~h!rddq*qit[fyohQ>30g8~usnff%hck psgev+rh{Ze~~nkP153f?vrage$ob|j/qpfbw(sgzYdymj_072a>|w}`dd#na}e.rqact)|fyXcx|le^355`=}x|cec"m`rd-sv``u&}ex_b{}cd]234b>0358~usnff%hck psgevZiw_`fgJkjzd]lv56788=9:6tuhll+fium&zyik|PoqUjhi@umlpnSb|?012760=}x|cec"m`rd-sv``uW{ohc`~ztd]ptZiu89:;>;5uptkmk*ehzl%{~hh}_sg`khvr|lUx|Ra}012354e2p{yd``/bmqa*vumoxT}`jxu]b`aurj9:;<1>1279ytpoig&id~h!rddq[vvimq~Tmij|uc2345:66;<0v}{fnn-`kwc(x{om~R}ndzw[dbc{|h;<=>32?05?vrage$ob|j/qpfbwYtxgosxRokdrwa45674:49:6tuhll+fium&zyik|Psqlf|qYflmy~n=>?0=6=63=}x|cec"m`rd-sv``uWzzeiuzPaefpqg67896>2?84zqwjjj)dg{o$|kir^qsj`~sWhnoxl?012?2;413sz~eca cnpf+utbn{Ux|ckwt^cg`vse89:;0:0=6:xsqlhh'jeyi"~}egp\wuhbp}Ujhi}zb12349>9:=1q|xgao.alv`)wzllyS~~aeyv\ip~789:7==0=6:xsqlhh'jeyi"~}egp\wuhbp}Ufyu>?01>24;43:11q|xgao.alv`)wzllyS~~aeyv\ip~789:7==0=4^D1<>|w}`dd#na}e.rqactX{ydntyQbuy2345:6878?SJ<9;{rvmki(kfxn#}|jfs]ptkc|Vg~t=>?0=33:0>5>2p{yd``/bmqa*vumoxT}`jxu]nq}67896:<367229ytpoig&id~h!rddq[vvimq~Taxv?012?6;423sz~eca cnpf+utbn{Ux|ckwt^ov|5678585=8<9;{rvmki(kfxn#}|jfs]ptkc|Vg~t=>?0=0=5075>2p{yd``/bmqa*vumoxT}`jxu]nq}6789692<;=299ytpoig&id~h!rddq[vvimq~Taxv?012?6;72Wo?996tuhll+fium&zyik|Psqlf|qYj}q:;<=2=>511=>|w}`dd#na}e.rqactX{ydntyQbuy2345:56=9T_Z>=3:xsqlhh'jeyi"~}egp\wuhbp}Ufyu>?01>0:73?<2<577??01>7:6?5=2p{yd``/bmqa*vumoxT}`jxu]nq}67896?29<=5:xsqlhh'jeyi"~}egp\wuhbp}Ufyu>?01>7:=?5>2p{yd``/bmqa*vumoxT}`jxu]nq}67896?257>299ytpoig&id~h!rddq[vvimq~Taxv?012?0;>>Wo?9?6tuhll+fium&zyik|Psqlf|qYj}q:;<=2:>378~usnff%hck psgevZuwflrS`{w012380850;?0v}{fnn-`kwc(x{om~R}ndzw[hs89:;080;0378~usnff%hck psgevZuwflrS`{w012380820;?0v}{fnn-`kwc(x{om~R}ndzw[hs89:;08080378~usnff%hck psgevZuwflrS`{w0123808>1;90v}{fnn-`kwc(x{om~R}ndzw[hs89:;0;0=6:xsqlhh'jeyi"~}egp\wuhbp}Ufyu>?01>5:473:<1q|xgao.alv`)wzllyS~~aeyv\ip~789:7:3;8269ytpoig&id~h!rddq[vvimq~Taxv?012?2;30WO8<7w~ziom,gjtb'yxnjQ|pog{pZkrp9:;<18156]D60=}x|cec"m`rd-sv``uWzzeiuzPmtz3456;>723>;5uptkmk*ehzl%{~hh}_rrma}rXe|r;<=>36?:;570?0=4=<=Ya=;90v}{fnn-`kwc(x{om~R}ndzw[hs89:;0:0=5:xsqlhh'jeyi"~}egp\wuhbp}Ufyu>?01>4:6?5=2p{yd``/bmqa*vumoxT}`jxu]nq}67896<29<=5:xsqlhh'jeyi"~}egp\wuhbp}Ufyu>?01>4:=?5>2p{yd``/bmqa*vumoxT}`jxu]nq}67896<257>299ytpoig&id~h!rddq[vvimq~Taxv?012?3;>>Wo?9?6tuhll+fium&zyik|Psqlf|qYj}q:;<=27>378~usnff%hck psgevZuwflrS`{w01238=83>;=0v}{fnn-`kwc(x{om~R}ndzw[hs89:;050;6^D13>|w}`dd#na}e.rqactX{ydntyQbuy2345:?6=?<9<660=}x|cec"m`rd-sv``uWzzeiuzPmtz3456;0722>>5uptkmk*ehzl%{~hh}_rrma}rXe|r;<=>39?06?vrage$ob|j/qpfbwYtxgosxRczx12349?99;8>7w~ziom,gjtb'yxnjQ|pog{pZkrp9:;<1712006?vrage$ob|j/qpfbwYtxgosxRczx12349?9<88=7w~ziom,gjtb'yxnjQ|pog{pZkrp9:;<17140312>|w}`dd#na}e.rqactX{ydntyQbuy2345:>6=;9>55uptkmk*ehzl%{~hh}_rrma}rXe|r;<=>39?62[c35=2p{yd``/bmqa*vumoxT}`jxu]nq}6789622:7=0:xsqlhh'jeyi"~}egp\wuhbp}Uecy>?0002?vrage$ob|j/qpfbwYtxgosxR``t12354463sz~eca cnpf+utbn{Ux|ckwt^llp5679;887w~ziom,gjtb'yxnjQ|pog{pZhh|9:;=Rh:1d9ytpoig&id~h!rddq[sgkamUd~=>?00d8~usnff%hck psgevZpfd`nTc>?01310>|w}`dd#na}e.qbiujblMohcikkOqal``:6;78=7w~ziom,gjtb'zkf|akkDdal``bHxjeoi1?<>^01<>|w}`dd#na}e.qbiujblMohcikkOqal``:6;7UX[<=<;{rvmki(kfxn#~obpmgg@`ehllnD|nake=30:ZUP9&F^X9QC_CWE6d=}x|cec"m`rd-pehvkmmNnobjjdNr`kac;9:4T_Z? O3c8~usnff%hck s`osh`bCmjeoiiAcnff8459WZ]:S<<7;{rvmki(kfxn#~obpmgg@`ehllnD|nake^cm`5678;30v}{fnn-`kwc({hg{`hjKebmgaaIwkfnnSl`k0123562?1.NVP1YCWK_M>o5uptkmk*ehzl%xm`~ceeFfgjbblFzhcikPclr\at67898h7w~ziom,gjtb'zkf|akkDdal``bHxjeoiRmbp^gr45679:=0v}{fnn-`kwc({hg{`hjKebmgaaIwkfnnSnc_ds34566'E__8RJPBTD1<>|w}`dd#na}e.qbiujblZ~jofAescwmjhXg{:;<>?>359ytpoig&id~h!|alroaaRh{Ze~~nkPclr\at678:;:#A[[3^F\FP@512p{yd``/bmqa*ufeyfnhYa|Snwqg`YdeyUn}=>?40324>|w}`dd#na}e.qkh*4d3sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop56789UFmijP210`?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw45678VGjhiQ=13a8~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohR<=2b9ytpoig&id~h!|hm-NipjsW{{T9n5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcW;=9o6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?01]NeabX:18h7w~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?0^Ob`aY51;i0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>?_Lcg`Z57:j1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=>PM`fg[67482p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;<=QBaef\g|:6?79;7w~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?0^Ob`aYdq5;32>>4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclVir0<71319ytpoig&id~h!|hm-NipjsW{{T|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop56789UFmijPcx>15;573sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;?_Lcg`Ze~4;95?=5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?012\IdbcWjs7>90<0:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct12345YJimnTot2=5?13?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw45678VGjhiQly=05:66PM`fg[f;:148<6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?01]NeabXkp6953=?;{rvmki(kfxn#~fc/LovhqYuyV:Taxb{01234ZKflmUhu1=?>238~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohRbzt=34:67?_Lcg`Zjr|5;22>?4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclVf~x1238~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohRbzt=02:67?_Lcg`Zjr|5882>?4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclVf~x1<;>238~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohRbzt=06:67?_Lcg`Zjr|58<2>?4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclVf~x1<7>238~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohRbzt=0::67?_Lcg`Zjr|59:2>?4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclVrd~1?8>238~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohRv`r=3;:676:;0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>?_Lcg`Z~hz58;2>?4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclVrd~1<>>238~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohRv`r=01:67?_Lcg`Z~hz58?2>?4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclVrd~1<:>238~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohRv`r=05:67?_Lcg`Z~hz5832>?4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?0123[HgclVrd~1<6>238~usnff%hck sin,Ihsk|VxzS=Qbumv34567WDkohRv`r=13:67Pst`nqirX8:<0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX89UFmijP1615?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_12\IdbcW828:6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:;S@okd^3:73=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]34ZKflmU9<>84zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T<=QBaef\64513sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;S~{mmtnw[56XEhnoS?<<6:xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR>?_Lcg`Z44;?1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY78VGjhiQ=4248~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP01]NeabX:<9=7w~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?_rwaipjsW9:TAljk_3402>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^23[HgclV8?01]pqgkrd}U;4=9;{rvmki(kfxn#~fc/LovhqYuyV:Taxb{0123[vsee|fS=>PM`fg[664>2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;3`9ytpoig&id~h!|hm-NipjsW{{To4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T<=QBaef\g|:6079j7w~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?_rwaipjsW9:TAljk_b{?5<84i2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;>2c8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP01]NeabXkp69>3=n;{rvmki(kfxn#~fc/LovhqYuyV:Taxb{0123[vsee|fS=>PM`fg[f;::48m6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:;S@okd^az8729;h1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY78VGjhiQly=06:6gPmtnw4567Wziaxb{_12\IdbcWjs7>:0?_Lcg`Ze~4;25?l5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;6:k0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX89UFmijPcx>04;5e3sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;S~{mmtnw[56XEhnoSa{{<05=7g=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]34ZKflmUgyy2>8?1a?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_12\IdbcWe0<713c9ytpoig&id~h!|hm-NipjsW{{TPM`fg[iss4;;5?o5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;?_rwaipjsW9:TAljk_mww8759;k1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY78VGjhiQcuu>10;5e3sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;S~{mmtnw[56XEhnoSa{{<37=7g=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]34ZKflmUgyy2=6?1a?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_12\IdbcWe0?913c9ytpoig&id~h!|hm-NipjsW{{TPM`fg[iss4;35?o5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;?_rwaipjsW9:TAljk_mww8679;k1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY78VGjhiQwos>23;5e3sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;S~{mmtnw[56XEhnoSua}<0:=7g=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]34ZKflmUsc2>9?1a?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_12\IdbcWqey0?>13c9ytpoig&id~h!|hm-NipjsW{{TPM`fg[}iu4;85?o5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;?_rwaipjsW9:TAljk_ymq8729;k1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY78VGjhiQwos>11;5e3sz~eca cnpf+vnk'Dg~`yQ}q^2\ipjs89:;S~{mmtnw[56XEhnoSua}<34=7g=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]34ZKflmUsc2=7?1a?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_12\IdbcWqey0?613c9ytpoig&id~h!|hm-NipjsW{{TPM`fg[}iu4::5?o5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;?_rwaipjsW9;8h6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V::S]Q{o^Cg``tXJV:8h6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V::S]Q{o^Cg``tXJV;8i6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V::S]Q{o^Cg``tXJV;;?h5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;=R^Ptn]B`acuWKU:=>k4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T<>_Q]wkZGcllxTNR?;3e9ytpoig&id~h!|hm-NipjsW{{T;81q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY7:V8;?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;>R<>309ytpoig&id~h!|hm-NipjsW{{T?01]pqgkrd}U;>R<:309ytpoig&id~h!|hm-NipjsW{{T?01]pqgkrd}U;>R<6309ytpoig&id~h!|hm-NipjsW{{T?01]pqgkrd}U;SOM@UU]wkZ|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^22[GENFV:=o6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:TNNAZT^vl[vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_13\FFOIW8?_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX99?_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX98?_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX9;?_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX9:?_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX9=?_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX9<?_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX9??_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX9>?_rwaipjsW9UIOB[[_um\~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP00]AGLHX:?i0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX8VHHCXZPtn]ytpoig&id~h!|hm-NipjsW{{Tj1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY7WKIDYYQ{o^xsqlhh'jeyi"}gl.OnqirXzxU;S`{ct1234ZurjdgxR>>_CAJJZ21k2p{yd``/bmqa*uod&GfyazPrp]3[hsk|9:;?01]pqgkrd}U;=RLLIO]42f=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]3[GEH]]UcRtuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V::SOMFN^:5g>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^2\FFIR\V~dSw~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?_rwaipjsW9;TNNGA_81`?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_1]S[qiXImnn~ROP02a8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP0^R\pjYFlmoySLQ>3e9ytpoig&id~h!|hm-NipjsW{{T3e9ytpoig&id~h!|hm-NipjsW{{TPP^vl[Dbcm{UJS?>PP^vl[Dbcm{UJS??PP^vl[Dbcm{UJS?<PP^vl[Dbcm{UJS?=PP^vl[Dbcm{UJS?:PP^vl[Dbcm{UJS?;PP^vl[Dbcm{UJS?8PP^vl[Dbcm{UJS?9PP^vl[Dbcm{UJS?6PP^vl[Dbcm{UJS?7PP^vl[Dbcm{UJS>=k;{rvmki(kfxn#~fc/LovhqYuyV:Taxb{0123[vsee|fS=Q__um\EabbzVKT?==k;{rvmki(kfxn#~fc/LovhqYuyV:Taxb{0123[vsee|fS=Q__um\EabbzVKT?<=l;{rvmki(kfxn#~fc/LovhqYuyV:Taxb{0123[vsee|fS=Q__um\EabbzVKT8>m4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T?n5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U;S]Q{o^Cg``tXIV<8o6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V:T\Rz`_@fgawYFW>9h7w~ziom,gjtb'zbg#@czlu]quZ6Xe|f<=>?_rwaipjsW9U[SyaPAeffvZGX0:i0v}{fnn-`kwc({af$A`{ct^pr[5Yj}e~;<=>Pst`nqirX8VZTxbQNdegq[DY>:m1q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY6;81q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY6:V99?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U:>R=<309ytpoig&id~h!|hm-NipjsW{{T2^1774=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]26Z52;81q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY6:V9=?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U:>R=8309ytpoig&id~h!|hm-NipjsW{{T2^1;74=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]26Z5>;81q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY6:V>;?<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U:>R:>309ytpoig&id~h!|hm-NipjsW{{T2^6174=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]26Z24;81q|xgao.alv`)t`e%Faxb{_ss\4Zkrd}:;<=Q|ucovhqY6:V>??<5uptkmk*ehzl%xda!Bmtnw[wwX8Vg~`y>?01]pqgkrd}U:>R::309ytpoig&id~h!|hm-NipjsW{{T2^657f=}x|cec"m`rd-pli)Je|fSP0^ovhq6789Uxyoczlu]2[UYsgVKohh|PA^20g>|w}`dd#na}e.qkh*Kj}e~T~|Q?_lwop5678Vy~n`{ct^3\TZrhWHnoiQN_01g?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_0]S[qiXImnn~ROP111g?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_0]S[qiXImnn~ROP101g?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_0]S[qiXImnn~ROP131g?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_0]S[qiXImnn~ROP121g?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_0]S[qiXImnn~ROP151`?vrage$ob|j/rjo+Hkrd}Uy}R>Pmtnw4567Wziaxb{_0]S[qiXImnn~ROP22a8~usnff%hck sin,Ihsk|VxzS=Qbumv3456X{|hfyazP1^R\pjYFlmoySLQ<3b9ytpoig&id~h!|hm-NipjsW{{T_Q]wkZGcllxTMR:m4zqwjjj)dg{o$eb MlwopZtvW9Ufyaz?012\wpdj}e~T=R^Ptn]B`acuWHU?01]pqgkrd}U:S]Q{o^Cg``tXIV28o6tuhll+fium&yc`"Cbumv\vtY7Wdgx=>?0^qvfhsk|V;T\Rz`_@fgawYFW0;m7w~ziom,gjtb'zbg#@}grde\kprw}}U;=k5uptkmk*ehzl%xda!BsipfcZir|yS<0328~usnff%hck sin,IvnumnUdyy~zt^3265=}x|cec"m`rd-pli)J{axnkRaztqww[44582p{yd``/bmqa*uod&Gxdkh_nwwtprX9:8;7w~ziom,gjtb'zbg#@}grde\kprw}}U:8?>4zqwjjj)dg{o$eb MrjqabYh}}z~xR?:219ytpoig&id~h!|hm-NwmtboVe~x}{{_0414>|w}`dd#na}e.qkh*Kt`{olSb{{ptv\52473sz~eca cnpf+vnk'Dyc~hiPotvsqqY60;:0v}{fnn-`kwc({af$A~f}ef]lqqvr|V;2=k5uptkmk*ehzl%xda!BsipfcZir|yS?4zqwjjj)dg{o$eb MrjqabYh}}z~xR<:219ytpoig&id~h!|hm-NwmtboVe~x}{{_3414>|w}`dd#na}e.qkh*Kt`{olSb{{ptv\62473sz~eca cnpf+vnk'Dyc~hiPotvsqqY50;:0v}{fnn-`kwc({af$A~f}ef]lqqvr|V82=k5uptkmk*ehzl%xda!BsipfcZir|yS>1:45c:xsqlhh'jeyi"}gl.f\5Zkrp9:;=?003`?vrage$ob|j/rjo+aY5Wds<=>>1068~usnff%hck sin,`jhb9k1q|xgao.alv`)t`e%occkPos23457d3sz~eca cnpf+vnk'meeiRa}012354c11338~usnff%hck sin,ma~Xe|r;<=?311<257eQK_CWE64=}x|cec"m`rd-pli)nlqUfyu>?00>24;46:81q|xgao.alv`)t`e%bhuQbuy2344:6879:>n5uptkmk*ehzl%xda!fdy]nq}67886:<3=>/MWW7ZBXJ\L:j6tuhll+fium&yc`"gkx^ov|56795;:2?>4zqwjjj)dg{o$eb iez\ip~789;7=<0>209ytpoig&id~h!|hm-j`}Yj}q:;<<2>1?3264=}x|cec"m`rd-pli)nlqUfyu>?00>25;46:j1q|xgao.alv`)t`e%bhuQbuy2344:6978:#A[[3^F\FP@6n2p{yd``/bmqa*uod&cotRczx12359756;:0v}{fnn-`kwc({af$eivPmtz3457;9;4:><5uptkmk*ehzl%xda!fdy]nq}67886:>3?>2b9ytpoig&id~h!|hm-j`}Yj}q:;<<2>2?32+ISS;VNTNXH=1:xsqlhh'jeyi"}gl.kg|Zkrp9:;=1?=>331g>|w}`dd#na}e.qkh*ocpVg~t=>?1=31:77(D\^8SIQMUG3e?vrage$ob|j/rjo+lbWds<=>><01=65=}x|cec"m`rd-pli)nlqUfyu>?00>27;7592p{yd``/bmqa*uod&cotRczx123597468;9=6tuhll+fium&yc`"gkx^ov|56795;82??=c:xsqlhh'jeyi"}gl.kg|Zkrp9:;=1?<>33,HPR4WMUIYK?i;{rvmki(kfxn#~fc/hf{[hs89::0<:1219ytpoig&id~h!|hm-j`}Yj}q:;<<2>4?32b>|w}`dd#na}e.qkh*ocpVg~t=>?1=36:7678;7w~ziom,gjtb'zbg#djw_lw{456648<5=??4zqwjjj)dg{o$eb iez\ip~789;7=;0>13a8~usnff%hck sin,ma~Xe|r;<=?317<25*JR\:UOSO[I1g9ytpoig&id~h!|hm-j`}Yj}q:;<<2>7?03?vrage$ob|j/rjo+lbWds<=>><05=577;{rvmki(kfxn#~fc/hf{[hs89::0<61103e?vrage$ob|j/rjo+lbWds<=>><0;=65=}x|cec"m`rd-pli)nlqUfyu>?00>2=;7592p{yd``/bmqa*uod&cotRczx123597>68;:i6tuhll+fium&yc`"gkx^ov|56795;5=k5uptkmk*ehzl%xda!fdy]nq}67886:21219ytpoig&id~h!|hm-j`}Yj}q:;<<2=0?315>|w}`dd#na}e.qkh*ocpVg~t=>?1=03:475k2p{yd``/bmqa*uod&cotRczx123594768;$@XZ<_E]AQC7a3sz~eca cnpf+vnk'`nsS`{w01228779:91q|xgao.alv`)t`e%bhuQbuy2344:597;:j6tuhll+fium&yc`"gkx^ov|56795892?>4zqwjjj)dg{o$eb iez\ip~789;7>?0>1g9ytpoig&id~h!|hm-j`}Yj}q:;<<2=3?03?vrage$ob|j/rjo+lbWds<=>><31=54`=5uptkmk*ehzl%xda!fdy]nq}67886993?>f:xsqlhh'jeyi"}gl.kg|Zkrp9:;=1<9>328~usnff%hck sin,ma~Xe|r;<=?327<25c=}x|cec"m`rd-pli)nlqUfyu>?00>13;473sz~eca cnpf+vnk'`nsS`{w0122871998l0v}{fnn-`kwc({af$eivPmtz3457;:149<6tuhll+fium&yc`"gkx^ov|56795832|w}`dd#na}e.qkh*ocpVg~t=>?1=0=5c=}x|cec"m`rd-pli)nlqUfyu>?00>1:4473sz~eca cnpf+vnk'`nsS`{w012287869;h0v}{fnn-`kwc({af$eivPmtz3457;:7;:#A[[3^F\FP@6n2p{yd``/bmqa*uod&cotRczx12359576;:0v}{fnn-`kwc({af$eivPmtz3457;;94:=k5uptkmk*ehzl%xda!fdy]nq}678868=3?110g8~usnff%hck sin,ma~Xe|r;<=?33?3e?vrage$ob|j/rjo+lbWds<=>><2<25`=}x|cec"m`rd-pli)nlqUfyu>?00>7:4`1d9ytpoig&id~h!|hm-j`}Yj}q:;<<27>0d8~usnff%hck sin,ma~Xe|r;<=?38?32a>|w}`dd#na}e.qkh*ocpVg~t=>?1=;=5c=}x|cec"m`rd-pli)nlqUfyu>?00>::47>3sz~eca cnpf+vnk'f|xz30?3b?vrage$ob|j/rjo+jssx|~7==0>a:xsqlhh'jeyi"}gl.mvpuss48;5=l5uptkmk*ehzl%xda!`uurvp97568k0v}{fnn-`kwc({af$cxzuu>27;7f3sz~eca cnpf+vnk'f|xz315<2e>|w}`dd#na}e.qkh*ir|y0<;11`9ytpoig&id~h!|hm-lqqvr|5;=20c8~usnff%hck sin,kprw}}6993?n;{rvmki(kfxn#~fc/nwwtpr;:?4:m6tuhll+fium&yc`"aztqww87199h1q|xgao.alv`)t`e%dyy~zt=0;:4g3?n;{rvmki(kfxn#~fc/nwwtpr;;94:m6tuhll+fium&yc`"aztqww8679901q|xgao.alv`)t`e%dyy~zt=1=5<=}x|cec"m`rd-pli)h}}z~x1:1189ytpoig&id~h!|hm-lqqvr|5?5=45uptkmk*ehzl%xda!`uurvp909901q|xgao.alv`)t`e%dyy~zt=5=5<=}x|cec"m`rd-pli)h}}z~x161189ytpoig&id~h!|hm-lqqvr|535=55uptkmk*ehzl%xda!`uurvpZ6602p{yd``/bmqa*uod&e~x}{{_03:?vrage$ob|j/rjo+jssx|~T==?6;{rvmki(kfxn#~fc/nwwtprX98;27w~ziom,gjtb'zbg#b{{ptv\577>3sz~eca cnpf+vnk'f|xzP123:?vrage$ob|j/rjo+jssx|~T=9?6;{rvmki(kfxn#~fc/nwwtprX9<;27w~ziom,gjtb'zbg#b{{ptv\537>3sz~eca cnpf+vnk'f|xzP163:?vrage$ob|j/rjo+jssx|~T=5?6;{rvmki(kfxn#~fc/nwwtprX90;37w~ziom,gjtb'zbg#b{{ptv\64?830v}{fnn-`kwc({af$cxzuu]134?199ytpoig&id~h!|hm-lqqvr|V>:46tuhll+fium&yc`"aztqww[07?3sz~eca cnpf+vnk'f|xzP60:8~usnff%hck sin,kprw}}U<=55uptkmk*ehzl%xda!`uurvpZ>602p{yd``/bmqa*uod&e~x}{{_83f?vrage$ob|j/rjo+jssx|~Tbbz?0132b>|w}`dd#na}e.qkh*ir|ySca{012254c3sz~eca cnpf+vrfkbUjSljkr=33:47(D\^?SIQMUG3f?vrage$ob|j/rvbgnYfWhno~1??>0616>|w}`dd#na}e.qwefmXiVkoh2>0?37[VQ79o1q|xgao.alv`)t|hi`SlQndep?55859<;m7w~ziom,gjtb'z~jofQn_`fgv9776;9==k5uptkmk*ehzl%xxlmd_`]b`at;99498=?j;{rvmki(kfxn#~zncj]b[dbcz5;;2>?>d:xsqlhh'jeyi"}{abi\eZgcl{6:<3:>e:xsqlhh'jeyi"}{abi\eZgcl{6:<3:>289ytpoig&id~h!|t`ah[dYflmx7==0;1.NVP1YKWK_M>=5uptkmk*ehzl%xxlmd_`]b`at;994?="A>e:xsqlhh'jeyi"}{abi\eZgcl{6:<3:=1d9ytpoig&id~h!|t`ah[dYflmx7==0:10g8~usnff%hck suc`oZgXimny0<>1603g?vrage$ob|j/rvbgnYfWhno~1<1103g?vrage$ob|j/rvbgnYfWhno~1<1153`?vrage$ob|j/rvbgnYfWhno~1<140f8~usnff%hck suc`oZgXimny0>0>10f8~usnff%hck suc`oZgXimny0>0>40a8~usnff%hck suc`oZgXimny0>0;1e9ytpoig&id~h!|t`ah[dYflmx783??1b9ytpoig&id~h!|t`ah[dYflmx783:>c:xsqlhh'jeyi"}{abi\eZgcl{6?24<8;{rvmki(kfxn#~zncj]b[dbcz5>55"BZT5]O[GSA9l1q|xgao.alv`)t|hi`SlQndep?0;?(G8n0v}{fnn-`kwc({}khgRoPaefq808688i0v}{fnn-`kwc({}khgRoPaefq80839j1q|xgao.alv`)t|hi`SlQndep?1;?5?2p{yd``/bmqa*usijaTmRokds>6:<)K]]>T@RLZF0g8~usnff%hck suc`oZgXimny0806/N3g?vrage$ob|j/rvbgnYfWhno~181113`?vrage$ob|j/rvbgnYfWhno~18140a8~usnff%hck suc`oZgXimny0;06269ytpoig&id~h!|t`ah[dYflmx7:37 LTV7[IYE]O;n7w~ziom,gjtb'z~jofQn_`fgv9091&E:h6tuhll+fium&ymnePa^cg`w:068::o6tuhll+fium&ymnePa^cg`w:06=8<7w~ziom,gjtb'z~jofQn_`fgv919<&F^X9QC_CWE5`=}x|cec"m`rd-ppdelWhUjhi|37?6,K4e;:1)K]]>T@RLZF0g8~usnff%hck suc`oZgXimny050;/N3g?vrage$ob|j/rvbgnYfWhno~1711502?vrage$ob|j/rvbgnYfWhno~17115]PS57d3sz~eca cnpf+vrfkbUjSljkr=;=071e:xsqlhh'jeyi"}{abi\eZgcl{6229!@279ytpoig&id~h!|t`ah[dYflmxTmij|uc2345:76;<0v}{fnn-`kwc({}khgRoPaefq[dbc{|h;<=>31?05?vrage$ob|j/rvbgnYfWhno~Rokdrwa45674;49:6tuhll+fium&ymnePa^cg`wYflmy~n=>?0=1=63=}x|cec"m`rd-ppdelWhUjhi|Paefpqg67896?2?84zqwjjj)dg{o$yolk^c\eabuWhnoxl?012?1;413sz~eca cnpf+vrfkbUjSljkr^cg`vse89:;0;0=6:xsqlhh'jeyi"}{abi\eZgcl{Ujhi}zb1234919:?1q|xgao.alv`)t|hi`SlQndep\eabt}k:;<=27>0d8~usnff%hck suc`oZgXimnySb|?01214>|w}`dd#na}e.qwefmXiVkohQ`r123447f3sz~eca cnpf+vrfkbUjSh`nbmg2g>|w}`dd#na}e.qwefmXiVoemobj2004?vrage$ob|j/rvbgnYfWldjnak=1.NVP1YCWK_M=h5uptkmk*ehzl%xxlmd_`]fjddkmVY\=1203f?vrage$ob|j/rvbgnYfW~xbxh2?>2314>|w}`dd#na}e.qwefmXiV}yeyk30?]PS54e3sz~eca cnpf+vrfkbUjSz|ftd>3:ZUP8&F^X9QC_CWE67=}x|cec"m`rd-ppdelWhU|~dzj<1<\WR6(G8n0v}{fnn-`kwc({}khgRoPwskwa9776;;0v}{fnn-`kwc({}khgRoPwskwa9776VY\>5uptkmk*ehzl%xxlmd_`]tvlrb48:5S^Y?/N3g?vrage$ob|j/rvbgnYfW~xbxh2>1?02?vrage$ob|j/rvbgnYfW~xbxh2>1?]PS54d3sz~eca cnpf+vrfkbUjSz|ftd>25;YT_9%GYY:PL^@VB75=c:xsqlhh'jeyi"}{abi\eZqua}o7=?0PSV2,HPR3WEUIYK<<;{rvmki(kfxn#~zncj]b[rtn|l6:>3Q\W1-L5a=}x|cec"m`rd-ppdelWhU|~dzj<01=64=}x|cec"m`rd-ppdelWhU|~dzj<01=[VQ7:j1q|xgao.alv`)t|hi`SlQxrhvf8459WZ];#A[[4^N\FP@5;2p{yd``/bmqa*usijaTmRy}iug?568X[^:$C^QT4*JR\=UGSO[I229ytpoig&id~h!|t`ah[dYpz`~n0<:1_RU3+J7c3sz~eca cnpf+vrfkbUjSz|ftd>21;463sz~eca cnpf+vrfkbUjSz|ftd>21;YT_98h7w~ziom,gjtb'z~jofQn_vpjp`:6=7UX[=!CUU6\HZDRN;90v}{fnn-`kwc({}khgRoPwskwa9726VY\<"A>d:xsqlhh'jeyi"}{abi\eZqua}o7=;0=1:xsqlhh'jeyi"}{abi\eZqua}o7=;0PSV21g>|w}`dd#na}e.qwefmXiV}yeyk317<\WR6(D\^?SAQMUG00?vrage$ob|j/rvbgnYfW~xbxh2>6?]PS5)H9m1q|xgao.alv`)t|hi`SlQxrhvf8419:81q|xgao.alv`)t|hi`SlQxrhvf8419WZ];>n5uptkmk*ehzl%xxlmd_`]tvlrb48=5S^Y?/MWW0ZJXJ\L9?6tuhll+fium&ymnePa^uqmqc;9>4T_Z> O0f8~usnff%hck suc`oZgX{ci1?7>338~usnff%hck suc`oZgX{ci1?7>^QT47e|w}`dd#na}e.qwefmXiV}yeyk31?03?vrage$ob|j/rvbgnYfW~xbxh2>>^QT47d|w}`dd#na}e.qwefmXiV}yeyk31?]PS5)H9m1q|xgao.alv`)t|hi`SlQxrhvf8769:81q|xgao.alv`)t|hi`SlQxrhvf8769WZ];>n5uptkmk*ehzl%xxlmd_`]tvlrb4;:5S^Y?/MWW0ZJXJ\L9?6tuhll+fium&ymnePa^uqmqc;:94T_Z> O0f8~usnff%hck suc`oZgX{ci1<>>338~usnff%hck suc`oZgX{ci1<>>^QT47e3Q\W1-OQQ2XDVH^J?=4zqwjjj)dg{o$yolk^c\swosm5892R]X0.M2`>|w}`dd#na}e.qwefmXiV}yeyk322<15>|w}`dd#na}e.qwefmXiV}yeyk322<\WR65k2p{yd``/bmqa*usijaTmRy}iug?668X[^:$@XZ;_M]AQC443sz~eca cnpf+vrfkbUjSz|ftd>17;YT_9%D=i5uptkmk*ehzl%xxlmd_`]tvlrb4;>5><5uptkmk*ehzl%xxlmd_`]tvlrb4;>5S^Y?2b9ytpoig&id~h!|t`ah[dYpz`~n0?:1_RU3+ISS90PSV2,K4b;{rvmki(kfxn#~zncj]b[rtn|l69:3Q\W10`?vrage$ob|j/rvbgnYfW~xbxh2=6?]PS5)K]]>T@RLZF318~usnff%hck suc`oZgX{ci1<9>^QT4*I6l2p{yd``/bmqa*usijaTmRy}iug?628592p{yd``/bmqa*usijaTmRy}iug?628X[^:9o6tuhll+fium&ymnePa^uqmqc;:>4T_Z> LTV7[IYE]O887w~ziom,gjtb'z~jofQn_vpjp`:5?7UX[=!@1e9ytpoig&id~h!|t`ah[dYpz`~n0?61209ytpoig&id~h!|t`ah[dYpz`~n0?61_RU36f=}x|cec"m`rd-ppdelWhU|~dzj<3:=[VQ7'E__8RBPBTD17>|w}`dd#na}e.qwefmXiV}yeyk329<\WR6(G8n0v}{fnn-`kwc({}khgRoPwskwa94>6;;0v}{fnn-`kwc({}khgRoPwskwa94>6VY\>5uptkmk*ehzl%xxlmd_`]tvlrb4;35S^Y?/N3`?vrage$ob|j/rvbgnYfW~xbxh2=>328~usnff%hck suc`oZgX{ci1<1_RU36g=}x|cec"m`rd-ppdelWhU|~dzj<3<\WR6(D\^?SAQMUG01?vrage$ob|j/rvbgnYfW~xbxh2=>^QT4*I6l2p{yd``/bmqa*usijaTmRy}iug?758592p{yd``/bmqa*usijaTmRy}iug?758X[^:9o6tuhll+fium&ymnePa^uqmqc;;94T_Z> LTV7[IYE]O887w~ziom,gjtb'z~jofQn_vpjp`:487UX[=!@1g9ytpoig&id~h!|t`ah[dYpz`~n0>?1123f?vrage$ob|j/rvbgnYfW~xbxh2<1?02b>|w}`dd#na}e.qwefmXiV}yeyk330<1=4eo5uptkmk*ehzl%xxlmd_`]tvlrb4=4T_Z> LTV7[IYE]O897w~ziom,gjtb'z~jofQn_vpjp`:36VY\<"A>c:xsqlhh'jeyi"}{abi\eZqua}o7932R]X03`8~usnff%hck suc`oZgX{ci1;1_RU3+ISS|w}`dd#na}e.qwefmXiV}yeyk36?]PS54e3sz~eca cnpf+vrfkbUjSz|ftd>5:ZUP8&F^X9QC_CWE67=}x|cec"m`rd-ppdelWhU|~dzj<7<\WR6(G8i0v}{fnn-`kwc({}khgRoPwskwa919:91q|xgao.alv`)t|hi`SlQxrhvf828X[^:9n6tuhll+fium&ymnePa^uqmqc;?7UX[=!CUU6\HZDRN;80v}{fnn-`kwc({}khgRoPwskwa919WZ];#B?l;{rvmki(kfxn#~zncj]b[rtn|l632?>4zqwjjj)dg{o$yolk^c\swosm525S^Y?2c9ytpoig&id~h!|t`ah[dYpz`~n050PSV2,HPR3WEUIYK<=;{rvmki(kfxn#~zncj]b[rtn|l632R]X0.M2g>|w}`dd#na}e.qwefmXiV}yeyk39?03?vrage$ob|j/rvbgnYfW~xbxh26>^QT47d|w}`dd#na}e.qwefmXiV}yeyk39?]PS5)H9o1q|xgao.alv`)t|hi`SlQxrhvfakgedl887w~ziom,gjtb'z~jofQn_vpjp`ciikfnS^Y?229ytpoig&id~h!|t`ah[gYflmxTmij?012?4;443sz~eca cnpf+vrfkbUiSljkr^cg`56785;5>>5uptkmk*ehzl%xxlmd_c]b`atXimn;<=>32?00?vrage$ob|j/rvbgnYeWhno~Rokd1234959::1q|xgao.alv`)t|hi`SoQndep\eab789:783<<;{rvmki(kfxn#~zncj]a[dbczVkoh=>?0=7=66=}x|cec"m`rd-ppdelWkUjhi|Paef3456;>7887w~ziom,gjtb'z~jofQm_`fgvZgcl9:;<191229ytpoig&id~h!|t`ah[gYflmxTmij?012?<;413sz~eca cnpf+vrfkbUiSljkr^cg`vse89:;0=0=6:xsqlhh'jeyi"}{abi\fZgcl{Ujhi}zb1234979:?1q|xgao.alv`)t|hi`SoQndep\eabt}k:;<=2=>348~usnff%hck suc`oZdXimnySljkst`3456;;78=7w~ziom,gjtb'z~jofQm_`fgvZgclzi<=>?<5<12>|w}`dd#na}e.qwefmXjVkohQndeqvf56785?5>;5uptkmk*ehzl%xxlmd_c]b`atXimnxyo>?01>5:706:xsqlhh'jeyi"z@pbmga9399?1q|xgao.alv`)sGyidhh29>048~usnff%hck tNr`kac;?78;7w~ziom,gjtb'}E{objj_lw{456649495?<4zqwjjj)dg{o$xB~loeg\ip~789;7<3<6_G01?vrage$ob|j/uMsgjbbWds<=>><1<1=ZA582p{yd``/bmqa*rHxjeoiRczx1235979:0897w~ziom,gjtb'}E{objj_lw{456648495RH=2:xsqlhh'jeyi"z@pbmgaZkrp9:;=1?128]D65=}x|cec"m`rd-wKuehllUfyu>?00>1:7?5:2p{yd``/bmqa*rHxjeoiRczx1235949:0UM>?5uptkmk*ehzl%C}m`dd]nq}6788692?7PG328~usnff%hck tNr`kacXe|r;<=?33?0:67=}x|cec"m`rd-wKuehllUfyu>?00>0:7?XN;80v}{fnn-`kwc(|FzhcikPmtz3457;;782SJ4<=;{rvmki(kfxn#yAcnff[hs89::080=9^D16>|w}`dd#na}e.vLtficmVg~t=>?1=7=66tuhll+fium&~D|nake^ov|56795<5>4QI239ytpoig&id~h!{Oqal``Yj}q:;<<29>3;\C76:;1q|xgao.alv`)sGyidhhQbuy2344:06;3TJ?<4zqwjjj)dg{o$xB~loeg\ip~789;7;3<6_F02?vrage$ob|j/v3\jjuX{h|nS=Qbuy2345443sz~eca cnpf+r7XffyTlxj_1]nq}6789;8>>5uptkmk*ehzl%|=R``s^qbr`Y7Wds<=>?2506?vrage$ob|j/v3\jjuX{h|nS=Qbuy234543WO8>7w~ziom,gjtb'~;Tbb}Ps`tf[5Yj}q:;<=<;_F01?vrage$ob|j/v3\jjuX{h|nS<>Pmtz34565<2p{yd``/bmqa*q6WgexS~oye^33[hs89:;=><;;{rvmki(kfxn#z?Pnnq\wdpbW8:Taxv?012107095uptkmk*ehzl%|=R``s^qbr`Y69Vg~t=>?00110>|w}`dd#na}e.u2[kitWzk}iR?>_lw{4567:=8=7w~ziom,gjtb'~;Tbb}Ps`tf[47Xe|r;<=>=4^D12>|w}`dd#na}e.u2[kitWzk}iR?>_lw{4567:=UL>?5uptkmk*ehzl%|=R``s^qbr`Y6:Vg~t=>?0368~usnff%hck w0]mkvYtioT=?Qbuy234574:=1q|xgao.alv`)p9VddR}nvd]26Zkrp9:;_omp[vgqmV;9S`{w012361YA:?1q|xgao.alv`)p9VddR}nvd]26Zkrp9:;Qbuy2345433sz~eca cnpf+r7XffyTlxj_01\ip~789::??:4zqwjjj)dg{o${?010763=}x|cec"m`rd-t5Zhh{VyjzhQ>3^ov|5678;>TJ?84zqwjjj)dg{o${?0107[B453sz~eca cnpf+r7XffyTlxj_06\ip~789:986tuhll+fium&}:Sca|_rcuaZ73Wds<=>?1207?vrage$ob|j/v3\jjuX{h|nS<:Pmtz34565<;<0v}{fnn-`kwc(8Uec~Q|awg\51Yj}q:;<=<;_G05?vrage$ob|j/v3\jjuX{h|nS<:Pmtz345656tuhll+fium&}:Sca|_rcuaZ72Wds<=>?259ytpoig&id~h!x1^llwZuf~lU:9Rczx1234455<2p{yd``/bmqa*q6WgexS~oye^36[hs89:;>9<9;{rvmki(kfxn#z?Pnnq\wdpbW8?Taxv?01210Z@5>2p{yd``/bmqa*q6WgexS~oye^36[hs89:;>9QH239ytpoig&id~h!x1^llwZuf~lU::Rczx12347295uptkmk*ehzl%|=R``s^qbr`Y6>Vg~t=>?03612>|w}`dd#na}e.u2[kitWzk}iR?9_lw{4567:=UM>;5uptkmk*ehzl%|=R``s^qbr`Y6>Vg~t=>?036\C74>3368~usnff%hck w0]mkvYtioT=:Qbuy234543:?1q|xgao.alv`)p9VddR}nvd]23Zkrp9:;Xe|r;<=>=4:xsqlhh'jeyi"y>_omp[vgqmV;3S`{w012356433sz~eca cnpf+r7XffyTlxj_0:\ip~789:98?84zqwjjj)dg{o${?0107[C413sz~eca cnpf+r7XffyTlxj_0:\ip~789:98RI=2:xsqlhh'jeyi"y>_omp[vgqmV;2S`{w012361=}x|cec"m`rd-t5Zhh{VyjzhQ>9^ov|567889986tuhll+fium&}:Sca|_rcuaZ7>Wds<=>?2505?vrage$ob|j/v3\jjuX{h|nS<7Pmtz34565Wds<=>?25]D64=}x|cec"m`rd-t5Zhh{VyjzhQ>_lw{4567::1q|xgao.alv`)p9VddR}nvd]2[hs89:;=><<;{rvmki(kfxn#z?Pnnq\wdpbW8Ufyu>?010760=}x|cec"m`rd-t5Zhh{VyjzhQ>_lw{4567:=UM>85uptkmk*ehzl%|=R``s^qbr`Y6Wds<=>?25]D67=}x|cec"m`rd-t5Zhh{VyjzhQ=0^ov|5678;>0v}{fnn-`kwc(8Uec~Q|awg\65Yj}q:;<=?<259ytpoig&id~h!x1^llwZuf~lU92p{yd``/bmqa*q6WgexS~oye^03[hs89:;>9QI279ytpoig&id~h!x1^llwZuf~lU9;5uptkmk*ehzl%|=R``s^qbr`Y59Vg~t=>?036\B70|w}`dd#na}e.u2[kitWzk}iR<=_lw{45679:8?7w~ziom,gjtb'~;Tbb}Ps`tf[74Xe|r;<=>=4348~usnff%hck w0]mkvYtioT>?Qbuy234543WO8=7w~ziom,gjtb'~;Tbb}Ps`tf[74Xe|r;<=>=4^E16>|w}`dd#na}e.u2[kitWzk}iR<<_lw{4567:=1q|xgao.alv`)p9VddR}nvd]17Zkrp9:;<<==4:xsqlhh'jeyi"y>_omp[vgqmV88S`{w012361413sz~eca cnpf+r7XffyTlxj_31\ip~789:98RH=6:xsqlhh'jeyi"y>_omp[vgqmV88S`{w012361Y@:;1q|xgao.alv`)p9VddR}nvd]10Zkrp9:;?013061=}x|cec"m`rd-t5Zhh{VyjzhQ=4^ov|5678;>9:6tuhll+fium&}:Sca|_rcuaZ43Wds<=>?25]E63=}x|cec"m`rd-t5Zhh{VyjzhQ=4^ov|5678;>TK?<4zqwjjj)dg{o${?0107?vrage$ob|j/v3\jjuX{h|nS?;Pmtz34566;;>0v}{fnn-`kwc(8Uec~Q|awg\60Yj}q:;<=<;279ytpoig&id~h!x1^llwZuf~lU99Rczx123472XN;<0v}{fnn-`kwc(8Uec~Q|awg\60Yj}q:;<=<;_F01?vrage$ob|j/v3\jjuX{h|nS?8Pmtz34565<2p{yd``/bmqa*q6WgexS~oye^05[hs89:;=><;;{rvmki(kfxn#z?Pnnq\wdpbW;95uptkmk*ehzl%|=R``s^qbr`Y5?Vg~t=>?00110>|w}`dd#na}e.u2[kitWzk}iR<8_lw{4567:=8=7w~ziom,gjtb'~;Tbb}Ps`tf[71Xe|r;<=>=4^D12>|w}`dd#na}e.u2[kitWzk}iR<8_lw{4567:=UL>?5uptkmk*ehzl%|=R``s^qbr`Y50Vg~t=>?0368~usnff%hck w0]mkvYtioT>5Qbuy234574:=1q|xgao.alv`)p9VddR}nvd]1_omp[vgqmV83S`{w012361YA:?1q|xgao.alv`)p9VddR}nvd]14Qbuy2345433sz~eca cnpf+r7XffyTlxj_3;\ip~789::??:4zqwjjj)dg{o${?010763=}x|cec"m`rd-t5Zhh{VyjzhQ=9^ov|5678;>TJ?84zqwjjj)dg{o${?0107[B463sz~eca cnpf+r7XffyTlxj_3]nq}6789887w~ziom,gjtb'~;Tbb}Ps`tf[7Yj}q:;<=?<229ytpoig&id~h!x1^llwZuf~lU9S`{w012361423sz~eca cnpf+r7XffyTlxj_3]nq}67898?SK<:;{rvmki(kfxn#z?Pnnq\wdpbW;Ufyu>?0107[B453sz~eca cnpf+r7XffyTlxj_22\ip~789:986tuhll+fium&}:Sca|_rcuaZ57Wds<=>?1207?vrage$ob|j/v3\jjuX{h|nS>>Pmtz34565<;<0v}{fnn-`kwc(8Uec~Q|awg\75Yj}q:;<=<;_G05?vrage$ob|j/v3\jjuX{h|nS>>Pmtz345656tuhll+fium&}:Sca|_rcuaZ56Wds<=>?259ytpoig&id~h!x1^llwZuf~lU8=Rczx1234455<2p{yd``/bmqa*q6WgexS~oye^12[hs89:;>9<9;{rvmki(kfxn#z?Pnnq\wdpbW:;Taxv?01210Z@5>2p{yd``/bmqa*q6WgexS~oye^12[hs89:;>9QH209ytpoig&id~h!x1^llwZuf~lU8S`{w012366=}x|cec"m`rd-t5Zhh{VyjzhQ<_lw{45679:887w~ziom,gjtb'~;Tbb}Ps`tf[6Yj}q:;<=<;249ytpoig&id~h!x1^llwZuf~lU8S`{w012361YA:<1q|xgao.alv`)p9VddR}nvd]0[hs89:;>9QH209ytpoig&id~h!x1^llwZuf~lU?S`{w012366=}x|cec"m`rd-t5Zhh{VyjzhQ;_lw{45679:887w~ziom,gjtb'~;Tbb}Ps`tf[1Yj}q:;<=<;249ytpoig&id~h!x1^llwZuf~lU?S`{w012361YA:<1q|xgao.alv`)p9VddR}nvd]7[hs89:;>9QH209ytpoig&id~h!x1^llwZuf~lU>S`{w012366=}x|cec"m`rd-t5Zhh{VyjzhQ:_lw{45679:887w~ziom,gjtb'~;Tbb}Ps`tf[0Yj}q:;<=<;249ytpoig&id~h!x1^llwZuf~lU>S`{w012361YA:<1q|xgao.alv`)p9VddR}nvd]6[hs89:;>9QH209ytpoig&id~h!x1^llwZuf~lU=S`{w012366=}x|cec"m`rd-t5Zhh{VyjzhQ9_lw{45679:887w~ziom,gjtb'~;Tbb}Ps`tf[3Yj}q:;<=<;249ytpoig&id~h!x1^llwZuf~lU=S`{w012361YA:<1q|xgao.alv`)p9VddR}nvd]5[hs89:;>9QH209ytpoig&id~h!x1^llwZuf~lU9QH209ytpoig&id~h!x1^llwZuf~lU3S`{w012366=}x|cec"m`rd-t5Zhh{VyjzhQ7_lw{45679:887w~ziom,gjtb'~;Tbb}Ps`tf[=Yj}q:;<=<;249ytpoig&id~h!x1^llwZuf~lU3S`{w012361YA:<1q|xgao.alv`)p9VddR}nvd];[hs89:;>9QH209ytpoig&id~h!x1^llwZuf~lU2S`{w012366=}x|cec"m`rd-t5Zhh{VyjzhQ6_lw{45679:887w~ziom,gjtb'~;Tbb}Ps`tf[9QH1b9ytpoig&id~h!x1^vlwZ6Xe|r;<=<>f:xsqlhh'jeyi"y>_ump[5Yj}q:;=103e?vrage$ob|j/v3\pjuX8Vg~t=>?20066d=}x|cec"m`rd-t5Zrh{V:Taxv?010260)K]]>T@RLZF338~usnff%hck w0]wkvY7Wds<=>=137,K77?=4zqwjjj)dg{o${|w}`dd#na}e.u2[qitW9Ufyu>?03375c=}x|cec"m`rd-t5Zrh{V:Taxv?01020<463sz~eca cnpf+r7X|fyTW;887w~ziom,gjtb'~;Txb}P0^ov|567:8>2S^Y?1g9ytpoig&id~h!x1^vlwZ6Xe|r;<=<>593e?vrage$ob|j/v3\pjuX8Vg~t=>?20506d=}x|cec"m`rd-t5Zrh{V:Taxv?010236)K]]>T@RLZF338~usnff%hck w0]wkvY7Wds<=>=161,K4`55uptkmk*ehzl%|=Rz`s^2\ip~7898?#A[[4^N\FP@6n2p{yd``/bmqa*q6W}exS=Qbuy23472(G;;0v}{fnn-`kwc(8Uc~Q?_lw{4565<8;;??m4zqwjjj)dg{o${85uptkmk*ehzl%|=Rz`s^2\ip~7898?=<><_RU365=}x|cec"m`rd-t5Zrh{V:Taxv?010754>592p{yd``/bmqa*q6W}exS=Qbuy23472691;9?6tuhll+fium&}:Sya|_1]nq}678;>:=5Qi5328~usnff%hck w0]wkvY7Wds<=>=401665=}x|cec"m`rd-t5Zrh{V:Taxv?01075375j2p{yd``/bmqa*q6W}exS=Qbuy234726>8%GYY:PL^@VB749=5328~usnff%hck w0]wkvY7Wds<=>=500665=}x|cec"m`rd-t5Zrh{V:Taxv?010656>5:2p{yd``/bmqa*q6W}exS=Qbuy234736;1UM>?5uptkmk*ehzl%|=Rz`s^2\ip~7898>=>6PG0d8~usnff%hck w0]wkvY7Wds<=>=5332a>|w}`dd#na}e.u2[qitW9Ufyu>?03756<=}x|cec"m`rd-t5Zrh{V:Taxv?01062*JR\=UGSO[I219ytpoig&id~h!x1^vlwZ6Xe|r;<=<:6.M2a>|w}`dd#na}e.u2[qitW9Ufyu>?034;5`=}x|cec"m`rd-t5Zrh{V:Taxv?010;54cPmtz345468;90v}{fnn-`kwc(8Uc~Q>0^ov|567:8:T_Z>=e:xsqlhh'jeyi"y>_ump[46Xe|r;<=<>0^QT4*JR\=UGSO[I249ytpoig&id~h!x1^vlwZ77Wds<=>=11]PS5)H:91q|xgao.alv`)p9V~dR??_lw{45659839>6tuhll+fium&}:Sya|_02\ip~7898:=4Q>239ytpoig&id~h!x1^vlwZ77Wds<=>=10;\672WZ];>=5uptkmk*ehzl%|=Rz`s^33[hs89:9=9==b:xsqlhh'jeyi"y>_ump[46Xe|r;<=<>42-OQQ2XDVH^J?<4zqwjjj)dg{o${<:?03377ZUP8;:0v}{fnn-`kwc(8Uc~Q>0^ov|567:8;_ump[46Xe|r;<=<=6^QT44`Pmtz3454298l0v}{fnn-`kwc(8Uc~Q>0^ov|567:?>:j6tuhll+fium&}:Sya|_02\ip~7898<>5;>f:xsqlhh'jeyi"y>_ump[46Xe|r;<=<760d8~usnff%hck w0]wkvY68Vg~t=>?28615>|w}`dd#na}e.u2[qitW8:Taxv?010:0Z76l2p{yd``/bmqa*q6W}exS_lw{4565998;7w~ziom,gjtb'~;Txb}P10]nq}678;;;=?<4zqwjjj)dg{o${<>>_000?vrage$ob|j/v3\pjuX98Ufyu>?0333[VQ7:91q|xgao.alv`)p9V~dR?>_lw{456598;9<6tuhll+fium&}:Sya|_03\ip~7898:>;?5uptkmk*ehzl%|=Rz`s^32[hs89:9=?8 O328~usnff%hck w0]wkvY69Vg~t=>?206:65=}x|cec"m`rd-t5Zrh{V;:S`{w012150>6n2p{yd``/bmqa*q6W}exS;k0v}{fnn-`kwc(8Uc~Q>1^ov|567:;<$@XZ;_M]AQC463sz~eca cnpf+r7X|fyT==383e?vrage$ob|j/v3\pjuX98Ufyu>?03725c=}x|cec"m`rd-t5Zrh{V;:S`{w0121217a3sz~eca cnpf+r7X|fyT=_lw{4565?;%GYY:PL^@VB771^ov|567:>9:j6tuhll+fium&}:Sya|_03\ip~789839=?i;{rvmki(kfxn#z?Ptnq\57Yj}q:;=1131f>|w}`dd#na}e.u2[qitW88Taxv?010244)K]]>T@RLZF308~usnff%hck w0]wkvY6:Vg~t=>?2022+J453sz~eca cnpf+r7X|fyT=?Qbuy2347779V;9?6tuhll+fium&}:Sya|_00\ip~7898:?202\WR6(D\^?SAQMUG06?vrage$ob|j/v3\pjuX9;Ufyu>?0333[VQ7'F8;7w~ziom,gjtb'~;Txb}P13]nq}678;;:=?>4zqwjjj)dg{o${<<9219ytpoig&id~h!x1^vlwZ75Wds<=>=15;1f>|w}`dd#na}e.u2[qitW88Taxv?01020<)K]]>T@RLZF308~usnff%hck w0]wkvY6:Vg~t=>?206:+J473sz~eca cnpf+r7X|fyT=?Qbuy23477208l0v}{fnn-`kwc(8Uc~Q>2^ov|567:;<9m6tuhll+fium&}:Sya|_00\ip~78989:"BZT5]O[GSA:81q|xgao.alv`)p9V~dR?=_lw{4565:?%D>>5uptkmk*ehzl%|=Rz`s^31[hs89:9>;Q\W13e?vrage$ob|j/v3\pjuX9;Ufyu>?031:5c=}x|cec"m`rd-t5Zrh{V;9S`{w0121147a3sz~eca cnpf+r7X|fyT=?Qbuy2347039o1q|xgao.alv`)p9V~dR?=_lw{4565?;8j7w~ziom,gjtb'~;Txb}P13]nq}678;=9#A[[4^N\FP@592p{yd``/bmqa*q6W}exS<5;>d:xsqlhh'jeyi"y>_ump[45Xe|r;<=<>e:xsqlhh'jeyi"y>_ump[45Xe|r;<==1103?vrage$ob|j/v3\pjuX9:Ufyu>?0333574=11]PS5)K]]>T@RLZF378~usnff%hck w0]wkvY6;Vg~t=>?202\WR6(G;:0v}{fnn-`kwc(8Uc~Q>3^ov|567:8;:>=5uptkmk*ehzl%|=Rz`s^30[hs89:9=?8=b:xsqlhh'jeyi"y>_ump[45Xe|r;<=<>27-OQQ2XDVH^J?<4zqwjjj)dg{o${<<9/N03?vrage$ob|j/v3\pjuX9:Ufyu>?0337=76&E9?6tuhll+fium&}:Sya|_01\ip~78989:R]X00d8~usnff%hck w0]wkvY6;Vg~t=>?22;2b>|w}`dd#na}e.u2[qitW89Taxv?010654`3^ov|567:>99m6tuhll+fium&}:Sya|_01\ip~7898>f:xsqlhh'jeyi"y>_ump[42Xe|r;<=<>0328~usnff%hck w0]wkvY6?202;6g=}x|cec"m`rd-t5Zrh{V;?S`{w012155>(D\^?SAQMUG01?vrage$ob|j/v3\pjuX9=Ufyu>?0333<*I5;2p{yd``/bmqa*q6W}exS<:Pmtz345468VY\<>PSV2,HPR3WEUIYK<:;{rvmki(kfxn#z?Ptnq\51Yj}q:;4^ov|567:8>;=k5uptkmk*ehzl%|=Rz`s^37[hs89:9>;_ump[42Xe|r;<=<=6^QT44`4^ov|567:?>:j6tuhll+fium&}:Sya|_06\ip~7898<>5:>f:xsqlhh'jeyi"y>_ump[42Xe|r;<=<620f8~usnff%hck w0]wkvY6=Vg~t=>?20g8~usnff%hck w0]wkvY6=Vg~t=>?213e?vrage$ob|j/v3\pjuX9?033365=}x|cec"m`rd-t5Zrh{V;>S`{w012155>5j2p{yd``/bmqa*q6W}exS<;Pmtz3454681%GYY:PL^@VB74=11]PS5)K]]>T@RLZF378~usnff%hck w0]wkvY6=Vg~t=>?202\WR6(G;:0v}{fnn-`kwc(8Uc~Q>5^ov|567:89:>=5uptkmk*ehzl%|=Rz`s^36[hs89:9=9>>f:xsqlhh'jeyi"y>_ump[43Xe|r;<=<=63c8~usnff%hck w0]wkvY6=Vg~t=>?234,HPR3WEUIYK<>;{rvmki(kfxn#z?Ptnq\50Yj}q:;?0305[VQ79o1q|xgao.alv`)p9V~dR?:_lw{4565;0;m7w~ziom,gjtb'~;Txb}P14]nq}678;?:=k5uptkmk*ehzl%|=Rz`s^36[hs89:9:9?i;{rvmki(kfxn#z?Ptnq\50Yj}q:;=853e?vrage$ob|j/v3\pjuX9?03;15a=}x|cec"m`rd-t5Zrh{V;=S`{w01215`=}x|cec"m`rd-t5Zrh{V;=S`{w012144`=11]PS5)K]]>T@RLZF378~usnff%hck w0]wkvY6>Vg~t=>?202\WR6(G;:0v}{fnn-`kwc(8Uc~Q>6^ov|567:88<>o5uptkmk*ehzl%|=Rz`s^35[hs89:9=?9 LTV7[IYE]O897w~ziom,gjtb'~;Txb}P17]nq}678;;9;"A=0:xsqlhh'jeyi"y>_ump[40Xe|r;<=<>373e?vrage$ob|j/v3\pjuX9?Ufyu>?03056d=}x|cec"m`rd-t5Zrh{V;=S`{w012163)K]]>T@RLZF338~usnff%hck w0]wkvY6>Vg~t=>?234,K758?>f:xsqlhh'jeyi"y>_ump[40Xe|r;<=<970d8~usnff%hck w0]wkvY6>Vg~t=>?2622b>|w}`dd#na}e.u2[qitW86l2p{yd``/bmqa*q6W}exS<9Pmtz34546m2p{yd``/bmqa*q6W}exS<9Pmtz345479o1q|xgao.alv`)p9V~dR?8_lw{4565998;7w~ziom,gjtb'~;Txb}P16]nq}678;;;4?l4zqwjjj)dg{o${<>7/MWW0ZJXJ\L9>6tuhll+fium&}:Sya|_05\ip~7898:<5!@229ytpoig&id~h!x1^vlwZ70Wds<=>=11]PS54b3sz~eca cnpf+r7X|fyT=:Qbuy234777WZ];#A[[4^N\FP@5=2p{yd``/bmqa*q6W}exS<9Pmtz345468VY\<"A=0:xsqlhh'jeyi"y>_ump[41Xe|r;<=<>3003?vrage$ob|j/v3\pjuX9>Ufyu>?033744`&F^X9QC_CWE64=}x|cec"m`rd-t5Zrh{V;=503e?vrage$ob|j/v3\pjuX9>Ufyu>?03475c=}x|cec"m`rd-t5Zrh{V;39o1q|xgao.alv`)p9V~dR?8_lw{45651;;o7w~ziom,gjtb'~;Txb}P19]nq}678;;n7w~ziom,gjtb'~;Txb}P19]nq}678;::j6tuhll+fium&}:Sya|_0:\ip~7898:4zqwjjj)dg{o${<>72c9ytpoig&id~h!x1^vlwZ7?Wds<=>=11:,HPR3WEUIYK<=;{rvmki(kfxn#z?Ptnq\5=Yj}q:;|w}`dd#na}e.u2[qitW82Taxv?01024ZUP8;o0v}{fnn-`kwc(8Uc~Q>8^ov|567:8:T_Z> LTV7[IYE]O8>7w~ziom,gjtb'~;Txb}P19]nq}678;;;S^Y?/N03?vrage$ob|j/v3\pjuX91Ufyu>?0330576&E9?6tuhll+fium&}:Sya|_0:\ip~78989:R]X00d8~usnff%hck w0]wkvY60Vg~t=>?22;2b>|w}`dd#na}e.u2[qitW82Taxv?010654`8^ov|567:1>:j6tuhll+fium&}:Sya|_0:\ip~78982>=?i;{rvmki(kfxn#z?Ptnq\5Wds<=>=11117>|w}`dd#na}e.u2[qitW83Taxv?01024ZUP8;o0v}{fnn-`kwc(8Uc~Q>9^ov|567:8:T_Z> LTV7[IYE]O8>7w~ziom,gjtb'~;Txb}P18]nq}678;;;S^Y?/N03?vrage$ob|j/v3\pjuX90Ufyu>?033137d|w}`dd#na}e.u2[qitW83Taxv?010262)H:91q|xgao.alv`)p9V~dR?6_lw{45659:<:j6tuhll+fium&}:Sya|_0;\ip~78989:?o4zqwjjj)dg{o${?8 LTV7[IYE]O8:7w~ziom,gjtb'~;Txb}P18]nq}678;8=#B<<;{rvmki(kfxn#z?Ptnq\5>;m7w~ziom,gjtb'~;Txb}P18]nq}678;=;=k5uptkmk*ehzl%|=Rz`s^3:[hs89:94?013e?vrage$ob|j/v3\pjuX9Vif|Rk~01225c=}x|cec"m`rd-t5Zrh{V;To`~Pep23477a3sz~eca cnpf+r7X|fyT=Rmbp^gr45649j1q|xgao.alv`)p9V~dR?Pmtz34546n2p{yd``/bmqa*q6W}exS_lw{45659;<:i6tuhll+fium&}:Sya|_0]nq}678;;?>45uptkmk*ehzl%|=Rz`s^3\ip~7898:8"BZT5]O[GSA:91q|xgao.alv`)p9V~dR?Pmtz34546<&E:j6tuhll+fium&}:Sya|_0]nq}678;;?=?o4zqwjjj)dg{o${:#B?i;{rvmki(kfxn#z?Ptnq\5Zkrp9:;><8;1g9ytpoig&id~h!x1^vlwZ7Xe|r;<=<>723f?vrage$ob|j/v3\pjuX9Vg~t=>?2312a>|w}`dd#na}e.u2[qitW8Ufyu>?03165`=}x|cec"m`rd-t5Zrh{V;Taxv?010024b_lw{4565=8o0v}{fnn-`kwc(8Uc~Q>_lw{4565=?;n7w~ziom,gjtb'~;Txb}P1^ov|567:?2956tuhll+fium&}:Sya|_0]nq}678;<3#A[[4^N\FP@582p{yd``/bmqa*q6W}exS45uptkmk*ehzl%|=Rz`s^3\ip~789838"BZT5]O[GSA:91q|xgao.alv`)p9V~dR?Pmtz3454?<&E:i6tuhll+fium&}:Sya|_0]nq}678;39>45uptkmk*ehzl%|=Rz`s^3\ip~78982>"BZT5]O[GSA:91q|xgao.alv`)p9V~dR?Pmtz3454>:&E:i6tuhll+fium&}:Sya|_0]nq}678;3==i5uptkmk*ehzl%|=Rz`s^03[hs89:9=h5uptkmk*ehzl%|=Rz`s^03[hs89:9<<>=0:xsqlhh'jeyi"y>_ump[76Xe|r;<=<>090a?vrage$ob|j/v3\pjuX:9Ufyu>?0333<*JR\=UGSO[I239ytpoig&id~h!x1^vlwZ47Wds<=>=11:,K7585uptkmk*ehzl%|=Rz`s^03[hs89:9==Q\W1-L65=}x|cec"m`rd-t5Zrh{V8;S`{w0121567582p{yd``/bmqa*q6W}exS?>Pmtz34546<9;m7w~ziom,gjtb'~;Txb}P21]nq}678;8=>l5uptkmk*ehzl%|=Rz`s^03[hs89:9>;!CUU6\HZDRN;;0v}{fnn-`kwc(8Uc~Q=0^ov|567:;<$C?=4zqwjjj)dg{o${?8PSV22b>|w}`dd#na}e.u2[qitW;:Taxv?0100=4`Pmtz34541<8l0v}{fnn-`kwc(8Uc~Q=0^ov|567:>8:j6tuhll+fium&}:Sya|_32\ip~7898384<>d:xsqlhh'jeyi"y>_ump[77Xe|r;<=<>e:xsqlhh'jeyi"y>_ump[77Xe|r;<==1103?vrage$ob|j/v3\pjuX:8Ufyu>?0333<7d|w}`dd#na}e.u2[qitW;;Taxv?01024=)H::1q|xgao.alv`)p9V~dR<>_lw{456599UX[=_ump[77Xe|r;<=<>0^QT4*I582p{yd``/bmqa*q6W}exS??Pmtz34546;88;7w~ziom,gjtb'~;Txb}P20]nq}678;;?<?8=a:xsqlhh'jeyi"y>_ump[77Xe|r;<=<=6.NVP1YKWK_M><5uptkmk*ehzl%|=Rz`s^02[hs89:9>;!@229ytpoig&id~h!x1^vlwZ46Wds<=>=27]PS57a3sz~eca cnpf+r7X|fyT>9o1q|xgao.alv`)p9V~dR<>_lw{4565=8;m7w~ziom,gjtb'~;Txb}P20]nq}678;=933g?vrage$ob|j/v3\pjuX:;Ufyu>?033f?vrage$ob|j/v3\pjuX:;Ufyu>?0322b>|w}`dd#na}e.u2[qitW;8Taxv?0102476Rczx123646?::1q|xgao.alv`)p9V~dR<=_lw{456599UX[=_ump[74Xe|r;<=<>0^QT4*I582p{yd``/bmqa*q6W}exS?4zqwjjj)dg{o${<:?1g9ytpoig&id~h!x1^vlwZ45Wds<=>=270b?vrage$ob|j/v3\pjuX:;Ufyu>?0305+ISS_ump[74Xe|r;<=<=6.M17>|w}`dd#na}e.u2[qitW;8Taxv?01012ZUP88l0v}{fnn-`kwc(8Uc~Q=2^ov|567::3:j6tuhll+fium&}:Sya|_30\ip~7898>=;:>f:xsqlhh'jeyi"y>_ump[74Xe|r;<=<820d8~usnff%hck w0]wkvY5:Vg~t=>?2962b>|w}`dd#na}e.u2[qitW;8Taxv?010:64b>Qbuy234777:91q|xgao.alv`)p9V~dR<<_lw{45659929n6tuhll+fium&}:Sya|_31\ip~7898:<5!CUU6\HZDRN;80v}{fnn-`kwc(8Uc~Q=3^ov|567:8:3#B<<;{rvmki(kfxn#z?Ptnq\66Yj}q:;4zqwjjj)dg{o${<:?259ytpoig&id~h!x1^vlwZ44Wds<=>=152\WR66n2p{yd``/bmqa*q6W}exS?=Pmtz34545>;k0v}{fnn-`kwc(8Uc~Q=3^ov|567:;<$@XZ;_M]AQC463sz~eca cnpf+r7X|fyT>>Qbuy234741'F887w~ziom,gjtb'~;Txb}P22]nq}678;8=S^Y?1g9ytpoig&id~h!x1^vlwZ44Wds<=>=383e?vrage$ob|j/v3\pjuX::Ufyu>?03725c=}x|cec"m`rd-t5Zrh{V88S`{w0121217a3sz~eca cnpf+r7X|fyT>>Qbuy2347159o1q|xgao.alv`)p9V~dR<<_lw{45650=;m7w~ziom,gjtb'~;Txb}P22]nq}678;39=i5uptkmk*ehzl%|=Rz`s^07[hs89:9=h5uptkmk*ehzl%|=Rz`s^07[hs89:9<<>=0:xsqlhh'jeyi"y>_ump[72Xe|r;<=<>090a?vrage$ob|j/v3\pjuX:=Ufyu>?0333<*JR\=UGSO[I239ytpoig&id~h!x1^vlwZ43Wds<=>=11:,K7585uptkmk*ehzl%|=Rz`s^07[hs89:9==Q\W1-L65=}x|cec"m`rd-t5Zrh{V8?S`{w01215165<2p{yd``/bmqa*q6W}exS?:Pmtz34546<9UX[=?i;{rvmki(kfxn#z?Ptnq\61Yj}q:;=27-OQQ2XDVH^J??4zqwjjj)dg{o${?8 O318~usnff%hck w0]wkvY5?234\WR66n2p{yd``/bmqa*q6W}exS?:Pmtz3454418l0v}{fnn-`kwc(8Uc~Q=4^ov|567:<;:j6tuhll+fium&}:Sya|_36\ip~7898=8:<>f:xsqlhh'jeyi"y>_ump[72Xe|r;<=<740d8~usnff%hck w0]wkvY5?2802`>|w}`dd#na}e.u2[qitW;?Taxv?0102a>|w}`dd#na}e.u2[qitW;?Taxv?01035c=}x|cec"m`rd-t5Zrh{V8>S`{w012155473sz~eca cnpf+r7X|fyT>8Qbuy2347770;h0v}{fnn-`kwc(8Uc~Q=5^ov|567:8:3#A[[4^N\FP@5:2p{yd``/bmqa*q6W}exS?;Pmtz3454681%D>>5uptkmk*ehzl%|=Rz`s^06[hs89:9==Q\W10f?vrage$ob|j/v3\pjuX:?0333[VQ7'E__8RBPBTD11>|w}`dd#na}e.u2[qitW;?Taxv?01024ZUP8&E9<6tuhll+fium&}:Sya|_37\ip~7898:8=<;;{rvmki(kfxn#z?Ptnq\60Yj}q:;&F^X9QC_CWE64=}x|cec"m`rd-t5Zrh{V8>S`{w012163)H::1q|xgao.alv`)p9V~dR<:_lw{4565:?UX[=?i;{rvmki(kfxn#z?Ptnq\60Yj}q:;=503e?vrage$ob|j/v3\pjuX:?03475c=}x|cec"m`rd-t5Zrh{V8>S`{w0121377a3sz~eca cnpf+r7X|fyT>8Qbuy2347>39o1q|xgao.alv`)p9V~dR<:_lw{45651;;o7w~ziom,gjtb'~;Txb}P27]nq}678;;n7w~ziom,gjtb'~;Txb}P27]nq}678;::j6tuhll+fium&}:Sya|_34\ip~7898:4zqwjjj)dg{o${<>7229ytpoig&id~h!x1^vlwZ41Wds<=>=11]PS54b3sz~eca cnpf+r7X|fyT>;Qbuy234777WZ];#A[[4^N\FP@5=2p{yd``/bmqa*q6W}exS?8Pmtz345468VY\<"A=0:xsqlhh'jeyi"y>_ump[70Xe|r;<=<>4107?vrage$ob|j/v3\pjuX:?Ufyu>?03374ZUP8;l0v}{fnn-`kwc(8Uc~Q=6^ov|567:8>;S^Y?/MWW0ZJXJ\L9:6tuhll+fium&}:Sya|_34\ip~7898:8=Q\W1-L5c=}x|cec"m`rd-t5Zrh{V8=S`{w0121634f3sz~eca cnpf+r7X|fyT>;Qbuy234741'E__8RBPBTD15>|w}`dd#na}e.u2[qitW;VY\<>7>f:xsqlhh'jeyi"y>_ump[70Xe|r;<=<:10d8~usnff%hck w0]wkvY5>Vg~t=>?2762b>|w}`dd#na}e.u2[qitW;:8n0v}{fnn-`kwc(8Uc~Q=7^ov|567:8l0v}{fnn-`kwc(8Uc~Q=7^ov|567:8:9<6tuhll+fium&}:Sya|_35\ip~7898:<5?5uptkmk*ehzl%|=Rz`s^04[hs89:9==6 O318~usnff%hck w0]wkvY5?Vg~t=>?202\WR6582p{yd``/bmqa*q6W}exS?9Pmtz34546<98?7w~ziom,gjtb'~;Txb}P26]nq}678;;??23417>|w}`dd#na}e.u2[qitW;=Taxv?01012ZUP88o0v}{fnn-`kwc(8Uc~Q=7^ov|567::;m7w~ziom,gjtb'~;Txb}P26]nq}678;92>l5uptkmk*ehzl%|=Rz`s^04[hs89:9?4!CUU6\HZDRN;;0v}{fnn-`kwc(8Uc~Q=7^ov|567::3$C8?>f:xsqlhh'jeyi"y>_ump[71Xe|r;<=<940d8~usnff%hck w0]wkvY5?Vg~t=>?2602b>|w}`dd#na}e.u2[qitW;=Taxv?010;04`<>7/MWW0ZJXJ\L9>6tuhll+fium&}:Sya|_3:\ip~7898:<5!@229ytpoig&id~h!x1^vlwZ4?Wds<=>=11]PS54b3sz~eca cnpf+r7X|fyT>5Qbuy234777WZ];#A[[4^N\FP@5=2p{yd``/bmqa*q6W}exS?6Pmtz345468VY\<"A=0:xsqlhh'jeyi"y>_ump[7>Xe|r;<=<>4107?vrage$ob|j/v3\pjuX:1Ufyu>?03374ZUP88l0v}{fnn-`kwc(8Uc~Q=8^ov|567:;<9m6tuhll+fium&}:Sya|_3:\ip~78989:"BZT5]O[GSA:81q|xgao.alv`)p9V~dR<7_lw{4565:?%D>>5uptkmk*ehzl%|=Rz`s^0;[hs89:9>;Q\W13e?vrage$ob|j/v3\pjuX:1Ufyu>?031:5c=}x|cec"m`rd-t5Zrh{V83S`{w0121147a3sz~eca cnpf+r7X|fyT>5Qbuy2347039o1q|xgao.alv`)p9V~dR<7_lw{4565?;;m7w~ziom,gjtb'~;Txb}P29]nq}678;2?=k5uptkmk*ehzl%|=Rz`s^0;[hs89:95??k;{rvmki(kfxn#z?Ptnq\6>f:xsqlhh'jeyi"y>_ump[7?Xe|r;<=<>0328~usnff%hck w0]wkvY51Vg~t=>?202;6g=}x|cec"m`rd-t5Zrh{V82S`{w012155>(D\^?SAQMUG01?vrage$ob|j/v3\pjuX:0Ufyu>?0333<*I5;2p{yd``/bmqa*q6W}exS?7Pmtz345468VY\<>PSV2,HPR3WEUIYK<:;{rvmki(kfxn#z?Ptnq\64Qbuy2347738;>0v}{fnn-`kwc(8Uc~Q=9^ov|567:8>;S^Y?1g9ytpoig&id~h!x1^vlwZ4>Wds<=>=270b?vrage$ob|j/v3\pjuX:0Ufyu>?0305+ISS_ump[7?Xe|r;<=<=6.M17>|w}`dd#na}e.u2[qitW;3Taxv?01012ZUP88l0v}{fnn-`kwc(8Uc~Q=9^ov|567::3:j6tuhll+fium&}:Sya|_3;\ip~7898>=;:>f:xsqlhh'jeyi"y>_ump[7?Xe|r;<=<820d8~usnff%hck w0]wkvY51Vg~t=>?2962b>|w}`dd#na}e.u2[qitW;3Taxv?010:64eRczx1236443::1q|xgao.alv`)p9V~dR<<;_RU3+ISS_ump[7Yj}q:;3>l5uptkmk*ehzl%|=Rz`s^0\ip~7898:85!CUU6\HZDRN;;0v}{fnn-`kwc(8Uc~Q=_lw{45659=2$Cf:xsqlhh'jeyi"y>_ump[7Yj}q:;=223f?vrage$ob|j/v3\pjuX:Vg~t=>?2272a>|w}`dd#na}e.u2[qitW;Ufyu>?03155a=}x|cec"m`rd-t5Zrh{V8Taxv?01076==}x|cec"m`rd-t5Zrh{V8Taxv?0107+ISSf:xsqlhh'jeyi"y>_ump[7Yj}q:;=4303?vrage$ob|j/v3\pjuX:Vg~t=>?250\54b3sz~eca cnpf+r7X|fyT>Rczx123600(D\^?SAQMUG03?vrage$ob|j/v3\pjuX:Vg~t=>?244,K4cRczx1236=76m2p{yd``/bmqa*q6W}exS?Qbuy2347>>9m1q|xgao.alv`)p9V~dR=?_lw{45659l1q|xgao.alv`)p9V~dR=?_lw{456588l0v}{fnn-`kwc(8Uc~Q<0^ov|567:8:9<6tuhll+fium&}:Sya|_22\ip~7898:<5?5uptkmk*ehzl%|=Rz`s^13[hs89:9==6 O318~usnff%hck w0]wkvY48Vg~t=>?202\WR65m2p{yd``/bmqa*q6W}exS>>Pmtz345468VY\<"BZT5]O[GSA:<1q|xgao.alv`)p9V~dR=?_lw{456599UX[=!@219ytpoig&id~h!x1^vlwZ57Wds<=>=15210>|w}`dd#na}e.u2[qitW::Taxv?010205YT_9;m7w~ziom,gjtb'~;Txb}P31]nq}678;8=>l5uptkmk*ehzl%|=Rz`s^13[hs89:9>;!CUU6\HZDRN;;0v}{fnn-`kwc(8Uc~Q<0^ov|567:;<$C?=4zqwjjj)dg{o${?8PSV22b>|w}`dd#na}e.u2[qitW::Taxv?0100=4`>Pmtz34541<8l0v}{fnn-`kwc(8Uc~Q<0^ov|567:>8:j6tuhll+fium&}:Sya|_22\ip~7898384<>d:xsqlhh'jeyi"y>_ump[67Xe|r;<=<>e:xsqlhh'jeyi"y>_ump[67Xe|r;<==1100?vrage$ob|j/v3\pjuX;8Ufyu>?0333[VQ7:l1q|xgao.alv`)p9V~dR=>_lw{456599UX[=!CUU6\HZDRN;?0v}{fnn-`kwc(8Uc~Q<1^ov|567:8:T_Z> O328~usnff%hck w0]wkvY49Vg~t=>?20316g=}x|cec"m`rd-t5Zrh{V9:S`{w0121544(D\^?SAQMUG01?vrage$ob|j/v3\pjuX;8Ufyu>?03326*I582p{yd``/bmqa*q6W}exS>?Pmtz34546<=8?7w~ziom,gjtb'~;Txb}P30]nq}678;;?8R]X00d8~usnff%hck w0]wkvY49Vg~t=>?2341e>|w}`dd#na}e.u2[qitW:;Taxv?01012*JR\=UGSO[I209ytpoig&id~h!x1^vlwZ56Wds<=>=27-L66=}x|cec"m`rd-t5Zrh{V9:S`{w012163YT_9;m7w~ziom,gjtb'~;Txb}P30]nq}678;92=k5uptkmk*ehzl%|=Rz`s^12[hs89:99=67316>|w}`dd#na}e.u2[qitW:;Taxv?01052Z`29o1q|xgao.alv`)p9V~dR=>_lw{4565?<;m7w~ziom,gjtb'~;Txb}P30]nq}678;23=k5uptkmk*ehzl%|=Rz`s^12[hs89:95:?l;{rvmki(kfxn#z?Ptnq\7Zkrp9:;>f:xsqlhh'jeyi"y>_ump[6Yj}q:;=136\WR65m2p{yd``/bmqa*q6W}exS>Qbuy234775323f?vrage$ob|j/v3\pjuX;Vg~t=>?2062b>|w}`dd#na}e.u2[qitW:Ufyu>?0337<7g(D\^?SAQMUG02?vrage$ob|j/v3\pjuX;Vg~t=>?206;+J7a3sz~eca cnpf+r7X|fyT?Rczx12364169o1q|xgao.alv`)p9V~dR=Pmtz3454609;n7w~ziom,gjtb'~;Txb}P3^ov|567:;9:i6tuhll+fium&}:Sya|_2]nq}678;9>=h5uptkmk*ehzl%|=Rz`s^1\ip~78988:9S8?j;{rvmki(kfxn#z?Ptnq\7Zkrp9:;>88=9:xsqlhh'jeyi"y>_ump[6Yj}q:;;6>e:xsqlhh'jeyi"y>_ump[6Yj}q:;1d9ytpoig&id~h!x1^vlwZ5Xe|r;<=<790a8~usnff%hck w0]wkvY3Wds<=>=1g9ytpoig&id~h!x1^vlwZ2Xe|r;<=<>033e?vrage$ob|j/v3\pjuX?200766=}x|cec"m`rd-t5Zrh{V>Taxv?010261YT_98n7w~ziom,gjtb'~;Txb}P4^ov|567:88?S^Y?/MWW0ZJXJ\L996tuhll+fium&}:Sya|_5]nq}678;;98R]X0.M2b>|w}`dd#na}e.u2[qitW=Ufyu>?033074c(G8l0v}{fnn-`kwc(8Uc~Q;_lw{45659>;:j6tuhll+fium&}:Sya|_5]nq}678;;3<>?j;{rvmki(kfxn#z?Ptnq\0Zkrp9:;>>;>e:xsqlhh'jeyi"y>_ump[1Yj}q:;$C9=50g8~usnff%hck w0]wkvY3Wds<=>=570:?vrage$ob|j/v3\pjuX?244,HPR3WEUIYK88 O0g8~usnff%hck w0]wkvY3Wds<=>=693f?vrage$ob|j/v3\pjuX?2932a>|w}`dd#na}e.u2[qitW=Ufyu>?03::5f=}x|cec"m`rd-t5Zrh{V?Taxv?0102b>|w}`dd#na}e.u2[qitW?033364`S`{w01215725;2p{yd``/bmqa*q6W}exS8Qbuy234775<<;_RU3+J7a3sz~eca cnpf+r7X|fyT9Rczx12364549l1q|xgao.alv`)p9V~dR;Pmtz34546<8l0v}{fnn-`kwc(8Uc~Q:_lw{45659=29m6tuhll+fium&}:Sya|_4]nq}678;;?4"BZT5]O[GSA:81q|xgao.alv`)p9V~dR;Pmtz34546<1%D=k5uptkmk*ehzl%|=Rz`s^7\ip~7898:;<6?1d9ytpoig&id~h!x1^vlwZ3Xe|r;<=<=30g8~usnff%hck w0]wkvY2Wds<=>=343f?vrage$ob|j/v3\pjuX=Vg~t=>?2242`>|w}`dd#na}e.u2[qitW?0361<>|w}`dd#na}e.u2[qitW?036,HPR3WEUIYK?i;{rvmki(kfxn#z?Ptnq\1Zkrp9:;>9!@1d9ytpoig&id~h!x1^vlwZ3Xe|r;<=<;2328~usnff%hck w0]wkvY2Wds<=>=43]25a=}x|cec"m`rd-t5Zrh{V?Taxv?01065`=}x|cec"m`rd-t5Zrh{V?Taxv?010627?S`{w012113)K]]>T@RLZF328~usnff%hck w0]wkvY2Wds<=>=57-L5`=}x|cec"m`rd-t5Zrh{V?Taxv?0105<4cS`{w0121<47b3sz~eca cnpf+r7X|fyT9Rczx1236=?6k2p{yd``/bmqa*q6W}exS;Qbuy23477b3sz~eca cnpf+r7X|fyT:Rczx1236466n2p{yd``/bmqa*q6W}exS;Qbuy23477798l0v}{fnn-`kwc(8Uc~Q9_lw{456599<:j6tuhll+fium&}:Sya|_7]nq}678;;;;l5uptkmk*ehzl%|=Rz`s^4\ip~7898:=f:xsqlhh'jeyi"y>_ump[3Yj}q:;=133,HPR4WMUIYK?i;{rvmki(kfxn#z?Ptnq\2Zkrp9:;><:?209ytpoig&id~h!x1^vlwZ0Xe|r;<=<>41]264=}x|cec"m`rd-t5Zrh{V<8;2`9ytpoig&id~h!x1^vlwZ0Xe|r;<=<>65-OQQ2XDVH^J??4zqwjjj)dg{o${=176\WR66n2p{yd``/bmqa*q6W}exS;Qbuy23477?=8o0v}{fnn-`kwc(8Uc~Q9_lw{4565;>;n7w~ziom,gjtb'~;Txb}P6^ov|567:=>956tuhll+fium&}:Sya|_7]nq}678;>?#A[[4^N\FP@582p{yd``/bmqa*q6W}exS;Qbuy234723'F897w~ziom,gjtb'~;Txb}P6^ov|567:=>T_Z>=6:xsqlhh'jeyi"y>_ump[3Yj}q:;55uptkmk*ehzl%|=Rz`s^4\ip~7898?8R]X0^QT4*I6m2p{yd``/bmqa*q6W}exS;Qbuy2347369l1q|xgao.alv`)p9V~dR8Pmtz3454188o0v}{fnn-`kwc(8Uc~Q9_lw{4565>=827w~ziom,gjtb'~;Txb}P6^ov|567:?>$@XZ=_E]AQC7c3sz~eca cnpf+r7X|fyT:Rczx123624?3sz~eca cnpf+r7X|fyT:Rczx12362)K]]>T@RLZF0d8~usnff%hck w0]wkvY1Wds<=>=7.M2a>|w}`dd#na}e.u2[qitW?Ufyu>?03525`=}x|cec"m`rd-t5Zrh{V29l1q|xgao.alv`)p9V~dR8Pmtz3454>;8i0v}{fnn-`kwc(8Uc~Q8_lw{45659l1q|xgao.alv`)p9V~dR9Pmtz3454688l0v}{fnn-`kwc(8Uc~Q8_lw{456599<:j6tuhll+fium&}:Sya|_6]nq}678;;;;_ump[2Yj}q:;5^32b>|w}`dd#na}e.u2[qitW>Ufyu>?0337477?=4zqwjjj)dg{o${PSV22b>|w}`dd#na}e.u2[qitW>Ufyu>?033507g?2047+J443sz~eca cnpf+r7X|fyT;Rczx1236403WZ];=k5uptkmk*ehzl%|=Rz`s^5\ip~7898:48?j;{rvmki(kfxn#z?Ptnq\3Zkrp9:;>>9=9:xsqlhh'jeyi"y>_ump[2Yj}q:;9:=9:xsqlhh'jeyi"y>_ump[2Yj}q:;?#B<=;{rvmki(kfxn#z?Ptnq\3Zkrp9:;>9:PSV212>|w}`dd#na}e.u2[qitW>Ufyu>?0367[VQ7WZ];=h5uptkmk*ehzl%|=Rz`s^5\ip~7898=<8:i6tuhll+fium&}:Sya|_6]nq}678;2>=h5uptkmk*ehzl%|=Rz`s^5\ip~78982??202\WR6(D\^?SAQMUG07?vrage$ob|j/v3\pjuX0Vg~t=>?202\WR6(G8l0v}{fnn-`kwc(8Uc~Q7_lw{45659839=6tuhll+fium&}:Sya|_9]nq}678;;:5R?=1:xsqlhh'jeyi"y>_ump[=Yj}q:;9^017>|w}`dd#na}e.u2[qitW1Ufyu>?0332=ZUP88l0v}{fnn-`kwc(8Uc~Q7_lw{45659=99m6tuhll+fium&}:Sya|_9]nq}678;;??"BZT5]O[GSA:81q|xgao.alv`)p9V~dR6Pmtz34546<:%D>>5uptkmk*ehzl%|=Rz`s^:\ip~7898:8>Q\W13e?vrage$ob|j/v3\pjuX0Vg~t=>?20475`=}x|cec"m`rd-t5Zrh{V2Taxv?010127?T@RLZF328~usnff%hck w0]wkvY?Wds<=>=27-L67=}x|cec"m`rd-t5Zrh{V2Taxv?01012ZUP88o0v}{fnn-`kwc(8Uc~Q7_lw{4565;0;n7w~ziom,gjtb'~;Txb}P8^ov|567:<;:i6tuhll+fium&}:Sya|_9]nq}678;58>e:xsqlhh'jeyi"y>_ump[=Yj}q:;Xe|r;<=<64^32g>|w}`dd#na}e.u2[qitW0Ufyu>?033g?vrage$ob|j/v3\pjuX1Vg~t=>?213f?vrage$ob|j/v3\pjuX1Vg~t=>?20216>|w}`dd#na}e.u2[qitW0Ufyu>?0333[VQ7:m1q|xgao.alv`)p9V~dR7Pmtz345468VY\<"BZT5]O[GSA:=1q|xgao.alv`)p9V~dR7Pmtz345468VY\<"A>f:xsqlhh'jeyi"y>_ump[9338~usnff%hck w0]wkvY>Wds<=>=10;\577=e:xsqlhh'jeyi"y>_ump[9^QT4*JR\:UGSO[I249ytpoig&id~h!x1^vlwZ?Xe|r;<=<>18]PS5)H9o1q|xgao.alv`)p9V~dR7Pmtz34546<:8j7w~ziom,gjtb'~;Txb}P9^ov|567:8>8#A[[4^N\FP@592p{yd``/bmqa*q6W}exS4Qbuy234773;&E9?6tuhll+fium&}:Sya|_8]nq}678;;??R]X00d8~usnff%hck w0]wkvY>Wds<=>=1762a>|w}`dd#na}e.u2[qitW0Ufyu>?03056<=}x|cec"m`rd-t5Zrh{V3Taxv?01012*JR\=UGSO[I219ytpoig&id~h!x1^vlwZ?Xe|r;<=<=6.M16>|w}`dd#na}e.u2[qitW0Ufyu>?0305[VQ79l1q|xgao.alv`)p9V~dR7Pmtz3454418o0v}{fnn-`kwc(8Uc~Q6_lw{4565=8;n7w~ziom,gjtb'~;Txb}P9^ov|567:?>:i6tuhll+fium&}:Sya|_8]nq}678;=9=h5uptkmk*ehzl%|=Rz`s^;\ip~7898394:=0:xsqlhh'jeyi"y>_ump[30?3f?vrage$ob|j/v3\pjuXimn;<=>311<2a>|w}`dd#na}e.u2[qitWhno<=>?<03=5`=}x|cec"m`rd-t5Zrh{Vkoh=>?0=31:4c?01>27;7b3sz~eca cnpf+r7X|fyTmij?012?5186m2p{yd``/bmqa*q6W}exSljk012384399l1q|xgao.alv`)p9V~dRokd123497168o0v}{fnn-`kwc(8Uc~Qnde2345:6?7;n7w~ziom,gjtb'~;Txb}Paef3456;914:i6tuhll+fium&}:Sya|_`fg45674835=i5uptkmk*ehzl%|=Rz`s^cg`56785;5=h5uptkmk*ehzl%|=Rz`s^cg`567858;2?0>e:xsqlhh'jeyi"y>_ump[dbc89:;0?=11d9ytpoig&id~h!x1^vlwZgcl9:;<1<;>0g8~usnff%hck w0]wkvYflm:;<=2=5?3f?vrage$ob|j/v3\pjuXimn;<=>327<2a>|w}`dd#na}e.u2[qitWhno<=>?<35=5`=}x|cec"m`rd-t5Zrh{Vkoh=>?0=0;:4c?01>1=;7c3sz~eca cnpf+r7X|fyTmij?012?6;7b3sz~eca cnpf+r7X|fyTmij?012?7586m2p{yd``/bmqa*q6W}exSljk012386799m1q|xgao.alv`)p9V~dRokd12349599m1q|xgao.alv`)p9V~dRokd12349299m1q|xgao.alv`)p9V~dRokd12349399m1q|xgao.alv`)p9V~dRokd12349099m1q|xgao.alv`)p9V~dRokd12349199m1q|xgao.alv`)p9V~dRokd12349>99m1q|xgao.alv`)p9V~dRokd12349?99m1q|xgao.alv`)p9V~dRokd12359699l1q|xgao.alv`)p9V~dRokd123597768o0v}{fnn-`kwc(8Uc~Qnde2344:697;n7w~ziom,gjtb'~;Txb}Paef3457;9;4:i6tuhll+fium&}:Sya|_`fg45664895=h5uptkmk*ehzl%|=Rz`s^cg`56795;?2e:xsqlhh'jeyi"y>_ump[dbc89::0<911d9ytpoig&id~h!x1^vlwZgcl9:;=1?7>0g8~usnff%hck w0]wkvYflm:;<<2>9?3g?vrage$ob|j/v3\pjuXimn;<=?31?3f?vrage$ob|j/v3\pjuXimn;<=?321<2a>|w}`dd#na}e.u2[qitWhno<=>><33=5`=}x|cec"m`rd-t5Zrh{Vkoh=>?1=01:4c?00>17;7b3sz~eca cnpf+r7X|fyTmij?013?6186m2p{yd``/bmqa*q6W}exSljk012287399l1q|xgao.alv`)p9V~dRokd123594168o0v}{fnn-`kwc(8Uc~Qnde2344:5?7;n7w~ziom,gjtb'~;Txb}Paef3457;:14:i6tuhll+fium&}:Sya|_`fg45664;35=i5uptkmk*ehzl%|=Rz`s^cg`5679585=h5uptkmk*ehzl%|=Rz`s^cg`567959;2?002;67=}x|cec"m`rd-tvjrbjhi`S|Qbuy2345768;;0v}{fnn-`kwc({eioolk^s\ip~789::>?<4zqwjjj)dg{o${a{ecc`oZwXe|r;<=>>4001?vrage$ob|j/vplp`dfkbUzS`{w01235<7592p{yd``/bmqa*qug}oimnePq^ov|5678;?9=6tuhll+fium&}ycykmabi\uZkrp9:;<>:=2:xsqlhh'jeyi"y}ougaefmXyVg~t=>?027164=}x|cec"m`rd-tvjrbjhi`S|Qbuy234522:81q|xgao.alv`)pzf~nnlmd_p]nq}6789<9><5uptkmk*ehzl%|~bzjb`ah[tYj}q:;<=87169ytpoig&i{yR|nm^ua[fdW`;o7w~ziom,`gaXn{efSw~z_rvbgnd;87;n7w~ziom,`gaXn{efSw~z_rvbgnd;994:i6tuhll+ad`WoxdaRtu^qwefme48;5=h5uptkmk*beoVlyc`Qupt]ppdelj5;92e:xsqlhh'mhlSk|`m^xsqZusijai0<;11d9ytpoig&nikRh}ol]ytpYt|hi`n1?9>0g8~usnff%onjQirno\~usX{}khgo2>7?3f?vrage$hoiPfsmn[vrWz~jofl319<2a>|w}`dd#ilh_gpliZ|w}Vymnem<0;=5a=}x|cec"jmg^dqkhY}x|Uxxlmdb=3=5`=}x|cec"jmg^dqkhY}x|Uxxlmdb=03:4c15;7b3sz~eca dce\bwijWsz~S~zncj`?6786m2p{yd``/e`d[ctheVp{yR}{abia87599l1q|xgao.facZ`ugdUq|xQ|t`ahf94368o0v}{fnn-gfbYazfgTv}{Psuc`og:5=7;n7w~ziom,`gaXn{efSw~z_rvbgnd;:?4:i6tuhll+ad`WoxdaRtu^qwefme4;=5=h5uptkmk*beoVlyc`Qupt]ppdelj58323?j;{rvmki(lkmTjab_{rv[vrfkbh7?=0>e:xsqlhh'mhlSk|`m^xsqZusijai0>?11e9ytpoig&nikRh}ol]ytpYt|hi`n1=11e9ytpoig&nikRh}ol]ytpYt|hi`n1:11e9ytpoig&nikRh}ol]ytpYt|hi`n1;11e9ytpoig&nikRh}ol]ytpYt|hi`n1811e9ytpoig&nikRh}ol]ytpYt|hi`n1911e9ytpoig&nikRh}ol]ytpYt|hi`n1611e9ytpoig&nikRh}ol]ytpYt|hi`n171a:xsqlhh'`e$><5uptkmk*oh'Dg~tRQyaskm``~789:T9Rh9209ytpoig&cd#@czx^]uewoillr;<=>P6^d667=}x|cec"g`/Lov|ZYqi{cehhv?012\2Z`29;90v}{fnn-jk*Kj}qUTzl|fneg{4567W?Um9R>=4:xsqlhh'`e$A`{w_^tbvlhcmq:;<=Q9_g7\vq7b3sz~eca in-Nip~XWkyecjjx1234Z16n2p{yd``/hm,IhsWV|j~d`key2345Y09;;0v}{fnn-jk*Kj}qUTzl|fneg{4567W>Um9f:xsqlhh'`e$A`{w_^tbvlhcmq:;<=Q720g8~usnff%bc"Cbuy]\rdtnfmos<=>?_83:?vrage$eb!ndep\wdpbWx6:<3?6;{rvmki(af%jhi|Ps`tf[t:697;27w~ziom,mj)flmxTlxj_p>26;7>3sz~eca in-b`atX{h|nS|2>3?3:?vrage$eb!ndep\wdpbWx6:83?6;{rvmki(af%jhi|Ps`tf[t:6=7;27w~ziom,mj)flmxTlxj_p>22;7>3sz~eca in-b`atX{h|nS|2=3?3:?vrage$eb!ndep\wdpbWx6983?6;{rvmki(af%jhi|Ps`tf[t:5=7;27w~ziom,mj)flmxTlxj_p>12;7?3sz~eca in-b`atX{h|nS|2=>0:8~usnff%bc"okds]pescXy595=55uptkmk*oh'hno~R}nvd]r818602p{yd``/hm,eabuWzk}iR35?3;?vrage$eb!ndep\wdpbWx6=2<64zqwjjj)ng&kohQ|awg\u919911q|xgao.kl+dbczVyjzhQ~<9<2<>|w}`dd#da aefq[vgqmV{753?7;{rvmki(af%jhi|Ps`tf[tY68820v}{fnn-jk*gcl{Uxm{kPq^325==}x|cec"g`/`fgvZuf~lUzS<<>8:xsqlhh'`e$mij}_rcuaZwX9:;37w~ziom,mj)flmxTlxj_p]204>:46tuhll+li(imnyS~oye^s\607?3sz~eca in-b`atX{h|nS|Q=6058~usnff%bc"okds]pescXyV9:;6tuhll+li(imnyS~oye^s\0417:xsqlhh'`e$mij}_rcuaZwX>8=0v}{fnn-jk*gcl{Uxm{kPq^523>|w}`dd#da aefq[vgqmV{T4<94zqwjjj)ng&kohQ|awg\uZ?6l2p{yd``/hm,eabuWzk}iRPnnv34576m2p{yd``/hm,eabuWzk}iRPnnv345769j1q|xgao.kl+dbczVyjzhQ~_np34566l2p{yd``/hm,eabuWzk}iRPos234576<2p{yd``/hm,mkruW`dxx"?9;{rvmki(af%bby|Pioqw+K66?2p{yd``/hm,mkruW`dxx"@>0058~usnff%bc"gats]jjvr(F88:;6tuhll+li(ag~ySd`|t.L22402p{yd``/hm,mkruW`dxx"@:179ytpoig&cd#d`{r^kmwq)I>8<0v}{fnn-jk*oi|{Ubb~z N935?vrage$eb!fnup\mkus'G3946tuhll+li(ag~ySd`|t.fl[lhsm{x~|yQbuy23444e3sz~eca in-jjqtXagy#iaPiovfvwsw|Vg~t=>?10326d=}x|cec"g`/hlwvZoi{}%ocRgatdpqqurXe|r;<=?=43c8~usnff%bc"gats]jjvr(lfUbbyk}rtrw[hs89::??<6;{rvmki(af%bby|Pioqw+aiXag~n~{t^ov|5679=8j7w~ziom,mj)nf}xTec}{/em\mkrbz{{xRczx1235125m2p{yd``/hm,mkruW`dxx"j`_hlwawtrx}Ufyu>?0067[VQ6;01q|xgao.kl+lhszVcey!ko^kmp`tu}y~Taxv?01370ZUP9&F^X9QC_CWE75=}x|cec"g`/hlwvZoi{}%ocRgatdpqqurXe|r;<=?;4^QT5*I5i2p{yd``/hm,mkruW`dxx"j`_hlwawtrx}Ufyu>?00546`=}x|cec"g`/hlwvZoi{}%ocRgatdpqqurXe|r;<=?87^QT46?>76]PS5)K]]9THRLZF3;8~usnff%bc"gats]jjvr(lfUbbyk}rtrw[hs89::5<74zqwjjj)ng&cexQfnrv,m`hXy;:0v}{fnn-jk*oi|{Ubb~z idl\uZiu89:;><5uptkmk*oh'`d~Rgasu-jakYvWfx;<=>>279ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[t:76;=0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhR311<13>|w}`dd#da iovq[lht|&cexQkeug`p`bXy5;:2?94zqwjjj)ng&cexQfnrv,mkruWmoinzjd^s?5785?2p{yd``/hm,mkruW`dxx"gats]gaqcd|lnT}1?<>358~usnff%bc"gats]jjvr(ag~ySik{ebvf`Zw;9=49;6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq=36:71348~usnff%bc"gats]jjvr(ag~ySik{ebvf`Zw;178>7w~ziom,mj)nf}xTec}{/hlwvZbb|liiiQ~_10g?vrage$eb!fnup\mkus'`d~RjjtdawaaYvW9Uecy>?000e?vrage$eb!fnup\mkus'`d~RjjtdawaaYvW9Uecy>?00326c=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWxU;Sca{0122644b3sz~eca in-jjqtXagy#d`{r^ffp`esmmUzS=Qaou234455=2p{yd``/hm,mkruW`dxx"gats]gaqcd|lnT}R?=6:xsqlhh'`e$ecz}_hlpp*oi|{Uoiykltdf\uZ77:l1q|xgao.kl+lhszVcey!fnup\``rbk}ooS|Q>0^llp5679;l0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhRP11]mkq6788;9:6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq^326`=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWxU:=R``t12357`2p{yd``/hm,mkruW`dxx"gats]gaqcd|lnT}R?=2d9ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[tY6:Vddx=>?13d8~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX9;Uecy>?00312>|w}`dd#da iovq[lht|&cexQkeug`p`bXyV;8>h5uptkmk*oh'`d~Rgasu-jjqtXll~noykk_p]27Zhh|9:;=?h4zqwjjj)ng&cexQfnrv,mkruWmoinzjd^s\56Yig}:;<4^llp5679;l0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhRP15]mkq6788;9:6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq^366`=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWxU:9R``t12357`2p{yd``/hm,mkruW`dxx"gats]gaqcd|lnT}R?92d9ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[tY6>Vg~t=>?03d8~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX9?Ufyu>?0131a>|w}`dd#da iovq[lht|&cexQkeug`p`bXyV;=Sca{01226c=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWxU::R``t12354413sz~eca in-jjqtXagy#d`{r^ffp`esmmUzS<9=e:xsqlhh'`e$ecz}_hlpp*oi|{Uoiykltdf\uZ70Wge<=>>2g9ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[tY6?Vddx=>?100g?vrage$eb!fnup\mkus'`d~RjjtdawaaYvW8Uecy>?000f?vrage$eb!fnup\mkus'`d~RjjtdawaaYvW8Uecy>?00311>|w}`dd#da iovq[lht|&cexQkeug`p`bXyV89h6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq^0\jjr789;9i6tuhll+li(ag~ySd`|t.kmpwYcm}ohxhjPq^0\jjr789;:>85uptkmk*oh'`d~Rgasu-jjqtXll~noykk_p]06a=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWxU8Sca{01226`=}x|cec"g`/hlwvZoi{}%bby|PddvfgqccWxU8Sca{012257cQaou2344Ya=;?0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhRP43f8~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX?13g8~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX?100f?vrage$eb!fnup\mkus'`d~RjjtdawaaYvW=Uecy>?00004>|w}`dd#da iovq[lht|&cexQkeug`p`bXyV>Tbbz?013\b0423sz~eca in-jjqtXagy#d`{r^ffp`esmmUzS8>2d9ytpoig&cd#d`{r^kmwq)nf}xThhzjcugg[tY0Wge<=>>1378~usnff%bc"gats]jjvr(ag~ySik{ebvf`ZwX0;n0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhRP8^llp5679;o0v}{fnn-jk*oi|{Ubb~z iovq[acsmj~nhRP8^llp567988>7w~ziom,mj)nf}xTec}{/hlwvZbb|liiiQ~_80g?vrage$eb!fnup\mkus'`d~RjjtdawaaYvW0Uecy>?000f?vrage$eb!fnup\mkus'`d~RjjtdawaaYvW0Uecy>?00314>|w}`dd#da iovq[lht|&cexQfn^s?7;473sz~eca in-jjqtXagy#d`{r^km[t:368l0v}{fnn-jk*oi|{Ubb~z iovq[lhXyV9:j6tuhll+li(ag~ySd`|t.kmpwYnfV{T8?:4zqwjjj)ng&cexQfnrv,mkruWeo}iaQ~<04=61=}x|cec"g`/hlwvZoi{}%bby|PldtfhZw;9>49?6tuhll+li(ag~ySd`|t.kmpwYkmogS|Q>63`8~usnff%bc"gats]jjvr(ag~ySakyem]r[40Xff~;<=?=c:xsqlhh'`e$ecz}_hlpp*oi|{Ugi{kc_p]22Zhh|9:;=<6tuhll+li(ag~ySd`|t.kmpwYkago7==0>209ytpoig&cd#d`{r^kmwq)nf}xT`d`j<03=67=}x|cec"g`/hlwvZoi{}%bby|Plhlf84799;;0v}{fnn-jk*oi|{Ubb~z iovq[ioim5;92?<4zqwjjj)ng&cexQfnrv,mkruWecei1?=>002?vrage$eb!fnup\mkus'`d~Rbfnd>27;453sz~eca in-jjqtXagy#d`{r^njj`:6;7;9=6tuhll+li(ag~ySd`|t.kmpwYkago7=90=2:xsqlhh'`e$ecz}_hlpp*oi|{Ugeck315<264=}x|cec"g`/hlwvZoi{}%bby|Plhlf8439:;1q|xgao.kl+lhszVcey!fnup\hlhb48?5=??4zqwjjj)ng&cexQfnrv,mkruWecei1?9>378~usnff%bc"gats]jjvr(ag~ySagae=35:ZUP8;;0v}{fnn-jk*oi|{Ubb~z iovq[ioim5;<2?=4zqwjjj)ng&cexQfnrv,mkruWecei1?8>0311>|w}`dd#da iovq[lht|&cexQciog?528698;9?6tuhll+li(ag~ySd`|t.kmpwYkago7=:0=1368~usnff%bc"gats]jjvr(ag~ySagae=34:672:=1q|xgao.kl+lhszVcey!fnup\hlhb48=5?>;=4:xsqlhh'`e$ecz}_hlpp*oi|{Ugeck316<072443sz~eca in-jjqtXagy#d`{r^njj`:6?7>:>85uptkmk*oh'`d~Rgasu-jjqtXd`dn0<91_RU365=}x|cec"g`/hlwvZoi{}%bby|Plhlf848592p{yd``/hm,mkruW`dxx"gats]omkc;97;9<6tuhll+li(ag~ySd`|t.kmpwYkago7>3<>;{rvmki(af%bby|Pioqw+lhszVfbbh2=>003?vrage$eb!fnup\mkus'`d~Rbfnd>0:775><5uptkmk*oh'`d~Rgasu-jjqtXd`dn090>219ytpoig&cd#d`{r^kmwq)nf}xT`d`j<4<15>|w}`dd#da iovq[lht|&cexQciog?1;7582p{yd``/hm,mkruW`dxx"gats]omkc;>78:7w~ziom,mj)nf}xTec}{/hlwvZjnfl6=2<338~usnff%bc"gats]jjvr(ag~ySagae=5=5769:81q|xgao.kl+lhszVcey!fnup\hlhb414:>=5uptkmk*oh'`d~Rgasu-jjqtXd`dn040=2:xsqlhh'`e$ecz}_hlpp*oi|{Ugeck39?3261=}x|cec"g`/hlwvZoi{}%bby|Plhlf8<8X[^:986tuhll+li(ag~ySd`|t.kmpwYtm{|nhR30?06?vrage$eb!fnup\mkus'`d~R}jrwgg[t:6878>7w~ziom,mj)nf}xTec}{/hlwvZubzooS|2>1?06?vrage$eb!fnup\mkus'`d~R}jrwgg[t:6:78>7w~ziom,mj)nf}xTec}{/hlwvZubzooS|2>3?06?vrage$eb!fnup\mkus'`d~R}jrwgg[t:6<78>7w~ziom,mj)nf}xTec}{/hlwvZubzooS|2>5?06?vrage$eb!fnup\mkus'`d~R}jrwgg[t:6>78>7w~ziom,mj)nf}xTec}{/hlwvZubzooS|2>7?07?vrage$eb!fnup\mkus'`d~R}jrwgg[t:66;>0v}{fnn-jk*oi|{Ubb~z iovq[vcu~lnT}1<1259ytpoig&cd#d`{r^kmwq)nf}xTh|yee]r8685<2p{yd``/hm,mkruW`dxx"gats]pawpblV{783<;;{rvmki(af%bby|Pioqw+lhszVyn~{kk_p>6:7295uptkmk*oh'`d~Rgasu-jjqtX{lx}iiQ~<6<10>|w}`dd#da iovq[lht|&cexQ|estf`Zw;078?7w~ziom,mj)nf}xTec}{/hlwvZubzooS|26>318~usnff%bc"gats]jjvr(ag~yS~k}vdf\uZ65;2p{yd``/hm,mkruW`dxx"gats]pawpblV{T=?:4zqwjjj)ng&cexQfnrv,mkruWzoyzhjPq^3361=}x|cec"g`/hlwvZoi{}%bby|PsdpuaaYvW8;986tuhll+li(ag~ySd`|t.kmpwYtm{|nhRP1307?vrage$eb!fnup\mkus'`d~R}jrwgg[tY6;;>0v}{fnn-jk*oi|{Ubb~z iovq[vcu~lnT}R?;259ytpoig&cd#d`{r^kmwq)nf}xTh|yee]r[435<2p{yd``/hm,mkruW`dxx"gats]pawpblV{T=;<;;{rvmki(af%bby|Pioqw+lhszVyn~{kk_p]2375|w}`dd#da iovq[lht|&cexQ|estf`ZwX?;90v}{fnn-jk*oi|{Ubb~z iovq[vcu~lnT}R6=3:xsqlhh'`e$ecz}_hlpp*oi|{Uxixjd^s\=7??13c8~usnff%bc"gats]jjvr(ag~yS~k}vdf\uZhh|9:;=95uptkmk*oh'`d~Rgasu-jv`gcqV{Tbbz?01311>|w}`dd#da iovq[lht|&cyiljv_p]mkq6788;:h6tuhll+li(ag~ySd`|t.obwnYv494:i6tuhll+li(ag~ySd`|t.obwnYv48:5=h5uptkmk*oh'`d~Rgasu-nevmXy5;:23?j;{rvmki(af%bby|Pioqw+hgtcV{7=>0>e:xsqlhh'`e$ecz}_hlpp*kf{bUz0<:11d9ytpoig&cd#d`{r^kmwq)jizaT}1?:>0g8~usnff%bc"gats]jjvr(ehy`S|2>6?3f?vrage$eb!fnup\mkus'dkxgR316<2`>|w}`dd#da iovq[lht|&gjfQ~<0<2`>|w}`dd#da iovq[lht|&gjfQ~<3<2`>|w}`dd#da iovq[lht|&gjfQ~<2<2`>|w}`dd#da iovq[lht|&gjfQ~<5<2`>|w}`dd#da iovq[lht|&gjfQ~<4<2`>|w}`dd#da iovq[lht|&gjfQ~<7<2`>|w}`dd#da iovq[lht|&gjfQ~<6<2`>|w}`dd#da iovq[lht|&gjfQ~<9<2`>|w}`dd#da iovq[lht|&gjfQ~<8<2g>|w}`dd#da iovq[lht|&gjfQ~_13`?vrage$eb!fnup\mkus'dkxgRP10f8~usnff%bc"gats]jjvr(ehy`S|Q>00f8~usnff%bc"gats]jjvr(ehy`S|Q>10f8~usnff%bc"gats]jjvr(ehy`S|Q>20f8~usnff%bc"gats]jjvr(ehy`S|Q>30f8~usnff%bc"gats]jjvr(ehy`S|Q>40f8~usnff%bc"gats]jjvr(ehy`S|Q>50f8~usnff%bc"gats]jjvr(ehy`S|Q>60f8~usnff%bc"gats]jjvr(ehy`S|Q>70a8~usnff%bc"gats]jjvr(ehy`S|Q=1b9ytpoig&cd#d`{r^kmwq)jizaT}R=>c:xsqlhh'`e$ecz}_hlpp*kf{bUzS9?l;{rvmki(af%bby|Pioqw+hgtcV{T92?07?vrage$eb!fnup\mkus'dkxghjPiwg`w9746;>0v}{fnn-jk*oi|{Ubb~z m`qhaaYn~lix0<:1259ytpoig&cd#d`{r^kmwq)jizanhRgyebq?5085<2p{yd``/hm,mkruW`dxx"cnsjgg[lpbkz6::3<<;{rvmki(af%bby|Pioqw+hgtclnTe{kls=1=66=}x|cec"g`/hlwvZoi{}%fm~ejd^kuafu;<7887w~ziom,mj)nf}xTec}{/lcpo`bXaoh1;1229ytpoig&cd#d`{r^kmwq)jizanhRgyebq?2;443sz~eca in-jjqtXagy#`o|kdf\mscd{5=5>>5uptkmk*oh'`d~Rgasu-nevmblVc}in}38?0a?vrage$eb!fnup\mkus'dkxghjPiwg`wZ6Xign;<=>>2b9ytpoig&cd#d`{r^kmwq)jizanhRgyebq\55Yffm:;<=?=c:xsqlhh'`e$ecz}_hlpp*kf{booSdxjcr]26Zgil9:;<<=8;{rvmki(af%bby|Pioqw+hgtclnTe{kls^31[dhc89:;="BZT3]G[GSA:j1q|xgao.kl+lhszVcey!barif`ZoqmjyT=>Qnne234574?2p{yd``/hm,mkruW`dxx"cnsjgg[lpbkzU:?Road12344)K]]8THRLZF3a8~usnff%bc"gats]jjvr(ehy`iiQfvdap[42Xign;<=>>369ytpoig&cd#d`{r^kmwq)jizanhRgyebq\51Yffm:;<=? LTV1[AYE]O8h7w~ziom,mj)nf}xTec}{/lcpo`bXaohR?:_`lg45679:=0v}{fnn-jk*oi|{Ubb~z m`qhaaYn~lixS<;Paof34566'E__>RJPBTD1g>|w}`dd#da iovq[lht|&gjfkk_htfgvY6>Vkeh=>?000a?vrage$eb!fnup\mkus'dkxghjPiwg`wZ5Xign;<=>>379ytpoig&cd#d`{r^kmwq)jizanhRgyebq\7Zgil9:;<Sl`k012357d?0131f>|w}`dd#da iovq[lht|&gjfkk_htfgvY0Whdo<=>?1248~usnff%bc"gats]jjvr(ehy`iiQfvdap[2Yffm:;<=? LTV1[AYE]O8i7w~ziom,mj)nf}xTec}{/lcpo`bXaohR6Paof345669m1q|xgao.kl+lhszVcey!xb^kmpdYh:<1q|xgao.kl+lhszVcey!xb^kmpdYhWge<=>>279ytpoig&cd#d`{r^kmwq)pjVcexlQ`_omw45669;;0v}{fnn-jk*oi|{Ubb~z wc]qwqYnW`d}=<>4zqwjjj)ng&cdSnwl1c9ytpoig&cd#daPcxa\kw6789Ubb{?l;{rvmki(af%bcRmvc^mq4567W`d}=<94zqwjjj)ng&cdSikyibg\efm6i2p{yd``/hm,mjYcmchiRolk0345`=}x|cec"g`/hm\``pnklUjof?>7^QT47416]PS5YT_98o7w~ziom,mj)ngVnnzdmj_`ah541X[^:T_Z> LTV7[IYE]O8?7w~ziom,mj)ngVnnzdmj_`ah541X[^:T_Z> O0;8~usnff%bc"g`_egumfcXija?91e9ytpoig&cd#daPrdcg[vckmj~nh1>11g9ytpoig&cd#daPrdcg[vckmj~nh1>13602?vrage$eb!fo^pfeaYtmeohxhj30?14[C463sz~eca in-jkZtbimUxiakltdf?4;50WN;n7w~ziom,mj)ngVxnmiQ|emg`p`b;9949<6tuhll+li(afUyiljPsdnfgqcc48:5?:<=;{rvmki(af%bcR|jae]paicd|ln7==0<7^D16>|w}`dd#da in]qadbX{lfnoykk<02=72Y@9l1q|xgao.kl+liXzlkoS~kcebvf`9766;:0v}{fnn-jk*ohW{ojhR}jldawaa:69789>=5uptkmk*oh'`eT~hok_rgoafrbl5;:2>9=2:xsqlhh'`e$ebQ}e`f\w`jbk}oo03=8_G01?vrage$eb!fo^pfeaYtmeohxhj313<03ZA6m2p{yd``/hm,mjYumhnThbjcugg8459:91q|xgao.kl+liXzlkoS~kcebvf`9746:=9>6tuhll+li(afUyiljPsdnfgqcc4895?:QI239ytpoig&cd#daPrdcg[vckmj~nh1?<>25\C4c20;473sz~eca in-jkZtbimUxiakltdf?5184?;80v}{fnn-jk*ohW{ojhR}jldawaa:6<79|w}`dd#da in]qadbX{lfnoykk<07=65=}x|cec"g`/hm\v`gcWzoginzjd=36:615:2p{yd``/hm,mjYumhnThbjcugg8439;>UM>?5uptkmk*oh'`eT~hok_rgoafrbl5;>2>9PG0g8~usnff%bc"g`_sgb`Zubdliii2>6?03?vrage$eb!fo^pfeaYtmeohxhj317<217622;2?:;1q|xgao.kl+liXzlkoS~kcebvf`9716=2TJ?<4zqwjjj)ng&cdSknd^qfh`esmm6::3:7_F3f?vrage$eb!fo^pfeaYtmeohxhj316<14>|w}`dd#da in]qadbX{lfnoykk<05=50473sz~eca in-jkZtbimUxiakltdf?52830;80v}{fnn-jk*ohW{ojhR}jldawaa:6?7>3SK<=;{rvmki(af%bcR|jae]paicd|ln7=:0;8^E2a>|w}`dd#da in]qadbX{lfnoykk<0:=5c=}x|cec"g`/hm\v`gcWzoginzjd=3;:4463sz~eca in-jkZtbimUxiakltdf?5=86WO8:7w~ziom,mj)ngVxnmiQ|emg`p`b;914:SJ?j;{rvmki(af%bcR|jae]paicd|ln7=40>f:xsqlhh'`e$ebQ}e`f\w`jbk}oo0<711338~usnff%bc"g`_sgb`Zubdliii2>9?3\B772=;7XO8n0v}{fnn-jk*ohW{ojhR}jldawaa:668l0v}{fnn-jk*ohW{ojhR}jldawaa:66:=9=6tuhll+li(afUyiljPsdnfgqcc4848;RH=1:xsqlhh'`e$ebQ}e`f\w`jbk}oo0<0<7^E2a>|w}`dd#da in]qadbX{lfnoykk<32=5c=}x|cec"g`/hm\v`gcWzoginzjd=03:4463sz~eca in-jkZtbimUxiakltdf?6586WO8:7w~ziom,mj)ngVxnmiQ|emg`p`b;:94:SJ?j;{rvmki(af%bcR|jae]paicd|ln7><0>f:xsqlhh'`e$ebQ}e`f\w`jbk}oo0??11338~usnff%bc"g`_sgb`Zubdliii2=1?3\B7715;7XO8o0v}{fnn-jk*ohW{ojhR}jldawaa:5:7;m7w~ziom,mj)ngVxnmiQ|emg`p`b;:;4:><5uptkmk*oh'`eT~hok_rgoafrbl58920]D5`=}x|cec"g`/hm\v`gcWzoginzjd=00:4`17;7592p{yd``/hm,mjYumhnThbjcugg87599VL9=6tuhll+li(afUyiljPsdnfgqcc4;95=RI>e:xsqlhh'`e$ebQ}e`f\w`jbk}oo0?:11g9ytpoig&cd#daPrdcg[vckmj~nh1<;>002?vrage$eb!fo^pfeaYtmeohxhj325<2[C463sz~eca in-jkZtbimUxiakltdf?6186WN;n7w~ziom,mj)ngVxnmiQ|emg`p`b;:<4:j6tuhll+li(afUyiljPsdnfgqcc4;?5=??4zqwjjj)ng&cdSknd^qfh`esmm6993?PF338~usnff%bc"g`_sgb`Zubdliii2=5?3\C4c12;7a3sz~eca in-jkZtbimUxiakltdf?6386:81q|xgao.kl+liXzlkoS~kcebvf`94168UM><5uptkmk*oh'`eT~hok_rgoafrbl58=20d8~usnff%bc"g`_sgb`Zubdliii2=7?315>|w}`dd#da in]qadbX{lfnoykk<35=5Z@592p{yd``/hm,mjYumhnThbjcugg87199VM:i6tuhll+li(afUyiljPsdnfgqcc4;25=k5uptkmk*oh'`eT~hok_rgoafrbl5832<<>;{rvmki(af%bcR|jae]paicd|ln7>50>_G02?vrage$eb!fo^pfeaYtmeohxhj329<2[B7b3sz~eca in-jkZtbimUxiakltdf?6<86n2p{yd``/hm,mjYumhnThbjcugg87?99;;0v}{fnn-jk*ohW{ojhR}jldawaa:517;TJ??4zqwjjj)ng&cdSknd^qfh`esmm6953?PG0f8~usnff%bc"g`_sgb`Zubdliii2=>0d8~usnff%bc"g`_sgb`Zubdliii2=>2515>|w}`dd#da in]qadbX{lfnoykk<3<03Z@592p{yd``/hm,mjYumhnThbjcugg8784?VM:i6tuhll+li(afUyiljPsdnfgqcc4::5=k5uptkmk*oh'`eT~hok_rgoafrbl59;2<<>;{rvmki(af%bcR|jae]paicd|ln7?=0>_G02?vrage$eb!fo^pfeaYtmeohxhj331<2[B7b3sz~eca in-jkZtbimUxiakltdf?7486n2p{yd``/hm,mjYumhnThbjcugg86799;;0v}{fnn-jk*ohW{ojhR}jldawaa:497;TJ??4zqwjjj)ng&cdSknd^qfh`esmm68=3?PG0f8~usnff%bc"g`_sgb`Zubdliii2<>0d8~usnff%bc"g`_sgb`Zubdliii2<>2515>|w}`dd#da in]qadbX{lfnoykk<2<03Z@592p{yd``/hm,mjYumhnThbjcugg8684?VM:h6tuhll+li(afUyiljPsdnfgqcc4=4:j6tuhll+li(afUyiljPsdnfgqcc4=48;??4zqwjjj)ng&cdSknd^qfh`esmm6?2>9PF338~usnff%bc"g`_sgb`Zubdliii2;>25\C4b6:4`6:61592p{yd``/hm,mjYumhnThbjcugg8084?VL9=6tuhll+li(afUyiljPsdnfgqcc4<48;RI>d:xsqlhh'`e$ebQ}e`f\w`jbk}oo0;0>f:xsqlhh'`e$ebQ}e`f\w`jbk}oo0;0<7338~usnff%bc"g`_sgb`Zubdliii29>25\B775:61XO8n0v}{fnn-jk*ohW{ojhR}jldawaa:068l0v}{fnn-jk*ohW{ojhR}jldawaa:06:=9=6tuhll+li(afUyiljPsdnfgqcc4>48;RH=1:xsqlhh'`e$ebQ}e`f\w`jbk}oo0:0<7^E2`>|w}`dd#da in]qadbX{lfnoykk<9<2b>|w}`dd#da in]qadbX{lfnoykk<9<0377;:61XN;;0v}{fnn-jk*ohW{ojhR}jldawaa:?6:=TKf:xsqlhh'`e$ebQ}e`f\w`jbk}oo040<7338~usnff%bc"g`_sgb`Zubdliii26>25\B77::61XO8:0v}{fnn-jk*ohWz~i=55uptkmk*oh'`eTylPmtz34566n2p{yd``/hm,mjYt|kUfyu>?013\MKPX8820v}{fnn-jk*ohWz~iSca{01225<=}x|cec"g`/hm\wqdXff~;<=?>f:xsqlhh'`e$ebQxe0c8~usnff%bc"g`_vg\kw6789Ubb{?m;{rvmki(af%bcRyj_np3456Xag|:=h5uptkmk*oh'zfdxRmvc^k\4Zkrp9:;<?_g714>|w}`dd#da smmw[fdW`U:?Rczx12344463sz~eca in-phjrXkpiTeR?<_lw{4567988h7w~ziom,mj)tdf~TotmPi^30[hs89:;=?_g714>|w}`dd#da smmw[fdW`U:S`{w0123544e3sz~eca in-phjrXkpiTeR?Pmtz345669&F^X?QK_CWE5c=}x|cec"g`/rnlpZe~kVcT>Rczx123447a3sz~eca in-phjrXkpiTeR=Pmtz34566:h1q|xgao.kl+vjh|ViroRgP3^ov|56788%GYY:PD^@VB77>219ytpoig&cd#~b`t^azgZoX=Vg~t=>?0031f>|w}`dd#da smmw[fdW`U>S`{w012354)K]]8THRLZF0d8~usnff%bc"}cou]`}fYnW?Ufyu>?0132b>|w}`dd#da smmw[fdW`UQK_CWE57=}x|cec"g`/v`\efmXg8?0v}{fnn-jk*qeWmkSb2?>048~usnff%bc"ym_ecw[j:687;=7w~ziom,mj)pjVnjxRa310<22>|w}`dd#da wc]geqYh4885=;5uptkmk*oh'~hThlzPo=30:402p{yd``/hm,sgYci}Ud0<81179ytpoig&cd#zlPd`v\k97068<0v}{fnn-jk*qeWmkSb2>8?35?vrage$eb!xb^fbpZi;904:96tuhll+li(kUomyQ`<0<22>|w}`dd#da wc]geqYh4;:5=;5uptkmk*oh'~hThlzPo=02:403?9;{rvmki(af%|nRjnt^m?6686>2p{yd``/hm,sgYci}Ud0?:1179ytpoig&cd#zlPd`v\k94268<0v}{fnn-jk*qeWmkSb2=6?35?vrage$eb!xb^fbpZi;:>4::6tuhll+li(kUomyQ`<3:=53=}x|cec"g`/v`\`drXg5822<;4zqwjjj)ng&}iSio{_n>1:400>5:xsqlhh'`e${oQkau]l8186=2p{yd``/hm,sgYci}Ud080>5:xsqlhh'`e${oQkau]l8386=2p{yd``/hm,sgYci}Ud0:0>5:xsqlhh'`e${oQkau]l8=86=2p{yd``/hm,sgYci}Ud040>4:xsqlhh'`e${oQkau]l[5733sz~eca in-tfZbf|VeT=<;4zqwjjj)ng&}iSio{_n]2443|w}`dd#da wc]geqYhW:;:86tuhll+li(kUomyQ`_537?vrage$eb!xb^fbpZiX=8>0v}{fnn-jk*qeWmkSbQ9159ytpoig&cd#zlPd`v\kZ16<2p{yd``/hm,sgYci}UdS5?;;{rvmki(af%|nRjnt^m\=4d|w}`dd#da wskwaZuf~lUz0=0>a:xsqlhh'`e${g{e^qbr`Yv48:5=l5uptkmk*oh'~xbxhQ|awg\u97668k0v}{fnn-jk*qua}oTlxj_p>26;7f3sz~eca in-tvlrbWzk}iR312<2e>|w}`dd#da wskwaZuf~lUz0<:11`9ytpoig&cd#z|ftd]pescXy5;>27;j7w~ziom,mj)pz`~nS~oye^s?5286i2p{yd``/hm,swosmVyjzhQ~<0:=5d=}x|cec"g`/vpjp`YtioT}1?6>0;8~usnff%bc"y}iug\wdpbWx6:20c8~usnff%bc"y}iug\wdpbWx6983?n;{rvmki(af%|~dzj_rcuaZw;:<4:m6tuhll+li({ciR}nvd]r87099h1q|xgao.kl+rtn|lUxm{kPq=04:4g40>9:xsqlhh'`e${g{e^qbr`Yv4;4:m6tuhll+li({ciR}nvd]r86699h1q|xgao.kl+rtn|lUxm{kPq=12:4?0;8~usnff%bc"y}iug\wdpbWx6?2<74zqwjjj)ng&}yeykPs`tf[t:26830v}{fnn-jk*qua}oTlxj_p>5:4?0;8~usnff%bc"y}iug\wdpbWx632<74zqwjjj)ng&}yeykPs`tf[t:>6820v}{fnn-jk*qua}oTlxj_p]35==}x|cec"g`/vpjp`YtioT}R?>9:xsqlhh'`e${g{e^qbr`YvW8::56tuhll+li({ciR}nvd]r[47612p{yd``/hm,swosmVyjzhQ~_002=>|w}`dd#da wskwaZuf~lUzS<=>9:xsqlhh'`e${g{e^qbr`YvW8>:56tuhll+li({ciR}nvd]r[43612p{yd``/hm,swosmVyjzhQ~_042=>|w}`dd#da wskwaZuf~lUzS<9>9:xsqlhh'`e${g{e^qbr`YvW82:56tuhll+li({ciR}nvd]r[4?602p{yd``/hm,swosmVyjzhQ~_33:?vrage$eb!xrhvf[vgqmV{T>=?6;{rvmki(af%|~dzj_rcuaZwX:8;27w~ziom,mj)pz`~nS~oye^s\677>3sz~eca in-tvlrbWzk}iRP223:?vrage$eb!xrhvf[vgqmV{T>9?6;{rvmki(af%|~dzj_rcuaZwX:<;27w~ziom,mj)pz`~nS~oye^s\637>3sz~eca in-tvlrbWzk}iRP263:?vrage$eb!xrhvf[vgqmV{T>5?6;{rvmki(af%|~dzj_rcuaZwX:0;37w~ziom,mj)pz`~nS~oye^s\74?|w}`dd#da wskwaZuf~lUzS;?7;{rvmki(af%|~dzj_rcuaZwX?820v}{fnn-jk*qua}oTlxj_p];5==}x|cec"g`/vpjp`YtioT}R7>e:xsqlhh'`e${g{e^qbr`YvWge<=>>1g9ytpoig&cd#z|ftd]pescXyVddx=>?10f8~usnff%bcRmvc028~usnff%bc`kbmtz,5g=}x|cec"g`mdonq})jW~hTmnePo03`?vrage$ebcjmlw{+v6XkUhunQ`10a8~usnff%bc`kbmtz,w4YpjViroRa>e:xsqlhh'dofcw 1e9ytpoig&gnab|v/lgnERtn|lOemobj1d9ytpoig&gnab|v/lgnERtn|lOemobj10:8~usnff%fi`a}y.ofiGCiikfn=45uptkmk*kbefxr#`kbBDlbfic69m1q|xgao.ofijt~'{kf~hi|.em\sdos:=1q|xgao.ofijt~'{kf~hi|_em\sdosWfx;<=>=5:xsqlhh'dofcw r`oqabuXlfU|mdzPos23457612p{yd``/lgnkw(zhgyc`!}al-17>|w}`dd#`kbos{,vdkugd%ym`!Br`o\VDK79;90v}{fnn-nahiuq&xjaab/scn+HtfeVXJA=<=3:xsqlhh'dofcw r`oqkh)uid%F~lcPR@O2575|w}`dd#`kbos{,vjkXlfU|mdz=1:xsqlhh'dofcw rno\`jYpi`~Taxv?01314>|w}`dd#`kbos{,vjkXlfU|mdzPos2345463sz~eca mdolv|)ugdUocRyniu]lv56788;j7w~ziom,i`khzp%yc`Qxb^c`oZi5:2p{yd``/lgnkw(zfgT{oQncj]l[hs89::>>5uptkmk*kbefxr#ab_v`\efmXgVg~t=>?1001?vrage$ahc`rx-qkhYpjVkhgRaPnnv34575;2p{yd``/lgnkw(zfgT{oQncj]l[kis89::=1q|xgao.pbiZqeWmkSb2>3?34?vrage$~lcPwc]geqYh48>5=:5uptkmk*tfeV}iSio{_n>21;703sz~eca r`o\sgYci}Ud0<81169ytpoig&xjaRym_ecw[j:6?7;<7w~ziom,vdkXkUomyQ`<0:=52=}x|cec"|nm^ua[agsWf6:53?9;{rvmki(zhgT{oQkau]l8486?2p{yd``/scn[rdXlh~Tc1058~usnff%ym`Qxb^fbpZi;:84:;6tuhll+wgjW~hThlzPo=01:41>0>7:xsqlhh'{kfSzlPd`v\k94368=0v}{fnn-qehYpjVnjxRa324<23>|w}`dd#ob_v`\`drXg58=2<94zqwjjj)uidU|nRjnt^m?6286?2p{yd``/scn[rdXlh~Tc1<7>058~usnff%ym`Qxb^fbpZi;:04::6tuhll+wgjW~hThlzPo=0=52=}x|cec"|nm^ua[agsWf68<3?8;{rvmki(zhgT{oQkau]l86799?1q|xgao.pbiZqeWmkSb2<>048~usnff%ym`Qxb^fbpZi;<7;=7w~ziom,vdkXkUomyQ`<4<22>|w}`dd#ob_v`\`drXg5<5=;5uptkmk*tfeV}iSio{_n>4:4011048~usnff%yc`Qxb^fbpZi;87;<7w~ziom,vjkXkUomyQ`<02=52=}x|cec"|`m^ua[agsWf6:=3?8;{rvmki(zfgT{oQkau]l84499>1q|xgao.pliZqeWmkSb2>3?34?vrage$~bcPwc]geqYh48>5=:5uptkmk*theV}iSio{_n>21;703sz~eca rno\sgYci}Ud0<81169ytpoig&xdaRym_ecw[j:6?7;<7w~ziom,vjkXkUomyQ`<0:=52=}x|cec"|`m^ua[agsWf6:53?9;{rvmki(zfgT{oQkau]l8486?2p{yd``/smn[rdXlh~Tc1058~usnff%yc`Qxb^fbpZi;:84:;6tuhll+wijW~hThlzPo=01:41>0>7:xsqlhh'{efSzlPd`v\k94368=0v}{fnn-qkhYpjVnjxRa324<23>|w}`dd#ab_v`\`drXg58=2<94zqwjjj)ugdU|nRjnt^m?6286?2p{yd``/smn[rdXlh~Tc1<7>058~usnff%yc`Qxb^fbpZi;:04::6tuhll+wijW~hThlzPo=0=52=}x|cec"|`m^ua[agsWf68<3?8;{rvmki(zfgT{oQkau]l86799?1q|xgao.pliZqeWmkSb2<>048~usnff%yc`Qxb^fbpZi;<7;=7w~ziom,vjkXkUomyQ`<4<22>|w}`dd#ab_v`\`drXg5<5=;5uptkmk*theV}iSio{_n>4:403?9;{rvmki({}khgRoPaefq8686>2p{yd``/rvbgnYfWhno~1:1179ytpoig&ymnePa^cg`w:268<0v}{fnn-ppdelWhUjhi|36?35?vrage$yolk^c\eabu4>4::6tuhll+vrfkbUjSljkr=:=53=}x|cec"}{abi\eZgcl{622<;4zqwjjj)t|hi`SlQjn``oa401q|xgao.qwefmXiVxnmi2>1?34?vrage$yolk^c\v`gc4885=:5uptkmk*usijaTmR|jae>27;703sz~eca suc`oZgXzlko0<:1169ytpoig&ymnePa^pfea:6=7;<7w~ziom,wqgdcVkT~hok<04=52=}x|cec"}{abi\eZtbim6:;3?8;{rvmki({}khgRoPrdcg84>99>1q|xgao.qwefmXiVxnmi2>9?35?vrage$yolk^c\v`gc484:;6tuhll+vrfkbUjSknd=03:41<0>7:xsqlhh'z~jofQn_sgb`94568=0v}{fnn-ppdelWhUyilj322<23>|w}`dd#~zncj]b[wcfl58?2<94zqwjjj)t|hi`SlQ}e`f?6086?2p{yd``/rvbgnYfW{ojh1<9>058~usnff%xxlmd_`]qadb;:>4:;6tuhll+vrfkbUjSknd=0;:4140>6:xsqlhh'z~jofQn_sgb`9499>1q|xgao.qwefmXiVxnmi2<0?34?vrage$yolk^c\v`gc4:;5=;5uptkmk*usijaTmR|jae>0:402p{yd``/rvbgnYfW{ojh181179ytpoig&ymnePa^pfea:068<0v}{fnn-ppdelWhUyilj38?35?vrage$yolk^c\v`gc404:;6tuhll+vrfkbUjSz|ftd>3:4>1199ytpoig&ymnePa^uqmqc;984:46tuhll+vrfkbUjSz|ftd>26;7?3sz~eca suc`oZgX{ci1?<>0:8~usnff%xxlmd_`]tvlrb48>5=55uptkmk*usijaTmRy}iug?508602p{yd``/rvbgnYfW~xbxh2>6?3;?vrage$yolk^c\swosm5;<2<64zqwjjj)t|hi`SlQxrhvf84>9911q|xgao.qwefmXiV}yeyk318<23>|w}`dd#~zncj]b[rtn|l6:2<64zqwjjj)t|hi`SlQxrhvf8769911q|xgao.qwefmXiV}yeyk320<2<>|w}`dd#~zncj]b[rtn|l69>3?7;{rvmki({}khgRoPwskwa9446820v}{fnn-ppdelWhU|~dzj<36=5==}x|cec"}{abi\eZqua}o7>80>8:xsqlhh'z~jofQn_vpjp`:5>7;37w~ziom,wqgdcVkT{g{e=04:4>1:4>>1199ytpoig&ymnePa^uqmqc;;84:;6tuhll+vrfkbUjSz|ftd>0:417:xsqlhh'z~jofQn_vpjp`:268=0v}{fnn-ppdelWhU|~dzj<7<23>|w}`dd#~zncj]b[rtn|l6<2<94zqwjjj)t|hi`SlQxrhvf8=86?2p{yd``/rvbgnYfW~xbxh26>0c8~usnff%xxlmd_`]tvlrbmgki`h?8;{rvmki({}khgRlPaefq8469911q|xgao.qwefmXjVkoh2>0?322>|w}`dd#~zncj]a[dbcz585=:5uptkmk*usijaTnRokds>1:4713sz~eca suc`oZdXimny0>0>7:xsqlhh'z~jofQm_`fgv95998<0v}{fnn-ppdelWkUjhi|34?34?vrage$yolk^`\eabu4=4:=;5uptkmk*usijaTnRokds>6:416:xsqlhh'z~jofQm_`fgv9099>1q|xgao.qwefmXjVkoh29>035?vrage$yolk^`\eabu4>4:;6tuhll+vrfkbUiSljkr=5=540058~usnff%xxlmd_c]b`at;17;:96tuhll+vrfkbUiSh`nbmg22>|w}`dd#~zncj]a[wcfl5:5=:5uptkmk*usijaTnR|jae>24;703sz~eca suc`oZdXzlko01q|xgao.qwefmXjVxnmi2>6?34?vrage$yolk^`\v`gc48=5=:5uptkmk*usijaTnR|jae>2<;703sz~eca suc`oZdXzlko0<71179ytpoig&ymnePb^pfea:668=0v}{fnn-ppdelWkUyilj321<23>|w}`dd#~zncj]a[wcfl58:2<94zqwjjj)t|hi`SoQ}e`f?6786?2p{yd``/rvbgnYeW{ojh1<<>058~usnff%xxlmd_c]qadb;:=4:;6tuhll+vrfkbUiSknd=06:41;0>7:xsqlhh'z~jofQm_sgb`94068=0v}{fnn-ppdelWkUyilj329<23>|w}`dd#~zncj]a[wcfl5822<84zqwjjj)t|hi`SoQ}e`f?6;703sz~eca suc`oZdXzlko0>>1169ytpoig&ymnePb^pfea:497;=7w~ziom,wqgdcVhT~hok<2<22>|w}`dd#~zncj]a[wcfl5>5=;5uptkmk*usijaTnR|jae>6:402p{yd``/rvbgnYeW{ojh161179ytpoig&ymnePb^pfea:>6m1q|xgao.ua[deluIJ{>;;nb:BC|44?j3L1;7?tS31e>d1?2>=>6<=<05;a>c1c1rdj;;4>;oc43?0<,h<26l;n;|Q17g3227=g:01041?e2oi=j6];63826=7=:3;8?=:6b;d`31=T<>=1=?6>:657>4548=3i6km8d:Q17g<6:1;1>7?<316:f?`d?m1o=?6m:182>7}T::l1m:6576795657<0h1jl?j;%03a?4e:81C?l:4n55:>066?>l?96*;7981f77<,==j6:9;;wV733<7280:6?:66zQ17c3227=g:09v0=4=82w/4kj5979'7g3=82.8n>4<3958 6d32=?37o?=8c83>61=::396?:96zJ:e0=#1h91=?6m;[03b?5|>?0=9788:|lb31<73"k;=7>5$821><`>3g3;=764;*c36?6=,0:964h6;o;35?1<3"k;?7>5$821><`>3g3;=784;*;e0?6=,0:964h6;o;35?3<3"3m97>5$821><`>3g3;=7:4;*;e2?6=,0:964h6;o;35?5<3"3m;7>5$821><`>3g3;=7<4;*;e5$821><`>3g3;=7>4;*;51?6=3"3m?7>5$821><`>3g3;=7h4;*;ef?6=,0:964h6;o;35?c<3"3mo7>5$821><`>3g3;=7j4;*;e`?6=,0:964h6;o;35?e<3"3mi7>5$821><`>3g3;=7l4;*;eb?6=,0:964h6;o;35?g<3"k;<7>5$821><`>3g3;=774;h;52?6=3`8997>5;h;12?6=3f3j>7>5;n;5=?6=3f3=47>5;*;a=?6=,0:964l7;o;35?6<3"3i;7>5$821>5$821>5$821>5$821><3"3ij7>5$821>5$821>5$821>7>5$821>5$821>d7d3g3;=7?4;*c2e?6=,0:96l?l;o;35?4<3"k:57>5$821>d7d3g3;=7=4;*c25$821>d7d3g3;=7;4;*c12?6=,0:96l?l;o;35?0<3"k997>5$821>d7d3g3;=794;*c10?6=,0:96l?l;o;35?><3"k9?7>5$821>d7d3g3;=774;*c16?6=,0:96l?l;o;35?g<3"k9=7>5$821>d7d3g3;=7l4;*c14?6=,0:96l?l;o;35?e<3"k:j7>5$821>d7d3g3;=7j4;*c2a?6=,0:96l?l;o;35?c<3"k::7>5$821>d7d3g3;=7h4;cc6a?7=93:1{ei8145k4$542>65?>2dj;94=;%;5g?750k1d44?50;&b37=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;18 <0d2;=3;6g=1083>!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c6064<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?2<,00:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg249<0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3?0(48l:5124>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=9m54>:783>5}#1h91?nm4H`7g?M?f=2.j;?4=5+473976>13gk<8784$84`>16?12c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c61e<<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?1<,079:k154<72-k<>7<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=9n94>:783>5}#1h91?nm4H`7g?M?f=2.j;?4=5+473976>13gk<8764$84`>16?12c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c61fc<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40??<,079:k154<72-k<>7<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=9o?4>:783>5}#1h91?nm4H`7g?M?f=2.j;?4=5+473976>13gk<87o4$84`>16?12c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c61g6<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?d<,079:k154<72-k<>7<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=9o94>:783>5}#1h91?nm4H`7g?M?f=2.j;?4=5+473976>13gk<87m4$84`>16?12c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c61g0<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?b<,079:k154<72-k<>7<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=9o;4>:783>5}#1h91?nm4H`7g?M?f=2.j;?4=5+473976>13gk<87k4$84`>16?12c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c61g2<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?`<,079:k154<72-k<>7<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=9ml4>:783>5}#1h91?nm4H`7g?M?f=2.j;?4=5+473976>13gk<87??;%;5g?27001b>!g0:38:o65f91794?"f?;029n54i31b>5<#i>815?<4;|`76dd=93<1<7>t$8c0>6ed3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<692.2:n4;09;8m776290/m:<52378?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=6=4+a609=0e<3`88m7>5$`51><4532wi8?ol:085>5<7s-3j?7=lc:Jb1a=O1h?0(l9=:2fb?!26;390D41;29 d152;8>76g=4e83>!g0:3>;;65`91f94?"f?;035=54i33`>5<#i>81>77=2:9~f14fl3;1:7>50z&:e6<4kj1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132890(48l:52;=>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>77d32c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:=ad82>3<729q/5l=53ba8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;37?!?1k3>;445f20394?"f?;09>854i36g>5<#i>818=94;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=53=83.j;?465b98m75f290/m:<59308?xd3:hl1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0:96*66b874=?5$`51>16032e2>821b>::18'e24=14<1290;w)7n3;1`g>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66953=#1?i18=66;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m77d290/m:<520a8?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj=8i=7?56;294~">i:08on5Ga4f8L7=ka:&756<43A39<6*;60807=016?12c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c61f7<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?7?3-3=o7:?889j647=83.j;?4=2498m72c290/m:<54158?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n19?1<7*n738:1f=o=51;494?6|,0k86>ml;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94>9:&:2f<38130e??>:18'e24=:;?07d<;d;29 d152=:<76a60e83>!g0:322<65f20a94?"f?;09=n54i826>5<#i>8158m4;h00e?6=,h=964<=;:a07d2280=6=4?{%;b7?5dk2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9h1/5;m541::?l4693:1(l9=:306?>o5=h19n1<7*n738;=5=5$`51><3d32c9?l4?:%c46??5:21vn947)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:0`8 <0d2=:356g=1083>!g0:389965f25f94?"f?;0?<:54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:40<72-k<>77:c:9j66g=83.j;?462398yg25j>0:6;4?:1y'=d5=;ji0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;h7)79c;63<<=n:8;1<7*n738160=o6=4+a609051<3f3;h7>5$`51>=?732c9=n4?:%c46?46k21b5=;50;&b37<>=j10e?=n:18'e24=1;807pl;2c:95?0=83:p(4o<:2a`?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n7582`>">>j0?<574i332>5<#i>81>?;4;h07`?6=,h=969>8;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<62290/m:<594a8?l44i3:1(l9=:801?>{e<;h26<49:183!?f;39ho6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=h5+97a905>>3`8:=7>5$`51>74232c98i4?:%c46?27?21d5=j50;&b37!g0:339>65rb50ae?7=>3:14<;I;14>"3>808?584n`57>4`<,079:k154<72-k<>7<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=9no4>:783>5}#1h91?nm4H`7g?M?f=2.j;?4=5+473976>13gk<87!g0:38:o65f91794?"f?;029n54i31b>5<#i>815?<4;|`76ge=93<1<7>t$8c0>6ed3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<592.2:n4;09;8m776290/m:<52378?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=6=4+a609=0e<3`88m7>5$`51><4532wi8?lk:085>5<7s-3j?7=lc:Jb1a=O1h?0(l9=:2fb?!26;390D41;29 d152;8>76g=4e83>!g0:3>;;65`91f94?"f?;035=54i33`>5<#i>81>77=2:9~f14em3;1:7>50z&:e6<4kj1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132;90(48l:52;=>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>77d32c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:=c182>3<729q/5l=53ba8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;07?!?1k3>;445f20394?"f?;09>854i36g>5<#i>818=94;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=53=83.j;?465b98m75f290/m:<59308?xd3:j;1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0996*66b874=?5$`51>16032e2>821b>::18'e24=14Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa66963=#1?i18=66;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f14103;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132;=0(48l:52;=>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=61182>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;0;?!?1k398h85f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`0t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<512.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>5hi:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D42<7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>7d<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4>880:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<38h7)79c;04<2=n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94=d:&:2f<5?1=0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm29gf>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa6696`=#1?i1>:68;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f7>a:3;147>50z&:e6<4l81Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132;l0(48l:35;3>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=4>:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87=?;%;5g?400>1b>!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=8g695?>=83:p(4o<:2f2?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n75805>">>j09;594i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6=g>280=6=4?{%;b7?5dk2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=;;1/5;m529:0?l4693:1(l9=:306?>o5=h19n1<7*n738;=5=5$`51><3d32c9?l4?:%c46??5:21vn?6lc;392?6=8r.2m>47)o82;1ge>"39:0>96*;60807=0=4$84`>71??2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>::18'e24=1!g0:38:o6F6a598m<45290/m:<59308L5m9:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D42<7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>63<,00:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg250>0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<39=7)79c;63<<=n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94<7:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=6a280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=;11/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>6>a;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:2;8 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?:<0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<39j7)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<83:95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n7580f>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;1826<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1?n5+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2:1e?7=>3:14<;I;14>"3>808?584n`57>6b<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=o4>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87=j;%;5g?54l<1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0<7e=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<4n2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?55<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D47d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6>683;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132=;0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=71082>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;61?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd40881=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0??6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66901=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:2:87?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;50<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?213-3=o7=i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94;7:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=70280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=<11/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>6>8;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:5;8 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?900:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3>j7)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<80`95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n7587f>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;1;h6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>18n5+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2:2`?7=>3:14<;I;14>"3>808?584n`57>1b<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87:j;%;5g?54l<1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0<4`=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<3n2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?55<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D47d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6>593;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132<;0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=72382>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;71?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd40;91=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0>?6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66911=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:29:7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;62<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?313-3=o7=i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94:7:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7377280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22==11/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>8>5;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:4;8 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg519?0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3?j7)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<60595?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n7586f>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;?;36<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>19n5+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb242=?7=>3:14<;I;14>"3>808?584n`57>0b<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87;j;%;5g?54l<1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0251=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<2n2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?;>7:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D47d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f60713;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132?;0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=90`82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;41?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4>9h1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0=?6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66921=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:<;h7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c154`<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?013-3=o7=i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;9497:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7376280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=>11/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>8>2;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:7;8 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg519:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<60695?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n7585f>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;?:?6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1:n5+97a976b23`8:=7>5$`51>74232c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L847)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:7f8 <0d2:9o96g=1083>!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c157c<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?0b3-3=o7=i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598m<3>290/m:<59618?xd4>=>1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0=j6*66b807a35$`51>16032c257>5$`51><1432wi?;:::08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D47d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>27<,0
    =k5:k154<72-k<>7<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg51<>0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3=97)79c;10`0=n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;9483:&:2f<4;m?0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm376:>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa66931=#1?i1?>j:;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f603i3;147>50z&:e6<4l81Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132>?0(48l:21g1>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<8799;%;5g?54l<1b>!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl<65295?>=83:p(4o<:2f2?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n75843>">>j08?i;4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a732628036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=?11/5;m532f6?l4693:1(l9=:306?>o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj:7?58;294~">i:08h<5Ga4f8L77:e:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=94282>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;5b?!?1k398h85f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`023>=9321<7>t$8c0>6b63Ak>h6F6a49'e24=1=76:lb31<0j2.2:n4<3e78m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e;1>m6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1;n5+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2414<;I;14>"3>808?584n`57>2b<,0
    =k5:k154<72-k<>7<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg519h0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3=n7)79c;10`0=n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;948f:&:2f<4;m?0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm3703>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669<5=#1?i1?>j:;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f60593;147>50z&:e6<4l81Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd1321;0(48l:21g1>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=?4>:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<876=;%;5g?54l<1b>!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl<63195?>=83:p(4o<:2f2?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758;7>">>j08?i;4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a734328036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=0=1/5;m532f6?l4693:1(l9=:306?>o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj:<997?58;294~">i:08h<5Ga4f8L77:e:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=92782>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;:5?!?1k398h85f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`0271=9321<7>t$8c0>6b63Ak>h6F6a49'e24=1=76:lb31o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e;?;h6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1455+97a976b23`8:=7>5$`51>74232c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L8>d;3947)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:9;8 <0d2:9o96g=1083>!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c155`<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?>f3-3=o7=i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598m<3>290/m:<59618?xd4>8l1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=03n6*66b807a35$`51>16032c257>5$`51><1432wi?;:l:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D47d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f603l3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd1321n0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=94d82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;:f?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4>=l1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=03j6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669=5=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj=:>m7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c14f`<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40??53-3=o7=i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;9463:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a72ef280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=1=1/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>9k5;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:878 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg50l10:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<33=7)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<7e;95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758:3>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;>nj6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1555+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb25gf?7=>3:14<;I;14>"3>808?584n`57>=k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<877n;%;5g?54l<1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`03ab=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<>j2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?:m?:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D47d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f61d93;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd1320n0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=8c382>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;;f?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4?j91=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=02j6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669e5=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:=h97?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c14g3<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?g53-3=o7=i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94n3:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a72e?280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=i=1/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>9l9;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:`78 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg50kk0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3k=7)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<7ba95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758b3>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;>io6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1m55+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb25`a?7=>3:14<;I;14>"3>808?584n`57>d?<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87on;%;5g?54l<1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`03a6=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?:j>:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D47d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f61c:3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132hn0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=8d282>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;cf?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4?m>1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0jj6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669f5=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:=o;7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c156<<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?d53-3=o7=i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94m3:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a734e280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=j=1/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>8=c;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:c78 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg51:m0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3h=7)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<63g95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758a3>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;?8m6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1n55+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2;2f?7=03:14<;I;14>"3>808?584n`57>g?<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg5>9j0:654?:1y'=d5=;m<0Dl;k;I;b1>"f?;029h5+401910=#69;oc40?df3-3=o7=o>=00;6)o82;;47>=h19n1<7*n738;=5=!g0:339>6F6a598m<17290/m:<59628L5<7s-3j?7=k6:Jb1a=O1h?0(l9=:87f?!26;3?>7):91;10<3=ii>>1no5+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4;6:18'e24=1>907b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L=l1/8<=5549'037=;:2=7co84;``?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:k:1<<72-k<>7783:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i853>5<#i>815:>4H8c7?>{e;0;m6<47:183!?f;39o:6Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=jm1/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>?90;6)o82;;44>N>i=10qo=62182>=<729q/5l=53e48Ld3c3A3j96*n738:1`=#<891985+473976>13gk<87lj;%;5g?54l<1b>!g0:335<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e49?:18'e24=1>:0D4o;;:a7<4628036=4?{%;b7?5c>2Bj9i5G9`78 d1520?n7):>3;76?!2193984;5aa669fc=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=?4>:983>5}#1h91?i84H`7g?M?f=2.j;?465d9'045==<1/8;?532:5?kg0<3i;7)79c;10`0=n:8;1<7*n738160=5$`51>16032c2944?:%c46??0;21d5=j50;&b3777=2:J:e1=4Nf=m1C5l;4$`51><3b3->:?7;:;%655?540?1em::5c09'=3e=;:n>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i87:>5<#i>815:=4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:33<<6F6a598yg51=80:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3i97)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<64095?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`7>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;??86<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1o95+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2462?7=>3:14<;I;14>"3>808?584n`57>f3<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87m9;%;5g?54l<1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0236=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?;8>:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D47d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f601:3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132j30(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=96282>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;ab?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4>?>1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0hn6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669gf=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:<=:7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1522<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?eb3-3=o7=i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94lf:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a733>280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=l91/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>8:a;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e38 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg51=k0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3n97)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<64a95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758g7>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;??o6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1h95+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb246a?7=>3:14<;I;14>"3>808?584n`57>a3<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87j9;%;5g?54l<1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0<1c=9321<7>t$8c0>6b63Ak>h6F6a49'e24=1=76:lb31o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e;>ho6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1h55+97a976b23`8:=7>5$`51>74232c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308Lonb;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e;8 <0d2:32j6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5fil0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3nj7)79c;1:=c=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=8b;95?0=83:p(4o<:2f3?Mg2l2B2m85+a6097ag<,=;868;4$542>65?>2dj;94kb:&:2f<5?1=0e??>:18'e24=:;?07d<;d;29 d152=:<76g60483>!g0:33>o65`91f94?"f?;035=54i33`>5<#i>81>o>:;0;6)o82;;16>N>i=10qo=61382>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;f`?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd41891=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0oh6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669``=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:3:97?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1:53<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?c73-3=o7=i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94j1:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7<7?280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=m;1/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>7>9;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d18 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5>980:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3o?7)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<62295?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758f1>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a735628036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=m?1/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj:<8:7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=93682>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;g;?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`026>=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e;?926<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1il5+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L847)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:d`8 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c157g<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?cd3-3=o7=o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd4>:i1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0nh6*66b807a35$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi?;=k:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D47d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>``<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg51;:0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3l;7)79c;10`0=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=:51;:94?6|,0k86>j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94i1:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm3716>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669b7=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f6>aj3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132o90(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=7fb82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;d7?!?1k398h85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd40on1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0m96*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669b3=#1?i1?>j:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:2mj7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=065c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1:45<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?`?3-3=o7=i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94i9:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7<44280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=nh1/5;m532f6?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>7=4;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:g`8 <0d2:9o96g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5>:m0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3lh7)79c;10`0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<93g95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758e`>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;08m6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1jh5+97a976b23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2;04?7=>3:14<;I;14>"3>808?584n`57>c`<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87??0:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7<55280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=99;0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=63282>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;336>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;09?6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1===4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1:60<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?77<2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?4<9:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5>:>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;;:6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669551<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=44>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87??8:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7<4f280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9930(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=62c82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;33e>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;08h6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1==l4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;`2<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?77k2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?5kl:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?mm0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;;i6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66955`<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=4>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?>0:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=`3280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=98;0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=7f482>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;326>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;1l=6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=<=4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;b2<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?76<2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?5h7:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?n00:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;::6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669541<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?>8:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=`7280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9830(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=7f082>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;32e>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;18n6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;6c<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?76k2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?5=n:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?<<0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;:i6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66954`<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?=0:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=2f280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9;;0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=74c82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;316>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;1>h6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=?=4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;0a<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?75<2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?5=?:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?;80:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;9:6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669571<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=4>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?=8:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=53280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9;30(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=73482>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;31e>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;19=6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=?l4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;72<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?75k2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?5=7:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?;00:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;9i6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66957`<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?<0:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=5c280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9:;0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=73d82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;306>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;19m6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=>=4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;05<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?74<2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?5:>:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?<;0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;8:6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669561<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?<8:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=21280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9:30(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=74682>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;30e>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;09>6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1=>l4$84`>65c=2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=7d982>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;30g>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;1o96<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=>j4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;a2<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?74m2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?5k7:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?m00:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;?<6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669517<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?;2:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=b>280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9=90(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=7d`82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;370>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;1ni6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=9;4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;`f<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?73>2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?5jk:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5?ll0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;?46*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66951?<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?;a:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7=c6280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9=h0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=7e282>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;37g>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;1o?6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=9j4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1;a0<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?73m2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?5k9:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5fj80:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3;><6*66b80=<`5$`51>16032c257>5$`51><1432wi>5h::08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0:76<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?72:2.2:n4=7958m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:1>;6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=8=4$84`>71??2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1:47<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?72<2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?4><:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5>810:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;>:6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669501<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?:8:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7<6e280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9<30(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo=60b82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;36e>">>j08?i;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e;0:o6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=8l4$84`>65c=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c1:4`<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?72k2.2:n4<3e78m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi?4>i:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg5>8=0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;>i6*66b807a35$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66950`<,0
    =k5:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?90:&:2f<4;m?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a7<60280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9?;0(48l:21g1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:=e882>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;356>">>j0?<574i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<;oj6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=;=4$84`>16?12c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c61b0<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?71<2.2:n4;09;8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi8>>?:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg248:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;=:6*66b874=?5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669531<,079:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=8<84>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?98:&:2f<38130e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a0661280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9?30(48l:52;=>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:<0682>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;35e>">>j0?<574i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<::36<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=;l4$84`>16?12c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c61ag<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?71k2.2:n4;09;8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi8?kl:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg25mm0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;=i6*66b874=?5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66953`<,079:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=9ik4>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?80:&:2f<38130e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a07`7280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9>;0(48l:52;=>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:=f082>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;346>">>j0?<574i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<;l96<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=:=4$84`>16?12c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c61b6<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?70<2.2:n4;09;8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi8?h;:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg25n?0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;<:6*66b874=?5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669521<,079:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=9j54>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?88:&:2f<38130e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a07`>280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9>30(48l:52;=>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:=f`82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;34e>">>j0?<574i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<;li6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=:l4$84`>16?12c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c61bf<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?70k2.2:n4;09;8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi8?hk:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg25nl0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66952`<,079:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=8<<4>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?70:&:2f<38130e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a0665280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=91;0(48l:52;=>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:?ag82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;3;6>">>j0?<574i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<9h?6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=5=4$84`>16?12c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c63f0<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?7?<2.2:n4;09;8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi8=l9:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg27j>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;3:6*66b874=?5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa6695=1<,079:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=;n44>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?78:&:2f<38130e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a05df280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9130(48l:52;=>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:?a982>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;3;e>">>j0?<574i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<9k26<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=5l4$84`>16?12c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c63ed<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?7?k2.2:n4;09;8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi8=om:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg27ij0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;3i6*66b874=?5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa6695=`<,079:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=;mh4>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?60:&:2f<38130e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a05d7280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=90;0(48l:52;=>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:?b082>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;3:6>">>j0?<574i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<9h96<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=4=4$84`>16?12c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c63f6<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?7><2.2:n4;09;8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi85<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg25>m0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;2:6*66b874=?5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`g>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa6695<1<,07<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?68:&:2f<410l0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5<7b28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=9030(48l:0:6g>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87?6a:&:2f<5??h0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm19;`>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::518`8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0;`?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>ek3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c4>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::518g8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82347?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0;e?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d?3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`1>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51`38 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj822?7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0c1?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>c:3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`6>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51`68 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82297?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0c6?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>c=3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`5>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51`58 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj822:7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0c;?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>c>3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`4>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51`c8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj822;7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0ca?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>c?3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`;>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51`f8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82247?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0cf?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>c03;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`:>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51c28 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82257?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0`2?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>c13;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`b>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51c18 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj822m7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0`7?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>ci3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`a>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51c48 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj822n7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0`4?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>cj3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19;g>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51c;8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0`b?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>el3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19;f>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51ca8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0`g?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>em3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19;e>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51cd8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823<7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0a3?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>en3;1?7>50z&:e6n6*;60807=0;%;5g?7?=j1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c3>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51b08 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823=7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0a0?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d83;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c2>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51b78 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823>7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0a5?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d93;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c1>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51b:8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823?7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0a:?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d:3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c0>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51b`8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82387?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0a`?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d;3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c7>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51bg8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82397?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0ae?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d<3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c6>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51e38 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823:7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0f1?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d=3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c5>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51e68 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823;7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0f6?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d>3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c;>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51e58 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82357?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0f;?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d03;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c:>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51ec8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823m7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0fa?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>d13;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19cb>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51ef8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823n7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0ff?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>di3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19ca>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51d28 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823o7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0g2?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>dj3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19c`>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51d18 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823h7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0g7?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>dk3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19cg>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51d48 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823i7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0g4?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>dl3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19cf>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51d;8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj823j7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0gb?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>dm3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19ce>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51da8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj822<7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0gg?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>dn3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`3>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51dd8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj822=7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0d3?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>c83;1?7>50z&:e6n6*;60807=0;%;5g?7?=j1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`2>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51g08 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj822>7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0d0?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>c93;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`0>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51g78 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82287?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0d5?!?1k3;39n5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4>c;3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm19`7>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::51g:8 <0d282>o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj82o87?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:0d:?!?1k3;39n5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a750z&:e6<3?j1Cm8j4H8c6?_47n3?p:5499;4b><4=>k0v(???:3da?k7?m3;0b?>m:19m=51=92d2<54?;I;:f>"f?;0?;n5+1969=0b<,=<:6>=76:lb31<6nh1/5;m538;e?l46n3:17d<=0;29?l4593:17d<=5;29L<6432c2>>4?::k:61<722c2>84?::k26c<72-3;>7?=e:l:44<73-==j7??a:l42`<6921b=?j50;&:47<6:l1e5=?51:&42c<68h1e;;k51198m44d290/5=<513g8j<662;1/;;h511c8j20b2o10e<>:29'33`=99k0b:8j:d98m44f290/5=<513g8j<662=1/;;h511c8j20b2m10e<<6:18'=54=9;o0b4>>:49'33`=99k0b:8j:b98m44?290/5=<513g8j<662?1/;;h511c8j20b2k10e<<8:18'=54=9;o0b4>>:69'33`=99k0b:8j:`98m45?290/5=<513g8j<66211/;;h511c8j20b2010e<=8:18'=54=9;o0b4>>:89'33`=99k0b:8j:998m451290/5=<513g8j<662h1/;;h511c8j20b2>10e<=::18'=54=9;o0b4>>:c9'33`=99k0b:8j:798m453290/5=<513g8j<662j1/;;h511c8j20b2<10e<=<:18'=54=9;o0b4>>:e9'33`=99k0b:8j:598m455290/5=<513g8j<662l1/;;h511c8j20b2:10e<=>:18'=54=9;o0b4>>:g9'33`=99k0b:8j:398m457290/5=<513g8j<6628:0(:8i:02b?k11m3;07d?=6;29 <65288n7c7?1;32?!11n3;;m6`86d83?>o6j90;6)7?2;3bb>h>880;7)99f;3:4>h0>l0:=65f1`g94?">8;0:mk5a91395>"0>o0:5=5a77g955=5<#1981=lh4n822>6=#??l1=4>4n64f>`=5<#1981=lh4n822>0=#??l1=4>4n64f>f=5<#1981=lh4n822>2=#??l1=4>4n64f>d=5<#1981=lh4n822><=#??l1=4>4n64f>==5<#1981=lh4n822>g=#??l1=4>4n64f>3=6=4+91095d`5<#1981=lh4n822>a=#??l1=4>4n64f>1=54i0`1>5<#1981=lh4n822>c=#??l1=4>4n64f>7=4$64e>4?73g==i7?4;h3b3?6=,0:961:9j5a0=83.2d69m=57=92.<:k4>bb9m33c=9910e>:39'33`=9ki0b:8j:g98m4b3290/5=<51e58j<662:1/;;h51ca8j20b2l10e>:59'33`=9ki0b:8j:e98m4b5290/5=<51e58j<662<1/;;h51ca8j20b2j10e:18'=54=9m=0b4>>:79'33`=9ki0b:8j:c98m4b7290/5=<51e58j<662>1/;;h51ca8j20b2h10e:18'=54=9m=0b4>>:99'33`=9ki0b:8j:898m4c7290/5=<51e58j<66201/;;h51ca8j20b2110e>:`9'33`=9ki0b:8j:698m4bb290/5=<51e58j<662k1/;;h51ca8j20b2?10e>:b9'33`=9ki0b:8j:498m4bd290/5=<51e58j<662m1/;;h51ca8j20b2=10e>:d9'33`=9ki0b:8j:298m4bf290/5=<51e58j<662o1/;;h51ca8j20b2;10e>:028 20a28hh7c99e;38?l7dn3:1(4>=:0f4?k?793;:7)99f;3ag>h0>l0;76a7f583>!?7:32m?6`60083?!11n322=6`86d817>=h0o81<7*6038;b6=i19;1=6*86g8;=4=i??o1>?54o9d2>5<#19814k=4n822>7=#??l144?4n64f>77<3f2m<7>5$821>=`43g3;=7=4$64e>=?63g==i776i3:l:44<33-==j7661:l42`<6n21d4hk50;&:47i?mh0;6)7?2;:e7>h>88037)99f;::5>h0>l0:n65`8d;94?">8;03j>5a9139=>"0>o035<5a77g95d=;o55a?7?32e3i;4?:%;36?>a;2d2<<4l;%55b?>>92d<:h4>7:9l<`3=83.2>:d9'33`=00;0b:8j:078?j>b;3:1(4>=:9d0?k?793l0(:8i:9;2?k11m3;?76a7e083>!?7:32m?6`600824>"0>o035<5a77g956==?63g==i7?=;:m;`c<72-3;>76i3:l:44<6:2.<:k47909m33c=9810c5jj:18'=54=0o90b4>>:018 20a213:7c99e;33?>i?lm0;6)7?2;:e7>h>880:86*86g8;=4=i??o1j65`8ea94?">8;03j>5a913950=#??l144?4n64f>`==?63g==i7j4;n:ge?6=,0:965h<;o;35?703-==j7661:l42`a;2d2<<4>8:&42c=83.2>:0c8 20a213:7c99e;;8?j>ai3:1(4>=:9d0?k?793;i7)99f;::5>h0>l0376a7f883>!?7:32m?6`60082g>"0>o035<5a77g93>=h0o21<7*6038;b6=i19;1=i5+77d9<<75<#19814k=4n822>4c<,>;o55a?3<3f2m:7>5$821>=`43g3;=7?i;%55b?>>92d<:h4;;:m;b0<72-3;>76i3:l:44<582.<:k47909m33c=;21d4hj50;&:47i6nl0;6)7?2;3e`>h>880;7)99f;3f6>h0>l0:=65`1ga94?">8;0:ji5a91395>"0>o0:i?5a77g955=5<#1981=kj4n822>6=#??l1=h<4n64f>`=5<#1981=kj4n822>0=#??l1=h<4n64f>f=5<#1981=kj4n822>2=#??l1=h<4n64f>d=5<#1981=kj4n822><=#??l1=h<4n64f>==6=4+91095cb5<#1981=kj4n822>g=#??l1=h<4n64f>3=5<#1981=kj4n822>a=#??l1=h<4n64f>1=54o323>5<#1981=kj4n822>c=#??l1=h<4n64f>7=4$64e>4c53g==i7?4;n3e1?6=,0:964;7b9Ke0b<@0k>7Wb281e5=951:l:4=<73A32n6*n73873f=#91>158j4$542>65?>2dj;94>fc9'=3e=;03m7d<>f;29?l4583:17d<=1;29?l45=3:1D4><;:k:66<722c2>94?::k:60<722c:>k4?:%;36?75m2d2<<4?;%55b?77i2d<:h4>1:9j57b=83.22d9m=57=92.<:k4>0`9m33c=9910e<>:39'33`=99k0b:8j:g98m44e290/5=<513g8j<662:1/;;h511c8j20b2l10e<>:59'33`=99k0b:8j:e98m44>290/5=<513g8j<662<1/;;h511c8j20b2j10e<<7:18'=54=9;o0b4>>:79'33`=99k0b:8j:c98m440290/5=<513g8j<662>1/;;h511c8j20b2h10e<=7:18'=54=9;o0b4>>:99'33`=99k0b:8j:898m450290/5=<513g8j<66201/;;h511c8j20b2110e<=9:18'=54=9;o0b4>>:`9'33`=99k0b:8j:698m452290/5=<513g8j<662k1/;;h511c8j20b2?10e<=;:18'=54=9;o0b4>>:b9'33`=99k0b:8j:498m454290/5=<513g8j<662m1/;;h511c8j20b2=10e<==:18'=54=9;o0b4>>:d9'33`=99k0b:8j:298m456290/5=<513g8j<662o1/;;h511c8j20b2;10e<=?:18'=54=9;o0b4>>:028 20a28:j7c99e;38?l75>3:1(4>=:00f?k?793;:7)99f;33e>h0>l0;76g>b183>!?7:3;jj6`60083?!11n3;2<6`86d825>=n9ho1<7*60382ec=i19;1=6*86g82=5=i??o1==54i0cg>5<#1981=lh4n822>7=#??l1=4>4n64f>c=5+77d95<65<#1981=lh4n822>1=#??l1=4>4n64f>a=5<#1981=lh4n822>3=#??l1=4>4n64f>g=5<#1981=lh4n822>==#??l1=4>4n64f><=5<#1981=lh4n822>d=#??l1=4>4n64f>2=5<#1981=lh4n822>f=#??l1=4>4n64f>0=5<#1981=lh4n822>`=#??l1=4>4n64f>6=5<#1981=lh4n822>46<,>5$821>4ga3g3;=7?>;%55b?7>82d<:h4?;:k2`=<72-3;>7?k7:l:44<73-==j7?mc:l42`<6921b=i850;&:47<6l>1e5=?51:&42c<6jj1e;;k51198m4b2290/5=<51e58j<662;1/;;h51ca8j20b2o10e>:29'33`=9ki0b:8j:d98m4b4290/5=<51e58j<662=1/;;h51ca8j20b2m10e>:49'33`=9ki0b:8j:b98m4b6290/5=<51e58j<662?1/;;h51ca8j20b2k10e>:69'33`=9ki0b:8j:`98m4c6290/5=<51e58j<66211/;;h51ca8j20b2010e>:89'33`=9ki0b:8j:998m4ba290/5=<51e58j<662h1/;;h51ca8j20b2>10e>:c9'33`=9ki0b:8j:798m4bc290/5=<51e58j<662j1/;;h51ca8j20b2<10e>:e9'33`=9ki0b:8j:598m4be290/5=<51e58j<662l1/;;h51ca8j20b2:10e>:g9'33`=9ki0b:8j:398m4b>290/5=<51e58j<6628:0(:8i:0``?k11m3;07d?lf;29 <6528n<7c7?1;32?!11n3;io6`86d83?>i?n=0;6)7?2;:e7>h>880;7)99f;::5>h0>l09?65`8g094?">8;03j>5a91395>"0>o035<5a77g967=;o55a?4732e3ik4?:%;36?>a;2d2<<4;;%55b?>>92d<:h4>f:9l<`c=83.2>:79'33`=00;0b:8j:0f8?j>bj3:1(4>=:9d0?k?793=0(:8i:9;2?k11m3;h76a7e`83>!?7:32m?6`6008;?!11n322=6`86d82f>=h0l31<7*6038;b6=i19;156*86g8;=4=i??o1=l54o9g;>5<#19814k=4n822>d=#??l144?4n64f>4?<3f2n;7>5$821>=`43g3;=7l4$64e>=?63g==i7?7;:m;a3<72-3;>76i3:l:44i?m80;6)7?2;:e7>h>880:<6*86g8;=4=i??o1=>54o9g3>5<#19814k=4n822>47<,>;o55a?7532e3hk4?:%;36?>a;2d2<<4>2:&42c!?7:32m?6`600820>"0>o035<5a77g9b>=h0mi1<7*6038;b6=i19;1=85+77d9<<75<#19814k=4n822>40<,>;o55a?b<3f2om7>5$821>=`43g3;=7?8;%55b?>>92d<:h4l;:m;`<<72-3;>76i3:l:44<602.<:k47909m33c=j21d4i650;&:47i?n00;6)7?2;:e7>h>880:o6*86g8;=4=i??o1;65`8g:94?">8;03j>5a91395a=#??l144?4n64f>3==?63g==i7;4;n:e2?6=,0:965h<;o;35?7a3-==j7661:l42`<332e3j84?:%;36?>a;2d2<<4=0:&42c>:308 20a213:7c99e;38?j>c?3:1(4>=:9d0?k?793887)99f;::5>h0>l0;76a>fd83>!?7:3;mh6`60083?!11n3;n>6`86d825>=h9oi1<7*60382ba=i19;1=6*86g82a7=i??o1==54o0da>5<#1981=kj4n822>7=#??l1=h<4n64f>c=5+77d95`45<#1981=kj4n822>1=#??l1=h<4n64f>a=5<#1981=kj4n822>3=#??l1=h<4n64f>g=5<#1981=kj4n822>==#??l1=h<4n64f><=5<#1981=kj4n822>d=#??l1=h<4n64f>2=5<#1981=kj4n822>f=#??l1=h<4n64f>0=5<#1981=kj4n822>`=#??l1=h<4n64f>6=5<#1981=kj4n822>46<,>5$821>4`c3g3;=7?>;%55b?7b:2d<:h4?;:a7d0?28050z&:e6<3?j1Cm8j4H8c6?_47n3?p:5499;4b><4=>k0v(???:3da?k7?m3;0b?>m:19m=51=92d2<54?;I;:f>"f?;0?;n5+1969=0b<,=<:6>=76:lb31<6nj1/5;m538;e?l46n3:17d<=0;29?l4593:17d<=5;29L<6432c2>>4?::k:61<722c2>84?::k26c<72-3;>7?=e:l:44<73-==j7??a:l42`<6921b=?j50;&:47<6:l1e5=?51:&42c<68h1e;;k51198m44d290/5=<513g8j<662;1/;;h511c8j20b2o10e<>:29'33`=99k0b:8j:d98m44f290/5=<513g8j<662=1/;;h511c8j20b2m10e<<6:18'=54=9;o0b4>>:49'33`=99k0b:8j:b98m44?290/5=<513g8j<662?1/;;h511c8j20b2k10e<<8:18'=54=9;o0b4>>:69'33`=99k0b:8j:`98m45?290/5=<513g8j<66211/;;h511c8j20b2010e<=8:18'=54=9;o0b4>>:89'33`=99k0b:8j:998m451290/5=<513g8j<662h1/;;h511c8j20b2>10e<=::18'=54=9;o0b4>>:c9'33`=99k0b:8j:798m453290/5=<513g8j<662j1/;;h511c8j20b2<10e<=<:18'=54=9;o0b4>>:e9'33`=99k0b:8j:598m455290/5=<513g8j<662l1/;;h511c8j20b2:10e<=>:18'=54=9;o0b4>>:g9'33`=99k0b:8j:398m457290/5=<513g8j<6628:0(:8i:02b?k11m3;07d?=6;29 <65288n7c7?1;32?!11n3;;m6`86d83?>o6j90;6)7?2;3bb>h>880;7)99f;3:4>h0>l0:=65f1`g94?">8;0:mk5a91395>"0>o0:5=5a77g955=5<#1981=lh4n822>6=#??l1=4>4n64f>`=5<#1981=lh4n822>0=#??l1=4>4n64f>f=5<#1981=lh4n822>2=#??l1=4>4n64f>d=5<#1981=lh4n822><=#??l1=4>4n64f>==5<#1981=lh4n822>g=#??l1=4>4n64f>3=6=4+91095d`5<#1981=lh4n822>a=#??l1=4>4n64f>1=54i0`1>5<#1981=lh4n822>c=#??l1=4>4n64f>7=4$64e>4?73g==i7?4;h3b3?6=,0:961:9j5a0=83.2d69m=57=92.<:k4>bb9m33c=9910e>:39'33`=9ki0b:8j:g98m4b3290/5=<51e58j<662:1/;;h51ca8j20b2l10e>:59'33`=9ki0b:8j:e98m4b5290/5=<51e58j<662<1/;;h51ca8j20b2j10e:18'=54=9m=0b4>>:79'33`=9ki0b:8j:c98m4b7290/5=<51e58j<662>1/;;h51ca8j20b2h10e:18'=54=9m=0b4>>:99'33`=9ki0b:8j:898m4c7290/5=<51e58j<66201/;;h51ca8j20b2110e>:`9'33`=9ki0b:8j:698m4bb290/5=<51e58j<662k1/;;h51ca8j20b2?10e>:b9'33`=9ki0b:8j:498m4bd290/5=<51e58j<662m1/;;h51ca8j20b2=10e>:d9'33`=9ki0b:8j:298m4bf290/5=<51e58j<662o1/;;h51ca8j20b2;10e>:028 20a28hh7c99e;38?l7dn3:1(4>=:0f4?k?793;:7)99f;3ag>h0>l0;76a7f583>!?7:32m?6`60083?!11n322=6`86d817>=h0o81<7*6038;b6=i19;1=6*86g8;=4=i??o1>?54o9d2>5<#19814k=4n822>7=#??l144?4n64f>77<3f2m<7>5$821>=`43g3;=7=4$64e>=?63g==i776i3:l:44<33-==j7661:l42`<6n21d4hk50;&:47i?mh0;6)7?2;:e7>h>88037)99f;::5>h0>l0:n65`8d;94?">8;03j>5a9139=>"0>o035<5a77g95d=;o55a?7?32e3i;4?:%;36?>a;2d2<<4l;%55b?>>92d<:h4>7:9l<`3=83.2>:d9'33`=00;0b:8j:078?j>b;3:1(4>=:9d0?k?793l0(:8i:9;2?k11m3;?76a7e083>!?7:32m?6`600824>"0>o035<5a77g956==?63g==i7?=;:m;`c<72-3;>76i3:l:44<6:2.<:k47909m33c=9810c5jj:18'=54=0o90b4>>:018 20a213:7c99e;33?>i?lm0;6)7?2;:e7>h>880:86*86g8;=4=i??o1j65`8ea94?">8;03j>5a913950=#??l144?4n64f>`==?63g==i7j4;n:ge?6=,0:965h<;o;35?703-==j7661:l42`a;2d2<<4>8:&42c=83.2>:0c8 20a213:7c99e;;8?j>ai3:1(4>=:9d0?k?793;i7)99f;::5>h0>l0376a7f883>!?7:32m?6`60082g>"0>o035<5a77g93>=h0o21<7*6038;b6=i19;1=i5+77d9<<75<#19814k=4n822>4c<,>;o55a?3<3f2m:7>5$821>=`43g3;=7?i;%55b?>>92d<:h4;;:m;b0<72-3;>76i3:l:44<582.<:k47909m33c=;21d4hj50;&:47i6nl0;6)7?2;3e`>h>880;7)99f;3f6>h0>l0:=65`1ga94?">8;0:ji5a91395>"0>o0:i?5a77g955=5<#1981=kj4n822>6=#??l1=h<4n64f>`=5<#1981=kj4n822>0=#??l1=h<4n64f>f=5<#1981=kj4n822>2=#??l1=h<4n64f>d=5<#1981=kj4n822><=#??l1=h<4n64f>==6=4+91095cb5<#1981=kj4n822>g=#??l1=h<4n64f>3=5<#1981=kj4n822>a=#??l1=h<4n64f>1=54o323>5<#1981=kj4n822>c=#??l1=h<4n64f>7=4$64e>4c53g==i7?4;n3e1?6=,0:965ji:08;>5<7s-3j?7=k2:Jb1a=O1h?0(9?<:29'e24=1!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0:7f<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?7am2.2:n4=7958m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:09o6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1=kh4$84`>71??2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<63d82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;034>">>j09;594i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6=c728036=4?{%;b7?5c<2Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=:9;0(48l:35;3>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732c2944?:%c46??0;21d5=j50;&b3777=2:J:e1=:983>5}#1h91?i:4H`7g?M?f=2.?=>4<;%c46??2m2B2>=5+473976>13gk<87:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54i87:>5<#i>815:=4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=4Nf=m1C5l;4$530>6=#i>8158k4H803?!2193984;5aa669655<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>o>=00;6)o82;;47>=h19n1<7*n738;=5=!g0:339>6F6a598yg4?m:0:654?:1y'=d5=;m80Dl;k;I;b1>"39:087)o82;;6a>N>:91/8;?532:5?kg0<38;86*66b813=15$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>5k9:08;>5<7s-3j?7=k2:Jb1a=O1h?0(9?<:29'e24=1!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0;a1<6210;6=u+9`197a2<@h?o7E7n5:&756<43-k<>77:e:J:65=#69;oc40?47>2.2:n4=7958m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>{e:1o>6<47:183!?f;39o86Fn5e9K=d3<,=;86>5+a609=0c<@08;7):91;10<3=ii>>1>=94$84`>71??2c9=<4?:%c46?45=21b>!g0:335<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10qo<7e682>=<729q/5l=53e08Ld3c3A3j96*;1280?!g0:33>i6F6219'037=;:2=7co84;03<>">>j09;594i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6=1b28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=:930(48l:35;3>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm29:3>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa66965d<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4?"39:087)o82;;6a>N>:91/8;?532:5?kg0<38;o6*66b813=15$`51>16032c2?:10c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L5;?:08;>5<7s-3j?7=k3:Jb1a=O1h?0(9?<:29'e24=1!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954}c0;17<6210;6=u+9`197a5<@h?o7E7n5:&756<43-k<>77:e:J:65=#69;oc40?47m2.2:n4=7958m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=n1<31<7*n738:36=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>{e:1?86<47:183!?f;39o?6Fn5e9K=d3<,=;86>5+a609=0c<@08;7):91;10<3=ii>>1>=h4$84`>71??2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07d7:9;29 d1520=876a60e83>!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10qo<75582>=<729q/5l=53e18Ld3c3A3j96*;1280?!g0:33>i6F6219'037=;:2=7co84;024>">>j09;594i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9j=0?=83.j;?467298k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:a6=3228036=4?{%;b7?5c92Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=:8;0(48l:35;3>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i=4H`7g?M?f=2.?=>4<;%c46??2m2B2>=5+473976>13gk<87<>2:&:2f<5?1=0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65f94;94?"f?;02;>54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=4Nf=m1C5l;4$530>6=#i>8158k4H803?!2193984;5aa669645<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598yg4?=>0:654?:1y'=d5=;m;0Dl;k;I;b1>"39:087)o82;;6a>N>:91/8;?532:5?kg0<38:86*66b813=15$`51>16032c257>5$`51><1432wi>5;7:08;>5<7s-3j?7=k3:Jb1a=O1h?0(9?<:29'e24=1!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954}c0;2d<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?46>2.2:n4=7958m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:16Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1><94$84`>71??2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<76b82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;02<>">>j09;594i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6=0c28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=:830(48l:35;3>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87<>a:&:2f<5?1=0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm294e>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa66964d<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4??90:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<38:o6*66b813=15$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>59>:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0;23<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?46m2.2:n4=7958m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:1<<6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1>71??2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<76982>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;014>">>j09;594i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6=0>28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=:;;0(48l:35;3>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=54>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87<=2:&:2f<5?1=0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm280:>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669675<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4>:h0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<38986*66b813=15$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>45<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0:6f<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?45>2.2:n4=7958m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:0886<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1>?94$84`>71??2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<62582>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;01<>">>j09;594i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6<4228036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=:;30(48l:35;3>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=;4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87<=a:&:2f<5?1=0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2804>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa66967d<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7?nj0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<389o6*66b82<0e5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=5hk:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4o6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3:4=<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?45m2.2:n4>84a8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e90;86<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1>?h4$84`>4>2k2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?61982>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;004>">>j0:48m4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5<7128036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=::;0(48l:0:6g>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87<<2:&:2f<60:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm183:>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669665<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7>9h0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<38886*66b82<0e5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=4?m:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4o6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3;b`<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?44>2.2:n4>84a8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e91lm6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1>>94$84`>4>2k2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?60182>=<729q/5l=53e48Ld3c3A3j96*n738:1`=#<891985+473976>13gk<87<<8:&:2f<60:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~f4?793;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132;927)79c;3;1f=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94=3`9'=3e=91?h7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>75e3-3=o7?75b9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd619>1=7650;2x 8158k4$530>03<,=<:6>=76:lb31<5;j1/5;m5197`?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>?90;6)o82;;44>N>i=10qo?60482>=<729q/5l=53e48Ld3c3A3j96*n738:1`=#<891985+473976>13gk<87<:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~f4?7>3;147>50z&:e6<4l?1Cm8j4H8c6?!g0:33>i6*;12861>"3>808?584n`57>75b3-3=o7?75b9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>=00;6)o82;;47>=h19n1<7*n738;=5=!g0:339>6F6a598m<17290/m:<59628Ln:08;>5<7s-3j?7=k6:Jb1a=O1h?0(l9=:87f?!26;3?>7):91;10<3=ii>>1>>h4$84`>4>2k2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b5:>50;&b37<>?91C5l:4;|`2=51=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<5<91/5;m5197`?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj83;57?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0;%;5g?7?=j1b>!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>91`95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758107=#1?i1=5;l;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f4?7k3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132;>87)79c;3;1f=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94=459'=3e=91?h7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>7223-3=o7?75b9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd618;1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=098;5+97a95=3d3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:364?!?1k3;39n5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`2=46=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<5<11/5;m5197`?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj83:>7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>90695?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n75810d=#1?i1=5;l;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f4?6=3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132;>i7)79c;3;1f=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=;51;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94=4b9'=3e=;03m7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6g4<3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132;>o7)79c;1:=c=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl5G9328 1062:93:6`n75810`=#1?i1?47i;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:k8>7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=01o1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0e67=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<5=91/5;m538;e?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>o<0;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:372?!?1k3925k5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4i;l1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=099?5+97a975$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2c1a?7=>3:14<;I;14>"3>808?584n`57>7343-3=o7=69g9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94=559'=3e=;03m7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6g5k3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132;?>7)79c;1:=c=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl5G9328 1062:93:6`n758113=#1?i1?47i;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:k9m7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=01o1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0e7?=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<5=11/5;m538;e?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>o=8;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:37:?!?1k3925k5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4i;=1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=099l5+97a975$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2c43?7=>3:14<;I;14>"3>808?584n`57>73e3-3=o7=69g9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94=5b9'=3e=;03m7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6g0=3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132;?o7)79c;1:=c=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl5G9328 1062:93:6`n75811`=#1?i1?47i;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:ki:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=01o1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0e24=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<5>91/5;m538;e?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>o81;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:342?!?1k3925k5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4i>:1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=09:?5+97a975$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2c5b?7=>3:14<;I;14>"3>808?584n`57>7043-3=o7=69g9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94=659'=3e=;03m7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6g1l3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132;<>7)79c;1:=c=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl5G9328 1062:93:6`n758123=#1?i1?47i;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:k=n7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=01o1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0e3g=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<5>11/5;m538;e?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>o99;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:34:?!?1k3925k5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd50l21=7650;2x :21;2>hf?=09:l5+97a962>03`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07d7:9;29 d1520=876a60e83>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21vn?6:1;3947):>3;18 d1520?n7E7=0:&724<4;1<0bl9;:34a?!?1k38<4:5f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`13d1=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09:n5+97a962>03`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=7b195?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<5>m1/5;m526:4?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;05a>">>j09;594i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg40kh0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87<9f:&:2f<5?1=0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb35a5?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?9lb;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>7163-3=o7<8869j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e:>h86<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a62ed28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>:=4$84`>71??2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=7c795?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<5?=1/5;m526:4?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9;nj51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758130=#1?i1>:68;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg40jl0:6>4?:1y'=d5="f?;02><5+473976>13->:?7lk;oc40?40>2.2:n4=7958m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5?k=1=7:50;2x 818:j4$542>65?>2dj;94=769'=3e=:>2<7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`13fc=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=09;55+97a962>03`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?dc3gk<87<89:&:2f<5?1=0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=7c:95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<5?h1/5;m526:4?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9;nh51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n75813g=#1?i1>:68;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg40k90:6>4?:1y'=d5="f?;02><5+473976>13->:?7lk;oc40?40k2.2:n4=7958m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5?k31=7:50;2x 818:j4$542>65?>2dj;94=7e9'=3e=:>2<7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`13a6=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=09;h5+97a962>03`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?dc3gk<87<8f:&:2f<5?1=0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=7cc95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<5091/5;m526:4?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9;i?51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n7581<4=#1?i1>:68;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg40k;0:6>4?:1y'=d5="f?;02><5+473976>13->:?7lk;oc40?4?:2.2:n4=7958m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5?kh1=7:50;2x 818:j4$542>65?>2dj;94=829'=3e=:>2<7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`13a4=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=09495+97a962>03`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?dc3gk<87<75:&:2f<5?1=0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=7`;95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<50?1/5;m526:4?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9;n:51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n7581<2=#1?i1>:68;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg40jm0:6>4?:1y'=d5="f?;02><5+473976>13->:?7lk;oc40?4?02.2:n4=7958m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5?hk1=7:50;2x 818:j4$542>65?>2dj;94=889'=3e=:>2<7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`13f3=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=094l5+97a962>03`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?4?j2.2:n4=7958m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;0;g>">>j09;594i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f71fl3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb35`3?7=;3:15$`51>=?732wi>:m7:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6696=`<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4;l91=7=50;2x 815??4$542>65?>2.?=>4md:lb31<5191/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;:n=6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a76`628086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>4<4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<3d:95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32fb>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=:0>0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?>h9:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6696<3<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4;l31=7=50;2x 815??4$542>65?>2.?=>4md:lb31<51?1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;:ni6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a76`028086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>464$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<3dc95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32f`>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=:0k0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?>h7:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6696=k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4;lh1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<51j1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;:no6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a76`>28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>4k4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<3da95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32ff>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=:h:0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?>hn:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6696d7<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4;ln1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<5i;1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;:nm6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a76`e28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>l:4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<3dg95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32g3>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=:h<0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?>hl:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6696d1<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4;ll1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<5i11/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;:o:6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a76`c28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>lo4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<3g295?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32g1>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=:hi0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?>hj:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6696db<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4;l>1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<5il1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;:n<6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a76`528086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>o>4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<3d795?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32f;>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=:k80(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?>h<:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6696g5<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4;l<1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<5j=1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;:n26<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a76`328086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>o84$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<3d595?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32d6>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=:k20(48l:21g1>o5::0;6)o82;633>=n=86=4+a609<<6<3th88:m51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n7581f<=#1?i1?>j:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd481m8l4$542>65?>2dj;94=b`9'=3e=;:n>7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c17<2<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38in6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?4ek2.2:n4<3e78m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj:>2>7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:3`g?!?1k398h85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f62c93;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm35;7>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=:kl0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?9j;:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6696f6<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4<0?1=7:50;2x 818:j4$542>65?>2dj;94=c09'=3e=;:n>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`00a3=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=09o?5+97a976b23`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?4d;2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;0`0>">>j08?i;4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f62>?3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb26g3?7=;3:15$`51>=?732wi?977:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa6696f1<,0
    =k5:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;=n36<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::52b:8 <0d2:9o96g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`001<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09o45+97a976b23`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<4e;95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<5kh1/5;m532f6?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;0`f>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg53lh0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87!g0:322<65rb264`?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>:mc;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>7eb3-3=o7={e;==n6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a71dc28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>i>4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<46d95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<5l81/5;m532f6?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th88ok51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n7581`7=#1?i1?>j:;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg53090:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87!g0:33>n65`76194?"f?;035=54}c17fc<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn>:71;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>7b23-3=o7=i0?:0;6)o82;::4>=zj:>h<7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:3f5?!?1k398h85f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a71>5280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1>i94$84`>65c=2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=:m20(48l:21g1>o5::0;6)o82;633>=n=86=4+a609<<6<3th885=51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n7581`<=#1?i1?>j:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd481m8l4$542>65?>2dj;94=d`9'=3e=;:n>7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c17<1<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38on6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?4ck2.2:n4<3e78m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj:>397?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:3fg?!?1k398h85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f62d<3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm35:5>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=:ml0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?9m::080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6696`6<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4<121=7:50;2x 818:j4$542>65?>2dj;94=e09'=3e=;:n>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`00f1=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=09i?5+97a976b23`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?4b;2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;0f0>">>j08?i;4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f62?i3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb26`=?7=;3:15$`51>=?732wi?96m:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa6696`1<,0
    =k5:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;=ij6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::52d:8 <0d2:9o96g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`00=e=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09i45+97a976b23`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<4b`95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<5mh1/5;m532f6?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;0ff>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg53kj0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87!g0:322<65rb26;a?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>:ld;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>7cb3-3=o7={e;=2m6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a71eb28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>k>4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<48295?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<5n81/5;m532f6?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th88nh51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n7581b7=#1?i1?>j:;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg53180:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87!g0:33>n65`76194?"f?;035=54}c17`5<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn>:63;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>7`23-3=o7=i0?:0;6)o82;::4>=zj:>o>7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:3d5?!?1k398h85f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a71b428086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1>k94$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<45595?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<5n11/5;m532f6?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th888651;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n7581b<=#1?i1?>j:;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg53<10:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87!g0:33>n65`76194?"f?;035=54}c171<<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn>:;a;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>7`d3-3=o7=i0?:0;6)o82;::4>=zj:>>m7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:3dg?!?1k398h85f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a712d280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1>kk4$84`>65c=2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=:ol0(48l:21g1>o5::0;6)o82;633>=n=86=4+a609<<6<3th889k51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758045=#1?i1?>j:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd4<81m8l4$542>65?>2dj;94<009'=3e=;:n>7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c1715<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39;>6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?57;2.2:n4<3e78m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj:>>>7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:227?!?1k398h85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f622m3;1?7>50z&:e6n6*;60807=0>:;%;5g?54l<1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm3577>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=;9<0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?9;i:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669751<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd481m8l4$542>65?>2dj;94<099'=3e=;:n>7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c10bc<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39;56*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?57i2.2:n4<3e78m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj:>;:7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:22a?!?1k398h85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f62583;1?7>50z&:e6n6*;60807=0>l;%;5g?54l<1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm352;>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=;9n0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?9<>:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66975c<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4<9k1=7:50;2x 818:j4$542>65?>2dj;94<0g9'=3e=;:n>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`0074=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=08==5+97a976b23`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5692.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=>4>:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;126>">>j08?i;4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f627m3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?<;%;5g?54l<1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb2610?7=;3:15$`51>=?732wi?9??:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669743<,0
    =k5:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;=8>6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::53048 <0d2:9o96g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0044=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=08=:5+97a976b23`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<43495?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<4911/5;m532f6?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;12=>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg53:>0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87=>a:&:2f<4;m?0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb2622?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>:=8;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>67d3-3=o7={e;=:;6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a717e28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1?65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<41095?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<49o1/5;m532f6?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th88N>i<1/m:<5a4`8 1062:93:6`n758065=#1?i1?>j:;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg538=0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87==1:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c175a<62:0;6=u+9`19e0e<@h?o7E7n5:&b376*66b807a3=<7>5$`51>16032e<;>4?:%c46?>>821vn>:>e;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>6443-3=o7={e;=936<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=;;>0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj:>9h7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:206?!?1k398h85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f624l3;1?7>50z&:e6n6*;60807=0<9;%;5g?54l<1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm351:>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::53358 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb261a?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>:4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>64>3-3=o7={e;=9j6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=;;k0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj:>9j7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:20a?!?1k398h85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f624n3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm351a>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::533f8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb2604?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>:;0;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>64a3-3=o7={e;=9:6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a712628086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1?>?4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<42095?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<4;;1/5;m532f6?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th889<51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758076=#1?i1?>j:;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg53;=0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=<4:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c1706<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn>:<6;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>6513-3=o7=i0?:0;6)o82;::4>=zj:>?87?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:214?!?1k398h85f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a712228086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1?>64$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<47f95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm3541>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=;:k0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?99=:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66976d<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4815??4$542>65?>2.?=>4md:lb31<4;j1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=<86<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a711428086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1?>k4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<47d95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm3547>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=;=:0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?99;:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669717<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4<>:1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<4<;1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=<>6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a711228086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1?9:4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<46395?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm3545>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=;=<0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?999:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669711<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4818:j4$542>65?>2dj;94<499'=3e=;:n>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`0021=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=08845+97a976b23`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?53i2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;17f>">>j08?i;4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f621j3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>:l;%;5g?54l<1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb264=?7=;3:1o7)79c;10`0=n:;91<7*n738742=5$`51>=?732wi?99n:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66971c<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=mn1=7:50;2x 818:j4$542>65?>2dj;94<4g9'=3e=;:n>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`01c0=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=089=5+97a976b23`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5292.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=j:4>:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;166>">>j08?i;4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f63b83;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>;<;%;5g?54l<1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb27e5$`51>=?732wi?8k>:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669703<,0
    =k5:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;o6Fn5e9K=d3<,h=96l;m;%655?540?1em::53448 <0d2:9o96g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`01`4=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=089:5+97a976b23`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<5gc95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<4=11/5;m532f6?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=i>4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;16=>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52nk0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87=:a:&:2f<4;m?0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb27f0?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>;ic;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>63d3-3=o7={e;6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a70`c28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1?8k4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<5gg95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<4=o1/5;m532f6?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=8l4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;da?kg0<39=<6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th89>o51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758024=#1?i1?>j:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd4=<;1=7;50;2x 815??4$542>65?>2.?=>4ib:lb31<4>;1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<>:6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a7035280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891jo5aa669732<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f633:3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>8:;%;5g?54l<1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb2767?7==3:1=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1606<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39=;6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`e3gk<87=98:&:2f<4;m?0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<55695?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<4>01/5;m532f6?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th898;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128ef>hf?=08:l5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?8:::087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66973d<,0
    =k5:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5fc9me22=;?i0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:??:7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:24g?!?1k398h85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f632?3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86kl4n`57>60b3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg52<>0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=9f:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c161=<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3li7co84;144>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`011>=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=08;<5+97a976b23`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<54;95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm346:>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=;>90(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?8:m:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019bg=ii>>1?::4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>6123-3=o7=i0?:0;6)o82;::4>=zj:??o7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:g`8jd132:==7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=?n4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;143>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52:0Dl;k;I;b1>"f?;02><5+473976>13->:?7hm;oc40?5002.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4=:n1=7:50;2x 818:j4$542>65?>2dj;94<789'=3e=;:n>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`011c=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0mn6`n75803d=#1?i1?>j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a705b280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1?:l4$84`>65c=2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=nk1em::536a8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb270b?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>;:0;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>cd9j;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?50n2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=9l4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1;4>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52><0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=71:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c1635<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<393>6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5?;2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=;94>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1;0>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52?<0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=75:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c1633<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<393:6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5??2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=;54>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1;<>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52?00:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=79:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c161g<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<393m6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5?j2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=9i4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1;g>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52=l0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=7d:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c161c<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<393i6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5?n2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:<4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1:4>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52>;0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=61:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c1626<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<392>6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5>;2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:;4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1:0>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52>>0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=65:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c162=<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<392:6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5>?2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:l4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1:<>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52>k0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=69:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c162f<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<392m6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5>j2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:h4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1:g>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52>o0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=6d:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c1634<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<392i6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5>n2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=5l4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1b4>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52i<0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=n1:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c16f5<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39j>6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5f;2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=n94>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1b0>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52j<0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=n5:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c16f3<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39j:6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5f?2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=n54>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1b<>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52j00:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=n9:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c16=g<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39jm6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5fj2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=5i4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1bg>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg521l0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=nd:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c16=c<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39ji6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5fn2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=m<4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1a4>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52i;0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=m1:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c16e6<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39i>6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5e;2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=m;4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1a0>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52i>0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=m5:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c16e=<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39i:6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5e?2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=ml4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1a<>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52ik0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=m9:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c16ef<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39im6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5ej2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=mh4>:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1ag>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg52io0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=md:&:2f<4;m?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c16f4<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39ii6*66b807a3m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5en2.2:n4<3e78m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1`4>">>j09;594i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4?;;0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87=l1:&:2f<5?1=0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb3:2a?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?6<3;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>6e43-3=o7<8869j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e:18;6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6=5328086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1?n;4$84`>71??2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=83095?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<4k?1/5;m526:4?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th94>;51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n7580g2=#1?i1>:68;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg4?:=0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=l8:&:2f<5?1=0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0;73<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?6=6;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>6ef3-3=o7<8869j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;28;7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:2aa?!?1k38<4:5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a6=4?280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1?nm4$84`>71??2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=;jn0(48l:35;3>o5::0;6)o82;633>=n=86=4+a609<<6<3th94?o51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n7580g`=#1?i1>:68;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd50:31=7=50;2x 81m8l4$542>65?>2dj;942<7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0;6f<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39o<6*66b813=1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?5c92.2:n4=7958m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;28n7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:2f1?!?1k38<4:5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a62b4280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1?i=4$84`>71??2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=;m>0(48l:35;3>o5::0;6)o82;633>=n=86=4+a609<<6<3th9;i:51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n7580`0=#1?i1>:68;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5?ln1=7=50;2x 81m8l4$542>65?>2dj;942<7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c04`3<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<39o;6*66b813=1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?5c02.2:n4=7958m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;=o47?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:2f:?!?1k38<4:5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f71bn3;1?7>50z&:e6n6*;60807=0jn;%;5g?400>1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm26fb>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=;mh0(48l:35;3>o5::0;6)o82;63=>=n:5$`51>=?732wi>:h?:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6697ae<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5?mi1=7:50;2x 818:j4$542>65?>2dj;942<7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`13c7=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=08hh5+97a962>03`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?5cn2.2:n4=7958m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;1f4>">>j09;594i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f71b83;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>k>;%;5g?400>1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb35e7?7=;3:15$`51>=?732wi>:k=:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa6697`5<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:>l?6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::53d68 <0d2;=3;6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`13`2=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=08i85+97a962>03`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=7g795?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<4m?1/5;m526:4?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;1f3>">>j09;594i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f71a>3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>k7;%;5g?400>1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3:3`?7=;3:15$`51>=?732wi>:h6:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa6697`g<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:1;:6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::53d`8 <0d2;=3;6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`13cd=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=08in5+97a962>03`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=80095?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<4mm1/5;m526:4?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;1fa>">>j09;594i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4?9:0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87=jf:&:2f<5?1=0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb35eb?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?6>4;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>6`63-3=o7<8869j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e:1::6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6=7228086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1?k=4$84`>71??2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=81195?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<4n=1/5;m526:4?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th94<851;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n7580b0=#1?i1>:68;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg4?8<0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87=i6:&:2f<5?1=0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0;52<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?6?7;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>6`?3-3=o7<8869j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;2:47?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:2d:?!?1k38<4:5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a6=6>280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1?ko4$84`>71??2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=;oh0(48l:35;3>o5::0;6)o82;633>=n=86=4+a609<<6<3th9;k951;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n7580bf=#1?i1>:68;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd509o1=7=50;2x 81m8l4$542>65?>2dj;942<7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0;4c<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?960;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>6`a3-3=o7<8869j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;=j>7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:523?!?1k38<4:5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a62?6280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>18=?4$84`>71??2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=<980(48l:35;3>o5::0;6)o82;633>=n=86=4+a609<<6<3th9;4=51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758746=#1?i1>:68;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5?h>1=7=50;2x 81m8l4$542>65?>2dj;94;059'=3e=:>2<7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c04=1<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3>;96*66b813=1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?27>2.2:n4=7958m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;=2:7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:524?!?1k38<4:5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f71f>3;1?7>50z&:e6n6*;60807=07;%;5g?400>1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm26:;>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<930(48l:35;3>o5::0;6)o82;63=>=n:5$`51>=?732wi>:7l:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66905g<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5?1k1=7:50;2x 818:j4$542>65?>2dj;94;0c9'=3e=:>2<7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`13t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0?03`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?27l2.2:n4=7958m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;63a>">>j09;594i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f71?m3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>i;%;5g?400>1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb35:b?7=;3:15$`51>=?732wi>:o?:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669047<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd41kn1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<39;1/5;m538;e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;0km6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a7>18<:4$84`>6?>n2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<9cg95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm38`3>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<8<0(48l:2;:b>o5::0;6)o82;63=>=n:5$`51>=?732wi?4mk:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669041<,0
    76f:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd41kl1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3911/5;m538;e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;0h:6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a7>186?>n2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<9b295?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm38`1>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<8i0(48l:2;:b>o5::0;6)o82;63=>=n:5$`51>=?732wi?4mi:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66904b<,0
    76f:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd41j;1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<39l1/5;m538;e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;0h86<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a7>18?>4$84`>6?>n2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<9b095?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm38`7>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<;80(48l:2;:b>o5::0;6)o82;63=>=n:5$`51>=?732wi?4j>:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669075<,0
    76f:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd41j91=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3:=1/5;m538;e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;0h>6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a7>18?84$84`>6?>n2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<9b695?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm38`5>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<;20(48l:2;:b>o5::0;6)o82;63=>=n:5$`51>=?732wi?4j<:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66907?<,0
    76f:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd41j?1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3:h1/5;m538;e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;0h<6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a7>18?m4$84`>6?>n2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<9b495?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm38`;>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<;o0(48l:2;:b>o5::0;6)o82;63=>=n:5$`51>=?732wi?4j::080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66907`<,0
    76f:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd41j=1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3;91/5;m538;e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;0h26<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a7>18><4$84`>6?>n2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<9b:95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm38`b>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<:>0(48l:2;:b>o5::0;6)o82;63=>=n:5$`51>=?732wi?4j8:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669063<,0
    76f:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd41j31=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3;?1/5;m538;e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;0hi6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a7>18>64$84`>6?>n2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<9bc95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm38``>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<:k0(48l:2;:b>o5::0;6)o82;63=>=n:5$`51>=?732wi?4j6:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66906d<,0
    76f:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd41jh1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3;j1/5;m538;e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;0nj6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::542f8 <0d2:32j6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0=c?=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n75877`=#1?i1?47i;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7>18>h4$84`>6?>n2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=<=:0(48l:2;:b>o5::0;6)o82;633>=n=86=4+a609<<6<3th85ko51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=0?8<5+97a975$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?4kl:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669014<,0
    76f:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;h:26<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::54518 <0d2:32j6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0=cd=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758701=#1?i1?47i;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7>189;4$84`>6?>n2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=<=<0(48l:2;:b>o5::0;6)o82;633>=n=86=4+a609<<6<3th85km51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=0?8:5+97a975$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?4kj:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66901><,0
    76f:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;h:i6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::545;8 <0d2:32j6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0=cb=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n75870d=#1?i1?47i;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7>189l4$84`>6?>n2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=<=i0(48l:2;:b>o5::0;6)o82;633>=n=86=4+a609<<6<3th85kk51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=0?8i5+97a975$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?4h?:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66901c<,0
    76f:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;h:o6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::545d8 <0d2:32j6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0=c`=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758715=#1?i1?47i;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7<`6280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>188?4$84`>6?>n2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=<<80(48l:2;:b>o5::0;6)o82;633>=n=86=4+a609<<6<3th8m=>51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=0?9>5+97a975$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?4h=:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669002<,0
    76f:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;h:m6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::54478 <0d2:32j6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0e57=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758713=#1?i1?47i;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7<`4280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>18894$84`>6?>n2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=<<20(48l:2;:b>o5::0;6)o82;633>=n=86=4+a609<<6<3th8m=<51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=0?945+97a975$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?4h;:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66900g<,0
    76f:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;h;:6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::544`8 <0d2:32j6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0e55=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n75871f=#1?i1?47i;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7<`2280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>188j4$84`>6?>n2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=<o5::0;6)o82;633>=n=86=4+a609<<6<3th8m=:51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=0?9k5+97a975$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?4h9:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669036<,0
    76f:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;h;86<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::54738 <0d2:32j6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0e53=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758727=#1?i1?47i;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7<`0280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>18;=4$84`>6?>n2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=0(48l:2;:b>o5::0;6)o82;633>=n=86=4+a609<<6<3th8m=851;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=0?:85+97a975$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?4h7:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669030<,0
    76f:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;h;>6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::54758 <0d2:32j6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0e51=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n75872==#1?i1?47i;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7d7128086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18;74$84`>6?>n2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<4gc95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35fa>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=o5::0;6)o82;63=>=n:5$`51>=?732wi?8?n:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66903e<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=9?1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3>m1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=o=6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a704228086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18;h4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<50295?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35d2>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<>;0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?8=?:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669024<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=891=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3?:1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=l86<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a705428086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18:;4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<50695?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35d7>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<>=0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?8=;:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66902><,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=8?1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3?01/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=l>6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a705228086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18:l4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<50495?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35d5>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<>n0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?8=9:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66902c<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=8=1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3?o1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=l<6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a705028086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>185?4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<50:95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35d;>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<190(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?8=7:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690=2<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=831=7=50;2x 815??4$542>65?>2.?=>4md:lb31<30<1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=l26<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a705>28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18594$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<4g`95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35f`>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<130(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?8?m:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690=g<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4815??4$542>65?>2.?=>4md:lb31<30k1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=no6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a707d28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>185j4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<4gf95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35ff>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<1l0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?8?k:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690<6<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4815??4$542>65?>2.?=>4md:lb31<3181/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=nm6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a707b28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>184=4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<4gd95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35g3>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<0?0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?8?i:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690<0<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=9:1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<31>1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=o:6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a704728086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18474$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<51395?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35g1>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=<0h0(48l:21g1>o5::0;6)o82;63=>=n:5$`51>=?732wi?8<>:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690=k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=981=7=50;2x 815??4$542>65?>2.?=>4md:lb31<31m1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=o86<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a704528086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>184h4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<51195?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35g7>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=o5::0;6)o82;63=>=n:5$`51>=?732wi?8<<:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690d4<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=9>1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3i:1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=o>6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a704328086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18l;4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<51495?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35g4>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=o5::0;6)o82;63=>=n:5$`51>=?732wi?8<9:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690d><,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=9=1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3i01/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=o36<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a704028086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18ll4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<51:95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35g:>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=o5::0;6)o82;63=>=n:5$`51>=?732wi?8<7:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690dc<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=931=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3io1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=oj6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a704>28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18o?4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<51c95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35ga>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=o5::0;6)o82;63=>=n:5$`51>=?732wi?85<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690g2<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=9h1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3j<1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=oh6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a704e28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18o94$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<51a95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35gg>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=o5::0;6)o82;63=>=n:5$`51>=?732wi?85<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690gg<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=9n1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3jk1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=on6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a704c28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18oj4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<51g95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35ge>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=o5::0;6)o82;63=>=n:5$`51>=?732wi?85<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690f6<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=9l1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3k81/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=l;6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a704a28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>18n=4$84`>65c=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<50395?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm35d1>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=o5::0;6)o82;63=>=n:5$`51>=?732wi?8=>:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa6690f0<,0
    =k5:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4=881=7=50;2x 815??4$542>65?>2.?=>4md:lb31<3k>1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;<996<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::54b:8 <0d2:9o96g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`1e43=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08mn5aa6690f?<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?78b;390?6=8r.2m>4;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>1ef3-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k?o7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:5aa?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7g0j3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?2dk2.2:n4>29`8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=9d595?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<3km1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m<:51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>18nk4$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7?0i3;187>50z&:e6<3091Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c7f?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o8a;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87:k1:&:2f<6:1h0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd51l?1=7:50;2x 818:j4$542>65?>2dj;94;d39'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1e44=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6690a5<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?7j4;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>1b33-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k<57?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2ag?kg0<3>o96*66b826=d5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9mN>i<1/m:<59338 1062:93:6*;1280gg=ii>>18i84$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?789;390?6=8r.2m>4;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>1b03-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k?m7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:5f;?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7g003;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?2c12.2:n4>29`8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=9d095?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<3lh1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m<>51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>18il4$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7?b93;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c43?7==3:1">>j0:>5l4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1e5`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08oo5aa6690ac<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f7?003;187>50z&:e6<3091Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c7=?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o86;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87:j1:&:2f<6:1h0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd51ml1=7:50;2x 818:j4$542>65?>2dj;94;e39'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1e5c=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6690`5<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?7ke;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>1c33-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k<97?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2ag?kg0<3>n96*66b826=d5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9m=j51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280gg=ii>>18h84$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?787;390?6=8r.2m>4;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>1c03-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k?47?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:5g;?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7g0<3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?2b12.2:n4>29`8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=9ea95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<3mh1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m=m51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>18hl4$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7?cj3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c47?7==3:1">>j0:>5l4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1e5d=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08oo5aa6690`c<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f7?0>3;187>50z&:e6<3091Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c73?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o82;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87:i1:&:2f<6:1h0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd51m21=7:50;2x 818:j4$542>65?>2dj;94;f39'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1e5g=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6690c5<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?7k7;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>1`33-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k<=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2ag?kg0<3>m96*66b826=d5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9m=751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280gg=ii>>18k84$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?785;390?6=8r.2m>4;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>1`03-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k?:7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:5d;?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7g083;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?2a12.2:n4>29`8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=9e795?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<3nh1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m=651;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>18kl4$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7?0<3;187>50z&:e6<3091Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c71?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o9f;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87:ie:&:2f<6:1h0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd51m91=7:50;2x 818:j4$542>65?>2dj;94;fg9'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1=25=93>1<7>t$8c0>1>73Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0><=5+97a957>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=a5695?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2881/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m;j51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>19=<4$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7?c93;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3><;%;5g?750k1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3;g4?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o9c;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6ec3gk<87;?5:&:2f<6:1h0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=a1795?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4kk1em::55148 <0d2883n6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb3;46?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o;3;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>06?3-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k3m7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3?;56*66b826=d5<#i>81=5;4n`53>4=5<#i>8144>4;|`1e3d=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66915g<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?7le;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>06e3-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k;87?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3?;o6*66b826=d5<#i>81=5;4n`53>4=5<#i>8144>4;|`1=26=93>1<7>t$8c0>1>73Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=a5395?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<28l1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m;o51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>19=h4$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7?dj3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3;5b?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o;0;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>0753-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k=57?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3?:?6*66b826=d5<#i>81=5;4n`53>4=5<#i>8144>4;|`1=f?=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>=95+97a957>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=97g95?2=83:p(4o<:5:3?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<29<1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m>h51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758653=#1?i1=?6m;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd5i?21=7:50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb3;`3?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?79d;390?6=8r.2m>4;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>07>3-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k8i7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:43b?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7g1?3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?36j2.2:n4>29`8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=9b795?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<29j1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th95;m51;694?6|,0k8696?;Ic6`>N>i<1/m:<546f8 1062:93:6`n75865a=#1?i1=?6m;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd5i:n1=7:50;2x 818:j4$542>65?>2dj;94:1d9'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1e30=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66914`<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?7l3;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>0473-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;3=n7?54;294~">i:0?4=5Ga4f8L7:8d:&724<4;1<0bl9;:402?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7g4k3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c51?7=<3:1">>j0:>5l4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th95n>51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758661=#1?i1=?6m;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd51?k1=7:50;2x 818:j4$542>65?>2dj;94:249'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1e6d=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>>;5+97a957>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=a7695?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::55358 <0d2883n6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;3ii7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:40;?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7?113;187>50z&:e6<3091Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c0e?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o93;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87;=b:&:2f<6:1h0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd51ki1=7:50;2x 818:j4$542>65?>2dj;94:2b9'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1=3>=93>1<7>t$8c0>1>73Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>>i5+97a957>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=a2;95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2:l1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m;?51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>19?h4$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7?ei3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3;53?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o<8;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>0553-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k=<7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3?8?6*66b826=d5<#i>81=5;4n`53>4=5<#i>8144>4;|`1=g>=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>?95+97a957>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=9c595?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2;<1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m8h51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ga=ii>>19>84$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?7i8;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6ee3gk<87;<7:&:2f<6:1h0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=99095?2=83:p(4o<:5:3?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2;11/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m8=51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n75867<=#1?i1=?6m;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd5i>o1=7:50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb3c6a?7=<3:1">>j0:>5l4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th95o:51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n75867f=#1?i1=?6m;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd51o=1=7:50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb3;;5?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o:2;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>05a3-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k>h7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3??<6*66b826=d5<#i>81=5;4n`53>4=5<#i>8144>4;|`1=g4=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>8<5+97a957>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=99295?2=83:p(4o<:5:3?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2<;1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m8?51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758606=#1?i1=?6m;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd5i815??4$542>65?>2.?=>40(48l:00;f>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb3;a4?7=<3:1>7)79c;3157>5$`51><3e32e<;>4?:%c46?>>821vn?78f;390?6=8r.2m>4;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>0213-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k><7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:464?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7g2j3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?3302.2:n4>29`8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=9`g95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2<01/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th95:k51;694?6|,0k8696?;Ic6`>N>i<1/m:<546f8 1062:93:6`n75860d=#1?i1=?6m;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd5i=l1=7:50;2x 818:j4$542>65?>2dj;94:4c9'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1e0g=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66911e<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?7nc;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>02c3-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;3i:0?4=5Ga4f8L7:8d:&724<4;1<0bl9;:46f?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7g3m3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c6=?7=<3:1">>j0:>5l4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th95hm51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758614=#1?i1=?6m;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd51>i1=7:50;2x 818:j4$542>65?>2dj;94:539'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1e1b=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>9>5+97a957>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=a4:95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::55468 <0d2883n6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;3nm7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:476?!?1k3;94o5f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7?093;187>50z&:e6<3091Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3c76?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o:5;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87;:8:&:2f<6:1h0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd51mk1=7:50;2x 818:j4$542>65?>2dj;94:589'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1=30=93>1<7>t$8c0>1>73Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>9l5+97a957>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=a2595?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2=k1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9m;k51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>198m4$84`>44?j2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7?d:3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3;51?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?o<6;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>03a3-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;k=>7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3?=<6*66b826=d5<#i>81=5;4n`53>4=5<#i>8144>4;|`1=dd=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>:<5+97a957>e3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=9`c95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2>;1/5;m513:a?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th959851;694?6|,0k8696?;Ic6`>N>i<1/m:<546f8 1062:93:6`n758626=#1?i1=?6m;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd5i8=1=7:50;2x 818:j4$542>65?>2dj;94:659'=3e=9;2i7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1e02=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa669133<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?773;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>0013-3=o7?=8c9j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj=:3h7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:444?!?1k3>;445f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f16>;3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>mk;oc40?3102.2:n4;09;8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd380:1=7;50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj=:3m7?54;294~">i:0?4=5Ga4f8L7:8d:&724<4;1<0bl9;:44b?!?1k3>;445f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f16>93;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb52:0?7=<3:1">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th?<4<51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>19;j4$84`>16?12c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f16?j3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb52;b?7=<3:1">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th:>5o51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:>5651;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:>5851;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:>5:51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:;hj51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:;hl51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a7)79c;34ad=n;on1<7*n738742==86=4+a609<<6<3th:;h751;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:;h951;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:;h;51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:;h=51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:;h?51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:;ih51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:;ij51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th:;il51;094?6|,0k8656l;Ic6`>N>i<1/><753958 d152;:h7E::b:&71a=86=4+a609<<6<3th8:8:51;:94?6|,0k86>j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94:7d9'=3e=;:n>7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>01a3-3=o7=i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598m<3>290/m:<59618?xd4?o21=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0>4=5+97a976b23`8:=7>5$`51>74232c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L9ib;3947)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:4:2?!?1k398h85f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`03cc=9321<7>t$8c0>6b63Ak>h6F6a49'e24=1=76:lb31<20;1/5;m532f6?l4693:1(l9=:306?>o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj:2;=7?58;294~">i:08h<5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl<81695?>=83:p(4o<:2f2?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n7586<1=#1?i1?>j:;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f6>7?3;147>50z&:e6<4l81Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132<2>7)79c;10`0=n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94:879'=3e=;:n>7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>0>03-3=o7=i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598m<3>290/m:<59618?xd4?l81=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0>455+97a976b23`8:=7>5$`51>74232c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L9j5;3947)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:4::?!?1k398h85f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`03`>=9321<7>t$8c0>6b63Ak>h6F6a49'e24=1=76:lb31<20h1/5;m532f6?l4693:1(l9=:306?>o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj:=nn7?58;294~">i:08h85Ga4f8L77:e:&756<2=2.?:<4<3948jd132<2i7)79c;10`0=n:8;1<7*n738160=o6=4+a609051<3`3>57>5$`51><1432e2>821b>77=2:J:e1==76:&756<4ih1em::559a8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{ek191=7:50;2x 815??4$542>65?>2.?=>47co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smbe095?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<20l1/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g;?kg0<3?3j6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g;?kg0<3?2<6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:4;2?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:afg4=93>1<7>t$8c0>1>73Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>5?5+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b372;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87;63:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yge?n3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?3><2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>0?23-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ek0k1=7;50;2x 815??4$542>65?>2.?=>47co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{ekj>1=7;50;2x 815??4$542>65?>2.?=>47co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{ek818:j4$542>65?>2dj;94:999'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c`a7?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wioN>i<1/m:<59338 1062:93:6*;1280ed=ii>>194o4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:agd3=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6691;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wink=51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7586=f=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198ygee83;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>k7;oc40?3>l2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygedn3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>k7;oc40?3>m2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yge2k3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>=76:lb31<2i91/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3?j=6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}caaf?7=<3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:4c0?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:agf6=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i55aa6691d2<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:aga4=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i55aa6691d3<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:ag3e=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>m;5+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>0g03-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ek:91=7:50;2x 815??4$542>65?>2.?=>47co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smcb395?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::55`;8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{ek931=7:50;2x 818:j4$542>65?>2dj;94:a`9'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}ca`6?7==3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cag7?7==3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}ca43?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732winno51;694?6|,0k8696?;Ic6`>N>i<1/m:<546f8 1062:93:6`n7586e`=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yge4>3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?3fn2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87;m0:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yge7j3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>;%;5g?de92c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=1=76:&756<4m11em::55c08 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smce695?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4m11em::55c18 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smc6;95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2j=1/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?4=5Ga4f8L7:8d:&724<4;1<0bl9;:4`6?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:ag61=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6691g0<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wio5951;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>19o94$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:ag5e=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>n55+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c?3gk<87;m9:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c?3gk<87;ma:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>0de3-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ejjn1=7:50;2x 818:j4$542>65?>2dj;94:bb9'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}ca0">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3?ii6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}ca3`?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wio5o51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a==ii>>19n>4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wio:l51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7586g4=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198ygddm3;187>50z&:e6<3091Cm8j4H8c6?!g0:3>=76:&756<4ih1em::55b18 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{ek1h1=7:50;2x 815??4$542>65?>2.?=>40(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smc1g95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2k<1/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2f4?kg0<3?h:6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}ca4`?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732winnh51;694?6|,0k8696?;Ic6`>N>i<1/m:<546f8 1062:93:6`n7586g==#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yge6<3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?3d12.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87;la:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yge7n3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>=76:&756<4l>1em::55ba8 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{ek>l1=7:50;2x 818:j4$542>65?>2dj;94:ce9'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c`g4?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wio<851;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>19nh4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:ag<6=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6691a6<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wio<>51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7586`4=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yge>93;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>j8;oc40?3c:2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>0b43-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ejm;1=7:50;2x 818:j4$542>65?>2dj;94:d59'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}ca2">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3?o:6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c`e0?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wio4=51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a==ii>>19i64$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wioi851;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a==ii>>19i74$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wio8j51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7586`d=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198ygde=3;187>50z&:e6<3091Cm8j4H8c6?!g0:3>=76:&756<4ih1em::55ea8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{ek0>1=7:50;2x 815??4$542>65?>2.?=>47co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smbg795?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2ll1/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2f4?kg0<3?oj6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}ca6a?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wino851;694?6|,0k8696?;Ic6`>N>i<1/m:<546f8 1062:93:6`n7586a4=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yge6j3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?3b:2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87;j3:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198ygda>3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>=76:&756<4l>1em::55d78 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{ek?:1=7:50;2x 818:j4$542>65?>2dj;94:e79'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c`a3?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wioN>i<1/m:<59338 1062:93:6*;1280ed=ii>>19h64$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:ag<>=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6691`?<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wink951;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7586ad=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yge>13;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>j8;oc40?3bj2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>0cd3-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ejk21=7:50;2x 818:j4$542>65?>2dj;94:ee9'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}ca14?7=<3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3?nj6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c`eo4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wio4m51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280`2=ii>>19k?4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:ag32=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>j?5+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>0`43-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ek;81=7:50;2x 815??4$542>65?>2.?=>40(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smc8f95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::55g78 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{ejo31=7:50;2x 818:j4$542>65?>2dj;94:f79'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}ca:a?7=<3:1">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:4d;?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:afgg=93>1<7>t$8c0>1>73Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0>j45+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87;ia:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yge>n3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?3aj2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>0`d3-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ekh:1=7:50;2x 815??4$542>65?>2.?=>47co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76smc7:95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<2nl1/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?4=5Ga4f8L7:8d:&724<4;1<0bl9;:4de?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:ag70=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa669256<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wiol?51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>1:=?4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:afcd=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0=<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6b03gk<878?3:&:2f50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygec?3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>j8;oc40?07<2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>3623-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ejki1=7:50;2x 818:j4$542>65?>2dj;949079'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}ca13?7=<3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3<;46*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c`eg?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wiol:51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280`2=ii>>1:=o4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:aga>=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08h:5aa66925d<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wio;o51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n75854f=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198ygdel3;187>50z&:e6<3091Cm8j4H8c6?!g0:3>k;%;5g?de92c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=1=76:&756<4ih1em::561g8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{ekh<1=7:50;2x 815??4$542>65?>2.?=>49l0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smbgf95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<1991/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2f4?kg0<3<:=6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}ca`1?7=<3:1">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:730?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:afgc=93>1<7>t$8c0>1>73Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0==95+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<878>5:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198ygef03;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?06>2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>3703-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ekh31=7:50;2x 815??4$542>65?>2.?=>4820(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76smcb495?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4l>1em::560;8 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{ek?n1=7:50;2x 818:j4$542>65?>2dj;9491`9'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c``4?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wio?l51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>1:gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:agdg=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66924b<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wio=>51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n75855`=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198ygefj3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>k7;oc40?06n2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yged?3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>k7;oc40?0582.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yge1m3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>;%;5g?de92c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=1=76:lb31<1:;1/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3<9?6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}cabg?7=<3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:706?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:agdb=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08h:5aa669270<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wion651;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280`2=ii>>1:?94$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:ag3`=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0=>55+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>34>3-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ek;n1=7:50;2x 815??4$542>65?>2.?=>4;k0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smc`g95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::563`8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{ek981=7:50;2x 818:j4$542>65?>2dj;9492b9'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}cabb?7=<3:1">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2f4?kg0<3<9i6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}ca44?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732winn=51;694?6|,0k8696?;Ic6`>N>i<1/m:<546f8 1062:93:6`n758575=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yge5m3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?0492.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<878<2:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yge7;3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>=76:&756<4m11em::56268 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smcbc95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4m11em::56278 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smc6395?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<1;?1/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?4=5Ga4f8L7:8d:&724<4;1<0bl9;:714?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:ag7`=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66926><,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wioo=51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>1:>74$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:ag52=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0=?l5+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c?3gk<87850:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c?3gk<87850:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>35c3-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ejj?1=7:50;2x 818:j4$542>65?>2dj;9493d9'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}ca04?7=<3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}ca31?7=<3:1:7)79c;`a5>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wioo851;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a==ii>>1:9<4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wionm51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a==ii>>1:9=4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wio:=51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758501=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198ygdd>3;187>50z&:e6<3091Cm8j4H8c6?!g0:3>=76:&756<4ih1em::56548 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{ekk=1=7:50;2x 815??4$542>65?>2.?=>4==0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smc1495?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<1<11/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g;?kg0<3:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g;?kg0<3:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:76a?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:aff1=93>1<7>t$8c0>1>73Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0=8n5+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<878;d:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198ygee13;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?03m2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>32a3-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{ekkk1=7;50;2x 815??4$542>65?>2.?=>4<:0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{ekjo1=7;50;2x 815??4$542>65?>2.?=>4<;0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{ek>?1=7:50;2x 818:j4$542>65?>2dj;949539'=3e=jk;0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c``o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wio>:51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>1:8:4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:agge=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa669203<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wio=651;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758513=#1?i1no?4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198ygeel3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>k7;oc40?02?2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygec83;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>k7;oc40?0202.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yge0>3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>=76:lb31<1=h1/5;m5bc38m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3<>n6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}caaa?7=<3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:77g?!?1k3hi=6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:agg`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i55aa66920c<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:aga7=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i55aa66920`<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:ag2>=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0=:=5+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;819Ke0b<@0k>7)o82;64`>"3>808?584n`57>3063-3=o7lm1:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{emh;1=7650;2x 7Eo:d:J:e0=#i>8158k4$530>03<,=<:6>=76:lb31<1>;1/5;m5bc38m776290/m:<52378?l43l3:1(l9=:524?>o>=00;6)o82;;47>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?083:1(l9=:853?M?f<21vn47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:740?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg76800:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3<=86*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>11:95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758520=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e98:<6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1:;84$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0332?7=>3:1jn;I;14>"3>808?584n`57>3003-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87898:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2552=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<1>01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==m7:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f46d?3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132?o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??c782>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;45g>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd68j?1=7850;2x :21;2>hf?=0=:i5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa66923c<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:h?7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c33g5<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?0082.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;949709'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a55db280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=>>80(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>md;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:750?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77jj0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3<<86*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>0c`95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758530=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e98::6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1::84$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0334?7=>3:1jn;I;14>"3>808?584n`57>3103-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87888:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`24cc=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<1?01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==hk:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f46ak3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132?=i7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??fc82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;44g>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd68k:1=7850;2x :21;2>hf?=0=;i5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa66922c<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:ji7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c33ea<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?0?82.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;949809'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a55ge280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=>180(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>n8;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:7:0?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77i>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3<386*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>0`495?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7585<0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e99k>6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1:584$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb02b0?7=>3:1jn;I;14>"3>808?584n`57>3>03-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=4>:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87878:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`24g>=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<1001/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==l8:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f46e>3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132?2i7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??b482>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4;g>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd68k>1=7850;2x :21;2>hf?=0=4i5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa6692=c<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:247?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c33=2<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?0>82.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;949909'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a55?2280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=>080(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>64;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:7;0?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg771:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3<286*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>08295?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7585=0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e992m6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1:484$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb02;a?7=>3:1jn;I;14>"3>808?584n`57>3?03-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87868:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`24=e=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<1101/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==6m:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f46f83;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132?3i7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??9g82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4:g>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd680o1=7850;2x :21;2>hf?=0=5i5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa6692;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:2o7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c33=g<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?0f82.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;949a09'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5510280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=>h80(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>86;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:7c0?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77?<0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>06695?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7585e0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e99=86<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1:l84$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0244?7=>3:1jn;I;14>"3>808?584n`57>3g03-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<878n8:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`243c=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<1i01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==8k:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f461k3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132?ki7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??6c82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4bg>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd681:1=7850;2x :21;2>hf?=0=mi5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa6692dc<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c333a<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?0e82.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;949b09'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a551e280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=>k80(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>90;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:7`0?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77=o0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>04g95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7585f0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e99?o6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1:o84$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb026g?7=>3:1jn;I;14>"3>808?584n`57>3d03-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<878m8:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`240>=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<1j01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==;8:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f462>3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132?hi7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??5482>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4ag>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd68<>1=7850;2x :21;2>hf?=0=ni5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa6692gc<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:=47?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c3322<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?0d82.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;949c09'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5502280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=>j80(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>94;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:7a0?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77>:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>02g95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7585g0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e999o6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1:n84$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb020g?7=>3:1jn;I;14>"3>808?584n`57>3e03-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<878l8:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`246g=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<1k01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi===6:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f464>3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132?ii7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??3482>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4`g>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd68:>1=7850;2x :21;2>hf?=0=oi5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa6692fc<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:8>7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c3374<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?0c82.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;949d09'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a552d280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=>m80(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>;b;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:7f0?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>05;95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7585`0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e99>36<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1:i84$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb022a?7=>3:1jn;I;14>"3>808?584n`57>3b03-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<878k8:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`244e=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<1l01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==?m:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f466i3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132?ni7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??1882>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4gg>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd688<1=7850;2x :21;2>hf?=0=hi5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa6692ac<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8::87?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c3356<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?0b82.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;949e09'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5576280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=>l80(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>=e;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:7g0?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77:m0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>03a95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7585a0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e998i6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1:h84$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb021e?7=>3:1jn;I;14>"3>808?584n`57>3c03-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=44>:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<878j8:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2450=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<1m01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==>::085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f467<3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132?oi7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??0282>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4fg>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd68981=7850;2x :21;2>hf?=0=ii5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa6692`c<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:;i7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c334a<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?0a82.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;949f09'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a556e280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=>o80(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>?a;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:7d0?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77800:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plie982>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4e1>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdam>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plie782>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4e3>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdam<0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plie582>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4e=>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdam:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plie182>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4ef>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdalo0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plidd82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4e`>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdalm0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<35$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plidb82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;4eb>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdalk0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=;<6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plif182>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;535>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdamo0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=;>6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plied82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;537>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdamm0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=;86*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plieb82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;531>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdamk0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=;:6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plicd82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;533>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdakm0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=;46*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plicb82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;53=>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdakk0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=;m6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plic`82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;53f>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdak00:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=;o6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plic782>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;53`>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdak<0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=;i6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plic582>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;53b>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdak:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=:<6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plic382>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;525>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdak80:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=:>6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plid982>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;527>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdal>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=:86*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plid782>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;521>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdal<0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=::6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plid582>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;523>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdal:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=:46*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>0g295?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n75845<=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e99om6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1;gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb02fa?7=>3:1jn;I;14>"3>808?584n`57>27e3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<879>c:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`24`e=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<09m1/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==km:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f46b03;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132>;m7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??e682>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;514>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd68l<1=7850;2x :21;2>hf?=0<><5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa669374<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:n87?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c33a6<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?15<2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;948249'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a55`0280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=?;<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>i6;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:604?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77n<0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=946*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>0g695?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n75846<=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e99l86<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1;?o4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb02f4?7=>3:1jn;I;14>"3>808?584n`57>24e3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<879=c:&:2f!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`24ac=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<0:m1/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==jk:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f46ck3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132>8m7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??dc82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;504>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd68m21=7850;2x :21;2>hf?=05$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa669364<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:o:7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c33`0<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?14<2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;948349'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a55b4280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=?:<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>78;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:614?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg770>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=846*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>09495?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n75847<=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e992>6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1;>o4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb02;0?7=>3:1jn;I;14>"3>808?584n`57>25e3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=4>:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<879!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2470=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<0;m1/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi==<::085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f465<3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132>9m7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo??2282>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;574>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd68;81=7850;2x :21;2>hf?=0<8<5+97a9fg75$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa669314<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8:o<7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c33gc<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?13<2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;948449'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a55ec280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=?=<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn<>lc;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:664?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg77kk0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=?46*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807plib082>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;57=>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdaj90:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=?m6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pliag82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;57f>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdail0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=?o6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pliae82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;57`>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xdaij0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3=?i6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>20395?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n75840c=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:abcc=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<0=91/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wijkj51;494?6|,0k86>mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;948509'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:abce=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<0=;1/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wijkl51;494?6|,0k86>mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;948529'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:abcg=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<0==1/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wijk751;494?6|,0k86>mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;948549'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a576f28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=?<<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj88;j7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?=1182>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;56<>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`265c=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<0=01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9;:36<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1;8o4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:67a?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3142<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?12k2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd6:9i1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0<9i5+97a9fg75$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=?>k:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>23a3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg76no0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3==<6*66b8af4=n:8;1<7*n738160=5$`51>16032c277=2:J:e1==851;:94?6|,0k86>j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;948609'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm10df>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669334<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f447;3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132><87)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87994:&:2f!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>21095?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758420=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a54`d28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=??<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8;mh7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?>fc82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;55<>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`25cg=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<0>01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9;::6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1;;o4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:64a?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c32b6<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?11k2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd69o>1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0<:i5+97a9fg75$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>20a3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg76n10:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3=<<6*66b8af4=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;948709'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm10d3>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669324<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f47a93;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132>=87)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87984:&:2f!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>1da95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758430=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a54cc28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=?><0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8;nn7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?>e482>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;54<>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`25`0=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<0?01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e98o?6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1;:o4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:65a?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c32a<<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?10k2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd69l91=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0<;i5+97a9fg75$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>21a3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg76m80:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3=3<6*66b8af4=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;948809'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm10g3>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa6693=4<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f47ci3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132>287)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87974:&:2f!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>1e;95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n7584<0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a54bb28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=?1<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8;o47?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?>d682>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;5;<>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`25a7=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<0001/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e98n=6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1;5o4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:6:a?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c32`0<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?1?k2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd69jl1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0<4i5+97a9fg75$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>2>a3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg76l:0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3=2<6*66b8af4=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;948909'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm10ag>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa6693<4<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f47dj3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132>387)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87964:&:2f!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>1b695?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n7584=0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a54e528036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=?0<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8;h=7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?>c982>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;5:<>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`25f0=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<0101/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e98i;6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1;4o4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:6;a?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c32fc<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?1>k2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd69k21=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0<5i5+97a9fg75$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>2?a3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg76jm0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3=j<6*66b8af4=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;948a09'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm10`5>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa6693d4<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f47ek3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132>k87)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<879n4:&:2f!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>1c695?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n7584e0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a54d228036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=?h<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8;jm7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?>9g82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;5b<>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`25g5=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<0i01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{enh<1=7650;2x 8158k4$530>03<,=<:6>=76:lb31<0ih1/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n1<31<7*n738:36=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?083:1(l9=:853?M?f<21vn47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:6ca?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}cd;f?7=03:14:5:&724<4;1<0bl9;:6c`?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f96294?"f?;02;=5G9`68?xda0=0:654?:1y'=d5=;m<0Dl;k;I;b1>"f?;029h5+401910=#69;oc40?1fl2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>=00;6)o82;;47>=h19n1<7*n738;=5=!g0:339>6F6a598m<17290/m:<59628Lj9;Ic6`>N>i<1/m:<594g8 1742:21;2>hf?=05$`51>77d32c98i4?:%c46?27?21b58750;&b37<>?:10c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3<<7>5$`51><173A3j865rbg::>4Nf=m1C5l;4$`51><3b3->:?7;:;%655?540?1em::57`d8 <0d2kh:7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i87:>5<#i>815:=4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:33<<6F6a598yg758k0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3=i<6*66b8af4=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=4>:983>5}#1h91?i84H`7g?M?f=2.j;?465d9'045==<1/8;?532:5?kg0<3=i=6*66b8af4=n:8;1<7*n738160=5$`51>16032c2944?:%c46??0;21d5=j50;&b3777=2:J:e1==83:p(4o<:2f5?Mg2l2B2m85+a609=0c<,=;868;4$542>65?>2dj;948b39'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~f44783;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132>h87)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=7?58;294~">i:08h;5Ga4f8L77:e:&756<2=2.?:<4<3948jd132>h?7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3>57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07pli8682>=<729q/5l=53e48Ld3c3A3j96*n738:1`=#<891985+473976>13gk<879m5:&:2f!g0:335<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e49?:18'e24=1>:0D4o;;:a54`228036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=?k<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zjo2:6<47:183!?f;39o:6Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=?k=0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>?90;6)o82;;44>N>i=10qoh76;3947)o82;;6a>"39:0>96*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b5:>50;&b37<>?91C5l:4;|`25`>=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<0j01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e98no6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1;oo4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:6`a?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c32fd<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?1ek2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd69j?1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=05$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=<7<:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>2da3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg76j;0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3=h<6*66b8af4=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;948c09'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm10`3>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa6693f4<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f47f13;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132>i87)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<879l4:&:2f!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>1`g95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n7584g0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a54g?28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=?j<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8;j;7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?>ae82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;5`<>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`25d0=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<0k01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e98kh6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1;no4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:6aa?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c32eg<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?1dk2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd690o1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=05$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>2ea3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg76i:0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3=o<6*66b8af4=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;948d09'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm10c2>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa6693a4<,0;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f47f:3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132>n87)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<879k4:&:2f!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>18c95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n7584`0=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a54?e28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=?m<0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8;247?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?>9682>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;5g<>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`25t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<0l01/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e983>6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1;io4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f47>>3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132>nh7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd0`?7=>3:14<;I;14>"3>808?584n`57>2bb3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd02?7=>3:14<;I;14>"3>808?584n`57>2c73-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0;%;5g?de92c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}cd0g?7=>3:14<;I;14>"3>808?584n`57>2c53-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd00?7=>3:14<;I;14>"3>808?584n`57>2c33-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd07?7=>3:14<;I;14>"3>808?584n`57>2c13-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd0=?7=>3:14<;I;14>"3>808?584n`57>2c?3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd12?7=>3:14<;I;14>"3>808?584n`57>2cf3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd04?7=>3:14<;I;14>"3>808?584n`57>2cd3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd1e?7=>3:14<;I;14>"3>808?584n`57>2cb3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd10?7=>3:14<;I;14>"3>808?584n`57>2`73-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0;%;5g?de92c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}cd1=?7=>3:14<;I;14>"3>808?584n`57>2`53-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd14?7=>3:14<;I;14>"3>808?584n`57>2`33-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd2`?7=>3:14<;I;14>"3>808?584n`57>2`13-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd2a?7=>3:14<;I;14>"3>808?584n`57>2`?3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd70?7=>3:14<;I;14>"3>808?584n`57>2`f3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd75?7=>3:14<;I;14>"3>808?584n`57>2`d3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd13?7=>3:14<;I;14>"3>808?584n`57>2`b3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cd03?7=>3:14<;I;14>"3>808?584n`57>=673-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0>;%;5g?de92c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3152<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?>7:2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xda9k0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<32;?6*66b8af4=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>20795?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758;41=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a577528036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=09?0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj88::7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=09;%;5g?de92c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?69282>6<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?>7?2.2:n4>9268m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj832>7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:92;?!?1k3;2?95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5<17280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>14=74$84`>4?4<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::581c8 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0;:5?7=;3:15$`51>=?732wi=48i:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669<5e<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e902;6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=09n0(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj832<7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:92f?!?1k3;2?95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5<0b280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>14=h4$84`>4?4<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::58028 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0;;b?7=;3:15$`51>=?732wi=48k:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669<44<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e90=n6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=0890(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj833i7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:937?!?1k3;2?95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5<0d280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>14<;4$84`>4?4<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::58048 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0;;`?7=;3:15$`51>=?732wi=48m:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669<4><,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e90=h6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=0830(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj833o7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:93b?!?1k3;2?95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5<0f280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>144?4<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::580a8 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0;:g?7=;3:15$`51>=?732wi=496:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669<4c<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e902j6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=08l0(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj832n7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:903?!?1k3;2?95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5<1?280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>14??4$84`>4?4<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::58308 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0;:e?7=;3:15$`51>=?732wi=498:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669<72<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e90236<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=0;?0(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj83257?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:905?!?1k3;2?95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5<11280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>14?94$84`>4?4<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::583:8 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0;:5$`51>=?732wi=49::087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669<7g<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e902=6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=0;h0(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj832;7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:90`?!?1k3;2?95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5<13280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>14?j4$84`>4?4<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::583g8 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0;:2?7=;3:15$`51>=?732wi=49<:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669<66<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e902?6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=0:;0(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj83297?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:911?!?1k3;2?95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5<15280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>14>=4$84`>4?4<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::58268 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0;:0?7=;3:17)79c;3:71=n:;91<7*n738742=5$`51>=?732wi=49>:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669<60<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e90296<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=0:=0(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj833n7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:91;?!?1k3;2?95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5<0>280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>14>74$84`>4?4<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::582c8 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0;6b?7=;3:15$`51>=?732wi=4;j:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669<6e<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd61:i1=7:50;2x 818:j4$542>65?>2dj;9473e9'=3e=909?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2=1b=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758;7`=#1?i1=4=;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5<3c28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>14>h4$84`>4?4<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>92`95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:59m51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=038<5+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi=4;l:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669<14<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd61:k1=7:50;2x 818:j4$542>65?>2dj;947429'=3e=909?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2=1d=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758;01=#1?i1=4=;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5<3e28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>149;4$84`>4?4<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>92;95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:59o51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=038:5+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi=4;n:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669<1><,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd61:21=7:50;2x 818:j4$542>65?>2dj;947489'=3e=909?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2=1?=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758;0d=#1?i1=4=;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5<3>28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>149l4$84`>4?4<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>92595?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:59651;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=038i5+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi=4;7:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669<1c<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd61:<1=7:50;2x 818:j4$542>65?>2dj;9474g9'=3e=909?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2=11=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758;15=#1?i1=4=;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5<0?28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>148?4$84`>4?4<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>95795?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:58851;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=039>5+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi=488:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669<02<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd61=>1=7:50;2x 818:j4$542>65?>2dj;947549'=3e=909?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2=03=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758;13=#1?i1=4=;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5<0128086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>14894$84`>4?4<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>95195?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:58:51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=03945+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi=48::080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669<0g<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd61=81=7:50;2x 818:j4$542>65?>2dj;9475c9'=3e=909?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2=05=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758;1f=#1?i1=4=;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5<0328086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>148j4$84`>4?4<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>95395?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:58<51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=039k5+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi=48<:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669<36<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd61=:1=7:50;2x 818:j4$542>65?>2dj;947609'=3e=909?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2=07=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758;27=#1?i1=4=;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5<0528086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>14;=4$84`>4?4<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>92d95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31=1/5;m51817?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:58>51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=03:85+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi=48>:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669<30<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd61:o1=7:50;2x 818:j4$542>65?>2dj;947669'=3e=909?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2=1`=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758;2==#1?i1=4=;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5<0728086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>14;74$84`>4?4<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>92f95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31h1/5;m51817?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:59k51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128a`>hf?=03:o5+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi=4;8:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669<3e<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd61:?1=7:50;2x 818:j4$542>65?>2dj;9476e9'=3e=909?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2=10=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0ih6`n758;2`=#1?i1=4=;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5d>a28036=4?{%;b7?5c>2Bj9i5G9`78 d1520?n7):>3;76?!2193984;5aa669<3`<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=26=83.j;?46719K=d2<3th:m;l51;:94?6|,0k86>j9;Ic6`>N>i<1/m:<594g8 1742:21;2>hf?=03;=5+97a95<533`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4;6:18'e24=1>907b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L=l1/8<=53:J:65=#69;oc40?>092.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9h2h6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>14:<4$84`>4?4<2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?n8c82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;:47>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5d>c28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=0>>0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87685:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1`::>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669<20<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7f1>0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<32<;6*66b82=625$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=l79:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3b<=<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?>012.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9h3>6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>14:o4$84`>4?4<2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?n9582>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;:4f>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5d?528036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=0>i0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<8768d:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1`;0>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669<2c<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7f190:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<325$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=l68:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3b<3<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?>?92.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9h6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>145<4$84`>4?4<2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?n6882>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;:;7>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5d0028036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=01>0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87675:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1`4;>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669<=0<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7f><0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<323;6*66b82=625$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=l8;:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3b37<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?>?12.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9h=:6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>145o4$84`>4?4<2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?n7282>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;:;f>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5d1728036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=01i0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<8767d:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1`4g>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669<=c<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7f>j0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<323j6*66b82=625$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=l8j:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3b26<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?>>92.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9h<96<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>144<4$84`>4?4<2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?n4g82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;::7>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5d2b28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=00>0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87665:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5deb280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=00<0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?nce82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;::3>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9hih6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>14464$84`>4?4<2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3bgg<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?>>12.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi=lmn:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7fl>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<322n6*66b82=625$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669<7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<8766d:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5db3280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=00o0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?nd282>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;::b>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9hn96<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>14l>4$84`>4?4<2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3b`4<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?>f92.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi=lj?:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7fk00:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<32j?6*66b82=625$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa6697<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<876n5:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5dgb280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=0h<0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?nae82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;:b3>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9hkh6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>14l64$84`>4?4<2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3beg<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?>f12.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi=lon:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7fj>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<32jn6*66b82=625$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa6697<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<876nd:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5dd3280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=0ho0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?nb282>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;:bb>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9hh96<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>14o>4$84`>4?4<2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3bf4<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?>e92.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi=ll?:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7fi00:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<32i?6*66b82=625$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa6697<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<876m5:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1`6;>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa6697<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7>nk0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<32i;6*66b82=625$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=4hj:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3:b=<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?>e12.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9h8=6<47:183!?f;39o:6Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=0kk0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3>57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07pl>a3195?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758;fg=#1?i1=4=;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f4?a:3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd1321hh7)79c;3:71=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;947be9'=3e=909?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>=db3-3=o7?6359j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd6i8n1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=03nk5+97a95<533`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:9a3?!?1k3;2?95f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`2e4g=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj83n57?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0;=1b>!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>9da95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758;g6=#1?i1=4=;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f4g6?3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd1321i?7)79c;3:71=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;947c49'=3e=909?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>=e13-3=o7?6359j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd61l;1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=03o:5+97a95<533`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:9a;?!?1k3;2?95f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`2=`2=9321<7>t$8c0>6b13Ak>h6F6a49'e24=17<>c:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<876la:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm18fa>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa6697<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7>ll0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<32ho6*66b82=625$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=l=n:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3:`=<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?>dm2.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e90n>6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>14nh4$84`>4?4<2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?n3582>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;:g4>">>j0:5>:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5d5028036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=0m;0(48l:0;00>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=h4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<876k2:&:2f<61:>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1`0a>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa6697<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7f;80:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<32o86*66b82=625$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=l?>:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3b06<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?>c>2.2:n4>9268m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi=l?9:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}ccb5?7=<3:1">>j0j4i5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<32o56*66b8b:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}ccb4?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wim4k51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>14il4$84`>d>c3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:ae<`=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6695$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wim4j51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758;`a=#1?i1m5j4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198ygg>j3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?>cm2.2:n4n8e9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<876kf:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198ygg>i3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>=76:&756<4ih1em::58d38 <0d2h2o7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{ei031=7:50;2x 815??4$542>65?>2.?=>47co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sma8595?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<32n86*66b8b:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}cc:2?7=<3:1">>j0j4i5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:9g5?!?1k3k3h6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:ae<4=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa669<`1<,05$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wim4=51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>14h64$84`>d>c3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:ae<7=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=03i45+97a9e=b<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<876ja:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yggel3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?>bj2.2:n4n8e9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>=cd3-3=o7o7d:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{eik31=7:50;2x 815??4$542>65?>2.?=>47co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smacc95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::58dg8 <0d2h2o7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{eik21=7:50;2x 818:j4$542>65?>2dj;947eg9'=3e=i1n0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}cca2?7=<3:1">>j0j4i5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<32m=6*66b8b:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}cca1?7=<3:1o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wimo=51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>14k=4$84`>d>c3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:aeg2=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6695$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wimo<51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758;b0=#1?i1m5j4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198ygge83;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?>a>2.2:n4n8e9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<876i7:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yggfn3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>=76:&756<4ih1em::58g;8 <0d2h2o7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{eiho1=7:50;2x 815??4$542>65?>2.?=>47co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sma`a95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o>=00;6)o82;;6f>=h?>91<7*n738;=5=i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<32mo6*66b8b:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}ccbf?7=<3:1">>j0j4i5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:9df?!?1k3k3h6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:aed1=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa6695$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wiml651;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>15=>4$84`>d>c3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:aed0=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=02<<5+97a9e=b<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<877?2:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198ygg>83;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40??7;2.2:n4n8e9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57><633-3=o7o7d:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{eih>1=7:50;2x 815??4$542>65?>2.?=>47co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sma`795?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::59148 <0d2h2o7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{eih91=7:50;2x 818:j4$542>65?>2dj;946069'=3e=i1n0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c`31?7=>3:14<;I;14>"3>808?584n`57><6?3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=06;%;5g?g?l2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c`37?7=>3:14<;I;14>"3>808?584n`57><6f3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0m;%;5g?g?l2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c`35?7=>3:14<;I;14>"3>808?584n`57><6d3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0k;%;5g?g?l2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c`3`?7=>3:14<;I;14>"3>808?584n`57><6b3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0i;%;5g?g?l2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c`3f?7=>3:14<;I;14>"3>808?584n`57><773-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0;%;5g?g?l2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c`3=?7=>3:14<;I;14>"3>808?584n`57><753-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c`33?7=>3:14<;I;14>"3>808?584n`57><733-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}cceb?7=>3:14<;I;14>"3>808?584n`57><713-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}ccf6?7=>3:14<;I;14>"3>808?584n`57><7?3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}ccf4?7=>3:14<;I;14>"3>808?584n`57><7f3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}ccga?7=>3:14<;I;14>"3>808?584n`57><7d3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}ccfe?7=>3:14<;I;14>"3>808?584n`57><7b3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}ccf3:14<;I;14>"3>808?584n`57><473-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0;%;5g?g?l2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}ccf2?7=>3:14<;I;14>"3>808?584n`57><453-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}ccf0?7=>3:14<;I;14>"3>808?584n`57><433-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}ccgg?7=>3:14<;I;14>"3>808?584n`57><413-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c`:a?7=03:14:5:&724<4;1<0bl9;:80;?!?1k3k3h6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f96294?"f?;02;=5G9`68?xde1m0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<33956*66b8b5$`51>16032c277=2:J:e1=:983>5}#1h91?i84H`7g?M?f=2.j;?465d9'045==<1/8;?532:5?kg0<339m6*66b8b5$`51>16032c2944?:%c46??0;21d5=j50;&b3777=2:J:e1==83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758:6g=#1?i1m5j4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:aft$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<>:j1/5;m5a9f8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{ej031=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=02>i5+97a9e=b5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432winl851;:94?6|,0k86>j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;9462d9'=3e=i1n0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876smb`795?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758:6c=#1?i1m5j4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:afd2=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<>;91/5;m5a9f8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{ejh91=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=02?<5+97a9e=b5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432winl<51;:94?6|,0k86>j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;946339'=3e=i1n0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876smb`395?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758:76=#1?i1m5j4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:afd6=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<>;=1/5;m5a9f8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{ej0l1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=02?85+97a9e=b5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432win4651;:94?6|,0k86>j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;946379'=3e=i1n0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876smb8595?>=83:p(4o<:2f5?Mg2l2B2m85+a609=0c<,=;868;4$542>65?>2dj;946369'=3e=i1n0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~fde3280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=1:20(48l:`:g?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vnlm<:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D41;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~fde5280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=1:k0(48l:`:g?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vnoon:08;>5<7s-3j?7=k6:Jb1a=O1h?0(l9=:87f?!26;3?>7):91;10<3=ii>>15>l4$84`>d>c3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4;6:18'e24=1>907b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L3:14<;I;14>"3>808?584n`57><5d3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c`5b?7=>3:14<;I;14>"3>808?584n`57><5b3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c`5`?7=>3:14<;I;14>"3>808?584n`57><273-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0;%;5g?g?l2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c`5f?7=>3:14<;I;14>"3>808?584n`57><253-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:33>o65f22c94?"f?;02>?54}c`11?7=03:14:5:&724<4;1<0bl9;:867?!?1k3k3h6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f96294?"f?;02;=5G9`68?xde:=0:654?:1y'=d5=;m<0Dl;k;I;b1>"f?;029h5+401910=#69;oc40??3=2.2:n4n8e9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>=00;6)o82;;47>=h19n1<7*n738;=5=!g0:339>6F6a598m<17290/m:<59628Lj9;Ic6`>N>i<1/m:<594g8 1742:21;2>hf?=028;5+97a9e=b5$`51>77d32c98i4?:%c46?27?21b58750;&b37<>?:10c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3<<7>5$`51><173A3j865rbc01>4Nf=m1C5l;4$`51><3b3->:?7;:;%655?540?1em::59558 <0d2h2o7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i87:>5<#i>815:=4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:33<<6F6a598ygd593;147>50z&:e6<4l?1Cm8j4H8c6?!g0:33>i6*;12861>"3>808?584n`57><2?3-3=o7o7d:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=26=83.j;?46719K=d2<3thi>=4>:983>5}#1h91?i84H`7g?M?f=2.j;?465d9'045==<1/8;?532:5?kg0<33?56*66b8b5$`51>16032c2944?:%c46??0;21d5=j50;&b3777=2:J:e1==83:p(4o<:2f5?Mg2l2B2m85+a609=0c<,=;868;4$542>65?>2dj;9464`9'=3e=i1n0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~fg4d28036=4?{%;b7?5c>2Bj9i5G9`78 d1520?n7):>3;76?!2193984;5aa669=1d<,07<>c:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=i:08h;5Ga4f8L77:e:&756<2=2.?:<4<3948jd1320>h7)79c;c;`>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3>57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07plm2`82>=<729q/5l=53e48Ld3c3A3j96*n738:1`=#<891985+473976>13gk<877;d:&:2f!g0:335<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e49?:18'e24=1>:0D4o;;:af7?=9321<7>t$8c0>6b13Ak>h6F6a49'e24=15<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=0?=83.j;?467298k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;44?6=,h=9649?;I;b0>=zjk836<47:183!?f;39o:6Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=1=l0(48l:`:g?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>?90;6)o82;;44>N>i=10qol=7;3947)o82;;6a>"39:0>96*;60807=0!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b5:>50;&b37<>?91C5l:4;|`a63<6210;6=u+9`197a0<@h?o7E7n5:&b37<>=l1/8<=5549'037=;:2=7co84;;65>">>j0j4i5f20394?"f?;09>854i33`>5<#i>81>8;:k:1<<72-k<>7783:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i853>5<#i>815:>4H8c7?>{ej8l1=7650;2x 8158k4$530>03<,=<:6>=76:lb31<>=;1/5;m5a9f8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n1<31<7*n738:36=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?083:1(l9=:853?M?f<21vno?j:08;>5<7s-3j?7=k6:Jb1a=O1h?0(l9=:87f?!26;3?>7):91;10<3=ii>>158=4$84`>d>c3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4;6:18'e24=1>907b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L86*66b81566=<7>5$`51>16032e<;>4?:%c46?>>821vn?=>1;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57><323-3=o7<>319j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e:;lj6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a667d28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>15894$84`>77482c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=31a95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<>=11/5;m52013?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9?N>i<1/m:<5a4`8 1062:93:6`n758:1<=#1?i1><=?;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg448h0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<877:a:&:2f<59::0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c005d<62:0;6=u+9`19e0e<@h?o7E7n5:&b37n6*66b81566=<7>5$`51>16032e<;>4?:%c46?>>821vn?=?8;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57><3d3-3=o7<>319j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;9:57?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:87g?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a6661280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>158k4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=1o5::0;6)o82;633>=n=86=4+a609<<6<3th9?=:51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758:25=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5;8=1=7=50;2x 81m8l4$542>65?>2dj;946609'=3e=:89;7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0047<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<33=>6*66b81566m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40??1;2.2:n4=1228m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;9;<7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:847?!?1k38:?=5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f756=3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm23df>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=1?<0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>>?;:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669=31<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5:oi1=7:50;2x 818:j4$542>65?>2dj;946699'=3e=:89;7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1746=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=02:45+97a964573`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40??1i2.2:n4=1228m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;;5f>">>j09=>>4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f77b93;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm20a5>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=1?n0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669=3c<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd59j>1=7:50;2x 818:j4$542>65?>2dj;9466g9'=3e=:89;7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`15a`=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=02;=5+97a964573`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b37c382>1<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40??092.2:n4=1228m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;;46>">>j09=>>4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f77d83;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb33g`?7=;3:15$`51>=?732wi>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669=23<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8oh6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::59648 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`15a>=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=02;:5+97a964573`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=1d`95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<>?11/5;m52013?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;;4=>">>j09=>>4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg46mh0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<8778a:&:2f<59::0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb33g0?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn??j9;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57><1d3-3=o7<>319j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e:8n96<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a64c?28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>15:k4$84`>77482c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=1e295?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<>?o1/5;m52013?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9=h951;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758:<5=#1?i1><=?;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg46kl0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87771:&:2f<59::0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c02a3<62:0;6=u+9`19e0e<@h?o7E7n5:&b376*66b81566=<7>5$`51>16032e<;>4?:%c46?>>821vn??lc;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57><>43-3=o7<>319j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;;n97?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:8:7?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a64ef280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>155;4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=11<0(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9=n651;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758:<2=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd59mi1=7=50;2x 81m8l4$542>65?>2dj;946899'=3e=:89;7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c02fa<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<33356*66b81566m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b374b82>6<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40???i2.2:n4=1228m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;;?n7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:8:a?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a645>280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>155m4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=11n0(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9=>651;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758:<`=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd59=81=7=50;2x 815??4$542>65?>2.?=>4md:lb31<>0o1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:8>26<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::59828 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`1561=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=025<5+97a964573`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=15395?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm206;>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=1090(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9=>851;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758:=1=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd59=:1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<>1<1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:8><6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::59848 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`1563=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=025:5+97a964573`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=12d95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2065>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=1030(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9=>:51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758:=d=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd59:o1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<>1k1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:8>>6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::598a8 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`1565=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=025i5+97a964573`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=12f95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2067>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=10l0(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9=><51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758:e5=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd59:i1=7=50;2x 815??4$542>65?>2.?=>4md:lb31<>i81/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:8>86<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::59`08 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`1567=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=02m>5+97a964573`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=12`95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm23gf>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=1h?0(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9>hj51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758:e3=#1?i1><=?;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg45l?0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<877n7:&:2f<59::0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c01b=<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>3-3=o7<>319j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;8m;7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:8cb?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a67c1280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>15ll4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=1hi0(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9>h:51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758:ea=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5:o?1=7=50;2x 81m8l4$542>65?>2dj;946ad9'=3e=:89;7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c01a7<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<33jj6*66b81566m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40??e82.2:n4=1228m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;8n<7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:8`2?!?1k38:?=5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f74a;3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm23ff>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=1k90(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>?h=:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669=g2<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5:mi1=7:50;2x 818:j4$542>65?>2dj;946b49'=3e=:89;7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`16c7=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=02n;5+97a964573`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40??e?2.2:n4=1228m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;;a<>">>j09=>>4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f74c03;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb30fg?7=;3:15$`51>=?732wi>?j::087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669=gd<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:;ij6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::59ca8 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`16f?=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=02ni5+97a964573`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40??em2.2:n4=1228m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;;ab>">>j09=>>4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f74d<3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb30g7?7=;3:15$`51>=?732wi>?m=:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669=f4<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:;n96<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::59b18 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`16f6=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=02o95+97a964573`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=2e395?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<>k<1/5;m52013?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;;`2>">>j09=>>4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg45l90:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<877l7:&:2f<59::0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb30ag?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>3-3=o7<>319j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e:;hj6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a67eb28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>15nl4$84`>77482c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=2c:95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<>kj1/5;m52013?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9>nj51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758:ga=#1?i1><=?;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg45j?0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<877le:&:2f<59::0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c01gf<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>319j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;8h47?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:8f2?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a67d6280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>15i<4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=1m90(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9>l651;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758:`1=#1?i1><=?;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg458k0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<877k5:&:2f<59::0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c01e3<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>319j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;8j97?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:8f;?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a676?280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>15i74$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=1mk0(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9>=951;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758:`g=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5:h91=7=50;2x 81m8l4$542>65?>2dj;946db9'=3e=:89;7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0143<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<33oh6*66b81566m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40??cm2.2:n4=1228m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;8;97?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:8fe?!?1k38:?=5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f74f93;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2327>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=1l;0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>?o?:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669=`4<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5:991=7:50;2x 818:j4$542>65?>2dj;946e29'=3e=:89;7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`16<`=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=02i95+97a964573`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40??b=2.2:n4=1228m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;;f2>">>j09=>>4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f74793;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb30:`?7=;3:15$`51>=?732wi>?>?:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669=`?<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:;3i6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::59dc8 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`15cc=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=02io5+97a964573`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=28c95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<>mj1/5;m52013?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;;f`>">>j09=>>4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg45100:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<877je:&:2f<59::0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb33eg?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?<68;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57><`73-3=o7<>319j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e:8li6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a67?028086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>15k<4$84`>77482c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=1gc95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<>n:1/5;m52013?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9>4851;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758:b1=#1?i1><=?;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg46n00:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<877i5:&:2f<59::0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c01=0<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn??i8;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57><`03-3=o7<>319j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;8287?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:8d;?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a64`0280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>15k74$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=1ok0(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9=k851;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758:bg=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5:081=7=50;2x 81m8l4$542>65?>2dj;946fb9'=3e=:89;7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c02b0<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<33mh6*66b81566m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40??am2.2:n4=1228m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;8:>7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:8de?!?1k38:?=5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f74fn3;1?7>50z&:e6n6*;60807=0?;%;5g?46;91b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2332>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i9;0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>?oj:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669e54<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5:8:1=7:50;2x 818:j4$542>65?>2dj;94n029'=3e=:89;7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`16db=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0j<95+97a964573`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?g7=2.2:n4=1228m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;c32>">>j09=>>4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f747m3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>8;%;5g?46;91b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb30bf?7=;3:15$`51>=?732wi>?>k:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e5?<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:;kj6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5a1c8 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`165e=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0j5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=2`595?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;c3`>">>j09=>>4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg451j0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87o?e:&:2f<59::0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb33eb?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?<61;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>d773-3=o7<>319j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e:8l86<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a64g6280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m<<4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i890(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e42<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8k26<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a64g?280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m<84$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i8=0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e4><,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8k>6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a64g3280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i8h0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e4e<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:83m6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6432280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i8l0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><;<:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e76<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8?96<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6436280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m?<4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i;90(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><;j:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e72<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8?o6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a643d280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m?84$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i;=0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><;n:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e7><,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8?26<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a643?280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m?o4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i;h0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><;9:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e7e<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8>m6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a64>>280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m?k4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i;l0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><7=:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e66<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:83:6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a64?7280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m><4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i:90(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><6j:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e62<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:82o6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a64>d280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m>84$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i:=0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><6n:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e6><,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:82<6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6416280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m>o4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i:h0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><9n:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e6e<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8=26<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a641?280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m>k4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i:l0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><99:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e16<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8=>6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6413280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m9<4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i=90(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi><9=:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e12<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:8!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a665a280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891;85aa669e10<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?=;1;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>2350:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg44;l0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87o;8:&:2f<59::0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c0007<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3=>7co84;c7=>">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9?9=51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;12841>hf?=0j8l5+97a964573`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a6627280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m9l4$84`>77482c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<3290;w)7n3;6;4>Nf=m1C5l;4$`51>11c3->==7=<879me22=i=i0(48l:3304>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>>=m:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+401930=ii>>1m9j4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f754k3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86:;4n`57>d2b3-3=o7<>319j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?g3n2.2:n4=1228m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;56?kg0<3k><6*66b815665<#i>81=5;4n`53>4=5<#i>8144>4;|`1761=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0<96`n758b14=#1?i1><=?;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi>>=;:087>5<7s-3j?7:8f:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e04<,07:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e::936<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5749me22=i<90(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb310=?7=<3:1?0bl9;:`77?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;c61>">>j09=>>4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg44;:0:694?:1y'=d5=<1:0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87o:6:&:2f<59::0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c0074<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3=>7co84;c63>">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9?><51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;12841>hf?=0j955+97a964573`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a665f280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m874$84`>77482c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=?<1em::5a4c8 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;99h7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:678jd132h?i7)79c;0275=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c006d<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3k>o6*66b81566<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?123gk<87o:d:&:2f<59::0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd5;;l1=7:50;2x 815??4$542>65?>2.?=>485:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm220`>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=io4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>><6:087>5<7s-3j?7:70:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e36<,07:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e::8<6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5749me22=i?;0(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb311?0bl9;:`41?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;c57>">>j09=>>4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg44:80:694?:1y'=d5="f?;02><5+473976>13->:?79:;oc40?g1<2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=33195?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<0=2dj;94n649'=3e=:89;7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e::8;6<4;:183!?f;3>!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a6643280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891;85aa669e31<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?==5;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>2350:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg44:;0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87o99:&:2f<59::0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c005c<62=0;6=u+9`190=6<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3k=m6*66b81566<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?123gk<87o9b:&:2f<59::0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd5;8o1=7:50;2x 815??4$542>65?>2.?=>485:lb31j1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2205>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=i?n0(48l:3304>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>>m>:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669e3c<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5;j:1=7=50;2x 81m8l4$542>65?>2dj;94n6g9'=3e=:89;7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c00=c<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3k<<6*66b81566m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?g092.2:n4=1228m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;9j47?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:`51?!?1k38:?=5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f75d13;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm22c4>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i>>0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>>m7:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669e23<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5;h<1=7:50;2x 818:j4$542>65?>2dj;94n779'=3e=:89;7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`17f1=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0j;:5+97a964573`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?g002.2:n4=1228m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;c4=>">>j09=>>4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f75f<3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb31`1?7=;3:15$`51>=?732wi>>o<:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669e2e<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e::i?6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5a6f8 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`17d4=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0j;h5+97a964573`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=3b195?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;c;4>">>j09=>>4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg44k;0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87o71:&:2f<59::0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb31b4?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?=mf;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>d>43-3=o7<>319j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e::3o6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a66ca28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1m5;4$84`>77482c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=3dg95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;c;3>">>j09=>>4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg44n10:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87o78:&:2f<59::0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb31g2?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?=i7;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>d>f3-3=o7<>319j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e::n>6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a66`128086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1m5m4$84`>77482c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=3e695?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9?k;51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758b<`=#1?i1><=?;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg44l:0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87o7f:&:2f<59::0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c00b1<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?=k2;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>d?63-3=o7<>319j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;9m?7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:`;1?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a66b6280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1m4=4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=i0>0(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th9?i>51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758b=0=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5;o;1=7=50;2x 81m8l4$542>65?>2dj;94n979'=3e=:89;7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c00gc<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3k2;6*66b81566m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?g>02.2:n4=1228m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;9hi7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:`;:?!?1k38:?=5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f75bl3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm22aa>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=i0h0(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>>7<:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669e7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5;081=7=50;2x 81m8l4$542>65?>2dj;94n9e9'=3e=:89;7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0020<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3k2i6*66b81566m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?g>n2.2:n4=1228m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;9=87?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:`c3?!?1k38:?=5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f75>83;1?7>50z&:e6n6*;60807=0;%;5g?46;91b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2240>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=ih80(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>>6i:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669ed5<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5;?81=7:50;2x 818:j4$542>65?>2dj;94na59'=3e=:89;7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`17=c=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0jm85+97a964573`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?gf>2.2:n4=1228m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;cb3>">>j09=>>4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f751m3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb31:f?7=;3:15$`51>=?732wi>>8k:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669edg<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e::3j6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5a``8 <0d2;;8<6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`173e=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0jmn5+97a964573`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=38;95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;cba>">>j09=>>4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg44110:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87onf:&:2f<59::0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb315e?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?=67;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>dd63-3=o7<>319j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e::<26<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a66?128086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1mo=4$84`>77482c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=37:95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9?4;51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758bf0=#1?i1><=?;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg44>>0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87om6:&:2f<59::0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c00=1<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?=96;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>dd?3-3=o7<>319j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;93h7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:``:?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a663a280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1moo4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=ikh0(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th98N>i<1/m:<5a4`8 1062:93:6`n758bff=#1?i1><=?;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg44nk0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87omd:&:2f<59::0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0763<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?:?4;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>dda3-3=o7<>319j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj;>997?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:`a3?!?1k38:?=5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a6164280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1mn?4$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=ij80(48l:3304>o5::0;6)o82;633>=n=86=4+a609<<6<3th98=<51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758bg6=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5<;91=7=50;2x 81m8l4$542>65?>2dj;94nc59'=3e=:89;7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0744<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3kh96*66b81566m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?gd>2.2:n4=1228m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;>;<7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:`a4?!?1k38:?=5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f72593;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm22de>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=ij30(48l:3304>o5::0;6)o82;63=>=n:5$`51>=?732wi>95<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669efg<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5;oo1=7:50;2x 818:j4$542>65?>2dj;94ncc9'=3e=:89;7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`104`=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0jon5+97a964573`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?gdl2.2:n4=1228m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;c`a>">>j09=>>4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f75ak3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb362f?7=;3:15$`51>=?732wi>>h6:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669ea7<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:?o?6<47:183!?f;39o>6Fn5e9K=d3<,=;86>5+a609=0c<@08;7):91;10<3=ii>>1mi<4$84`>77482c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<9e282>=<729q/5l=53e08Ld3c3A3j96*;1280?!g0:33>i6F6219'037=;:2=7co84;cg7>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a63cd28036=4?{%;b7?5c:2Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=im>0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.?=>4<;%c46??2m2B2>=5+473976>13gk<87ok5:&:2f<59::0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm27gb>4Nf=m1C5l;4$530>6=#i>8158k4H803?!2193984;5aa669ea0<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg41m00:654?:1y'=d5=;m80Dl;k;I;b1>"39:087)o82;;6a>N>:91/8;?532:5?kg0<3ko;6*66b815665$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>;k7:08;>5<7s-3j?7=k2:Jb1a=O1h?0(9?<:29'e24=1!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c05a2<6210;6=u+9`197a4<@h?o7E7n5:&756<43-k<>77:e:J:65=#69;oc40?gc12.2:n4=1228m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:?o=6<47:183!?f;39o>6Fn5e9K=d3<,=;86>5+a609=0c<@08;7):91;10<3=ii>>1mio4$84`>77482c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<9e482>=<729q/5l=53e08Ld3c3A3j96*;1280?!g0:33>i6F6219'037=;:2=7co84;cgf>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a63c528036=4?{%;b7?5c:2Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=imi0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.?=>4<;%c46??2m2B2>=5+473976>13gk<87okd:&:2f<59::0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2442>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669eac<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg42>90:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3koj6*66b815665$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>8;i:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c061`<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?gb92.2:n4=1228m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1mh<4$84`>77482c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<:5b82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;cf7>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a600>28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=il>0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:54>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87oj5:&:2f<59::0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2444>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669e`0<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg42>?0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3kn;6*66b815665$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>88::08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0621<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?gb12.2:n4=1228m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:<<86<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1mho4$84`>77482c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<:6382>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;cff>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a603e28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=ili0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=9l4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87ojd:&:2f<59::0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm27f5>4Nf=m1C5l;4$530>6=#i>8158k4H803?!2193984;5aa669e`c<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg41l<0:654?:1y'=d5=;m80Dl;k;I;b1>"39:087)o82;;6a>N>:91/8;?532:5?kg0<3knj6*66b815665$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>;jj:08;>5<7s-3j?7=k2:Jb1a=O1h?0(9?<:29'e24=1!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c05`a<6210;6=u+9`197a4<@h?o7E7n5:&756<43-k<>77:e:J:65=#69;oc40?ga92.2:n4=1228m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:?nh6<47:183!?f;39o>6Fn5e9K=d3<,=;86>5+a609=0c<@08;7):91;10<3=ii>>1mk<4$84`>77482c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<9dc82>=<729q/5l=53e08Ld3c3A3j96*;1280?!g0:33>i6F6219'037=;:2=7co84;ce7>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a63bf28036=4?{%;b7?5c:2Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=io>0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.?=>4<;%c46??2m2B2>=5+473976>13gk<87oi5:&:2f<59::0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm27f;>4Nf=m1C5l;4$530>6=#i>8158k4H803?!2193984;5aa669ec0<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg41l>0:654?:1y'=d5=;m80Dl;k;I;b1>"39:087)o82;;6a>N>:91/8;?532:5?kg0<3km;6*66b815665$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>;j;:08;>5<7s-3j?7=k2:Jb1a=O1h?0(9?<:29'e24=1!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c05`6<6210;6=u+9`197a4<@h?o7E7n5:&756<43-k<>77:e:J:65=#69;oc40?ga12.2:n4=1228m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:?i36<47:183!?f;39o>6Fn5e9K=d3<,=;86>5+a609=0c<@08;7):91;10<3=ii>>1mko4$84`>77482c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<9c682>=<729q/5l=53e08Ld3c3A3j96*;1280?!g0:33>i6F6219'037=;:2=7co84;cef>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a63b728036=4?{%;b7?5c:2Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=ioi0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.?=>4<;%c46??2m2B2>=5+473976>13gk<87oid:&:2f<59::0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm27af>4Nf=m1C5l;4$530>6=#i>8158k4H803?!2193984;5aa669ecc<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg41km0:654?:1y'=d5=;m80Dl;k;I;b1>"39:087)o82;;6a>N>:91/8;?532:5?kg0<3kmj6*66b815665$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>;ml:08;>5<7s-3j?7=k2:Jb1a=O1h?0(9?<:29'e24=1!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c05gg<6210;6=u+9`197a4<@h?o7E7n5:&756<43-k<>77:e:J:65=#69;oc40?d792.2:n4=1228m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:?ij6<47:183!?f;39o>6Fn5e9K=d3<,=;86>5+a609=0c<@08;7):91;10<3=ii>>1n=<4$84`>77482c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<9c882>=<729q/5l=53e08Ld3c3A3j96*;1280?!g0:33>i6F6219'037=;:2=7co84;`37>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a63e128036=4?{%;b7?5c:2Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=j9>0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.?=>4<;%c46??2m2B2>=5+473976>13gk<87l?5:&:2f<59::0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm24a;>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669f50<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg42k>0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3h;;6*66b815665$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>8m9:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c06g0<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?d712.2:n4=1228m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1n=o4$84`>77482c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<;ed82>=<729q/5l=53e08Ld3c3A3j96*;1280?!g0:33>i6F6219'037=;:2=7co84;`3f>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a61cc28036=4?{%;b7?5c:2Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=j9i0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.?=>4<;%c46??2m2B2>=5+473976>13gk<87l?d:&:2f<59::0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm25ga>4Nf=m1C5l;4$530>6=#i>8158k4H803?!2193984;5aa669f5c<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg43mh0:654?:1y'=d5=;m80Dl;k;I;b1>"39:087)o82;;6a>N>:91/8;?532:5?kg0<3h;j6*66b815665$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>9k6:08;>5<7s-3j?7=k2:Jb1a=O1h?0(9?<:29'e24=1!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c07a=<6210;6=u+9`197a4<@h?o7E7n5:&756<43-k<>77:e:J:65=#69;oc40?d692.2:n4=1228m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:=o<6<47:183!?f;39o>6Fn5e9K=d3<,=;86>5+a609=0c<@08;7):91;10<3=ii>>1n<<4$84`>77482c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<;e782>=<729q/5l=53e08Ld3c3A3j96*;1280?!g0:33>i6F6219'037=;:2=7co84;`27>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a61c228036=4?{%;b7?5c:2Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=j8>0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=>n4>:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87l>5:&:2f<59::0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a604e280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=j8<0(48l:3304>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo<:2`82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;`23>">>j09=>>4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:?926<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1n<64$84`>77482c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<93782>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;`2=>">>j09=>>4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a635228036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=j8k0(48l:3304>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87l>b:&:2f<59::0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2717>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669f4e<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg41;:0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3h:h6*66b815665$`51>16032c257>5$`51><1432wi>;==:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0574<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?d6n2.2:n4=1228m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:?9;6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1n?>4$84`>77482c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<92g82>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;`15>">>j09=>>4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a634c28036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=j;80(48l:3304>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=o4>:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87l=3:&:2f<59::0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm270f>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669f72<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg41:h0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3h996*66b815665$`51>16032c257>5$`51><1432wi>;<6:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c056=<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?d5?2.2:n4=1228m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:?8<6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1n?64$84`>77482c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<92782>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;`1=>">>j09=>>4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a634228036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=j;k0(48l:3304>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=>4>:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87l=b:&:2f<59::0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2701>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669f7e<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg41:=0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3h9h6*66b815665$`51>16032c257>5$`51><1432wi>;=i:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c057`<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?d5n2.2:n4=1228m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:?9o6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1n>>4$84`>77482c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo<93b82>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;`05>">>j09=>>4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a635e28036=4?{%;b7?5c=2Bj9i5G9`78 d1520?n7):>3;76?!2193984;5aa669f64<,07<=5:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=26=83.j;?46719K=d2<3th9:>o51;:94?6|,0k86>j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94m329'=3e=:89;7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:334:5:&724<4;1<0bl9;:c17?!?1k38:?=5f20394?"f?;09>854i36g>5<#i>818=94;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i853>5<#i>815:>4H8c7?>{e:?8:6<47:183!?f;39o96Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=j:?0(48l:3304>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07pl=62595?>=83:p(4o<:2f6?Mg2l2B2m85+a609=0c<,=;868;4$542>65?>2dj;94m379'=3e=:89;7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:33<<6F6a598yg41:90:654?:1y'=d5=;m?0Dl;k;I;b1>"f?;029h5+401910=#69;oc40?d4?2.2:n4=1228m776290/m:<52378?l43l3:1(l9=:524?>o>=00;6)o82;;47>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?083:1(l9=:853?M?f<21vn?;m5;3947)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c1;?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9j=0?=83.j;?467298k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;|`11g2=9321<7>t$8c0>6b33Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=zj;?i?7?58;294~">i:08h95Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65f94;94?"f?;02;>54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07pl=5c095?>=83:p(4o<:2f7?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758a7g=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9~f73e93;147>50z&:e6<4l=1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132k9h7)79c;0275=n:8;1<7*n738160=5$`51>16032c2?:10c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3th98i651;494?6|,0k86>mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94m3e9'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f72c?3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132k9n7)79c;0275=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=4e495?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758a7c=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;>o97?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`10a2=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?:k3;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:c61?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5:21;2>hf?=0i8>5+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb36g5?7=>3:1jn;I;14>"3>808?584n`57>g233-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=51;494?6|,0k86>mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94m449'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f73?=3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132k>=7)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/8<=53:&b37<>=l1C5?>4$542>65?>2dj;94m469'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:33"3>808?584n`57>g2?3-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5<==1=7650;2x :21;2>hf?=0i845+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47):>3;18 d1520?n7E7=0:&724<4;1<0bl9;:c6b?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`1013=9321<7>t$8c0>6b53Ak>h6F6a49'045=;2.j;?465d9K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;>?87?58;294~">i:08h?5Ga4f8L:?7=4$`51><3b3A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=45195?>=83:p(4o<:2f1?Mg2l2B2m85+40197>"f?;029h5G9328 1062:93:6`n758a0a=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f723:3;147>50z&:e6<4l;1Cm8j4H8c6?!26;390(l9=:87f?M?582.?:<4<3948jd132k>n7)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=m51;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94m4g9'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f734j3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132k?;7)79c;0275=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=52c95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758a14=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;?857?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`116>=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?;<7;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:c77?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5=:<1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0i985+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3701?7=>3:14<;I;14>"3>808?584n`57>g313-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94m569'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f73a=3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132k?37)79c;0275=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=5g695?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758a1<=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;?m?7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`11c4=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?;i1;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:c7`?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5=o:1=7850;2x :21;2>hf?=0i9i5+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb37ea?7=>3:1jn;I;14>"3>808?584n`57>g3b3-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94m5g9'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f73ak3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132k<;7)79c;0275=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=5g`95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758a24=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;?mm7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`11c?=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31:1/5;m52013?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?;i8;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:c47?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5=o=1=7850;2x :21;2>hf?=0i:85+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb37fb?7=>3:1jn;I;14>"3>808?584n`57>g013-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94m669'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f733?3;147>50z&:e6<4l81Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132k<37)79c;0275=n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94m689'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>g0f3-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>?k1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0i:o5+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c4`?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`123>=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31m1/5;m52013?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;<=;7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=67495?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758a2c=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f701=3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132k=;7)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94m709'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>g153-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5><<1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0i;>5+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c57?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`1202=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;<>?7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=64095?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758a32=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f70293;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132k=37)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94m789'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>g1f3-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>?:1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0i;o5+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c5`?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`120c=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;??=7?58;294~">i:08h<5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=55295?>=83:p(4o<:2f2?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758a3c=#1?i1><=?;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f71493;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132k2;7)79c;0275=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=73g95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758a<4=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;=9n7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`11gg=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?;n9;3947)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c:7?!?1k38:?=5f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`11t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?8l2;3947)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c:5?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`12f7=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb311/5;m52013?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=6cd95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758a<<=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f70em3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132k2j7)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94m8c9'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>g>d3-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>k31=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0i4i5+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c:f?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`12g1=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=6c195?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758a=4=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f70e:3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132k397)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94m929'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>g?33-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>hl1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0i585+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c;5?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`12db=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb311/5;m52013?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;?8h7?58;294~">i:08h<5Ga4f8L:?7=4$`51><3b3A39<6*;60807=0!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=6`c95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758a=<=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f70f13;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132k3j7)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94m9c9'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>g?d3-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>h<1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0i5i5+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c;f?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`12d4=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=6`295?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758ae4=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f70>l3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132kk97)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94ma29'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>gg33-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>0k1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0im85+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:cc5?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`12<>=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb311/5;m52013?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;<2;7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=68495?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758ae<=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f70>;3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132kkj7)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94mac9'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>ggd3-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>0:1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0imi5+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:ccf?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`122g=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;<<57?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=66:95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758af4=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f70?l3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132kh97)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94mb29'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>gd33-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>1k1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0in85+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c`5?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`12=>=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb311/5;m52013?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;<3;7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=69495?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758af<=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f700=3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132khj7)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94mbc9'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>gdd3-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>>81=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0ini5+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:c`f?!?1k38:?=5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`1226=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;<=j7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=67g95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758ag4=#1?i1><=?;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f70?93;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132ki97)79c;0275=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=51;:94?6|,0k86>j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94mc29'=3e=:89;7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>ge33-3=o7<>319j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5>>o1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0io85+97a964573`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L=n4;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>ge13-3=o7=<899j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e;:k86<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5bb58 <0d2:9346g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`07<7=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0io55+97a976>?3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<38c95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32c1>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=jjk0(48l:21;<>o5::0;6)o82;633>=n=86=4+a609<<6<3th8?4>51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758agg=#1?i1?>67;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd4;031=7=50;2x 815??4$542>65?>2.?=>4md:lb317co80;38?j10;3:1(l9=:9;3?>{e;:k:6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5bbf8 <0d2:9346g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`07=`=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0ioh5+97a976>?3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<38:95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32c3>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=jm:0(48l:21;<>o5::0;6)o82;633>=n=86=4+a609<<6<3th8?5k51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758a`4=#1?i1?>67;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd4;0=1=7=50;2x 815??4$542>65?>2.?=>4md:lb317co80;38?j10;3:1(l9=:9;3?>{e;:3m6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5be18 <0d2:9346g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`07=b=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0ih95+97a976>?3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<38495?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32;f>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=jm<0(48l:21;<>o5::0;6)o82;633>=n=86=4+a609<<6<3th8?5m51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758a`2=#1?i1?>67;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd4;0?1=7=50;2x 815??4$542>65?>2.?=>4md:lb317co80;38?j10;3:1(l9=:9;3?>{e;:3o6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5be;8 <0d2:9346g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`07=d=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0ihl5+97a976>?3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<38695?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32;`>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=jmi0(48l:21;<>o5::0;6)o82;633>=n=86=4+a609<<6<3th8?5o51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758a`a=#1?i1?>67;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd4;091=7=50;2x 815??4$542>65?>2.?=>4md:lb317co80;38?j10;3:1(l9=:9;3?>{e;:3i6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5bed8 <0d2:9346g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`07=?=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0ii=5+97a976>?3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<38095?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm32f0>4Nf=m1C5l;4$`51><3b3->:?7;:;%655?540?1em::5bd08 <0d2:9346g=1083>!g0:389965f25f94?"f?;0?<:54i87:>5<#i>815:=4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f96294?"f?;02;=5G9`68?xd4;m81=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0ii>5+97a976>?3`8:=7>5$`51>74232c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L=k1;3947)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:cg7?!?1k398455f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`07f`=9321<7>t$8c0>6b63Ak>h6F6a49'e24=1=76:lb31o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj:9hi7?58;294~">i:08h<5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl<3e295?>=83:p(4o<:2f2?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758aa2=#1?i1?>67;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f65dk3;147>50z&:e6<4l81Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132ko37)79c;10<==n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94me89'=3e=;:237d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>gcf3-3=o7=<899j647=83.j;?4=2498m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598m<3>290/m:<59618?xd4;jk1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0iio5+97a976>?3`8:=7>5$`51>74232c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L=mf;3947)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:cg`?!?1k398455f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`07gc=93<1<7>t$8c0>6ed3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o5=h19n1<7*n738;=5=5$`51><3d32c9?l4?:%c46??5:21vn>=mc;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:cgf?!?1k398455f20394?"f?;09>854i36g>5<#i>818=94;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=53=83.j;?465b98m75f290/m:<59308?xd4;kh1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0iik5+97a976>?3`8:=7>5$`51>74232c98i4?:%c46?27?21d5=j50;&b37!g0:339>65rb21a`?7=>3:14<;I;14>"3>808?584n`57>g`73-3=o7=<899j647=83.j;?4=2498m72c290/m:<54158?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n19?1<7*n738:1f=ml;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94mf09'=3e=;:237d<>1;29 d152;8>76g=4e83>!g0:3>;;65`91f94?"f?;035=54i33`>5<#i>81>77=2:9~f65e03;1:7>50z&:e6<4kj1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132kl97)79c;10<==n:8;1<7*n738160=o6=4+a609051<3f3;h7>5$`51>=?732c9=n4?:%c46?46k21b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<3cc95?0=83:p(4o<:2a`?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758ab6=#1?i1?>67;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m77d290/m:<520a8?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:9i;7?56;294~">i:08on5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:38:o65f91794?"f?;029n54i31b>5<#i>815?<4;|`7557=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn9>ie;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:cd5?!?1k3>;nk5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd38oh1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0ij:5+97a905da3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb52e3:14<;I;14>"3>808?584n`57>g`?3-3=o7:?bg9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94mf89'=3e=<9hm7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f16a:3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132klj7)79c;63fc=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl;13595?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758abg=#1?i18=li;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj=;987?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`74a1=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj=;9=7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`75a6=9321<7>t$8c0>6b33Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=zj=;:i7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0?;%;5g?27jo1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`75=0=9321<7>t$8c0>6b13Ak>h6F6a49'e24=17<>c:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=:=;4>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87m?2:&:2f<38kl0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a0476280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=k990(48l:52ab>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:>1c82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;a30>">>j0?5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<8k=6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1o=;4$84`>16en2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo:>a482>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;a32>">>j0?5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a04g328036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=k9=0(48l:52ab>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:m>4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87m?8:&:2f<38kl0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm40c1>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669g5?<,0mf:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg26i80:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3i;m6*66b874g`5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi85<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c62=c<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?e7k2.2:n4;0cd8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e<8kn6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1o=j4$84`>16en2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo:>ae82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;a3a>">>j0?5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a04gd28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=k9l0(48l:52ab>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:mo4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87m>0:&:2f<38kl0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm40cb>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669g47<,0mf:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg26i00:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3i:>6*66b874g`5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi85<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c62e2<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?e6<2.2:n4;0cd8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e<83n6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1o<;4$84`>16en2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo:>9e82>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;a22>">>j0?5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a046b280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=k8=0(48l:52ab>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:>2e82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;a2<>">>j0?5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<88h6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1o<74$84`>16en2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c63b4<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?e6i2.2:n4;0cd8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi8=kl:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg26?k0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3i:o6*66b874g`5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669g4b<,0mf:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:;44>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87m>e:&:2f<38kl0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a041?280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=k8l0(48l:52ab>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:>7682>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;a14>">>j0?5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<8==6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1o??4$84`>16en2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c6230<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?e5:2.2:n4;0cd8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi8<9;:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg260:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3i986*66b874g`5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669g73<,0mf:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:4<4>:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87m=6:&:2f<38kl0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a04>7280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=k;=0(48l:52ab>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo:>7g82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;a1<>">>j0?5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e<8=n6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1o?74$84`>16en2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c623a<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?e5i2.2:n4;0cd8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi8<9l:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg26?:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3i9o6*66b874g`5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669g7b<,0mf:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:?94>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87m=e:&:2f<38kl0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm4013>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669g7`<,0mf:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg26800:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3i8<6*66b874g`5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669g67<,0mf:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=5}#1h91>894H`7g?M?f=2P9?h52:l176<682d9?94>9:&173<4k>1/>>95849'66>=?>;0(?=6:652?!42033246*=588722=#:oi18;94$2c4>1/5l953b58 6e22;;97E76b:&b37<5=>1/=5:594f8 1062:93:6`n758`77=#1?i1m:o4i316>5?4?:o;:g?6<3`9j:7>5;h;3g?6=f03h6=54i82a>51j0;76gM?1:21b?k:50;J:27=96=4G9708?l43;3:1D48=;:k101<72A3=>65f25394?N>>;10c?<9:188k74e2900c?<8:188k74?2900c?<6:188k74f2900c?5;h07g?6=,0:96?:m;o;35?6<@0<97)99f;071>h0>l0976g=4`83>!?7:38?n6`60082?M?1:2.<:k4=449m33c=921b>9750;&:47<59;4n64f>5=:3:1(4>=:8;0?k?793;0D48=;%55b???12d<:h4<;:k:=4<72-3;>7763:l:44<53A3=>6*86g8:<<=i??o1>65f98294?">8;025>5a91397>N>>;1/;;h599;8j20b2810e46i:18'=54=1090b4>>:59K=34<,>5$821><>03g3;=7>4$64e><103g==i794;n;;2?6=,0:96468;o;35?7<,>5$821><>03g3;=7<4$64e><103g==i7;4;n;;0?6=,0:96468;o;35?5<,>5$821><>03g3;=7:4$64e><103g==i7=4;n;;6?6=,0:96468;o;35?3<,>5$821><>03g3;=784$64e><103g==i7?4;n;;4?6=,0:96468;o;35?1<,>:3;94?6|,0k86?;8;Ic6`>N>i<1Q>=h53z;6>gd=n;0vj?7:&173<4k>1/>>95849'66>=?>;0(?=6:652?!42033246*=588722=#:oi18;94$2c4>1/5l953b58 6e22;;97E76b:&b37<5=>1/=5:594f8 1062:93:6`n758`76=#1?i1m:o4i316>5?4?:o;:g?6<3`9j:7>5;h;3g?6=f03h6=54i82a>51j0;76gM?1:21b?k:50;J:27=96=4G9708?l43;3:1D48=;:k101<72A3=>65f25394?N>>;10c?<9:188k74e2900c?<8:188k74?2900c?<6:188k74f2900c?5;h07g?6=,0:96?:m;o;35?6<@0<97)99f;071>h0>l0976g=4`83>!?7:38?n6`60082?M?1:2.<:k4=449m33c=921b>9750;&:47<59;4n64f>5=:3:1(4>=:8;0?k?793;0D48=;%55b???12d<:h4<;:k:=4<72-3;>7763:l:44<53A3=>6*86g8:<<=i??o1>65f98294?">8;025>5a91397>N>>;1/;;h599;8j20b2810e46i:18'=54=1090b4>>:59K=34<,>5$821><>03g3;=7>4$64e><103g==i794;n;;2?6=,0:96468;o;35?7<,>5$821><>03g3;=7<4$64e><103g==i7;4;n;;0?6=,0:96468;o;35?5<,>5$821><>03g3;=7:4$64e><103g==i7=4;n;;6?6=,0:96468;o;35?3<,>5$821><>03g3;=784$64e><103g==i7?4;n;;4?6=,0:96468;o;35?1<,>:383>5}#1h91>=j4H`7g?M?f=2.j;?4=259'037=;:2=7co84;a00>">>j0j;l5f3gf95?"f?;0?<:54o650>4<#i>8144>4;|`b3c<62;0;6=u+9`1965b<@h?o7E7n5:&b37<5:=1/8;?532:5?kg0<3i896*66b8b3d=n;on1=7*n738742==86<4+a609<<6<3thj4>4>:383>5}#1h918==4H`7g?M?f=2.9=44<869'053=1h:0(l9=:307?!22l3>;;6F;5c9'037=;:2=7):?4;18jd132j9=7)79c;c4e>o4nm0;6)o82;633>=h?>91<7*n738;=5=i:097<=4:&724<4;1<0bl9;:b14?!?1k3k!g0:3>;;65`76195?"f?;035=54}cc;3:14:5:&724<4;1<0bl9;:b1;?!?1k3k!g0:389965f25f94?"f?;0?<:54i826>5<#i>8158m4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:J:e1=65?>2dj;94l389'=3e=i>k0e??>:18'e24=:;?07d<;d;29 d152=:<76g60483>!g0:33>o65`91f94?"f?;035=54i33`>5<#i>81>o>:;0;6)o82;;16>N>i=10qo<88182>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;a0e>">>j09;;h4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:>=m6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1o>l4$84`>711n2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c043`<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?e4k2.2:n4=77d8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>:9k:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg40?j0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3i8i6*66b8133`5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa669g6`<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87m;0:&:2f<5??l0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a621>280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=k=;0(48l:355b>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?j1382>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;a76>">>j0:hlh4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5`7428036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=k=90(48l:0fbb>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87m;4:&:2f<6lhl0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1e`:>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669g13<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7cj<0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3i?:6*66b82`d`5$`51>16032c257>5$`51><1432wi=h?8:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3f5d<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?e302.2:n4>d`d8m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9l;o6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1o974$84`>4bfn2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3gf5<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?e3i2.2:n4>d`d8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9mnj6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5c5`8 <0d28nih6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`2`a?=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0h8n5+97a95adc3`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?e3l2.2:n4>dcf8m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;a7a>">>j0:hoj4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f4bdj3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb0fg3?7=;3:15$`51>=?732wi=im6:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669g07<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e9mn=6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5c408 <0d28nih6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`2`f1=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0h9>5+97a95adc3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl>de795?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;a61>">>j0:hoj4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg7cl=0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87m:6:&:2f<6lkn0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb0f`7?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>f3?3-3=o7?kbe9j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e9mi:6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a5ab528086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1o8o4$84`>4bel2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>dcd95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:hi?51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758`1f=#1?i1=ilk;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg7cjl0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87m:d:&:2f<6lkn0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c3g`a<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3i>i6*66b82`gb<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?e2n2.2:n4>dcf8m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:583>5}#1h9185>4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;a54>">>j0:hoj4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg7b8>0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3i==6*66b82`gb5$`51>16032c257>5$`51><1432wi=h>9:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3f40<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?e1;2.2:n4>dcf8m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9l:?6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1o;:4$84`>4bel2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?j0282>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;a51>">>j0:hoj4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5`6528036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=k?<0(48l:0fa`>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87m97:&:2f<6lkn0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1d23>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669g3><,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7cno0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3i=56*66b82`gb5$`51>16032c257>5$`51><1432wi=ihj:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3gac<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?e1j2.2:n4>dcf8m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9ml:6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1o;m4$84`>4bel2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?kf182>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;a5`>">>j0:hoj4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5acb28036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=k?o0(48l:0fa`>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=4>:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87m9f:&:2f<6lkn0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1egg>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669g26<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7cn;0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3i<=6*66b82`gb5$`51>16032c257>5$`51><1432wi=nji:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7c:00:654?:1y'=d5=;m<0Dl;k;I;b1>"f?;029h5+401910=#69;oc40?e0;2.2:n4>c`c8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n1<31<7*n738:36=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?083:1(l9=:853?M?f<21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:b57?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6l=l1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0h;85+97a95fgf3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0f6e?7=>3:14<;I;14>"3>808?584n`57>f113-3=o7?la`9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94l769'=3e=9jkj7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4b103;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132j=37)79c;3`ed=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>d7;95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`3<=#1?i1=non;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8n=m7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2`3d=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:b5`?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6l?n1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0h;i5+97a95fgf3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0f64?7=>3:14<;I;14>"3>808?584n`57>f1b3-3=o7?la`9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94l7g9'=3e=9jkj7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4b2:3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132j2;7)79c;3`ed=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>d4195?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`<4=#1?i1=non;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8n>87?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2`03=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:b:7?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6l<=1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0h485+97a95fgf3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0f63:14<;I;14>"3>808?584n`57>f>13-3=o7?la`9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94l869'=3e=9jkj7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4b2j3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132j237)79c;3`ed=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>d4a95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`<<=#1?i1=non;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8n>h7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2`0c=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:b:`?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6l?:1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0h4i5+97a95fgf3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0f55?7=>3:14<;I;14>"3>808?584n`57>f>b3-3=o7?la`9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94l8g9'=3e=9jkj7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4b1;3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132j3;7)79c;3`ed=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>d7695?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`=4=#1?i1=non;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8n=:7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2`31=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:b;7?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6l921=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0h585+97a95fgf3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0f27?7=>3:14<;I;14>"3>808?584n`57>f?13-3=o7?la`9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94l969'=3e=9jkj7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4b593;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132j337)79c;3`ed=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>d3095?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`=<=#1?i1=non;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8n9?7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2`72=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:b;`?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6l;<1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0h5i5+97a95fgf3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0f3=?7=>3:14<;I;14>"3>808?584n`57>f?b3-3=o7?la`9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94l9g9'=3e=9jkj7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4b7j3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132jk;7)79c;3`ed=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>d1a95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`e4=#1?i1=non;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8n;h7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2`5c=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:bc7?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6l8:1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0hm85+97a95fgf3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0f25?7=>3:14<;I;14>"3>808?584n`57>fg13-3=o7?la`9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94la69'=3e=9jkj7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4b6<3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132jk37)79c;3`ed=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>d0795?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`e<=#1?i1=non;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8n::7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2`41=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn8;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:bc`?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6l831=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0hmi5+97a95fgf3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0f2e?7=>3:14<;I;14>"3>808?584n`57>fgb3-3=o7?la`9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94lag9'=3e=9jkj7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4b6k3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132jh;7)79c;3`ed=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>d0f95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`f4=#1?i1=non;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8n:j7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2`76=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:b`7?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6km>1=7650;2x :21;2>hf?=0hn85+97a95fgf3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47):>3;18 d1520?n7E7=0:&724<4;1<0bl9;:b`5?!?1k3;hml5f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`2ga0=9321<7>t$8c0>6b53Ak>h6F6a49'045=;2.j;?465d9K=76<,=<:6>=76:lb311/5;m51bcb?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8io;7?58;294~">i:08h?5Ga4f8L:?7=4$`51><3b3A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>ce:95?>=83:p(4o<:2f1?Mg2l2B2m85+40197>"f?;029h5G9328 1062:93:6`n758`f<=#1?i1=non;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f4ec13;147>50z&:e6<4l;1Cm8j4H8c6?!26;390(l9=:87f?M?582.?:<4<3948jd132jhj7)79c;3`ed=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=N>i<1/m:<546f8 1062:93:6`n758`fg=#1?i1=non;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd6kj?1=7:50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb0a`0?7=<3:1">>j0:olo4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th:o5951;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94lbd9'=3e=9j=h7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4e?>3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132jhm7)79c;3`3f=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>c9795?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`g5=#1?i1=n9l;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8i3j7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0;%;5g?7d?j1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2g=c=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8i2;7?58;294~">i:08h;5Ga4f8L77:e:&756<2=2.?:<4<3948jd132ji87)79c;3`3f=n:8;1<7*n738160=5$`51>16032c2944?:%c46??0;21d5=j50;&b3777=2:J:e1=4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669gf2<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598yg7d010:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3ih96*66b82g2e5$`51>16032c257>5$`51><1432wi=n7<:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7d1;0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3ih;6*66b82g2e5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669gf><,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598yg7d?l0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3ih56*66b82g2e5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669gfg<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7d>m0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3ihn6*66b82g5?5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=n8j:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3`56<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?edl2.2:n4>c1;8m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9j:m6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1onk4$84`>4e712c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?l7082>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;a`b>">>j0:o=74i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5f1328036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=km:0(48l:0a3=>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87mk1:&:2f<6k930e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5f6f28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=km80(48l:0a3=>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;ag7>">>j0:o<94i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f4e4;3;1?7>50z&:e6n6*;60807=01b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm1b04>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=km?0(48l:0a23>o5::0;6)o82;63=>=n:5$`51>=?732wi=n==:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669ga0<,07:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd6k;?1=7:50;2x 818:j4$542>65?>2dj;94ld69'=3e=9j;<7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2g67=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0hh55+97a95f703`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?ec12.2:n4>c058m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;age>">>j0:o<94i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f4e593;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb0a1b?7=;3:15$`51>=?732wi=n?i:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669gab<,07:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e9j8n6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5ceg8 <0d28i:;6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`2g4b=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0hhk5+97a95f703`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl>c3f95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;af5>">>j0:o<94i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg7d:j0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87mj2:&:2f<6k8=0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb0a2=?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>fc33-3=o7?l169j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e9j;36<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a5f50280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1oh84$84`>4e6?2c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=kl=0(48l:0a23>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi=n=9:087>5<7s-3j?7:70:Jb1a=O1h?0(l9=:55g?!2193984;5aa669g`><,07:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e9j<:6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1oh74$84`>4e6?2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?l6182>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;afe>">>j0:o<94i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5f3a28036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=klh0(48l:0a23>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87mjc:&:2f<6k8=0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1b7g>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669g`b<,07:k154<72-k<>7<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7d=j0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3ini6*66b82g415$`51>16032c257>5$`51><1432wi=n;m:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3`1d<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?ea82.2:n4>c058m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9j?26<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1ok?4$84`>4e6?2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?l5982>=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;ae6>">>j0:o<94i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5f2>28036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=ko90(48l:0a23>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87mi4:&:2f<6k8=0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm1b6b>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669gc3<,07:k154<72-k<>7<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7d<10:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3im:6*66b82g415$`51>16032c257>5$`51><1432wi=n:k:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3`02<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?ea02.2:n4>c058m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9j>h6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1ok74$84`>4e6?2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?m3882>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;aee>">>j0:n=:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9k286<47:183!?f;39o:6Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=koh0(48l:0`30>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3>57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07pl>b`:95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758`bf=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8hj57?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2fg2=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:bde?!?1k3;i<95f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6jj81=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0o<=5+97a95g633`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0``7?7=>3:14<;I;14>"3>808?584n`57>a663-3=o7?m059j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94k039'=3e=9k:?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4dd=3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132m:87)79c;3a41=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>bb495?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758g41=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8hh;7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0:;%;5g?7e8=1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2fdg=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e24?!?1k3;i<95f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6jhi1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0o<55+97a95g633`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0`b`?7=>3:14<;I;14>"3>808?584n`57>a6>3-3=o7?m059j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94k0`9'=3e=9k:?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4dfn3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132m:i7)79c;3a41=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>bc295?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758g4f=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8hi=7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0k;%;5g?7e8=1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2fg4=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e2e?!?1k3;i<95f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6jk?1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0o==5+97a95g633`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0`a2?7=>3:14<;I;14>"3>808?584n`57>a763-3=o7?m059j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94k139'=3e=9k:?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4de03;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132m;87)79c;3a41=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>bc;95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758g51=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8him7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2fgd=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e34?!?1k3;i<95f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6jkn1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0o=55+97a95g633`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0`aa?7=>3:14<;I;14>"3>808?584n`57>a7>3-3=o7?m059j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=51;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94k1`9'=3e=9k:?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4dd93;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132m;i7)79c;3a41=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>b7395?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758g5f=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8h=>7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2f3b=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e3e?!?1k3;i<95f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6j>h1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0o>=5+97a95g633`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0`4g?7=>3:14<;I;14>"3>808?584n`57>a463-3=o7?m059j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94k239'=3e=9k:?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4d0m3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132m887)79c;3a41=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>b6d95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758g61=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8h3<7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2f35=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e04?!?1k3;i<95f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6j??1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0o>55+97a95g633`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0`52?7=>3:14<;I;14>"3>808?584n`57>a4>3-3=o7?m059j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94k2`9'=3e=9k:?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4d103;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132m8i7)79c;3a41=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>b7;95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758g6f=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8h=m7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2f3d=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e0e?!?1k3;i<95f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6j?o1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0o?=5+97a95g633`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0`5b?7=>3:14<;I;14>"3>808?584n`57>a563-3=o7?m059j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=51;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94k339'=3e=9k:?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4d093;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132m987)79c;3a41=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>b6095?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758g71=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8hi:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2f22=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e14?!?1k3;i<95f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6j><1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0o?55+97a95g633`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0`43?7=>3:14<;I;14>"3>808?584n`57>a5>3-3=o7?m059j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94k3`9'=3e=9k:?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4d0i3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132m9i7)79c;3a41=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>b2d95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758g7f=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8h9i7?58;294~">i:08h?5Ga4f8L:?7=4$`51><3b3A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>b3d95?>=83:p(4o<:2f1?Mg2l2B2m85+40197>"f?;029h5G9328 1062:93:6`n758g7`=#1?i1=o>;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f4d483;147>50z&:e6<4l;1Cm8j4H8c6?!26;390(l9=:87f?M?582.?:<4<3948jd132m9m7)79c;3a41=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=?51;:94?6|,0k86>j=;Ic6`>N>i<1/8<=53:&b37<>=l1C5?>4$542>65?>2dj;94k419'=3e=9k:?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:33"3>808?584n`57>a263-3=o7?m059j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd6j:91=7650;2x :21;2>hf?=0o8?5+97a95g633`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308Ld;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>a243-3=o7?m059j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj8h:j7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3n?86*66b82f525<#i>81=5;4n`53>4=5<#i>8144>4;|`2f4c=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa669`13<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:e65?!?1k3;jh55f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6il81=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0o8:5+97a95db?3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0cf5?7=>3:14<;I;14>"3>808?584n`57>a2?3-3=o7?nd99j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94k489'=3e=9hn37d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4gbi3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132m>j7)79c;3b`==n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j9;Ic6`>N>i<1/m:<594g8 1742:21;2>hf?=0o8o5+97a95db?3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4;6:18'e24=1>907b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L=l1/8<=53:J:65=#69;oc40?b3k2.2:n4>ae:8m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=n1<31<7*n738:36=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>{e9ho?6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1h9j4$84`>4gc02c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?neg82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;f7a>">>j0:mi64i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9hon6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1h9h4$84`>4gc02c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3bb3<6210;6=u+9`197a5<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?b282.2:n4>ae:8m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=n1<31<7*n738:36=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>{e9hnj6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1h8?4$84`>4gc02c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c13gd<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?b2:2.2:n4<03c8m776290/m:<52378?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=6=4+a609=0e<3`88m7>5$`51><4532wi?=k>:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c13a7<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?b2<2.2:n4<03c8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e;9o?6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1h8;4$84`>665i2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=?e482>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;f62>">>j085<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a75c128036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=l<=0(48l:221e>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87j:8:&:2f<48;k0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm31g;>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669`0?<,0
    >=a:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg57m00:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3n>m6*66b8047g5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi?=kn:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c13ag<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?b2k2.2:n4<03c8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e;9o86<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1h8j4$84`>665i2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=?f882>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;f6a>">>j085<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a75`f28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=lo5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87j90:&:2f<48;k0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm31dg>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669`37<,0
    >=a:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg57nl0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3n=>6*66b8047g5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi?=hi:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c1245<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?b1<2.2:n4<03c8m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e;8::6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1h;;4$84`>665i2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=>0382>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;f52>">>j085<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a746428036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=l?=0(48l:221e>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87j98:&:2f<48;k0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm31:5>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=l?30(48l:221e>o5::0;6)o82;63=>=n:5$`51>=?732wi?=o::080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669`3g<,0
    >=a:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd48121=7:50;2x 818:j4$542>65?>2dj;94k6c9'=3e=;98j7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`04d1=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0o:n5+97a9754f3`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?b1l2.2:n4<03c8m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;f5a>">>j085<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f66?i3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb22b=?7=;3:15$`51>=?732wi?=6m:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669`27<,0
    >=a:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;9kj6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5d608 <0d2::9m6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`04=e=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0o;>5+97a9754f3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<0``95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;f41>">>j085<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg57ij0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87j86:&:2f<48;k0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb22;a?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>>nd;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>a1?3-3=o7=?2`9j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e;92m6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a75gb28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1h:o4$84`>665i2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<08295?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th8N>i<1/m:<5a4`8 1062:93:6`n758g3f=#1?i1?=8;:k725<72-k<>7:?7:9l325=83.j;?479198yg57i?0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87j8d:&:2f<48;k0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb2205?7==3:1l5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c136g<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3nm7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`e3gk<87j70:&:2f<48;k0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl<03a95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th8<>=51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128ef>hf?=0o4?5+97a9754f3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?=5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669`=5<,0
    >=a:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;99?6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5fc9me22=l1>0(48l:221e>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj::9i7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:e:6?!?1k39;>l5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f664=3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86kl4n`57>a>13-3=o7=?2`9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg57:o0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87j77:&:2f<48;k0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c1373<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;f;<>">>j085<#i>81=5;4n`53>5=5<#i>8144>4;|`0466=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0o445+97a9754f3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<05195?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th8<8651;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758g8;:k725<72-k<>7:?7:9l325=83.j;?479198yg57<=0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87j7c:&:2f<48;k0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c131g<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn>>;6;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>a>b3-3=o7=?2`9j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj::>o7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:e:e?!?1k39;>l5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a752?280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1h4>4$84`>665i2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=l0;0(48l:221e>o5::0;6)o82;633>=n=86=4+a609<<6<3th8<9o51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758g=7=#1?i1?=6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd4881m8l4$542>65?>2dj;94k929'=3e=;98j7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c130f<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3n286*66b8047gm7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?b>=2.2:n4<03c8m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj::?i7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:e;5?!?1k39;>l5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f66183;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm3173>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=l020(48l:221e>o5::0;6)o82;63=>=n:5$`51>=?732wi?=8>:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669`>=a:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd48<81=7:50;2x 818:j4$542>65?>2dj;94k9`9'=3e=;98j7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`0434=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0o5o5+97a9754f3`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?b>k2.2:n4<03c8m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=4>:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;f:`>">>j085<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f66213;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm3147>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=l0l0(48l:221e>o5::0;6)o82;63=>=n:5$`51>=?732wi?=96:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669`d6<,0
    >=a:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd48??1=7:50;2x 818:j4$542>65?>2dj;94ka09'=3e=;98j7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`042e=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0om?5+97a9754f3`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?bf;2.2:n4<03c8m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;fb0>">>j085<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f66113;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb224a?7=;3:15$`51>=?732wi?=8m:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669`d1<,0
    >=a:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;9=m6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5d`:8 <0d2::9m6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`043b=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0om45+97a9754f3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<09295?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;fbf>">>j085<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg57080:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87jnc:&:2f<48;k0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb2245?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>>72;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>agb3-3=o7=?2`9j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e;9=86<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a75>428086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1ho>4$84`>665i2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<06795?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th8<5:51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758gf7=#1?i1?=8;:k725<72-k<>7:?7:9l325=83.j;?479198yg57?h0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87jm3:&:2f<48;k0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb220`?7==3:1l5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1372<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3ni96*66b8047gm7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`e3gk<87jm6:&:2f<48;k0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<02:95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb311/5;m5310b?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th8<>h51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128ef>hf?=0on55+97a9754f3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?==6:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669`g?<,0
    >=a:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;9>;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5fc9me22=lkk0(48l:221e>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj::8m7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:e`a?!?1k39;>l5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f66393;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86kl4n`57>add3-3=o7=?2`9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg57;k0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87jmd:&:2f<48;k0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c1307<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;faa>">>j085<#i>81=5;4n`53>5=5<#i>8144>4;|`046e=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0onk5+97a9754f3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<22a95?>=83:p(4o<:2f2?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758gg5=#1?i1?7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f643n3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132mi:7)79c;12ba=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<24295?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758gg7=#1?i1?7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:8>;7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`060>=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn><:9;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:ea6?!?1k39:ji5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4::21;2>hf?=0oo;5+97a974`c3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb206f?7=>3:1jn;I;14>"3>808?584n`57>ae03-3=o7=>fe9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=8m51;494?6|,0k86>mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94kc99'=3e=;8lo7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f642l3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132mi27)79c;12ba=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<24g95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758ggd=#1?i1?7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:8>=7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0604=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn><:3;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:eag?!?1k39:ji5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4:<>1=7850;2x :21;2>hf?=0ooh5+97a974`c3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2061?7=>3:1jn;I;14>"3>808?584n`57>aea3-3=o7=>fe9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=8851;494?6|,0k86>mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94kd19'=3e=;8lo7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f67am3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>;%;5g?56nm1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb201g?7=;3:15$`51>=?732wi??>::087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669`a5<,0
    ?id:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;;986<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5de68 <0d2:;mh6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0650=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0oh85+97a974`c3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<22695?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;fg3>">>j08=kj4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg55;<0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87jk8:&:2f<49on0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb203<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn><<6;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>abf3-3=o7=>fe9j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e;;:26<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a775028086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1him4$84`>67al2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<21c95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th8>>651;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758g``=#1?i1?8;:k725<72-k<>7:?7:9l325=83.j;?479198yg558k0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87jkf:&:2f<49on0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c117<<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>ac63-3=o7=>fe9j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj:88m7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:eg1?!?1k39:ji5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a776c280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1hh=4$84`>67al2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=ll>0(48l:23e`>o5::0;6)o82;633>=n=86=4+a609<<6<3th8>=>51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758ga0=#1?i1?6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd4:;n1=7=50;2x 81m8l4$542>65?>2dj;94ke79'=3e=;8lo7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c1144<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3nn;6*66b805cbm7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?bb02.2:n4<1gf8m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj:8;>7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:eg:?!?1k39:ji5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f645n3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm3320>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=llh0(48l:23e`>o5::0;6)o82;63=>=n:5$`51>=?732wi??=?:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669``e<,0
    ?id:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4:9>1=7:50;2x 818:j4$542>65?>2dj;94kee9'=3e=;8lo7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`0667=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0oih5+97a974`c3`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?bbn2.2:n4<1gf8m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj:99:7?58;294~">i:08h<5Ga4f8L77:e:&756<43A39<6*;60807=01b>!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl<32;95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758gb4=#1?i1??k8;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:98m7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=01b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0717=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>=;2;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:ed7?!?1k399i:5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4;=91=7850;2x :21;2>hf?=0oj85+97a977c03`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2170?7=>3:1jn;I;14>"3>808?584n`57>a`13-3=o7==e69j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94kf69'=3e=;;o<7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f653>3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132ml37)79c;11a2=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<35595?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758gb<=#1?i1??k8;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:9?47?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=01b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`076d=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>=47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:ed`?!?1k399i:5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4;:n1=7850;2x :21;2>hf?=0oji5+97a977c03`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb210a?7=>3:1jn;I;14>"3>808?584n`57>a`b3-3=o7==e69j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=h51;494?6|,0k86>mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94kfg9'=3e=;;o<7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f65383;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132l:;7)79c;11a2=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<2d:95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th8?<851;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758f47=#1?i1??k8;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg55mo0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87k?3:&:2f<4:l=0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c105a<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>`623-3=o7==e69j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj:9:i7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:d25?!?1k399i:5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a77`6280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1i=94$84`>64b?2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=m920(48l:20f3>o5::0;6)o82;633>=n=86=4+a609<<6<3th8>k<51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758f4<=#1?i1??k8;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd4;;:1=7=50;2x 81m8l4$542>65?>2dj;94j0`9'=3e=;;o<7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c11b6<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3o;n6*66b806`1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?c7k2.2:n4<2d58m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj:8m87?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:d2g?!?1k399i:5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f655:3;1?7>50z&:e6n6*;60807=0j;%;5g?55m>1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm33d6>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=m9l0(48l:20f3>o5::0;6)o82;63=>=n:5$`51>=?732wi?><<:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669a46<,0
    7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd4:o<1=7:50;2x 818:j4$542>65?>2dj;94j109'=3e=;;o<7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`0772=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0n=?5+97a977c03`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?c6;2.2:n4<2d58m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=84>:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;g20>">>j08>h94i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f64bi3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb2123?7=;3:15$`51>=?732wi??km:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669a41<,0
    7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;:;36<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5e0:8 <0d2:8n;6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`06`e=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0n=45+97a977c03`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<30;95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;g2f>">>j08>h94i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg549h0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87k>c:&:2f<4:l=0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb20fa?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>=>b;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>`7b3-3=o7==e69j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e;:;h6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5e0d8 <0d2:8n;6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0513=9321<7>t$8c0>6b63Ak>h6F6a49'e24=1=76:lb31o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj:;>47?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0;%;5g?568?1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`050?=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>?90;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:d00?!?1k39:<;5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd49?;1=7850;2x :21;2>hf?=0n>95+97a974613`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2356?7=>3:1jn;I;14>"3>808?584n`57>`423-3=o7=>079j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94j279'=3e=;8:=7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f671<3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132l8<7)79c;1243=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<17795?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758f6==#1?i1?<>9;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:;=:7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0531=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>?:a;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:d0a?!?1k39:<;5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd49:21;2>hf?=0n>n5+97a974613`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb236g?7=>3:1jn;I;14>"3>808?584n`57>`4c3-3=o7=>079j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94j2d9'=3e=;8:=7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f672m3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132l8m7)79c;1243=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<14d95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n758f75=#1?i1?<>9;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:;;;7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:d12?!?1k39:<;5f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f674=3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm302f>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=m:90(48l:2332>o5::0;6)o82;63=>=n:5$`51>=?732wi?<=l:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669a62<,0
    ??6:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd499l1=7:50;2x 818:j4$542>65?>2dj;94j349'=3e=;8:=7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`056b=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0n?;5+97a974613`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371182>1<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?c4?2.2:n4<1148m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;g0<>">>j08==84i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f67693;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb230b?7=;3:15$`51>=?732wi?5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669a6d<,0
    ??6:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;8>;6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5e2a8 <0d2:;;:6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`0545=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0n?i5+97a974613`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl<15395?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;g0b>">>j08==84i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg56<;0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87k;0:&:2f<499<0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb2321?7=<3:1:7)79c;1243=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn>?;3;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>`253-3=o7=>079j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e;8;=6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a742328086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1i9:4$84`>677>2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl<11;95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th8=>851;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758f03=#1?i1?<>9;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg568h0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87k;7:&:2f<499<0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c1272<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn>??b;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>`2>3-3=o7=>079j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj:;847?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:d6b?!?1k39:<;5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a746d280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1i9l4$84`>677>2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=m=i0(48l:2332>o5::0;6)o82;633>=n=86=4+a609<<6<3th8==j51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758f0a=#1?i1?<>9;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd49:k1=7=50;2x 81m8l4$542>65?>2dj;94j4d9'=3e=;8:=7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c127g<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn>?i6;3947):>3;18 d1520?n7E7=0:&724<4;1<0bl9;:d73?!?1k39:<85f20394?"f?;09>854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`05c5=9321<7>t$8c0>6b63Ak>h6F6a49'045=;2.j;?465d9K=76<,=<:6>=76:lb31o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj:;nn7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl<1dc95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758f16=#1?i1?7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f67b13;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132l??7)79c;12`c=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94j549'=3e=;8nm7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:333:14<;I;14>"3>808?584n`57>`313-3=o7=>049j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94j569'=3e=;8:>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f67f03;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l?37)79c;1240=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<1`295?>=83:p(4o<:2f2?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758f1<=#1?i1?<>:;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f67d13;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132l?j7)79c;1240=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94j5c9'=3e=;8:>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f67cl3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l?h7)79c;1240=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<1ea95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758f1a=#1?i1?<>:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:;on7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`05ag=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>?k9;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d43?!?1k39:<85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd49m21=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0n:<5+97a974623`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb23g1?7=>3:14<;I;14>"3>808?584n`57>`053-3=o7=>049j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94j629'=3e=;8:>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f67en3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<1b295?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758f20=#1?i1?<>:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:;h=7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`05f4=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31>1/5;m53026?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>?l3;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d4;?!?1k39:<85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd49j>1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0n:45+97a974623`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb23`1?7=>3:14<;I;14>"3>808?584n`57>`0f3-3=o7=>049j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=51;:94?6|,0k86>j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94j6c9'=3e=;8nm7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:333:14<;I;14>"3>808?584n`57>`0d3-3=o7==949j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=i:51;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94j6e9'=3e=;;3>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f64c=3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<2e495?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758f2c=#1?i1??7:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:8o;7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`06a>=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d51?!?1k399585f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4:mk1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0n;>5+97a977?23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb20b2?7=03:14:5:&724<4;1<0bl9;:d57?!?1k399585f20394?"f?;09>854i33`>5<#i>81>8;:k:1<<72-k<>7783:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i853>5<#i>815:>4H8c7?>{e;;no6<47:183!?f;39o:6Fn5e9K=d3<,=;868;4$`51><3b3->==7=<879me22=m>?0(48l:20:1>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3>57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07pl<2`g95?>=83:p(4o<:2f1?Mg2l2B2m85+40197>"f?;029h5G9328 1062:93:6`n758f33=#1?i1??7:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f64>i3;147>50z&:e6<4l;1Cm8j4H8c6?!26;390(l9=:87f?M?582.?:<4<3948jd132l=<7)79c;11=0=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=4l51;:94?6|,0k86>j=;Ic6`>N>i<1/8<=53:&b37<>=l1C5?>4$542>65?>2dj;94j799'=3e=;;3>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:33"3>808?584n`57>`1>3-3=o7==949j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd4:0n1=7650;2x :21;2>hf?=0n;l5+97a977?23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:d5a?!?1k399585f20394?"f?;09>854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`06f7=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d5g?!?1k399585f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4:j91=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0n;h5+97a977?23`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb20`0?7=>3:14<;I;14>"3>808?584n`57>`1a3-3=o7==949j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=n;51;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94j819'=3e=;;3>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f64d>3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l2:7)79c;11=0=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<2b595?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758f<7=#1?i1??7:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:8h47?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1bce=9321<7>t$8c0>6b63Ak>h6F6a49'e24=1=76:lb31o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj:::87?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0445=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>>>2;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d:4?!?1k38mj?5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd488;1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0n455+97a96c`53`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2224?7=>3:14<;I;14>"3>808?584n`57>`>>3-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94j8`9'=3e=:ol97d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f666k3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l2i7)79c;0eb7=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<00`95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758fkh=;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:::m7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`044?=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>>>8;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d:e?!?1k38mj?5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd488=1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0n5=5+97a96c`53`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2222?7=>3:14<;I;14>"3>808?584n`57>`?63-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94j939'=3e=:ol97d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f667m3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l387)79c;0eb7=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl<01f95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758f=1=#1?i1>kh=;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:::j7?58;294~">i:08h<5Ga4f8L77:e:&756<43A39<6*;60807=0!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=d2095?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758f=3=#1?i1>o<:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f7b4;3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l3<7)79c;0a60=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=d1d95?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758f===#1?i1>o<:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f7b203;1:7>50z&:e6<4kj1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l327)79c;0a60=n:8;1<7*n738160=o6=4+a609051<3f3;h7>5$`51>=?732c9=n4?:%c46?46k21b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=d2395?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758f=d=#1?i1>o<:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f7b213;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132l3i7)79c;0a60=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=d4c95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758f=f=#1?i1>o<:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;n==7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1`34=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?j93;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d;e?!?1k38i>85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5l?>1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0nm=5+97a96g423`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3f51?7=>3:14<;I;14>"3>808?584n`57>`g63-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94ja39'=3e=:k8>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f7b1?3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132lk87)79c;0a60=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=d7:95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758fe1=#1?i1>o<:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;n>n7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1`0e=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?j:d;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:dc4?!?1k38i>85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5l81?io4$530>6=O1;:0(98>:21;2>hf?=0nm55+97a96g423`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3f6b?7=>3:14<;I;14>"3>808?584n`57>`g>3-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=51;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94ja`9'=3e=:k8>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f7b4?3;147>50z&:e6<4l81Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd132lki7)79c;0a60=n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94jab9'=3e=:k8>7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:333:14<;I;14>"3>808?584n`57>`gc3-3=o7o59j0;6)o82;02g>=n19?1<7*n738:1f=751;494?6|,0k86>ml;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94jad9'=3e=:k8>7d<>1;29 d152;8>76g=4e83>!g0:3>;;65`91f94?"f?;035=54i33`>5<#i>81>77=2:9~f7b383;1:7>50z&:e6<4kj1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132lkm7)79c;0a60=n:8;1<7*n738160=o6=4+a609051<3f3;h7>5$`51>=?732c9=n4?:%c46?46k21b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=d5395?0=83:p(4o<:2a`?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758ff5=#1?i1>o<:;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m77d290/m:<520a8?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;n?>7?56;294~">i:08on5Ga4f8L7=ka:&756<43A39<6*;60807=0;%;5g?4e:<1b>!g0:38:o65f91794?"f?;029n54i31b>5<#i>815?<4;|`1`15=93<1<7>t$8c0>6ed3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o5=h19n1<7*n738;=5=5$`51><3d32c9?l4?:%c46??5:21vn?j;4;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d`0?!?1k38i>85f20394?"f?;09>854i36g>5<#i>818=94;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=53=83.j;?465b98m75f290/m:<59308?xd5l=?1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0nn95+97a96g423`8:=7>5$`51>74232c98i4?:%c46?27?21d5=j50;&b37!g0:339>65rb3f72?7=>3:14<;I;14>"3>808?584n`57>`d23-3=o7o59j0;6)o82;02g>=n19?1<7*n738:1f=ml;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94jb79'=3e=:k8>7d<>1;29 d152;8>76g=4e83>!g0:3>;;65`91f94?"f?;035=54i33`>5<#i>81>77=2:9~f7b4i3;1:7>50z&:e6<4kj1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132lh<7)79c;0a60=n:8;1<7*n738160=o6=4+a609051<3f3;h7>5$`51>=?732c9=n4?:%c46?46k21b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=d2`95?0=83:p(4o<:2a`?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758ff==#1?i1>o<:;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m77d290/m:<520a8?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;n8o7?56;294~">i:08on5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:38:o65f91794?"f?;029n54i31b>5<#i>815?<4;|`1`6b=93<1<7>t$8c0>6ed3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o5=h19n1<7*n738;=5=5$`51><3d32c9?l4?:%c46??5:21vn?j47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:d`a?!?1k38i>85f20394?"f?;09>854i36g>5<#i>818=94;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=53=83.j;?465b98m75f290/m:<59308?xd5l:l1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0nnn5+97a96g423`8:=7>5$`51>74232c98i4?:%c46?27?21d5=j50;&b37!g0:339>65rb3f24?7=>3:14<;I;14>"3>808?584n`57>`dc3-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94jbd9'=3e=:k8>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f7b603;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132lhm7)79c;0a60=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=d0;95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758fg5=#1?i1>o<:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;n:m7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0;%;5g?4e:<1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1`4d=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?j>c;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:da0?!?1k38i>85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5l8n1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0no95+97a96g423`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3f2a?7=>3:14<;I;14>"3>808?584n`57>`e23-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94jc79'=3e=:k8>7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f7b6:3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd132li<7)79c;0a60=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=d0195?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758fg==#1?i1>o<:;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;n:87?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1`43=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?j>6;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:daa?!?1k38i>85f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5l8=1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0non5+97a96g423`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3f04?7=03:14<;I;14>"3>808?584n`57>`ec3-3=o7o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5l>k1=7650;2x 8158k4$530>03<,=<:6>=76:lb31o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>?90;6)o82;;44>N>i=10qo=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;g`b>">>j09n?;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6a5328036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=mm:0(48l:3`11>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87kk1:&:2f<5j;?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2e15>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669aa4<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4c>00:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3oo?6*66b81f735$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669aa2<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87kk5:&:2f<5j;?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6a15280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=mm<0(48l:3`11>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;gg3>">>j09n?;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:m=?6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1ii64$84`>7d5=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c0g30<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?cc12.2:n4=b378m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>i99:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg4c?>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3oon6*66b81f735$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669aae<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87kkd:&:2f<5j;?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6a0d280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=mmo0(48l:3`11>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;ggb>">>j09n?;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:mjn;%627?5<@08;7):91;10<3=ii>>1ih>4$84`>7d5=2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c0g2c<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?cb92.2:n4=b378m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>i9?:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg4c<10:6;4?:1y'=d5=;ji0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3on?6*66b81f735$`51>16032e2>821b>::18'e24=14<1290;w)7n3;1`g>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669a`2<,07<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nm4H`7g?M?f=2.j;?4=5+473976>13gk<87kj5:&:2f<5j;?0e??>:18'e24=:;?07d<;d;29 d152=:<76a60e83>!g0:322<65f20a94?"f?;09=n54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6a36280=6=4?{%;b7?5dk2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=ml<0(48l:3`11>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>77d32c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53ba8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;gf3>">>j09n?;4i332>5<#i>81>?;4;h07`?6=,h=969>8;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<62290/m:<594a8?l44i3:1(l9=:801?>{e:m?86<49:183!?f;39ho6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1ih64$84`>7d5=2c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c0g11<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?cb12.2:n4=b378m776290/m:<52378?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=6=4+a609=0e<3`88m7>5$`51><4532wi>i;::085>5<7s-3j?7=lc:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f25f94?"f?;0?<:54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:40<72-k<>77:c:9j66g=83.j;?462398yg4c=?0:6;4?:1y'=d5=;ji0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3onn6*66b81f735$`51>16032e2>821b>::18'e24=14<1290;w)7n3;1`g>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669a`e<,07<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nm4H`7g?M?f=2.j;?4=5+473976>13gk<87kjd:&:2f<5j;?0e??>:18'e24=:;?07d<;d;29 d152=:<76a60e83>!g0:322<65f20a94?"f?;09=n54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6a2e280=6=4?{%;b7?5dk2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=mlo0(48l:3`11>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>77d32c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53ba8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;gfb>">>j09n?;4i332>5<#i>81>?;4;h07`?6=,h=969>8;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<62290/m:<594a8?l44i3:1(l9=:801?>{e:m>o6<49:183!?f;39ho6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1ik>4$84`>7d5=2c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c0g0`<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?ca92.2:n4=b378m776290/m:<52378?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=6=4+a609=0e<3`88m7>5$`51><4532wi>i:i:085>5<7s-3j?7=lc:Jb1a=O1h?0(l9=:2fb?!26;390D4!g0:389965f25f94?"f?;0?<:54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:40<72-k<>77:c:9j66g=83.j;?462398yg4c?00:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3om?6*66b81f735$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669ac2<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4c:80:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3om96*66b81f735$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>i<7:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0g6<<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?ca?2.2:n4=b378m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:m8j6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1ik64$84`>7d5=2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;ge=>">>j09n?;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6a4d28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=mok0(48l:3`11>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=i4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87kib:&:2f<5j;?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2e0f>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669ace<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4c:o0:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3omh6*66b81f735$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>i<=:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0g66<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?can2.2:n4=b378m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:m8?6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1j=>4$84`>7d5=2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;d35>">>j09n?;4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6a4128036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=n980(48l:3`11>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87h?3:&:2f<5j;?0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2b;;>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669b52<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4d100:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3l;96*66b81f735$`51>16032c257>5$`51><1432wi>no;:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0`ec<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?`7?2.2:n4=b378m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:jh96<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1j=64$84`>7d5=2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;d3=>">>j09n?;4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6fd328036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=n9k0(48l:3`11>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87h?b:&:2f<5j;?0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2b`5>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669b5e<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4dj>0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3l;h6*66b81f735$`51>16032c257>5$`51><1432wi>n7n:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0`=g<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?`7n2.2:n4=b378m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:j3h6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1j<>4$84`>7d5=2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;d25>">>j09n?;4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6f?b28036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=n880(48l:3`11>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87h>3:&:2f<5j;?0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2bc3>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669b42<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4di80:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3l:96*66b81f735$`51>16032c257>5$`51><1432wi>no=:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0`e6<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?`6?2.2:n4=b378m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:jk>6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1j<64$84`>7d5=2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;d2=>">>j09n?;4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6fg028036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=n8k0(48l:3`11>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87h>b:&:2f<5j;?0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2bc:>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669b4e<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4dih0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3l:h6*66b81f735$`51>16032c257>5$`51><1432wi>nom:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0`ef<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?`6n2.2:n4=b378m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:jko6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1j?>4$84`>7d5=2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;d15>">>j09n?;4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6fd728036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=n;80(48l:3`11>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87h=3:&:2f<5j;?0e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2b07>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n;>0(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n<9:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d:<0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2b0b>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n;=0(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d:k0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2b10>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n;k0(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n=::087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d;=0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2b0g>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n;n0(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d:l0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2b13>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n::0(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n==:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg;%;5g?4e:<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d;80:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2b15>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n:90(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n=7:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d;>0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2b1:>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n:<0(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n=m:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d;h0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2b1`>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n:30(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n=j:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d;m0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2b1e>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n:i0(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n:>:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d<90:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2b04>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=n:l0(48l:3`11>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>n<6:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4d:10:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb317co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2c0f>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=nk1em::5f508 <0d2;h996g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb3`12?7=<3:187)79c;0a60=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?l=f;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>cd50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?`3=2.2:n4=b378m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;da?kg0<3l?:6*66b81f734<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th9n?651;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758e02=#1?i1>o<:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5j:;1=7;50;2x 815??4$542>65?>2.?=>4ib:lb317co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:k826<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6g55280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891jo5aa669b1g<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f7d5i3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3`07?7==3:185f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0a6g<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3l?h6*66b81f73m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`e3gk<87h;e:&:2f<5j;?0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=b3a95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9n>;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128ef>hf?=0m9=5+97a96g423`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi>o5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669b07<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:k<=6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=n<80(48l:3`11>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj;h>:7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:g70?!?1k38i>85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7d1l3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86ko4n`57>c333-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4e=m0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87h:5:&:2f<5j;?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0a2`<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;d62>">>j09n?;4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`1f0c=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0m9:5+97a96g423`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=b7d95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2c7e>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=n<30(48l:3`11>o5::0;6)o82;63=>=n:5$`51>=?732wi>o9?:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1j8o4$84`>7d5=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?l90;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>c3e3-3=o7i0?:0;6)o82;::4>=zj;h<=7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:gc8jd132o?h7)79c;0a60=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;d6`>">>j09n?;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4e?;0:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?`2m2.2:n4=b378m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5j?81=7:50;2x 818:j4$542>65?>2dj;94i5g9'=3e=:k8>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1f25=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0mm6`n758e25=#1?i1>o<:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6g04280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1j;?4$84`>7d5=2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::5f708 <0d2;h996g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb3`50?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?l85;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>cg50:9j7c`=83.j;?4>849me26=921d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?`1=2.2:n4=b378m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3l=:6*66b81f735<#i>81=5;4n`53>4==86=4+a609<<6<3th9n8951;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758e22=#1?i1>o<:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5j?21=7=50;2x 815??4$542>65?>2.?=>4ia:lb3111/5;m52c06?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:k?36<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6g0>28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa669b3g<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f7d213;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3`5e?7=;3:185f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0a1d<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3l=h6*66b81f73m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87h9e:&:2f<5j;?0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=b4`95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o1/5;m52c06?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9n;m51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128ee>hf?=0m;=5+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>o;l:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669b27<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:k>=6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=n>80(48l:3`11>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj;h8:7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:g50?!?1k38i>85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7d3l3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86ko4n`57>c133-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4e;m0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87h85:&:2f<5j;?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0a0`<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;d42>">>j09n?;4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`1f6c=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0m;:5+97a96g423`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=b5d95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7567d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2c1e>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=n>30(48l:3`11>o5::0;6)o82;63=>=n:5$`51>=?732wi>o;?:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1j:o4$84`>7d5=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?l;0;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>c1e3-3=o7i0?:0;6)o82;::4>=zj;h>=7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:gc8jd132o=h7)79c;0a60=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;d4`>">>j09n?;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4e=;0:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?`0m2.2:n4=b378m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5j=81=7:50;2x 818:j4$542>65?>2dj;94i7g9'=3e=:k8>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1f05=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0mm6`n758e<5=#1?i1>o<:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6g24280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1j5?4$84`>7d5=2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::5f908 <0d2;h996g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb3`70?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?l:5;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>cg50:9j7c`=83.j;?4>849me26=921d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?`?=2.2:n4=b378m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3l3:6*66b81f735<#i>81=5;4n`53>4==86=4+a609<<6<3th9n>951;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758e<2=#1?i1>o<:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5j=21=7=50;2x 815??4$542>65?>2.?=>4ia:lb317co80;38?j10;3:1(l9=:9;3?>{e:k936<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6g2>28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa669b=g<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f7d413;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3`7e?7=;3:185f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0a7d<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3l3h6*66b81f73m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87h7e:&:2f<5j;?0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=b2`95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9n9m51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128ee>hf?=0m5=5+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>o=l:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669b<7<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:kn=6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6f7328086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1j4=4$84`>7d5=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=bea95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9oN>i<1/m:<5a4`8 1062:93:6`n758e=0=#1?i1>o<:;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg4elm0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87h66:&:2f<5j;?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0`5f<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?lke;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>c??3-3=o7i0?:0;6)o82;::4>=zj;i:h7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:g;:?!?1k38i>85f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a6gba280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1j4o4$84`>7d5=2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=n0h0(48l:3`11>o5::0;6)o82;633>=n=86=4+a609<<6<3th9nh>51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758e=f=#1?i1>o<:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5k8l1=7=50;2x 81m8l4$542>65?>2dj;94i9e9'=3e=:k8>7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0aa4<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3l2i6*66b81f73m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?`>n2.2:n4=b378m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;hn>7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:gc3?!?1k38i>85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7e593;1?7>50z&:e6n6*;60807=0;%;5g?4e:<1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2cg0>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=nh80(48l:3`11>o5::0;6)o82;63=>=n:5$`51>=?732wi>n<=:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669bd5<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5jl>1=7:50;2x 818:j4$542>65?>2dj;94ia59'=3e=:k8>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1g75=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0mm85+97a96g423`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?`f>2.2:n4=b378m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;db3>">>j09n?;4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f7dc03;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3a22?7=;3:15$`51>=?732wi>oj6:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669bdg<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:j;<6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5f``8 <0d2;h996g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`1fag=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0mmn5+97a96g423`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=c0:95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;dba>">>j09n?;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4d900:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87hnf:&:2f<5j;?0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb3a2e?7=;3:15$`51>=?732wi>o99:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669bg7<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:kh>6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5fc08 <0d2;h996g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`1f=d=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0mn>5+97a96g423`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=bb295?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;da1>">>j09n?;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4ekk0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87hm6:&:2f<5j;?0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb3`b1?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?llf;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>cd?3-3=o7{e:kk<6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6gb728086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1joo4$84`>7d5=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=b`;95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9ni?51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758eff=#1?i1>o<:;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg4eik0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87hmd:&:2f<5j;?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0a`7<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?lnd;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>cda3-3=o7i0?:0;6)o82;::4>=zj;ho?7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:ga3?!?1k38i>85f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a6gga280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1jn?4$84`>7d5=2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=nj80(48l:3`11>o5::0;6)o82;633>=n=86=4+a609<<6<3th9no?51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758eg6=#1?i1>o<:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5jm?1=7=50;2x 81m8l4$542>65?>2dj;94ic59'=3e=:k8>7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0a32<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3lh96*66b81f73m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?`d>2.2:n4=b378m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;h<57?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:ga4?!?1k38i>85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7de?3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2c5a>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=nj30(48l:3`11>o5::0;6)o82;63=>=n:5$`51>=?732wi>ol7:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669bfg<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5j>n1=7:50;2x 818:j4$542>65?>2dj;94icc9'=3e=:k8>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1fg?=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0mon5+97a96g423`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?`dl2.2:n4=b378m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;d`a>">>j09n?;4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f7d?93;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3`af?7=;3:15$`51>=?732wi>o6<:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669ba7<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:khh6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5fe08 <0d2;h996g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`1f=3=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0mh>5+97a96g423`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=bcf95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;dg1>">>j09n?;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4ejl0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87hk6:&:2f<5j;?0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb3`;=?7=<3:1<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?lmf;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>cb?3-3=o7{e:k2o6<4;:183!?f;3>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6ge628086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1jio4$84`>7d5=2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=b9d95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9nn<51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758e`f=#1?i1>o<:;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg4e180:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87hkd:&:2f<5j;?0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0ag6<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?l63;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>cba3-3=o7i0?:0;6)o82;::4>=zj;hh87?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:gg3?!?1k38i>85f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a6g?2280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1jh?4$84`>7d5=2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=nl80(48l:3`11>o5::0;6)o82;633>=n=86=4+a609<<6<3th9n4951;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758ea6=#1?i1>o<:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5jj<1=7=50;2x 81m8l4$542>65?>2dj;94ie59'=3e=:k8>7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0a=<<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3ln96*66b81f73m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?`b>2.2:n4=b378m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;h2n7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:gg4?!?1k38i>85f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7dd03;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2c;g>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=nl30(48l:3`11>o5::0;6)o82;63=>=n:5$`51>=?732wi>om6:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669b`g<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5j0l1=7:50;2x 818:j4$542>65?>2dj;94iec9'=3e=:k8>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1ffg=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0min5+97a96g423`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?`bl2.2:n4=b378m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;dfa>">>j09n?;4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f7ddl3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2bf6>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=no:0(48l:3aa<>o5::0;6)o82;633>=n=86=4+a609<<6<3th9oi:51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758eb4=#1?i1>nl7;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg4dk10:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87hi2:&:2f<5kk20e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0``6<62:0;6=u+9`19e0e<@h?o7E7n5:&b37=<7>5$`51>16032e<;>4?:%c46?>>821vn?ml6;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>c`33-3=o7i0?:0;6)o82;::4>=zj;io>7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:gd6?!?1k38hn55f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a6fe3280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1jk84$84`>7ee02c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=no=0(48l:3aa<>o5::0;6)o82;633>=n=86=4+a609<<6<3th9on<51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758eb==#1?i1>nl7;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5km:1=7=50;2x 81m8l4$542>65?>2dj;94if89'=3e=:jh37d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0`g5<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3lmm6*66b81gg>m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?`aj2.2:n4=cc:8m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;iii7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:gd`?!?1k38hn55f23194?"f?;0?<454i37b>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7edm3;1?7>50z&:e6n6*;60807=0?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2b``>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=noo0(48l:3aa<>o5::0;6)o82;63=>=n:5$`51>=?732wi>nmk:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa669bc`<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5kkk1=7:50;2x 818:j4$542>65?>2dj;94>0128 <0d2;ii46g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6fed28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1==>>;%;5g?4dj11b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2b`:>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=99:97)79c;0`f==n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?mk8;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>467;2.2:n4=cc:8m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3341=#1?i1>nl7;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd5km=1=7:50;2x 818:j4$542>65?>2dj;94>0178 <0d2;ii46g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a6a6528036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=99:=7)79c;0`f==n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94>0158 <0d2;ii46g=1083>!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0g45<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?77811/5;m52b`;?l4693:1(l9=:306?>o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;imj7?58;294~">i:08h<5Ga4f8L77:e:&756<43A39<6*;60807=0?9:&:2f<5kk20e??>:18'e24=:;?07d<;d;29 d152=:<76g60g83>!g0:33<<65`91f94?"f?;035=54i33`>5<#i>81>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2bdf>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669556f3-3=o7i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598m<3>290/m:<59618?xd5kon1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0:<=l4$84`>7ee02c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=<729q/5l=53e38Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;334f=#1?i1>nl7;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f7eaj3;147>50z&:e6<4l81Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd1328:;h6*66b81gg>5$`51>16032c257>5$`51><1432wi>nhn:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`1gc?=9321<7>t$8c0>6b63Ak>h6F6a49'e24=1=76:lb31<689l0(48l:3aa<>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87??119'=3e=:jh37d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>46692.2:n4=cc:8m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:joi6<47:183!?f;39o=6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1==?=;%;5g?4dj11b>!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=cd;95?>=83:p(4o<:2f2?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n7582445<,07<=5:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4dml0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3;;=95+97a96fd?3`8:=7>5$`51>74232c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:0221>">>j09oo64i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6fcc28036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=99;=7)79c;0`f==n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94>0058 <0d2;nm86g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0e7=<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?77911/5;m52ed7?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;l857?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0>9:&:2f<5lo>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6c6628036=4?{%;b7?5c92Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=99;j7)79c;0gb1=n:8;1<7*n738160=o6=4+a609051<3`3;j7>5$`51><1732e2>821b>77=2:J:e1=951;:94?6|,0k86>j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94>00`8 <0d2;nm86g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0e2a<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?779j1/5;m52ed7?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?h<6;3947)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:022`>">>j09hk:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6c5c280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=99;n7)79c;0gb1=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=f2g95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758244`<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87??219'=3e=:ml?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f7`3m3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd1328:9=6*66b81`c25$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66955453-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=51;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94>0318 <0d2;nm86g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg4a=80:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;;>95+97a96a`33`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3d66?7=>3:14<;I;14>"3>808?584n`57>465=2.2:n4=dg68m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>k;<:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5n<>1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0:7ba<2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c0e7c<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?77:11/5;m52ed7?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?h;0;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:021=>">>j09hk:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:o>:6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1==!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1b14=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<68;h0(48l:3fe0>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;336f=#1?i1>ih;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;l?87?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0=d:&:2f<5lo>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6c22280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=998n7)79c;0gb1=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=f5495?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758247`<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87??319'=3e=:ml?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f7`303;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd1328:8=6*66b81`c25$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66955553-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94>0218 <0d2;nm86g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg4a"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;;?95+97a96a`33`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3d7`?7=>3:14<;I;14>"3>808?584n`57>464=2.2:n4=dg68m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>k>9:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5n9=1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0:<>94$84`>7ba<2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c0e57<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?77;11/5;m52ed7?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?h>7;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:020=>">>j09hk:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:o;36<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1===n;%;5g?4cn=1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1b4?=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<68:h0(48l:3fe0>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;337f=#1?i1>ih;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;l:n7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=00e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6c7d280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=999n7)79c;0gb1=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=f0f95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758246`<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87??419'=3e=:ml?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f7`713;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd1328:?=6*66b81`c25$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66955253-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94>0518 <0d2;nm86g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg4a8j0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;;895+97a96a`33`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3d3`?7=>3:14<;I;14>"3>808?584n`57>463=2.2:n4=dg68m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>k>j:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5n9l1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0:<994$84`>7ba<2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c0e55<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?77<11/5;m52ed7?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?h>1;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:027=>">>j09hk:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:o;86<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1==:n;%;5g?4cn=1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1b42=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<68=h0(48l:3fe0>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;330f=#1?i1>ih;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;l::7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0;d:&:2f<5lo>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6c5f28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=99>n7)79c;0gb1=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=l51;:94?6|,0k86>j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94>05d8 <0d2;nm86g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0e7f<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?77=91/5;m52ed7?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;l=i7?58;294~">i:08h;5Ga4f8L77:e:&756<2=2.?:<4<3948jd1328:>=6*66b81`c25$`51>77d32c98i4?:%c46?27?21b58750;&b37<>?:10c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3<<7>5$`51><173A3j865rb3d5b?7=03:14<;I;14>"3>808?584n`57>462:2.2:n4=dg68m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:o?>6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1==;<;%;5g?4cn=1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1b00=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<68<>0(48l:3fe0>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;3310=#1?i1>ih;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;l=:7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0:6:&:2f<5lo>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6c00280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=99?<7)79c;0gb1=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=f7:95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758240><,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87??589'=3e=:ml?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f7`1i3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd1328:>m6*66b81`c25$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669553e3-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94>04a8 <0d2;nm86g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg4a=>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;;9i5+97a96a`33`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3d63:14<;I;14>"3>808?584n`57>462m2.2:n4=dg68m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>k;6:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd5n81?io4$530>6=O1;:0(98>:21;2>hf?=0:<;>4$84`>7ba<2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c0e1g<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?77>81/5;m52ed7?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?h:c;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:0256>">>j09hk:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:o?o6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1==8<;%;5g?4cn=1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`1b0c=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<68?>0(48l:3fe0>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;3320=#1?i1>ih;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;l=<7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=096:&:2f<5lo>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a6c05280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=99<<7)79c;0gb1=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=f7195?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n758243><,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87??689'=3e=:ml?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f7`1=3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd1328:=m6*66b81`c25$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669550e3-3=o7o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5n8l1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0:<;m4$84`>7ba<2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;332a=#1?i1>ih;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f7`5n3;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd1328:=i6*66b81`c25$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>k=?:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`1b67=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<68>:0(48l:3fe0>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87??709'=3e=:ml?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>460:2.2:n4=dg68m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:o9?6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1==9<;%;5g?4cn=1b>!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=f2795?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n7582422<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg4a:90:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3;;;85+97a96a`33`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:0242>">>j09hk:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a6c4528036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=99=<7)79c;0gb1=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94>06:8 <0d2;nm86g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c0e61<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?77?01/5;m52ed7?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj;l997?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=08a:&:2f<5lo>0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm2g05>4Nf=m1C5l;4$`51><3b3->:?7=4H803?!2193984;5aa669551e3-3=o7o>8o0;6)o82;;44>=h19n1<7*n738;=5=!g0:339>6F6a598m<3>290/m:<59618?xd5n;=1=7650;2x 8158k4$530>6=O1;:0(98>:21;2>hf?=0:<:m4$84`>7ba<2c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;333a=#1?i1>ih;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f7`513;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd1328:5$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi>k5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`1b7e=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<681:0(48l:3fe0>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=i4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87??809'=3e=:ml?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>46?:2.2:n4=dg68m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e:l::6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5fc9me22=99287)79c;0gb1=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;33<1=#1?i1>ih;;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5m9>1=7;50;2x 815??4$542>65?>2.?=>4ib:lb31<681?0(48l:3fe0>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;nm47?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:02;2>">>j09hk:4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4b8<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7hm;oc40?770>1/5;m52ed7?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:ml26<4;:183!?f;3>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7c7>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86kl4n`57>46?12.2:n4=dg68m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd5lok1=7:50;2x 818:j4$542>65?>2dj;94>09c8 <0d2;nm86g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6`60280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891jo5aa66955>e3-3=o7849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg4cnk0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87??8b9'=3e=:ml?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1a5>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0mn6`n75824=b<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f7bak3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>7e:&:2f<5lo>0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0f4<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3li7co84;33ih;;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6a`c280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1==7?;%;5g?4cn=1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3g3e?7==3:1">>j09hk:4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`1`cc=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:<4<4$84`>7ba<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=nk1em::511;0?!?1k38oj95f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0gbc<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;;595+97a96a`33`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=e1a95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&7560878 <0d2;nm86g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb3g34?7=<3:1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`e3gk<87??969'=3e=:ml?7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm2ed5>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=99337)79c;0gb1=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?k?3;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>cd69:&:2f<5lo>0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=dg595?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<680k0(48l:3fe0>o5::0;6)o82;63=>=n:5$`51>=?732wi>h;::080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1==7m;%;5g?4cn=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?771j1/5;m52ed7?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9i;>51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128ee>hf?=0:<4j4$84`>7ba<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?k;8;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>46>m2.2:n4=dg68m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3;;5k5+97a96a`33`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>h:k:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66955g73-3=o7i0?:0;6)o82;::4>=zj;o=:7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:gc8jd1328:j=6*66b81`c25<#i>81=5;4n`53>4==86=4+a609<<6<3th9i9k51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n75824d4<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:l<<6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=99k87)79c;0gb1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;33e1=#1?i1>ih;;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5m?21=7=50;2x 815??4$542>65?>2.?=>4ia:lb31<68h?0(48l:3fe0>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj;o><7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:02b2>">>j09hk:4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4b>00:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?77i>1/5;m52ed7?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:l?:6<4;:183!?f;3>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7c1i3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86ko4n`57>46f12.2:n4=dg68m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5m<81=7:50;2x 818:j4$542>65?>2dj;94>0`c8 <0d2;nm86g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6`0e28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa66955ge3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4b=:0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87??ab9'=3e=:ml?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1a3e=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0mm6`n75824db<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f7c2<3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>ne:&:2f<5lo>0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0f13<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;33ec=#1?i1>ih;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6`5b280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1==l?;%;5g?4cn=1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3g63?7=;3:1">>j09hk:4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`1a6`=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:7ba<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::511`0?!?1k38oj95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0f05<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;;n95+97a96a`33`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=e4;95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7560c78 <0d2;nm86g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb3g75?7=<3:1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87??b69'=3e=:ml?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2d61>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=99h37)79c;0gb1=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?k:b;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>cgm9:&:2f<5lo>0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=e5195?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<68kk0(48l:3fe0>o5::0;6)o82;63=>=n:5$`51>=?732wi>h;l:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1==lm;%;5g?4cn=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?77jj1/5;m52ed7?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9i8j51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128ee>hf?=0:7ba<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?k;5;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>46em2.2:n4=dg68m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3;;nk5+97a96a`33`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>h:9:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66955e73-3=o7i0?:0;6)o82;::4>=zj;o>j7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:gc8jd1328:h=6*66b81`c25<#i>81=5;4n`53>4==86=4+a609<<6<3th9i9951;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n75824f4<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:l<:6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=99i87)79c;0gb1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;33g1=#1?i1>ih;;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5m?81=7=50;2x 815??4$542>65?>2.?=>4ia:lb31<68j?0(48l:3fe0>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj;o?m7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:02`2>">>j09hk:4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4b>:0:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?77k>1/5;m52ed7?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:l>i6<4;:183!?f;3>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7c1<3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86ko4n`57>46d12.2:n4=dg68m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5m=i1=7:50;2x 818:j4$542>65?>2dj;94>0bc8 <0d2;nm86g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6`4228086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa66955ee3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4b8m0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87??cb9'=3e=:ml?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1a66=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0mm6`n75824fb<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f7c603;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>le:&:2f<5lo>0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0f70<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;33gc=#1?i1>ih;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6`7c280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1==j?;%;5g?4cn=1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3g02?7=;3:1">>j09hk:4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`1a4c=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:7ba<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::511f0?!?1k38oj95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0f5c<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;;h95+97a96a`33`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=e2:95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7560e78 <0d2;nm86g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb3g14?7=<3:1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87??d69'=3e=:ml?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2d02>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=99n37)79c;0gb1=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?k4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>cgk9:&:2f<5lo>0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=e3095?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<68mk0(48l:3fe0>o5::0;6)o82;63=>=n:5$`51>=?732wi>h=m:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1==jm;%;5g?4cn=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?77lj1/5;m52ed7?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9i>m51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128ee>hf?=0:7ba<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?k=4;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>46cm2.2:n4=dg68m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=;4>:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3;;hk5+97a96a`33`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>h>j:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66955c73-3=o7i0?:0;6)o82;::4>=zj;o9;7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:gc8jd1328:n=6*66b81`c25<#i>81=5;4n`53>4==86=4+a609<<6<3th9i=h51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n75824`4<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:l836<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=99o87)79c;0gb1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;33a1=#1?i1>ih;;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5m;31=7=50;2x 815??4$542>65?>2.?=>4ia:lb31<68l?0(48l:3fe0>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj;o:=7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:02f2>">>j09hk:4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4b:h0:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?77m>1/5;m52ed7?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:l;96<4;:183!?f;3>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7c5j3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86ko4n`57>46b12.2:n4=dg68m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5m891=7:50;2x 818:j4$542>65?>2dj;94>0dc8 <0d2;nm86g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6`4d28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa66955ce3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4b9=0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87??eb9'=3e=:ml?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1a7b=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0mm6`n75824`b<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f7c6=3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>je:&:2f<5lo>0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0f6`<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;33ac=#1?i1>ih;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6`71280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1==h?;%;5g?4cn=1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3g1b?7=;3:1">>j09hk:4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`1a41=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:7ba<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::511d0?!?1k38oj95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0f5<<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;;j95+97a96a`33`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=e2095?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7560g78 <0d2;nm86g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb3g2e?7=<3:1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87??f69'=3e=:ml?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2d3a>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=99l37)79c;0gb1=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?k<4;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>cgi9:&:2f<5lo>0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=e0a95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<68ok0(48l:3fe0>o5::0;6)o82;63=>=n:5$`51>=?732wi>h8k:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66955`e3-3=o7i0?:0;6)o82;::4>=zj;ohn7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:02eg>">>j09hk:4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f7c003;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>id:&:2f<5lo>0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0f`3<62:0;6=u+9`19e0e<@h?o7E7n5:&b375$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?77no1/5;m52ed7?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9iil51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n7582556<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5m>n1=7:50;2x 818:j4$542>65?>2dj;94>1138 <0d2;nm86g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6`bd28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1=<>=;%;5g?4cn=1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2d5f>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=98:87)79c;0gb1=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?kkd;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>477<2.2:n4=dg68m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;oi:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0331>">>j09hk:4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4bll0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87?>079'=3e=:ml?7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0f<5<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;:<:5+97a96a`33`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=eed95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<69920(48l:3fe0>o5::0;6)o82;633>=n=86=4+a609<<6<3th9i5?51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758255?<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:lo;6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5102b?!?1k38oj95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a6`>5280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=<>m;%;5g?4cn=1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb3gf5?7=;3:1=<7>5$`51>16032e<;>4?:%c46?>>821vn?k73;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>477l2.2:n4=dg68m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;324`=#1?i1>ih;;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg4b>l0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?>0g9'=3e=:ml?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`1afe=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0:=<>4$84`>7ba<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=e7d95?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<698;0(48l:3fe0>o5::0;6)o82;63=>=n:5$`51>=?732wi>hmk:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66954753-3=o7{e:l=;6<4;:183!?f;3>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7cdm3;1?7>50z&:e6n6*;60807=04:&:2f<5lo>0e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb3g45?7=<3:1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?769?1/5;m52ed7?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3252=#1?i1>ih;;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5mm:1=7=50;2x 81m8l4$542>65?>2dj;94>10:8 <0d2;nm86g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`1a25=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:=<74$84`>7ba<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=98;j7)79c;0gb1=n:;91<7*n738742=5$`51>=?732wi>h9;:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669547e3-3=o7i0?:0;6)o82;::4>=zj;oo>7?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:032g>">>j09hk:4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f7c0=3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>d:&:2f<5lo>0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c0f`6<62:0;6=u+9`19e0e<@h?o7E7n5:&b375$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?769o1/5;m52ed7?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9ii:51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n7582576<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd5m>=1=7:50;2x 818:j4$542>65?>2dj;94>1338 <0d2;nm86g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a6`b228086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1=<<=;%;5g?4cn=1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm2d5:>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=98887)79c;0gb1=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?kk7;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>475<2.2:n4=dg68m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;oi:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0311>">>j09hk:4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg4bl10:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87?>279'=3e=:ml?7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c0f3g<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;:>:5+97a96a`33`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=ee;95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<69;20(48l:3fe0>o5::0;6)o82;633>=n=86=4+a609<<6<3th9iio51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758257?<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd6m>31=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0:=?o4$84`>4c2:2c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c3f=3<62?0;6=u+9`197fe<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?76:k1/5;m51d71?l4693:1(l9=:306?>o5=h19n1<7*n738;=5=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:031g>">>j0:i8<4i332>5<#i>81>?;4;h07`?6=,h=969>8;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<62290/m:<594a8?l44i3:1(l9=:801?>{e9l336<49:183!?f;39ho6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=<!g0:38:o65f91794?"f?;029n54i31b>5<#i>815?<4;|`2at$8c0>6ed3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<69;o0(48l:0g66>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>77d32c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?j9`82>3<729q/5l=53ba8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;326c=#1?i1=h;=;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m77d290/m:<520a8?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8o2n7?56;294~">i:08on5Ga4f8L7=ka:&756<43A39<6*;60807=0:18'e24=:;?07d<;d;29 d152=:<76a60e83>!g0:322<65f20a94?"f?;09=n54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5`?d280=6=4?{%;b7?5dk2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=989:7)79c;3f17=n:8;1<7*n738160=o6=4+a609051<3f3;h7>5$`51>=?732c9=n4?:%c46?46k21b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>e8f95?0=83:p(4o<:2a`?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n7582564<,07<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:e81>a}#1h915<;4H`7g?M?f=2.?9k4<3978L<473->:?7;4$542>65?>2dj;94>1218 <0d28o>>6g>0c83>>o68o0;66g>1983>>o6900;66g=4e83>>o41o0;66g>o4i80;66g>o>il0;66g6ag83>k?>k3;07b79a;29?j5>m3:17oo98;29:21;2>"39:0>7co84;3271=#1?i1=h;=;h3;2?6=,h=96<6:;oc44?6<3`;3;7>5$`51>4>23gk<<7?4;h3;5$`51>4>23gk<<7=4;h633?6=,h=969>8;:k160<72-k<>7<=5:9j=d`=83.j;?4=1b98k=?7290/m:<58828?xdf>>0;6n4?:1y'e24=18k0(4o<:`44?!2193984;5+40191>hf?=0:=>;4$84`>4c2:2c2=<4?:%c46??682dj;=4?;:k:57<72-k<>77>0:lb35<632c2=>4?:%c46??682dj;=4=;:k:51<72-k<>77>0:lb35<432c2ml4?:%c46??f12dj;=4?;:k:eg<72-k<>77n9:lb35<632c2mn4?:%c46??f12dj;=4=;:k:ea<72-k<>77n9:lb35<432c?<:4?:%c46?27?21b>?;50;&b37<5:<10e4oi:18'e24=:8i07b660;29 d15213;76s|25f94?5|V;>o70o98;633>;f>>0?<:5rs8ce>5<4sW3jj63n698:ec=:i?=15lh4}r;ba?6=;rT2mh52a7:9673<5h<<6?<:;|q24g<72:qU==l4=`4;>4>134k=;77na:p55`=839pR<>i;8:?b2=<60116m;959`a8yv7613:1?vP>189>e3>=91301l88:8cg?xu41o0;6?uQ38d89d0020;:7p}7}Y;h:01l88:831?xu4i80;6?uQ3`389d0020;87p}7}Y;h801l88:837?xu>>h0;6?uQ97c89d0?213;7p}<9d83>7}Y;0o01l88:9;3?x{e9l?h6<4k:38g!?f;33:96Fn5e9K=d3<,=?m6>=75:J:65=#<89196*;60807=0m:188m46a2900e2900e?:k:188m6?a2900e>o?:188m6g62900e>o=:188m=n91=1<7*n7382<0=ii>:1=65f19:94?"f?;0:485aa6296>=n9131<7*n7382<0=ii>:1?65f41594?"f?;0?<:54i306>5<#i>81>?;4;h;bb?6=,h=96??l;:m;=5<72-k<>7660:9~fd00290h6=4?{%c46??6i2.2m>4n669'037=;:2=7):>3;78jd1328;846*66b82a045<#i>815<>4n`53>4=5<#i>815<>4n`53>6=5<#i>815l74n`53>4=5<#i>815l74n`53>6=5$`51>74232c2mk4?:%c46?46k21d44>50;&b376}Y1hl01l87:8ce?8g1?33jj6s|9`g94?5|V0kn70o98;011>;f>>09>85rs02a>5<4sW;;n63n6982<3=:i?=15lo4}r33b?6=;rT:4>?34k=;77nc:p54?=839pR981v>o?:181[5f827j::46139~w6g62909wS=n1:?b22<>9:1v>o=:181[5f:27j::46159~w<0f2909wS79a:?b2=7j:181[5>m27j::47919~yg7b=m0:6i4=:ey'=d5=18?0Dl;k;I;b1>"3=o08?5;4H803?!26;3?0(98>:21;2>hf?=0:=>74$84`>4c2:2c:5;n1:a?6=3kk=47>58;294~"f?;02=o5+9`19e3><,=<:6>=76:&756<23gk<87?>3`9'=3e=9l?97d?76;29 d15282>7co80;28?l7??3:1(l9=:0:6?kg083;07d?78;29 d15282>7co80;08?l7?13:1(l9=:0:6?kg083907d:?7;29 d152=:<76g=2483>!g0:389965f9`d94?"f?;09=n54o9;3>5<#i>8144>4;|`b22<72j0;6=u+a609=4g<,0k86l88;%655?540?1/8<=55:lb31<69:h0(48l:0g66>o>980;6)o82;;24>hf?90;76g61383>!g0:33:<6`n7182?>o>9:0;6)o82;;24>hf?90976g61583>!g0:33:<6`n7180?>o>ih0;6)o82;;b=>hf?90;76g6ac83>!g0:33j56`n7182?>o>ij0;6)o82;;b=>hf?90976g6ae83>!g0:33j56`n7180?>o38>0;6)o82;633>=n:;?1<7*n738160=5$`51>=?732wx>9j50;1xZ72c34k=47:?7:?b22<38>1v4oi:180[?fn27j:546ag9>e31=1hl0q~7ne;297~X>il16m;6523789d002;8>7p}>0c83>6}Y99h01l87:0:5?8g1?33jm6s|11d94?5|V8:m70o98;3;3>;f>>02mo5rs03;>5<4sW;:463n6982<==:i?=15lm4}r32=?6=;rT:=452a7:95=?<5h<<64ok;|q0=c<72;qU?4h4=`44><763ty8m=4?:3y]7d6<5h<<64?=;|q0e4<72;qU?l?4=`44><743ty8m?4?:3y]7d4<5h<<64?;;|q:2d<72;qU5;o4=`4;>=?73ty85h4?:3y]75<5<o6=44i2;e>5<5<5<=h1?k1<75`38g94?=ei?21<7650;2x d1520;i7)7n3;c5<>"3>808?584$530>0=ii>>1=<=k;%;5g?7b=;1b=5850;&b37<60<1em:>50:9j5=1=83.j;?4>849me26=921b=5650;&b37<60<1em:>52:9j5=?=83.j;?4>849me26=;21b8=950;&b37<38>10e?<::18'e24=:;?07d7nf;29 d152;;h76a79183>!g0:322<65rb`44>5">i:0j::5+473976>13->:?7;4n`57>474m2.2:n4>e408m<76290/m:<59028jd172910e4?=:18'e24=18:0bl9?:098m<74290/m:<59028jd172;10e4?;:18'e24=18:0bl9?:298mo>io0;6)o82;02g>=h00:1<7*n738;=5=53z\10a=:i?218=94=`44>1603ty2mk4?:2y]=d`<5h<364oi;m:180[77j27j:54>879>e31=1hk0q~??f;297~X68o16m;6519589d0020ki7p}>1983>6}Y98201l87:0:;?8g1?33jo6s|10;94?5|V8;270o98;3;=>;f>>02mi5rs2;e>5<5sW92j63n668:54=z{:k;6=4={_1b4>;f>>02=?5rs2c2>5<5sW9j=63n668:56=z{:k96=4={_1b6>;f>>02=95rs84b>5<5sW3=m63n698;=5=z{:3n6=4={_1:a>;f>>035=5r}c3f1c<62m096iu+9`19=43<@h?o7E7n5:&71c<4;1?0D4=76:lb31<69:l0(48l:0g66>o68k0;66g>0g83>>o6910;66g>1883>>o5>o4i90;66g>o4i;0;66g6ad83>>o>io0;6c76c;38?j?1i3:17b=6e;29?gg103:147>50z&b37<>9k1/5l=5a7:8 1062:93:6*;1286?kg0<3;:8=5+97a95`353`;3:7>5$`51>4>23gk<<7>4;h3;3?6=,h=96<6:;oc44?7<3`;347>5$`51>4>23gk<<7<4;h3;=?6=,h=96<6:;oc44?5<3`>;;7>5$`51>16032c9>84?:%c46?45=21b5lh50;&b37<59j10c57?:18'e24=00:07pln6683>f<729q/m:<590c8 77>0:lb35<732c2=?4?:%c46??682dj;=4>;:k:56<72-k<>77>0:lb35<532c2=94?:%c46??682dj;=4<;:k:ed<72-k<>77n9:lb35<732c2mo4?:%c46??f12dj;=4>;:k:ef<72-k<>77n9:lb35<532c2mi4?:%c46??f12dj;=4<;:k742<72-k<>7:?7:9j673=83.j;?4=2498m>83:1(l9=:9;3?>{t:=n1<7=t^36g?8g103>;;63n668742=z{0km6=4<{_;bb>;f>102mk52a759=d`53z\:e`=:i?21>?;4=`44>7423ty:ik1v899>e31=1hi0q~?>9;297~X69016m;6519;89d0020ko7p}<9g83>7}Y;0l01l88:832?xu4i90;6?uQ3`289d0020;97p}7}Y;h;01l88:830?xu4i;0;6?uQ3`089d0020;?7p}66`83>7}Y1?k01l87:9;3?xu41l0;6?uQ38g89d00213;7psm1d41>4Nf=m1C5l;4$57e>65?=2B2>=5+40191>"3>808?584n`57>473:2.2:n4>e408m46e2900e<>i:188m47?2900e7i:188m6g72900e>o>:188m6g52900e4oj:188m=8321<7>t$`51><7e3-3j?7o98:&724<4;1<0(9?<:49me22=98>87)79c;3f17=n91<1<7*n7382<0=ii>:1<65f19594?"f?;0:485aa6295>=n9121<7*n7382<0=ii>:1>65f19;94?"f?;0:485aa6297>=n<9=1<7*n738742=6=4+a609673<3`3jj7>5$`51>77d32e35=4?:%c46?>>821vnl88:18`>5<7s-k<>77>a:&:e6>1/8;?532:5?!26;3?0bl9;:0370>">>j0:i8<4i832>5<#i>815<>4n`53>5=5<#i>815<>4n`53>7=54i8cb>5<#i>815l74n`53>5=5<#i>815l74n`53>7=54i524>5<#i>818=94;h011?6=,h=96?<:;:k:ec<72-k<>7<>c:9l<<6=83.j;?479198yv43l3:1?vP=4e9>e3>=<9=01l88:524?xu>io0;6>uQ9`d89d0?20km70o97;;bb>{t1ho1<7=t^8cf?8g10389963n668160=z{8:i6=4<{_33f>;f>10:4;52a759=dg53z\24c=:i?21=594=`44><5h<36<67;34k=47?79:?b22<>im1v>7i:181[5>n27j::46109~w6g72909wS=n0:?b22<>9;1v>o>:181[5f927j::46129~w6g52909wS=n2:?b22<>9=1v48n:181[?1i27j:547919~w6?b2909wS=6e:?b22a<52mq/5l=59078Ld3c3A3j96*;5g807=3<@08;7):>3;78 1062:93:6`n7582513<,05;cc565?>2.?=>4:;oc40?763:1(l9=:0:6?kg083:07d?77;29 d15282>7co80;38?l7?03:1(l9=:0:6?kg083807d?79;29 d15282>7co80;18?l27?3:1(l9=:524?>o5:<0;6)o82;011>=n1hl1<7*n73815f=5}#i>815d003->==7=<879'045==2dj;94>1558 <0d28o>>6g61083>!g0:33:<6`n7183?>o>9;0;6)o82;;24>hf?90:76g61283>!g0:33:<6`n7181?>o>9=0;6)o82;;24>hf?90876g6a`83>!g0:33j56`n7183?>o>ik0;6)o82;;b=>hf?90:76g6ab83>!g0:33j56`n7181?>o>im0;6)o82;;b=>hf?90876g;0683>!g0:3>;;65f23794?"f?;09>854i8ce>5<#i>81>io16m;959`d8yv?fm3:1?vP6ad9>e3>=:;?01l88:306?xu68k0;6>uQ11`89d0?282=70o97;;be>{t99l1<7=t^02e?8g103;3;63n668:eg=z{8;36=4<{_32<>;f>10:4552a759=de53z\25<=:i?21=574=`44>;|q0e5<72;qU?l>4=`44><753ty8m<4?:3y]7d7<5h<<64?<;|q0e7<72;qU?l<4=`44><733ty2:l4?:3y]=3g<5h<3657?;|q0=`<72;qU?4k4=`44>=?73twi=h8>:08g>75:Jb1a=O1h?0(9;i:21;1>N>:91/8<=55:&724<4;1<0bl9;:037<>">>j0:i8<4i02a>5<5<5<5<5<5=83:p(l9=:83a?!?f;3k=46*;60807=0<,=;8685aa669542>3-3=o7?j539j5=0=83.j;?4>849me26=821b=5950;&b37<60<1em:>51:9j5=>=83.j;?4>849me26=:21b=5750;&b37<60<1em:>53:9j051=83.j;?4;0698m742290/m:<52378?l?fn3:1(l9=:33`?>i?190;6)o82;::4>=zjh<<6=4l:183!g0:33:m6*6a28b22=#69;%627?3:18'e24=18:0bl9?:198m<75290/m:<59028jd172810e4?<:18'e24=18:0bl9?:398m<73290/m:<59028jd172:10e4on:18'e24=1h30bl9?:198m8:18'e24=<9=07d<=5;29 d152;8>76g6ag83>!g0:38:o65`88294?"f?;035=54}r07`?6=;rT98i52a7:9051<5h<<69>8;|q:ec<72:qU5lh4=`4;>0g9>e3>=91=01l88:8ca?xu6910;6>uQ10:89d0?282370o97;;bg>{t9831<7=t^03:?8g103;3563n668:ea=z{:3m6=4={_1:b>;f>>02=<5rs2c3>5<5sW9j<63n668:57=z{:k:6=4={_1b5>;f>>02=>5rs2c1>5<5sW9j>63n668:51=z{0;f>1035=5rs2;f>5<5sW92i63n668;=5=zuk;nm?4>:983>5}#1h91?i<4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87?>4c9'=3e=9l?97d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:334<;I;14>"3>808?584n`57>473k2.2:n4>e408m776290/m:<52378?l46k3:1(l9=:33`?>o5=n19l1<7*n738:35=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e9lk?6<47:183!?f;39o>6Fn5e9K=d3<,h=964;j;%627?5<@08;7):91;10<3=ii>>1=<:k;%;5g?7b=;1b>!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl>e`795?>=83:p(4o<:2f1?Mg2l2B2m85+a609=0c<,=;86>5G9328 1062:93:6`n758251c<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg7b010:654?:1y'=d5=;m80Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3;:8k5+97a95`353`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47)o82;;6a>"39:087E7=0:&724<4;1<0bl9;:0364>">>j0:i8<4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a5`>f28036=4?{%;b7?5c:2Bj9i5G9`78 d1520?n7):>3;18L<473->==7=<879me22=98?:7)79c;3f17=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94>1408 <0d28o>>6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3ea6<6210;6=u+9`197a7<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?76=:1/5;m51gc7?l4693:1(l9=:306?>o5=n19l1<7*n738:35=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8lm:7?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=00e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5c`0280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=98?>7)79c;3ee1=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>fgg95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7582500<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87?>569'=3e=9ok?7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f76783;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd1328;>46*66b82bd25$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa669543>3-3=o7?ia59j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94>14c8 <0d28lj86g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg478:0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3;:9o5+97a95cg33`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb3230?7=>3:1jn;I;14>"3>808?584n`57>472k2.2:n4>f`68m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>=>::085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6no21=7850;2x :21;2>hf?=0:=8k4$84`>4`f<2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3eb<<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?76=o1/5;m51gc7?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:0354>">>j0:jl:4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9oli6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1=<8>;%;5g?7ai=1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2bce=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<69?80(48l:0db0>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?ife82>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;3226=#1?i1=ko;;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8lj97?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:0350>">>j0:jl:4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg7al:0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87?>649'=3e=9ok?7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c3eef<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;::;5+97a95cg33`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl>fec95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<69?=0(48l:0db0>o5::0;6)o82;633>=n=86=4+a609<<6<3th:jlj51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758253><,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e9oni6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::5104:?!?1k3;mm95f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5cgb280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=<8n;%;5g?7ai=1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb0dgg?7=;3:1=<7>5$`51>16032e<;>4?:%c46?>>821vn4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>471k2.2:n4>f`68m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;322a=#1?i1=ko;;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg7aj90:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?>6d9'=3e=9ok?7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2bac=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0:=;h4$84`>4`f<2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>fc395?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<69>:0(48l:0db0>o5::0;6)o82;63=>=n:5$`51>=?732wi=kji:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66954163-3=o7?ia59j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e9oh96<4;:183!?f;3>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4`b83;1?7>50z&:e6n6*;60807=00e?<<:18'e24=<9=07d:90;29 d152=:<76a87283>!g0:322<65rb0da7?7=<3:1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?76?<1/5;m51gc7?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3233=#1?i1=ko;;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd6nl81=7=50;2x 81m8l4$542>65?>2dj;94>1658 <0d28lj86g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`2bd1=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:=:64$84`>4`f<2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=98=27)79c;3ee1=n:;91<7*n738742=5$`51>=?732wi=ko7:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa669541f3-3=o7?ia59j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj8lo97?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:034f>">>j0:jl:4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f4`f13;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>0e?<<:18'e24=<9307d<:a;29 d152=:376g65883>!g0:33>n65`76194?"f?;035=54}c3e`3<62:0;6=u+9`19e0e<@h?o7E7n5:&b375$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?76?l1/5;m51gc7?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:ji951;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n758252`<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd6nhh1=7:50;2x 818:j4$542>65?>2dj;94>1928 <0d28lj86g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a5cb?28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1=<6>;%;5g?7ai=1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm1gf:>4<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=98297)79c;3ee1=n:;91<7*n738742=5$`51>=?732wi>=mk:08;>5<7s-3j?7=k1:Jb1a=O1h?0(l9=:87f?!26;390D4854i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`14`6=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<691>0(48l:32;a>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;32<0=#1?i1>=6j;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;:n47?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a65c>280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=982<7)79c;03<`=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=0dc95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n75825=><,07e:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87?>889'=3e=:92n7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f76bk3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd1328;3m6*66b814=c5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa66954>e3-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94>19a8 <0d2;:3i6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg47mo0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3;:4i5+97a965>b3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb32f6?7=>3:1jn;I;14>"3>808?584n`57>47?m2.2:n4=09g8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>=k<:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd58l>1=7850;2x :21;2>hf?=0:=4>4$84`>76?m2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c03a0<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?76181/5;m521:f?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?>j6;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:03:6>">>j09<5k4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:9o<6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1=<7<;%;5g?470l1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`14=`=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:=4:4$84`>76?m2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=983>7)79c;03<`=n:;91<7*n738742=5$`51>=?732wi>=79:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66954?13-3=o7i0?:0;6)o82;::4>=zj;:h87?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:03:3>">>j09<5k4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f76>?3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>!g0:33>n65`76194?"f?;035=54}c03g0<62:0;6=u+9`19e0e<@h?o7E7n5:&b37b3`89?7>5$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?761h1/5;m521:f?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th9N>i<1/m:<5a4`8 1062:93:6`n758257e:k166<72-k<>7:?7:9j036=83.j;?4;0698k214290/m:<58828?xd58031=7:50;2x 818:j4$542>65?>2dj;94>18a8 <0d2;:3i6g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a65e028086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1=<7k;%;5g?470l1b>?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm21;b>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=983n7)79c;03<`=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn?>l8;397?6=8r.2m>4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>47>n2.2:n4=09g8m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj;:2n7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:03b4>">>j09<5k4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg47k00:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87?>a09'=3e=:92n7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c03=f<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;:m?5+97a965>b3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl=0bc95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<69h90(48l:32;a>o5::0;6)o82;633>=n=86=4+a609<<6<3th9<4j51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n75825d2<,07e:k166<72-k<>7:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:9ii6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::510c6?!?1k38;4h5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a65?b280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb32`g?7=;3:1=<7>5$`51>16032e<;>4?:%c46?>>821vn?>61;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>47f02.2:n4=09g8m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;32e<=#1?i1>=6j;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg471;0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?>a`9'=3e=:92n7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`14g`=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0:=ll4$84`>76?m2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl=08195?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<69hi0(48l:32;a>o5::0;6)o82;63=>=n:5$`51>=?732wi>=m?:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66954gc3-3=o7{e:93?6<4;:183!?f;3>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f76d93;1?7>50z&:e6n6*;60807=0!g0:322<65rb32:1?7=<3:1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?76j81/5;m521:f?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=4>:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;32f7=#1?i1>=6j;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg7bmk0:654?:1y'=d5=;m;0Dl;k;I;b1>"f?;029h5+40197>N>:91/8;?532:5?kg0<3;:n>5+97a95`gd3`8:=7>5$`51>74232c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:03a0>">>j0:ilm4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9llm6<49:183!?f;39hj6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1=!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2b50=93<1<7>t$8c0>6ea3Ak>h6F6a49'045=;2.j;?4=76:lb31<69k<0(48l:0gbg>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?i0682>3<729q/5l=53bd8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;32f2=#1?i1=hol;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8l;47?56;294~">i:08ok5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5c6>280=6=4?{%;b7?5dn2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=98h27)79c;3fef=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>f1c95?0=83:p(4o<:2ae?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n75825gg<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87?>bc9'=3e=9lkh7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4`7k3;1:7>50z&:e6<4ko1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd1328;io6*66b82ade5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa66954dc3-3=o7?jab9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=51;494?6|,0k86>mi;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94>1cg8 <0d28ojo6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7a880:6;4?:1y'=d5=;jl0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<3;:nk5+97a95`gd3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0d36?7=>3:1jn;I;14>"3>808?584n`57>47d82.2:n4>e`a8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi=k><:085>5<7s-3j?7=lf:Jb1a=O1h?0(9?<:29'e24=;mk0D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6n9>1=7850;2x :21;2>hf?=0:=n<4$84`>4cfk2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3e40<62?0;6=u+9`197f`<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?76k:1/5;m51dc`?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>47d<2.2:n4>e`a8m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h91m8m4H`7g?M?f=2.j;?4n5c9'037=;:2=7co84;32g0=#1?i1=hol;h017?6=,h=969>8;:k725<72-k<>7:?7:9l325=83.j;?479198yg7bj=0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?>c79'=3e=9lkh7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`2a`4=9391<7>t$8c0>d3d3Ak>h6F6a49'e24=i:21;2>hf?=0:=n94$84`>4cfk2c9>>4?:%c46?27?21b8;>50;&b37<38>10c:9<:18'e24=00:07pl>ec795?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<69j20(48l:0gbg>o5::0;6)o82;63=>=n:5$`51>=?732wi=hk<:080>5<7s-3j?7o:c:Jb1a=O1h?0(l9=:`7a?!2193984;5aa66954e>3-3=o7?jab9j675=83.j;?4;0698m107290/m:<54158?j10;3:1(l9=:9;3?>{e9lh=6<4;:183!?f;3>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f4cb<3;1?7>50z&:e6n6*;60807=0!g0:322<65rb0ga3?7=<3:1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b376<729q/5l=5a4a8Ld3c3A3j96*n738b1g=#69;oc40?76km1/5;m51dc`?l45;3:1(l9=:524?>o3>90;6)o82;633>=h?>91<7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;32g`=#1?i1=hol;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd6ml<1=7=50;2x 81m8l4$542>65?>2dj;94>1bd8 <0d28ojo6g=2283>!g0:3>;;65f47294?"f?;0?<:54o650>5<#i>8144>4;|`2ag?=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:=i>4$84`>4cfk2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;c6g>Nf=m1C5l;4$`51>d3e3->==7=<879me22=98n:7)79c;3fef=n:;91<7*n738742=5$`51>=?732wi=hln:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66954b53-3=o7?jab9j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj8on47?53;294~">i:0j9n5Ga4f8L7o:b:&724<4;1<0bl9;:03g7>">>j0:ilm4i300>5<#i>818=94;h654?6=,h=969>8;:m436<72-k<>7660:9~f4cej3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>!g0:33>n65`76194?"f?;035=54}c3fa<<62:0;6=u+9`19e0e<@h?o7E7n5:&b375$`51>16032c?:=4?:%c46?27?21d;:=50;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?76l?1/5;m51dc`?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:iho51;194?6|,0k86l;l;Ic6`>N>i<1/m:<5a4`8 1062:93:6`n75825a1<,07:?7:9j036=83.j;?4;0698k214290/m:<58828?xd6mhl1=7:50;2x 818:j4$542>65?>2dj;94>1e:8 <0d28ojo6g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a5`bd28086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1=?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm1d`3>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=98nj7)79c;3fef=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn4n5b9Ke0b<@0k>7)o82;c6f>"3>808?584n`57>47cj2.2:n4>e`a8m744290/m:<54158?l2183:1(l9=:524?>i0?:0;6)o82;::4>=zj8oi=7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:03gg>">>j0:ilm4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg7bll0:6>4?:1y'=d5=i"f?;0j9o5+473976>13gk<87?>de9'=3e=9lkh7d<=3;29 d152=:<76g;6183>!g0:3>;;65`76194?"f?;035=54}c3ff7<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;:hh5+97a95`gd3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl>eed95?5=83:p(4o<:`7`?Mg2l2B2m85+a609e0d<,=<:6>=76:lb31<69ml0(48l:0gbg>o5::0;6)o82;633>=n=86=4+a609<<6<3th:io=51;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n75825`6<,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e9lo;6<4<:183!?f;3k>o6Fn5e9K=d3<,h=96l;m;%655?540?1em::510g2?!?1k3;nmn5f23194?"f?;0?<:54i543>5<#i>818=94;n547?6=,h=9657?;:a5`c628086=4?{%;b7?g2k2Bj9i5G9`78 d152h?i7):91;10<3=ii>>1=?=50;&b37<38>10e98?:18'e24=<9=07b983;29 d15213;76sm1g;g>4Nf=m1C5l;4$530>6=#i>8158k4H803?!2193984;5aa66954c43-3=o7?jac9j647=83.j;?4=2498m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598m<3>290/m:<59618?xd6n0k1=7650;2x :21;2>hf?=0:=h:4$84`>4cfj2c9=<4?:%c46?45=21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b58750;&b37<>?:10qo?i9382>=<729q/5l=53e08Ld3c3A3j96*n738:1`=#<891?6F6219'037=;:2=7co84;32a0=#1?i1=k69;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<6a290/m:<59628?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:1<<72-k<>7783:9~f4`>93;147>50z&:e6<4l;1Cm8j4H8c6?!g0:33>i6*;1280?M?582.?:<4<3948jd1328;n:6*66b82b=05$`51>77d32c98i4?:%c46?27?21b5=h50;&b37<>?910c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3>57>5$`51><1432wi=k7?:08;>5<7s-3j?7=k2:Jb1a=O1h?0(l9=:87f?!26;390D4854i33`>5<#i>81>8;:k:4c<72-k<>7780:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i87:>5<#i>815:=4;|`2b=`=9321<7>t$8c0>6b53Ak>h6F6a49'e24=1=76:lb31<69l20(48l:0d;2>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3;j7>5$`51><1732e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1<31<7*n738:36=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?>e89'=3e=9lki7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4`3l3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd1328;nm6*66b82add5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66954ce3-3=o7?jac9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=j>;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94>1da8 <0d28ojn6g=1083>!g0:389965f25f94?"f?;0?<:54i82e>5<#i>815:>4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c3e2c<6210;6=u+9`197a4<@h?o7E7n5:&b37<>=l1/8<=53:J:65=#69;oc40?76mm1/5;m51dca?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>=00;6)o82;;47>=zj8l397?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5c>3280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=98om7)79c;3feg=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>f9195?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n75825c6<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?>f09'=3e=9lki7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4`?93;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd1328;m>6*66b82add5$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66954`43-3=o7?jac9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94>1g68 <0d28ojn6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7a?j0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;:j85+97a95`ge3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0d50?7=>3:14<;I;14>"3>808?584n`57>47a>2.2:n4>e``8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi=k8::085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6n?<1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0:=k64$84`>4cfj2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3e22<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?76n01/5;m51dca?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:03ee>">>j0:ill4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9o<26<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2b3g=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<69oi0(48l:0gbf>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?i6c82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;32ba=#1?i1=hom;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8l2;7?58;294~">i:08h?5Ga4f8L77:e:&756<43A39<6*;60807=0:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d7:9;29 d1520=876sm215b>4<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66954`a3-3=o7i>8m0;6)o82;::4>=n19?1<7*n738:1f=mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94>2128 <0d2;:8o6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg47?j0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;9<<5+97a9655d3`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb324`?7=>3:14<;I;14>"3>808?584n`57>447:2.2:n4=02a8m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi>=9j:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd58>l1=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0:>=:4$84`>764k2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c03<5<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?758<1/5;m5211`?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?>71;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:0032>">>j09<>m4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:9>o6<47:183!?f;39o:6Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=9;:<7)79c;037f=n:8;1<7*n738160=5$`51>16032c2944?:%c46??0;21d5=j50;&b3777=2:J:e1=4Nf=m1C5l;4$530>03<,h=964;j;%655?540?1em::5132;?!?1k38;?n5f20394?"f?;09>854i33`>5<#i>81>8;:k:1<<72-k<>7783:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i853>5<#i>815:>4H8c7?>{e:9?>6<47:183!?f;39o>6Fn5e9K=d3<,=;86>5+a609=0c<@08;7):91;10<3=ii>>1=?>6;%;5g?47;j1b>!g0:33<<65`91f94?"f?;035=54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e4;6:18'e24=1>907pl=05395?>=83:p(4o<:2f1?Mg2l2B2m85+40197>"f?;029h5G9328 1062:93:6`n758265g<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?7n3:1(l9=:853?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=0?=83.j;?467298yg47<;0:654?:1y'=d5=;m80Dl;k;I;b1>"39:087)o82;;6a>N>:91/8;?532:5?kg0<3;95$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4>i:18'e24=1>:07b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L;3;3947):>3;18 d1520?n7E7=0:&724<4;1<0bl9;:003g>">>j09<>m4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=5`=83.j;?467198k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;6=?6=,h=9649<;:a652328036=4?{%;b7?5c:2Bj9i5G9`78 1742:1/m:<594g8L<473->==7=<879me22=9;:o7)79c;037f=n:8;1<7*n738160=5$`51>16032c277=2:J:e1=j=;Ic6`>N>i<1/m:<594g8 1742:1C5?>4$542>65?>2dj;94>21g8 <0d2;:8o6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;3b?6=,h=9649?;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f94;94?"f?;02;>54}c032=<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?758o1/5;m5211`?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn?>99;392?6=8r.2m>47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:0024>">>j09<>m4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e:9jn;%627?5<@08;7):91;10<3=ii>>1=??>;%;5g?47;j1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`143d=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<6:880(48l:320g>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;3156=#1?i1>==l;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj;:=h7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=04:&:2f<58:i0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a650b280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9;;>7)79c;037f=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl=07d95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n7582640<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=n4>:983>5}#1h91?i?4H`7g?M?f=2.j;?465d9'045=;2B2>=5+473976>13gk<87?=169'=3e=9l897d<>1;29 d152;8>76g=4e83>!g0:3>;;65f91d94?"f?;02;=54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:333:14<;I;14>"3>808?584n`57>44602.2:n4>e308m776290/m:<52378?l46k3:1(l9=:33`?>o5=h19n1<7*n738;=5=6=4+a609=0e<3`88m7>5$`51><4532wi=h:<:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4?5f20394?"f?;09>854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd6m=81=7850;2x 81?io4$530>6=O1;:0(98>:21;2>hf?=0:>4c5:2c9=<4?:%c46?45=21b>!g0:33>o65f22c94?"f?;02>?54}c3f04<62?0;6=u+9`197f`<@h?o7E7n5:&b37<4lh1/8<=53:J:65=#69;oc40?759k1/5;m51d01?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn47)o82;1ge>"39:087E7=0:&724<4;1<0bl9;:002g>">>j0:i?<4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m<62290/m:<594a8?l44i3:1(l9=:801?>{e9l9m6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1=??k;%;5g?7b:;1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2a1e=93<1<7>t$8c0>6ea3Ak>h6F6a49'e24=;mk0(9?<:29K=76<,=<:6>=76:lb31<6:8o0(48l:0g16>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3f3;h7>5$`51>=?732c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?j4c82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;315c=#1?i1=h<=;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8o?m7?56;294~">i:08ok5Ga4f8L7=ka:&756<43A39<6*;60807=0:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65`91f94?"f?;035=54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5`2>280=6=4?{%;b7?5dn2Bj9i5G9`78 d152:nj7):>3;18L<473->==7=<879me22=9;8:7)79c;3f67=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>e5:95?0=83:p(4o<:2ae?Mg2l2B2m85+a6097ag<,=;86>5G9328 1062:93:6`n7582674<,07<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?j?7l3:1(l9=:9;3?>o>8<0;6)o82;;6g>=n::k1<7*n738:67=:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87?=229'=3e=9l897d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f4c3>3;1:7>50z&:e6<4ko1Cm8j4H8c6?!g0:39om6*;1280?M?582.?:<4<3948jd13288986*66b82a745$`51>77d32c98i4?:%c46?27?21d5=j50;&b37::18'e24=14<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66957423-3=o7?j239j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=k51;494?6|,0k86>mi;Ic6`>N>i<1/m:<53ec8 1742:1C5?>4$542>65?>2dj;94>2348 <0d28o9>6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;n;3`?6=,h=9657?;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7b;m0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<3;9>:5+97a95`453`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb0g7b?7=03:14<;I;14>"3>808?584n`57>44502.2:n4>e308m776290/m:<52378?l43l3:1(l9=:524?>o>8o0;6)o82;;44>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?213:1(l9=:850?>{e;1=>6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5259me22=9;827)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;316d=#1?i1?>j:;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd40><1=7;50;2x 815??4$542>65?>2.?=>4=4:lb31<6:;h0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:2=i7?54;294~">i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:001g>">>j08?i;4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg5??>0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<;;oc40?75:m1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;15<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f6>003;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?:4n`57>445n2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd40>:1=7:50;2x 818:j4$542>65?>2dj;94>2228 <0d2:9o96g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a7=1>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>95aa66957563-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5??80:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?=339'=3e=;:n>7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`0<2g=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0986`n7582665<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6>0:3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>!g0:33>n65`76194?"f?;035=54}c1;3g<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38?7co84;3170=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7=14280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=?=9;%;5g?54l<1b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb2:4g?7==3:10bl9;:0003>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0<22=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:>>64$84`>65c=2c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:=1em::5131:?!?1k3;39n5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c3:65<62=0;6=u+9`1902c<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;9?l5+97a95=3d3`89?7>5$`51>16>32c99l4?:%c46?27021b58750;&b37<>=k10c:9<:18'e24=00:07pl>93;95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5<2dj;94>22`8 <0d282>o6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb0;15?7=<3:1m7>5$`51>16?32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?433gk<87?=3e9'=3e=91?h7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm1801>4<3290;w)7n3;64a>Nf=m1C5l;4$`51>11c3->==7=<879me22=9;9n7)79c;3;1f=n:;91<7*n73874<=<3`3>57>5$`51><3e32e<;>4?:%c46?>>821vn<7=b;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>72hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl>93195?2=83:p(4o<:55f?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<6:=:0(48l:0:6g>o5::0;6)o82;63=>=n:5$`51>=?732wi=45<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401961=ii>>1=?:>;%;5g?7?=j1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371<729q/5l=546g8Ld3c3A3j96*n73873a=#69;oc40?75<;1/5;m5197`?l45;3:1(l9=:52:?>o5=h0;6)o82;63<>=n1<31<7*n738:1g==86=4+a609<<6<3th:5?j51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:>9=4$84`>4>2k2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn<7=5;390?6=8r.2m>4;7d9Ke0b<@0k>7)o82;64`>"3>808?584n`57>443<2.2:n4>84a8m744290/m:<541;8?l42i3:1(l9=:52;?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=h4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;07?kg0<3;9885+97a95=3d3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi=4<9:087>5<7s-3j?7:8e:Jb1a=O1h?0(l9=:55g?!2193984;5aa66957213-3=o7?75b9j675=83.j;?4;0898m73f290/m:<541:8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj839j7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:368jd13288?;6*66b82<0e4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th:5?951;694?6|,0k8699j;Ic6`>N>i<1/m:<546f8 1062:93:6`n758261><,07:?9:9j60g=83.j;?4;0998m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:?>36<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5259me22=9;>27)79c;0275=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:583>5}#1h918:k4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;310d=#1?i1><=?;h017?6=,h=969>6;:k11d<72-k<>7:?8:9j=0?=83.j;?465c98k214290/m:<58828?xd5>=31=7;50;2x 815??4$542>65?>2.?=>4=4:lb31<6:=h0(48l:3304>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;i:0?;h5Ga4f8L7:8d:&724<4;1<0bl9;:007g>">>j09=>>4i300>5<#i>818=74;h06e?6=,h=969>7;:k:1<<72-k<>77:b:9l325=83.j;?479198yg41:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<;;oc40?757co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:?>96<4;:183!?f;3>5<#i>818=64;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f703j3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?:4n`57>443n2.2:n4=1228m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd5>=91=7:50;2x 818:j4$542>65?>2dj;94>2428 <0d2;;8<6g=2283>!g0:3>;565f24c94?"f?;0?<554i87:>5<#i>8158l4;n547?6=,h=9657?;:a632d280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>95aa66957363-3=o7<>319j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg41<=0:694?:1y'=d5=<>o0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?=539'=3e=:89;7d<=3;29 d152=:276g=5`83>!g0:3>;465f94;94?"f?;029o54o650>5<#i>8144>4;|`121b=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0986`n7582605<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f703=3;187>50z&:e6<3?l1Cm8j4H8c6?!g0:3>!g0:33>n65`76194?"f?;035=54}c050`<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38?7co84;3110=#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6321280?6=4?{%;b7?20m2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=?;9;%;5g?46;91b>?=50;&b37<38010e?;n:18'e24=<9207d7:9;29 d1520?i76a87283>!g0:322<65rb347b?7==3:10bl9;:0063>">>j09=>>4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`1211=93>1<7>t$8c0>11b3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:>864$84`>77482c9>>4?:%c46?27121b>8o50;&b37<38110e4;6:18'e24=14<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::5137:?!?1k38<4:5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0;5g<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3ho7co84;311d=#1?i1>:68;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6=5a280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891o85aa669573e3-3=o7<8869j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?e23gk<87?=5b9'=3e=:>2<7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e:0996<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52e9me22=9;?o7)79c;04<2=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;05?kg0<3;99h5+97a962>03`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi>9;6:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+40197d>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=44595?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4i11em::51343?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0710<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39j46`n7582637<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f722;3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>o7;oc40?75>;1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:=?:6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`:8jd13288=?6*66b815665<#i>81=5;4n`53>4==86=4+a609<<6<3th989h51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;1280e==ii>>1=?8;;%;5g?46;91b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?5f02dj;94>2778 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb367f?7=;3:1<=?;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5fd628086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891985aa66957003-3=o7?la`9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg7e8k0:6>4?:1y'=d5="f?;02><5+473976>13->:?7;:;oc40?75>11/5;m51c27?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{ej1>1=7=50;2x 815??4$542>65?>2.?=>4ka:lb31<6:?30(48l:`:g?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{eijk1=7=50;2x 815??4$542>65?>2.?=>4:5:lb31<6:?k0(48l:`:g?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;8o:6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5be9me22=9;:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;`g?kg0<3;9:n5+97a964573`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1=?8k;%;5g?46;91b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?dc3gk<87?=6d9'=3e=9o2=7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm1d7;>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=jm1em::5134e?!?1k3;n9?5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c3f11<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3ho7co84;3135=#1?i1=h;=;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a611>280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891o?5aa66957163-3=o7<>319j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?7602dj;94>2608 <0d28ijm6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj8i3m7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:03;?kg0<3;9;>5+97a95f1d3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a5g5e280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891=<64n`57>440<2.2:n4>b168m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl>ad495?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6911em::51356?!?1k3;jh55f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=5h4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;3:7>hf?=0:>:84$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f4g3:3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?75?>1/5;m51817?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm1`1e>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:004<>">>j0:5>:4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th:m>m51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>1=?96;%;5g?7>;=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg7f;00:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb31<6:>k0(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb0c02?7=<3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi=l=<:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd6i::1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c3b6a<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39jm6`n758262c<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87?=7g9'=3e=909?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e9h836<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd132883<6*66b82=625<#i>81=5;4n`53>4=5<#i>8144>4;|`2e73=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66957>63-3=o7?6359j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94>2908 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj8k:j7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3;94>5+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a5d7d280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>44?<2.2:n4>9268m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl>a0;95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::513:6?!?1k3;2?95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:>584$84`>4?4<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f4g783;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?750>1/5;m51817?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm18dg>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:00;<>">>j0:5>:4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th:5ko51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>1=?66;%;5g?7>;=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg7>n>0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb31<6:1k0(48l:0;00>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb0;e0?7=<3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi=4h>:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd61lo1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c3:ag<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39jm6`n75826=c<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn<7j8;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87?=8g9'=3e=909?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e90o=6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd132882<6*66b82=625<#i>81=5;4n`53>4=5<#i>8144>4;|`2=`5=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66957?63-3=o7?6359j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94>2808 <0d283886g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj83oh7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3;95>5+97a95<533`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a544><2.2:n4>9268m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl>9e595?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::513;6?!?1k3;2?95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:>484$84`>4?4<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~fg>5280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>44>?2.2:n4n8e9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b374;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87?=999'=3e=i1n0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xde?l0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb31<6:030(48l:`:g?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smb6a95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::513;b?!?1k3k3h6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zjk=j6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd132882n6*66b8b:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c`45<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3thi;;4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:>4j4$84`>d>c3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a5fd5280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<8915l5aa66957?b3-3=o7?la`9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627??f3gk<87?=9g9'=3e=9k:?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{eij21=7:50;2x 815??4$542>65?>2.?=>4:d:lb31<6:h:0(48l:`:g?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm33c2>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=k<1em::513c2?!?1k399585f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;a6?kg0<3;9m?5+97a974ba3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a64dd280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891=?:4n`57>44f;2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=05:95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&7562`68 <0d2;:8o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj8l357?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:b78jd13288j96*66b82b=05<#i>81=5;4n`53>4=5<#i>8144>4;|`2a0?=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0h96`n75826d0<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>f3hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd4:h91=7;50;2x 815??4$542>65?>2.?=>4l0:lb31<6:h20(48l:20:1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;:?m7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:b28jd13288j56*66b8146e5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8=k;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12827`=ii>>1=?on;%;5g?568<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74m2dj;94>2``8 <0d28ojn6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb0aa7?7==3:1">>j0:olo4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`2f5b=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0j<6`n75826db<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fde1280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<8919k5aa66957gb3-3=o7o7d:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f67b;3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86n:4n`57>44fn2.2:n4<1ed8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd6n1k1=7;50;2x 815??4$542>65?>2.?=>4l4:lb31<6:k:0(48l:0d;2>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj8o>m7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:b68jd13288i=6*66b82a045<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th:i8851;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128`0>hf?=0:>o<4$84`>4c2:2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn><6f;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=05495?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&7562c68 <0d2;:8o6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb36;f?7==3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1=6>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:4o5aa66957d13-3=o7<8869j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg4>;>0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb31<6:k=0(48l:35;3>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb3;02?7==3:1:68;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6<53280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>k5aa66957d>3-3=o7<8869j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=83.j;?479198yg4?nk0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=j3:lb31<6:kk0(48l:35;3>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;2m;7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g6?kg0<3;9no5+97a962>03`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi>5:<:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197a?hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=85095?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5l2dj;94>2cf8 <0d2;=3;6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb3;74?7=<3:1">>j09;594i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th95>h51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280af=ii>>1=?li;%;5g?400>1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b37ee82>6<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?bf3gk<87?=c19'=3e=;8nm7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm30;e>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=;h20bl9;:00`5>">>j08==84i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`11g?=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0<;6`n75826f4<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f4`3=3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>o7;oc40?75k:1/5;m51dc`?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e9jnn6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5769me22=9;i?7)79c;3`ed=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;54?kg0<3;9o85+97a95g633`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019577hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd6n031=7:50;2x 815??4$542>65?>2.?=>4>209me22=9;i<7)79c;3e<3=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c06ff<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38=7co84;31g==#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6=d6280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>44d12.2:n4=8918m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=8c295?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::513ab?!?1k3834>5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:>nl4$84`>7>?;2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7>fm3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?75kj1/5;m529:0?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm29cg>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:00``>">>j0945=4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th94lm51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>1=?mj;%;5g?4?0:1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4?ik0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb31<6:jl0(48l:3:;7>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb3:be?7=<3:156<;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi8>>j:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+401932=ii>>1=?j>;%;5g?248j1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?103gk<87?=d39'=3e=:>2<7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2912>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=;h20bl9;:00g7>">>j09;594i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`1<20=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08=6`n75826a2<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn?672;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>72c3gk<87?=d49'=3e=:>2<7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm29:2>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=?01em::513f5?!?1k38<4:5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c0;30<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38o7co84;31`2=#1?i1>:68;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6=10280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>95aa66957b?3-3=o7<8869j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg4??10:694?:1y'=d5="f?;02><5+473976>13->:?7jm;oc40?75l01/5;m526:4?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm280f>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:m1em::513fb?!?1k38<4:5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0:6c<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38o7co84;31`g=#1?i1>:68;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6=b3280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?h>4n`57>44ck2.2:n4=7958m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd50m;1=7;50;2x 815??4$542>65?>2.?=>4>3c9me22=9;no7)79c;04<2=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=4>:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1b<>hf?=0:>ik4$84`>71??2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?;m7;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>aghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=4ec95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<>?2dj;94>2d28 <0d2;;8<6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj8i2i7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2`f?kg0<3;9i<5+97a95f1d3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a5d`f280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?ok4n`57>44b:2.2:n4>ae:8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=55495?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4l01em::513g0?!?1k38:?=5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c3g2c<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nj7co84;31a1=#1?i1=non;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a5ge>28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891hl5aa66957c23-3=o7?m059j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg55j80:694?:1y'=d5="f?;02><5+473976>13->:?7=k7:lb31<6:l<0(48l:20:1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb20b1?7=<3:1">>j08>4;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9<8651;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280`2=ii>>1=?k7;%;5g?47;j1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg47"f?;02><5+473976>13->:?766;oc40?75m01/5;m5211`?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm4073>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=lj1em::513gb?!?1k3>;nk5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1;14<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n75826`d<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6>7k3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86k74n`57>44bk2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4?on1=7;50;2x 815??4$542>65?>2.?=>4>3c9me22=9;oo7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30f>hf?=0:>hk4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>9j7;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>45e3gk<87?=eg9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm36g2>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=n01em::513d3?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c11f5<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39n56`n75826c7<,0
    <65:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f67e=3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>km;oc40?75n;1/5;m53026?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;8ko6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52e9me22=9;l87)79c;1240=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f=>hf?=0:>k:4$84`>764k2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6ce3gk<87?=f49'=3e=9lki7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm1g70>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:m1em::513d5?!?1k3;nmo5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c3ge`<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n75826c1<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f4bfk3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?75n11/5;m51bcb?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e9mkj6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd13288m56*66b82gdg5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th:hl651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=?hn;%;5g?7dih1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>2g`8 <0d28ijm6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb0fb0?7==3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a5ag5280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>44al2.2:n4>c`c8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd6lh:1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:>kh4$84`>4efi2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?<019'=3e=9jkj7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm1e;b>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:0135>">>j0:olo4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`2`<>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o<5aa66956653-3=o7?la`9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg7c1?0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6;990(48l:0abe>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj8n287?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;8<95+97a95fgf3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi=i7=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>d8295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::51225?!?1k3;hml5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c3g<`<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n7582751<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f4b?k3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?74811/5;m51bcb?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e9m2j6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd13289;56*66b82gdg5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th:h5651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=>>n;%;5g?7dih1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>31`8 <0d28ijm6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb0f;0?7==3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a5a>5280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>457l2.2:n4>c`c8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd6l1:1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:?=h4$84`>4efi2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?<119'=3e=9jkj7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm1e5b>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:0125>">>j0:olo4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`2`2>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o<5aa66956753-3=o7?la`9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg7c??0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6;890(48l:0abe>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj8n<87?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;8=95+97a95fgf3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi=i9=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f75:&:2f<6khk0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>c1:95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::51235?!?1k3;i<95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c3`43<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n7582741<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f4e7<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?74911/5;m51c27?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e9j:96<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd13289:56*66b82f525<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th:o=>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=>?n;%;5g?7e8=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>30`8 <0d28h;86g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb0`eg?7==3:1;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a5g`f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>456l2.2:n4>b168m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd6jo21=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:?4d7<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?<219'=3e=9k:?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm1cd1>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:0115>">>j0:n=:4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`2fc6=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o<5aa66956453-3=o7?m059j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg7eml0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6;;90(48l:0`30>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj8hno7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;8>95+97a95g633`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi=okn:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f70e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>bd:95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::51205?!?1k3;i<95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c3aa3<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n7582771<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f4db<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?74:11/5;m51c27?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e9ko96<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd13289956*66b82f525<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th:nh>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>33`8 <0d28h;86g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb0`gg?7==3:1;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a5gbf280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>455l2.2:n4>b168m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd6jm21=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:??h4$84`>4d7<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?<319'=3e=9k:?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm1cf1>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:0105>">>j0:n=:4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`2fa6=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o<5aa66956553-3=o7?m059j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg7ekl0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6;:90(48l:0`30>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj8hho7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;8?95+97a95g633`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi=i9>:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>bb`95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4m81em::51215?!?1k3;i<95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1:7<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nh6`n7582761<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6?4?3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kk;oc40?74;11/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e<:;96<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5d`9me22=9:927)79c;6055=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;;4?kg0<3;8?l5+97a974623`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a5c0c280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<8915:5aa669565e3-3=o7?jac9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?343gk<87?<3b9'=3e=9jkj7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm1c1g>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045==:1em::5121g?!?1k3;i<95f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c3`a1<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3?;7co84;307`=#1?i1=non;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a5g5b280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<8919=5aa669565a3-3=o7?m059j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg56j80:694?:1y'=d5="f?;02><5+473976>13->:?7j9;oc40?74<91/5;m53026?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm1g74>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=l?1em::51262?!?1k3;nmo5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f7>hf?=0:?9<4$84`>677=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c43gk<87?<429'=3e=9lki7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm397a>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l90bl9;:0170>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0<0e=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i95aa66956223-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5?=m0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7h6;oc40?747co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;k326<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5d`9me22=9:><7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=8nn4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30b>hf?=0:?964$84`>65c<2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>?n2;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cc3gk<87?<489'=3e=;8:>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm33cg>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=9;;0bl9;:017e>">>j08>4;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th8>lo51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;12825==ii>>1=>:m;%;5g?551<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg421>0:694?:1y'=d5="f?;02><5+473976>13->:?778;oc40?747co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm2177>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=9;;0bl9;:017`>">>j09<>m4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th9<8?51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;12825==ii>>1=>:j;%;5g?47;j1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg470:0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?77co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e9l>n6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52g9me22=9:?;7)79c;3f67=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1g3>hf?=0:?8?4$84`>4efi2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f4eem3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?:4n`57>452:2.2:n4>c`c8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd6j8i1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c3a5=<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38?7co84;3011=#1?i1=o>;;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6=e?280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<8915:5aa66956323-3=o7<8869j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b375782>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?4c3gk<87?<579'=3e=<9hm7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3920>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l:0bl9;:0163>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`13=3=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09i6`n758270><,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6>703;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86l?4n`57>45212.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5?191=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c04<4<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38o7co84;301g=#1?i1>:8i;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7=3>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?h84n`57>452k2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5=1=1=7;50;2x 815??4$542>65?>2.?=>4kc:lb31<6;o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;?3m7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:658jd13289>i6*66b815664<#i>81=5;4n`53>4==86=4+a609<<6<3th995l51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:?8h4$84`>77482c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn?;60;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>a?hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=58395?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mm1em::51242?!?1k38:?=5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c06<=<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;9>6`n7582734<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f73?13;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>o7;oc40?74>:1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?j10;3;1(l9=:9;3?>{e:><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53d;8jd13289=86*66b8133d4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th:mk651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a<=ii>>1=>8:;%;5g?7fl11b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b;2dj;94>3748 <0d2;;8<6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb37be?7==3:1<=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a066a28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891hl5aa669560?3-3=o7:<0b9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg7b9?0:6>4?:1y'=d5="f?;02><5+473976>13->:?798;oc40?74>01/5;m51ece?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e9j=;6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5769me22=9::1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3;8:o5+97a95`353`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732win::51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;12803>hf?=0:?;m4$84`>d>c3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a77bd280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>i5aa669560c3-3=o7==949j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg57mm0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<9;oc40?74>l1/5;m5310b?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;9;n6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52g9me22=9::1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;05?kg0<3;8;=5+97a95`353`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi=l:n:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196c=ii>>1=>9>;%;5g?7>;=1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?bd3gk<87?<739'=3e=909?7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm1d5`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=m;1em::51250?!?1k3;n9?5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c`55?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`a25<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3h87co84;3030=#1?i1m5j4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0bg`=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:02;6`n7582720<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn9?:d;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>70hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=f6d95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mm1em::5125;?!?1k38oj95f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c076=<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38?7co84;303<=#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a614>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>95aa669561f3-3=o7<>319j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg43:h0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<;;oc40?74?k1/5;m52013?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:=8i6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5309me22=9:=h7)79c;0275=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c076f<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nh7co84;303a=#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a72`3280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?:5aa669561b3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?433gk<87?<7g9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm36d5>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:=1em::512:3?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c14b2<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3ni7co84;30<4=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi8>lj:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956`0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<6<91em::512:0?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1a:81/8;?532:5?!26;39n46`n75827=2<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6e5n3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?:i;oc40?740<1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm3b0`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:=1em::512:5?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1`6a<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;9=6`n75827=1<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn9=l0;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>45a3gk<87?<899'=3e=;:n?7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm42a1>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9:l0bl9;:01;=>">>j08?i:4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0511=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08nh5aa66956>f3-3=o7=>079j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5em2dj;94>39`8 <0d28ojo6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj8i2o7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g:?kg0<3;84n5+97a95f1d3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi8>m;:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956`0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl>ag395?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&75639g8 <0d28ko46g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj88:87?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:3f8jd132893j6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;0g?kg0<3;85=5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th:=no51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12812>hf?=0:?4?4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi=<7=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401963=ii>>1=>7=;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnk7::086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401963=ii>>1=>7<;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnk96:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401963=ii>>1=>7;;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnk5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401961=ii>>1=>7:;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnk?7:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401961=ii>>1=>79;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn?8nc;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>72c3gk<87?<969'=3e=:89;7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm27ca>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:m1em::512;;?!?1k38:?=5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}cd1a?7=<3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3thm>i4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f7>hf?=0:?4o4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wij<751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a<=ii>>1=>7m;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn?;68;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>f5hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl;3b495?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6;o1em::512;g?!?1k398h95f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c60g=<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8j6`n75827=k4:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f15di3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<=i;oc40?741o1/5;m532f7?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e9l:o6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5769me22=9:k;7)79c;3gfa=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;54?kg0<3;8m<5+97a95adc3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi=h>6:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+401932=ii>>1=>o=;%;5g?7cjm1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?103gk<87?7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm1b46>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=?>1em::512c7?!?1k3;h=:5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c3`26<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3=<7co84;30e0=#1?i1=n?8;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a06df280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>h4n`57>45f>2.2:n4<3e68m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd5:<81=7=50;2x 815??4$542>65?>2.?=>487:lb31<6;h=0(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj;8><7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:658jd13289j46*66b815665<#i>81=5;4n`53>4==86=4+a609<<6<3th9>9k51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;12843>hf?=0:?l74$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?<;c;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>21hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=25c95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4i11em::512ca?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c010=<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3=<7co84;30ef=#1?i1><=?;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a672128086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891?l64n`57>45fl2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5:;l1=7=50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;54?kg0<3;8mk5+97a964573`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>??6:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+40197d>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=20695?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4i11em::512`2?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0g`d<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3ko7co84;30f7=#1?i1>o<:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi>ij6:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd5lm=1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:?o;4$84`>7d5=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?jk3;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm2ef2>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:01a3>">>j09n?;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1`f`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o<5aa66956d?3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg4ckm0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6;k30(48l:3`11>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;nhn7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;8nl5+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi>im6:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=db595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::512``?!?1k38i>85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c0gg0<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n75827gb<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f7bd;3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?74jl1/5;m52c06?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e:mi:6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd13289ij6*66b81f735<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9hoh51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=>m?;%;5g?4e:<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>3b38 <0d2;h996g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb3faf?7==3:1o<:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a6ad>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>45d;2.2:n4=b378m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5lk=1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:?n;4$84`>7d5=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?jm3;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm2e`2>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:01`3>">>j09n?;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1`d`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o<5aa66956e?3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg4cim0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6;j30(48l:3`11>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;njn7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;8ol5+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi>io6:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=d`595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::512a`?!?1k38i>85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c0ge0<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n75827fb<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f7bf;3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?74kl1/5;m52c06?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e:mk:6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd13289hj6*66b81f735<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9h4h51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=>j?;%;5g?4e:<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>3e38 <0d2;h996g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb3f:f?7==3:1o<:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a6a?>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>45c;2.2:n4=b378m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5lmh1=7;50;2x 815??4$542>65?>2.?=>4>8b9me22=9:n?7)79c;0a60=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;5g?kg0<3;8h85+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi8<;j:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401963=ii>>1=>j9;%;5g?27jo1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b375b82>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?4c3gk<87?7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm401a>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:o1em::512f;?!?1k3>;nk5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0e=1<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3=o7co84;30`<=#1?i1>ih;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a6c?2280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?ok4n`57>45ci2.2:n4=dg68m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=f8195?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<312dj;94>3e`8 <0d2;nm86g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb20f4?7==3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a77c4280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>8?4n`57>45cl2.2:n4<2878m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4:ml1=7;50;2x 815??4$542>65?>2.?=>4=509me22=9:nn7)79c;11=0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;76?kg0<3;8hk5+97a977?23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>=68:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019574hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=09c95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5=81em::512g2?!?1k38;?n5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c03<3<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38>=6`n75827`4<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f76?03;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;868;4n`57>45b;2.2:n4=02a8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd4;815??4$542>65?>2.?=>4=4:lb31<6;l>0(48l:20f3>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:9>n7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:368jd13289n96*66b806`14<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8?8m51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:?h84$84`>64b?2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>=:d;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>72hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl<34g95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&7563d:8 <0d2:8n;6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2044?7==3:10bl9;:01f=>">>j08=kj4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0627=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0986`n75827`g<,0
    ?id:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f640:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?:4n`57>45bj2.2:n4<1gf8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4:>91=7;50;2x 815??4$542>65?>2.?=>4=4:lb31<6;li0(48l:23e`>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:8<87?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:ea8jd13289nh6*66b805cb4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8=:751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:?hk4$84`>677>2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>?8a;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>72hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl<16`95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5<2dj;94>3g28 <0d2:;;:6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb234g?7==3:10bl9;:01e5>">>j08==84i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`052b=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0oo6`n75827c4<,0
    ??6:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f77793;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?:4n`57>45a;2.2:n4=09g8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd59981=7;50;2x 815??4$542>65?>2.?=>4=4:lb31<6;o>0(48l:32;a>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;;;?7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:368jd13289m96*66b814=c4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th9==:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:?k84$84`>76?m2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn???5;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>aehj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=00595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5<2dj;94>3g:8 <0d28lj86g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb3220bl9;:01e=>">>j0:jl:4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`144?=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0986`n75827cg<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f766i3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?:4n`57>45aj2.2:n4>f`68m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd588h1=7;50;2x 815??4$542>65?>2.?=>4kc:lb31<6;oi0(48l:0db0>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj8l:j7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:368jd13289mh6*66b82ade4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th:j?>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:?kk4$84`>4cfk2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>72hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl>f3095?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5<2dj;94>4128 <0d28ojo6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb0d17?7==3:1">>j0:ilm4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`740`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08hh5aa66951653-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg27>;0:694?:1y'=d5="f?;02><5+473976>13->:?7=8;oc40?738:1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm4140>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;81em::51527?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=;:84>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:8=;4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn9>96;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6d73gk<87?;079'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm417g>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;lk0bl9;:0633>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`164g=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0<;6`n758205><,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f7>d=3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86il4n`57>42712.2:n4=7958m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=76:&756<4mj1em::5152b?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1`5=<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nm6`n758205d<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f16183;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kk;oc40?738j1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e<9<:6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53df8jd1328>;h6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th?<8k51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;1280e==ii>>1=9>j;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?433gk<87?;0g9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3c7;>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;li0bl9;:0624>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`164e=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0<;6`n7582047<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f775n3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86:94n`57>426:2.2:n4>e308m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd59;o1=7=50;2x 815??4$542>65?>2.?=>487:lb31<6<890(48l:0g16>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj;;9h7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:658jd1328>:86*66b82a745<#i>81=5;4n`53>4==86=4+a609<<6<3th9=?m51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;12843>hf?=0:8<;4$84`>4c5:2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn??=b;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>216:&:2f<6m;80e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=13c95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::51534?!?1k3;n>?5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=44>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:8<64$84`>4c5:2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f77503;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86lm4n`57>42612.2:n4>e308m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=20g95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&756<0?2dj;94>40c8 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb3014?7=;3:1=0bl9;:062f>">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`2=4b=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:098i5aa669517d3-3=o7?75b9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg26l;0:694?:1y'=d5="f?;02><5+473976>13->:?7=8;oc40?739m1/5;m541`e?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm40`3>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=1>1em::5153f?!?1k3>;nk5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:??4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;fa?kg0<3;?=k5+97a905da3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a63?a280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<8915:5aa66951473-3=o7<>319j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?be3gk<87?;209'=3e=:89;7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e9j3>6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5dc9me22=9=897)79c;3`3f=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c61<6<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38m7co84;3766=#1?i18=66;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a5fde280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hj4n`57>425<2.2:n4>c`c8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd6j8?1=7;50;2x 815??4$542>65?>2.?=>47)79c;3a41=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:e;8jd1328>9:6*66b8b:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:3d8jd1328>9;6*66b8b:1<65f3gd95?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;da?kg0<3;?>55+97a95`353`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi=<>l:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401963=ii>>1=9<6;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7250:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?103gk<87?;2c9'=3e=:89;7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2307>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=?>1em::5150`?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c1:10<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3=j7co84;376a=#1?i1?>j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a674128086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891;:5aa669514b3-3=o7<>319j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg5dn;0:6>4?:1y'=d5="f?;02><5+473976>13->:?7=n8:lb31<6<;l0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj=;?>7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:3f8jd1328>8<6*66b874g`4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th98l951;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:8>?4$84`>77482c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn?:n8;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>a?hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=48d95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6811em::51510?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c620f<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39n56`n7582062<,0mf:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f173<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?84n`57>424=2.2:n4;0cd8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4kl81=7;50;2x 815??4$542>65?>2.?=>4>239me22=9=9=7)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;316>hf?=0:8>94$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>mk8;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>4453gk<87?;399'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3bf0>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9;80bl9;:060=>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0gfc=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:>?5aa669515f3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5djo0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7?=2:lb31<6<:h0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:i347?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:001?kg0<3;??n5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?n;>:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019574hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<6:;1em::5151f?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1`a2<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;9>6`n758206`<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6ed13;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<=;oc40?73<91/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;ji?6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=51308jd1328>?=6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8ooo51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128267=ii>>1=9:=;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?75:2dj;94>4518 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2aa4?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7fge280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=?<4n`57>423=2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4kh<1=7;50;2x 815??4$542>65?>2.?=>4>239me22=9=>=7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;316>hf?=0:8994$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>m6c;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>4453gk<87?;499'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3b;4>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9;80bl9;:067=>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0g<4=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:>?5aa669512f3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5d0m0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7?=2:lb31<6<=h0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:i3?7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:001?kg0<3;?8n5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?n9j:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019574hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:&756<6:;1em::5156f?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1`31<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;9>6`n758201`<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6e1n3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<=;oc40?73=91/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;j<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=51308jd1328>>=6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8o;;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128267=ii>>1=9;=;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?75:2dj;94>4418 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2a6f?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7f31280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=?<4n`57>422=2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5:;21=7=50;2x 815??4$542>65?>2.?=>487:lb31<6<<<0(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj:h>j7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2`e?kg0<3;?9:5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a7g16280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>42202.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4j>:1=7=50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:88o4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>l9b;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>6g?3gk<87?;5c9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm3c44>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:066g>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0f30=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08m55aa669513c3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg5e><0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6<o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:h=87?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g`?kg0<3;?9k5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?o8=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<4mj1em::51542?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c016d<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3=<7co84;3727=#1?i1><=?;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a674c28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891;:5aa66951043-3=o7<>319j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg45;90:6>4?:1y'=d5="f?;02><5+473976>13->:?798;oc40?73>=1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:o396<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5369me22=9=<>7)79c;0gb1=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c0e3<<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39<7co84;3723=#1?i1>ih;;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi==:>:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197a150:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg4a?80:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=7;oc40?73>11/5;m52ed7?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e99ij6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52g9me22=9=<27)79c;`a5>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj8:h>7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:3d8jd1328>=m6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;0g?kg0<3;?:o5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th:N>i<1/m:<59338 1062:93:6*;1281`>hf?=0:8;m4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi==on:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196a=ii>>1=98k;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn<>n2;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7b50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?413gk<87?;6g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl>08095?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5>2dj;94>4628 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm11:1>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:m1em::51552?!?1k3hi=6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb024e?7==3:1">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1ef=<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3ni7co84;3736=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi==9=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401963=ii>>1=99;;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn<>9a;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7050:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?413gk<87?;779'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl>04c95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5>2dj;94>4658 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm116e>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:=1em::5155;?!?1k3hi=6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb0274?7==3:10bl9;:064=>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0g3f<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38o7co84;373d=#1?i1>o<:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6c>a280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?i74n`57>420j2.2:n4=dg68m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd68=81=7;50;2x 815??4$542>65?>2.?=>4o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;88>7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:658jd1328>5<#i>81=5;4n`53>4==86=4+a609<<6<3th8n8;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:8:k4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>l:6;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>21hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=76:&756<4m<1em::515:3?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c3`e<<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39jm6`n75820=7<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87?;839'=3e=9j=h7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e9jk<6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd1328>3?6*66b82g2e5<#i>81=5;4n`53>4=5<#i>8144>4;|`2gd0=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66951>33-3=o7?l7b9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94>4978 <0d28i!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj8ij87?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3;?4;5+97a95f1d3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a5fg4280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>42??2.2:n4>c6a8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl>c`095?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::515:;?!?1k3;h;n5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:8574$84`>4e0k2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f4ef83;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?730h1/5;m51b5`?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm1c20>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:06;f>">>j0:mi64i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th:n=<51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>1=96l;%;5g?7fl11b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg7e880:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb31<6<1n0(48l:0cg<>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb0`34?7=<3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi=lhi:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd6ioo1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c3bba<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39jm6`n75820<7<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87?;939'=3e=9hn37d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e:;9?6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5769me22=9=387)79c;0275=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:84:4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f6e683;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>li;oc40?731<1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm3b2`>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:06:2>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th8o=651;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280fc=ii>>1=978;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg5d8=0:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6<020(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb2a34?7=<3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?ohl:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd4jo?1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c1ab4<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n75820=k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn>ljd;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6da3gk<87?;9e9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e;ko26<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328>2i6*66b807a34<#i>81=5;4n`53>4=4<#i>8144>4;|`0f`3=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08nk5aa66951?a3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>4`28 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj:hoh7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2`e?kg0<3;?m<5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a7gb>280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>42f:2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=76:&756<4jo1em::515c0?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:8l:4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f6ddl3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>li;oc40?73i<1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm3ca:>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:06b2>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th8nn;51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280fc=ii>>1=9o8;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg5ek80:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb2`a`?7=<3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?ol6:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd4jk?1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c1af4<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n75820de<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn>lnd;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6da3gk<87?;ae9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e;kk26<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328>ji6*66b807a34<#i>81=5;4n`53>4=4<#i>8144>4;|`0fd3=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08nk5aa66951ga3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>4c28 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj:h2h7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2`e?kg0<3;?n<5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a7g?4280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>42e:2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=76:&756<4k81em::515`0?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1a30<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39j46`n75820g2<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f744>3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86:94n`57>42e=2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd4j1o1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c1:1<<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3?>7co84;37f2=#1?i1?>j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7<3f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891h?5aa66951d?3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5>=k0:6>4?:1y'=d5="f?;02><5+473976>13->:?7jn;oc40?73j01/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:;936<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5769me22=9=hj7)79c;0275=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;14?kg0<3;?no5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a042e280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>i5aa66951dd3-3=o7:?bg9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg26<<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?776;oc40?73jm1/5;m541`e?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e<8>:6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52e9me22=9=hn7)79c;63fc=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;5g?kg0<3;?nk5+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi>ik;:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40190<=ii>>1=9m?;%;5g?4e:<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374982>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?553gk<87?;c09'=3e=<9hm7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm406:>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:=1em::515a1?!?1k3>;nk5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c620d<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3ni7co84;37g6=#1?i18=li;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?48?:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019<4=ii>>1=9m;;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?413gk<87?;c49'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3841>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;li0bl9;:06`2>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0=35=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0om6`n75820f1<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f744i3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86:94n`57>42d02.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5::i1=7=50;2x 815??4$542>65?>2.?=>487:lb31<6o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj:3=j7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:e08jd1328>hm6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th85:>51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128ge>hf?=0:8nl4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn9?lf;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>aghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl;1ba95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7564bf8 <0d2=:ij6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb53`e?7=;3:1">>j0?5<#i>81=5;4n`53>5=5<#i>8144>4;|`75f1=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0om6`n75820f`<,0mf:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f17em3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86io4n`57>42c82.2:n4;0cd8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd39==1=7=50;2x 815??4$542>65?>2.?=>4l6:lb31<6o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj=;?:7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:b48jd1328>o>6*66b874g`5<#i>81=5;4n`53>4==86=4+a609<<6<3th?N>i<1/m:<59338 1062:93:6*;128`2>hf?=0:8i=4$84`>16en2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?;lb;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>67hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd5::o1=7=50;2x 815??4$542>65?>2.?=>487:lb31<6o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj;8?<7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:658jd1328>o:6*66b815665<#i>81=5;4n`53>4==86=4+a609<<6<3th85:651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=9j8;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?1>3gk<87?;d99'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm400:>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=lh1em::515f:?!?1k3>;nk5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c6263<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nj7co84;37`d=#1?i18=li;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a044428086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891hl5aa66951be3-3=o7:?bg9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg26:90:6>4?:1y'=d5="f?;02><5+473976>13->:?7jn;oc40?73lj1/5;m541`e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e<8;o6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5d`9me22=9=no7)79c;63fc=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:==4>:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;fb?kg0<3;?hh5+97a905da3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi8<>k:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019`d=ii>>1=9ji;%;5g?27jo1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b370c82>6<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?bf3gk<87?;e19'=3e=<9hm7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm402;>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=lh1em::515g2?!?1k3>;nk5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c6246<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nj7co84;37a7=#1?i18=li;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a046728086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891hl5aa66951c43-3=o7:?bg9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg27nm0:6>4?:1y'=d5="f?;02><5+473976>13->:?7jn;oc40?73m=1/5;m541`e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e<9lj6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5d`9me22=9=o>7)79c;63fc=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=;j:4>:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;fb?kg0<3;?i;5+97a905da3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi8=h;:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019`d=ii>>1=9k8;%;5g?27jo1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?bf3gk<87?;e99'=3e=<9hm7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm1813>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=;h20bl9;:06f=>">>j0:48m4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`75=g=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08nk5aa66951cf3-3=o7:?bg9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627??03gk<87?;ec9'=3e=:89;7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e:?h=6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5dc9me22=9=oh7)79c;0275=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c05e0<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;33<7co84;37aa=#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi>;7::087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019=2=ii>>1=9kj;%;5g?46;91b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg410o0:694?:1y'=d5="f?;02><5+473976>13->:?778;oc40?73mo1/5;m52013?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm27:0>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;>1em::515d3?!?1k38:?=5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;fa?kg0<3;?j<5+97a964573`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a633c280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?:5aa66951`53-3=o7<>319j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?503gk<87?;f29'=3e=:89;7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e<9on6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52e9me22=9=l?7)79c;63fc=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;0e?kg0<3;?j85+97a95`453`9mi7>5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi=h6<:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196c=ii>>1=9h9;%;5g?7b=;1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?223gk<87?;f69'=3e=9l?97d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm2360>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=?>1em::515d;?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0100<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3=<7co84;37b<=#1?i1><=?;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7g`f280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>42ai2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=76:&756<4m01em::515da?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1abg<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8n6`n75820ce<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6b7;3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>o7;oc40?73nm1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;=8h6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5dc9me22=9=ln7)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c176d<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nh7co84;37bc=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7a7f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>95aa66950673-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5>?j0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6=9;0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjloj6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328?;>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cgf`?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`fa`<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n7582152<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wiih:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=8>:;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnhjk:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygcb83;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?728>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdbm80:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6=920(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76smee595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::5142:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbdf3>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:073e>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2f`?kg0<3;>4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3thnh94>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:9=m4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:aafg=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:8<5aa669506c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`e4280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>437m2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6bd3gk<87?:0g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pljc682>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>5028 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{emkn1=7;50;2x 815??4$542>65?>2.?=>4>409me22=9<;:7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjlh=6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328?:>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cga=?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`ffd<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n7582142<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wiio>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=8?:;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnho6:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`6:&:2f50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygcfk3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?729>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdbim0:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6=820(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sme`195?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::5143:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd;`>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:072e>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2f`?kg0<3;>=o5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3thnm=4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:9gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:aa<0=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:8<5aa669507c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`>a280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>436m2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6bd3gk<87?:1g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plj9282>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>5328 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{em131=7;50;2x 815??4$542>65?>2.?=>4>409me22=9<8:7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjl296<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328?9>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cg;1?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`f<3<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n7582172<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wii:m51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=8<:;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnh9::087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygc003;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?72:>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdb?00:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6=;20(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sme7d95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::5140:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd4;>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:071e>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2f`?kg0<3;>>o5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3thn:n4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:9?m4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:aa34=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:8<5aa669504c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`3e280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>435m2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6bd3gk<87?:2g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plj5g82>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>5228 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{em815??4$542>65?>2.?=>4>409me22=9<9:7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjl>n6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328?8>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cg65?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`f17<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n7582162<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wii9651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=8=:;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnh:>:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygc3<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?72;>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdb<<0:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6=:20(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sme2`95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::5141:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd10>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:070e>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2f`?kg0<3;>?o5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3thn?:4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:9>m4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:aa7b=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:8<5aa669505c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`40280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>434m2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6bd3gk<87?:3g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plj2c82>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>5528 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{em;;1=7;50;2x 815??4$542>65?>2.?=>4>409me22=9<>:7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjl;j6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328??>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cg2`?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`f5`<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n7582112<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wii<:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=8::;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnh>k:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygc683;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?72<>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdb980:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6==20(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sme1595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::5146:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd23>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:077e>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2f`?kg0<3;>8o5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3thn<94>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:99m4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a`cg=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:8<5aa669502c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fa`4280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>433m2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6bd3gk<87?:4g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plkf682>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>5428 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{elln1=7;50;2x 815??4$542>65?>2.?=>4>409me22=9o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjmo=6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328?>>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cff=?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`gad<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n7582102<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wihh>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=8;:;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnij6:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygbck3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?72=>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdclm0:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6=<20(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76smde195?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::5147:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbea`>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:076e>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2f`?kg0<3;>9o5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3thoh=4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:98m4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a`f0=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:8<5aa669503c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fada280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>432m2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6bd3gk<87?:5g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plkc282>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>5728 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{elk31=7;50;2x 815??4$542>65?>2.?=>4>409me22=9<<:7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjmh96<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328?=>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cfa1?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`gf3<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n7582132<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wihlm51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=88:;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnio;:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygbf?3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?72>>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdci10:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6=?20(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76smd8g95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::5144:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbe;;>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:075e>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2f`?kg0<3;>:o5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3tho5n4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:9;m4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a`<4=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:8<5aa669500c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fa>e280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>431m2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6bd3gk<87?:6g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plk8g82>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>5628 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{el1?1=7;50;2x 815??4$542>65?>2.?=>4>409me22=9<=:7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjm=n6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328?<>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cf;5?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`g<7<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n7582122<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wih:651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=89:;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vni9>:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygb0<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?72?>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdc?<0:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6=>20(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76smd7`95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::5145:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbe40>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:074e>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2f`?kg0<3;>;o5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3tho::4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:9:m4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a`0b=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:8<5aa669501c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fa30280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>430m2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6bd3gk<87?:7g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plk5c82>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>5928 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{el<;1=7;50;2x 815??4$542>65?>2.?=>4>409me22=9<2:7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjm>j6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328?3>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cf7`?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`g0`<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n75821=2<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wih9:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=86:;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vni=k:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygb383;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?720>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdc<80:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6=120(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76smd2595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::514::?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbe13>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:07;e>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2f`?kg0<3;>4o5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3tho?94>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ab>hf?=0:95m4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a`7g=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:8<5aa66950>c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fa44280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>43?m2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6bd3gk<87?:8g9'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plk2682>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>5828 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{el8n1=7;50;2x 815??4$542>65?>2.?=>4>409me22=9<3:7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjm;=6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cd8jd1328?2>6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}cf2=?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`g5d<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n75821<2<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wih<>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128204=ii>>1=87:;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vni>7:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g`50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198ygb7j3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>jl;oc40?721>1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdc8j0:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6=020(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76smd1095?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6<81em::514;:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb3f3=0bl9;:07:e>">>j09oo64i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`1`50=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0<;6`n758217?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f7b7<3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86:94n`57>43>k2.2:n4=cc:8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd48j21=7=50;2x 815??4$542>65?>2.?=>487:lb31<6=0n0(48l:221e>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj=;hn7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:ec8jd1328?2i6*66b874g`5<#i>81=5;4n`53>4==86=4+a609<<6<3th9=hh51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128`2>hf?=0:94h4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?;k2;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>21hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=5e595?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c06`3<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38?h6`n75821d4<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:m436<62-k<>7660:9~f73dk3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86no4n`57>43f;2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5=m:1=7;50;2x 815??4$542>65?>2.?=>4>3c9me22=9:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=h<4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30g>hf?=0:9l;4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn9>le;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cc3gk<87?:a79'=3e=<9hm7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm41f5>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ln0bl9;:07b3>">>j0?4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`74fe=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08h45aa66950g?3-3=o7:?bg9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg27km0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6=h30(48l:52ab>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj=8i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:e`8jd1328?jm6*66b874=?4<#i>81=5;4n`53>4=4<#i>8144>4;|`1``5=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08;6`n75821dd<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn?jj1;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530><1hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xdal80:694?:1y'=d5="f?;02><5+473976>13->:?7=l9:lb31<6=hn0(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76smb`:95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&7565`g8 <0d2h2o7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3:1(l9=:9;3?>{e<;2?6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5db9me22=9:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=9;k4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;05?kg0<3;>n=5+97a905>>3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi8?9m:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401902=ii>>1=8l>;%;5g?27001b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?413gk<87?:b39'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl>0g095?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5>2dj;94>5c18 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm11gb>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:m1em::514`7?!?1k3hi=6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb02f6?7==3:1">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c33`d<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38=7co84;36f3=#1?i1no?4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`24a4=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09:6`n75821g1<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a55>f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>;5aa66950d?3-3=o7lm1:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f46403;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?h4n`57>43e12.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg77;90:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd68;21=7;50;2x 815??4$542>65?>2.?=>4=6:lb31<6=kh0(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e998;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52e9me22=9o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj8::47?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:3f8jd1328?ih6*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;0g?kg0<3;>nh5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th:<=651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1281`>hf?=0:9oh4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi==>?:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401961=ii>>1=8m?;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnkh=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196c=ii>>1=8m>;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnkkn:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196c=ii>>1=8m=;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnkk=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196a=ii>>1=8m<;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnkjn:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196a=ii>>1=8m;;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnkj?:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196a=ii>>1=8m:;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnkm7:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196a=ii>>1=8m9;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnkl<:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401961=ii>>1=8m8;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnkl7:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`?50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627??03gk<87?:c89'=3e=:k8>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e9;=86<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`:8jd1328?hm6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;:2?kg0<3;>oo5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi>:87:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd5???1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c0423<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39:7co84;36g`=#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi>:88:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=77;95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&7565e28 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb3556?7=<3:1<=?;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi>:;j:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd5??91=7;50;2x 815??4$542>65?>2.?=>4mf:lb31<6=m90(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;=>n7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3;>h95+97a964573`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a6233280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>43c=2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=74a95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&7565e48 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb27eb?7==3:1">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1`=`=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:098i5aa66950b?3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4d8:0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb31<6=m30(48l:3`11>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb3a35?7=<3:1o<:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi>ohi:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd5jon1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c0abg<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39jm6`n75821ab<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?li9;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87?:dd9'=3e=:k8>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e:kl<6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd1328?oj6*66b81f735<#i>81=5;4n`53>4=5<#i>8144>4;|`1fc3=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66950c73-3=o7849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94>5d38 <0d2;h996g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;hm=7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3;>i?5+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a6gca280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>43b;2.2:n4=b378m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=bdf95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::514g7?!?1k38i>85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:9h;4$84`>7d5=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7db13;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?72m?1/5;m52c06?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm2cgb>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:07f3>">>j09n?;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9nh851;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ed=ii>>1=8k7;%;5g?4e:<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg4c0m0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?77co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;m;96<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5259me22=9:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;07?kg0<3;>io5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi=??6:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401957450:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?373gk<87?:ee9'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>20:95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k?1em::514gf?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb002e?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`264d=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0><6`n75821c6<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a5747280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n84n`57>43a92.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg75:;0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7;?;oc40?72n;1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd6:;91=7;50;2x 815??4$542>65?>2.?=>4>239me22=9o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj88987?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:428jd1328?m86*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=44>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`2>hf?=0:9k;4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi=?5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401957450:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?373gk<87?:f69'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>22295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k?1em::514d;?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb0005?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`2664=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09j6`n75821cg<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a5752280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>k5aa66950`e3-3=o7lm1:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f444k3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<=l;oc40?72nj1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5n0h1=7=50;2x 815??4$542>65?>2.?=>4ka:lb31<6=on0(48l:3`10>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0:6)o82;::4>=zj;l257?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:ec8jd1328?mi6*66b81f724<#i>81=5;4n`53>4==86<4+a609<<6<3th?==851;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280f`=ii>>1=8hi;%;5g?27jo1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg50:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6>9:0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:=?47?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;=<<5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?::9:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<75695?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::51720?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1407<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n7582252<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f61383;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?718<1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;>9n6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd1328<;:6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8;>m51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=;>8;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>61:8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb250j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7251280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>407i2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4?:>1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0::=m4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>9=c;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?90e9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm36c3>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j:0bl9;:043a>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1<=e=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa669536a3-3=o7<7829j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94>6028 <0d2;23?6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;23m7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3;==<5+97a96=>43`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a6=>>280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>406:2.2:n4=8918m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=89:95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::51730?!?1k3834>5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0::<:4$84`>7>?;2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f7>?>3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?719<1/5;m529:0?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm29:6>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:0422>">>j0945=4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9ih=51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;12843>hf?=0::<94$84`>7ba<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?hjf;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>ag8:&:2f<5j;>0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=fg295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<282dj;94>60;8 <0d2;h986g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb3de5?7==3:1o<;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a6cc?28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891hl5aa669537e3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4am00:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7;?;oc40?719j1/5;m52c07?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e:ooj6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b48jd1328<:h6*66b81f725<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9jh;51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128ge>hf?=0::7d5<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?hj6;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>06f:&:2f<5j;>0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=fd595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k?1em::51703?!?1k38i>95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c0ea7<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nj7co84;3564=#1?i1>o<;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6cc4280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<8919=5aa66953453-3=o7849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg4am=0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l6:lb31<6>;90(48l:3`10>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;loj7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:ec8jd1328<986*66b81f725<#i>81=5;4n`53>4==86=4+a609<<6<3th9jh>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12864>hf?=0::?;4$84`>7d5<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?hj1;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e13gk<87?9279'=3e=:k8?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm2gf`>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=lh1em::51704?!?1k38i>95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0e`a<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3?;7co84;356==#1?i1>o<;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a6cbb280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n84n`57>40512.2:n4=b368m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5nm=1=7=50;2x 815??4$542>65?>2.?=>4ka:lb31<6>;k0(48l:3`10>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj;lo47?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:428jd1328<9n6*66b81f725<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9ji751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g3=ii>>1=;50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?bf3gk<87?92e9'=3e=:k8?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2ga0>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045==91em::5170f?!?1k38i>95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c0eg1<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h:6`n758227`<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f7`>n3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86io4n`57>40482.2:n4=b368m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5nh:1=7;50;2x 815??4$542>65?>2.?=>4:0:lb31<6>:;0(48l:3`10>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;lj=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a5?kg0<3;=??5+97a96g433`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi>k7l:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019`d=ii>>1=;=<;%;5g?4e:=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?373gk<87?9359'=3e=:k8?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm2g;f>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j<0bl9;:0401>">>j09n?:4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`7651=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0><6`n7582260<,079:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f147:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;868>4n`57>404?2.2:n4;09;8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5nlh1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c0ef7<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39jm6`n758226?<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn?hne;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6gf3gk<87?93`9'=3e=:k8?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e:okj6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd1328<8n6*66b81f725<#i>81=5;4n`53>4=5<#i>8144>4;|`1bd0=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa669535d3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94>62f8 <0d2;h986g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;=??7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:ec8jd1328<8i6*66b815665<#i>81=5;4n`53>4==86=4+a609<<6<3th9;9951;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g7=ii>>1=;=i;%;5g?46;91b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?bf3gk<87?9419'=3e=:89;7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2662>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j80bl9;:0475>">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1363=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0om6`n7582214<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f71413;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m=;oc40?71<:1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e:>9?6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5d`9me22=9?>?7)79c;0275=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`6>hf?=0::9;4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?;71;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>72hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=59095?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5<2dj;94>6558 <0d2;;8<6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb37;7?7==3:10bl9;:047<>">>j09=>>4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`11=2=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0oo6`n758221?<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6d783;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?71

    7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;hln6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd13284<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8mk651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=;:l;%;5g?5>1o1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>65f8 <0d2:32j6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2ce0?7==3:14>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7d`5280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>403n2.2:n4<98d8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4io:1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0::8?4$84`>6?>n2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>ojc;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?9539'=3e=;03m7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3`gb>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:0467>">>j0854h4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0e`>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o<5aa66953333-3=o7=69g9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5fm=0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:koj7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;=9;5+97a974>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?ljl:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<4k81em::5177;?!?1k3925k5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0gb6<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nj7co84;351<=#1?i1>o<;;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;n547?7=,h=9657?;:a5c?328086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891hl5aa669533f3-3=o7?i879j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4a0:0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<;;oc40?71=k1/5;m52ed7?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:o2;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=57b9me22=9??h7)79c;0gb1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0::8j4$84`>7`a:2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f65?:3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?71=l1/5;m52gd1?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm32:2>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=ij1em::5177e?!?1k38mj?5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1g<>hf?=0::;>4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?;9b;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>aghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=55;95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7562dj;94>6708 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb2c``?7=;3:1=0bl9;:0457>">>j0854h4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`0ef4=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0om6`n7582232<,0
    76f:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f6gel3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86:94n`57>401=2.2:n4<98d8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd4ik21=7:50;2x 815??4$542>65?>2.?=>4<7:lb31<6>?<0(48l:2;:b>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb2ca1?7=<3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi?o>j:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<4k81em::5174:?!?1k3925k5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1a4d<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n758223g<,0
    76f:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6d703;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?71>k1/5;m538;e?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;k:=6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd1328<=o6*66b80=<`4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8mh<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=;8k;%;5g?5>1o1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>67g8 <0d2:32j6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2cg7?7==3:14>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7db6280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>40082.2:n4<98d8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4iji1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:::<4$84`>6?>n2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>ol1;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?9729'=3e=;03m7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3``b>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:0440>">>j0854h4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`022c=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09:6`n7582223<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f60bk3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<>;oc40?71??1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm37d2>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l:0bl9;:0443>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`10c`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ii5aa669531?3-3=o7<>319j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg43nl0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=jd:lb31<6>>30(48l:3304>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;?;87?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:ec8jd1328<5<#i>81=5;4n`53>4==86=4+a609<<6<3th98k751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12827f=ii>>1=;9m;%;5g?46;91b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627??03gk<87?97b9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e9o>36<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53df8jd1328<4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th:>9<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128267=ii>>1=;9j;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn<<;c;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>0650:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d>2dj;94>6928 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm1360>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9;80bl9;:04;5>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c3101<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3?;7co84;35<7=#1?i1no?4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`261b=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0><6`n75822=5<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a572b280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=?<4n`57>40?<2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg75:0Dl;k;I;b1>"f?;02><5+473976>13->:?7;?;oc40?710<1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd6:<>1=7;50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj88>97?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:001?kg0<3;=4:5+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th:>8851;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12864>hf?=0::564$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi=?;j:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401957450:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?4a3gk<87?98`9'=3e=:k8>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm2e;4>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045==:1em::517:a?!?1k38i>85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1f5`<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n75822=e<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6c6j3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?710m1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;l;m6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53df8jd1328<3i6*66b807a34<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86<4+a609<<6<3th8i?<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12827g=ii>>1=;6i;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>6828 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2g25?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7`7>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>l4n`57>40>:2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4m8k1=7=50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fg>hf?=0::4:4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>h?b;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?9949'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3g32>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ln0bl9;:04:2>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0b41=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa66953?03-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5a910:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6>020(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:l:57?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:g;8jd1328<256*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8ikh51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=;7n;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74j2dj;94>68`8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2d3e?7=;3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7c2c280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hm4n`57>40>l2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4n<91=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f`>hf?=0::4h4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>h:f;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?9a19'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3g43>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:04b5>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0b37=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0m56`n75822d4<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6`3?3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?71i:1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;o?:6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=512`8jd13285<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8j8<51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;1280e==ii>>1=;o:;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>6`48 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb24f=?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a73c4280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hm4n`57>40f02.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4>mn1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fg>hf?=0::lo4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>8k1;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cd3gk<87?9ac9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm37aa>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;li0bl9;:04bg>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`02f3=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08in5aa66953gc3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg51jo0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=jc:lb31<6>ho0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g`?kg0<3;=mk5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi>8<=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196a=ii>>1=;l?;%;5g?46;91b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74j2dj;94>6c38 <0d2;;8<6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb3710?7=;3:1">>j09=>>4i2df>4<#i>81=5;4n`53>5=5<#i>8144>4;|`1173=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08in5aa66953d43-3=o7<>319j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=93.j;?479198yg428?0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?77co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:<:26<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=512`8jd13284<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th99=h51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280af=ii>>1=;l9;%;5g?46;91b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bk2dj;94>6c58 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2d5b?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7c12280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hj4n`57>40e12.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214280/m:<58828?xd4n>h1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0::ol4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>h8d;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>c?hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<4k81em::517`g?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1e2a<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8n6`n75822gc<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6`1m3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>o7;oc40?71jo1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;o2>6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53da8jd13285<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8j5l51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=;m>;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bl2dj;94>6b08 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87282>!g0:322<65rb2d:3?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7c??280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>40d<2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4n031=7;50;2x 815??4$542>65?>2.?=>4i9:lb31<6>j?0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:li:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;=o;5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?k66:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956dhj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:&756<4i11em::517a;?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c1e=g<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ij6`n75822f?<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn>hm0;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?9c`9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3g`:>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9:h0bl9;:04`f>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0bf6=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa66953ed3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5ak;0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6>jn0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:lh?7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:g;8jd13284<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8j4j51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=;mi;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?bf3gk<87?9d19'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07b983;29 d15213;76sm3gc0>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ln0bl9;:04g5>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0bf3=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08nk5aa66953b53-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74j2dj;94>6e18 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2dgb?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7cc7280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>40c=2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4nl;1=7;50;2x 815??4$542>65?>2.?=>4i9:lb31<6>m<0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:lh:7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;=h:5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?km8:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019`d=ii>>1=;j7;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bl2dj;94>6e;8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2de1?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7c`1280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?ho4n`57>40cj2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4no=1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;d:?kg0<3;=hi5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?kh6:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&7566ed8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76a87283>!g0:322<65rb2def?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a0575280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>l4n`57>40b92.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd38891=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=;=94>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0::h=4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn9>>5;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>c?hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl;00495?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::517g6?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c6352<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nj7co84;35a3=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a057?280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hj4n`57>40b?2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4l:21=7;50;2x 815??4$542>65?>2.?=>4>3c9me22=9?o37)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fe>hf?=0::h74$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>j4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?9e`9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3e1a>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=n01em::517ga?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1g7f<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n75822`e<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6b4l3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86io4n`57>40bl2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098k214290/m:<58828?xd4l:o1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;d:?kg0<3;=ik5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?;ok:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019b<=ii>>1=;h?;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`>3gk<87?9f09'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm37c:>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=n01em::517d1?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c15e4<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3l27co84;35b6=#1?i1?>j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a73>>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>40a<2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4?1o1=7;50;2x 815??4$542>65?>2.?=>47)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ag>hf?=0::k84$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>8m0;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6dd3gk<87?9f69'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm37c1>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:04e<>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`02=g=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o<5aa66953`>3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg51?<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ma:lb31<6>ok0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:<<=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2`b?kg0<3;=jo5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?;7i:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+401913=ii>>1=;hl;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg511l0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=nf:lb31<6>on0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:<2m7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:02f?kg0<3;=jh5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?;6j:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197d`hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<69795?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<>?2dj;94>7128 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj:<387?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;<<<5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?;6?:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<66;95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::51620?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c14=f<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nm6`n7582352<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f736i3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?j4n`57>417=2.2:n4=1228m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd5=8h1=7;50;2x 815??4$542>65?>2.?=>4>3c9me22=9>:=7)79c;0275=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5==k4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fg>hf?=0:;=94$84`>77482c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4>:%c46?>>821vn?;>2;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7bhj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=50195?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6;k1em::5162:?!?1k38:?=5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0652<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39no6`n758235g<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f72a83;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>o7;oc40?708k1/5;m52013?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:=l96<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b68jd1328=;o6*66b815665<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9;?;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:;=j4$84`>77482c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn?9=6;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>72hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=73595?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&75671d8 <0d2;;8<6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj;=:>7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:368jd1328=:<6*66b815664<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th9;<=51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:;77482c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn?9>4;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>ad2:&:2f<59::0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd5>ol1=7;50;2x 815??4$542>65?>2.?=>4=4:lb31<6?890(48l:3304>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;=;<7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:368jd1328=:86*66b815664<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th9;=?51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128gf>hf?=0:;<;4$84`>77482c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f6?>m3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?84n`57>416>2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4>?o1=7;50;2x 815??4$542>65?>2.?=>4;<7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=ho4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;05?kg0<3;<=55+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?i;?:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956d9:&:2f<4;m?0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:&756<4mh1em::5163b?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1g17<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n758234d<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6b2>3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>o7;oc40?709j1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;m?<6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd1328=:h6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8h9=51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280af=ii>>1=:?j;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74j2dj;94>70d8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2f7f?7=;3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7a0d280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>l4n`57>41592.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4l?n1=7;50;2x 815??4$542>65?>2.?=>4897)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:;?=4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>j82;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>6g?3gk<87?8259'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07b983;29 d15213;76sm3e50>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:0511>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0`35=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08in5aa66952413-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5c>>0:6>4?:1y'=d5="f?;02><5+473976>13->:?7=n8:lb31<6?;=0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj:n3:7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:01a?kg0<3;<>55+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?i68:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`ghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:&756<4k81em::5160b?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1g<<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3l27co84;346g=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7a>c280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>415k2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4l>=1=7;50;2x 815??4$542>65?>2.?=>48o7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30f>hf?=0:;?k4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>j71;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>6g?3gk<87?82g9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm3e;g>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9:h0bl9;:0504>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0`t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa66952563-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5c1o0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6?:80(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:nj<7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:g;8jd1328=8?6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8hl?51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280af=ii>>1=:=;;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74j2dj;94>7278 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2f:j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7ad5280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>l4n`57>414?2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4lk91=7;50;2x 815??4$542>65?>2.?=>4937)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:;>74$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>jm5;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>c?hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<4mj1em::5161a?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1gef<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8n6`n758236e<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6bfl3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>o7;oc40?70;m1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e;mi<6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=512`8jd1328=8i6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8hn651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=:=i;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`>3gk<87?8419'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3eab>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=9;;0bl9;:0575>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th8hnl51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280af=ii>>1=::=;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bk2dj;94>7518 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2f`6?7=;3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a7abd280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>l4n`57>413=2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4lmn1=7;50;2x 815??4$542>65?>2.?=>4>=7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:;994$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>jkf;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>c?hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<4mj1em::5166:?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1g`3<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8n6`n758231g<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6bc?3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>o7;oc40?707co80;38?j10;3:1(l9=:9;3?>{e;ml:6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=512`8jd1328=?o6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8hk<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=::k;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d92dj;94>75g8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2fe0?7==3:1">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0`c3=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08in5aa66952373-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5cmk0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7?o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:nno7?53;294~">i:0?:l5Ga4f8L77=1:&724<4;1<0(9?<:2c;?kg0<3;<9?5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?5>k:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+40197d>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl;31f95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5911em::51677?!?1k3>8=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;fa?kg0<3;<985+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a7`61280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>l4n`57>412>2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4m9=1=7;50;2x 815??4$542>65?>2.?=>4?<7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;d:?kg0<3;<955+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?h>6:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019577hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd4m9k1=7;50;2x 815??4$542>65?>2.?=>4?j7)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fg>hf?=0:;8l4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>k?1;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>6g?3gk<87?85b9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm39`:>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:056`>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th8i>j51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12827g=ii>>1=:;j;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bi2dj;94>74d8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2g0b?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7`27280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891j45aa66952063-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5b<80:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=jc:lb31<6??80(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:o8;7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:01a?kg0<3;<:>5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?h=7:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+40197d>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=76:&756<6;k1em::51646?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1f16<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nm6`n7582330<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6c2<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?70>>1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;l?>6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f89me22=9><37)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fg>hf?=0:;;74$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>k;c;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>45e3gk<87?86`9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3d6g>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=;h20bl9;:055f>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`0a31=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:?o5aa669520d3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5b>10:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ja:lb31<6??n0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:o=57?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a2?kg0<3;<:h5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?h8n:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019b<=ii>>1=:8i;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bk2dj;94>7628 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2g6f?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7`0528086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891?l64n`57>410:2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd4m>i1=7;50;2x 815??4$542>65?>2.?=>4>3c9me22=9>=87)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fe>hf?=0:;::4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>k8e;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?8749'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3d5e>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=n01em::51655?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1f<5<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39no6`n7582321<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6>e93;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?70?11/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm3d;2>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9:h0bl9;:054=>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0a<4=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa669521f3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5b1:0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6?>h0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:o287?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:g;8jd1328=4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8i4;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280af=ii>>1=:9k;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74j2dj;94>76g8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2gb3?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7`g?280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>41?82.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4mh31=7;50;2x 815??4$542>65?>2.?=>4i9:lb31<6?1;0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:ojm7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g`?kg0<3;<4?5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?:6;:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019`g=ii>>1=:6<;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg50im0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6?1>0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:=3:7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:g;8jd1328=396*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8;5=51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=:69;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`>3gk<87?8869'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm397e>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=;h20bl9;:05;<>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>8144>4;|`0<36=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:nl5aa66952>>3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg50>>0:694?:1y'=d5="f?;02><5+473976>13->:?778;oc40?700h1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm364;>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045==:1em::516:a?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1ffg<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8n6`n75823=e<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6cek3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?700m1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;lho6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b38jd1328=3i6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8iok51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128e=>hf?=0:;5h4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>kmf;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cd3gk<87?8919'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3dce>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;li0bl9;:05:5>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0af`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa66952?53-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5bl90:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6?090(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:oo=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:g;8jd1328=286*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8ii<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280af=ii>>1=:7:;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74j2dj;94>7848 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2gf0?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7`c2280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>41>02.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4ml<1=7;50;2x 815??4$542>65?>2.?=>4i9:lb31<6?030(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:on;7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g`?kg0<3;<5l5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?hh7:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956dhj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:&756<4mh1em::516;`?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1fbd<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n75823=k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6caj3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86k74n`57>41>m2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4moi1=7;50;2x 815??4$542>65?>2.?=>43m7)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=44>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30f>hf?=0:;l>4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>h=a;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?8a09'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3g0a>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j;0bl9;:05b6>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0b7e=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0m56`n75823d5<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6`5l3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kl;oc40?70i=1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;o9n6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=512`8jd1328=j96*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8j>h51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=:o9;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`>3gk<87?8a69'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3g67>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;li0bl9;:05b<>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`01<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ml5aa66952g>3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94>7`c8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj:2im7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3;5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a7=d1280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>41fk2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl<8`095?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::516cg?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fg>hf?=0:;lk4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>j?4;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e63gk<87?8ag9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3e34>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ln0bl9;:05a4>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0`74=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa66952d63-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5c::0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l1:lb31<6?k80(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:n987?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:g;8jd1328=i?6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8ok?51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280af=ii>>1=:l;;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?bf3gk<87?8b49'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm3e21>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;lk0bl9;:05a2>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`:22<62;0;6=u+9`19054<@h?o7E7n5:&b37<58j1C88l4$57g>1603->==7=<879me22=9>h<7)79c;31=86=4+a609<<6<3th2>:4>:383>5}#1h918=<4H`7g?M?f=2.j;?4=0b9K00d<,=?o69>8;%655?540?1em::516`;?!?1k3;94o5f3gf94?"f?;0?<:54o650>5<#i>8144>4;|`13d>=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7<8869j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?8b`9'=3e=:>2<7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5?k81=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=9>hi7)79c;04<2=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb35a0?7=;3:1:68;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9;o851;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=:lk;%;5g?400>1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f71fk3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?70jl1/5;m526:4?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=7`g95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::516`e?!?1k38<4:5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj:>?57?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`001d=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=:;d;397?6=8r.2m>4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?8c39'=3e=;:n>7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd4<=l1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=9>i87)79c;10`0=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb2665?7=;3:1j:;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th888=51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=:m:;%;5g?54l<1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f622=3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?70k?1/5;m532f6?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl<41595?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::516a4?!?1k398h85f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj:>;57?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`005d=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7=849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=:?d;397?6=8r.2m>4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?8c`9'=3e=;:n>7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd4<9l1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=9>ii7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb2625?7=;3:1j:;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th88<=51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=:mk;%;5g?54l<1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f626=3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?70kl1/5;m532f6?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl<40595?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::516ae?!?1k398h85f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj:>;=7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`0055=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=:?5;397?6=8r.2m>4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?8d39'=3e=;:n>7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd4<:91=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=9>n87)79c;10`0=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb2601?7=;3:1j:;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th88>951;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=:j:;%;5g?54l<1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f62103;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?70l?1/5;m532f6?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl<47c95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::516f4?!?1k398h85f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj:>=o7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`01ac=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7=849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=f;397?6=8r.2m>4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?8d`9'=3e=:>2<7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd50;;1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=9>ni7)79c;04<2=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3:17?7=;3:1:68;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th94?;51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=:jk;%;5g?400>1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7>5?3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?70ll1/5;m526:4?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=83;95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::516fe?!?1k38<4:5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;29n7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;03`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1<7b=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?8e39'=3e=:>2<7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5?m=1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=9>o87)79c;04<2=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb35g=?7=;3:1:68;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9;il51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=:k:;%;5g?400>1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f71cl3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?70m?1/5;m526:4?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=7ed95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::516g4?!?1k38<4:5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;=n=7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;03`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`13`5=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7<8869j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?8e`9'=3e=:>2<7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5?ok1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=9>oi7)79c;04<2=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb35eg?7=;3:1:68;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9;kk51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=:kk;%;5g?400>1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7>783;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?70ml1/5;m526:4?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=81095?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::516ge?!?1k38<4:5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;2;87?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;03`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1<50=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?8f39'=3e=:>2<7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd509k1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=9>l87)79c;04<2=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb35e:68;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9;4<51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=:h:;%;5g?400>1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f71>=3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?70n?1/5;m526:4?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=78595?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::516d4?!?1k38<4:5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;=357?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;03`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`13=d=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7<8869j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?8f`9'=3e=:>2<7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5?1l1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=9>li7)79c;04<2=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb30ef?7=;3:1<=?;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9?=j51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=:hk;%;5g?46;91b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f757j3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?70nl1/5;m52013?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=31;95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::516de?!?1k38:?=5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;9;;7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3<=5+97a964573`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1753=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:319j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7039'=3e=:89;7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5;9;1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91:87)79c;0275=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb30eb?7=;3:1<=?;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9>kj51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5>:;%;5g?46;91b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f77d?3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?8?1/5;m52013?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=1b795?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::51924?!?1k38:?=5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;;h?7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3<55+97a964573`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`15f7=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7<>319j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?70`9'=3e=:89;7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd59m31=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91:i7)79c;0275=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb33g3?7=;3:1<=?;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9=i;51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5>k;%;5g?46;91b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f77c;3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?8l1/5;m52013?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=1e395?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::5192e?!?1k38:?=5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;;hj7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3==5+97a964573`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`15fb=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:319j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7139'=3e=:89;7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd59j31=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91;87)79c;0275=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb330e?7=;3:1<=?;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9>i951;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5?:;%;5g?46;91b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f74b13;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?9?1/5;m52013?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=2d595?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::51934?!?1k38:?=5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;8n97?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3=55+97a964573`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`16`5=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7<>319j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?71`9'=3e=:89;7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5:ml1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91;i7)79c;0275=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb30g`?7=;3:1<=?;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9>il51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5?k;%;5g?46;91b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f74c13;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?9l1/5;m52013?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=2c195?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::5193e?!?1k38:?=5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;8h97?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3>=5+97a964573`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`16f5=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:319j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7239'=3e=:89;7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5:kl1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91887)79c;0275=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb30a`?7=;3:1<=?;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9>ol51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5<:;%;5g?46;91b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f74e13;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?:?1/5;m52013?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=2c595?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::51904?!?1k38:?=5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;8i97?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3>55+97a964573`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`15c2=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7<>319j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?72`9'=3e=:89;7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5;ji1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=918i7)79c;0275=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3154?7=;3:1<=?;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9?ko51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=550:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f4bdm3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?:l1/5;m51e`g?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl>dba95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::5190e?!?1k3;oni5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj8nhm7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3?=5+97a95adc3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`2`f>=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7339'=3e=9mho7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd6lj>1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91987)79c;3gfa=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb0f`6?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th:hn>51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5=:;%;5g?7cjm1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f4e503;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?;?1/5;m51b34?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl>c3495?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::51914?!?1k3;h=:5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj8i987?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3?55+97a95f703`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`2g74=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7?l169j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?73`9'=3e=9j;<7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd6k8o1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=919i7)79c;3`52=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb0a2g?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th:oN>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5=k;%;5g?7d9>1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f66??3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?;l1/5;m5310b?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl<05795?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::5191e?!?1k39;>l5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj::?;7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;38=5+97a9754f3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`041?=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=>;b;397?6=8r.2m>4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7439'=3e=;98j7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd48=n1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91>87)79c;136d=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb227b?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th8<8?51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5::;%;5g?57:h1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f662;3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?!g0:3;396`n7182?k?>k3:07pl<04795?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::51964?!?1k39;>l5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj::=:7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3855+97a9754f3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`043>=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7=?2`9j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=>9a;397?6=8r.2m>4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?74`9'=3e=;98j7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd48?i1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91>i7)79c;136d=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb225a?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th8<:>51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5:k;%;5g?57:h1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f660:3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?!g0:3;396`n7182?k?>k3:07pl<06695?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::5196e?!?1k39;>l5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj::<:7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;39=5+97a9754f3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`05c`=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:fe9j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7539'=3e=;;o<7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd49921=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91?87)79c;1243=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3`;g?7=;3:1o<:;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9nl<51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5;:;%;5g?4e:<1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7df>3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?=?1/5;m52c06?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=b`:95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::51974?!?1k38i>85f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;hjm7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3955+97a96g423`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1fde=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?75`9'=3e=:k8>7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5jk:1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91?i7)79c;0a60=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3`a6?7=;3:1o<:;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9n:651;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5;k;%;5g?4e:<1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7d0i3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?=l1/5;m52c06?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=b6a95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::5197e?!?1k38i>85f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;hi:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3:=5+97a96g423`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1f=6=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7639'=3e=:k8>7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5j1>1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91<87)79c;0a60=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3`;2?7=;3:1o<:;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9n5651;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=58:;%;5g?4e:<1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7d?i3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?>?1/5;m52c06?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=b9g95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::51944?!?1k38i>85f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;h2<7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3:55+97a96g423`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1f<4=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?76`9'=3e=:k8>7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5j0<1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3`:o<:;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9n4o51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=58k;%;5g?4e:<1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7d>k3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?>l1/5;m52c06?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=b8g95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::5194e?!?1k38i>85f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;hj<7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3;=5+97a96g423`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1fd2=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7739'=3e=:jh37d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5kj=1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91=87)79c;0`f==n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3a`1?7=;3:1nl7;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9on=51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=59:;%;5g?4dj11b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7ed93;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7???1/5;m52b`;?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=ccd95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::51954?!?1k38hn55f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;iih7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3;55+97a96fd?3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1ggd=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?77`9'=3e=9ok?7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd580:1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91=i7)79c;03<`=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb0gba?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9;n751;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=59k;%;5g?400>1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f62193;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7??l1/5;m532f6?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl<40d95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::5195e?!?1k398h85f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj:>?:7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;34=5+97a976b23`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`002d=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:63-3=o7=849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7839'=3e=:>2<7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd5?li1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91287)79c;04<2=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3:24?7=;3:1:68;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th9;l?51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=56:;%;5g?400>1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f756;3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?0?1/5;m52013?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=1d195?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::519:4?!?1k38:?=5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;;?h7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3455+97a964573`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`16``=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:>3-3=o7<>319j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?78`9'=3e=:89;7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd6lmh1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=912i7)79c;3gfa=n;oo1<7*n7382<0=ii>:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb0a01?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th8<8o51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=56k;%;5g?57:h1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f660j3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?0l1/5;m5310b?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=bbg95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::519:e?!?1k38i>85f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;io:7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;35=5+97a96fd?3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1=`>=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7939'=3e=9;2i7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd51l91=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91387)79c;31:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3;f4?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th95ij51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=57:;%;5g?750k1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7?c13;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?1?1/5;m513:a?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=9e495?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::519;4?!?1k3;94o5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;3o87?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3555+97a957>e3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1=a4=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7?=8c9j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?79`9'=3e=9;2i7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd51ji1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=913i7)79c;31:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3;`e?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th95n651;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=57k;%;5g?750k1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7?d>3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?1l1/5;m513:a?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=9b695?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::519;e?!?1k3;94o5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;3h=7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3m=5+97a957>e3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1=g`=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7a39'=3e=9;2i7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd51kh1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91k87)79c;31:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3;a=?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th95o;51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5o:;%;5g?750k1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7?e;3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?i?1/5;m513:a?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=9c395?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::519c4?!?1k3;94o5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj;3jj7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3m55+97a957>e3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|`1=db=9391<7>t$8c0>10>3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:3-3=o7?=8c9j7cc=83.j;?4>849me26=821d;:=50;&b37hi:18'e24=91?0bl9?:09m=4;689Ke0b<@0k>7)o82;;15>"3>808?584$530>46f3gk<87?7a`9'=3e=9;2i7d=ie;29 d15282>7co80;28?j10;3:1(l9=:9;3?>o4no0;6)o82;3;1>hf?90:7c76c;28?xd51lh1=7=50;2x 815??4$542>65?>2.?=>4>0`9me22=91ki7)79c;31:1<65`76194?"f?;035=54i2de>5<#i>81=5;4n`53>4=i10i1<65rb3;f=?7=;3:15$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3th95nj51;194?6|,0k86986;Ic6`>N>i<1/m:<59338 1062:93:6*;12824d=ii>>1=5ok;%;5g?750k1b?kk50;&b37<60<1em:>50:9l325=83.j;?479198m6`a290/m:<51978jd17281e54m50:9~f7?e>3;1?7>50z&:e6<3>01Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<>n;oc40?7?il1/5;m513:a?l5am3:1(l9=:0:6?kg083:07b983;29 d15213;76g!g0:3;396`n7182?k?>k3:07pl=9dg95?5=83:p(4o<:54:?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68h1em::519ce?!?1k3;94o5f3gg94?"f?;0:485aa6294>=h?>91<7*n738;=5==zj=:3i7?53;294~">i:0?:45Ga4f8L77=1:&724<4;1<0(9?<:02b?kg0<3;3n=5+97a905>>3`9mi7>5$`51>4>23gk<<7>4;n547?6=,h=9657?;:k0bc<72-k<>7?75:lb35<63g32o7>4;|``3f<62:0;6=u+9`1903?<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;;m6`n7582;h1ea?6=,h=96<6:;oc44?6<3f=5$`51>=?732c8jk4?:%c46?7?=2dj;=4>;o;:g?6<3thh;h4>:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1b<>hf?=0:4o<4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wio5>51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;1280e==ii>>1=5l<;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vnn6=:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+40197d>50:9j7c`=83.j;?4>849me26=921d;:=50;&b374;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>6g?3gk<87?7b49'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pll6082>6<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?5f02dj;94>8c48 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76smc7195?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4i11em::519`4?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rbb46>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=;h20bl9;:0:a<>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}ca53?7=;3:15<#i>81=5;4n`53>5=5<#i>8144>4;|`0`57=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0<;6`n7582=k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f60>>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?:4n`57>4>ej2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4=>k1=7;50;2x 815??4$542>65?>2.?=>4>1g9me22=91hh7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=nl4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;32b>hf?=0:4oj4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;75;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?7bd9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34a6>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0:ab>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`03g5=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09j6`n7582=k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f61e:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?7?k81/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;>h:6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52e9me22=91i97)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=0:4n=4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>9nf;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7bhj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl<7`g95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::519a6?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c143g<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38o7co84;3;g3=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a721f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n?4n`57>4>d?2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd40m>1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;`5?kg0<3;3o45+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?9o9:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019f3=ii>>1=5mn;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?d13gk<87?7cc9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm35`7>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=j?1em::519a`?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c17f0<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3h=7co84;3;ga=#1?i1?>j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a70?7280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=4>dm2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4=m:1=7;50;2x 815??4$542>65?>2.?=>4>1g9me22=91im7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;`5?kg0<3;3h=5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?87<:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401954`hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<5e195?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<69o1em::519f1?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c17f2<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3h=7co84;3;`6=#1?i1?>j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a70?3280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=4>c<2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4=m>1=7;50;2x 815??4$542>65?>2.?=>4>1g9me22=91n>7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;`5?kg0<3;3h;5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?87::086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401954`hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<5e795?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<69o1em::519f;?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c17f<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3h=7co84;3;`<=#1?i1?>j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7f5?280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=5jn;%;5g?54l<1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb2a0=?7=<3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi?n=n:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd4k=;1=7:50;2x 818:j4$542>65?>2dj;94>8ef8 <0d2:9o96g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a7f25280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>4>cm2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=76:&756<4ih1em::519fe?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3;a5=#1?i1?>j:;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd4k:l1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c1`05<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39jm6`n7582<`4<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn>m4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>4>b;2.2:n4<3e78m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:4h:4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f6e4l3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>on;oc40?7?m<1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76smed;95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mm1em::519g5?!?1k3hi=6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rbdg;>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=0;1em::519g4?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbdf`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ln0bl9;:0:f<>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}cggf?7==3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cg`b?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`fg`<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3297co84;3;ag=#1?i1no?4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`fg7<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nh6`n7582<`e<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:aaf7=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:03>6`n7582<`b<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:aag3=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ii5aa6695=cb3-3=o7lm1:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f`d3280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<8914?5aa6695=ca3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`g?280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hj4n`57>4>a82.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198ygcf?3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;865<4n`57>4>a92.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygc>j3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kk;oc40?7?n;1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdb1h0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?76=;oc40?7?n:1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdb0l0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=jd:lb31<60o>0(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{em1n1=7;50;2x 815??4$542>65?>2.?=>472:lb31<60o?0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{em1;1=7;50;2x 815??4$542>65?>2.?=>4o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zjl2;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5839me22=91l<7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjl=?6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53df8jd13282m46*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:908jd13282m56*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gg?kg0<3;3jl5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3thn:;4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;:1?kg0<3;3jo5+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3thn9l4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f`>hf?=0:4km4$84`>gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wii8751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128;6>hf?=0:4kj4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wii9j51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280aa=ii>>1=5hj;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vnh:l:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019<7=ii>>1=5hi;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnh:?:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`b50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>=450:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cc3gk<87?6039'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plj3082>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?>53gk<87?6029'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plj2782>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bl2dj;94>9168 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sme3795?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&7569178 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sme0;95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mm1em::51825?!?1k3hi=6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rbd3;>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=0;1em::51824?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd2`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ln0bl9;:0;3<>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}cg3f?7==3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cfeb?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`gb`<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3297co84;3:4g=#1?i1no?4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`gb7<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nh6`n7582=5e<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a`c7=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:03>6`n7582=5b<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a``3=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ii5aa6695<6b3-3=o7lm1:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~fac3280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<8914?5aa6695<6a3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fab?280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hj4n`57>4?682.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198ygbc?3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;865<4n`57>4?692.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygbdj3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kk;oc40?7>9;1/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xdckh0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?76=;oc40?7>9:1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdcjl0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=jd:lb31<618>0(48l:c`2?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{elkn1=7;50;2x 815??4$542>65?>2.?=>472:lb31<618?0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{elk;1=7;50;2x 815??4$542>65?>2.?=>4o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zjmh;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5839me22=90;<7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjmk86<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53df8jd13283:46*66b8af4=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:908jd13283:56*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gg?kg0<3;2=l5+97a9fg74<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3tho5;4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;:1?kg0<3;2=o5+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3tho4l4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f`>hf?=0:5gd63`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wih5751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128;6>hf?=0:5gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wih:j51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280aa=ii>>1=4?j;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vni9l:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019<7=ii>>1=4?i;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vni9?:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`b50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>=450:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cc3gk<87?6239'=3e=jk;0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plk6082>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?>53gk<87?6229'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plk5782>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bl2dj;94>9368 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76smd4795?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&7569378 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smd5;95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mm1em::51805?!?1k3hi=6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rbe6;>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=0;1em::51804?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbe1`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ln0bl9;:0;1<>">>j0in<5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}cf0f?7==3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cf1b?7==3:14<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`g6`<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3297co84;3:6g=#1?i1no?4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`g67<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nh6`n7582=7e<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a`77=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:03>6`n7582=7b<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a`43=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ii5aa6695<4b3-3=o7lm1:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~fa73280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<8914?5aa6695<4a3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fa60280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hj4n`57>4?482.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198ygb7>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;865<4n`57>4?492.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg420l0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=jb:lb31<61:80(48l:3304>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;?3o7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g3?kg0<3;2?>5+97a964573`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f=5$`51>=?732wi>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019fd=ii>>1=4=;;%;5g?46;91b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg461:0:694?:1y'=d5="f?;02><5+473976>13->:?7ln;oc40?7>;<1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm205a>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=jh1em::51815?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;`b?kg0<3;2?:5+97a964573`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a6d?6280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891m?5aa6695<5?3-3=o7?=8c9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg4f190:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7o=;oc40?7>;01/5;m513:a?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e:h2m6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5a39me22=909j7)79c;31:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;c1?kg0<3;2?o5+97a957>e3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi>l6k:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019e7=ii>>1=4=l;%;5g?750k1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b82dj;94>92f8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb33b`?7=<3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9=lm51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128ae>hf?=0:5>h4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f77e>3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86oo4n`57>4?382.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=1c795?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&7569538 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;;i87?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:cc8jd13283?>6*66b815665<#i>81=5;4n`53>4=5<#i>8144>4;|`15g5=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0im6`n7582=15<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn??m2;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>gghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd59k;1=7:50;2x 815??4$542>65?>2.?=>4ma:lb31<61=?0(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb33a4?7=<3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9=lh51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128ae>hf?=0:5994$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f77fm3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86oo4n`57>4?302.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=18795?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&75695;8 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;;287?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:cc8jd13283?m6*66b815665<#i>81=5;4n`53>4=5<#i>8144>4;|`151<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0im6`n7582=1d<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn??6d;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>gghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd590i1=7:50;2x 815??4$542>65?>2.?=>4ma:lb31<61=n0(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb33:f?7=<3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9=4o51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128ae>hf?=0:59h4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f77>13;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86oo4n`57>4?282.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=18:95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&7569438 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;;2;7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:cc8jd13283>>6*66b815665<#i>81=5;4n`53>4=5<#i>8144>4;|`15<0=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0im6`n7582=05<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn??8d;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>gghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd59>i1=7:50;2x 815??4$542>65?>2.?=>4ma:lb31<61o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb33;2?7=<3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9=5;51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128ae>hf?=0:5894$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f77?<3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86oo4n`57>4?202.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=19195?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&75694;8 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;;3>7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:cc8jd13283>m6*66b815665<#i>81=5;4n`53>4=5<#i>8144>4;|`15=7=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0im6`n7582=0d<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn??70;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>gghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd59>l1=7:50;2x 815??4$542>65?>2.?=>4ma:lb31<61o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb334a?7=<3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9:hk51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;128ge>hf?=0:58h4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn?8j0;397?6=8r.2m>4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>aghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl=6e095?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7569738 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb3351?7=<3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9=;:51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128ae>hf?=0:5;=4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f771;3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86oo4n`57>4?1<2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=17095?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&7569778 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;;==7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:cc8jd13283=:6*66b815665<#i>81=5;4n`53>4=5<#i>8144>4;|`1536=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0im6`n7582=31<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn??9e;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>gghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd59?n1=7:50;2x 815??4$542>65?>2.?=>4ma:lb31<61?30(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb335g?7=<3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th9=;l51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128ae>hf?=0:5;l4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f771i3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86oo4n`57>4?1k2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=17;95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&75697f8 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj;;=47?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:cc8jd13283=i6*66b815665<#i>81=5;4n`53>4=5<#i>8144>4;|`1531=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0im6`n7582=3`<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn??96;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>gghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd5n9>1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c0g4a<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ii6`n7582=24<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn9>97;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6ba3gk<87?6729'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm362`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l:0bl9;:0;40>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0352=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i=5aa6695<123-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg50i>0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7jl;oc40?7>??1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;>3=6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5349me22=90=<7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;73?kg0<3;2;55+97a957>e3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi>l7=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401915=ii>>1=496;%;5g?750k1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627??03gk<87?67`9'=3e=9;2i7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e:0l86<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5969me22=90=i7)79c;31:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c0b=2<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;33<7co84;3:3f=#1?i1=?6m;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi>4h=:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019=2=ii>>1=49k;%;5g?750k1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg4f1?0:694?:1y'=d5="f?;02><5+473976>13->:?778;oc40?7>?l1/5;m513:a?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm2`;6>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=1>1em::5185e?!?1k3;94o5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;;4?kg0<3;24=5+97a957>e3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a6d>?280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<8915:5aa6695<>63-3=o7?=8c9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627??03gk<87?6839'=3e=9;2i7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e:h=h6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5969me22=90287)79c;31:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c0b:81/8;?532:5?!26;33<7co84;3:<1=#1?i1=?6m;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi>l>8:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019=2=ii>>1=46:;%;5g?750k1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg4f0k0:694?:1y'=d5="f?;02><5+473976>13->:?778;oc40?7>0?1/5;m513:a?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm2`25>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=1>1em::518:4?!?1k3;94o5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;;4?kg0<3;2455+97a957>e3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a6d64280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<8915:5aa6695<>>3-3=o7?=8c9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627??03gk<87?68`9'=3e=9;2i7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e:h::6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5969me22=902i7)79c;31:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c0b<3<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;33<7co84;3:4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi>l>?:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019=2=ii>>1=46k;%;5g?750k1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg4f0<0:694?:1y'=d5="f?;02><5+473976>13->:?778;oc40?7>0l1/5;m513:a?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm28de>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=1>1em::518:e?!?1k3;94o5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;;4?kg0<3;25=5+97a957>e3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a6<`b280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<8915:5aa6695849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627??03gk<87?6939'=3e=9;2i7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e:0lo6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5969me22=90387)79c;31:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c0b<7<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;33<7co84;3:=1=#1?i1=?6m;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi>4hl:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019=2=ii>>1=47:;%;5g?750k1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg4f080:694?:1y'=d5="f?;02><5+473976>13->:?778;oc40?7>1?1/5;m513:a?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm28da>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=1>1em::518;4?!?1k3;94o5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;;4?kg0<3;2555+97a957>e3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a6<`f280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<8915:5aa66953-3=o7?=8c9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627??03gk<87?69`9'=3e=9;2i7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e:0l26<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5969me22=903i7)79c;31:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c0b=d<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;33<7co84;3:=f=#1?i1=?6m;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi>4h::087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019=2=ii>>1=47k;%;5g?750k1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg4f100:694?:1y'=d5="f?;02><5+473976>13->:?778;oc40?7>1l1/5;m513:a?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm28d7>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=1>1em::518;e?!?1k3;94o5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;``?kg0<3;2m=5+97a96fd?3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a715d28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa6695849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg7cm;0:694?:1y'=d5="f?;02><5+473976>13->:?7ll;oc40?7>i;1/5;m51e`g?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm1b1`>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=jj1em::518c0?!?1k3;h=:5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=h4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fg>hf?=0:5l:4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>8ic;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c73gk<87?6a49'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm2bf:>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:8n0bl9;:0;b2>">>j09oo64i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1gad=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09=i5aa6695849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg4dlj0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<>d:lb31<61h20(48l:3aa<>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;3m=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a3?kg0<3;2m45+97a957>e3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi>l?9:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f6hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=a0195?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k91em::518ca?!?1k3;94o5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0b47<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h<6`n7582=de<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f7?a>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m?;oc40?7>im1/5;m513:a?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:0om6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b28jd13283ji6*66b826=d4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th:hik51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12815a=ii>>1=4oi;%;5g?7cjm1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?46l2dj;94>9c28 <0d28nih6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb0ff5?7==3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a5f5?280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>4?e:2.2:n4>c058m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd6k:k1=7;50;2x 815??4$542>65?>2.?=>4=1e9me22=90h87)79c;3`52=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;02`>hf?=0:5o:4$84`>4e6?2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnhk8:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f050:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e13gk<87?6b79'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pljce82>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d>2dj;94>9c58 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smeb295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k?1em::518`;?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd`0>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j<0bl9;:0;a=>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cgb2?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`f=<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h:6`n7582=gd<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:aa=e=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o;5aa66957?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`1a280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n84n`57>4?el2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygc0:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m9;oc40?7>jl1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdb><0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l6:lb31<61kl0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{em<21=7;50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjl>i6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b48jd13283h=6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a5?kg0<3;2o?5+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3thn?=4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`2>hf?=0:5n=4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wii?:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g3=ii>>1=4m;;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnh?8:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f050:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e13gk<87?6c79'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plkfe82>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d>2dj;94>9b58 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smdg295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k?1em::518a;?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbeg0>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j<0bl9;:0;`=>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cfg2?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`gg<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h:6`n7582=fd<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a`ge=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o;5aa66957?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~faga280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n84n`57>4?dl2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygbf93;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m9;oc40?7>kl1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdc1<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l6:lb31<61jl0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{el121=7;50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjm=i6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b48jd13283o=6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a5?kg0<3;2h?5+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3tho:=4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`2>hf?=0:5i=4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wih8:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g3=ii>>1=4j;;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vni:8:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f050:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e13gk<87?6d79'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plk2e82>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d>2dj;94>9e58 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smd3295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k?1em::518f;?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbe30>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;j<0bl9;:0;g=>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cf31?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`06`4=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0m56`n7582=ad<,0
    <65:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f76?13;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86k74n`57>4?ck2.2:n4=02a8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd50m81=7;50;2x 815??4$542>65?>2.?=>4>3b9me22=90no7)79c;04<2=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;0g?kg0<3;2hh5+97a964573`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?h5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd41=i1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1g<>hf?=0:5h?4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>789;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6b?3gk<87?6e39'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm2504>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=ko1em::518g0?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:i=4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fe>hf?=0:5h:4$84`>16?12c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>45c3gk<87?6e49'=3e=9lki7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3845>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:0;f2>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th85;:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280`==ii>>1=4k8;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?563gk<87?6e99'=3e=:89;7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e<9n86<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52008jd13283n56*66b874g`4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8:k;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g4=ii>>1=4kn;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b82dj;94>9d`8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rbdg`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:8n0bl9;:0;fg>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cgff?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`f`c<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38:h6`n7582=`c<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:aaac=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa66957?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`b5280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>4?a82.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygcc93;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7>n81/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdbk<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<>d:lb31<61o80(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{emj>1=7;50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjlh36<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=520f8jd13283m86*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;2j85+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3thnmo4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;02`>hf?=0:5k84$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wiilo51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=4h8;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnh7j:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401964b50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?6f89'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plj9082>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?46l2dj;94>9gc8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sme8295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mh1em::518da?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd:7>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:8n0bl9;:0;eg>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cg;7?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`f32<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38:h6`n7582=cc<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:aa20=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa6695<`a3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`0f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>4g782.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygc113;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7f881/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdb=m0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<>d:lb31<6i980(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{em815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjl?;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=520f8jd1328k;86*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;j<85+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3thn8>4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;02`>hf?=0:m=84$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wii9<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=l>8;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnh=::086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401964b50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?n089'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plj2882>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?46l2dj;94>a1c8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sme3:95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mh1em::51`2a?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd3`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:8n0bl9;:0c3g>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cg2f?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`f4c<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38:h6`n7582e5c<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:aa5c=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa6695d6a3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`65280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>4g682.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygc793;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7f981/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdcn<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<>d:lb31<6i880(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{elo>1=7;50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjmo36<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=520f8jd1328k:86*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;j=85+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3thoho4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;02`>hf?=0:m<84$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wihio51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=l?8;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnimj:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401964b8:&:2f50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?n189'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plkc082>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?46l2dj;94>a0c8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smdb295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mh1em::51`3a?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbe`7>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:8n0bl9;:0c2g>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cfa7?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`ge3<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38:h6`n7582e4c<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a`d3=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa6695d7a3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fa?f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>4g582.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygb>13;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7f:81/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdc0m0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<>d:lb31<6i;80(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{el1i1=7;50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjm2;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=520f8jd1328k986*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;j>85+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3tho;>4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;02`>hf?=0:m?84$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wih:<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=l<8;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vni8::086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401964b50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?n289'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plk5882>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?46l2dj;94>a3c8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smd4:95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mh1em::51`0a?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbe6`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:8n0bl9;:0c1g>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cf7f?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`g7c<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38:h6`n7582e7c<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a`6c=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa6695d4a3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fa55280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>4g482.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygb493;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7f;81/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdc:<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<>d:lb31<6i:80(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{el;>1=7;50;2x 815??4$542>65?>2.?=>4o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjm;36<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=520f8jd1328k886*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;j?85+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3tho:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;02`>hf?=0:m>84$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wih=751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=l=8;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?:i1;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>01hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl<7c:95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4io1em::51`1:?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c15=<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39jj6`n7582e6g<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6?403;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kk;oc40?7f;k1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:09;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52g9me22=9h9h7)79c;04<2=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fg>hf?=0:m>j4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>h;8;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cd3gk<87?n3d9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3g47>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;li0bl9;:0c0b>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0b=6=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08in5aa6695d273-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198ygcb>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7f<81/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdbm<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7;<;oc40?7f<;1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdbl00:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ja:lb31<6i=90(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{emm21=7;50;2x 815??4$542>65?>2.?=>4:3:lb31<6i=>0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{emji1=7;50;2x 815??4$542>65?>2.?=>4>7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjlii6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5529me22=9h>=7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjlhm6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53dc8jd1328k?;6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:418jd1328k?46*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;j845+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3thnn<4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;70?kg0<3;j8l5+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3thnm84>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fe>hf?=0:m9l4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wiil:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12867>hf?=0:m9m4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wii4651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=l:k;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnh78:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401916=ii>>1=l:j;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnh6m:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`g50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>0550:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?n509'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plj7e82>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?343gk<87?n539'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plj7082>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bi2dj;94>a418 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sme6295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<2;2dj;94>a468 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sme7695?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mh1em::51`76?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd40>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045==:1em::51`75?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbd74>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;lk0bl9;:0c63>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cg62?7==3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cg7e?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`f0<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3?87co84;3b1d=#1?i1no?4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`f7a<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nm6`n7582e0d<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:aa6e=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0>?6`n7582e0e<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:aa7`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa6695d3c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`4b280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<8919>5aa6695d3b3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f`44280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?ho4n`57>4g2n2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygc5:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;868=4n`57>4g182.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygc6>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7f>81/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdb9<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7;<;oc40?7f>;1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdb800:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ja:lb31<6i?90(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{em921=7;50;2x 815??4$542>65?>2.?=>4:3:lb31<6i?>0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{eloi1=7;50;2x 815??4$542>65?>2.?=>47)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjmli6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5529me22=9h<=7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjmom6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53dc8jd1328k=;6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:418jd1328k=46*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;j:45+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3thoi<4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;70?kg0<3;j:l5+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3thoh84>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fe>hf?=0:m;l4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wihi:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12867>hf?=0:m;m4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wihn651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=l8k;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnim8:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401916=ii>>1=l8j;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vnilm:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`g50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>0550:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b374;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?n709'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plkae82>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?343gk<87?n739'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07plka182>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bi2dj;94>a618 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smd8d95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<2;2dj;94>a668 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smd8695?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mh1em::51`56?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbe;0>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045==:1em::51`55?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rbe:4>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;lk0bl9;:0c43>">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cf;2?7==3:1">>j0in<5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}cf4e?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`g3<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3?87co84;3b3d=#1?i1no?4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`g2a<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nm6`n7582e2d<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a`3e=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0>?6`n7582e2e<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a`0`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa6695d1c3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fa3b280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<8919>5aa6695d1b3-3=o7lm1:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~fa34280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?ho4n`57>4g0n2.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygb2:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;868=4n`57>4g?82.2:n4mb09j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygb3>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7f081/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdc<<0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7;<;oc40?7f0;1/5;m5bc38m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xdc;00:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ja:lb31<6i190(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{el:21=7;50;2x 815??4$542>65?>2.?=>4:3:lb31<6i1>0(48l:c`2?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{el;i1=7;50;2x 815??4$542>65?>2.?=>47)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjm8i6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5529me22=9h2=7)79c;`a5>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zjm;m6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53dc8jd1328k3;6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:418jd1328k346*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;j445+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3tho=<4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;70?kg0<3;j4l5+97a9fg75<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3tho<94>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fe>hf?=0:m5l4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wih==51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12867>hf?=0:m5m4$84`>gd63`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956bhj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<77c95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::51`:f?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c141c<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h=6`n7582e=`<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f61bm3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kl;oc40?7f191/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;>oo6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53ea8jd1328k2=6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th84;l51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:m4<4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6b>3gk<87?n929'=3e=9mkm7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm1b5a>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;m30bl9;:0c:0>">>j0:o=74i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`2a3`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09:6`n7582e<3<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f4c6n3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<:;oc40?7f1?1/5;m51ece?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e9j=26<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=51378jd1328k2;6*66b82g5?5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th85:l51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128`0>hf?=0:m464$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;66;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?n989'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34f5>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0c:e>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00gg=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582e=k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f63>?3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328k2h6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th884m51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:m4k4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;68;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?n9g9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34f;>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0cb4>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582ed7<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f63>13;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328kj?6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th884k51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:ml:4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;8b;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?na49'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34`a>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0cb2>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00<`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582ed1<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f630k3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328kj56*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th88l>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:mlo4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;8d;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?nac9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34`g>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0cbg>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00d7=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582edb<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f630m3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328kjj6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th88l<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:mo>4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;8f;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?nb09'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34`e>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0ca6>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00d5=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582eg5<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f63?83;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328ki96*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th88l:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:mo84$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;71;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?nb69'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34a2>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0ca<>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00d3=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582eg?<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f63?:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328kin6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th88l951;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:mom4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;73;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?nbe9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34a0>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0caa>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00d>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582eg`<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f63?<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328kh=6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th88l751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:mn<4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;76;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?nc29'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34a5>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0c`0>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00dg=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582ef3<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f63??3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328kh;6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th88ll51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:mn64$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;78;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?nc89'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34a;>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0c`e>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00de=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582efd<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f63?13;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328khh6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th88lj51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:mnk4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;7a;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?ncg9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34ab>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0cg4>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00dc=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582ea7<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f63?j3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328ko?6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th88lh51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:mi:4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;7c;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?nd49'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34a`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0cg2>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`00g6=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0i:6`n7582ea1<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f63?l3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=510d8jd1328ko56*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th88o<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128a2>hf?=0:mio4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>;7e;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>47a3gk<87?ndc9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm34af>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=98l0bl9;:0cgg>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`01=`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:=k5aa6695dbc3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg52ko0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7?>f:lb31<6imo0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:?2=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:03e?kg0<3;jhk5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?8j>:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401954`hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<58095?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<69o1em::51`g2?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c16`7<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;:j6`n7582e`4<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6c6:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<=;oc40?7fm:1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;o:?6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=51308jd1328kn86*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8j9m51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128267=ii>>1=lk:;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?75:2dj;94>ad48 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2d;0?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7a21280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=?<4n`57>4gb02.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4l?81=7;50;2x 815??4$542>65?>2.?=>4>239me22=9ho27)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;316>hf?=0:mho4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>jn2;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>4453gk<87?nec9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3e`4>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9;80bl9;:0cfg>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0`fe=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:>?5aa6695dcc3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5cm80:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7?=2:lb31<6ilo0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:nm:7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:001?kg0<3;jik5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?h>m:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019574hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:&756<6:;1em::51`d2?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1f12<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;9>6`n7582ec4<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6c1k3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<=;oc40?7fn:1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;=h86<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5b79me22=9hl?7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ba>hf?=0:mk;4$84`>7ba<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?km0;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6gb3gk<87?nf79'=3e=:ml?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm2dcf>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ho0bl9;:0ce3>">>j09hk:4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1ade=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08mh5aa6695d`?3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg4bih0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ne:lb31<6io30(48l:3fe0>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;oj47?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2cf?kg0<3;jjl5+97a96a`33`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi>ho9:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197dc0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=e`695?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4il1em::51`d`?!?1k38oj95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c0f==<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39ji6`n7582ecb<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f7c>>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>oj;oc40?7fnl1/5;m52ed7?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e:l3?6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`g8jd1328kmj6*66b81`c25<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9i4<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280e`=ii>>1=o>?;%;5g?4cn=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5fm2dj;94>b138 <0d2;nm86g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb3g;a?7==3:1ih;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a6`>d280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?lk4n`57>4d7;2.2:n4=dg68m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5m1k1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1ba>hf?=0:n=;4$84`>7ba<2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?k79;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6gb3gk<87?m079'=3e=:ml?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm2d:6>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ho0bl9;:0`33>">>j09hk:4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1at$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08mh5aa6695g6?3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg4b1m0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ne:lb31<6j930(48l:3fe0>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;o2j7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2cf?kg0<3;i5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?:8i:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956`hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<74695?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<59>1em::51c2`?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1417<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8j6`n7582f5b<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f61283;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<=i;oc40?7e8l1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;>>n6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=512d8jd1328h;j6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8;9m51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12827c=ii>>1=o??;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74n2dj;94>b038 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2g;5?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7`?1280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=?<4n`57>4d6;2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4mhh1=7;50;2x 815??4$542>65?>2.?=>4>239me22=9k;?7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;316>hf?=0:n<;4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>kk3;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>4453gk<87?m179'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3dg;>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9;80bl9;:0`23>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0acb=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:>?5aa6695g7?3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5a:l0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7?=2:lb31<6j830(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:l?97?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:001?kg0<3;i=l5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi=h8j:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+401972=ii>>1=o?m;%;5g?7b=;1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg4bi80:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ne:lb31<6j8i0(48l:3fe0>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:=947?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:01e?kg0<3;i=i5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?:<9:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956`e:&:2f<4;m?0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<73695?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6;o1em::51c3e?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1467<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8j6`n7582f76<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f61583;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<=i;oc40?7e:81/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;>;n6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=512d8jd1328h9>6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8;N>i<1/m:<59338 1062:93:6*;12827c=ii>>1=o<<;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74j2dj;94>b368 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2`54?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a5d`2280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>k5aa6695g413-3=o7?nd99j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg41?m0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7jl;oc40?7e:>1/5;m52013?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e9j326<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52g9me22=9k837)79c;3`3f=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:?>4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;f`?kg0<3;i>45+97a905da3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi8<=8:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019`f=ii>>1=o50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74n2dj;94>b3`8 <0d2:;mh6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb322`?7==3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a64`5280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891==84n`57>4d5l2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5mh91=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;3;e>hf?=0:n?h4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>om3;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c13gk<87?m319'=3e=;03m7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm41`f>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l30bl9;:0`05>">>j0?<574i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`7515=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o<5aa6695g553-3=o7:?bg9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5?=:0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?77co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e<8>n6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5989me22=9k9?7)79c;63fc=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;315>hf?=0:n>;4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f616:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<=m;oc40?7e;?1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;>:i6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=51338jd1328h8;6*66b807a35<#i>81=5;4n`53>4=5<#i>8144>4;|`035g=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:?o5aa6695g5?3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg508:0:694?:1y'=d5="f?;02><5+473976>13->:?7?=1:lb31<6j:30(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb2536?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a73`e280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891=??4n`57>4d4j2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl<6g:95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6;k1em::51c1`?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c3b00<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39n56`n7582f6b<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f4g3<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?j4n`57>4d4m2.2:n4>9268m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd6l;n1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=n4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30`>hf?=0:n9>4$84`>4efi2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6b>3gk<87?m409'=3e=9k:?7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm1c:5>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9:n0bl9;:0`76>">>j0:n=:4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`06g1=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09j6`n7582f15<,0
    <65:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f64e:3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m>;oc40?7e<=1/5;m533;6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:?i?6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53d18jd1328h?96*66b815664<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th9:n=51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1281`>hf?=0:n984$84`>77482c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn?89d;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7`hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07plma882>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b12dj;94>b5:8 <0d2h2o7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76smb`595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5n2dj;94>b5;8 <0d2h2o7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm41ge>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=0l1em::51c6b?!?1k3>;nk5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1a41<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39h<6`n7582f1d<,0
    76f:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f7`0=3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;868?4n`57>4d3k2.2:n4=dg68m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4il:1=7:50;2x 815??4$542>65?>2.?=>4kb:lb31<6j=n0(48l:2;:b>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb2cg`?7=<3:1">>j0854h4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th8mio51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128:3>hf?=0:n9h4$84`>6?>n2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f6`>k3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86494n`57>4d282.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=76:&756b438 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2d27?7==3:1">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0b0d=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0oo6`n7582f05<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6`0?3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86im4n`57>4d2<2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4n091=7;50;2x 815??4$542>65?>2.?=>4kc:lb31<6jo4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:ln?7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:ea8jd1328h>:6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8jkk51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128gg>hf?=0:n894$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>j=6;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>aehj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756b4;8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2f6=?7==3:1">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0`23=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0oo6`n7582f0d<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6b?n3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86im4n`57>4d2k2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4lh>1=7;50;2x 815??4$542>65?>2.?=>4kc:lb31<6jo4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:ni57?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:ea8jd1328h>i6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8hnk51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128gg>hf?=0:n8h4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>jj3;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>aehj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756b738 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2g1b?7==3:1">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0a12=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0oo6`n7582f35<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6c213;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86im4n`57>4d1<2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4m?o1=7;50;2x 815??4$542>65?>2.?=>4kc:lb31<6j??0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:o3?7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:ea8jd1328h=:6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8i4651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128gg>hf?=0:n;94$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>knd;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>aehj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<6:81em::51c4:?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;f`?kg0<3;i:l5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?hkn:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019`f=ii>>1=o8m;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?bd3gk<87?m6b9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3g13>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=lj1em::51c4g?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1g5<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nh7co84;3a2`=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6`d428086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891ni5aa6695g0a3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4bjl0:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?7e?91/5;m52ed7?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:li86<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=9k=:7)79c;0gb1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3;i;?5+97a96a`33`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>hm::080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1=o9<;%;5g?4cn=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87?m759'=3e=:ml?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2da4>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::51c56?!?1k38oj95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0fg=<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;3a33=#1?i1>ih;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6f6328086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891ni5aa6695g103-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4bk00:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?7e?11/5;m52ed7?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:j:i6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=9k=27)79c;0a60=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3;i;l5+97a96a`33`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi==:::086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`?50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87?m7b9'=3e=:k8>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2d`7>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::51c5g?!?1k38oj95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0`4a<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;3a3`=#1?i1>o<:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6`d228086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa6695g1a3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4d8l0:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?7e091/5;m52c06?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:lh=6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=9k2:7)79c;0gb1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3;i4?5+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>hl8:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1=o6<;%;5g?4cn=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87?m859'=3e=:k8>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2d`;>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::51c:6?!?1k38oj95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0e`g<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38m7co84;3a<3=#1?i1>o<;;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6cbf280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>k5aa6695g>03-3=o7849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg4al?0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?77co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:on>6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=52g9me22=9k227)79c;0a61=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;0e?kg0<3;i4l5+97a96g433`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi>kj<:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196c=ii>>1=o6m;%;5g?4e:=1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?4a3gk<87?m8b9'=3e=:k8?7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm2gf2>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:o1em::51c:g?!?1k38i>95f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0`54<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;3a<`=#1?i1>o<:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6`d>28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa6695g>a3-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4d9;0:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?7e191/5;m52c06?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:lhj6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=9k3:7)79c;0gb1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=4>:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3;i5?5+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>hlm:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1=o7<;%;5g?4cn=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87?m959'=3e=:k8>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2d``>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::51c;6?!?1k38oj95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c0`43<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3lj7co84;3a=3=#1?i1>o<:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a6`dc28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa6695g?03-3=o7849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg4d8>0:6>4?:1y'=d5="f?;02><5+473976>13->:?7hn;oc40?7e111/5;m52c06?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:lhm6<4<:183!?f;3>=m6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5f`9me22=9k327)79c;0gb1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;db?kg0<3;i5l5+97a96g423`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi>hm?:080>5<7s-3j?7:9a:Jb1a=O1h?0(l9=:802?!2193984;5+4019bd=ii>>1=o7m;%;5g?4cn=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?`f3gk<87?m9b9'=3e=:k8>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm2da2>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=nh1em::51c;g?!?1k38oj95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c1a1`<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3ni7co84;3a=`=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?<9i:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197a1hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd6n;?1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c631f<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38:>6`n7582fd7<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f7e7i3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86ko4n`57>4df:2.2:n4=b378m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5mj81=7=50;2x 815??4$542>65?>2.?=>4ia:lb31<6jh90(48l:3fe0>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj:2;57?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:4a8jd1328hj86*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th?=8h51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128117=ii>>1=oo:;%;5g?27jo1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5d12dj;94>b`48 <0d2;h996g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj:h247?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:ea8jd1328hj;6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th?=i=51;194?6|,0k8698n;Ic6`>N>i<1/m:<59338 1062:93:6*;12861>hf?=0:nl64$84`>16en2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn9==4;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6b03gk<87?ma89'=3e=<:;;7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e<:;<6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53e58jd1328hjm6*66b877464<#i>81=5;4n`53>4=4<#i>8144>4;|`05``=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ii5aa6695gge3-3=o7=>dg9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg57:80:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=k9:lb31<6jhi0(48l:3de6>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj8l2:7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gg?kg0<3;imi5+97a95c>13`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi=h;>:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197a?hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl;31c95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4?2dj;94>b`d8 <0d2=:356g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj=8=j7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:238jd1328hi<6*66b874=?4<#i>81=5;4n`53>4=4<#i>8144>4;|`07=6=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08h:5aa6695gd63-3=o7==e69j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b37cb82>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b82dj;94>bc08 <0d2:;;96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb3313?7=<3:1=6j;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi=k9=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`6hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl;1cf95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&756bc78 <0d2=:ij6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb2ca4?7=<3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi?lok:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019577hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd409:1=7:50;2x 815??4$542>65?>2.?=>4kb:lb31<6jk20(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb33e4?7=<3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th94:=51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1281b>hf?=0:noo4$84`>71??2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn?9j9;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c33gk<87?mbc9'=3e=:>2<7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm33:7>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9:l0bl9;:0`ag>">>j08=kj4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`147d=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:?k5aa6695gdc3-3=o7?ia59j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg560m0:694?:1y'=d5="f?;02><5+473976>13->:?7=k7:lb31<6jko0(48l:2332>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb0d07?7=<3:14>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi??69:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956`hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=03f95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6;o1em::51ca2?!?1k3;mm95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c12:81/8;?532:5?!26;39o;6`n7582ff4<,0
    ??6:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6b03gk<87?mc29'=3e=9lkh7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e;;236<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=512d8jd1328hh86*66b805cb5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9N>i<1/m:<59338 1062:93:6*;12827c=ii>>1=om:;%;5g?7ai=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b379082>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5c?2dj;94>bb48 <0d2:;;:6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj8l8;7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2f4?kg0<3;io:5+97a95`gd3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a77>f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>h4n`57>4dd02.2:n4<1gf8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd58:;1=7;50;2x 815??4$542>65?>2.?=>4>3g9me22=9ki27)79c;3ee1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30b>hf?=0:nno4$84`>67al2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?><3;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>45a3gk<87?mcc9'=3e=9ok?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm33:f>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9:l0bl9;:0``g>">>j08=kj4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1463=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:?k5aa6695gec3-3=o7?ia59j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg561:0:694?:1y'=d5="f?;02><5+473976>13->:?7=8;oc40?7ekl1/5;m53025?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm1g1:>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;>1em::51cae?!?1k3;nmn5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30b>hf?=0:ni>4$84`>67al2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?><7;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>45a3gk<87?md09'=3e=9ok?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm30;6>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;>1em::51cf1?!?1k39:<;5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;14?kg0<3;ih>5+97a95`gd3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a77?5280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>h4n`57>4dc<2.2:n4<1gf8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd58:31=7;50;2x 815??4$542>65?>2.?=>4>3g9me22=9kn>7)79c;3ee1=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;14?kg0<3;ih;5+97a974613`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a5c5c280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?:5aa6695gb03-3=o7?jab9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74n2dj;94>be:8 <0d2:;mh6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb320f?7==3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a723c280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?ho4n`57>4dci2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4?0o1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fe>hf?=0:nim4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>9:9;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>d`hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<66295?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6;o1em::51cff?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c13g2<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38=7co84;3a`c=#1?i1?=4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7a7b280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?h:4n`57>4db82.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd3;;31=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30b>hf?=0:nh<4$84`>67al2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?>=9;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>45a3gk<87?me29'=3e=9ok?7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm33:3>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=9:l0bl9;:0`f0>">>j08=kj4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1471=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:?k5aa6695gc23-3=o7?ia59j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198ygd?>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86><4n`57>4db>2.2:n4n8e9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg55?l0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7?o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;:997?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:01e?kg0<3;ii55+97a95cg33`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi??9l:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956`hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=03195?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6;o1em::51cgb?!?1k3;mm95f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c113d<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8j6`n7582f`d<,0
    ?id:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f76593;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<=i;oc40?7emj1/5;m51gc7?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;;=36<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=512d8jd1328hnh6*66b805cb5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9<N>i<1/m:<59338 1062:93:6*;12827c=ii>>1=okj;%;5g?7ai=1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b<2dj;94>bdd8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb23g3?7==3:1">>j08==;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`05f>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09h6`n7582fc7<,0
    ??5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f4`0m3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?h4n`57>4da:2.2:n4>e``8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd6n?o1=7;50;2x 815??4$542>65?>2.?=>4=d:lb31<6jo90(48l:0gbf>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj=;o:7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:208jd1328hm86*66b874=?5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8h>=51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a1=ii>>1=oh:;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b<2dj;94>bg48 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2de4?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7cb5280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?h:4n`57>4da02.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4nhl1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;gg?kg0<3;ijl5+97a975$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?lhn:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019aa=ii>>1=ohm;%;5g?5>1o1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5c?2dj;94>bga8 <0d2=:ij6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj;nn;7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2f4?kg0<3;iji5+97a96g423`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a7<>0280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?i94n`57>4dam2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=f8595?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4l>1em::51cde?!?1k38oj95f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;;4?kg0<3;h<=5+97a96a`33`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a045c280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891ho5aa6695f663-3=o7:?bg9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b12dj;94>c108 <0d28njj6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb0a3a?7==3:16;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a043?280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891ho5aa6695f633-3=o7:?bg9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b12dj;94>c178 <0d2:8n;6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb32`b?7==3:1=6j;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a77ef280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>i5aa6695f603-3=o7==949j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg47?80:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?77co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e<8?96<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5dc9me22=9j:27)79c;63fc=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c1;43<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3nh7co84;3`4d=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a74?>280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?:5aa6695f6e3-3=o7=>079j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?503gk<87?l0b9'=3e=9lkh7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e;>;j6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5519me22=9j:o7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;30b>hf?=0:o=k4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?h83;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6>0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl;33595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<0j2dj;94>c028 <0d2=9:<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb3f;4?7==3:1">>j09n?;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`763e=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o6`n7582g44<,079:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f174n3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86474n`57>4e6;2.2:n4;0cd8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd5=:l1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c621d<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3327co84;3`50=#1?i18=li;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a045>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?h5aa6695f713-3=o7:?bg9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg55;l0:694?:1y'=d5="f?;02><5+473976>13->:?7=l9:lb31<6k8=0(48l:23e`>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb0df1?7=<3:14>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi8<;;:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019=<=ii>>1=n?6;%;5g?27jo1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b379c82>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?503gk<87?l1`9'=3e=;8:=7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e9o>:6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5369me22=9j;i7)79c;3fef=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c12=a<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39<7co84;3`5f=#1?i1?<>9;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi=k:<:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+401972=ii>>1=n?k;%;5g?7bij1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg51ml0:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<6k8o0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb24f`?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a72>>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?i74n`57>4e582.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd5=m>1=7;50;2x 815??4$542>65?>2.?=>4m4:lb31<6k;;0(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;?o?7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:5g8jd1328i9>6*66b815665<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9j:l51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a<=ii>>1=n<<;%;5g?4cn=1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b92dj;94>c368 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm1341>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=i;1em::51b06?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb0055<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`2631=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0j>6`n7582g71<,0;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a6a>0280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891:95aa6695f4?3-3=o7849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=83.j;?479198yg4c0<0:694?:1y'=d5="f?;02><5+473976>13->:?7=>;oc40?7d:01/5;m52c06?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;39 d15213;76sm3gd7>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=9;;0bl9;:0a1e>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th?<N>i<1/m:<59338 1062:93:6*;128264=ii>>1=n50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg5c;>0:694?:1y'=d5="f?;02><5+473976>13->:?7?=1:lb31<6k;i0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb2f7b?7=<3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi?i8m:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019577hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd4l1?1=7:50;2x 815??4$542>65?>2.?=>4>209me22=9j8m7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c1g=f<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;9=6`n7582g66<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn>jm1;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>4463gk<87?l309'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e;mi=6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=51338jd1328i8>6*66b807a35<#i>81=5;4n`53>4=5<#i>8144>4;|`0`ad=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:><5aa6695f543-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?7592dj;94>c268 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj:o;97?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:002?kg0<3;h?85+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a7`5d280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891=??4n`57>4e4>2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=76:&756<6:81em::51b14?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;315>hf?=0:o>64$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f6c0j3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<>;oc40?7d;01/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm3d;3>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=9;;0bl9;:0a0e>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th8il;51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128264=ii>>1=n=m;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg5bjh0:694?:1y'=d5="f?;02><5+473976>13->:?7?=1:lb31<6k:i0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb2g`e?7=<3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi?hm6:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`ehj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:&756<6:81em::51b1e?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;315>hf?=0:o9>4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f6`503;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<>;oc40?7d<81/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm3g1g>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=9;;0bl9;:0a76>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th:>>:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a4=ii>>1=n:<;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn<<<3;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>d450:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b92dj;94>c578 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm1315>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=i;1em::51b65?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2aeg?7=<3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?nhn:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`ehj:18'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl;01a95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6:81em::51b6:?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;315>hf?=0:o9o4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f6`c93;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<>;oc40?7d7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm3gcf>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=9;;0bl9;:0a7g>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th:mk<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280``=ii>>1=n:k;%;5g?7fl11b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?413gk<87?l4d9'=3e=9hn37d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm1b;5>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;mo0bl9;:0a7b>">>j0:o:m4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`2g<2=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09:6`n7582g06<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f61b<3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>j8;oc40?7d=81/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm36g0>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:880bl9;:0a66>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0a4e=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09j6`n7582g05<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6c6l3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86<<>;oc40?7d==1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;29 d15213;76sm3g2`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:o1em::51b76?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1e4a<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;9=6`n7582g00<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4?:%c46?>>821vn>h:4;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7`hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<6:81em::51b7;?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;0e?kg0<3;h945+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?k9>:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019577hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214290/m:<58828?xd4n1i1=7;50;2x 815??4$542>65?>2.?=>4=f:lb31<6ko4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:l3h7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:002?kg0<3;h9n5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a7a60280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>k5aa6695f3c3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5c810:694?:1y'=d5="f?;02><5+473976>13->:?7?=1:lb31<6ko4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87283>!g0:322<65rb53;1?7=<3:1">>j0?4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th8=5l51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;12803>hf?=0:o;>4$84`>677>2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f4`493;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>94n`57>4e192.2:n4>e`a8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl<19;95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4?2dj;94>c708 <0d2:;;:6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj8l9j7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:258jd1328i=?6*66b82ade4<#i>81=5;4n`53>4=4<#i>8144>4;|`2eae=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09:6`n7582g32<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f4e?83;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?84n`57>4e1=2.2:n4>c6a8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd491=1=7:50;2x 815??4$542>65?>2.?=>4<7:lb31<6k?<0(48l:2332>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb0d1`?7=<3:1">>j0:ilm4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th8=5;51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;12803>hf?=0:o;64$84`>677>2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f4`5j3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>94n`57>4e112.2:n4>e`a8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl<19195?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4?2dj;94>c7c8 <0d2:;;:6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj8l957?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:258jd1328i=n6*66b82ade4<#i>81=5;4n`53>4=4<#i>8144>4;|`05=7=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08;6`n7582g3e<,0
    ??6:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>61hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd6l;21=7;50;2x 815??4$542>65?>2.?=>4=6:lb31<6k?o0(48l:0abe>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj8h3>7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:348jd1328i=j6*66b82f524<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th855651;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12810>hf?=0:o:>4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>j<2;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>4463gk<87?l709'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e9mhh6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53cg8jd1328i<>6*66b82`d`4<#i>81=5;4n`53>4=5<#i>8144>4;|`2`gg=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08h45aa6695f143-3=o7?kag9j7cc=83.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg7cj10:694?:1y'=d5="f?;02><5+473976>13->:?7jm;oc40?7d?=1/5;m51ece?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;29 d15213;76sm1e`5>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l30bl9;:0a41>">>j0:hlh4i2df>4<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`2g40=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08nh5aa6695f113-3=o7?l089j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5c12dj;94>c658 <0d28i;56g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb0a26?7=<3:1">>j0:o=74i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86=4+a609<<6<3th:o<>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a<=ii>>1=n96;%;5g?7d801b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b37e082>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bl2dj;94>c6c8 <0d2=:356g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2aej:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f=5$`51>=?732wi?kon:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+4019577hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214290/m:<58828?xd4nh=1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91=7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;315>hf?=0:o:k4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f6`di3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kl;oc40?7d?o1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;ooi6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=51338jd1328i3<6*66b807a34<#i>81=5;4n`53>4=5<#i>8144>4;|`0b`>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08in5aa6695f>63-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=93.j;?479198yg278:0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=jc:lb31<6k180(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:n9i7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:002?kg0<3;h4>5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a7a4e280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hm4n`57>4e?<2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214280/m:<58828?xd4?ll1=7;50;2x 815??4$542>65?>2.?=>47)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;f5?kg0<3;h4;5+97a95db?3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a5dc0280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hj4n`57>4e??2.2:n4>ae:8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214280/m:<58828?xd6k1n1=7:50;2x 815??4$542>65?>2.?=>4k6:lb31<6k120(48l:0a4g>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87283>!g0:322<65rb0a;f?7==3:14>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7<34280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?oh4n`57>4e?i2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl<94095?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4il1em::51b:a?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1450<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39n<6`n7582g=e<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f617l3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>k?;oc40?7d0m1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;>:>6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53d28jd1328i3i6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8:kj51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a5=ii>>1=n6i;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b37d982>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bi2dj;94>c828 <0d2=:356g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2g`2?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7`e5280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hm4n`57>4e>:2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4l9o1=7:50;2x 815??4$542>65?>2.?=>4kb:lb31<6k090(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb2df0?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7c`a280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?ho4n`57>4e>=2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4l;=1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fg>hf?=0:o494$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>j;2;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?l999'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3e7b>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;lk0bl9;:0a:=>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0`20=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa6695f?f3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5c190:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ja:lb31<6k0h0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:nj97?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;h5n5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?iln:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`ghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:&756<4mh1em::51b;f?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1ga1<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nm6`n7582g<`<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6ba13;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7di91/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;l9;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53dc8jd1328ij=6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8i9;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280ad=ii>>1=no=;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bi2dj;94>c`18 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2g5b?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7`>3280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?ho4n`57>4ef=2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4m031=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fe>hf?=0:ol94$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>kk6;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cf3gk<87?la99'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3dga>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;lk0bl9;:0ab=>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0b4e=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa6695fgf3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5a;80:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ja:lb31<6khh0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:3f8jd1328ijo6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8:h<51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1281`>hf?=0:olj4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>8kc;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7bhj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl<6e495?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5l2dj;94>c`d8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb24g4?7==3:1">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`02fg=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:09h6`n7582gg7<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f60d<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?j4n`57>4ee:2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4>ko1=7;50;2x 815??4$542>65?>2.?=>4=d:lb31<6kk90(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:3f8jd1328ii86*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th??9l51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12812>hf?=0:oo;4$84`>65c<2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>9=a;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>72hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl;19:95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756cc58 <0d2=:ij6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb5050?7==3:1">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`74f`=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08h45aa6695fd>3-3=o7:?bg9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5ak80:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7:6;oc40?7djh1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;k?o6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5dc9me22=9jhi7)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c1:7a<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38><6`n7582gge<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6d283;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>l4n`57>4eel2.2:n4<98d8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd38ki1=7;50;2x 815??4$542>65?>2.?=>4=d:lb31<6kko0(48l:52;=>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;;m=7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:b68jd1328iij6*66b815665<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th9;;o51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a1=ii>>1=nm?;%;5g?46;91b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b<2dj;94>cb38 <0d2;;8<6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb356`?7==3:1<=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7615280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hj4n`57>4ed;2.2:n4<2d58m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd59831=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f`>hf?=0:on;4$84`>64b?2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn??>7;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cc3gk<87?lc79'=3e=:92n7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm324f>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;ln0bl9;:0a`3>">>j08>h94i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`1543=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08ii5aa6695fe?3-3=o7849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5>;k0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=j3:lb31<6kj30(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj=83<7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:858jd1328ihm6*66b874=?4<#i>81=5;4n`53>4=4<#i>8144>4;|`2a4e=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o45aa6695fee3-3=o7?kag9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5d12dj;94>cba8 <0d28i;56g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj:;;87?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:3f8jd1328ihh6*66b81bc44<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8n:l51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128gg>hf?=0:onk4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>l8a;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cd3gk<87?lcg9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3c:3>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=lj1em::51bf3?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1a3c<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39no6`n7582ga7<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6d2<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86im4n`57>4ec:2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4j<91=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=8=94>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;12?kg0<3;hh95+97a906773`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a760d280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>;5aa6695fb23-3=o7==e69j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg469:0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<9;oc40?7dl?1/5;m521:f?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;:<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5279me22=9jn<7)79c;11a2=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;05?kg0<3;hh55+97a965>b3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?>87:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401963=ii>>1=nj6;%;5g?55m>1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370g82>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?413gk<87?ld`9'=3e=:92n7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3245>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:?1em::51bfa?!?1k399i:5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c024a<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38=7co84;3``f=#1?i1>=6j;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7603280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>;5aa6695fbc3-3=o7==e69j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg468k0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<9;oc40?7dll1/5;m521:f?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;:<96<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5279me22=9jnm7)79c;11a2=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;05?kg0<3;hi=5+97a965>b3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?>9j:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401963=ii>>1=nk>;%;5g?55m>1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b372482>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?413gk<87?le39'=3e=:92n7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm325`>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:?1em::51bg0?!?1k399i:5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0266<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38=7co84;3`a1=#1?i1>=6j;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a761f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>;5aa6695fc23-3=o7==e69j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg46:80:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7<9;oc40?7dm?1/5;m521:f?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;:=36<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5279me22=9jo<7)79c;11a2=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;05?kg0<3;hi55+97a965>b3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?>99:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401963=ii>>1=nk6;%;5g?55m>1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371e82>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?413gk<87?le`9'=3e=:92n7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3257>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:?1em::51bga?!?1k399i:5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c025g<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38=7co84;3`af=#1?i1>=6j;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7607280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?hj4n`57>4ebl2.2:n4<2d58m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd599=1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1g=>hf?=0:ohh4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>978;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7703gk<87?lf19'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3g`7>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;li0bl9;:0ae5>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0bag=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08il5aa6695f`53-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5al00:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=jc:lb31<6ko90(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:o<;7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2gb?kg0<3;hj95+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?h99:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`2hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=76:&756<4mh1em::51bd5?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1f:81/8;?532:5?!26;39n86`n7582gc1<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6cf93;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>kn;oc40?7dn11/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;lk;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53d68jd1328im56*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8io=51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a1=ii>>1=nhn;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5bi2dj;94>cg`8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2g`3?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7`bb280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?ho4n`57>4eal2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4mmn1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1fe>hf?=0:okh4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>ki2;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c33gk<87?k019'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3g07>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;lk0bl9;:0f35>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0b75=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i95aa6695a653-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5a;00:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=ja:lb31<6l990(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:l847?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g7?kg0<3;o<95+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?:o7:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956`hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<94595?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k81em::51e25?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1:13<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39o46`n7582`51<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f60a>3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>94n`57>4b702.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl;1e;95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4mj1em::51e2:?!?1k3>;445f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c62a7<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;8n6`n7582`5g<,079:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f17b;3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;864k4n`57>4b7j2.2:n4;09;8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4?031=7:50;2x 818:j4$542>65?>2dj;94>d1a8 <0d2:9o96g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a72?f28086=4?{%;b7?21i2Bj9i5G9`78 d15208:7):91;10<3=#<891jl5aa6695a6c3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg501k0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=l6:lb31<6l9o0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:i?h7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:0f3b>">>j08?i;4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg5d"f?;02><5+473976>13->:?7=na:lb31<6l8:0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb2a7b?7=<3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi?n:n:087>5<7s-3j?7:8f:Jb1a=O1h?0(l9=:55g?!2193984;5aa6695a753-3=o7=i0?:0;6)o82;::4>=zj:i?n7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<3;o=>5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a7f2d280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>4b6<2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl=76:lb31<6l8?0(48l:21g1>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi?n:7:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dg6:&:2f<4;m?0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd4k=31=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c1`01<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;o=55+97a976b23`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=76:&756<4ih1em::51e3:?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:h65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f6?303;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>b:&:2f<4;m?0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c1:0<<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38:h6`n7582`4e<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6?3i3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>li;oc40?7c9m1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm3844>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=9m;n7)79c;10`0=n;oo1<7*n73874==57>5$`51><3e32e<;>4?:%c46?>>821vn>798;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>61f:&:2f<4;m?0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd41?31=7;50;2x 815??4$542>65?>2.?=>4l4:lb31<6l;:0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;=>=7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:0f15>">>j09=>>4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg40=;0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7?=2:lb31<6l;80(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;=>?7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:858jd1328n9?6*66b815664<#i>81=5;4n`53>4=4<#i>8144>4;|`131g=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:h?:4$84`>77482c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045==91em::51e06?!?1k38:?=5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c040f<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3?;7co84;3g63=#1?i1><=?;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a6637280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=i<8;%;5g?46;91b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3165?7==3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`1704=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08mo5aa6695a4>3-3=o7<>319j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg44l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?k2`9'=3e=:89;7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`171g=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0hi6`n7582`7d<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f753j3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;867co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e::?86<4;:183!?f;3>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f752<3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;865>4n`57>4b5m2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5;815??4$542>65?>2.?=>4>229me22=9m8m7)79c;0275=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3g75=#1?i1?>j:;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd41><1=7:50;2x 815??4$542>65?>2.?=>4<7:lb31<6l:;0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb2;43?7=<3:1">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th854:51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7582`65<,0
    =k5:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;03=6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`f8jd1328n886*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th854951;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g6=ii>>1=i=:;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?7c;?1/5;m52013?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th99il51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12827d=ii>>1=i=8;%;5g?46;91b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5en2dj;94>d2:8 <0d2;;8<6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zjkko6<4;:183!?f;3>!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:afdc=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0on6`n7582`6g<,04>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732winlh51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128gg>hf?=0:h>l4$84`>d>c3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?:8m:087>5<7s-3j?7:8f:Jb1a=O1h?0(l9=:55g?!2193984;5aa6695a5d3-3=o7=i0?:0;6)o82;::4>=zj:==o7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:348jd1328n8h6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8;;j51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12867>hf?=0:h>k4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>4b4n2.2:n4<2878m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f6>hf?=0:h9>4$84`>64>=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c73gk<87?k409'=3e=;;3>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm21:a>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=9m>97)79c;037f=n;oo1<7*n73874==57>5$`51><3e32e<;>4?:%c46?>>821vn?>7c;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c53gk<87?k429'=3e=:99h7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm21:g>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l:0bl9;:0f70>">>j09<>m4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`760e=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:h9;4$84`>16?12c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:0f72>">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th?>8k51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12864>hf?=0:h994$84`>16?12c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn9<:8;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>4b302.2:n4;09;8m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=9944>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1be>hf?=0:h974$84`>16?12c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f142i3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;868>4n`57>4b3i2.2:n4;09;8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd3:<>1=7:50;2x 818:j4$542>65?>2dj;94>d5`8 <0d2=:356g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a0732280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?lo4n`57>4b3k2.2:n4;09;8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl;24495?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<282dj;94>d5f8 <0d2=:356g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb507g?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94>d5d8 <0d2=:356g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj=8?i7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:428jd1328n><6*66b874=?5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th?>8>51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7582`07<,079:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e<;?:6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd1328n>>6*66b874=?5<#i>81=5;4n`53>4=5<#i>8144>4;|`7604=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0><6`n7582`05<,079:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f14383;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c6104<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39jm6`n7582`03<,079:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn9<;2;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>06hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl;20695?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<6l<=0(48l:52;=>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi8??::087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197dghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd3:8<1=7;50;2x 815??4$542>65?>2.?=>4:0:lb31<6l<30(48l:52;=>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj=;n97?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:0f6e>">>j0?<574i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg26m?0:694?:1y'=d5="f?;02><5+473976>13->:?7=na:lb31<6lo4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb53f3?7==3:1">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`75`?=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:h8j4$84`>16?12c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;hk0bl9;:0f6a>">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th?=hl51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12864>hf?=0:h8h4$84`>16?12c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?9;e;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>4b182.2:n4=1228m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;;4?kg0<3;o:<5+97a964573`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a6237280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891nk5aa6695a053-3=o7<>319j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg42880:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?k629'=3e=:89;7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1154=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o6`n7582`32<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f737;3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>94n`57>4b1=2.2:n4=1228m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl;27795?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<6l?<0(48l:52;=>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi8?89:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd3:?=1=7;50;2x 815??4$542>65?>2.?=>4:0:lb31<6l?20(48l:52;=>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj=8=<7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:0f5=>">>j0?<574i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg25>80:694?:1y'=d5="f?;02><5+473976>13->:?7=m8:lb31<6l?k0(48l:52;=>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb5056?7==3:1">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`75cc=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:h;m4$84`>16?12c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;k20bl9;:0f5`>">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th?>=>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;12864>hf?=0:h;k4$84`>16?12c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn9?ia;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>4b1n2.2:n4;09;8m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:jo4>:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1a<>hf?=0:h:>4$84`>16?12c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f17ak3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;868>4n`57>4b092.2:n4;09;8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd39o<1=7:50;2x 818:j4$542>65?>2dj;94>d608 <0d2=:356g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a04`0280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?o64n`57>4b0;2.2:n4;09;8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl;1g:95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<282dj;94>d668 <0d2=:356g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb53e6?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b37f282>1<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5e02dj;94>d648 <0d2=:356g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj=;m87?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:428jd1328n<;6*66b874=?5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th?=hk51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7582`2><,079:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e<8om6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53c:8jd1328n<56*66b874=?5<#i>81=5;4n`53>4=5<#i>8144>4;|`75c6=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0><6`n7582`2g<,079:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f14703;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c614<<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39i46`n7582`2e<,079:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn94;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6da3gk<87?k7e9'=3e=<9227d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e<;:86<4;:183!?f;3>;445f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f147<3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>l7;oc40?7c?o1/5;m541::?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm4326>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;kl0bl9;:0f;4>">>j0?<574i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th9jhm51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7582`=7<,07:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e:ooo6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53b28jd1328n3>6*66b81f724<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th9jhk51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g5=ii>>1=i6<;%;5g?4e:=1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?7c0=1/5;m52c07?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9jo:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g5=ii>>1=i6:;%;5g?4e:=1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d82dj;94>d948 <0d2;h986g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb3dbb?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5d82dj;94>d9:8 <0d2;h986g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb3da5?7==3:1o<;;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6cge280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=i6n;%;5g?4e:=1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3dbg?7==3:1o<;;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6cgc280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n>4n`57>4b?k2.2:n4=b368m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd5nh=1=7:50;2x 818:j4$542>65?>2dj;94>d9f8 <0d2;h986g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a6cg?280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?n>4n`57>4b?m2.2:n4=b368m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd5nh31=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=4>:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3g=5=#1?i1>o<;;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd5nh>1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`4>hf?=0:h4<4$84`>7d5<2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>4b>;2.2:n4>c`c8m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`2>hf?=0:h4:4$84`>4efi2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>c?hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl>b0;95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<6l0<0(48l:0`30>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi=o?n:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197f00e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>b0`95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756d8:8 <0d28h;86g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb25b0?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?503gk<87?k9`9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e;>k=6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5629me22=9m3i7)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c0ef<<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;o5n5+97a96g433`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=fcc95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756d8f8 <0d2;h986g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj;lin7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2a3?kg0<3;o5h5+97a96g433`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi>kl9:087>5<7s-3j?7:8f:Jb1a=O1h?0(l9=:55g?!2193984;5aa6695a?a3-3=o7i0?:0;6)o82;::4>=zj;li;7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:e`8jd1328nj<6*66b81f724<#i>81=5;4n`53>4=4<#i>8144>4;|`1bg>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08o=5aa6695ag63-3=o7849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg4akl0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?ka39'=3e=:k8?7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`1bf`=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0on6`n7582`d5<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn?hk0;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6e73gk<87?ka59'=3e=:k8?7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm2gaa>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=9mk>7)79c;0a61=n;oo1<7*n73874==57>5$`51><3e32e<;>4?:%c46?>>821vn?hlc;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>ad0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd5njn1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3ge==#1?i1>o<;;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd5nj31=7:50;2x 815??4$542>65?>2.?=>4kb:lb31<6lh30(48l:3`10>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb3d`e?7==3:1o<;;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6ce2280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=iom;%;5g?4e:=1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3d`2?7=<3:1">>j09n?:4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th9jn951;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280g5=ii>>1=iok;%;5g?4e:=1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?7cil1/5;m52c07?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th9jn>51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;128gf>hf?=0:hlh4$84`>7d5<2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f7`d93;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>m?;oc40?7cj91/5;m52c07?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e:ohh6<4;:183!?f;3>95f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f7`el3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86il4n`57>4be:2.2:n4=b368m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=fcg95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4k91em::51e`0?!?1k38i>95f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c6161<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;on95+97a905>>3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl;23795?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756dc78 <0d2=:356g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb5012?7==3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a0747280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=il8;%;5g?27001b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb5015?7==3:1">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`7674=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:099>5aa6695ad>3-3=o7:?889j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg259j0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?kb`9'=3e=<9227d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`764b=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0mo6`n7582`gd<,079:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f146m3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?;<;oc40?7cjj1/5;m541::?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e<;;36<4;:183!?f;3>;445f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f14613;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86km4n`57>4bem2.2:n4;09;8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd3:8k1=7;50;2x 815??4$542>65?>2.?=>4=529me22=9mhm7)79c;63<<=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=9==4>:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3gg5=#1?i18=66;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd3:8;1=7;50;2x 815??4$542>65?>2.?=>4ic:lb31<6lj;0(48l:52;=>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj=8:>7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:370?kg0<3;oo?5+97a905>>3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi8?>l:087>5<7s-3j?7:8f:Jb1a=O1h?0(l9=:55g?!2193984;5aa6695ae43-3=o7:?889j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj=8;h7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:ga8jd1328nh86*66b874=?5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th?>=k51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128116=ii>>1=im:;%;5g?27001b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?7ck?1/5;m541::?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th?>9751;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128eg>hf?=0:hn94$84`>16?12c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn9<;a;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7343gk<87?kc99'=3e=<9227d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm4367>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=9mi27)79c;63<<=n;oo1<7*n73874==57>5$`51><3e32e<;>4?:%c46?>>821vn9<;5;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>cehj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl;25495?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<5=:1em::51eaa?!?1k3>;445f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c617f<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;oon5+97a905>>3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl;22f95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756dbf8 <0d2=:356g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb500a?7==3:15$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a075?280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=imi;%;5g?27001b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb500=?7==3:1">>j0?<574i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`766g=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:099>5aa6695ab63-3=o7:?889j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg25;=0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?kd39'=3e=<9227d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`7663=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0mo6`n7582`a5<,079:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f144>3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86?;<;oc40?7cl=1/5;m541::?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e<;9;6<4;:183!?f;3>;445f3gg94?"f?;0?<554i2de>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f14493;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86km4n`57>4bc>2.2:n4;09;8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd3::81=7;50;2x 815??4$542>65?>2.?=>4=529me22=9mn<7)79c;63<<=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=9>n4>:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3g`==#1?i18=66;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd3:;n1=7;50;2x 815??4$542>65?>2.?=>4ic:lb31<6lm30(48l:52;=>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj=89i7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:370?kg0<3;ohl5+97a905>>3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi8?<7:087>5<7s-3j?7:8f:Jb1a=O1h?0(l9=:55g?!2193984;5aa6695abe3-3=o7:?889j7cc=83.j;?4;0998m6`a290/m:<541;8?l?213:1(l9=:87a?>i0?:0;6)o82;::4>=zj=8957?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:ga8jd1328noo6*66b874=?5<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th?>?o51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128116=ii>>1=ijk;%;5g?27001b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?7cll1/5;m532f6?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th8hN>i<1/m:<59338 1062:93:6*;12812>hf?=0:hih4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>j>d;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>23hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd4kl91=7:50;2x 818:j4$542>65?>2dj;94>dd38 <0d2:9o96g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a7fc3280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?o64n`57>4bb:2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:08'e24=00:07pl=76:&756<4m11em::51eg0?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1```<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;oi95+97a976b23`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=76:&756<4j11em::51eg6?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f<>hf?=0:hh84$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>mk9;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>4bb?2.2:n4<3e78m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1a<>hf?=0:hh64$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f6ecj3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>k7;oc40?7cm01/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;jn?6<4;:183!?f;3>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f6ec=3;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>l7;oc40?7cmk1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;39 d15213;76sm3bf5>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l20bl9;:0ffg>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0gf`=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:hhj4$84`>65c=2c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;k20bl9;:0ffa>">>j08?i;4i2df>4<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86<4+a609<<6<3th8oi?51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a==ii>>1=iki;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?7cn91/5;m532f6?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th8on?51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280f==ii>>1=ih>;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=93.j;?479198yg5dk;0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=j8:lb31<6lo80(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:i357?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:0fe7>">>j08?i;4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg5d0h0:694?:1y'=d5="f?;02><5+473976>13->:?7=m8:lb31<6lo>0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87282>!g0:322<65rb2a;f?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7f35280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=ih9;%;5g?54l<1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb2a67?7=<3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f==?732wi?n;;:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:lb31<6lo30(48l:21g1>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi?nkj:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd4kll1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3gbf=#1?i1?>j:;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd4kl31=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c1`ad<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39n46`n7582`cc<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6edi3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c1`gg<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39i46`n7582a56<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn>mlc;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c?3gk<87?j009'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3ba6>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=9l:97)79c;10`0=n;oo1<7*n73874==57>5$`51><3e32e<;>4?:%c46?>>821vn>ml6;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6d?3gk<87?j029'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e;ji<6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53d:8jd1328o;86*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8ool51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7582a53<,0
    =k5:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;jhh6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53c:8jd1328o;:6*66b807a34<#i>81=5;4n`53>4=4<#i>8144>4;|`0ggb=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i55aa6695`603-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5dj?0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?j099'=3e=;:n>7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`0gg1=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08n55aa6695`6>3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b02dj;94>e1c8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2aa5?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5e02dj;94>e1a8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj:ii?7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g;?kg0<3;n5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?nol:087>5<7s-3j?7:8f:Jb1a=O1h?0(l9=:55g?!2193984;5aa6695`6b3-3=o7=i0?:0;6)o82;::4>=zj:ijh7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2`;?kg0<3;n4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a7fgb280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?h64n`57>4c682.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4kh=1=7:50;2x 818:j4$542>65?>2dj;94>e038 <0d2:9o96g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a7fg?280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?o64n`57>4c6:2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=76:&756<4m11em::51d30?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1`e7<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<3;n=95+97a976b23`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=76:&756<4j11em::51d36?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1f<>hf?=0:i<84$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>m6d;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>4c6?2.2:n4<3e78m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:583>5}#1h918;j4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1a<>hf?=0:i<64$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f6e>n3;197>50z&:e6<3?91Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>k7;oc40?7b901/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;j336<4;:183!?f;3>5<#i>818=74;h;6=?6=,h=964;m;:m436<72-k<>7660:9~f6e>13;187>50z&:e6<3>m1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86>l7;oc40?7b9k1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm3b;b>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l20bl9;:0g2g>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0g<5=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=0:i65c=2c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;k20bl9;:0g2a>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th8o4;51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280a==ii>>1=h?i;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?7b:91/5;m532f6?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th8o5h51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280f==ii>>1=h<>;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg5d190:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7=j8:lb31<6m;80(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:i387?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:0g17>">>j08?i;4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg5d0<0:694?:1y'=d5="f?;02><5+473976>13->:?7=m8:lb31<6m;>0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976a87282>!g0:322<65rb2a;2?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7f1a280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1=h<9;%;5g?54l<1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb2a;4?7=<3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?n6>:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=76:lb31<6m;30(48l:21g1>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi?n9m:087>5<7s-3j?7:9d:Jb1a=O1h?0(l9=:802?!2193984;5+40197g>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd4k>i1=7;50;2x 815??4$542>65?>2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3f6f=#1?i1?>j:;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd4k><1=7:50;2x 815??4$542>65?>2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c1`32<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39n46`n7582a7c<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6e083;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c1`34<62=0;6=u+9`1903b<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39i46`n7582a66<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn>m82;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6c?3gk<87?j309'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3b4a>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=9l997)79c;10`0=n;oo1<7*n73874==57>5$`51><3e32e<;>4?:%c46?>>821vn>m9c;390?6=8r.2m>4;6e9Ke0b<@0k>7)o82;;15>"3>808?584$530>6d?3gk<87?j329'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e;j<<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53d:8jd1328o886*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8o;851;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7582a63<,0
    =k5:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e;j<<6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53c:8jd1328o8:6*66b807a34<#i>81=5;4n`53>4=4<#i>8144>4;|`0g3>=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i55aa6695`503-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5d>80:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87?j399'=3e=;:n>7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`0g34=93>1<7>t$8c0>10c3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08n55aa6695`5>3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5b02dj;94>e2c8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2a6g?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5e02dj;94>e2a8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj:i>i7?55;294~">i:0?;=5Ga4f8L77=1:&724<4;1<0(9?<:2g;?kg0<3;n?i5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?n;8:087>5<7s-3j?7:8f:Jb1a=O1h?0(l9=:55g?!2193984;5aa6695`5b3-3=o7=i0?:0;6)o82;::4>=zj:i>47?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2`;?kg0<3;n?k5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a7f3>280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891?h64n`57>4c382.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5;==1=7:50;2x 818:j4$542>65?>2dj;94>e538 <0d2;;8<6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a662?280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891==>4n`57>4c3:2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5;=31=7;50;2x 815??4$542>65?>2.?=>4i4:lb31<6m=90(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;9?h7?54;294~">i:0?;k5Ga4f8L7:8d:&724<4;1<0bl9;:0g70>">>j09=>>4i2df>5<#i>818=64;h1eb?6=,h=969>6;:k:1<<72-k<>77:b:9l325=83.j;?479198yg44:0Dl;k;I;b1>"f?;02><5+473976>13->:?79j;oc40?7b<<1/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e::>m6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=5fd9me22=9l>=7)79c;0275=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=4>:583>5}#1h918:h4H`7g?M?f=2.j;?4;7e9'037=;:2=7co84;3f02=#1?i1><=?;h1ea?6=,h=969>7;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xd51=7:50;2x 815??4$542>65?>2.?=>4>929me22=9l>37)79c;0275=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c07b0<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39nm6`n7582a1?<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f7?3=3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f622?3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f62613;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f63b?3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f63b13;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f63bj3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f63bl3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f63bn3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f63a93;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f63a;3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f63a=3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f7>5n3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f71b?3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f7>7k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f71>13;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f71>j3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f757n3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f77cj3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74bj3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74d?3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74?:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74?83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f740k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f740i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74003;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f740>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f740<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f740:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74083;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f741m3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f741k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f741i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f741>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f741<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f741:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74183;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f742m3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f742k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f742i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74203;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f742>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f742<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74>83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74?m3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74?k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74?i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74?03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74?>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74?<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f740m3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f74103;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75fk3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75fi3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75em3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75ek3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75ei3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75e03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75e>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75e<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75e:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75e83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75fm3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75ci3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75c03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75bk3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75bi3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75b03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75b>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75b<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75b:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75b83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75cm3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75ck3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f750i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75003;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f750>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f750<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f750:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75083;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75?k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75?i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75?03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75?>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75?<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75?:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f75?83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f750m3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f750k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f72703;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f727>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f726i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f72603;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f726>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f726<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f726:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f72683;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f727m3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f727k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f727i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4bc83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4e5i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66><3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66>>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66>03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66>i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66>k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66>m3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66f83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66f:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66f<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66>:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f662?3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f66003;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f646j3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f646l3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f646n3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f64593;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f645;3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f645=3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f645?3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f64513;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f645j3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f647n3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f64693;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f646;3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f646=3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f646?3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f64613;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f657=3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f657?3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f65713;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f657j3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f657l3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f657n3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f65693;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f656;3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f656=3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f64a13;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f64aj3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f64al3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f64an3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f65793;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f657;3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f675<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f675>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f67503;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f675i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f675k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f675m3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f67483;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f674:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f674<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f67603;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f676i3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f676k3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f676m3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f67583;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f675:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f7de<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f7edj3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`d:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`d<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`d>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`d03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`di3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`dk3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`dm3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`c83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`c:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`e>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`e03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`ei3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`ek3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`em3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4`d83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76fk3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76fm3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76e83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76e:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76e<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76e>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76e03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76ei3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76ek3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76f83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76f:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76f<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76f>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76f03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f76fi3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cdi3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cdk3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cdm3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cc83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cc:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cc<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cc>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cc03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=0hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cci3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=00:&:2f<6mhi0e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cem3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=01:&:2f<6mhi0e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cd83;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=02:&:2f<6mhi0e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cd:3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=03:&:2f<6mhi0e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cd<3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=04:&:2f<6mhi0e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cd>3;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=05:&:2f<6mhi0e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~f4cd03;1>7>50z&:e6<3=?1Cm8j4H8c6?!g0:38;o6*;60807=06:&:2f<6mhi0e>hk:18'e24=<9=07b983;29 d15213;7Eo81:9~fd>028096=4?{%;b7?22>2Bj9i5G9`78 d152;:h7):91;10<3=ii>>1=k?8;%;5g?g0i2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j<64$84`>71??2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j<74$84`>71??2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j71??2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j71??2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j16?12c8ji4?:%c46?27?21d;:=50;&b37=9381<7>t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j64>=2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j67cn2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j77482c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?>4$84`>77482c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j??4$84`>77482c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?<4$84`>77482c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?=4$84`>77482c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?:4$84`>77482c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?;4$84`>77482c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?84$84`>764k2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?94$84`>4`?>2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?64$84`>4c2:2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?74$84`>4c2:2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?o4$84`>4bfn2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?l4$84`>4bfn2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?m4$84`>4efi2c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?j4$84`>4e712c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?k4$84`>4e712c8ji4?:%c46?27?21d;:=50;&b37t$8c0>1313Ak>h6F6a49'e24=:9i0(98>:21;2>hf?=0:j?h4$84`>4d7<2c8ji4?:%c46?27?21d;:=50;&b375$`51>=?73Ak<=65rb0;07?7=:3:15$`51>=?73Ak<=65rb3:af?7=:3:16*66b81<=55$`51>=?73Ak<=65rb3f;f?7=:3:15$`51>=?73Ak<=65rb3d43?7=:3:15$`51>=?73Ak<=65rb3c63?7=:3:15$`51>=?73Ak<=65rb0;05?7=;3:15$`51>4>23gk<<7?4;n547?6=,h=9657?;:ae52=83>1>7:t$8c0>13f3Ak>h6F6a49'037=;:2=7co84;3e72=#1?i1=?6m;h1e`?6=3`3257>5;n547?6=3">>57>5;c636?7=:3:181>=m4H57a?!22l3>;;6*;60807=0hk:18'e24=<9=07b983;29 d15213;76sm89f95?5=83:p(4o<:9:g?!g0:332i6F;5c9'00b=00:0(98>:21;2>hf?=0:j>74$84`>44?j2c2544?:%c46?4382Bj;<54i2dg>5<#i>818=94;n547?6=@03m7)o82;::4>=z{0326=4={_;:=>;?0m02545rs2dg>5<5sW9mh6378e80ba=z{>=86=4={_547>;38;0<;>5rs57:>5<4sW>>563;0380ba=:01n1;:=4}|`e=l1/8<=5549'037=;:2=7co84;3e7d=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=0?=83.j;?467298k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;44?6=,h=9649?;I;b0>=zjm<26<47:183!?f;39o96Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=9o9i7)79c;`a5>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07pln0683>1<52=q/5l=544c8Ld3c3A3j96*;60807=0hk:188m2900c:9<:188/13>2900n9>=:081>5<7s-3j?7:?2:&b37<58j1C88l4$57g>1603->==7=<879me22=9o9o7)79c;31=86=4+a609<<6<3th34i4>:283>5}#1h9145j4$`51>>n6*;5e8;=5=#69;oc40?7a;l1/5;m513:a?l?>13:1(l9=:363?Mg0921b?kj50;&b37<38>10c:9<:18K=<`<,h=9657?;:p=12wx?kj50;0xZ6`c3423h7=id:p325=838pR:9<;<636?10;2wx88750;1xZ13>34>;>7=id:?;47)o82;;6a>"39:0>96*;60807=0!g0:335<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e49?:18'e24=1>:0D4o;;:a`20=9321<7>t$8c0>6b23Ak>h6F6a49'e24=1;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=0?=83.j;?467298k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=54;090~">i:0?9l5Ga4f8L==7=<879me22=9o>:7)79c;3191<75$44;94?=e<981=7<50;2x N3=k1/88j54158 1062:93:6`n7582b14<,07:?7:9l325=83.j;?479198yg>?l3;1?7>50z&:e6>h7660:&724<4;1<0bl9;:0d77>">>j0:>5l4i8;:>5<#i>81>9>4H`52?>o4nm0;6)o82;633>=h?>91<7F69g9'e24=00:07p}69883>7}Y1030156k:8;:?xu4nm0;6?uQ3gf89=>c2:lo7p}87283>7}Y?>9019>=:650?xu3=00;6>uQ44;891652:lo7067d;547>{zjo2n6<47:183!?f;39o:6Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=9o>?7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3>57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07plk8282>=<729q/5l=53e78Ld3c3A3j96*n738:1`=#<891985+473976>13gk<87?i449'=3e=jk;0e??>:18'e24=:;?07d<;d;29 d152=:<76g65883>!g0:335<#i>81>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~fd6c290?6?4;{%;b7?22i2Bj9i5G9`78 1062:93:6`n7582b10<,0l;I66f>"3=m0?<:5+473976>13gk<87?i469'=3e=9;2i7d=id;29 d152=:<76a87283>!g0:322<65rb9:g>4<4290;w)7n3;:;`>"f?;025h5G44`8 13c213;7):91;10<3=ii>>1=k:7;%;5g?750k1b54750;&b37<5<91Cm:?4;h1e`?6=,h=969>8;:m436<72A32j6*n738;=5=52z\:=<=:01n15474}r1e`?6=:rT8ji5289f97cb52z\436=:<981;:=4}r66=?6=;rT?945241097cb<512o6:9<;|ab=`=9321<7>t$8c0>6b13Ak>h6F6a49'e24=1;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=i:08h85Ga4f8L77:e:&756<2=2.?:<4<3948jd1328l?m6*66b8af4=n:8;1<7*n738160=o6=4+a609051<3`3>57>5$`51><1432e2>821b>77=2:J:e1=p(4o<:57b?Mg2l2B2m85+473976>13gk<87?i4c9'=3e=9;2i7d=id;29?l?>13:17b983;29?.2213:17o:?2;396?6=8r.2m>4;039'e24=:9i0D9;m;%66`?27?2.?:<4<3948jd1328l?o6*66b826=d5$`51>=?732wi45j51;194?6|,0k8656k;%c46??>m2B?9o5+44f9<<6<,=<:6>=76:lb31<6n=n0(48l:00;f>o>100;6)o82;074>Nf?810e>hk:18'e24=<9=07b983;29L7660:9~w2909wS769:?;101v>hk:181[5al2734i4<=b=?>90qpli9082>=<729q/5l=53e48Ld3c3A3j96*n738:1`=#<891985+473976>13gk<87?i4d9'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~fagf28036=4?{%;b7?5c=2Bj9i5G9`78 d1520?n7):>3;76?!2193984;5aa6695c2a3-3=o7lm1:k154<72-k<>7<=5:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=26=83.j;?46719K=d2<3thj=>4?:581>1}#1h9188o4H`7g?M?f=2.?:<4<3948jd1328l><6*66b826=d5<=86=44+57:>5<;>6*n73814f=O<4?:1y'=d5=01n0(l9=:8;f?M22j2.?9i47919'037=;:2=7co84;3e17=#1?i1=?6m;h;:=?6=,h=96?:?;Ic45>=n;on1<7*n738742==86=4G98d8 d15213;76s|98;94?4|V0327067d;;:=>{t;on1<7?l39mh6s|76194?4|V>=870:?2;547>{t<<31<7=t^57:?827:39mh6378e8436=zukl2>7?58;294~">i:08h;5Ga4f8L77:e:&756<2=2.?:<4<3948jd1328l>?6*66b8af4=n:8;1<7*n738160=5$`51>16032c2944?:%c46??0;21d5=j50;&b3777=2:J:e1==83:p(4o<:2f6?Mg2l2B2m85+a609=0c<,=;868;4$542>65?>2dj;94>f468 <0d2kh:7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:33<<6F6a598yg?c=3:187<54z&:e6<3=h1Cm8j4H8c6?!2193984;5aa6695c323-3=o7?=8c9j7cb=831b54750;9l325=831 88750;9a054=9381<7>t$8c0>1653-k<>765?>2dj;94>f448 <0d2883n6g!g0:3>;;65`76194?"f?;035=54}c:;`?7=;3:18154k4H57a?!22l322<6*;60807=0;:k0ba<72-k<>7:?7:9l325=83B25k5+a609<<6<3ty2544?:3y]=6`c3ty<;>4?:3y]325<5=:96:9<;|q71<<72:qU8874=521>6`c3423h7983:~fc>728036=4?{%;b7?5c>2Bj9i5G9`78 d1520?n7):>3;76?!2193984;5aa6695c3?3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=26=83.j;?46719K=d2<3tho8k4>:983>5}#1h91?i;4H`7g?M?f=2.j;?465d9'045==<1/8;?532:5?kg0<3;m945+97a9fg75$`51>16032c2944?:%c46??0;21d5=j50;&b375$`51><173A3j865rb8f1>5<32;0?w)7n3;66e>Nf=m1C5l;4$542>65?>2dj;94>f4c8 <0d2883n6g>o>100;66a87283>>-3=00;66l;0382>7<729q/5l=54108 d152;:h7E::b:&71a<38>1/8;?532:5?kg0<3;m9o5+97a957>e3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn56k:080>5<7s-3j?767d:&b37<>1l1C88l4$57g>=?73->==7=<879me22=9o?h7)79c;31;07d=id;29 d152=:<76a87283>M?>n2.j;?479198yv?>13:1>vP6989><=b=1030q~=id;296~X4nm1645j53gf8yv10;3:1>vP8729>054=?>90q~::9;297~X3=0168=<53gf89=>c2>=87psmf6d95?>=83:p(4o<:2f5?Mg2l2B2m85+a609=0c<,=;868;4$542>65?>2dj;94>f4f8 <0d2kh:7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i87:>5<#i>815:=4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:33<<6F6a598ygb3:3;147>50z&:e6<4l<1Cm8j4H8c6?!g0:33>i6*;12861>"3>808?584n`57>4`2m2.2:n4mb09j647=83.j;?4=2498m72c290/m:<54158?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598m<17290/m:<59628LN>i<1/8;?532:5?kg0<3;m9k5+97a957>e3`9mh7>5;h;:=?6=3f=5;*66=?6=3k>;>7?52;294~">i:0?"3>808?584n`57>4`182.2:n4>29`8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e01n1=7=50;2x N3=k1/88j58828 1062:93:6`n7582b37<,07<;0:Jb34=5H8;e?!g0:322<65rs8;:>5<5sW3256378e8:=<=z{:lo6=4={_1e`>;?0m08ji5rs650>5<5sW=;38;08ji5289f9325:983>5}#1h91?i84H`7g?M?f=2.j;?465d9'045==<1/8;?532:5?kg0<3;m:?5+97a9fg75$`51>77d32c98i4?:%c46?27?21b58750;&b37<>?:10c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3<<7>5$`51><173A3j865rbe16>4Nf=m1C5l;4$`51><3b3->:?7;:;%655?540?1em::51g40?!?1k3hi=6g=1083>!g0:389965f25f94?"f?;0?<:54i87:>5<#i>815:=4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f96294?"f?;02;=5G9`68?xd>kj0;694=:5y'=d5=<"3>808?584n`57>4`1<2.2:n4>29`8m6`c2900e476:188k2142900'9;6:188f16528096=4?{%;b7?27:2.j;?4=0b9K00d<,=?o69>8;%655?540?1em::51g46?!?1k3;94o5f3gf94?"f?;0?<:54o650>5<#i>8144>4;|`;"3=m035=5+473976>13gk<87?i679'=3e=9;2i7d769;29 d152;>;7Eo81:9j7cb=83.j;?4;0698k214290C54h4$`51>=?732wx54750;0xZ3423h7769:p7cb=838pR>hk;<:;`?5al2wx;:=50;0xZ21434>;>7983:p00?=839pR9;6;<636?5al2734i48729~yg`0l3;147>50z&:e6<4l?1Cm8j4H8c6?!g0:33>i6*;12861>"3>808?584n`57>4`1?2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>=00;6)o82;;47>=h19n1<7*n738;=5=!g0:339>6F6a598m<17290/m:<59628Lj:;Ic6`>N>i<1/m:<594g8 1742:21;2>hf?=0:j;64$84`>gd63`8:=7>5$`51>74232c98i4?:%c46?27?21b58750;&b37<>?:10c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L:21;2>hf?=0:j;o4$84`>44?j2c8ji4?:%c46?27?21d;:=50;&b37478e9'e24=10o0D9;m;%66`?>>82.?:<4<3948jd1328l=n6*66b826=d!g0:3>;;65`76194?N>1o1/m:<58828?xu>100;6?uQ98;89=>c20327p}7}Y;on0156k:2dg?xu0?:0;6?uQ761891652>=87p};5883>6}Y<<3019>=:2dg?8>?l3=4Nf=m1C5l;4$`51><3b3->:?7;:;%655?540?1em::51g4`?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f96294?"f?;02;=5G9`68?xdc9k0:654?:1y'=d5=;m?0Dl;k;I;b1>"f?;029h5+401910=#69;oc40?7a>m1/5;m5bc38m776290/m:<52378?l43l3:1(l9=:524?>o>=00;6)o82;;47>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?083:1(l9=:853?M?f<21vn4m9:187>7<3s-3j?7::a:Jb1a=O1h?0(98>:21;2>hf?=0:j;k4$84`>44?j2c8ji4?::k:=<<722e<;>4?::)71<<722h?:383>5}#1h918=<4$`51>76d3A>>n6*;5e8742=#69;oc40?7a>o1/5;m513:a?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj12o6<4<:183!?f;323h6*n738:=`=O<5$`51>16032e<;>4?:I;:b>"f?;035=54}r;:=?6=:rT2545289f9=52z\0ba=:01n1?kj4}r547?6=:rT<;>524109325>57>53z\71<=:<981?kj4=9:g>2143twij:l51;:94?6|,0k86>j9;Ic6`>N>i<1/m:<594g8 1742:21;2>hf?=0:j:?4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4;6:18'e24=1>907b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L4:5:&724<4;1<0bl9;:0d46>">>j0in<5f20394?"f?;09>854i36g>5<#i>818=94;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i853>5<#i>815:>4H8c7?>{e1o:1<7:52;6x 69;oc40?7a?:1/5;m513:a?l5al3:17d769;29?j10;3:17&::9;29?g27:3;1>7>50z&:e6<38;1/m:<521a8L13e3->>h7:?7:&724<4;1<0bl9;:0d40>">>j0:>5l4i2dg>5<#i>818=94;n547?6=,h=9657?;:a<=b=9391<7>t$8c0>=>c3-k<>776e:J71g=#<4$542>65?>2dj;94>f678 <0d2883n6g69883>!g0:38?<6Fn7098m6`c290/m:<54158?j10;3:1D47i;%c46?>>821v476:181[?>12734i46989~w6`c2909wS=id:?;2908wS::9:?747<4nm1645j57618yxdaik0:654?:1y'=d5=;m<0Dl;k;I;b1>"f?;029h5+401910=#69;oc40?7a??1/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n1<31<7*n738:36=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?083:1(l9=:853?M?f<21vnhk=:08;>5<7s-3j?7=k5:Jb1a=O1h?0(l9=:87f?!26;3?>7):91;10<3=ii>>1=k98;%;5g?de92c9=<4?:%c46?45=21b>9j50;&b37<38>10e4;6:18'e24=1>907b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b5:>50;&b37<>?91C5l:4;|`:aa<72=0969u+9`1900g<@h?o7E7n5:&724<4;1<0bl9;:0d4<>">>j0:>5l4i2dg>5<5<<#=?26=44b521>4<5290;w)7n3;636>"f?;09>1=k96;%;5g?750k1b?kj50;&b37<38>10c:9<:18'e24=00:07pl78e82>6<729q/5l=589f8 d15203n7E::b:&71ae3`3257>5$`51>7273Ak<=65f3gf94?"f?;0?<:54o650>5{t1031<7?l33256s|3gf94?4|V:lo7067d;1e`>{t?>91<7;?0m0<;>5r}cdbe?7=03:14:5:&724<4;1<0bl9;:0d4f>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:1<<72-k<>7783:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i853>5<#i>815:>4H8c7?>{emm?1=7650;2x 7Eo:d:J:e0=#i>8158k4$530>03<,=<:6>=76:lb31<6n>i0(48l:c`2?l4693:1(l9=:306?>o5=n1<31<7*n738:36=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>?90;6)o82;;44>N>i=10qo7ja;290?4=4;5`9Ke0b<@0k>7):91;10<3=ii>>1=k9k;%;5g?750k1b?kj50;9j==;%c46?47k2B?9o5+44f9051<,=<:6>=76:lb31<6n>o0(48l:00;f>o4nm0;6)o82;633>=h?>91<7*n738;=5=i:034i5+a609="3>808?584n`57>4`0n2.2:n4>29`8m290/m:<52528Ld1632c8ji4?:%c46?27?21d;:=50;J:=c=#i>8144>4;|q:=<<72;qU5474=9:g>3ty8ji4?:3y]7cb<512o6>hk;|q436<72;qU;:=4=521>2143ty?944?:2y]00?<5=:96>hk;<:;`?10;2wvnko6:08;>5<7s-3j?7=k6:Jb1a=O1h?0(l9=:87f?!26;3?>7):91;10<3=ii>>1=k6?;%;5g?de92c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b5:>50;&b37<>?91C5l:4;|`fg=<6210;6=u+9`197a3<@h?o7E7n5:&b37<>=l1/8<=5549'037=;:2=7co84;3e<4=#1?i1no?4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:1<<72-k<>7783:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;44?6=,h=9649?;I;b0>=zj0o<6=4;:387!?f;3>>m6Fn5e9K=d3<,=<:6>=76:lb31<6n180(48l:00;f>o4nm0;66g69883>>i0?:0;66%;5883>>d38;0:6?4?:1y'=d5=<980(l9=:32`?M22j2.?9i4;069'037=;:2=7co84;3e<6=#1?i1=?6m;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f=>c28086=4?{%;b7?>?l2.j;?469d9K00d<,=?o657?;%655?540?1em::51g:7?!?1k3;94o5f98;94?"f?;098=5Ga638?l5al3:1(l9=:524?>i0?:0;6E76f:&b37101645j598;8yv5al3:1>vP<=b=;on0q~983;296~X0?:168=<57618yv2213:1?vP;589>054=;on0156k:650?x{enh21=7650;2x 8158k4$530>03<,=<:6>=76:lb31<6n1?0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>?90;6)o82;;44>N>i=10qokmb;3947)o82;;6a>"39:0>96*;60807=0!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e49?:18'e24=1>:0D4o;;:a=`2=83>1>7:t$8c0>13f3Ak>h6F6a49'037=;:2=7co84;3e<2=#1?i1=?6m;h1e`?6=3`3257>5;n547?6=3">>57>5;c636?7=:3:181>=m4H57a?!22l3>;;6*;60807=0hk:18'e24=<9=07b983;29 d15213;76sm89f95?5=83:p(4o<:9:g?!g0:332i6F;5c9'00b=00:0(98>:21;2>hf?=0:j574$84`>44?j2c2544?:%c46?4382Bj;<54i2dg>5<#i>818=94;n547?6=@03m7)o82;::4>=z{0326=4={_;:=>;?0m02545rs2dg>5<5sW9mh6378e80ba=z{>=86=4={_547>;38;0<;>5rs57:>5<4sW>>563;0380ba=:01n1;:=4}|`ee2<6210;6=u+9`197a0<@h?o7E7n5:&b37<>=l1/8<=5549'037=;:2=7co84;3e5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=0?=83.j;?467298k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;44?6=,h=9649?;I;b0>=zjlkn6<47:183!?f;39o96Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=9o2i7)79c;`a5>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07pl6e083>1<52=q/5l=544c8Ld3c3A3j96*;60807=0hk:188m2900c:9<:188/13>2900n9>=:081>5<7s-3j?7:?2:&b37<58j1C88l4$57g>1603->==7=<879me22=9o2o7)79c;31=86=4+a609<<6<3th34i4>:283>5}#1h9145j4$`51>>n6*;5e8;=5=#69;oc40?7a0l1/5;m513:a?l?>13:1(l9=:363?Mg0921b?kj50;&b37<38>10c:9<:18K=<`<,h=9657?;:p=12wx?kj50;0xZ6`c3423h7=id:p325=838pR:9<;<636?10;2wx88750;1xZ13>34>;>7=id:?;47)o82;;6a>"39:0>96*;60807=0!g0:335<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e49?:18'e24=1>:0D4o;;:aa6>=9321<7>t$8c0>6b23Ak>h6F6a49'e24=1;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=0?=83.j;?467298k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=54;090~">i:0?9l5Ga4f8L==7=<879me22=9o3:7)79c;3191<75$44;94?=e<981=7<50;2x N3=k1/88j54158 1062:93:6`n7582b<4<,07:?7:9l325=83.j;?479198yg>?l3;1?7>50z&:e6>h7660:&724<4;1<0bl9;:0d:7>">>j0:>5l4i8;:>5<#i>81>9>4H`52?>o4nm0;6)o82;633>=h?>91<7F69g9'e24=00:07p}69883>7}Y1030156k:8;:?xu4nm0;6?uQ3gf89=>c2:lo7p}87283>7}Y?>9019>=:650?xu3=00;6>uQ44;891652:lo7067d;547>{zjo3;6<47:183!?f;39o:6Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=9o3?7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3>57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07plka882>=<729q/5l=53e78Ld3c3A3j96*n738:1`=#<891985+473976>13gk<87?i949'=3e=jk;0e??>:18'e24=:;?07d<;d;29 d152=:<76g65883>!g0:335<#i>81>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~fl;I66f>"3=m0?<:5+473976>13gk<87?i969'=3e=9;2i7d=id;29 d152=:<76a87283>!g0:322<65rb9:g>4<4290;w)7n3;:;`>"f?;025h5G44`8 13c213;7):91;10<3=ii>>1=k77;%;5g?750k1b54750;&b37<5<91Cm:?4;h1e`?6=,h=969>8;:m436<72A32j6*n738;=5=52z\:=<=:01n15474}r1e`?6=:rT8ji5289f97cb52z\436=:<981;:=4}r66=?6=;rT?945241097cb<512o6:9<;|ab=3=9321<7>t$8c0>6b13Ak>h6F6a49'e24=1;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=i:08h85Ga4f8L77:e:&756<2=2.?:<4<3948jd1328l2m6*66b8af4=n:8;1<7*n738160=o6=4+a609051<3`3>57>5$`51><1432e2>821b>77=2:J:e1=p(4o<:57b?Mg2l2B2m85+473976>13gk<87?i9c9'=3e=9;2i7d=id;29?l?>13:17b983;29?.2213:17o:?2;396?6=8r.2m>4;039'e24=:9i0D9;m;%66`?27?2.?:<4<3948jd1328l2o6*66b826=d5$`51>=?732wi45j51;194?6|,0k8656k;%c46??>m2B?9o5+44f9<<6<,=<:6>=76:lb31<6n0n0(48l:00;f>o>100;6)o82;074>Nf?810e>hk:18'e24=<9=07b983;29L7660:9~w2909wS769:?;101v>hk:181[5al2734i4<=b=?>90qpli7`82>=<729q/5l=53e48Ld3c3A3j96*n738:1`=#<891985+473976>13gk<87?i9d9'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~fa6c28036=4?{%;b7?5c=2Bj9i5G9`78 d1520?n7):>3;76?!2193984;5aa6695c?a3-3=o7lm1:k154<72-k<>7<=5:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=26=83.j;?46719K=d2<3th2o>4?:581>1}#1h9188o4H`7g?M?f=2.?:<4<3948jd1328lj<6*66b826=d5<=86=44+57:>5<;>6*n73814f=O<4?:1y'=d5=01n0(l9=:8;f?M22j2.?9i47919'037=;:2=7co84;3ee7=#1?i1=?6m;h;:=?6=,h=96?:?;Ic45>=n;on1<7*n738742==86=4G98d8 d15213;76s|98;94?4|V0327067d;;:=>{t;on1<7?l39mh6s|76194?4|V>=870:?2;547>{t<<31<7=t^57:?827:39mh6378e8436=zukl<;7?58;294~">i:08h;5Ga4f8L77:e:&756<2=2.?:<4<3948jd1328lj?6*66b8af4=n:8;1<7*n738160=5$`51>16032c2944?:%c46??0;21d5=j50;&b3777=2:J:e1==83:p(4o<:2f6?Mg2l2B2m85+a609=0c<,=;868;4$542>65?>2dj;94>f`68 <0d2kh:7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:33<<6F6a598ygg413:187<54z&:e6<3=h1Cm8j4H8c6?!2193984;5aa6695cg23-3=o7?=8c9j7cb=831b54750;9l325=831 88750;9a054=9381<7>t$8c0>1653-k<>765?>2dj;94>f`48 <0d2883n6g!g0:3>;;65`76194?"f?;035=54}c:;`?7=;3:18154k4H57a?!22l322<6*;60807=0;:k0ba<72-k<>7:?7:9l325=83B25k5+a609<<6<3ty2544?:3y]=6`c3ty<;>4?:3y]325<5=:96:9<;|q71<<72:qU8874=521>6`c3423h7983:~fcg228036=4?{%;b7?5c>2Bj9i5G9`78 d1520?n7):>3;76?!2193984;5aa6695cg?3-3=o7lm1:k154<72-k<>7<=5:9j64e=83.j;?4=1b98m72c290/m:<54158?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n107d7=2;29 d1520897E7n4:9j=26=83.j;?46719K=d2<3thn594>:983>5}#1h91?i;4H`7g?M?f=2.j;?465d9'045==<1/8;?532:5?kg0<3;mm45+97a9fg75$`51>16032c2944?:%c46??0;21d5=j50;&b375$`51><173A3j865rb`15>5<32;0?w)7n3;66e>Nf=m1C5l;4$542>65?>2dj;94>f`c8 <0d2883n6g>o>100;66a87283>>-3=00;66l;0382>7<729q/5l=54108 d152;:h7E::b:&71a<38>1/8;?532:5?kg0<3;mmo5+97a957>e3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn56k:080>5<7s-3j?767d:&b37<>1l1C88l4$57g>=?73->==7=<879me22=9okh7)79c;31;07d=id;29 d152=:<76a87283>M?>n2.j;?479198yv?>13:1>vP6989><=b=1030q~=id;296~X4nm1645j53gf8yv10;3:1>vP8729>054=?>90q~::9;297~X3=0168=<53gf89=>c2>=87psmf`695?>=83:p(4o<:2f5?Mg2l2B2m85+a609=0c<,=;868;4$542>65?>2dj;94>f`f8 <0d2kh:7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54i87:>5<#i>815:=4;n;3`?6=,h=9657?;:k:1f<72-k<>77:c:J:e1=!g0:33<<6F6a598ygc??3;147>50z&:e6<4l<1Cm8j4H8c6?!g0:33>i6*;12861>"3>808?584n`57>4`fm2.2:n4mb09j647=83.j;?4=2498m72c290/m:<54158?l?213:1(l9=:850?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=!g0:339>6F6a598m<17290/m:<59628L=50;696?2|,0k869;n;Ic6`>N>i<1/8;?532:5?kg0<3;mmk5+97a957>e3`9mh7>5;h;:=?6=3f=5;*66=?6=3k>;>7?52;294~">i:0?"3>808?584n`57>4`e82.2:n4>29`8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e01n1=7=50;2x N3=k1/88j58828 1062:93:6`n7582bg7<,07<;0:Jb34=5H8;e?!g0:322<65rs8;:>5<5sW3256378e8:=<=z{:lo6=4={_1e`>;?0m08ji5rs650>5<5sW=;38;08ji5289f93254>:983>5}#1h91?i84H`7g?M?f=2.j;?465d9'045==<1/8;?532:5?kg0<3;mn?5+97a9fg75$`51>77d32c98i4?:%c46?27?21b58750;&b37<>?:10c4>k:18'e24=00:07d7:c;29 d1520?h7E7n4:9j=74=83.j;?46239K=d2<3`3<<7>5$`51><173A3j865rbd5b>4Nf=m1C5l;4$`51><3b3->:?7;:;%655?540?1em::51g`0?!?1k3hi=6g=1083>!g0:389965f25f94?"f?;0?<:54i87:>5<#i>815:=4;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f96294?"f?;02;=5G9`68?xdf;90;694=:5y'=d5=<"3>808?584n`57>4`e<2.2:n4>29`8m6`c2900e476:188k2142900'9;6:188f16528096=4?{%;b7?27:2.j;?4=0b9K00d<,=?o69>8;%655?540?1em::51g`6?!?1k3;94o5f3gf94?"f?;0?<:54o650>5<#i>8144>4;|`;"3=m035=5+473976>13gk<87?ib79'=3e=9;2i7d769;29 d152;>;7Eo81:9j7cb=83.j;?4;0698k214290C54h4$`51>=?732wx54750;0xZ3423h7769:p7cb=838pR>hk;<:;`?5al2wx;:=50;0xZ21434>;>7983:p00?=839pR9;6;<636?5al2734i48729~yg`f:3;147>50z&:e6<4l?1Cm8j4H8c6?!g0:33>i6*;12861>"3>808?584n`57>4`e?2.2:n4mb09j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>o>=00;6)o82;;47>=h19n1<7*n738;=5=!g0:339>6F6a598m<17290/m:<59628Lj:;Ic6`>N>i<1/m:<594g8 1742:21;2>hf?=0:jo64$84`>gd63`8:=7>5$`51>74232c98i4?:%c46?27?21b58750;&b37<>?:10c4>k:18'e24=00:07d<>c;29 d152;;h76g65b83>!g0:33>o6F6a598m<45290/m:<59308L:21;2>hf?=0:joo4$84`>44?j2c8ji4?:%c46?27?21d;:=50;&b37478e9'e24=10o0D9;m;%66`?>>82.?:<4<3948jd1328lin6*66b826=d!g0:3>;;65`76194?N>1o1/m:<58828?xu>100;6?uQ98;89=>c20327p}7}Y;on0156k:2dg?xu0?:0;6?uQ761891652>=87p};5883>6}Y<<3019>=:2dg?8>?l3=4Nf=m1C5l;4$`51><3b3->:?7;:;%655?540?1em::51g``?!?1k3hi=6g=1083>!g0:389965f20a94?"f?;09=n54i36g>5<#i>818=94;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j=0e=83.j;?465b9K=d2<3`39>7>5$`51><453A3j865f96294?"f?;02;=5G9`68?xdb>90:654?:1y'=d5=;m?0Dl;k;I;b1>"f?;029h5+401910=#69;oc40?7ajm1/5;m5bc38m776290/m:<52378?l43l3:1(l9=:524?>o>=00;6)o82;;47>=h19n1<7*n738;=5=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?083:1(l9=:853?M?f<21vnl7<3s-3j?7::a:Jb1a=O1h?0(98>:21;2>hf?=0:jok4$84`>44?j2c8ji4?::k:=<<722e<;>4?::)71<<722h?:383>5}#1h918=<4$`51>76d3A>>n6*;5e8742=#69;oc40?7ajo1/5;m513:a?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj12o6<4<:183!?f;323h6*n738:=`=O<5$`51>16032e<;>4?:I;:b>"f?;035=54}r;:=?6=:rT2545289f9=52z\0ba=:01n1?kj4}r547?6=:rT<;>524109325>57>53z\71<=:<981?kj4=9:g>2143twijl>51;:94?6|,0k86>j9;Ic6`>N>i<1/m:<594g8 1742:21;2>hf?=0:jn?4$84`>gd63`8:=7>5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10e4;6:18'e24=1>907b7?d;29 d15213;76g65b83>!g0:33>o6F6a598m<45290/m:<59308L4:5:&724<4;1<0bl9;:0d`6>">>j0in<5f20394?"f?;09>854i36g>5<#i>818=94;h;6=?6=,h=9649<;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<3d290/m:<594a8L?4?:%c46??5:2B2m954i853>5<#i>815:>4H8c7?>{ei<>1<7:52;6x 69;oc40?7ak:1/5;m513:a?l5al3:17d769;29?j10;3:17&::9;29?g27:3;1>7>50z&:e6<38;1/m:<521a8L13e3->>h7:?7:&724<4;1<0bl9;:0d`0>">>j0:>5l4i2dg>5<#i>818=94;n547?6=,h=9657?;:a<=b=9391<7>t$8c0>=>c3-k<>776e:J71g=#<4$542>65?>2dj;94>fb78 <0d2883n6g69883>!g0:38?<6Fn7098m6`c290/m:<54158?j10;3:1D47i;%c46?>>821v476:181[?>12734i46989~w6`c2909wS=id:?;2908wS::9:?747<4nm1645j57618yxda1o0:654?:1y'=d5=;m<0Dl;k;I;b1>"f?;029h5+401910=#69;oc40?7ak?1/5;m5bc38m776290/m:<52378?l46k3:1(l9=:33`?>o5=n1<31<7*n738:36=o7>5$`51><3d3A3j865f93094?"f?;02>?5G9`68?l?083:1(l9=:853?M?f<21vnh:9:08;>5<7s-3j?7=k5:Jb1a=O1h?0(l9=:87f?!26;3?>7):91;10<3=ii>>1=km8;%;5g?de92c9=<4?:%c46?45=21b>9j50;&b37<38>10e4;6:18'e24=1>907b7?d;29 d15213;76g=1b83>!g0:38:o65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b5:>50;&b37<>?91C5l:4;|`b14<72=0969u+9`1900g<@h?o7E7n5:&724<4;1<0bl9;:0d`<>">>j0:>5l4i2dg>5<5<<#=?26=44b521>4<5290;w)7n3;636>"f?;09>1=km6;%;5g?750k1b?kj50;&b37<38>10c:9<:18'e24=00:07pl78e82>6<729q/5l=589f8 d15203n7E::b:&71ae3`3257>5$`51>7273Ak<=65f3gf94?"f?;0?<:54o650>5{t1031<7?l33256s|3gf94?4|V:lo7067d;1e`>{t?>91<7;?0m0<;>5r}cd:a?7=03:14:5:&724<4;1<0bl9;:0d`f>">>j0in<5f20394?"f?;09>854i33`>5<#i>81>8;:k:1<<72-k<>7783:9l=5b=83.j;?479198m<3d290/m:<594a8L?4?:%c46??5:2B2m954i853>5<#i>815:>4H8c7?>{em:31=7650;2x 7Eo:d:J:e0=#i>8158k4$530>03<,=<:6>=76:lb31<6nji0(48l:c`2?l4693:1(l9=:306?>o5=n1<31<7*n738:36=5$`51>77d32c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>?90;6)o82;;44>N>i=10qoo;e;290?4=4;5`9Ke0b<@0k>7):91;10<3=ii>>1=kmk;%;5g?750k1b?kj50;9j==;%c46?47k2B?9o5+44f9051<,=<:6>=76:lb31<6njo0(48l:00;f>o4nm0;6)o82;633>=h?>91<7*n738;=5=i:034i5+a609="3>808?584n`57>4`dn2.2:n4>29`8m290/m:<52528Ld1632c8ji4?:%c46?27?21d;:=50;J:=c=#i>8144>4;|q:=<<72;qU5474=9:g>3ty8ji4?:3y]7cb<512o6>hk;|q436<72;qU;:=4=521>2143ty?944?:2y]00?<5=:96>hk;<:;`?10;2wvnk7l:08;>5<7s-3j?7=k6:Jb1a=O1h?0(l9=:87f?!26;3?>7):91;10<3=ii>>1=kj?;%;5g?de92c9=<4?:%c46?45=21b>!g0:322<65f94a94?"f?;029n5G9`68?l?5:3:1(l9=:801?M?f<21b5:>50;&b37<>?91C5l:4;|`f5c<6210;6=u+9`197a3<@h?o7E7n5:&b37<>=l1/8<=5549'037=;:2=7co84;3e`4=#1?i1no?4i332>5<#i>81>?;4;h07`?6=,h=969>8;:k:1<<72-k<>7783:9l=5b=83.j;?479198m77d290/m:<520a8?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;44?6=,h=9649?;I;b0>=zjh>i6=4;:387!?f;3>>m6Fn5e9K=d3<,=<:6>=76:lb31<6nm80(48l:00;f>o4nm0;66g69883>>i0?:0;66%;5883>>d38;0:6?4?:1y'=d5=<980(l9=:32`?M22j2.?9i4;069'037=;:2=7co84;3e`6=#1?i1=?6m;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f=>c28086=4?{%;b7?>?l2.j;?469d9K00d<,=?o657?;%655?540?1em::51gf7?!?1k3;94o5f98;94?"f?;098=5Ga638?l5al3:1(l9=:524?>i0?:0;6E76f:&b37101645j598;8yv5al3:1>vP<=b=;on0q~983;296~X0?:168=<57618yv2213:1?vP;589>054=;on0156k:650?x{en0h1=7650;2x 8158k4$530>03<,=<:6>=76:lb31<6nm?0(48l:c`2?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51>=?732c29n4?:%c46??2k2B2m954i801>5<#i>815?<4H8c7?>o>?90;6)o82;;44>N>i=10qok>2;3947)o82;;6a>"39:0>96*;60807=0!g0:322<65f20a94?"f?;09=n54i87`>5<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e49?:18'e24=1>:0D4o;;:ae1>=83>1>7:t$8c0>13f3Ak>h6F6a49'037=;:2=7co84;3e`2=#1?i1=?6m;h1e`?6=3`3257>5;n547?6=3">>57>5;c636?7=:3:181>=m4H57a?!22l3>;;6*;60807=0hk:18'e24=<9=07b983;29 d15213;76sm89f95?5=83:p(4o<:9:g?!g0:332i6F;5c9'00b=00:0(98>:21;2>hf?=0:ji74$84`>44?j2c2544?:%c46?4382Bj;<54i2dg>5<#i>818=94;n547?6=@03m7)o82;::4>=z{0326=4={_;:=>;?0m02545rs2dg>5<5sW9mh6378e80ba=z{>=86=4={_547>;38;0<;>5rs57:>5<4sW>>563;0380ba=:01n1;:=4}|`e=d<6210;6=u+9`197a0<@h?o7E7n5:&b37<>=l1/8<=5549'037=;:2=7co84;3e`d=#1?i1no?4i332>5<#i>81>?;4;h02g?6=,h=96??l;:k10a<72-k<>7:?7:9j=0?=83.j;?467298k<6c290/m:<58828?l?2k3:1(l9=:87`?M?f<21b5?<50;&b37<>:;1C5l:4;h;44?6=,h=9649?;I;b0>=zjl:>6<47:183!?f;39o96Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=9oni7)79c;`a5>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>=?732c9=n4?:%c46?46k21b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07pln4483>1<52=q/5l=544c8Ld3c3A3j96*;60807=0hk:188m2900c:9<:188/13>2900n9>=:081>5<7s-3j?7:?2:&b37<58j1C88l4$57g>1603->==7=<879me22=9ono7)79c;31=86=4+a609<<6<3th34i4>:283>5}#1h9145j4$`51>>n6*;5e8;=5=#69;oc40?7all1/5;m513:a?l?>13:1(l9=:363?Mg0921b?kj50;&b37<38>10c:9<:18K=<`<,h=9657?;:p=12wx?kj50;0xZ6`c3423h7=id:p325=838pR:9<;<636?10;2wx88750;1xZ13>34>;>7=id:?;47)o82;;6a>"39:0>96*;60807=0!g0:335<#i>8158m4H8c7?>o>:;0;6)o82;;16>N>i=10e49?:18'e24=1>:0D4o;;:a`c>=9321<7>t$8c0>6b23Ak>h6F6a49'e24=1;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9j=0?=83.j;?467298k<6c290/m:<58828?l46k3:1(l9=:33`?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=7>54;090~">i:0?9l5Ga4f8L==7=<879me22=9oo:7)79c;3191<75$44;94?=e<981=7<50;2x N3=k1/88j54158 1062:93:6`n7582b`4<,07:?7:9l325=83.j;?479198yg>?l3;1?7>50z&:e6>h7660:&724<4;1<0bl9;:0df7>">>j0:>5l4i8;:>5<#i>81>9>4H`52?>o4nm0;6)o82;633>=h?>91<7F69g9'e24=00:07p}69883>7}Y1030156k:8;:?xu4nm0;6?uQ3gf89=>c2:lo7p}87283>7}Y?>9019>=:650?xu3=00;6>uQ44;891652:lo7067d;547>{zjo336<47:183!?f;39o:6Fn5e9K=d3<,h=964;j;%627?323->==7=<879me22=9oo?7)79c;`a5>o5980;6)o82;011>=n:8i1<7*n73815f=o6=4+a609051<3`3>57>5$`51><1432e2>821b58m50;&b37<>=j1C5l:4;h;16?6=,h=964<=;I;b0>=n1>:1<7*n738:35=O1h>07plkec82>=<729q/5l=53e78Ld3c3A3j96*n738:1`=#<891985+473976>13gk<87?ie49'=3e=jk;0e??>:18'e24=:;?07d<;d;29 d152=:<76g65883>!g0:335<#i>81>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~fd5a290?6?4;{%;b7?22i2Bj9i5G9`78 1062:93:6`n7582b`0<,0l;I66f>"3=m0?<:5+473976>13gk<87?ie69'=3e=9;2i7d=id;29 d152=:<76a87283>!g0:322<65rb9:g>4<4290;w)7n3;:;`>"f?;025h5G44`8 13c213;7):91;10<3=ii>>1=kk7;%;5g?750k1b54750;&b37<5<91Cm:?4;h1e`?6=,h=969>8;:m436<72A32j6*n738;=5=52z\:=<=:01n15474}r1e`?6=:rT8ji5289f97cb52z\436=:<981;:=4}r66=?6=;rT?945241097cb<512o6:9<;|ab<1=9321<7>t$8c0>6b13Ak>h6F6a49'e24=1;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o>=j0;6)o82;;6g>N>i=10e4<=:18'e24=1;80D4o;;:k:35<72-k<>7780:J:e1=i:08h85Ga4f8L77:e:&756<2=2.?:<4<3948jd1328lnm6*66b8af4=n:8;1<7*n738160=o6=4+a609051<3`3>57>5$`51><1432e2>821b>77=2:J:e1=p(4o<:57b?Mg2l2B2m85+473976>13gk<87?iec9'=3e=9;2i7d=id;29?l?>13:17b983;29?.2213:17o:?2;396?6=8r.2m>4;039'e24=:9i0D9;m;%66`?27?2.?:<4<3948jd1328lno6*66b826=d5$`51>=?732wi45j51;194?6|,0k8656k;%c46??>m2B?9o5+44f9<<6<,=<:6>=76:lb31<6nln0(48l:00;f>o>100;6)o82;074>Nf?810e>hk:18'e24=<9=07b983;29L7660:9~w2909wS769:?;101v>hk:181[5al2734i4<=b=?>90qpli9782>=<729q/5l=53e48Ld3c3A3j96*n738:1`=#<891985+473976>13gk<87?ied9'=3e=jk;0e??>:18'e24=:;?07d<>c;29 d152;;h76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h;6g?6=,h=964;l;I;b0>=n1;81<7*n738:67=O1h>07d780;29 d1520=;7E7n4:9~fab628036=4?{%;b7?5c=2Bj9i5G9`78 d1520?n7):>3;76?!2193984;5aa6695cca3-3=o7lm1:k154<72-k<>7<=5:9j61b=83.j;?4;0698m<3>290/m:<59618?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n107d7=2;29 d1520897E7n4:9j=26=83.j;?46719K=d2<3thj>:4?:581>1}#1h9188o4H`7g?M?f=2.?:<4<3948jd1328lm<6*66b826=d5<=86=44+57:>5<;>6*n73814f=O<4?:1y'=d5=01n0(l9=:8;f?M22j2.?9i47919'037=;:2=7co84;3eb7=#1?i1=?6m;h;:=?6=,h=96?:?;Ic45>=n;on1<7*n738742==86=4G98d8 d15213;76s|98;94?4|V0327067d;;:=>{t;on1<7?l39mh6s|76194?4|V>=870:?2;547>{t<<31<7=t^57:?827:39mh6378e8436=zukl2?7?58;294~">i:08h;5Ga4f8L77:e:&756<2=2.?:<4<3948jd1328lm?6*66b8af4=n:8;1<7*n738160=5$`51>16032c2944?:%c46??0;21d5=j50;&b3777=2:J:e1==83:p(4o<:2f6?Mg2l2B2m85+a609=0c<,=;868;4$542>65?>2dj;94>fg68 <0d2kh:7d<>1;29 d152;8>76g=4e83>!g0:3>;;65f94;94?"f?;02;>54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:1f<72-k<>77:c:J:e1=!g0:33<<6F6a598yg249o0:6>>50;2x :5+4049e6=#<8=1=i5+40:95gd<,=;26:n767;%62g?ed3->:h7kn;%62a?4702.?=k4k1:&765<>j2.?><4kf:&767>4l;%610?><,=8>6:5+43493>"3:>0<7):=8;58 14>2>1/8?o57:&76g<03->9o794$50g>2=#<;o1;6*;2g84?!2483=0(9=>:69'064=?2.??>48;%600?1<,=9>6:5+42493>"3;>0<7):<8;58 15>2>1/8>o57:&77g<03->8o794$51g>2=#<:o1;6*;3g84?!2383=0(9:>:69'014=?2.?8>48;%670?1<,=>>6:5+45493>"3<>0<7):;8;58 12>2>1/89o57:&70g<03->?o794$56g>2=#<=o1;6*;4g84?!2283=0(9;>:69'004=?2.j954n569'e0?=i<=0b?;;:59'=27=;2.j;?46199'=50=18h0D47m;%3;0??2l2.?994<;%661?5<,0=96>5+4149fg6<,=<:6>=76:lb31<6no?0(48l:512<>o5:j0;6E7?3:9j67b=83B2<>54i2`2>51j0:76g67583>k?>k3:07d785;29j0b4>>:19'33`=9=80b:8j:c98m434290/5=<51468j<66281/;;h51508j20b2h10e<8=:18'=54=9<>0b4>>:39'33`=9=80b:8j:898m406290/5=<51468j<662:1/;;h51508j20b2110e<;i:18'=54=9<>0b4>>:59'33`=9=80b:8j:698m43b290/5=<51468j<662<1/;;h51508j20b2?10e<;k:18'=54=9<>0b4>>:79'33`=9=80b:8j:498m43d290/5=<51468j<662>1/;;h51508j20b2=10e<;m:18'=54=9<>0b4>>:99'33`=9=80b:8j:298m43f290/5=<51468j<66201/;;h51508j20b2;10e<;6:18'=54=9<>0b4>>:`9'33`=9=80b:8j:098m436290/5=<51468j<662k1/;;h51508j20b2910e<99:18'=54=9>?0b4>>:19'33`=9?90b:8j:c98m413290/5=<51678j<66281/;;h51718j20b2h10e<6<:18'=54=9>?0b4>>:39'33`=9?90b:8j:898m4>5290/5=<51678j<662:1/;;h51718j20b2110e<6?:18'=54=9>?0b4>>:59'33`=9?90b:8j:698m41a290/5=<51678j<662<1/;;h51718j20b2?10e<9j:18'=54=9>?0b4>>:79'33`=9?90b:8j:498m41c290/5=<51678j<662>1/;;h51718j20b2=10e<9l:18'=54=9>?0b4>>:99'33`=9?90b:8j:298m41e290/5=<51678j<66201/;;h51718j20b2;10e<9n:18'=54=9>?0b4>>:`9'33`=9?90b:8j:098m415290/5=<51678j<662k1/;;h51718j20b2910e?77:18'=54=:0=0b4>>:19'33`=:>:39'33`=:>:19'33`=:h:0b:8j:298m7b?290/5=<52ea8j<66281/;;h52`28j20b2;10e?mk:18'=54=:mi0b4>>:39'33`=:h:0b:8j:098m7e5290/5=<52ea8j<662:1/;;h52`28j20b2910c>=n:18'=54=;:30b4>>:19'33`=:on0b:8j:298k652290/5=<532;8j<66281/;;h52gf8j20b2;10c>>:39'33`=:on0b:8j:098k67a290/5=<532;8j<662:1/;;h52gf8j20b2910c>9i:18'=54=;>o0b4>>:19'33`=;=80b:8j:298k61f290/5=<536g8j<66281/;;h53508j20b2;10c>8i:18'=54=;>o0b4>>:39'33`=;=80b:8j:098k603290/5=<536g8j<662:1/;;h53508j20b2910qo:<2182>66=83:p(4o<:83;?Mg2l2B2m85U21d92~3f2d?=ug8>97:4$570>2=#<8>1>=5+40796d=#<8<1>o5+405957=#<8218k5+40;96f=#<8k1==j4$53a>g6<,=;h6nj4$53g>`0<,=;n69j4$53e>4653->9<7mm;%615?213->9>7k6;%617?b<,=8?6:5+43793>"3:?0<7):=7;58 14?2>1/8?757:&76d<03->9n794$50`>2=#<;n1;6*;2d84?!25n3=0(9=?:69'067=?2.???48;%607?1<,=9?6:5+42793>"3;?0<7):<7;58 15?2>1/8>757:&77d<03->8n794$51`>2=#<:n1;6*;3d84?!24n3=0(9:?:69'017=?2.?8?48;%677?1<,=>?6:5+45793>"31/89757:&70d<03->?n794$56`>2=#<=n1;6*;4d84?!23n3=0(9;?:69'007=?2.?9?48;%c66>5+96097>"38?0in=5+473976>13gk<87?if79'=3e=<:;37d<=c;29L<6432c9>i4?:I;37>=n;k;1<7`69b82?>o4j;0;6c76c;38?l?0<3:1b47l:198m<12290e54m50:9j=g6=831b5o?50;9j503=83.2559m=57=82.<:k4>439m33c=j21b=8=50;&:47<6==1e5=?51:&42c<6<;1e;;k5a:9j534=83.2559m=57=:2.<:k4>439m33c=121b=;?50;&:47<6==1e5=?53:&42c<6<;1e;;k58:9j50`=83.2559m=57=<2.<:k4>439m33c=?21b=8k50;&:47<6==1e5=?55:&42c<6<;1e;;k56:9j50b=83.2559m=57=>2.<:k4>439m33c==21b=8m50;&:47<6==1e5=?57:&42c<6<;1e;;k54:9j50d=83.2559m=57=02.<:k4>439m33c=;21b=8o50;&:47<6==1e5=?59:&42c<6<;1e;;k52:9j50?=83.2559m=57=i2.<:k4>439m33c=921b=8?50;&:47<6==1e5=?5b:&42c<6<;1e;;k50:9j520=83.2749m=57=82.<:k4>629m33c=j21b=::50;&:47<6?<1e5=?51:&42c<6>:1e;;k5a:9j5=5=83.2749m=57=:2.<:k4>629m33c=121b=5<50;&:47<6?<1e5=?53:&42c<6>:1e;;k58:9j5=6=83.2749m=57=<2.<:k4>629m33c=?21b=:h50;&:47<6?<1e5=?55:&42c<6>:1e;;k56:9j52c=83.2749m=57=>2.<:k4>629m33c==21b=:j50;&:47<6?<1e5=?57:&42c<6>:1e;;k54:9j52e=83.2749m=57=02.<:k4>629m33c=;21b=:l50;&:47<6?<1e5=?59:&42c<6>:1e;;k52:9j52g=83.2749m=57=i2.<:k4>629m33c=921b=:<50;&:47<6?<1e5=?5b:&42c<6>:1e;;k50:9j6<>=83.24=50;&:47<51>1e5=?51:&42c<5=k1e;;k52:9j6=>=83.2:j50;&:47<51>1e5=?53:&42c<5=k1e;;k50:9j6ab=83.2i650;&:47<5lj1e5=?51:&42c<5i91e;;k52:9j6fb=83.2n<50;&:47<5lj1e5=?53:&42c<5i91e;;k50:9l76g=83.2;50;&:47<4;01e5=?51:&42c<5nm1e;;k52:9l77g=83.2\58o0=w8o55c8b0?g22h21m44rn376>1=#<<91;6*;1582b>"39<0996*;17802>"39>09=6*;198aa>"3900856*;1`8:`>"39k0i;6*;1b8`<>"39m0m;6*;1d80e<=#<8l1i=5+43291`=#<;;1:=5+4309600<,=886o5+43693>"3:<0<7):=6;58 1402>1/8?657:&76<<03->9m794$50a>2=#<;i1;6*;2e84?!25m3=0(9"3;<0<7):<6;58 1502>1/8>657:&77<<03->8m794$51a>2=#<:i1;6*;3e84?!24m3=0(9=i:69'016=?2.?8<48;%676?1<,=>86:5+45693>"3<<0<7):;6;58 1202>1/89657:&70<<03->?m794$56a>2=#<=i1;6*;4e84?!23m3=0(9:i:69'006=?2.?9<48;%666?1<,h?36l;8;%c6=?g2?2d9994;;%;45?5<,h=964?7;%;32??6j2B25o5+1969=0b<,=??6>5+44797>">?;087):?6;`a4>"3>808?584n`57>4`a?2.2:n4;30:8m74d290C5==4;h01`?6=@0:876gk?>k3;07d=m2;29j7?:4:l:44<63-==j7?;2:l42`7?:4:l:44<43-==j7?;2:l42`7?:4:l:44<23-==j7?;2:l42`<132c:9i4?:%;36?72<2d2<<49;%55b?73:2d<:h4:;:k21f<72-3;>7?:4:l:44<03-==j7?;2:l42`<332c:9o4?:%;36?72<2d2<<47;%55b?73:2d<:h4<;:k21d<72-3;>7?:4:l:44<>3-==j7?;2:l42`<532c:944?:%;36?72<2d2<<4n;%55b?73:2d<:h4>;:k214<72-3;>7?:4:l:447?85:l:44<63-==j7?93:l42`4?:%;36?70=2d2<<4=;%55b?71;2d<:h46;:k2<7<72-3;>7?85:l:44<43-==j7?93:l42`7?85:l:44<23-==j7?93:l42`<132c:;h4?:%;36?70=2d2<<49;%55b?71;2d<:h4:;:k23a<72-3;>7?85:l:44<03-==j7?93:l42`<332c:;n4?:%;36?70=2d2<<47;%55b?71;2d<:h4<;:k23g<72-3;>7?85:l:44<>3-==j7?93:l42`<532c:;l4?:%;36?70=2d2<<4n;%55b?71;2d<:h4>;:k237<72-3;>7?85:l:44?2d2<<4?;%55b?42j2d<:h4<;:k1=6<72-3;>7<67:l:44<63-==j7<:b:l42`<532c9454?:%;36?4>?2d2<<4=;%55b?42j2d<:h4>;:k13a<72-3;>7<67:l:44<43-==j7<:b:l42`<732c9hi4?:%;36?4ck2d2<<4?;%55b?4f82d<:h4<;:k1`=<72-3;>7;:k1g7<72-3;>77=<9:l:44<63-==j7l4?:%;36?5412d2<<4=;%55b?4al2d<:h4>;:m05c<72-3;>7=<9:l:44<43-==j77=8e:l:44<63-==j7=;2:l42`<532e8:k4?:%;36?50m2d2<<4=;%55b?53:2d<:h4>;:m021<72-3;>7=8e:l:44<43-==j7=;2:l42`<732wi8>?j:0804?6=8r.2m>46199Ke0b<@0k>7W5;0:?!26>3>?7):>7;3a?!26039ih6*;188fg>"39h0n;6*;1c8a6>"39j0"39l0m96*;1g8:0>"3:90i46*;208g0>"3:;03?6*;228:?!25<3=0(9<::69'070=?2.?>:48;%61"3:k0<7):=c;58 14c2>1/8?k57:&76c<03->8<794$512>2=#<:81;6*;3284?!24<3=0(9=::69'060=?2.??:48;%60"3;k0<7):1/8>k57:&77c<03->?<794$562>2=#<=81;6*;4284?!23<3=0(9:::69'010=?2.?8:48;%6726:5+45c93>"31/89k57:&70c<03->><794$572>2=#<<81;6*n598b12=#i<31m894n377>1=#1>;1?6*n738:5==#19<15h6*;5580?!22=390(49=:29'050=jk:0(98>:21;2>hf?=0:jk64$84`>15602c9>n4?:I;37>=n:;n1<7F60298m6d6290e54m51:9j7g4=83d25n4>;:k:31<72g32o7>4;h;41?6=f03h6=54i8`3>5<5<#1981=8:4n822>5=#??l1=9<4n64f>g=5<#1981=8:4n822>7=#??l1=9<4n64f><=5+77d95145<#1981=8:4n822>1=#??l1=9<4n64f>2=5<#1981=8:4n822>3=#??l1=9<4n64f>0=5<#1981=8:4n822>==#??l1=9<4n64f>6=5<#1981=8:4n822>d=#??l1=9<4n64f>4=5<#1981=:;4n822>5=#??l1=;=4n64f>g=5<#1981=:;4n822>7=#??l1=;=4n64f><=5+77d95355<#1981=:;4n822>1=#??l1=;=4n64f>2=5<#1981=:;4n822>3=#??l1=;=4n64f>0=5<#1981=:;4n822>==#??l1=;=4n64f>6=5<#1981=:;4n822>d=#??l1=;=4n64f>4=5<#1981>494n822>5=#??l1>8l4n64f>6=5<#1981>494n822>7=#??l1>8l4n64f>4=5+77d960d5<#1981>im4n822>5=#??l1>l>4n64f>6=5<#1981>im4n822>7=#??l1>l>4n64f>4=5+77d96d65<#1981?>74n822>5=#??l1>kj4n64f>6=6=4+910976?5<#1981?>74n822>7=#??l1>kj4n64f>4=5+77d96cb5<#1981?:k4n822>5=#??l1?9<4n64f>6=5<#1981?:k4n822>7=#??l1?9<4n64f>4=5+77d9714t$8c0><7?3Ak>h6F6a49Y65`=>r?j68l5a58b1?g?2h31qc<:5;68 1342>1/8<:5149'043=:;1/8<85359'041=9:1/8<653bc8 17>2<>0(9?n:668 17e2ho0(9?l:d78 17c2o<0(9?j:0;1?!26n389>6*;2180f<=#<;;15k5+4309=f=#<;91i6*;2584?!25=3=0(9<9:69'071=?2.?>548;%61=?1<,=8j6:5+43`93>"3:j0<7):=d;58 14b2>1/8?h57:&775<03->8=794$511>2=#<:91;6*;3584?!24=3=0(9=9:69'061=?2.??548;%60=?1<,=9j6:5+42`93>"3;j0<7):1/8>h57:&705<03->?=794$561>2=#<=91;6*;4584?!23=3=0(9:9:69'011=?2.?8548;%67=?1<,=>j6:5+45`93>"31/89h57:&715<03->>=794$571>2=#i<21m894$`7:>d303g8>87:4$852>6=#i>815<64$825><7e3A32n6*>858:1a=#<<>1?6*;5480?!?0:390(9>9:c`3?!2193984;5aa6695c`>3-3=o7:<199j67e=83B2<>54i30g>5l=:18m=5;h;a5?6=3`;>97>5$821>4333g3;=7>4$64e>4253g==i7l4;h367?6=,0:96<;;;o;35?7<,>7>5$821>4333g3;=7<4$64e>4253g==i774;h355?6=,0:96<;;;o;35?5<,><3`;>j7>5$821>4333g3;=7:4$64e>4253g==i794;h36a?6=,0:96<;;;o;35?3<,>h7>5$821>4333g3;=784$64e>4253g==i7;4;h36g?6=,0:96<;;;o;35?1<,>n7>5$821>4333g3;=764$64e>4253g==i7=4;h36e?6=,0:96<;;;o;35??<,>57>5$821>4333g3;=7o4$64e>4253g==i7?4;h365?6=,0:96<;;;o;35?d<,>5$821>4123g3;=7>4$64e>4043g==i7l4;h340?6=,0:96<9:;o;35?7<,>5$821>4123g3;=7<4$64e>4043g==i774;h3;6?6=,0:96<9:;o;35?5<,><3`;3<7>5$821>4123g3;=7:4$64e>4043g==i794;h34b?6=,0:96<9:;o;35?3<,>5$821>4123g3;=784$64e>4043g==i7;4;h34`?6=,0:96<9:;o;35?1<,>5$821>4123g3;=764$64e>4043g==i7=4;h34f?6=,0:96<9:;o;35??<,>5$821>4123g3;=7o4$64e>4043g==i7?4;h346?6=,0:96<9:;o;35?d<,>5$821>7?03g3;=7>4$64e>73e3g==i7=4;h0:7?6=,0:96?78;o;35?7<,>5$821>7?03g3;=7<4$64e>73e3g==i7?4;h04`?6=,0:96?78;o;35?5<,>5$821>7bd3g3;=7>4$64e>7g73g==i7=4;h0g5$821>7bd3g3;=7<4$64e>7g73g==i7?4;h0`6?6=,0:96?jl;o;35?5<,>5$821>65>3g3;=7>4$64e>7`c3g==i7=4;n101?6=,0:96>=6;o;35?7<,>5$821>65>3g3;=7<4$64e>7`c3g==i7?4;n12b?6=,0:96>=6;o;35?5<,>5$821>61b3g3;=7>4$64e>6253g==i7=4;n14e?6=,0:96>9j;o;35?7<,>:=;o55a?4<3f9=j7>5$821>61b3g3;=7<4$64e>6253g==i7?4;n150?6=,0:96>9j;o;35?5<,>:=;o55a?6<3th??5<7s-3j?77>8:Jb1a=O1h?0V?>i:7y6e?3e2h>1m84n8;c:>xh5=<0?7)::3;58 17328=0(9?::0;8 1712:l0(9?8:0:8 17?21>0(9?6:g18 17f2o20(9?m:c38 17d2090(9?k:2c8 17b2=20(9?i:e58 14720;0(9<>:d:8 1452=;0(9<<:g9'072=?2.?>848;%612?1<,=8<6:5+43:93>"3:00<7):=a;58 14e2>1/8?m57:&76a<03->9i794$50e>2=#<::1;6*;3084?!24:3=0(9=<:69'062=?2.??848;%602?1<,=9<6:5+42:93>"3;00<7):1/8>m57:&77a<03->8i794$51e>2=#<=:1;6*;4084?!23:3=0(9:<:69'012=?2.?8848;%672?1<,=><6:5+45:93>"3<00<7):;a;58 12e2>1/89m57:&70a<03->?i794$56e>2=#<<:1;6*;5084?!22:3=0(l;7:`74?!g213k>;6`=5587?!?09390(l9=:83;?!?7>33:n6F69c9'5=2=1<;:k0f4<72g32o7?4;h1a6?6=f03h6<54i857>51j0;76g6b183>>o>j80;66g>5483>!?7:3;>86`60083?!11n3;?>6`86d8a?>o6=:0;6)7?2;360>h>880:7)99f;376>h0>l0j76g>6383>!?7:3;>86`60081?!11n3;?>6`86d8:?>o6>80;6)7?2;360>h>88087)99f;376>h0>l0376g>5g83>!?7:3;>86`60087?!11n3;?>6`86d84?>o6=l0;6)7?2;360>h>880>7)99f;376>h0>l0=76g>5e83>!?7:3;>86`60085?!11n3;?>6`86d86?>o6=j0;6)7?2;360>h>880<7)99f;376>h0>l0?76g>5c83>!?7:3;>86`6008;?!11n3;?>6`86d80?>o6=h0;6)7?2;360>h>88027)99f;376>h0>l0976g>5883>!?7:3;>86`6008b?!11n3;?>6`86d82?>o6=80;6)7?2;360>h>880i7)99f;376>h0>l0;76g>7783>!?7:3;<96`60083?!11n3;=?6`86d8a?>o6?=0;6)7?2;341>h>880:7)99f;357>h0>l0j76g>8283>!?7:3;<96`60081?!11n3;=?6`86d8:?>o60;0;6)7?2;341>h>88087)99f;357>h0>l0376g>8183>!?7:3;<96`60087?!11n3;=?6`86d84?>o6?o0;6)7?2;341>h>880>7)99f;357>h0>l0=76g>7d83>!?7:3;<96`60085?!11n3;=?6`86d86?>o6?m0;6)7?2;341>h>880<7)99f;357>h0>l0?76g>7b83>!?7:3;<96`6008;?!11n3;=?6`86d80?>o6?k0;6)7?2;341>h>88027)99f;357>h0>l0976g>7`83>!?7:3;<96`6008b?!11n3;=?6`86d82?>o6?;0;6)7?2;341>h>880i7)99f;357>h0>l0;76g=9983>!?7:382;6`60083?!11n38>n6`86d80?>o51:0;6)7?2;0:3>h>880:7)99f;06f>h0>l0976g=8983>!?7:382;6`60081?!11n38>n6`86d82?>o5?m0;6)7?2;0:3>h>88087)99f;06f>h0>l0;76g=de83>!?7:38oo6`60083?!11n38j<6`86d80?>o5l10;6)7?2;0gg>h>880:7)99f;0b4>h0>l0976g=ce83>!?7:38oo6`60081?!11n38j<6`86d82?>o5k;0;6)7?2;0gg>h>88087)99f;0b4>h0>l0;76a<3`83>!?7:39856`60083?!11n38mh6`86d80?>i4;<0;6)7?2;10=>h>880:7)99f;0e`>h0>l0976a<2`83>!?7:39856`60081?!11n38mh6`86d82?>i49o0;6)7?2;10=>h>88087)99f;0e`>h0>l0;76a<7g83>!?7:396`86d80?>i4?h0;6)7?2;14a>h>880:7)99f;176>h0>l0976a<6g83>!?7:396`86d82?>i4>=0;6)7?2;14a>h>88087)99f;176>h0>l0;76sm423a>4<483:1n7o;:`79e=8;54:&716<03->:87?n;%621?4?3->::7=<;%623?7b3->:4799;%62=?46>2.?=l4=129'04d=k01/8"3:80??6*;23810`=#<;91==5+43693>"3:<0<7):=6;58 1402>1/8?657:&76<<03->9m794$50a>2=#<;i1;6*;2e84?!25m3=0(9"3;<0<7):<6;58 1502>1/8>657:&77<<03->8m794$51a>2=#<:i1;6*;3e84?!24m3=0(9=i:69'016=?2.?8<48;%676?1<,=>86:5+45693>"3<<0<7):;6;58 1202>1/89657:&70<<03->?m794$56a>2=#<=i1;6*;4e84?!23m3=0(9:i:69'006=?2.?9<48;%666?1<,h?36l;8;%c6=?g2?2d9994;;%;45?5<,h=964?7;%;32??6j2B25o5+1969=0b<,=??6>5+44797>">?;087):?6;`a4>"3>808?584n`57>4`aj2.2:n4;30:8m74d290C5==4;h01`?6=@0:876gk?>k3;07d=m2;29j7?:4:l:44<63-==j7?;2:l42`7?:4:l:44<43-==j7?;2:l42`7?:4:l:44<23-==j7?;2:l42`<132c:9i4?:%;36?72<2d2<<49;%55b?73:2d<:h4:;:k21f<72-3;>7?:4:l:44<03-==j7?;2:l42`<332c:9o4?:%;36?72<2d2<<47;%55b?73:2d<:h4<;:k21d<72-3;>7?:4:l:44<>3-==j7?;2:l42`<532c:944?:%;36?72<2d2<<4n;%55b?73:2d<:h4>;:k214<72-3;>7?:4:l:447?85:l:44<63-==j7?93:l42`4?:%;36?70=2d2<<4=;%55b?71;2d<:h46;:k2<7<72-3;>7?85:l:44<43-==j7?93:l42`7?85:l:44<23-==j7?93:l42`<132c:;h4?:%;36?70=2d2<<49;%55b?71;2d<:h4:;:k23a<72-3;>7?85:l:44<03-==j7?93:l42`<332c:;n4?:%;36?70=2d2<<47;%55b?71;2d<:h4<;:k23g<72-3;>7?85:l:44<>3-==j7?93:l42`<532c:;l4?:%;36?70=2d2<<4n;%55b?71;2d<:h4>;:k237<72-3;>7?85:l:44?2d2<<4?;%55b?42j2d<:h4<;:k1=6<72-3;>7<67:l:44<63-==j7<:b:l42`<532c9454?:%;36?4>?2d2<<4=;%55b?42j2d<:h4>;:k13a<72-3;>7<67:l:44<43-==j7<:b:l42`<732c9hi4?:%;36?4ck2d2<<4?;%55b?4f82d<:h4<;:k1`=<72-3;>7;:k1g7<72-3;>77=<9:l:44<63-==j7l4?:%;36?5412d2<<4=;%55b?4al2d<:h4>;:m05c<72-3;>7=<9:l:44<43-==j77=8e:l:44<63-==j7=;2:l42`<532e8:k4?:%;36?50m2d2<<4=;%55b?53:2d<:h4>;:m021<72-3;>7=8e:l:44<43-==j7=;2:l42`<732wi8>?l:0804?6=8r.2m>46199Ke0b<@0k>7W5;00?!26>3>;7):>7;35?!2603no7):>9;02a>"39h0:<>5+40`9f0=#<8i1=`d<,=;n6<>l;%62b?c33->9<7hi;%615?e03->9>7:=;%617?g<,=8?6:5+43793>"3:?0<7):=7;58 14?2>1/8?757:&76d<03->9n794$50`>2=#<;n1;6*;2d84?!25n3=0(9=?:69'067=?2.???48;%607?1<,=9?6:5+42793>"3;?0<7):<7;58 15?2>1/8>757:&77d<03->8n794$51`>2=#<:n1;6*;3d84?!24n3=0(9:?:69'017=?2.?8?48;%677?1<,=>?6:5+45793>"31/89757:&70d<03->?n794$56`>2=#<=n1;6*;4d84?!23n3=0(9;?:69'007=?2.?9?48;%c66>5+96097>"38?0in=5+473976>13gk<87?ifb9'=3e=<:;37d<=c;29L<6432c9>i4?:I;37>=n;k;1<7`69b82?>o4j;0;6c76c;38?l?0<3:1b47l:198m<12290e54m50:9j=g6=831b5o?50;9j503=83.2559m=57=82.<:k4>439m33c=j21b=8=50;&:47<6==1e5=?51:&42c<6<;1e;;k5a:9j534=83.2559m=57=:2.<:k4>439m33c=121b=;?50;&:47<6==1e5=?53:&42c<6<;1e;;k58:9j50`=83.2559m=57=<2.<:k4>439m33c=?21b=8k50;&:47<6==1e5=?55:&42c<6<;1e;;k56:9j50b=83.2559m=57=>2.<:k4>439m33c==21b=8m50;&:47<6==1e5=?57:&42c<6<;1e;;k54:9j50d=83.2559m=57=02.<:k4>439m33c=;21b=8o50;&:47<6==1e5=?59:&42c<6<;1e;;k52:9j50?=83.2559m=57=i2.<:k4>439m33c=921b=8?50;&:47<6==1e5=?5b:&42c<6<;1e;;k50:9j520=83.2749m=57=82.<:k4>629m33c=j21b=::50;&:47<6?<1e5=?51:&42c<6>:1e;;k5a:9j5=5=83.2749m=57=:2.<:k4>629m33c=121b=5<50;&:47<6?<1e5=?53:&42c<6>:1e;;k58:9j5=6=83.2749m=57=<2.<:k4>629m33c=?21b=:h50;&:47<6?<1e5=?55:&42c<6>:1e;;k56:9j52c=83.2749m=57=>2.<:k4>629m33c==21b=:j50;&:47<6?<1e5=?57:&42c<6>:1e;;k54:9j52e=83.2749m=57=02.<:k4>629m33c=;21b=:l50;&:47<6?<1e5=?59:&42c<6>:1e;;k52:9j52g=83.2749m=57=i2.<:k4>629m33c=921b=:<50;&:47<6?<1e5=?5b:&42c<6>:1e;;k50:9j6<>=83.24=50;&:47<51>1e5=?51:&42c<5=k1e;;k52:9j6=>=83.2:j50;&:47<51>1e5=?53:&42c<5=k1e;;k50:9j6ab=83.2i650;&:47<5lj1e5=?51:&42c<5i91e;;k52:9j6fb=83.2n<50;&:47<5lj1e5=?53:&42c<5i91e;;k50:9l76g=83.2;50;&:47<4;01e5=?51:&42c<5nm1e;;k52:9l77g=83.2>m3;1>54?:1y'=d5=18<0Dl;k;I;b1>\58o0=w;?56385g?0c2>:1;<4rn376>4=#i<21m894$`7:>d303g8>87?4$852>6=#i>815<84$825><7e3A32n6*>858:1a=#<<>1?6*;5480?!?0:390(9?;:69'043=?2.?=;48;%623?1<,=;36:5+40;93>"39h0<7):>b;58 17d2>1/8:j794$503>2=#<;;1;6*;2384?!25;3=0(9<;:69'073=?2.?>;48;%613?1<,=836:5+43;93>"3:h0<7):=b;58 14d2>1/8?j57:&76`<03->9j794$513>2=#<:;1;6*;3384?!24;3=0(9=;:69'063=?2.??;48;%603?1<,=936:5+42;93>"3;h0<7):1/8>j57:&77`<03->8j794$563>2=#<=;1;6*;4384?!23;3=0(9:;:69'013=?2.?8;48;%673?1<,=>36:5+45;93>"31/89j57:&70`<03->?j794$573>2=#<<;1;6*;5384?!22;3=0(9>9:c`3?!2193984;5aa6695c`c3-3=o7<79e9j67e=83B2<>54i30g>5l=:18m=5;h;a5?6=3`;>47>5$821>4303g3;=7>4$64e>4253g==i7j4;h362?6=,0:96<;8;o;35?7<,>97>5$821>4303g3;=7<4$64e>4253g==i7l4;h367?6=,0:96<;8;o;35?5<,>7>5$821>4303g3;=7:4$64e>4253g==i774;h355?6=,0:96<;8;o;35?3<,><3`;>j7>5$821>4303g3;=784$64e>4253g==i794;h36a?6=,0:96<;8;o;35?1<,>h7>5$821>4303g3;=764$64e>4253g==i7;4;h36g?6=,0:96<;8;o;35??<,>n7>5$821>4303g3;=7o4$64e>4253g==i7=4;h36e?6=,0:96<;8;o;35?d<,>57>5$821>4303g3;=7m4$64e>4253g==i7?4;h365?6=,0:96<;8;o;35?b<,>5$821>41?3g3;=7>4$64e>4043g==i7j4;h343?6=,0:96<97;o;35?7<,>5$821>41?3g3;=7<4$64e>4043g==i7l4;h340?6=,0:96<97;o;35?5<,>5$821>41?3g3;=7:4$64e>4043g==i774;h3;6?6=,0:96<97;o;35?3<,><3`;3<7>5$821>41?3g3;=784$64e>4043g==i794;h34b?6=,0:96<97;o;35?1<,>5$821>41?3g3;=764$64e>4043g==i7;4;h34`?6=,0:96<97;o;35??<,>5$821>41?3g3;=7o4$64e>4043g==i7=4;h34f?6=,0:96<97;o;35?d<,>5$821>41?3g3;=7m4$64e>4043g==i7?4;h346?6=,0:96<97;o;35?b<,>5$821>71d3g3;=7>4$64e>73e3g==i7>4;h0`6?6=,0:96?m>;o;35?6<,>5$821>67b3g3;=7>4$64e>7`c3g==i7>4;n150?6=,0:96>8<;o;35?6<,>:=;o55a?6<3th944h51;0;>5<7s-3j?77>6:Jb1a=O1h?0V?>i:7y55?052?i1:i480;52>xh5=<0:7)o:8;c63>"f=00j9:5a24695>">?8087)o82;;22>">8?02=o5G98`8 4>320?o7)::4;18 1322:1/5:<53:&751<03->:9794$535>2=#<8=1;6*;1984?!2613=0(9?n:69'04d=?2.?=n48;%62`?1<,=;n6:5+40d93>"3:90<7):=1;58 1452>1/8?=57:&761<03->99794$505>2=#<;=1;6*;2984?!2513=0(9n48;%61`?1<,=8n6:5+43d93>"3;90<7):<1;58 1552>1/8>=57:&771<03->89794$515>2=#<:=1;6*;3984?!2413=0(9=n:69'06d=?2.??n48;%60`?1<,=9n6:5+42d93>"3<90<7):;1;58 1252>1/89=57:&701<03->?9794$565>2=#<==1;6*;4984?!2313=0(9:n:69'01d=?2.?8n48;%67`?1<,=>n6:5+45d93>"3=90<7)::1;58 1352>1/88=57:&7435H820?>o5:m0;6E7?3:9j7g7=83d25n4>;:k0f7<72g32o7?4;h;40?6=f03h6=54i856>58;0:9:5a91394>"0>o0:8?5a77g9`>=n9<<1<7*6038212=i19;1=6*86g8207=i??o1o65f14794?">8;0:9:5a91396>"0>o0:8?5a77g9f>=n9<91<7*6038212=i19;1?6*86g8207=i??o1m65f17094?">8;0:9:5a91390>"0>o0:8?5a77g9=>=n9?;1<7*6038212=i19;196*86g8207=i??o1465f14d94?">8;0:9:5a91392>"0>o0:8?5a77g93>=n98;0:9:5a9139<>"0>o0:8?5a77g91>=n98;0:9:5a9139e>"0>o0:8?5a77g97>=n965f14;94?">8;0:9:5a9139g>"0>o0:8?5a77g95>=n9<;1<7*6038212=i19;1h6*86g8207=i??o1<65f16;94?">8;0:;55a91394>"0>o0::>5a77g9`>=n9>=1<7*603823==i19;1=6*86g8226=i??o1o65f16494?">8;0:;55a91396>"0>o0::>5a77g9f>=n9>>1<7*603823==i19;1?6*86g8226=i??o1m65f19194?">8;0:;55a91390>"0>o0::>5a77g9=>=n9181<7*603823==i19;196*86g8226=i??o1465f19294?">8;0:;55a91392>"0>o0::>5a77g93>=n9>l1<7*603823==i19;1;6*86g8226=i??o1:65f16g94?">8;0:;55a9139<>"0>o0::>5a77g91>=n9>n1<7*603823==i19;156*86g8226=i??o1865f16a94?">8;0:;55a9139e>"0>o0::>5a77g97>=n9>h1<7*603823==i19;1n6*86g8226=i??o1>65f16c94?">8;0:;55a9139g>"0>o0::>5a77g95>=n9>81<7*603823==i19;1h6*86g8226=i??o1<65f26f94?">8;09;n5a91394>"0>o099o5a77g94>=n:j81<7*60381g4=i19;1<6*86g81e5=i??o1<65`30d94?">8;08=h5a91394>"0>o09ji5a77g94>=h;?>1<7*6038026=i19;1<6*86g8007=i??o1<65rb3:b4?7=:10;6=u+9`19=40<@h?o7E7n5:X14c<1s?;1:?49c;4g>26=?80vb?;::09'e0>=i<=0(l;6:`74?k42<3;0(49>:29'e24=18<0(4>9:83a?M?>j2.:49465e9'002=;2.?984<;%;46?5<,=;?6:5+40793>"39?0<7):>7;58 17?2>1/8<757:&75d<03->:n794$53`>2=#<8n1;6*;1d84?!26n3=0(9?48;%617?1<,=8?6:5+43793>"3:?0<7):=7;58 14?2>1/8?757:&76d<03->9n794$50`>2=#<;n1;6*;2d84?!25n3=0(9=?:69'067=?2.???48;%607?1<,=9?6:5+42793>"3;?0<7):<7;58 15?2>1/8>757:&77d<03->8n794$51`>2=#<:n1;6*;3d84?!24n3=0(9:?:69'017=?2.?8?48;%677?1<,=>?6:5+45793>"31/89757:&70d<03->?n794$56`>2=#<=n1;6*;4d84?!23n3=0(9;?:69'007=?2.?9?48;%667?1<,=:=6ol?;%655?540?1em::51gde?!?1k3835i5f23a94?N>8:10e?5n8;`>4==n1>>1<7`69b83?>o>?<0;6c76c;28?l?e83:17d7m1;29?l7203:1(4>=:074?k?793:0(:8i:061?k11m3n07d?:6;29 <6528?<7c7?1;38 20a28>97c99e;a8?l72=3:1(4>=:074?k?79380(:8i:061?k11m3h07d?:3;29 <6528?<7c7?1;18 20a28>97c99e;c8?l71:3:1(4>=:074?k?793>0(:8i:061?k11m3307d?91;29 <6528?<7c7?1;78 20a28>97c99e;:8?l72n3:1(4>=:074?k?793<0(:8i:061?k11m3=07d?:e;29 <6528?<7c7?1;58 20a28>97c99e;48?l72l3:1(4>=:074?k?79320(:8i:061?k11m3?07d?:c;29 <6528?<7c7?1;;8 20a28>97c99e;68?l72j3:1(4>=:074?k?793k0(:8i:061?k11m3907d?:a;29 <6528?<7c7?1;`8 20a28>97c99e;08?l7213:1(4>=:074?k?793i0(:8i:061?k11m3;07d?:1;29 <6528?<7c7?1;f8 20a28>97c99e;28?l7013:1(4>=:05;?k?793:0(:8i:040?k11m3n07d?87;29 <6528=37c7?1;38 20a28<87c99e;a8?l70>3:1(4>=:05;?k?79380(:8i:040?k11m3h07d?84;29 <6528=37c7?1;18 20a28<87c99e;c8?l7?;3:1(4>=:05;?k?793>0(:8i:040?k11m3307d?72;29 <6528=37c7?1;78 20a28<87c99e;:8?l7?83:1(4>=:05;?k?793<0(:8i:040?k11m3=07d?8f;29 <6528=37c7?1;58 20a28<87c99e;48?l70m3:1(4>=:05;?k?79320(:8i:040?k11m3?07d?8d;29 <6528=37c7?1;;8 20a28<87c99e;68?l70k3:1(4>=:05;?k?793k0(:8i:040?k11m3907d?8b;29 <6528=37c7?1;`8 20a28<87c99e;08?l70i3:1(4>=:05;?k?793i0(:8i:040?k11m3;07d?82;29 <6528=37c7?1;f8 20a28<87c99e;28?l40l3:1(4>=:35`?k?793:0(:8i:37a?k11m3:07d=:23f?k?793:0(:8i:3dg?k11m3:07b=94;29 <652:<87c7?1;28 20a2:>97c99e;28?xd50h;1=7<7:183!?f;33::6Fn5e9K=d33e=>m0<<79>:|l110<63-k>47o:7:&b1<1e>8:51:&:34<43-k<>77>6:&:43<>9k1C54l4$0:7><3c3->>87=4$576>6=#1>81?6*;1584?!26=3=0(9?9:69'041=?2.?=548;%62=?1<,=;j6:5+40`93>"39j0<7):>d;58 17b2>1/89=794$501>2=#<;91;6*;2584?!25=3=0(9<9:69'071=?2.?>548;%61=?1<,=8j6:5+43`93>"3:j0<7):=d;58 14b2>1/8?h57:&775<03->8=794$511>2=#<:91;6*;3584?!24=3=0(9=9:69'061=?2.??548;%60=?1<,=9j6:5+42`93>"3;j0<7):1/8>h57:&705<03->?=794$561>2=#<=91;6*;4584?!23=3=0(9:9:69'011=?2.?8548;%67=?1<,=>j6:5+45`93>"31/89h57:&715<03->>=794$571>2=#<<91;6*;078af5=#69;oc40?47891/5;m529;g?l45k3:1D4><;:k16a<72A3;?65f3c394?h>1j0:76gk?>k3;07d784;29j50;9j=g7=831b=8650;&:47<6=>1e5=?50:&42c<6<;1e;;k5d:9j500=83.2569m=57=92.<:k4>439m33c=k21b=8;50;&:47<6=>1e5=?52:&42c<6<;1e;;k5b:9j505=83.2569m=57=;2.<:k4>439m33c=i21b=;<50;&:47<6=>1e5=?54:&42c<6<;1e;;k59:9j537=83.2569m=57==2.<:k4>439m33c=021b=8h50;&:47<6=>1e5=?56:&42c<6<;1e;;k57:9j50c=83.2569m=57=?2.<:k4>439m33c=>21b=8j50;&:47<6=>1e5=?58:&42c<6<;1e;;k55:9j50e=83.2569m=57=12.<:k4>439m33c=<21b=8l50;&:47<6=>1e5=?5a:&42c<6<;1e;;k53:9j50g=83.2569m=57=j2.<:k4>439m33c=:21b=8750;&:47<6=>1e5=?5c:&42c<6<;1e;;k51:9j507=83.2569m=57=l2.<:k4>439m33c=821b=:750;&:47<6?11e5=?50:&42c<6>:1e;;k5d:9j521=83.2799m=57=92.<:k4>629m33c=k21b=:850;&:47<6?11e5=?52:&42c<6>:1e;;k5b:9j522=83.2799m=57=;2.<:k4>629m33c=i21b=5=50;&:47<6?11e5=?54:&42c<6>:1e;;k59:9j5=4=83.2799m=57==2.<:k4>629m33c=021b=5>50;&:47<6?11e5=?56:&42c<6>:1e;;k57:9j52`=83.2799m=57=?2.<:k4>629m33c=>21b=:k50;&:47<6?11e5=?58:&42c<6>:1e;;k55:9j52b=83.2799m=57=12.<:k4>629m33c=<21b=:m50;&:47<6?11e5=?5a:&42c<6>:1e;;k53:9j52d=83.2799m=57=j2.<:k4>629m33c=:21b=:o50;&:47<6?11e5=?5c:&42c<6>:1e;;k51:9j524=83.2799m=57=l2.<:k4>629m33c=821b>:j50;&:47<5?j1e5=?50:&42c<5=k1e;;k50:9j6f4=83.2;0=o78k:629346<5+a4:9e01<,h?26l;8;o060?7<,0=:6>5+a609=40<,0:=64?m;I;:f>"60=029i5+44697>"3=<087)782;18 1732>1/8<;57:&753<03->:;794$53;>2=#<831;6*;1`84?!26j3=0(9?l:69'04b=?2.?=h48;%62b?1<,=8;6:5+43393>"3:;0<7):=3;58 1432>1/8?;57:&763<03->9;794$50;>2=#<;31;6*;2`84?!25j3=0(9h48;%61b?1<,=9;6:5+42393>"3;;0<7):<3;58 1532>1/8>;57:&773<03->8;794$51;>2=#<:31;6*;3`84?!24j3=0(9=l:69'06b=?2.??h48;%60b?1<,=>;6:5+45393>"3<;0<7):;3;58 1232>1/89;57:&703<03->?;794$56;>2=#<=31;6*;4`84?!23j3=0(9:l:69'01b=?2.?8h48;%67b?1<,=?;6:5+44393>"3=;0<7)::3;58 1612kh;7):91;10<3=ii>>1>=>>;%;5g?4?1m1b>?m50;J:46=4;h;a4?6=3`3i=7>5;h36:7>5$821>4303g3;=7?4$64e>4253g==i7m4;h361?6=,0:96<;8;o;35?4<,>?7>5$821>4303g3;=7=4$64e>4253g==i7o4;h356?6=,0:96<;8;o;35?2<,>5$821>4303g3;=7;4$64e>4253g==i764;h36b?6=,0:96<;8;o;35?0<,>i7>5$821>4303g3;=794$64e>4253g==i784;h36`?6=,0:96<;8;o;35?><,>o7>5$821>4303g3;=774$64e>4253g==i7:4;h36f?6=,0:96<;8;o;35?g<,>m7>5$821>4303g3;=7l4$64e>4253g==i7<4;h36=?6=,0:96<;8;o;35?e<,>=7>5$821>4303g3;=7j4$64e>4253g==i7>4;h34=?6=,0:96<97;o;35?6<,>5$821>41?3g3;=7?4$64e>4043g==i7m4;h342?6=,0:96<97;o;35?4<,>5$821>41?3g3;=7=4$64e>4043g==i7o4;h3;7?6=,0:96<97;o;35?2<,>7>5$821>41?3g3;=7;4$64e>4043g==i764;h3;4?6=,0:96<97;o;35?0<,>5$821>41?3g3;=794$64e>4043g==i784;h34a?6=,0:96<97;o;35?><,>5$821>41?3g3;=774$64e>4043g==i7:4;h34g?6=,0:96<97;o;35?g<,>5$821>41?3g3;=7l4$64e>4043g==i7<4;h34e?6=,0:96<97;o;35?e<,>7>5$821>41?3g3;=7j4$64e>4043g==i7>4;h04`?6=,0:96?9l;o;35?6<,>7>5$821>7e63g3;=7>4$64e>7g73g==i7>4;n12b?6=,0:96>?j;o;35?6<,>5$821>6043g3;=7>4$64e>6253g==i7>4;|`12Bj9i5G9`78^76a2?q==78=:7a92a<083=:6p`=5482?!g203k>;6*n588b12=i:<>1=6*67080?!g0:33::6*6078:5g=O10h0(<6;:87g?!22<390(9;::29'=24=;2.?=948;%621?1<,=;=6:5+40593>"3910<7):>9;58 17f2>1/8:h794$53f>2=#<8l1;6*;2184?!2593=0(9<=:69'075=?2.?>948;%611?1<,=8=6:5+43593>"3:10<7):=9;58 14f2>1/8?l57:&76f<03->9h794$50f>2=#<;l1;6*;3184?!2493=0(9==:69'065=?2.??948;%601?1<,=9=6:5+42593>"3;10<7):<9;58 15f2>1/8>l57:&77f<03->8h794$51f>2=#<:l1;6*;4184?!2393=0(9:=:69'015=?2.?8948;%671?1<,=>=6:5+45593>"3<10<7):;9;58 12f2>1/89l57:&70f<03->?h794$56f>2=#<=l1;6*;5184?!2293=0(9;=:69'005=?2.?<;4mb19'037=;:2=7co84;0347=#1?i1>57k;h01g?6=@0:876g=2e83>M?7;21b?o?50;l:=f<632c8n?4?:o;:g?7<3`3<87>5n8;`>5=6=4a98a94>=n1k:1<75f9c394?=n9<21<7*6038212=i19;1<6*86g8207=i??o1h65f14494?">8;0:9:5a91395>"0>o0:8?5a77g9g>=n96*86g8207=i??o1n65f14194?">8;0:9:5a91397>"0>o0:8?5a77g9e>=n9?81<7*6038212=i19;186*86g8207=i??o1565f17394?">8;0:9:5a91391>"0>o0:8?5a77g9<>=n98;0:9:5a91393>"0>o0:8?5a77g92>=n98;0:9:5a9139=>"0>o0:8?5a77g90>=n98;0:9:5a9139f>"0>o0:8?5a77g96>=n9<31<7*6038212=i19;1o6*86g8207=i??o1=65f14394?">8;0:9:5a9139`>"0>o0:8?5a77g94>=n9>31<7*603823==i19;1<6*86g8226=i??o1h65f16594?">8;0:;55a91395>"0>o0::>5a77g9g>=n9><1<7*603823==i19;1>6*86g8226=i??o1n65f16694?">8;0:;55a91397>"0>o0::>5a77g9e>=n9191<7*603823==i19;186*86g8226=i??o1565f19094?">8;0:;55a91391>"0>o0::>5a77g9<>=n91:1<7*603823==i19;1:6*86g8226=i??o1;65f16d94?">8;0:;55a91393>"0>o0::>5a77g92>=n9>o1<7*603823==i19;146*86g8226=i??o1965f16f94?">8;0:;55a9139=>"0>o0::>5a77g90>=n9>i1<7*603823==i19;1m6*86g8226=i??o1?65f16`94?">8;0:;55a9139f>"0>o0::>5a77g96>=n9>k1<7*603823==i19;1o6*86g8226=i??o1=65f16094?">8;0:;55a9139`>"0>o0::>5a77g94>=n:>n1<7*603813f=i19;1<6*86g811g=i??o1<65f2b094?">8;09o<5a91394>"0>o09m=5a77g94>=h;8l1<7*603805`=i19;1<6*86g81ba=i??o1<65`37694?">8;08:>5a91394>"0>o088?5a77g94>=zj;2j87?52983>5}#1h915<84H`7g?M?f=2P95708~j732281/m865a458 d3>2h?<7c<:4;38 <162:1/m:<59048 <6120;i7E76b:&2<1<>=m1/88:53:&710<43-3<>7=4$537>2=#<8?1;6*;1784?!26?3=0(9?7:69'04?=?2.?=l48;%62f?1<,=;h6:5+40f93>"39l0<7):>f;58 1472>1/8??57:&767<03->9?794$507>2=#<;?1;6*;2784?!25?3=0(9<7:69'07?=?2.?>l48;%61f?1<,=8h6:5+43f93>"3:l0<7):=f;58 1572>1/8>?57:&777<03->8?794$517>2=#<:?1;6*;3784?!24?3=0(9=7:69'06?=?2.??l48;%60f?1<,=9h6:5+42f93>"3;l0<7):1/89?57:&707<03->??794$567>2=#<=?1;6*;4784?!23?3=0(9:7:69'01?=?2.?8l48;%67f?1<,=>h6:5+45f93>"31/88?57:&717<03->>?794$525>gd73->==7=<879me22=:9:87)79c;0;=a=n:;i1<7F60298m74c290C5==4;h1a5?6=f03h6<54i2`1>51j0;76g67483>k?>k3:07d7m0;29?l?e93:17d?:8;29 <6528?<7c7?1;28 20a28>97c99e;f8?l72>3:1(4>=:074?k?793;0(:8i:061?k11m3i07d?:5;29 <6528?<7c7?1;08 20a28>97c99e;`8?l72;3:1(4>=:074?k?79390(:8i:061?k11m3k07d?92;29 <6528?<7c7?1;68 20a28>97c99e;;8?l7193:1(4>=:074?k?793?0(:8i:061?k11m3207d?:f;29 <6528?<7c7?1;48 20a28>97c99e;58?l72m3:1(4>=:074?k?793=0(:8i:061?k11m3<07d?:d;29 <6528?<7c7?1;:8 20a28>97c99e;78?l72k3:1(4>=:074?k?79330(:8i:061?k11m3>07d?:b;29 <6528?<7c7?1;c8 20a28>97c99e;18?l72i3:1(4>=:074?k?793h0(:8i:061?k11m3807d?:9;29 <6528?<7c7?1;a8 20a28>97c99e;38?l7293:1(4>=:074?k?793n0(:8i:061?k11m3:07d?89;29 <6528=37c7?1;28 20a28<87c99e;f8?l70?3:1(4>=:05;?k?793;0(:8i:040?k11m3i07d?86;29 <6528=37c7?1;08 20a28<87c99e;`8?l70<3:1(4>=:05;?k?79390(:8i:040?k11m3k07d?73;29 <6528=37c7?1;68 20a28<87c99e;;8?l7?:3:1(4>=:05;?k?793?0(:8i:040?k11m3207d?70;29 <6528=37c7?1;48 20a28<87c99e;58?l70n3:1(4>=:05;?k?793=0(:8i:040?k11m3<07d?8e;29 <6528=37c7?1;:8 20a28<87c99e;78?l70l3:1(4>=:05;?k?79330(:8i:040?k11m3>07d?8c;29 <6528=37c7?1;c8 20a28<87c99e;18?l70j3:1(4>=:05;?k?793h0(:8i:040?k11m3807d?8a;29 <6528=37c7?1;a8 20a28<87c99e;38?l70:3:1(4>=:05;?k?793n0(:8i:040?k11m3:07d<8d;29 <652;=h7c7?1;28 20a2;?i7c99e;28?l4d:3:1(4>=:3a2?k?793:0(:8i:3c3?k11m3:07b=>f;29 <652:;n7c7?1;28 20a2;lo7c99e;28?j51<3:1(4>=:240?k?793:0(:8i:261?k11m3:07pl=8`795?4?290;w)7n3;;22>Nf=m1C5l;4Z32e>3}193<96;m56e844?162td9984>;%c62.2<;461c9K=6>5+96097>"39=0<7):>5;58 1712>1/8<957:&75=<03->:5794$53b>2=#<8h1;6*;1b84?!26l3=0(9?j:69'04`=?2.?>=48;%615?1<,=896:5+43193>"3:=0<7):=5;58 1412>1/8?957:&76=<03->95794$50b>2=#<;h1;6*;2b84?!25l3=0(9"3;=0<7):<5;58 1512>1/8>957:&77=<03->85794$51b>2=#<:h1;6*;3b84?!24l3=0(9=j:69'06`=?2.?8=48;%675?1<,=>96:5+45193>"3<=0<7):;5;58 1212>1/89957:&70=<03->?5794$56b>2=#<=h1;6*;4b84?!23l3=0(9:j:69'01`=?2.?9=48;%665?1<,=?96:5+44193>"38?0in=5+473976>13gk<87i4?:I;37>=n;k;1<7`69b82?>o4j;0;6c76c;38?l?0<3:1b47l:198m<12290e54m50:9j=g6=831b5o?50;9j50>=83.2569m=57=82.<:k4>439m33c=l21b=8850;&:47<6=>1e5=?51:&42c<6<;1e;;k5c:9j503=83.2569m=57=:2.<:k4>439m33c=j21b=8=50;&:47<6=>1e5=?53:&42c<6<;1e;;k5a:9j534=83.2569m=57=<2.<:k4>439m33c=121b=;?50;&:47<6=>1e5=?55:&42c<6<;1e;;k58:9j50`=83.2569m=57=>2.<:k4>439m33c=?21b=8k50;&:47<6=>1e5=?57:&42c<6<;1e;;k56:9j50b=83.2569m=57=02.<:k4>439m33c==21b=8m50;&:47<6=>1e5=?59:&42c<6<;1e;;k54:9j50d=83.2569m=57=i2.<:k4>439m33c=;21b=8o50;&:47<6=>1e5=?5b:&42c<6<;1e;;k52:9j50?=83.2569m=57=k2.<:k4>439m33c=921b=8?50;&:47<6=>1e5=?5d:&42c<6<;1e;;k50:9j52?=83.2799m=57=82.<:k4>629m33c=l21b=:950;&:47<6?11e5=?51:&42c<6>:1e;;k5c:9j520=83.2799m=57=:2.<:k4>629m33c=j21b=::50;&:47<6?11e5=?53:&42c<6>:1e;;k5a:9j5=5=83.2799m=57=<2.<:k4>629m33c=121b=5<50;&:47<6?11e5=?55:&42c<6>:1e;;k58:9j5=6=83.2799m=57=>2.<:k4>629m33c=?21b=:h50;&:47<6?11e5=?57:&42c<6>:1e;;k56:9j52c=83.2799m=57=02.<:k4>629m33c==21b=:j50;&:47<6?11e5=?59:&42c<6>:1e;;k54:9j52e=83.2799m=57=i2.<:k4>629m33c=;21b=:l50;&:47<6?11e5=?5b:&42c<6>:1e;;k52:9j52g=83.2799m=57=k2.<:k4>629m33c=921b=:<50;&:47<6?11e5=?5d:&42c<6>:1e;;k50:9j62b=83.2n<50;&:47<5k81e5=?50:&42c<5i91e;;k50:9l74`=83.2:1e5=?50:&42c<4<;1e;;k50:9~f7>f?3;1?=4?:1y'=d5=1820Dl;k;I;b1>\58o0=w8o55c8b0?g22h21m44rn376>1=#i<21m894$`7:>d303g8>87:4$852>6=#i>815<64$825><7e3A32n6*>858:1a=#<<>1?6*;5480?!?0:390(9?;:69'043=?2.?=;48;%623?1<,=;36:5+40;93>"39h0<7):>b;58 17d2>1/8:j794$503>2=#<;;1;6*;2384?!25;3=0(9<;:69'073=?2.?>;48;%613?1<,=836:5+43;93>"3:h0<7):=b;58 14d2>1/8?j57:&76`<03->9j794$513>2=#<:;1;6*;3384?!24;3=0(9=;:69'063=?2.??;48;%603?1<,=936:5+42;93>"3;h0<7):1/8>j57:&77`<03->8j794$563>2=#<=;1;6*;4384?!23;3=0(9:;:69'013=?2.?8;48;%673?1<,=>36:5+45;93>"31/89j57:&70`<03->?j794$573>2=#<<;1;6*;5384?!22;3=0(9>9:c`3?!2193984;5aa66965623-3=o7<7a79j67e=83B2<>54i30g>5l=:18m=5;h;a5?6=3`;>97>5$821>4333g3;=7>4$64e>4253g==i7l4;h367?6=,0:96<;;;o;35?7<,>7>5$821>4333g3;=7<4$64e>4253g==i774;h355?6=,0:96<;;;o;35?5<,><3`;>j7>5$821>4333g3;=7:4$64e>4253g==i794;h36a?6=,0:96<;;;o;35?3<,>h7>5$821>4333g3;=784$64e>4253g==i7;4;h36g?6=,0:96<;;;o;35?1<,>n7>5$821>4333g3;=764$64e>4253g==i7=4;h36e?6=,0:96<;;;o;35??<,>57>5$821>4333g3;=7o4$64e>4253g==i7?4;h365?6=,0:96<;;;o;35?d<,>5$821>4123g3;=7>4$64e>4043g==i7l4;h340?6=,0:96<9:;o;35?7<,>5$821>4123g3;=7<4$64e>4043g==i774;h3;6?6=,0:96<9:;o;35?5<,><3`;3<7>5$821>4123g3;=7:4$64e>4043g==i794;h34b?6=,0:96<9:;o;35?3<,>5$821>4123g3;=784$64e>4043g==i7;4;h34`?6=,0:96<9:;o;35?1<,>5$821>4123g3;=764$64e>4043g==i7=4;h34f?6=,0:96<9:;o;35??<,>5$821>4123g3;=7o4$64e>4043g==i7?4;h346?6=,0:96<9:;o;35?d<,>5$821>7?03g3;=7>4$64e>73e3g==i7=4;h0:7?6=,0:96?78;o;35?7<,>5$821>7?03g3;=7<4$64e>73e3g==i7?4;h04`?6=,0:96?78;o;35?5<,>5$821>7bd3g3;=7>4$64e>7g73g==i7=4;h0g5$821>7bd3g3;=7<4$64e>7g73g==i7?4;h0`6?6=,0:96?jl;o;35?5<,>5$821>65>3g3;=7>4$64e>7`c3g==i7=4;n101?6=,0:96>=6;o;35?7<,>5$821>65>3g3;=7<4$64e>7`c3g==i7?4;n12b?6=,0:96>=6;o;35?5<,>5$821>61b3g3;=7>4$64e>6253g==i7=4;n14e?6=,0:96>9j;o;35?7<,>:=;o55a?4<3f9=j7>5$821>61b3g3;=7<4$64e>6253g==i7?4;n150?6=,0:96>9j;o;35?5<,>:=;o55a?6<3th94l651;13>5<7s-3j?77>8:Jb1a=O1h?0V?>i:7y6e?3e2h>1m84n8;c:>xh5=<0?7)o:8;c63>"f=00j9:5a24690>">?8087)o82;;2<>">8?02=o5G98`8 4>320?o7)::4;18 1322:1/5:<53:&751<03->:9794$535>2=#<8=1;6*;1984?!2613=0(9?n:69'04d=?2.?=n48;%62`?1<,=;n6:5+40d93>"3:90<7):=1;58 1452>1/8?=57:&761<03->99794$505>2=#<;=1;6*;2984?!2513=0(9n48;%61`?1<,=8n6:5+43d93>"3;90<7):<1;58 1552>1/8>=57:&771<03->89794$515>2=#<:=1;6*;3984?!2413=0(9=n:69'06d=?2.??n48;%60`?1<,=9n6:5+42d93>"3<90<7):;1;58 1252>1/89=57:&701<03->?9794$565>2=#<==1;6*;4984?!2313=0(9:n:69'01d=?2.?8n48;%67`?1<,=>n6:5+45d93>"3=90<7)::1;58 1352>1/88=57:&7435H820?>o5:m0;6E7?3:9j7g7=83d25n4>;:k0f7<72g32o7?4;h;40?6=f03h6=54i856>58;0:995a91394>"0>o0:8?5a77g9f>=n9<91<7*6038211=i19;1=6*86g8207=i??o1m65f17094?">8;0:995a91396>"0>o0:8?5a77g9=>=n9?;1<7*6038211=i19;1?6*86g8207=i??o1465f14d94?">8;0:995a91390>"0>o0:8?5a77g93>=n98;0:995a91392>"0>o0:8?5a77g91>=n98;0:995a9139<>"0>o0:8?5a77g97>=n965f14;94?">8;0:995a9139e>"0>o0:8?5a77g95>=n9<;1<7*6038211=i19;1n6*86g8207=i??o1<65f16494?">8;0:;85a91394>"0>o0::>5a77g9f>=n9>>1<7*6038230=i19;1=6*86g8226=i??o1m65f19194?">8;0:;85a91396>"0>o0::>5a77g9=>=n9181<7*6038230=i19;1?6*86g8226=i??o1465f19294?">8;0:;85a91390>"0>o0::>5a77g93>=n9>l1<7*6038230=i19;196*86g8226=i??o1:65f16g94?">8;0:;85a91392>"0>o0::>5a77g91>=n9>n1<7*6038230=i19;1;6*86g8226=i??o1865f16a94?">8;0:;85a9139<>"0>o0::>5a77g97>=n9>h1<7*6038230=i19;156*86g8226=i??o1>65f16c94?">8;0:;85a9139e>"0>o0::>5a77g95>=n9>81<7*6038230=i19;1n6*86g8226=i??o1<65f28:94?">8;095:5a91394>"0>o099o5a77g97>=n:091<7*60381=2=i19;1=6*86g811g=i??o1>65f29:94?">8;095:5a91396>"0>o099o5a77g95>=n:>n1<7*60381=2=i19;1?6*86g811g=i??o1<65f2ef94?">8;09hn5a91394>"0>o09m=5a77g97>=n:m21<7*60381`f=i19;1=6*86g81e5=i??o1>65f2bf94?">8;09hn5a91396>"0>o09m=5a77g95>=n:j81<7*60381`f=i19;1?6*86g81e5=i??o1<65`32c94?">8;08?45a91394>"0>o09ji5a77g97>=h;:?1<7*603807<=i19;1=6*86g81ba=i??o1>65`33c94?">8;08?45a91396>"0>o09ji5a77g95>=h;8l1<7*603807<=i19;1?6*86g81ba=i??o1<65`36d94?">8;08;h5a91394>"0>o088?5a77g97>=h;>k1<7*603803`=i19;1=6*86g8007=i??o1>65`37d94?">8;08;h5a91396>"0>o088?5a77g95>=h;?>1<7*603803`=i19;1?6*86g8007=i??o1<65rb515`?7=ih0;6=u+9`19=41<@h?o7E7n5:X14c::9c93k<65m5a`8;`?ge2t.2;<49;%63f?1<,=:h6:5+41f93>"38l0<7):?f;58 1772>1/8:8794$536>2=#<8<1;6*;1684?!2603=0(9?6:69'04g=?2.?=o48;%62g?1<,=;o6:5+40g93>"39o0<7):=0;58 1462>1/8?<57:&766<03->98794$506>2=#<;<1;6*;2684?!2503=0(9<6:69'07g=?2.?>o48;%61g?1<,=8o6:5+43g93>"3:o0<7):<0;58 1562>1/8><57:&776<03->88794$516>2=#<:<1;6*;3684?!2403=0(9=6:69'06g=?2.??o48;%60g?1<,=9o6:5+42g93>"3;o0<7):;0;58 1262>1/89<57:&706<03->?8794$566>2=#<=<1;6*;4684?!2303=0(9:6:69'01g=?2.?8o48;%67g?1<,=>o6:5+45g93>"31/88<57:&716<03->>8784$576>3=#i<21m894$`7:>d303-3<>784$`51><703-3;:77>b:J:=g=#91>158j4$525>gd73->==7=<879'=36=91n0bl9;:3233>">>j0??;m4i30`>57>5n8;`>4==n1>?1<7`69b83?>o>j90;66g6b083>>o6>80;6)7?2;354>h>880;7)99f;376>h0>l0376g>5g83>!?7:3;=<6`60082?!11n3;?>6`86d84?>o6=l0;6)7?2;354>h>88097)99f;376>h0>l0=76g>5e83>!?7:3;=<6`60080?!11n3;?>6`86d86?>o6=j0;6)7?2;354>h>880?7)99f;376>h0>l0?76g>5c83>!?7:3;=<6`60086?!11n3;?>6`86d80?>o6=h0;6)7?2;354>h>880=7)99f;376>h0>l0976g>5883>!?7:3;=<6`60084?!11n3;?>6`86d82?>o6=80;6)7?2;354>h>88037)99f;376>h0>l0;76g>8383>!?7:3;3=6`60083?!11n3;=?6`86d8;?>o6090;6)7?2;3;5>h>880:7)99f;357>h0>l0<76g>7g83>!?7:3;3=6`60081?!11n3;=?6`86d85?>o6?l0;6)7?2;3;5>h>88087)99f;357>h0>l0>76g>7e83>!?7:3;3=6`60087?!11n3;=?6`86d87?>o6?j0;6)7?2;3;5>h>880>7)99f;357>h0>l0876g>7c83>!?7:3;3=6`60085?!11n3;=?6`86d81?>o6?h0;6)7?2;3;5>h>880<7)99f;357>h0>l0:76g>7383>!?7:3;3=6`6008;?!11n3;=?6`86d83?>o51?0;6)7?2;0:1>h>880;7)99f;06f>h0>l0:j65f28694?">8;09585a91395>"0>o099o5a77g95`==2d2<<4;;%55b?42j2d<:h4>b:9j6=`=83.2>:79'33`=:=:3;6?k?793=0(:8i:37a?k11m3;376g=8b83>!?7:38296`6008;?!11n38>n6`86d823>=n:1h1<7*60381=0=i19;156*86g811g=i??o1=;54i3:b>5<#1981>4;4n822>d=#??l1>8l4n64f>43<3`8357>5$821>7?23g3;=7l4$64e>73e3g==i7?;;:k1<2<72-3;>7<65:l:445850;&:47<51<1e5=?5d:&42c<5=k1e;;k51398m7>2290/5=<52878j<662l1/;;h524`8j20b28;07d<74;29 <652;3>7c7?1;d8 20a2;?i7c99e;33?>o50:0;6)7?2;0:1>h>880:<6*86g811g=i??o1j65f29094?">8;09585a913954=#??l1>8l4n64f>`=73e3g==i7j4;h0;4?6=,0:96?7:;o;35?743-==j7<:b:l42`=2d2<<4>4:&42c<5=k1e;;k5b:9j62c=83.2>:048 20a2;?i7c99e;;8?l4>m3:1(4>=:3;6?k?793;<7)99f;06f>h0>l0376g=9e83>!?7:38296`60082<>"0>o099o5a77g93>=n:0h1<7*60381=0=i19;1=45+77d960d5<#1981>4;4n822>4g<,>5$821>7?23g3;=7?m;%55b?42j2d<:h4;;:k1==<72-3;>7<65:l:44<6k2.<:k4=5c9m33c=;21b>4=50;&:47<51<1e5=?51e9'33`=:?290/5=<52878j<6628o0(:8i:37a?k11m3;07d<8d;29 <652;3>7c7?1;3e?!11n38>n6`86d83?>o5lk0;6)7?2;0ge>h>880;7)99f;0b4>h0>l0:j65f2e;94?">8;09hl5a91395>"0>o09m=5a77g95`=b:9j6a2=83.2>:79'33`=:h:0b:8j:0;8?l4c:3:1(4>=:3fb?k?793=0(:8i:3c3?k11m3;376g=d083>!?7:38om6`6008;?!11n38j<6`86d823>=n:m:1<7*60381`d=i19;156*86g81e5=i??o1=;54i3ae>5<#1981>io4n822>d=#??l1>l>4n64f>43<3`8hi7>5$821>7bf3g3;=7l4$64e>7g73g==i7?;;:k1gf<72-3;>7nl50;&:47<5lh1e5=?5d:&42c<5i91e;;k51398m7ef290/5=<52ec8j<662l1/;;h52`28j20b28;07do5k10;6)7?2;0ge>h>880:<6*86g81e5=i??o1j65f2b594?">8;09hl5a913954=#??l1>l>4n64f>`=7g73g==i7j4;h0`1?6=,0:96?jn;o;35?743-==j74:&42c<5i91e;;k5b:9j6f5=83.2>:048 20a2;k;7c99e;;8?l4b;3:1(4>=:3fb?k?793;<7)99f;0b4>h0>l0376g=e383>!?7:38om6`60082<>"0>o09m=5a77g93>=n:l:1<7*60381`d=i19;1=45+77d96d65<#1981>io4n822>4g<,>5$821>7bf3g3;=7?m;%55b?4f82d<:h4;;:k1`a<72-3;>7i650;&:47<5lh1e5=?51e9'33`=:h:0b:8j:398m7ec290/5=<52ec8j<6628o0(:8i:3c3?k11m3;07do5mo0;6)7?2;0fa>h>880;7)99f;0f1>h0>l0876g=ee83>!?7:38ni6`60082?!11n38n96`86d81?>o5mj0;6)7?2;0fa>h>88097)99f;0f1>h0>l0:76g=ec83>!?7:38ni6`60080?!11n38n96`86d83?>o5nh0;6)7?2;0e=>h>880;7)99f;0e4>h0>l0876g=f983>!?7:38m56`60082?!11n38m<6`86d81?>o5n>0;6)7?2;0e=>h>88097)99f;0e4>h0>l0:76g=f783>!?7:38m56`60080?!11n38m<6`86d83?>i4;10;6)7?2;103>h>880;7)99f;0e`>h0>l0:j65`32494?">8;08?:5a91395>"0>o09ji5a77g95`==8;o;35?5<,>b:9l767=83.2=?:18'=54=;:=0b4>>:79'33`=:on0b:8j:0;8?j55n3:1(4>=:214?k?793=0(:8i:3dg?k11m3;376a<2d83>!?7:398;6`6008;?!11n38mh6`86d823>=h;;n1<7*6038072=i19;156*86g81ba=i??o1=;54o20`>5<#1981?>94n822>d=#??l1>kj4n64f>43<3f99n7>5$821>6503g3;=7l4$64e>7`c3g==i7?;;:m06<<72-3;>7=<7:l:441e5=?5d:&42c<5nm1e;;k51398k640290/5=<53258j<662l1/;;h52gf8j20b28;07b==6;29 <652:9<7c7?1;d8 20a2;lo7c99e;33?>i4:<0;6)7?2;103>h>880:<6*86g81ba=i??o1j65`33694?">8;08?:5a913954=#??l1>kj4n64f>`=7`c3g==i7j4;n116?6=,0:96>=8;o;35?743-==j7<4?:%;36?54?2d2<<4>4:&42c<5nm1e;;k5b:9l776=83.2:>:18'=54=;:=0b4>>:048 20a2;lo7c99e;;8?j5383:1(4>=:214?k?793;<7)99f;0e`>h0>l0376a<3g83>!?7:398;6`60082<>"0>o09ji5a77g93>=h;:n1<7*6038072=i19;1=45+77d96cb5<#1981?>94n822>4g<,>5$821>6503g3;=7?m;%55b?4al2d<:h4;;:m07d<72-3;>7=<7:l:44<6k2.<:k4=fe9m33c=;21d?>;50;&:47<4;>1e5=?51e9'33`=:on0b:8j:398k64f290/5=<53258j<6628o0(:8i:3dg?k11m3;07b=>f;29 <652:9<7c7?1;3e?!11n38mh6`86d83?>i41;0;6)7?2;1:5>h>880;7)99f;1;<>h0>l0876a<9183>!?7:392=6`60082?!11n39346`86d81?>i40o0;6)7?2;1:5>h>88097)99f;1;<>h0>l0:76a<8d83>!?7:392=6`60080?!11n39346`86d83?>i4?m0;6)7?2;14g>h>880;7)99f;176>h0>l0:j65`36`94?">8;08;n5a91395>"0>o088?5a77g95`=9l;o;35?5<,>:=;o55a?7d32e8;:4?:%;36?50k2d2<<4;;%55b?53:2d<:h4>b:9l720=83.29::18'=54=;>i0b4>>:79'33`=;=80b:8j:0;8?j50<3:1(4>=:25`?k?793=0(:8i:261?k11m3;376a<7283>!?7:396`86d823>=h;>81<7*603803f=i19;156*86g8007=i??o1=;54o252>5<#1981?:m4n822>d=#??l1?9<4n64f>43<3f9<<7>5$821>61d3g3;=7l4$64e>6253g==i7?;;:m02`<72-3;>7=8c:l:4497c99e;33?>i4>h0;6)7?2;14g>h>880:<6*86g8007=i??o1j65`37;94?">8;08;n5a913954=#??l1?9<4n64f>`=6253g==i7j4;n153?6=,0:96>9l;o;35?743-==j7=;2:l42`4:&42c<4<;1e;;k5b:9l733=83.269:18'=54=;>i0b4>>:048 20a2:>97c99e;;8?j5?=3:1(4>=:25`?k?793;<7)99f;176>h0>l0376a<8583>!?7:39"0>o088?5a77g93>=h;181<7*603803f=i19;1=45+77d97145<#1981?:m4n822>4g<,>:=;o55a?3<3f93<7>5$821>61d3g3;=7?m;%55b?53:2d<:h4;;:m03c<72-3;>7=8c:l:44<6k2.<:k4<439m33c=;21d?:o50;&:47<4?j1e5=?51e9'33`=;=80b:8j:398k60a290/5=<536a8j<6628o0(:8i:261?k11m3;07b=94;29 <652:=h7c7?1;3e?!11n39?>6`86d83?>i41m0;6)7?2;1:g>h>880;7)99f;1:7>h0>l0876a<9c83>!?7:392o6`60082?!11n392?6`86d81?>i41h0;6)7?2;1:g>h>88097)99f;1:7>h0>l0:76a<9883>!?7:392o6`60080?!11n392?6`86d83?>{e;92>6<4;2;294~">i:02=45Ga4f8L24=n80645+a609=4?<,0:=64?m;I;:f>"60=029i5+44690>"3=<0?7)781;68 <152=1/8<:57:&750<03->::794$534>2=#<821;6*;1884?!26i3=0(9?m:69'04e=?2.?=i48;%62a?1<,=;m6:5+43293>"3:80<7):=2;58 1442>1/8?:57:&760<03->9:794$504>2=#<;21;6*;2884?!25i3=0(9i48;%61a?1<,=8m6:5+42293>"3;80<7):<2;58 1542>1/8>:57:&770<03->8:794$514>2=#<:21;6*;3884?!24i3=0(9=m:69'06e=?2.??i48;%60a?1<,=9m6:5+45293>"3<80<7):;2;58 1242>1/89:57:&700<03->?:794$564>2=#<=21;6*;4884?!23i3=0(9:m:69'01e=?2.?8i48;%67a?1<,=>m6:5+44293>"3=80<7)::2;58 1342>1/8=l57:&74f<03->;h794$52f>2=#<9l1;6*;1184?!2693=0(9?=:69'050=jk:0(98>:21;2>hf?=09<=64$84`>665i2c9>n4?:I;37>=n:;n1<7F60298m6d6290e54m51:9j7g4=83d25n4>;:k:31<72g32o7>4;h;41?6=f03h6=54i8`3>5<5<#1981=8<4n822>5=#??l1=9<4n64f>d=5<#1981=8<4n822>7=#??l1=9<4n64f>==5+77d95145<#1981=8<4n822>1=#??l1=9<4n64f>3=5<#1981=8<4n822>3=#??l1=9<4n64f>1=54i07b>5<#1981=8<4n822>==#??l1=9<4n64f>7=5<#1981=8<4n822>d=#??l1=9<4n64f>5=5<#1981=:=4n822>4=#??l1=;=4n64f><=5<#1981=:=4n822>6=#??l1=;=4n64f>2=5<#1981=:=4n822>0=#??l1=;=4n64f>0=5<#1981=:=4n822>2=#??l1=;=4n64f>6=5<#1981=:=4n822><=#??l1=;=4n64f>4=5<#1981>4m4n822>5=#??l1>8l4n64f>2=5<#1981>4m4n822>7=#??l1>8l4n64f>0=5+77d960d5<#1981>4m4n822>1=#??l1>8l4n64f>6=5<#1981>4m4n822>3=#??l1>8l4n64f>4=5<#1981>h?4n822>5=#??l1>l>4n64f>2=5<#1981>h?4n822>7=#??l1>l>4n64f>0=5+77d96d65<#1981>h?4n822>1=#??l1>l>4n64f>6=5<#1981>h?4n822>3=#??l1>l>4n64f>4=5<#1981>ho4n822>5=#??l1>h;4n64f>5=5<#1981?>k4n822>5=#??l1>kj4n64f>2=5<#1981?>k4n822>7=#??l1>kj4n64f>0=5+77d96cb5<#1981?>k4n822>1=#??l1>kj4n64f>6=6=4+910976c5<#1981?>k4n822>3=#??l1>kj4n64f>4=5<#1981?5j4n822>5=#??l1?564n64f>5=5<#1981?5=4n822>4=#??l1?9<4n64f>3=5<#1981?5=4n822>6=#??l1?9<4n64f>1=54o25b>5<#1981?5=4n822>0=#??l1?9<4n64f>7=5<#1981?5=4n822>2=#??l1?9<4n64f>5=:81/8;?532:5?!26;38=7co84;034<=#1?i1>:68;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6=`f280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1>=>n;%;5g?400>1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rbc61>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;mn0bl9;:323f>">>j0j4i5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c`77?7==3:1">>j0j4i5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c`70?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn?;;3;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6b>3gk<877co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm2467>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=:9:m7)79c;0275=n;oo1<7*n73874==57>5$`51><3e32e<;>4?:%c46?>>821vn>?na;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>6cc3gk<877d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm30c`>4<3290;w)7n3;65`>Nf=m1C5l;4$`51><463->==7=<879'045=;k<0bl9;:3225>">>j08==;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7==86<4+a609<<6<3th8=lk51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7581444<,0
    ??5:k0b`<72-k<>7:?8:9j7c`=83.j;?4;0898m<3>290/m:<594`8?j10;3:1(l9=:9;3?>{e9o?;6<4::183!?f;3><<6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53df8jd132;::?6*66b82add4<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th:j8<51;694?6|,0k8698k;Ic6`>N>i<1/m:<59338 1062:93:6*;1280f3=ii>>1>=?;;%;5g?7bik1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg7a==0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`06g2=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0986`n7581440<,0
    <65:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f64e=3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>>7:&:2f<4:0?0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c031g<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38?7co84;035==#1?i1>==l;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a653d280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1>=?6;%;5g?47;j1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb326`?7==3:1">>j09<>m4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`140c=9391<7>t$8c0>10f3Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0om6`n758144d<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:m436<72-k<>7660:9~f762n3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>>c:&:2f<58:i0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c3`f2<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38:n6`n758144b<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f4ee03;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>>e:&:2f<6khk0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c3a54<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38:n6`n758144`<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f4d6:3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>=0:&:2f<6j9>0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c1;13<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3l27co84;0364=#1?i1?>j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7=30280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891?o64n`57>765:2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl<84:95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58;90(48l:21g1>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi8?98:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196c=ii>>1>=<;;%;5g?27001b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?47:<1/5;m541::?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3thi9h4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;335>hf?=09d>c3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732win8h51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7581471<,07;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xde=k0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7??1:lb31<58;20(48l:`:g?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{ej818:j4$542>65?>2dj;94=03;8 <0d2h2o7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`a1=<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;;=6`n758147g<,05$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:af0?=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09d>c3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07plm5482>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?7792dj;94=03a8 <0d2h2o7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smb4495?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58;n0(48l:`:g?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3thi9?4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;335>hf?=09d>c3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732win8=51;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758147`<,07;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xde:0Dl;k;I;b1>"f?;02><5+473976>13->:?7??1:lb31<58::0(48l:`:g?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{ej<:1=7:50;2x 818:j4$542>65?>2dj;94=0238 <0d2h2o7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`a0f<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;;=6`n7581464<,05$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:af1b=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<>=4$84`>d>c3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07plm4882>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?7792dj;94=0268 <0d2h2o7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smb5c95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58:?0(48l:`:g?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3thi8;4>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;335>hf?=09<>84$84`>d>c3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732win9951;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n7581461<,07;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xde;l0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7??1:lb31<58:20(48l:`:g?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{ej:l1=7:50;2x 818:j4$542>65?>2dj;94=02;8 <0d2h2o7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`a7g<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;;=6`n758146g<,05$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:af6e=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<>l4$84`>d>c3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07plm3982>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?7792dj;94=02a8 <0d2h2o7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76smb2;95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58:n0(48l:`:g?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3thi?84>:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;335>hf?=09<>k4$84`>d>c3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732win>851;694?6|,0k8699i;Ic6`>N>i<1/m:<546f8 1062:93:6`n758146`<,07;:k0bc<72-k<>7:?9:9j=0?=83.j;?465c98k214290/m:<58828?xde;;0:684?:1y'=d5=<>:0Dl;k;I;b1>"f?;02><5+473976>13->:?7??1:lb31<58=:0(48l:`:g?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{ej:91=7:50;2x 818:j4$542>65?>2dj;94=0538 <0d2h2o7d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`a6c<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3;;=6`n7581414<,05$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:af66=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<9=4$84`>d>c3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl=48795?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb36:2?7==3:1">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`101<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<984$84`>77482c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l?0bl9;:3273>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0=06=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0:?45aa669652?3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5>=80:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<877d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`7627=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0986`n758141g<,079:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f140:3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>;b:&:2f<38130e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c06e1<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;38?7co84;030f=#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a60g2280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1>=:k;%;5g?46;91b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb37b5?7==3:1">>j09=>>4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`11d4=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<9h4$84`>77482c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l>0bl9;:3264>">>j09=>>4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`11d1=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08i95aa66965363-3=o7<>319j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg42i10:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`11<2=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:0n?6`n7581405<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f73>=3;1?7>50z&:e6<3>h1Cm8j4H8c6?!g0:339=6*;60807=0<,=;86io4n`57>762<2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098k214290/m:<58828?xd5=0<1=7:50;2x 818:j4$542>65?>2dj;94=0478 <0d2;;8<6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a60?f280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891=>l4n`57>762>2.2:n4=1228m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5=0h1=7:50;2x 818:j4$542>65?>2dj;94=0458 <0d2;;8<6g!g0:3>;465f3gd94?"f?;0?<454i87:>5<#i>8158l4;n547?6=,h=9657?;:a5fee280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<8915=5aa669653?3-3=o7?la`9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg7dkm0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<87!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`2f73=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:02<6`n758140g<,07?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f4d5?3;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>:b:&:2f<6j9>0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c324`<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39o56`n758140e<,0;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a546a280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1>=;k;%;5g?de92c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;l?0bl9;:326a>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0f<0=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08no5aa669653a3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5e1>0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<877d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`0=3d=93?1<7>t$8c0>1173Ak>h6F6a49'e24=1;;0(98>:21;2>"39:08in5aa66965063-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5>>j0:694?:1y'=d5="f?;02><5+473976>13->:?7=mf:lb31<58?80(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb2;5`?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?5ck2dj;94=0768 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2;4b?7==3:1">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0==6=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<;84$84`>65c=2c8jh4?:%c46?27021b?kh50;&b37<38010e4;6:18'e24=14<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=:?1em::52144?!?1k38i>85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c0ga5<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38;:55+97a96g423`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl>22g95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::5214:?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj888j7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<38;:l5+97a9fg75<#i>81=5;4n`53>4=5<#i>8144>4;|`2616=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<;l4$84`>gd63`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl>20f95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::5214`?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj88:i7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<38;:i5+97a9fg75<#i>81=5;4n`53>4=5<#i>8144>4;|`264`=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<;k4$84`>gd63`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl>23495?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::5214e?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj889;7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<38;;=5+97a9fg75<#i>81=5;4n`53>4=5<#i>8144>4;|`267>=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<:?4$84`>gd63`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl>23f95?2=83:p(4o<:54g?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4ih1em::52151?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj889i7?54;294~">i:0?:i5Ga4f8L77=1:&724<4;1<0(9?<:2cb?kg0<38;;>5+97a9fg75<#i>81=5;4n`53>4=5<#i>8144>4;|`267`=93>1<7>t$8c0>11a3Ak>h6F6a49'e24=<>n0(98>:21;2>hf?=09<::4$84`>gd63`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl>22;95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&7567co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm131b>4<2290;w)7n3;644>Nf=m1C5l;4$`51><463->==7=<879'045=;m1em::52155?!?1k3hi=6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb000f?7=<3:157>5$`51><3e32e<;>4?:%c46?>>821vn9?>8;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>c?88:&:2f<38kl0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl;10;95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&756!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb532e?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371282>0<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?`>3gk<877co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm4037>4<4290;w)7n3;65e>Nf=m1C5l;4$`51><463->==7=<879'045=lh1em::5215`?!?1k3>;nk5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65`76194?"f?;035=54}c6250<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38;;i5+97a905da3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl;1eg95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb53gb?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?74j2dj;94=0928 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb316a?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?7712dj;94=0908 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb316<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94=0968 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e9;=:6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd132;:396*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c3137<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38;4;5+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94=0958 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e9;><6<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd132;:346*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c310=<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38;445+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94=09c8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e9;?96<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd132;:3n6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c3116<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38;4n5+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b371<729q/5l=547f8Ld3c3A3j96*n738:64=#69;%627?5fi2dj;94=09f8 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e9;?26<4;:183!?f;3>=h6Fn5e9K=d3<,h=964<>;%655?540?1/8<=53`c8jd132;:3i6*66b8af4=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65`76194?"f?;035=54}c311d<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38;4k5+97a9fg7<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b370<729q/5l=54628Ld3c3A3j96*n738:64=#69;%627?>a3gk<87hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>27`95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4l2dj;94=0838 <0d2kh:7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm134`>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=:9397)79c;`a5>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>i7;:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196a=ii>>1>=7<;%;5g?4e:<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?471=1/5;m52c06?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th98ko51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;128g4>hf?=09<4;4$84`>77482c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?:ib;391?6=8r.2m>4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>0666:&:2f<59::0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=4gf95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<580=0(48l:3304>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi>>;n:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401964268:&:2f<59::0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=34`95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58030(48l:3304>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi?:l9:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40196c=ii>>1>=7n;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?471k1/5;m532f6?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th8:4:51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1281`>hf?=09<4m4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>865;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>76>l2.2:n4<3e78m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;1`5>hf?=09<4k4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>h;2;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>76>n2.2:n4<3e78m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:483>5}#1h918:>4H`7g?M?f=2.j;?46209'037=;:2=7):>3;02`>hf?=094$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>9:8;390?6=8r.2m>4;7g9Ke0b<@0k>7)o82;64`>"3>808?584n`57>76f92.2:n4<3e78m6`b290/m:<541:8?l5an3:1(l9=:52:?>o>=00;6)o82;;6f>=h?>91<7*n738;=5=:283>5}#1h918;o4H`7g?M?f=2.j;?46209'037=;:2=7):>3;76?kg0<38;m?5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?:8::086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40190d=ii>>1>=o<;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?47i=1/5;m532f6?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th:i:k51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1281`>hf?=094c2:2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn4;719Ke0b<@0k>7)o82;;15>"3>808?584$530>7`n6:&:2f<6m<80e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl>e9295?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58h=0(48l:0g66>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi?49=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`en8:&:2f<4;m?0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<96195?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58h30(48l:21g1>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi?4;k:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`ena:&:2f<4;m?0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<94g95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58hh0(48l:21g1>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi?i??:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401961=ii>>1>=ol;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b371<729q/5l=546d8Ld3c3A3j96*n73873a=#69;oc40?47im1/5;m532f6?l5am3:1(l9=:52;?>o4no0;6)o82;63=>=n1<31<7*n738:1g==86=4+a609<<6<3th:jl>51;794?6|,0k8699?;Ic6`>N>i<1/m:<59338 1062:93:6*;1280`<=ii>>1>=oj;%;5g?7bik1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?e13gk<877co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm1gc1>4<3290;w)7n3;64b>Nf=m1C5l;4$`51>11c3->==7=<879me22=:9h;7)79c;3feg=n;oo1<7*n73874==57>5$`51><3e32e<;>4?:%c46?>>821vn4;6`9Ke0b<@0k>7)o82;;15>"3>808?584$530>gbm1:&:2f<6khk0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810c:9<:18'e24=00:07pl>cca95?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<6;m1em::521`1?!?1k3;hml5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c3`fa<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38;n>5+97a95fgf3`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl>b0695?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&756!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb0`22?7==3:1;;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a5g70280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1>=l9;%;5g?7e8=1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb245e?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a730e280?6=4?{%;b7?21l2Bj9i5G9`78 d15208:7):91;10<3=#<891=<64n`57>76e02.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10c:9<:18'e24=00:07pl<67a95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58k30(48l:21g1>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi=njm:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956ema:&:2f<6khk0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>cea95?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58kh0(48l:0abe>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi=o=::086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+401956emc:&:2f<6j9>0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>b2495?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58kn0(48l:0`30>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi?5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197a?me:&:2f<499?0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl<1g`95?5=83:p(4o<:54b?Mg2l2B2m85+a609=77<,=<:6>=76:&7562dj;94=0cd8 <0d2:;;96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb23eg?7=<3:1<3`9mj7>5$`51>16>32c2944?:%c46??2j21d;:=50;&b376<729q/5l=547c8Ld3c3A3j96*n738:64=#69;%627?5f02dj;94=0b38 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76a87283>!g0:322<65rb2;;0?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7<>2280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1>=m<;%;5g?54l<1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb3d4`?7==3:1ih;;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a6c1b280?6=4?{%;b7?20n2Bj9i5G9`78 d152==o7):91;10<3=ii>>1>=m:;%;5g?4cn=1b?kk50;&b37<38110e>hi:18'e24=<9307d7:9;29 d1520?i76a87283>!g0:322<65rb25e5?7=<3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?:h=:086>5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+40197`bl7:&:2f<4;m?0e>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl<7g195?2=83:p(4o<:55e?Mg2l2B2m85+a60902b<,=<:6>=76:lb31<58j20(48l:21g1>o4nl0;6)o82;63<>=n;ol1<7*n73874<=5$`51>=?732wi?5<7s-3j?7:80:Jb1a=O1h?0(l9=:802?!2193984;5+4019550l9:&:2f<499?0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<1c095?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<4m91em::521ab?!?1k39:<85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c12f6<62=0;6=u+9`1902`<@h?o7E7n5:&b37<3?m1/8;?532:5?kg0<38;oo5+97a974623`9mi7>5$`51>16?32c8jk4?:%c46?27121b58750;&b37<>=k10c:9<:18'e24=00:07pl>f4495?3=83:p(4o<:553?Mg2l2B2m85+a609=77<,=<:6>=76:&756<68?1em::521a`?!?1k3;nmo5f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c3e1=<62<0;6=u+9`19026<@h?o7E7n5:&b37<>:81/8;?532:5?!26;39n<6`n75814fb<,07?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f4`213;187>50z&:e6<3?o1Cm8j4H8c6?!g0:3>le:&:2f<6mhh0e>hj:18'e24=<9207d=if;29 d152=:276g65883>!g0:33>n65`76194?"f?;035=54}c14fd<62:0;6=u+9`1903g<@h?o7E7n5:&b37<>:81/8;?532:5?!26;3=<7co84;03gc=#1?i1?>j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a72de280>6=4?{%;b7?2082Bj9i5G9`78 d15208:7):91;10<3=#<891>;5aa66965b73-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg50jj0:694?:1y'=d5=<>l0Dl;k;I;b1>"f?;0?;i5+473976>13gk<877d=ie;29 d152=:376g!g0:3>;565f94;94?"f?;029o54o650>5<#i>8144>4;|`0e<5=9331<7>tH`7g?M?f=2.?=>4:;%;b7??1n2.j;?466g9'=50=;lo0D47m;I;14>"3>808?584n`57>76c:2.2:n4<98d8m46e2900e<>i:188m47?2900e54i36g>5<5<:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<871;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6g??3;157>50zJb1a=O1h?0(9?<:49'=d5=1?l0(l9=:84e?!?7>39ni6F69c9K=76<,=<:6>=76:lb31<58m>0(48l:2;:b>o68k0;66g>0g83>>o6910;66g>1883>>o59j0;6c76c;38?l45=3:1D4><;:k10a<722e25<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4i121=7750;2xLd3c3A3j96*;1286?!?f;33=j6*n738:2c=#19<1?hk4H8;a?M?582.?:<4<3948jd132;:o:6*66b80=<`5<5<=n:;?1<7F60298m72c2900c4>k:188k<6b2900qo=m3182>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;03`2=#1?i1?47i;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:k2i7?59;294~Nf=m1C5l;4$530>0=#1h915;h4$`51><0a3-3;:7=je:J:=g=O1;:0(98>:21;2>hf?=096?>n2c:5H820?>o5>i>8l0;66sm3c65>4<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66965b>3-3=o7=69g9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=>o1/5=853dg8Lka:&:2f<410l0e<>m:188m46a2900e2900e??l:18m=?;50;J:46=o6=44o82g>5<69;oc40?47lk1/5;m538;e?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>on2;39=?6=8rBj9i5G9`78 1742<1/5l=597d8 d1520N>1k1C5?>4$542>65?>2dj;94=0ea8 <0d2:32j6g>0c83>>o68o0;66g>1983>>o6900;66g=1b83>k?>k3;07d<=5;29L<6432c98i4?::m:4a<722e23;18L<473->==7=<879me22=:9no7)79c;1:=c=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl"39:0>7)7n3;;5b>"f?;02:k5+91497`c<@03i7E7=0:&724<4;1<0bl9;:32ga>">>j0854h4i02a>5<5<58:10e?:k:188k<6c2900c4>j:188yg5e"f?;08hl5+40197>N>:91/8;?532:5?kg0<38;hk5+97a975$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2cb0?7=13:176f:k24g<722c:>i>8m0;66a60d83>>{e;k>h6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1>=k>;%;5g?5>1o1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0ed3=9331<7>tH`7g?M?f=2.?=>4:;%;b7??1n2.j;?466g9'=50=;lo0D47m;I;14>"3>808?584n`57>76b:2.2:n4<98d8m46e2900e<>i:188m47?2900e54i36g>5<5<:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<871;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6g?i3;157>50zJb1a=O1h?0(9?<:49'=d5=1?l0(l9=:84e?!?7>39ni6F69c9K=76<,=<:6>=76:lb31<58l>0(48l:2;:b>o68k0;66g>0g83>>o6910;66g>1883>>o59j0;6c76c;38?l45=3:1D4><;:k10a<722e25<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4ih<1=7750;2xLd3c3A3j96*;1286?!?f;33=j6*n738:2c=#19<1?hk4H8;a?M?582.?:<4<3948jd132;:n:6*66b80=<`5<5<=n:;?1<7F60298m72c2900c4>k:188k<6b2900qo=m4d82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;03a2=#1?i1?47i;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:k357?59;294~Nf=m1C5l;4$530>0=#1h915;h4$`51><0a3-3;:7=je:J:=g=O1;:0(98>:21;2>hf?=096?>n2c:5H820?>o5>i>8l0;66sm3c12>4<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66965c>3-3=o7=69g9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=>o1/5=853dg8Lja:&:2f<410l0e<>m:188m46a2900e2900e??l:18m=?;50;J:46=o6=44o82g>5<69;oc40?47mk1/5;m538;e?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>o7c;39=?6=8rBj9i5G9`78 1742<1/5l=597d8 d1520N>1k1C5?>4$542>65?>2dj;94=0da8 <0d2:32j6g>0c83>>o68o0;66g>1983>>o6900;66g=1b83>k?>k3;07d<=5;29L<6432c98i4?::m:4a<722e23;18L<473->==7=<879me22=:9oo7)79c;1:=c=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl"39:0>7)7n3;;5b>"f?;02:k5+91497`c<@03i7E7=0:&724<4;1<0bl9;:32fa>">>j0854h4i02a>5<5<58:10e?:k:188k<6c2900c4>j:188yg5e;<0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<38;ik5+97a975$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2c;a?7=13:176f:k24g<722c:>i>8m0;66a60d83>>{e;k9=6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1>=h>;%;5g?5>1o1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0e=`=9331<7>tH`7g?M?f=2.?=>4:;%;b7??1n2.j;?466g9'=50=;lo0D47m;I;14>"3>808?584n`57>76a:2.2:n4<98d8m46e2900e<>i:188m47?2900e54i36g>5<5<:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<871;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6g>83;157>50zJb1a=O1h?0(9?<:49'=d5=1?l0(l9=:84e?!?7>39ni6F69c9K=76<,=<:6>=76:lb31<58o>0(48l:2;:b>o68k0;66g>0g83>>o6910;66g>1883>>o59j0;6c76c;38?l45=3:1D4><;:k10a<722e25<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4i0>1=7750;2xLd3c3A3j96*;1286?!?f;33=j6*n738:2c=#19<1?hk4H8;a?M?582.?:<4<3948jd132;:m:6*66b80=<`5<5<=n:;?1<7F60298m72c2900c4>k:188k<6b2900qo=m3b82>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;03b2=#1?i1?47i;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:k2=7?59;294~Nf=m1C5l;4$530>0=#1h915;h4$`51><0a3-3;:7=je:J:=g=O1;:0(98>:21;2>hf?=096?>n2c:5H820?>o5>i>8l0;66sm3c1:>4<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa66965`>3-3=o7=69g9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=>o1/5=853dg8Lia:&:2f<410l0e<>m:188m46a2900e2900e??l:18m=?;50;J:46=o6=44o82g>5<69;oc40?47nk1/5;m538;e?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>o65;39=?6=8rBj9i5G9`78 1742<1/5l=597d8 d1520N>1k1C5?>4$542>65?>2dj;94=0ga8 <0d2:32j6g>0c83>>o68o0;66g>1983>>o6900;66g=1b83>k?>k3;07d<=5;29L<6432c98i4?::m:4a<722e23;18L<473->==7=<879me22=:9lo7)79c;1:=c=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl"39:0>7)7n3;;5b>"f?;02:k5+91497`c<@03i7E7=0:&724<4;1<0bl9;:32ea>">>j0854h4i02a>5<5<58:10e?:k:188k<6c2900c4>j:188yg5e;l0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<38;jk5+97a975$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2c:3?7=13:176f:k24g<722c:>i>8m0;66a60d83>>{e;k9m6<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1><>>;%;5g?5>1o1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`0e<>=9331<7>tH`7g?M?f=2.?=>4:;%;b7??1n2.j;?466g9'=50=;lo0D47m;I;14>"3>808?584n`57>777:2.2:n4<98d8m46e2900e<>i:188m47?2900e54i36g>5<5<:783>5}#1h91?nh4H`7g?M?f=2.j;?4=5+473976>13gk<87<>029'=3e=;03m7d<>1;29 d152;8>76g=1b83>!g0:38:o65f25f94?"f?;0?<:54o82g>5<#i>8144>4;h;31?6=,h=964;l;:k17d<72-k<>77=2:9~f6g>13;157>50zJb1a=O1h?0(9?<:49'=d5=1?l0(l9=:84e?!?7>39ni6F69c9K=76<,=<:6>=76:lb31<599>0(48l:2;:b>o68k0;66g>0g83>>o6910;66g>1883>>o59j0;6c76c;38?l45=3:1D4><;:k10a<722e2:085>5<7s-3j?7=lf:Jb1a=O1h?0(l9=:2fb?!26;390D4854i33`>5<#i>81>8;:m:4a<72-k<>7660:9j=53=83.j;?465b98m75f290/m:<59308?xd4i0k1=7750;2xLd3c3A3j96*;1286?!?f;33=j6*n738:2c=#19<1?hk4H8;a?M?582.?:<4<3948jd132;;;:6*66b80=<`5<5<=n:;?1<7F60298m72c2900c4>k:188k<6b2900qo=m4382>3<729q/5l=53bd8Ld3c3A3j96*n7380`d=#<891?6F6219'037=;:2=7co84;0242=#1?i1?47i;h025?6=,h=96?<:;:k15f<72-k<>7<>c:9j61b=83.j;?4;0698k<6c290/m:<58828?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj:k2h7?59;294~Nf=m1C5l;4$530>0=#1h915;h4$`51><0a3-3;:7=je:J:=g=O1;:0(98>:21;2>hf?=09==64$84`>6?>n2c:5H820?>o5>i>8l0;66sm3c66>4<1290;w)7n3;1`b>Nf=m1C5l;4$`51>6bf3->:?7=4H803?!2193984;5aa669646>3-3=o7=69g9j647=83.j;?4=2498m77d290/m:<520a8?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n19?1<7*n738:1f=>o1/5=853dg8Lm:188m46a2900e2900e??l:18m=?;50;J:46=o6=44o82g>5<69;oc40?468k1/5;m538;e?l4693:1(l9=:306?>o59j0;6)o82;02g>=n:=n1<7*n738742=5$`51><3d32c9?l4?:%c46??5:21vn>o6c;39=?6=8rBj9i5G9`78 1742<1/5l=597d8 d1520N>1k1C5?>4$542>65?>2dj;94=11a8 <0d2:32j6g>0c83>>o68o0;66g>1983>>o6900;66g=1b83>k?>k3;07d<=5;29L<6432c98i4?::m:4a<722e23;18L<473->==7=<879me22=:8:o7)79c;1:=c=n:8;1<7*n738160=5$`51>16032e2>821b5=;50;&b37<>=j10e?=n:18'e24=1;807pl"39:0>7)7n3;;5b>"f?;02:k5+91497`c<@03i7E7=0:&724<4;1<0bl9;:333a>">>j0854h4i02a>5<5<58:10e?:k:188k<6c2900c4>j:188yg5e<>0:6;4?:1y'=d5=;jl0Dl;k;I;b1>"f?;08hl5+40197>N>:91/8;?532:5?kg0<38:5$`51>74232c9=n4?:%c46?46k21b>9j50;&b37<38>10c4>k:18'e24=00:07d7?5;29 d1520?h76g=3`83>!g0:339>65rb2cb4?7=13:176f:k24g<722c:>i>8m0;66a60d83>>{e;k>36<49:183!?f;39hj6Fn5e9K=d3<,h=96>jn;%627?5<@08;7):91;10<3=ii>>1>;%;5g?5>1o1b>!g0:322<65f91794?"f?;029n54i31b>5<#i>815?<4;|`2<0>=83=1>76t$8c0><0c3Ak>h6F6a49'045==2B2>=5+473976>13gk<87<>139'=3e=91?<7d??b;29?l77n3:17d?>8;29?l7613:17d<=5;29?l43l3:17b7?d;29?g?1m3;157>50z&:e6<>>o1/m:<597d8 <612:on7E76b:J:65=#69;%627?33:&:2f<60<=0e?:k:188m77d290e54m51:9j673=83B2<>54i03:>5<5<5<>1>1d44?50;&b37vP=249>=3c=:;?0q~?>9;296~X690165;k510;8yv7603:1>vP>199>=3c=9820q~??f;296~X68o165;k511d8yv77j3:1>vP>0c9>=3c=99h0q~7?d;296~X>8m165;k591f8yv46k3:1>v366d815f=:1h<144?4}|`2<0d=93<1<7>t$8c0>6ed3Ak>h6F6a49'045=;2.j;?4=76:lb31<598?0(48l:0:63>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>77d32c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?75283>2<521q/5l=597f8Ld3c3A3j96*;1286?M?582.?:<4<3948jd132;;::6*66b82<045<5<6=44i36g>5<4<>290;w)7n3;;5b>"f?;02:k5+91497`c<@03i7E7=0:&724<4;1<0(9?<:49me22=:8;<7)79c;3;17=n:=n1<75f20a94?h>1j0:76g=2483>M?7;21b=<750;9j54>=831b==h50;9j55d=831d5=j50;9l=5c=831vn4o9:082>5<7s-k<>767e:lb31<59820(48l:0:66>i?180;6)o82;::4>=z{;>o6=4={_07`>;>>l098i5rs306>5<5sW8996366d8160=z{8;26=4={_32=>;>>l0:=45rs03;>5<5sW;:46366d825==z{8:m6=4={_33b>;>>l0:5<5sW;;n6366d824g=z{0:o6=4={_;3`>;>>l025<5s43=i7<>c:?:e33<729q/5l=53ba8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;025<=#1?i1=5;=;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m77d290/m:<520a8?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj82?i7>57;09<~">i:02:i5Ga4f8L:?7;4H803?!2193984;5aa669647f3-3=o7?74e9j55d=831b==h50;9j54>=831b=<750;9j673=831b>9j50;9l=5b=831i5;k51;;94?6|,0k8648i;%c46??1n2.2<;45H820?>o6900;66g>1983>>o68o0;66g>0c83>>i>8m0;66a60d83>>{e1h<1=7?50;2x d15212n7co84;025f=#1?i1=5:k;n::5?6=,h=9657?;:p61b=838pR?:k;<;5a?43l2wx>?;50;0xZ742343=i7<=5:p54?=838pR8:p55`=838pR<>i;<;5a?77n2wx==l50;0xZ46e343=i7??b:p=5b=838pR4>k;<;5a??7l2wx>{zj82>=7?56;294~">i:08on5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0d:&:2f<60=n0e??>:18'e24=:;?07d<;d;29 d152=:<76a60e83>!g0:322<65f20a94?"f?;09=n54i826>5<#i>8158m4;h00e?6=,h=964<=;:a5=2>290<6?47{%;b7??1l2Bj9i5G9`78 1742<1C5?>4$542>65?>2dj;94=10g8 <0d282?46g>0c83>>o68o0;66g>1983>>o6900;66g=2483>>o5>d>>l0:644?:1y'=d5=1?l0(l9=:84e?!?7>39ni6F69c9K=76<,=<:6>=76:&756<23gk<87<>1g9'=3e=91>37d<;d;29?l46k3:1b47l:098m742290C5==4;h32=?6=3`;:47>5;h33b?6=3`;;n7>5;n;3`?6=3f3;i7>5;|`:e3<6280;6=u+a609<=c:18'e24=00:07p}=4e83>7}Y:=n0148j:36g?xu5:<0;6?uQ23789<0b2;8>7p}>1883>7}Y9830148j:03:?xu6910;6?uQ10:89<0b28;37p}>0g83>7}Y99l0148j:02e?xu68k0;6?uQ11`89<0b28:i7p}60e83>7}Y19n0148j:82g?xu59j0;6?u297g964e<50k=657>;|a5=2d280=6=4?{%;b7?5dk2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=:88:7)79c;3;0==n:8;1<7*n738160=o6=4+a609051<3f3;h7>5$`51>=?732c9=n4?:%c46?46k21b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>82c94?1=:32p(4o<:84g?Mg2l2B2m85+40191>N>:91/8;?532:5?kg0<38:>?5+97a95=5>3`;;n7>5;h33b?6=3`;:47>5;h32=?6=3`8997>5;h07`?6=3f3;h7>5;c;5a?7=13:1815;h4$825>6cb3A32n6F6219'037=;:2=7):>3;78jd132;;9?6*66b82<6?o6=44i33`>58:10ei:188m46e2900c4>k:188k<6b2900qo7n6;395?6=8r.j;?478d9me22=:88?7)79c;3;7<=h00;1<7*n738;=5=52z\10a=:1?o1>9j4}r011?6=:rT9>85297g967352z\25<=:1?o1=<74}r3252z\24c=:1?o1==h4}r33f?6=:rT:52z\:4a=:1?o15=j4}r02g?6=:r72:h4=1b9>=d0=00;0qpl>82f95?0=83:p(4o<:2a`?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7581573<,07<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67==}#1h915;j4H`7g?M?f=2.?=>4:;I;14>"3>808?584n`57>775>2.2:n4>8518m46e2900e<>i:188m47?2900e5<7s-3j?779f:&b37<>>o1/5=853dg8L84?:I;37>=n9831<75f10:94?=n99l1<75f11`94?=h19n1<75`91g94?=zj0k=6<4>:183!g0:323i6`n758157><,07660:9~w72c2909wS<;d:?:2`<52909wS?>9:?:2`<6901v199~w46a2909wS??f:?:2`<68o1v<>m:181[77j272:h4>0c9~w<6c2909wS7?d:?:2`<>8m1v??l:1818?1m38:o636a78;=4=zuk;38:4>:783>5}#1h91?nm4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87<>289'=3e=91>87d<>1;29 d152;8>76g=4e83>!g0:3>;;65`91f94?"f?;035=54i33`>5<#i>81>77=2:9~f4>4n3:1;7<58z&:e6<>>m1Cm8j4H8c6?!26;3?0D4">8?08ih5G98`8L<473->==7=<879'045==2dj;94=13`8 <0d2828i6g=4e83>>o59j0;6c76c;38?l45=3:1D4><;:k25<<722c:=54?::k24c<722c:t$`51>=>b3gk<87<>2b9'=3e=919n7b661;29 d15213;76s|25f94?4|V;>o7079e;07`>{t:;?1<7{t9821<7{t99h1<7{t:8i1<777d343j:7661:~f4>3:3;1:7>50z&:e6<4kj1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132;;9h6*66b82<6c5$`51>16032e2>821b>::18'e24=15<02;03w)7n3;;5`>Nf=m1C5l;4$530>0=O1;:0(98>:21;2>hf?=09=?k4$84`>4>4<2c:84?::k10a<722e2k5+97a95=533`8?h7>5;h02g?6=f03h6<54i306>59;29?l7603:17d??f;29?l77j3:17b7?d;29?j?7m3:17pl6a782>4<729q/m:<589g8jd132;;8<6*66b82<62?;4=84f>7423ty:=44?:3y]54?<5047?3ty:i;|q24g<72;qU==l4=84f>46e3ty2k;|q15f<72;q65;k520a894<1290;w)7n3;1`g>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa66964563-3=o7?7359j647=83.j;?4=2498m72c290/m:<54158?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n19?1<7*n738:1f=>50;596?>|,0k8648k;Ic6`>N>i<1/8<=55:J:65=#69;oc40?46;;1/5;m5190e?l77j3:17d??f;29?l7603:17d?>9;29?l45=3:17d<;d;29?j?7l3:17o79e;39=?6=8r.2m>466g9'e24=1?l0(4>9:2gf?M?>j2B2>=5+473976>13->:?7;4n`57>774;2.2:n4>83d8m72c2900e??l:18m=?;50;J:46=5<5<5<vP=4e9>=3c=:=n0q~<=5;296~X5:<165;k52378yv7613:1>vP>189>=3c=9830q~?>8;296~X691165;k510:8yv77n3:1>vP>0g9>=3c=99l0q~??b;296~X68k165;k511`8yv?7l3:1>vP60e9>=3c=19n0q~<>c;296~;>>l09=n529`49<<7=51;494?6|,0k86>ml;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94=1278 <0d2829j6g=1083>!g0:389965f25f94?"f?;0?<:54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:40<72-k<>77:c:9j66g=83.j;?462398yg7?:k0;6:4=:9y'=d5=1?n0Dl;k;I;b1>"39:0>7E7=0:&724<4;1<0bl9;:3302>">>j0:4?o4i02a>5<5<5<o6=44o82g>5<l5f25f94?=n:8i1<7`69b82?>o5:<0;6E7?3:9j54?=831b=<650;9j55`=831b==l50;9l=5b=831d5=k50;9~f?m2dj;94=12:8 <0d2829m6a79083>!g0:322<65rs36g>5<5sW8?h6366d810a=z{;8>6=4={_011>;>>l09>85rs03:>5<5sW;:56366d825<=z{8;36=4={_32<>;>>l0:=55rs02e>5<5sW;;j6366d824c=z{8:i6=4={_33f>;>>l0:5<5sW3;h6366d8:4a=z{;;h6=4={<;5a?46k272m;47909~yg7?:l0:6;4?:1y'=d5=;ji0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<38:?45+97a95=4f3`8:=7>5$`51>74232c98i4?:%c46?27?21d5=j50;&b37!g0:339>65rb0:12?6=?3814v*6a28:2a=Oi>1><=n;%;5g?7?:<1b==l50;9j55`=831b=<650;9j54?=831b>?;50;9j61b=831d5=j50;9a=3c=9331<7>t$8c0><0a3-k<>779f:&:43<4ml1C54l4H803?!2193984;5+40191>hf?=09=>l4$84`>4>5=2c98i4?::k15f<72g32o7?4;h011?6=@0:876g>1883>>o6910;66g>0g83>>o68k0;66a60e83>>i>8l0;66sm9`495?7=83:p(l9=:9:f?kg0<38:?n5+97a95=423f22=7>5$`51>=?732wx>9j50;0xZ72c343=i7<;d:p673=838pR?<:;<;5a?45=2wx=<750;0xZ47>343=i7?>9:p54>=838pRm;<;5a?77j2wx5=j50;0xZ<6c343=i77?d:p64e=838p148j:33`?8?f>322=6srb0:1=?7=>3:1jn;I;14>"3>808?584n`57>774l2.2:n4>8378m776290/m:<52378?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=6=4+a609=0e<3`88m7>5$`51><4532wi=5<>:184>7=76:lb31<59:o0(48l:0:14>o68k0;66g>0g83>>o6910;66g>1883>>o5:<0;66g=4e83>>i>8m0;66l66d82><<729q/5l=597d8 d1520N>1k1C5?>4$542>65?>2.?=>4:;oc40?46;o1/5;m51903?l43l3:17d<>c;29j5;h325;h33f?6=3f3;h7>5;n;3a?6=3th2m;4>:083>5}#i>8145k4n`57>77382.2:n4>8328k=?6290/m:<58828?xu5o7p}=2483>7}Y:;?0148j:306?xu6900;6?uQ10;89<0b28;27p}>1983>7}Y9820148j:03;?xu68o0;6?uQ11d89<0b28:m7p}>0c83>7}Y99h0148j:02a?xu>8m0;6?uQ91f89<0b20:o7p}=1b83>7}:1?o1>=?63twi=5<;:085>5<7s-3j?7=lc:Jb1a=O1h?0(9?<:29'e24=;mk0D4=5f20394?"f?;09>854i36g>5<#i>818=94;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=53=83.j;?465b98m75f290/m:<59308?xd608i1<7952;:x 5;h325;h011?6=3`8?h7>5;n;3`?6=3k3=i7?59;294~">i:02:k5+a609=3`<,0:=6>kj;I;:f>N>:91/8;?532:5?!26;3?0bl9;:3377>">>j0:45<=n:;?1<7F60298m47>2900em:188k<6c2900c4>j:188yg?f>3;1=7>50z&b3752z\160=:1?o1>?;4}r32=?6=:rT:=45297g954?52z\25==:1?o1=<64}r33b?6=:rT:52z\24g=:1?o1==l4}r;3`?6=:rT252z?:2`<59j165l858838yxd608l1=7850;2x :21;2>hf?=09=9;4$84`>4>6j2c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c3;4a<72>0965u+9`19=3b<@h?o7E7n5:&756<23A39<6*;60807=0m:188m46a2900e2900e?<::188m72c2900c4>k:188f<0b28026=4?{%;b7??1n2.j;?466g9'=50=;lo0D47m;I;14>"3>808?584$530>0=ii>>1><:8;%;5g?7?8j1b>9j50;9j64e=83d25n4>;:k160<72A3;?65f10;94?=n9821<75f11d94?=n99h1<75`91f94?=h19o1<75rb8c5>4<6290;w)o82;:;a>hf?=09=964$84`>4>7k2e35<4?:%c46?>>821v?:k:181[43l272:h4=4e9~w7422909wS<=5:?:2`<5:<1v189~w47?2909wS?>8:?:2`<6911v<>i:181[77n272:h4>0g9~w46e2909wS??b:?:2`<68k1v4>k:181[?7l272:h460e9~w77d2909w079e;02g>;>i?035<5r}c3;55<62?0;6=u+9`197fe<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?46<01/5;m5192`?l4693:1(l9=:306?>o5=h19n1<7*n738;=5=5$`51><3d32c9?l4?:%c46??5:21vn<6>7;293?4=0r.2m>466e9Ke0b<@0k>7):>3;78L<473->==7=<879me22=:8>j7)79c;3;53=n99h1<75f11d94?=n9821<75f10;94?=n:;?1<75f25f94?=h19n1<75m97g95??=83:p(4o<:84e?!g0:33=j6*60780a`=O10h0D4o5k?>k3;07d<=5;29L<6432c:=44?::k25=<722c:>93:1(l9=:9;3?>{t:=n1<77079e;011>{t9831<7{t99l1<7{t19n1<7>92wvn<6>a;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:337`>">>j0:4<84i332>5<#i>81>?;4;h07`?6=,h=969>8;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<62290/m:<594a8?l44i3:1(l9=:801?>{e91;96=48:38;!?f;33=h6Fn5e9K=d3<,=;8685G9328 1062:93:6`n758151c<,01:k24g<722c::883>5}#1h915;h4$`51><0a3-3;:7=je:J:=g=O1;:0(98>:21;2>"39:0>7co84;020c=#1?i1=5?>;h07`?6=3`8:o7>5n8;`>4=6=4G9118?l7613:17d?>8;29?l77n3:17d??b;29?j?7l3:17b7?e;29?xd>i?0:6<4?:1y'e24=01o0bl9;:3364>">>j0:45<#i>8144>4;|q10a<72;qU>9j4=84f>72c3ty9>84?:3y]673<5047>3ty:=54?:3y]54><5046a3ty:m;|q:4a<72;qU5=j4=84f><6c3ty9=n4?:3y>=3c=:8i014o9:9;2?x{e91;>6<49:183!?f;39ho6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1><;>;%;5g?7?981b>!g0:38:o65f91794?"f?;029n54i31b>5<#i>815?<4;|`2<5>=83=1>76t$8c0><0c3Ak>h6F6a49'045==2B2>=5+473976>13gk<87<>539'=3e=91:<7d??b;29?l77n3:17d?>8;29?l7613:17d<=5;29?l43l3:17b7?d;29?g?1m3;157>50z&:e6<>>o1/m:<597d8 <612:on7E76b:J:65=#69;%627?354i03:>5<5<5<>1><;;;%;5g?7?8>1d44?50;&b37vP=249>=3c=:;?0q~?>9;296~X690165;k510;8yv7603:1>vP>199>=3c=9820q~??f;296~X68o165;k511d8yv77j3:1>vP>0c9>=3c=99h0q~7?d;296~X>8m165;k591f8yv46k3:1>v366d815f=:1h<144?4}|`2<5d=93<1<7>t$8c0>6ed3Ak>h6F6a49'045=;2.j;?4=76:lb31<59o5980;6)o82;011>=n:=n1<7*n738742=5$`51>77d32c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?70283>2<521q/5l=597f8Ld3c3A3j96*;1286?M?582.?:<4<3948jd132;;>:6*66b82<545<5<6=44i36g>5<4<>290;w)7n3;;5b>"f?;02:k5+91497`c<@03i7E7=0:&724<4;1<0(9?<:49me22=:8?<7)79c;3;47=n:=n1<75f20a94?h>1j0:76g=2483>M?7;21b=<750;9j54>=831b==h50;9j55d=831d5=j50;9l=5c=831vn4o9:082>5<7s-k<>767e:lb31<59<20(48l:0:36>i?180;6)o82;::4>=z{;>o6=4={_07`>;>>l098i5rs306>5<5sW8996366d8160=z{8;26=4={_32=>;>>l0:=45rs03;>5<5sW;:46366d825==z{8:m6=4={_33b>;>>l0:5<5sW;;n6366d824g=z{0:o6=4={_;3`>;>>l025<5s43=i7<>c:?:e33<729q/5l=53ba8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;021<=#1?i1=5>=;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m77d290/m:<520a8?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8=mi7>57;09<~">i:02:i5Ga4f8L:?7;4H803?!2193984;5aa669643f3-3=o7?8fe9j55d=831b==h50;9j54>=831b=<750;9j673=831b>9j50;9l=5b=831i5;k51;;94?6|,0k8648i;%c46??1n2.2<;45H820?>o6900;66g>1983>>o68o0;66g>0c83>>i>8m0;66a60d83>>{e1h<1=7?50;2x d15212n7co84;021f=#1?i1=:hk;n::5?6=,h=9657?;:p61b=838pR?:k;<;5a?43l2wx>?;50;0xZ742343=i7<=5:p54?=838pR8:p55`=838pR<>i;<;5a?77n2wx==l50;0xZ46e343=i7??b:p=5b=838pR4>k;<;5a??7l2wx>{zj82;=7?56;294~">i:08on5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0:18'e24=:;?07d<;d;29 d152=:<76a60e83>!g0:322<65f20a94?"f?;09=n54i826>5<#i>8158m4;h00e?6=,h=964<=;:a52`>290<6?47{%;b7??1l2Bj9i5G9`78 1742<1C5?>4$542>65?>2dj;94=14g8 <0d28=m46g>0c83>>o68o0;66g>1983>>o6900;66g=2483>>o5>d>>l0:644?:1y'=d5=1?l0(l9=:84e?!?7>39ni6F69c9K=76<,=<:6>=76:&756<23gk<87<>5g9'=3e=9>l37d<;d;29?l46k3:1b47l:098m742290C5==4;h32=?6=3`;:47>5;h33b?6=3`;;n7>5;n;3`?6=3f3;i7>5;|`:e3<6280;6=u+a609<=c:18'e24=00:07p}=4e83>7}Y:=n0148j:36g?xu5:<0;6?uQ23789<0b2;8>7p}>1883>7}Y9830148j:03:?xu6910;6?uQ10:89<0b28;37p}>0g83>7}Y99l0148j:02e?xu68k0;6?uQ11`89<0b28:i7p}60e83>7}Y19n0148j:82g?xu59j0;6?u297g964e<50k=657>;|a52`d280=6=4?{%;b7?5dk2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=:8<:7)79c;34b==n:8;1<7*n738160=o6=4+a609051<3f3;h7>5$`51>=?732c9=n4?:%c46?46k21b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>7g694?1=:32p(4o<:84g?Mg2l2B2m85+40191>N>:91/8;?532:5?kg0<38::?5+97a952`43`;;n7>5;h33b?6=3`;:47>5;h32=?6=3`8997>5;h07`?6=3f3;h7>5;c;5a?7=13:1815;h4$825>6cb3A32n6F6219'037=;:2=7):>3;78jd132;;=?6*66b823c5o6=44i33`>58:10ei:188m46e2900c4>k:188k<6b2900qo7n6;395?6=8r.j;?478d9me22=:852z\10a=:1?o1>9j4}r011?6=:rT9>85297g967352z\25<=:1?o1=<74}r3252z\24c=:1?o1==h4}r33f?6=:rT:52z\:4a=:1?o15=j4}r02g?6=:r72:h4=1b9>=d0=00;0qpl>7g595?0=83:p(4o<:2a`?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n7581533<,07<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67==}#1h915;j4H`7g?M?f=2.?=>4:;I;14>"3>808?584n`57>771>2.2:n4>7dg8m46e2900e<>i:188m47?2900e5<7s-3j?779f:&b37<>>o1/5=853dg8L84?:I;37>=n9831<75f10:94?=n99l1<75f11`94?=h19n1<75`91g94?=zj0k=6<4>:183!g0:323i6`n758153><,07660:9~w72c2909wS<;d:?:2`<52909wS?>9:?:2`<6901v199~w46a2909wS??f:?:2`<68o1v<>m:181[77j272:h4>0c9~w<6c2909wS7?d:?:2`<>8m1v??l:1818?1m38:o636a78;=4=zuk;:783>5}#1h91?nm4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87<>689'=3e=9>on7d<>1;29 d152;8>76g=4e83>!g0:3>;;65`91f94?"f?;035=54i33`>5<#i>81>77=2:9~f41dk3:1;7<58z&:e6<>>m1Cm8j4H8c6?!26;3?0D4">8?08ih5G98`8L<473->==7=<879'045==2dj;94=17`8 <0d28=hn6g=4e83>>o59j0;6c76c;38?l45=3:1D4><;:k25<<722c:=54?::k24c<722c:t$`51>=>b3gk<87<>6b9'=3e=9>ii7b661;29 d15213;76s|25f94?4|V;>o7079e;07`>{t:;?1<7{t9821<7{t99h1<7{t:8i1<777d343j:7661:~f41dn3;1:7>50z&:e6<4kj1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132;;=h6*66b823fd5$`51>16032e2>821b>::18'e24=15<02;03w)7n3;;5`>Nf=m1C5l;4$530>0=O1;:0(98>:21;2>hf?=09=;k4$84`>41c=2c:84?::k10a<722e25;h02g?6=f03h6<54i306>59;29?l7603:17d??f;29?l77j3:17b7?d;29?j?7m3:17pl6a782>4<729q/m:<589g8jd132;;<<6*66b823a3?;4=84f>7423ty:=44?:3y]54?<5047?3ty:i;|q24g<72;qU==l4=84f>46e3ty2k;|q15f<72;q65;k520a894<1290;w)7n3;1`g>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa66964163-3=o7?8d49j647=83.j;?4=2498m72c290/m:<54158?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n19?1<7*n738:1f=|,0k8648k;Ic6`>N>i<1/8<=55:J:65=#69;oc40?46?;1/5;m516f3?l77j3:17d??f;29?l7603:17d?>9;29?l45=3:17d<;d;29?j?7l3:17o79e;39=?6=8r.2m>466g9'e24=1?l0(4>9:2gf?M?>j2B2>=5+473976>13->:?7;4n`57>770;2.2:n4>7e28m72c2900e??l:18m=?;50;J:46=5<5<5<vP=4e9>=3c=:=n0q~<=5;296~X5:<165;k52378yv7613:1>vP>189>=3c=9830q~?>8;296~X691165;k510:8yv77n3:1>vP>0g9>=3c=99l0q~??b;296~X68k165;k511`8yv?7l3:1>vP60e9>=3c=19n0q~<>c;296~;>>l09=n529`49<<7ml;Ic6`>N>i<1/8<=53:&b37<4lh1C5?>4$542>65?>2dj;94=1678 <0d28=o<6g=1083>!g0:389965f25f94?"f?;0?<:54o82g>5<#i>8144>4;h02g?6=,h=96??l;:k:40<72-k<>77:c:9j66g=83.j;?462398yg70k>0;6:4=:9y'=d5=1?n0Dl;k;I;b1>"39:0>7E7=0:&724<4;1<0bl9;:3342>">>j0:;n84i02a>5<5<5<o6=44o82g>5<o5:<0;6E7?3:9j54?=831b=<650;9j55`=831b==l50;9l=5b=831d5=k50;9~f?m2dj;94=16:8 <0d28=h:6a79083>!g0:322<65rs36g>5<5sW8?h6366d810a=z{;8>6=4={_011>;>>l09>85rs03:>5<5sW;:56366d825<=z{8;36=4={_32<>;>>l0:=55rs02e>5<5sW;;j6366d824c=z{8:i6=4={_33f>;>>l0:5<5sW3;h6366d8:4a=z{;;h6=4={<;5a?46k272m;47909~yg70kh0:6;4?:1y'=d5=;ji0Dl;k;I;b1>"39:087)o82;1ge>N>:91/8;?532:5?kg0<38:;45+97a952e13`8:=7>5$`51>74232c98i4?:%c46?27?21d5=j50;&b37!g0:339>65rb05`6?6=?3814v*6a28:2a=Oi>1><9n;%;5g?70k81b==l50;9j55`=831b=<650;9j54?=831b>?;50;9j61b=831d5=j50;9a=3c=9331<7>t$8c0><0a3-k<>779f:&:43<4ml1C54l4H803?!2193984;5+40191>hf?=09=:l4$84`>41d92c98i4?::k15f<72g32o7?4;h011?6=@0:876g>1883>>o6910;66g>0g83>>o68k0;66a60e83>>i>8l0;66sm9`495?7=83:p(l9=:9:f?kg0<38:;n5+97a952e63f22=7>5$`51>=?732wx>9j50;0xZ72c343=i7<;d:p673=838pR?<:;<;5a?45=2wx=<750;0xZ47>343=i7?>9:p54>=838pRm;<;5a?77j2wx5=j50;0xZ<6c343=i77?d:p64e=838p148j:33`?8?f>322=6srb05`1?7=>3:1jn;I;14>"3>808?584n`57>770l2.2:n4>7b38m776290/m:<52378?l43l3:1(l9=:524?>i>8m0;6)o82;::4>=n:8i1<7*n73815f=6=4+a609=0e<3`88m7>5$`51><4532wi=:lk:184>7=76:lb31<59>o0(48l:05ag>o68k0;66g>0g83>>o6910;66g>1883>>o5:<0;66g=4e83>>i>8m0;66l66d82><<729q/5l=597d8 d1520N>1k1C5?>4$542>65?>2.?=>4:;oc40?46?o1/5;m516``?l43l3:17d<>c;29j5;h325;h33f?6=3f3;h7>5;n;3a?6=3th2m;4>:083>5}#i>8145k4n`57>77?82.2:n4>7ca8k=?6290/m:<58828?xu5o7p}=2483>7}Y:;?0148j:306?xu6900;6?uQ10;89<0b28;27p}>1983>7}Y9820148j:03;?xu68o0;6?uQ11d89<0b28:m7p}>0c83>7}Y99h0148j:02a?xu>8m0;6?uQ91f89<0b20:o7p}=1b83>7}:1?o1>=?63twi=:m?:085>5<7s-3j?7=lc:Jb1a=O1h?0(9?<:29'e24=;mk0D4854i36g>5<#i>818=94;n;3`?6=,h=9657?;:k15f<72-k<>7<>c:9j=53=83.j;?465b98m75f290/m:<59308?xd6?k21<7952;:x 5;h325;h011?6=3`8?h7>5;n;3`?6=3k3=i7?59;294~">i:02:k5+a609=3`<,0:=6>kj;I;:f>N>:91/8;?532:5?!26;3?0bl9;:33;7>">>j0:;o94i36g>5<=n:;?1<7F60298m47>2900em:188k<6c2900c4>j:188yg?f>3;1=7>50z&b3752z\160=:1?o1>?;4}r32=?6=:rT:=45297g954?52z\25==:1?o1=<64}r33b?6=:rT:52z\24g=:1?o1==l4}r;3`?6=:rT252z?:2`<59j165l858838yxd6?kh1=7850;2x :21;2>hf?=09=5;4$84`>41e?2c9=<4?:%c46?45=21b>9j50;&b37<38>10c4>k:18'e24=00:07d<>c;29 d152;;h76g60483>!g0:33>o65f22c94?"f?;02>?54}c34f6<72>0965u+9`19=3b<@h?o7E7n5:&756<23A39<6*;60807=0m:188m46a2900e2900e?<::188m72c2900c4>k:188f<0b28026=4?{%;b7??1n2.j;?466g9'=50=;lo0D47m;I;14>"3>808?584$530>0=ii>>1><68;%;5g?70j;1b>9j50;9j64e=83d25n4>;:k160<72A3;?65f10;94?=n9821<75f11d94?=n99h1<75`91f94?=h19o1<75rb8c5>4<6290;w)o82;:;a>hf?=09=564$84`>41e:2e35<4?:%c46?>>821v?:k:181[43l272:h4=4e9~w7422909wS<=5:?:2`<5:<1v189~w47?2909wS?>8:?:2`<6911v<>i:181[77n272:h4>0g9~w46e2909wS??b:?:2`<68k1v4>k:181[?7l272:h460e9~w77d2909w079e;02g>;>i?035<5r}c34f3<62?0;6=u+9`197fe<@h?o7E7n5:&756<43-k<>7=ka:J:65=#69;oc40?46001/5;m516`1?l4693:1(l9=:306?>o5=h19n1<7*n738;=5=5$`51><3d32c9?l4?:%c46??5:21vn<9ne;293?4=0r.2m>466e9Ke0b<@0k>7):>3;78L<473->==7=<879me22=:82j7)79c;34ea=n99h1<75f11d94?=n9821<75f10;94?=n:;?1<75f25f94?=h19n1<75m97g95??=83:p(4o<:84e?!g0:33=j6*60780a`=O10h0D4o5k?>k3;07d<=5;29L<6432c:=44?::k25=<722c:>93:1(l9=:9;3?>{t:=n1<77079e;011>{t9831<7{t99l1<7{t19n1<7>92wvn<9m1;392?6=8r.2m>47):>3;18 d152:nj7E7=0:&724<4;1<0bl9;:33;`>">>j0:;lj4i332>5<#i>81>?;4;h07`?6=,h=969>8;:m:4a<72-k<>7660:9j64e=83.j;?4=1b98m<62290/m:<594a8?l44i3:1(l9=:801?>{e9>3m6=48:38;!?f;33=h6Fn5e9K=d3<,=;8685G9328 1062:93:6`n75815=c<,0:883>5}#1h915;h4$`51><0a3-3;:7=je:J:=g=O1;:0(98>:21;2>"39:0>7co84;025n8;`>4=6=4G9118?l7613:17d?>8;29?l77n3:17d??b;29?j?7l3:17b7?e;29?xd>i?0:6<4?:1y'e24=01o0bl9;:33:4>">>j0:;4k4o9;2>5<#i>8144>4;|q10a<72;qU>9j4=84f>72c3ty9>84?:3y]673<5047>3ty:=54?:3y]54><5046a3ty:m;|q:4a<72;qU5=j4=84f><6c3ty9=n4?:3y>=3c=:8i014o9:9;2?x{e9>k96<49:183!?f;39ho6Fn5e9K=d3<,=;86>5+a6097ag<@08;7):91;10<3=ii>>1><7>;%;5g?701l1b>!g0:38:o65f91794?"f?;029n54i31b>5<#i>815?<4;|`23d?=83=1>76t$8c0><0c3Ak>h6F6a49'045==2B2>=5+473976>13gk<87<>939'=3e=9>k37d??b;29?l77n3:17d?>8;29?l7613:17d<=5;29?l43l3:17b7?d;29?g?1m3;157>50z&:e6<>>o1/m:<597d8 <612:on7E76b:J:65=#69;%627?354i03:>5<5<5<>1><7;;%;5g?70i11d44?50;&b37vP=249>=3c=:;?0q~?>9;296~X690165;k510;8yv7603:1>vP>199>=3c=9820q~??f;296~X68o165;k511d8yv77j3:1>vP>0c9>=3c=99h0q~7?d;296~X>8m165;k591f8yv46k3:1>v366d815f=:1h<144?4}|`23de=93<1<7>t$8c0>6ed3Ak>h6F6a49'045=;2.j;?4=76:lb31<590?0(48l:05b<>o5980;6)o82;011>=n:=n1<7*n738742=5$`51>77d32c2<84?:%c46??2k21b>>o50;&b37<>:;10qo?8a583>2<521q/5l=597f8Ld3c3A3j96*;1286?M?582.?:<4<3948jd132;;2:6*66b823d55<5<6=44i36g>5<4<>290;w)7n3;;5b>"f?;02:k5+91497`c<@03i7E7=0:&724<4;1<0(9?<:49me22=:83<7)79c;34e6=n:=n1<75f20a94?h>1j0:76g=2483>M?7;21b=<750;9j54>=831b==h50;9j55d=831d5=j50;9l=5c=831vn4o9:082>5<7s-k<>767e:lb31<59020(48l:05b7>i?180;6)o82;::4>=z{;>o6=4={_07`>;>>l098i5rs306>5<5sW8996366d8160=z{8;26=4={_32=>;>>l0:=45rs03;>5<5sW;:46366d825==z{8:m6=4={_33b>;>>l0:5<5sW;;n6366d824g=z{0:o6=4={_;3`>;>>l025<5s43=i7<>c:?:e33<729q/5l=53ba8Ld3c3A3j96*;1280?!g0:39om6F6219'037=;:2=7co84;02=<=#1?i1=:o<;h025?6=,h=96?<:;:k10a<72-k<>7:?7:9l=5b=83.j;?479198m77d290/m:<520a8?l?7=3:1(l9=:87`?>o5;h0;6)o82;;16>=zj8=2m7>57;09<~">i:02:i5Ga4f8L:?7;4H803?!2193984;5aa66964?f3-3=o7?8989j55d=831b==h50;9j54>=831b=<750;9j673=831b>9j50;9l=5b=831i5;k51;;94?6|,0k8648i;%c46??1n2.2<;45H820?>o6900;66g>1983>>o68o0;66g>0c83>>i>8m0;66a60d83>>{e1h<1=7?50;2x d15212n7co84;02=f=#1?i1=:76;n::5?6=,h=9657?;:p61b=838pR?:k;<;5a?43l2wx>?;50;0xZ742343=i7<=5:p54?=838pR8:p55`=838pR<>i;<;5a?77n2wx==l50;0xZ46e343=i7??b:p=5b=838pR4>k;<;5a??7l2wx>{zj8=2h7?56;294~">i:08on5Ga4f8L:?7=4$`51>6bf3A39<6*;60807=0:18'e24=:;?07d<;d;29 d152=:<76a60e83>!g0:322<65f20a94?"f?;09=n54i826>5<#i>8158m4;h00e?6=,h=964<=;:a52?2290<6?47{%;b7??1l2Bj9i5G9`78 1742<1C5?>4$542>65?>2dj;94=18g8 <0d28=286g>0c83>>o68o0;66g>1983>>o6900;66g=2483>>o5>d>>l0:644?:1y'=d5=1?l0(l9=:84e?!?7>39ni6F69c9K=76<,=<:6>=76:&756<23gk<87<>9g9'=3e=9>3?7d<;d;29?l46k3:1b47l:098m742290C5==4;h32=?6=3`;:47>5;h33b?6=3`;;n7>5;n;3`?6=3f3;i7>5;|`:e3<6280;6=u+a609<=c0c57>:18'e24=00:07p}=4e83>7}Y:=n0148j:36g?xu5:<0;6?uQ23789<0b2;8>7p}>1883>7}Y9830148j:03:?xu6910;6?uQ10:89<0b28;37p}>0g83>7}Y99l0148j:02e?xu68k0;6?uQ11`89<0b28:i7p}60e83>7}Y19n0148j:82g?xu59j0;6?u297g964e<50k=657>;|a52??280=6=4?{%;b7?5dk2Bj9i5G9`78 1742:1/m:<53ec8L<473->==7=<879me22=:8k:7)79c;34=1=n:8;1<7*n738160=o6=4+a609051<3f3;h7>5$`51>=?732c9=n4?:%c46?46k21b5=;50;&b37<>=j10e?=n:18'e24=1;807pl>78294?1=:32p(4o<:84g?Mg2l2B2m85+40191>N>:91/8;?532:5?kg0<38:m?5+97a952>a3`;;n7>5;h33b?6=3`;:47>5;h32=?6=3`8997>5;h07`?6=3f3;h7>5;c;5a?7=13:1815;h4$825>6cb3A32n6F6219'037=;:2=7):>3;78jd132;;j?6*66b823=`o6=44i33`>58:10ei:188m46e2900c4>k:188k<6b2900qo7n6;395?6=8r.j;?478d9me22=:8k?7)79c;3452z\10a=:1?o1>9j4}r011?6=:rT9>85297g967352z\25<=:1?o1=<74}r3252z\24c=:1?o1==h4}r33f?6=:rT:52z\:4a=:1?o15=j4}r02g?6=:r72:h4=1b9>=d0=00;0qpl>78195?0=83:p(4o<:2a`?Mg2l2B2m85+40197>"f?;08hl5G9328 1062:93:6`n75815d3<,07<=5:9j61b=83.j;?4;0698k<6c290/m:<58828?l46k3:1(l9=:33`?>o>8<0;6)o82;;6g>=n::k1<7*n738:67==}#1h915;j4H`7g?M?f=2.?=>4:;I;14>"3>808?584n`57>77f>2.2:n4>79c8m46e2900e<>i:188m47?2900e5<7s-3j?779f:&b37<>>o1/5=853dg8L84?:I;37>=n9831<75f10:94?=n99l1<75f11`94?=h19n1<75`91g94?=zj0k=6<4>:183!g0:323i6`n75815d><,07660:9~w72c2909wS<;d:?:2`<52909wS?>9:?:2`<6901v199~w46a2909wS??f:?:2`<68o1v<>m:181[77j272:h4>0c9~w<6c2909wS7?d:?:2`<>8m1v??l:1818?1m38:o636a78;=4=zuk;<4h4>:783>5}#1h91?nm4H`7g?M?f=2.?=>4<;%c46?5ci2B2>=5+473976>13gk<87<>a89'=3e=9>2j7d<>1;29 d152;8>76g=4e83>!g0:3>;;65`91f94?"f?;035=54i33`>5<#i>81>77=2:9~f44003:1;7<58z&:e6<>>m1Cm8j4H8c6?!26;3?0D4">8?08ih5G98`8L<473->==7=<879'045==2dj;94=1``8 <0d288<;6g=4e83>>o59j0;6c76c;38?l45=3:1D4><;:k25<<722c:=54?::k24c<722c:t$`51>=>b3gk<87<>ab9'=3e=9;=<7b661;29 d15213;76s|25f94?4|V;>o7079e;07`>{t:;?1<7{t9821<7{t99h1<7{t:8i1<777d343j:7661:~f440j3;1:7>50z&:e6<4kj1Cm8j4H8c6?!26;390(l9=:2fb?M?582.?:<4<3948jd132;;jh6*66b826215$`51>16032e2>821b>::18'e24=15<02;03w)7n3;;5`>Nf=m1C5l;4$530>0=O1;:0(98>:21;2>hf?=09=lk4$84`>440k2c:84?::k10a<722e25;h02g?6=f03h6<54i306>59;29?l7603:17d??f;29?l77j3:17b7?d;29?j?7m3:17pl6a782>4<729q/m:<589g8jd132;;i<6*66b8262e?;4=84f>7423ty:=44?:3y]54?<5047?3ty:i;|q24g<72;qU==l4=84f>46e3ty2k;|q15f<72;q65;k520a894<1290;w)7n3;1`g>Nf=m1C5l;4$530>6=#i>81?io4H803?!2193984;5aa66964d63-3=o7?=7b9j647=83.j;?4=2498m72c290/m:<54158?j?7l3:1(l9=:9;3?>o59j0;6)o82;02g>=n19?1<7*n738:1f=N>i<1/m:<521a8 1062:93:6`n75815g4<,07:?7:9l325=83.j;?47919Ke27<3th:n<>51;094?6|,0k869;9;Ic6`>N>i<1/m:<521a8 1062:93:6`n75815g5<,07:?7:9l325=83.j;?47919Ke27<3th:onm51;094?6|,0k869;9;Ic6`>N>i<1/m:<521a8 1062:93:6`n75815g2<,07:?7:9l325=83.j;?47919Ke27<3th:n?851;094?6|,0k869;9;Ic6`>N>i<1/m:<521a8 1062:93:6`n75815g3<,07:?7:9l325=83.j;?47919Ke27<3thmn:4>:383>5}#i>81>=m4$8c0>1033A3j96`n75815g05$`51>=?732wijo851;694?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3>=i6*;1280g<=ii>>1>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~fcd228096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg`e<3;187>50z&b37<>:81C5l;4H`7g?!2193984;5+9`1903c<,=;86>j8;oc40?46j01/5;m5bc38m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl=f9495?4=83:p(l9=:32`?!?f;3>=86F6a49me22=:8hj7d=id;29 d152=:<76a87283>!g0:322<65rb3d;1?7==3:1ih;;h1ea?7=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a6c>f28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg4a000:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=k9:lb31<59kn0(48l:3fe0>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj=87co84;02f`=n;on1<7*n738742==86=4+a609<<6<3th?>:751;194?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3>=n6*;12861>hf?=09=oh4$84`>16?12c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632e<;>4?:%c46?>>821vn9<8d;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?46k91b?kj50;&b37<38>10c:9<:18'e24=00:07pl;26a95?3=83:p(l9=:802?M?f=2Bj9i5+473976>13-3j?7:81:&756!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb24:5<#i>8144>4;|`02<1=93>1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;65a>"39:0<46`n75815f5<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn>86c;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?46k=1b?kj50;&b37<38>10c:9<:18'e24=00:07pl<68`95?2=83:p(l9=:802?M?f=2Bj9i5+473976>13-3j?7:9f:&7562dj;94=1b78 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj:<2<7?52;294~"f?;097co84;02g3=n;on1<7*n738742==86=4+a609<<6<3th8:5h51;694?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3>=j6*;128:3>hf?=09=n94$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f6`ej3;1>7>50z&b37<58j1/5l=54768Lc99j7cb=83.j;?4;0698k214290/m:<58828?xd4nkk1=7=50;2x d15208:7E7n5:Jb1a=#69;%;b7?21j2.?=>4ka:lb31<59j30(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>i0?:0;6)o82;::4>=zj:=<>7?52;294~"f?;097co84;02gd=n;on1<7*n738742==86=4+a609<<6<3th8;:?51;694?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3>=i6*;12862>hf?=09=nl4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f6>1<3;1>7>50z&b37<58j1/5l=54768Lcb9j7cb=83.j;?4;0698k214290/m:<58828?xd40?91=7;50;2x d15208:7E7n5:Jb1a=#69;%;b7?20:2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75815fc5$`51>=?732wi?58>:080>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?:o5+4019`d=ii>>1>50:9j7c`=83.j;?4>849me26=921d;:=50;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm3654>4<3290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;6g9'045=?11em::520f2?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75815a45$`51>=?732wi>k6>:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;<5+4019=<=ii>>1>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b370D4o:;oc40?46l=1b?kj50;&b37<38>10c:9<:18'e24=00:07plib`82>0<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5c12dj;94=1e78 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;29 d15213;76sm3c;2>4<5290;w)o82;03g>">i:0?:95G9`78jd132;;o:6g!g0:3>;;65`76194?"f?;035=54}c1a=5<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:552?!26;3;8h6`n75815a1<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6d2:3;1>7>50z&b37<58j1/5l=54768Ld99j7cb=83.j;?4;0698k214290/m:<58828?xd4j<;1=7;50;2x d15208:7E7n5:Jb1a=#69;%;b7?2092.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=4>:383>5}#i>81>=m4$8c0>1033A3j96`n75815ag5$`51>=?732wi?o9=:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=86F6a49me22=:8nh7d=id;29 d152=:<76a87283>!g0:322<65rb2`5`?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7g0>28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5e>10:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=l1:lb31<59ml0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj8:>=7?52;294~"f?;097co84;02a5=n;on1<7*n738742==86=4+a609<<6<3th:<8>51;694?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3>=i6*;1280g<=ii>>1>;%;5g?de92c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:m436<62-k<>7660:9~f7b0m3;1>7>50z&b37<58j1/5l=54768Le39j7cb=83.j;?4;0698k214290/m:<58828?xd5l>n1=7;50;2x d15208:7E7n5:Jb1a=#69;%;b7?2092.?=>4=4:lb31<59l90(48l:3`11>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj;l3i7?52;294~"f?;097co84;02a1=n;on1<7*n738742==86=4+a609<<6<3th9j5j51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><=6*;1281b>hf?=09=h;4$84`>7ba<2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn<>;4;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?46m?1b?kj50;&b37<38>10c:9<:18'e24=00:07pl>05195?2=83:p(l9=:802?M?f=2Bj9i5+473976>13-3j?7:9e:&756<4k01em::520g4?!?1k3hi=6g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>i0?:0:6)o82;::4>=zj:i::7?52;294~"f?;097co84;02a==n;on1<7*n738742==86=4+a609<<6<3th8o<;51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;1280g4=ii>>1>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm3b32>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=;j;0bl9;:33ff>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0g5c=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>8;:m436<72-k<>7660:9~f6e7l3;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86>m>;oc40?46mm1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;j:j6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:33fa>o4nm0;6)o82;633>=h?>91<7*n738;=5=:483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;1`5>hf?=09=hh4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>m?6;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?46n91b?kj50;&b37<38>10c:9<:18'e24=00:07pl13-3j?7:82:&756<4k81em::520d2?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1`47<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09=k<4i2dg>5<#i>818=94;n547?6=,h=9657?;:a7f66280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891?n?4n`57>77a;2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4joo1=7<50;2x d152;:h7)7n3;650>N>i<1em::520d7?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:hmh7?55;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=<>80(9?<:2a2?kg0<38:j85+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?oh8:081>5<7s-k<>7=1C5l;4n`57>77a>2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5d92dj;94=1g58 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2`e7?7=:3:15<#i>8144>4;|`0fc4=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08o<5aa66964`>3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5emo0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=1gc8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;kon6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53b38jd132;;mn6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8nhl51;094?6|,h=96?>l;%;b7?21<2B2m85aa66964`d3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>lja;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>6e63gk<87<>fe9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3cg4>4<5290;w)o82;03g>">i:0?:95G9`78jd132;;mi6g!g0:3>;;65`76194?"f?;035=54}c1aa3<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;39h=6`n75815c`<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6db;3;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75816545$`51>=?732wi?ojj:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=86F6a49me22=:;:?7d=id;29 d152=:<76a87283>!g0:322<65rb2`ge?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7gb028096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5el?0:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=l1:lb31<5:9=0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:ho?7?52;294~"f?;097co84;014==n;on1<7*n738742==86=4+a609<<6<3th8ni<51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;1280g4=ii>>1>?>6;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm3caf>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=;j;0bl9;:303f>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0ffd=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>?>l;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f6ddi3;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86>m>;oc40?458m1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;ki<6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:303a>o4nm0;6)o82;633>=h?>91<7*n738;=5=:483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;1`5>hf?=09>=h4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>ll3;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?45991b?kj50;&b37<38>10c:9<:18'e24=00:07pl13-3j?7:82:&756<4k81em::52332?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1afc<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09><<4i2dg>5<#i>818=94;n547?6=,h=9657?;:a7gdb280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891?n?4n`57>746;2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4jkh1=7<50;2x d152;:h7)7n3;650>N>i<1em::52337?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:him7?55;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=<>80(9?<:2a2?kg0<389=85+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?ol8:081>5<7s-k<>7=1C5l;4n`57>746>2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5d92dj;94=2058 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2`a7?7=:3:15<#i>8144>4;|`0fg4=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08o<5aa669677>3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5eio0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=20c8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;kkn6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53b38jd132;8:n6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8nll51;094?6|,h=96?>l;%;b7?21<2B2m85aa669677d3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>lna;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>6e63gk<87<=1e9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3cc4>4<5290;w)o82;03g>">i:0?:95G9`78jd132;8:i6g!g0:3>;;65`76194?"f?;035=54}c1ae3<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;39h=6`n758164`<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6df;3;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75816745$`51>=?732wi?o7j:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197f7hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=86F6a49me22=:;8?7d=id;29 d152=:<76a87283>!g0:322<65rb2`:e?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7g1028096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5e??0:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=l1:lb31<5:;=0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:h3>7?52;294~"f?;097co84;016==n;on1<7*n738742==86=4+a609<<6<3th8n5?51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;1280g4=ii>>1>?<6;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm3c5`>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=;j;0bl9;:301f>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0f0d=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>?8;:m436<72-k<>7660:9~f6d2i3;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86>m>;oc40?45:m1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e<8in6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:301a>o4nm0;6)o82;633>=h?>91<7*n738;=5=:oi4>:283>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;fb?kg0<389>k5+97a905da3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi85<7s-k<>7=1C5l;4n`57>74482c8ji4?:%c46?27?21d;:=50;&b37c982>6<729q/m:<59338Lh6*;60807=0<,0k8698m;%627?bf3gk<87<=309'=3e=<9hm7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm40a5>4<5290;w)o82;03g>">i:0?:95G9`78jd132;88>6g!g0:3>;;65`76194?"f?;035=54}c62g0<62:0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:54a?!26;3nj7co84;0176=#1?i18=li;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a04e328096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg26k:0:6>4?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>10e3->:?7jn;oc40?45;<1/5;m541`e?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e<8i96<4=:183!g0:38;o6*6a28721=O1h?0bl9;:3002>o4nm0;6)o82;633>=h?>91<7*n738;=5=:o<4>:283>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;fb?kg0<389?:5+97a905da3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi85<7s-k<>7=1C5l;4n`57>74402c8ji4?:%c46?27?21d;:=50;&b37bg82>6<729q/m:<59338Lh6*;60807=0<,0k8698m;%627?bf3gk<87<=389'=3e=<9hm7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07b983;29 d15213;76sm27:6>4<5290;w)o82;03g>">i:0?:95G9`78jd132;88m6g!g0:3>;;65`76194?"f?;035=54}c05<1<62=0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:54f?!26;33<7co84;017g=#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi>;;l:081>5<7s-k<>7=1C5l;4n`57>744k2c8ji4?:%c46?27?21d;:=50;&b371<729q/m:<59338Lh6*;60807=0<,0k8698j;%627?be3gk<87<=3e9'=3e=:89;7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e9lk26<4=:183!g0:38;o6*6a28721=O1h?0bl9;:300a>o4nm0;6)o82;633>=h?>91<7*n738;=5=:583>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;fa?kg0<389?k5+97a95`453`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a60b>28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg42l10:6>4?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>10e3->:?798;oc40?45<81/5;m52013?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?j10;3:1(l9=:9;3?>{e<;296<4=:183!g0:38;o6*6a28721=O1h?0bl9;:3076>o4nm0;6)o82;633>=h?>91<7*n738;=5=94<4>:283>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;54?kg0<3898>5+97a905>>3`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi8?69:081>5<7s-k<>7=1C5l;4n`57>743<2c8ji4?:%c46?27?21d;:=50;&b371<729q/m:<59338Lh6*;60807=0<,0k8698j;%627??03gk<87<=449'=3e=<9227d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e<;=>6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:3072>o4nm0;6)o82;633>=h?>91<7*n738;=5=9;94>:583>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;;4?kg0<3898:5+97a905>>3`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:abc1=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>?:7;h1e`?6=,h=969>8;:m436<72-k<>7660:9~fc`1280?6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a2872`=#<891?ok4n`57>74312.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b370D4o:;oc40?4510c:9<:18'e24=00:07plif282>1<729q/m:<59338Lh6*;60807=0<,0k8698j;%627?5d12dj;94=25`8 <0d2kh:7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e:>>26<4=:183!g0:38;o6*6a28721=O1h?0bl9;:307g>o4nm0;6)o82;633>=h?>91<7*n738;=5=:483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;0e?kg0<3898i5+97a964573`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi>:8?:081>5<7s-k<>7=1C5l;4n`57>743m2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5d92dj;94=25d8 <0d2;;8<6g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb356=?7=:3:15<#i>8144>4;|`130>=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08o<5aa66967363-3=o7<>319j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg51880:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=2408m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;?:;6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53df8jd132;8>?6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8h<:51;094?6|,h=96?>l;%;b7?21<2B2m85aa66967333`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>j>3;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>72hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl<8e495?4=83:p(l9=:32`?!?f;3>=86F6a49me22=:;?=7d=id;29 d152=:<76a87283>!g0:322<65rb2:g1?7=<3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi>:;9:081>5<7s-k<>7=1C5l;4n`57>74202c8ji4?:%c46?27?21d;:=50;&b371<729q/m:<59338Lh6*;60807=0<,0k8698j;%627?503gk<87<=589'=3e=:89;7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e;ho=6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:306e>o4nm0;6)o82;633>=h?>91<7*n738;=5=:583>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;14?kg0<3899o5+97a974>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a7de?28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5fk>0:694?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>10b3->:?778;oc40?45=m1/5;m538;e?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm3```>4<5290;w)o82;03g>">i:0?:95G9`78jd132;8>i6g!g0:3>;;65`76194?"f?;035=54}c1bfg<62=0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:54f?!26;39<7co84;011c=#1?i1?47i;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?:=?:081>5<7s-k<>7=1C5l;4n`57>74182c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699>;%627??73gk<87<=609'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm360f>4<5290;w)o82;03g>">i:0?:95G9`78jd132;8=>6g!g0:3>;;65`76194?"f?;035=54}c146a<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:552?!26;39on6`n7581635<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6b7>3;1>7>50z&b37<58j1/5l=54768L69;%;b7?21j2.?=>47)79c;10`0=n;oo1<7*n7382<0=ii>:1<65f3gd94?"f?;0:485aa6295>=h?>91<7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75816305$`51>=?732wi>::;:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197g1hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=72d95?4=83:p(l9=:32`?!?f;3>=86F6a49me22=:;<37d=id;29 d152=:<76a87283>!g0:322<65rb350a?7==3:1<=?;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a625028096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg40;?0:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=m7:lb31<5:?h0(48l:3304>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj;=8n7?52;294~"f?;097co84;012f=n;on1<7*n738742==86=4+a609<<6<3th9;>o51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;1280f2=ii>>1>?8k;%;5g?46;91b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm245f>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=:=1em::5234e?!?1k38:?=5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1bf2<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09>:>4i2dg>5<#i>818=94;n547?6=,h=9657?;:a7dd128086=4?{%c46??592B2m85Ga4f8 1062:93:6*6a2872g=#<891985aa66967163-3=o7=69g9j7cc=83.j;?4>849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg5fnj0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=2608m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;hli6<4;:183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3>l1/8<=5309me22=:;=87)79c;1:=c=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c15b6<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09>::4i2dg>5<#i>818=94;n547?6=,h=9657?;:a73`5280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28734=#<891mk5aa66967123-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg51n90:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=2648m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;?om6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?81/8<=53e`8jd132;8<;6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th:>9o51;094?6|,h=96?>l;%;b7?21<2B2m85aa669671?3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn<<;9;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>6e13gk<87<=789'=3e=jk;0e>hj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl>24a95?4=83:p(l9=:32`?!?f;3>=86F6a49me22=:;=j7d=id;29 d152=:<76a87283>!g0:322<65rb006f?7==3:15<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`2636=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>?9l;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f442n3;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86?h4n`57>740l2.2:n4mb09j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg75><0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=26g8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e9;=76:&:e6<3?;1/8<=52g9me22=:;=m7)79c;`a5>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj88=i7?52;294~"f?;097co84;01<5=n;on1<7*n738742==86=4+a609<<6<3th:>;j51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><=6*;12827f=ii>>1>?6>;%;5g?de92c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn?j73;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?450;1b?kj50;&b37<38>10c:9<:18'e24=00:07pl=d9095?3=83:p(l9=:802?M?f=2Bj9i5+473976>13-3j?7:81:&756<>02dj;94=2918 <0d2;h996g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2g20?7=:3:15<#i>8144>4;|`0a45=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08in5aa66967>23-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5b:=0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=2948m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;l886<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53dc8jd132;83;6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8i?l51;094?6|,h=96?>l;%;b7?21<2B2m85aa66967>?3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>k=a;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>6e63gk<87<=889'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3d34>4<5290;w)o82;03g>">i:0?:95G9`78jd132;83m6g!g0:3>;;65`76194?"f?;035=54}c1f53<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;39no6`n75816=d<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6`7n3;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75816=c5$`51>=?732wi?k?;:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+401956dhj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=86F6a49me22=:;3;7d=id;29 d152=:<76a87283>!g0:322<65rb2d32?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7c3028096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5a=?0:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=l1:lb31<5:090(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:l>h7?52;294~"f?;097co84;01=1=n;on1<7*n738742==86=4+a609<<6<3th8j8m51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;12827g=ii>>1>?7:;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm3g6f>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=;li0bl9;:30:3>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`02`3=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>?77;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f60b<3;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86>m>;oc40?45101/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;?nm6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:30:e>o4nm0;6)o82;633>=h?>91<7*n738;=5=:483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;1`5>hf?=09>4l4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>8k9;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?451j1b?kj50;&b37<38>10c:9<:18'e24=00:07pl<6e:95?3=83:p(l9=:802?M?f=2Bj9i5+473976>13-3j?7:82:&756<4k81em::523;g?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c15`6<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09>4k4i2dg>5<#i>818=94;n547?6=,h=9657?;:a73b5280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891?n?4n`57>74>n2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4>jn1=7<50;2x d152;:h7)7n3;650>N>i<1em::523c3?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:<5G9`78Ld3c3->==7=<879'=d5=<>80(9?<:2a2?kg0<389m<5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?;m8:081>5<7s-k<>7=1C5l;4n`57>74f:2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5d92dj;94=2`18 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb24`5?7=:3:15<#i>8144>4;|`02f6=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08o<5aa66967g23-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg51jk0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=2`48m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;?hj6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53b38jd132;8j;6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th99=651;094?6|,h=96?>l;%;b7?21<2B2m85aa66967g?3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn?;?7;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:?4$530>7bhj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=51f95?4=83:p(l9=:32`?!?f;3>=86F6a49me22=:;kj7d=id;29 d152=:<76a87283>!g0:322<65rb373g?7=;3:1">>j09=>>4i2df>4<#i>81=5;4n`53>5=5<#i>8144>4;|`0b25=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>?ol;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f6`0:3;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86>m>;oc40?45im1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;o=26<4=:183!g0:38;o6*6a28721=O1h?0bl9;:30ba>o4nm0;6)o82;633>=h?>91<7*n738;=5=:483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;30f>hf?=09>lh4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>h9b;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?45j91b?kj50;&b37<38>10c:9<:18'e24=00:07pl13-3j?7:82:&756<4mj1em::523`2?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1ehf?=09>o<4i2dg>5<#i>818=94;n547?6=,h=9657?;:a7c>b280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891?n?4n`57>74e;2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4n0?1=7<50;2x d152;:h7)7n3;650>N>i<1em::523`7?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:l287?55;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=<>80(9?<:01a?kg0<389n85+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?k68:081>5<7s-k<>7=1C5l;4n`57>74e>2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5bk2dj;94=2c58 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2da`?7=:3:15<#i>8144>4;|`0bge=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08o<5aa66967d>3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5alm0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=2cc8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;onh6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53b38jd132;8in6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8ji:51;094?6|,h=96?>l;%;b7?21<2B2m85aa66967dd3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>hk3;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>6e63gk<87<=be9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm37ce>4<5290;w)o82;03g>">i:0?:95G9`78jd132;8ii6g!g0:3>;;65`76194?"f?;035=54}c15e`<62=0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:54f?!26;33<7co84;01fc=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?;o;:081>5<7s-k<>7=1C5l;4n`57>74d82c8ji4?:%c46?27?21d;:=50;&b371<729q/m:<59338Lh6*;60807=0<,0k8698j;%627?be3gk<87<=c09'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?j10;3;1(l9=:9;3?>{e;?296<4=:183!g0:38;o6*6a28721=O1h?0bl9;:30`6>o4nm0;6)o82;633>=h?>91<7*n738;=5=:583>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;14?kg0<389o>5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;n547?7=,h=9657?;:a731e28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg51?h0:694?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>10a3->:?778;oc40?45k<1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm36:`>4<5290;w)o82;03g>">i:0?:95G9`78jd132;8h:6g!g0:3>;;65`76194?"f?;035=54}c147Eo:d:&724<4;1<0(4o<:54e?!26;33<7co84;01g2=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi>8>m:081>5<7s-k<>7=1C5l;4n`57>74d02c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699>;%627?413gk<87<=c89'=3e=:89;7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm243g>4<5290;w)o82;03g>">i:0?:95G9`78jd132;8hm6g!g0:3>;;65`76194?"f?;035=54}c065f<62:0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:54`?!26;3nj7co84;01gg=#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;n547?6=,h=9657?;:a607228096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg429=0:6>4?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>10d3->:?7jn;oc40?45km1/5;m52013?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?j10;3:1(l9=:9;3?>{e:>886<4=:183!g0:38;o6*6a28721=O1h?0bl9;:30`a>o4nm0;6)o82;633>=h?>91<7*n738;=5=?4>:483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;07?kg0<389ok5+97a964573`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi>:??:081>5<7s-k<>7=1C5l;4n`57>74c82c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?433gk<87<=d09'=3e=:89;7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm27dg>4<5290;w)o82;03g>">i:0?:95G9`78jd132;8o>6g!g0:3>;;65`76194?"f?;035=54}c05bf<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;38?7co84;01`6=#1?i1><=?;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a721328096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg50?:0:694?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>10b3->:?778;oc40?45l<1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807b983;39 d15213;76sm3e77>4<5290;w)o82;03g>">i:0?:95G9`78jd132;8o:6g!g0:3>;;65`76194?"f?;035=54}c1g16<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;3l27co84;01`2=#1?i1?>j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7a2?28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5c<>0:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=jc:lb31<5:m30(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:n<<7?52;294~"f?;097co84;01`d=n;on1<7*n738742==86=4+a609<<6<3th8h;h51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;128e=>hf?=09>il4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>j:c;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?45lj1b?kj50;&b37<38>10c:9<:18'e24=00:07pl13-3j?7:82:&756<4mj1em::523fg?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1g20<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09>ik4i2dg>5<#i>818=94;n547?6=,h=9657?;:a7a03280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891=>l4n`57>74cn2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4l1h1=7<50;2x d152;:h7)7n3;650>N>i<1em::523g3?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:n3m7?53;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3f=5$`51>=?732wi?i9j:081>5<7s-k<>7=1C5l;4n`57>74b:2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5bk2dj;94=2d18 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2f:6?7=:3:15<#i>8144>4;|`0`<7=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08in5aa66967c23-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5ci>0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=2d48m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;mk=6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53da8jd132;8n;6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8hn>51;094?6|,h=96?>l;%;b7?21<2B2m85aa66967c?3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>jmf;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>45e3gk<87<=e89'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3ef2>4<5290;w)o82;03g>">i:0?:95G9`78jd132;8nm6g!g0:3>;;65`76194?"f?;035=54}c1g`5<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;39no6`n75816`d<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6bb>3;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75816`c5$`51>=?732wi?47l:087>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?:h5+401974=ii>>1>?ki;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg5>1:0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=2g28m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;0396<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?81/8<=5279me22=:;l:7)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75816c45$`51>=?732wi?ihj:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+401956dhj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=86F6a49me22=:;l?7d=id;29 d152=:<76a87283>!g0:322<65rb2g05?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7=d?28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5?j>0:694?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>10b3->:?7=na:lb31<5:o=0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb2g73?7=:3:15<#i>8144>4;|`0a10=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08in5aa66967`>3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5?j<0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=2gc8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;1h?6<4;:183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3>l1/8<=53`c8jd132;8mn6*66b807a35<#i>81=5;4n`53>4=5<#i>8144>4;|`0a36=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>?hl;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f6c2n3;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86<=m;oc40?45nm1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;1h86<4=:183!g0:38;o6*6a28721=O1h?0bl9;:30ea>o4nm0;6)o82;633>=h?>91<7*n738;=5=:583>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;1be>hf?=09>kh4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f6c093;1>7>50z&b37<58j1/5l=54768L:1=7;50;2x d15208:7E7n5:Jb1a=#69;%;b7?20:2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75817545$`51>=?732wi?h6::086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197`ehj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<8c295?4=83:p(l9=:32`?!?f;3>=86F6a49me22=:::?7d=id;29 d152=:<76a87283>!g0:322<65rb2:bb?7=<3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi?h7m:081>5<7s-k<>7=1C5l;4n`57>757>2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5bk2dj;94=3158 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb25:5<#i>8144>4;|`03<1=9391<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;65f>"39:08m55aa669666>3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9l325=83.j;?479198yg5?>>0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=31c8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;1<=6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?81/8<=53df8jd132;9;n6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th84lk51;094?6|,h=96?>l;%;b7?21<2B2m85aa669666d3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>6nd;390?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918;k4$530>6gf3gk<87<<0e9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e;>k86<4=:183!g0:38;o6*6a28721=O1h?0bl9;:313a>o4nm0;6)o82;633>=h?>91<7*n738;=5=:283>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;a5?kg0<3885$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3f=5$`51>=?732wi?47?:081>5<7s-k<>7=1C5l;4n`57>75682c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699>;%627?413gk<87<<109'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm39c`>4<5290;w)o82;03g>">i:0?:95G9`78jd132;9:>6g!g0:3>;;65`76194?"f?;035=54}c1;eg<62=0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:54f?!26;39jm6`n7581745<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn>kld;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?449=1b?kj50;&b37<38>10c:9<:18'e24=00:07pl13-3j?7:82:&756<6;k1em::52236?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1fg1<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09?<84i2dg>5<#i>818=94;n547?6=,h=9657?;:a7`e4280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891?hm4n`57>756?2.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd40hk1=7<50;2x d152;:h7)7n3;650>N>i<1em::5223;?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:2j57?54;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a7`b?28096=4?{%c46?47k2.2m>4;659K=d3a:k0ba<72-k<>7:?7:9l325=83.j;?479198yg5bl>0:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=jc:lb31<5;8h0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:2j47?52;294~"f?;097co84;005f=n;on1<7*n738742==86=4+a609<<6<3th84l951;694?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3>=i6*;1280ed=ii>>1>>?k;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg5bmm0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=30g8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;loh6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53da8jd132;9:j6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th84l851;094?6|,h=96?>l;%;b7?21<2B2m85aa66966473`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>6n5;390?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918;k4$530>6gf3gk<87<<209'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e;o;n6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:3116>o4nm0;6)o82;633>=h?>91<7*n738;=5=:483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;1fg>hf?=09??=4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>6n4;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?44:=1b?kj50;&b37<38>10c:9<:18'e24=00:07pl<8`195?2=83:p(l9=:802?M?f=2Bj9i5+473976>13-3j?7:9e:&756<4ih1em::52206?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75817705$`51>=?732wi?k=::086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197`ehj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl<8`395?4=83:p(l9=:32`?!?f;3>=86F6a49me22=::837d=id;29 d152=:<76a87283>!g0:322<65rb2:b4?7=<3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3f=5$`51>=?732wi?57i:081>5<7s-k<>7=1C5l;4n`57>755i2c8ji4?:%c46?27?21d;:=50;&b371<729q/m:<59338Lh6*;60807=0<,0k8698j;%627?5fi2dj;94=33`8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>i0?:0;6)o82;::4>=zj:22h7?52;294~"f?;097co84;006f=n;on1<7*n738742==86=4+a609<<6<3th844m51;694?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3>=i6*;1280ed=ii>>1>>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg5?1k0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=33g8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;13j6<4;:183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3>l1/8<=53`c8jd132;99j6*66b807a35<#i>81=5;4n`53>4=5<#i>8144>4;|`0<t$`51>76d3-3j?7:94:J:e0=ii>>1>>=?;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f6>>03;187>50z&b37<>:81C5l;4H`7g?!2193984;5+9`1903c<,=;86>on;oc40?44;81/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm39;4>4<5290;w)o82;03g>">i:0?:95G9`78jd132;98>6g!g0:3>;;65`76194?"f?;035=54}c1;=3<62=0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:54f?!26;39jm6`n7581765<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn>665;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?44;=1b?kj50;&b37<38>10c:9<:18'e24=00:07pl<88695?2=83:p(l9=:802?M?f=2Bj9i5+473976>13-3j?7:9e:&756<4ih1em::52216?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=4>:383>5}#i>81>=m4$8c0>1033A3j96`n75817605$`51>=?732wi?57=:087>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?:h5+40197dghj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398k214290/m:<58828?xd400;1=7<50;2x d152;:h7)7n3;650>N>i<1em::5221;?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:22<7?54;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;n547?6=,h=9657?;:a7=>a28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5?0l0:694?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>10b3->:?7=na:lb31<5;:h0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976a87283>!g0:322<65rb2:`0?7=:3:15<#i>8144>4;|`01<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;65a>"39:08ml5aa669665c3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21d;:=50;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm39a2>4<3290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;6d9'045=;hk0bl9;:310b>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7==86=4+a609<<6<3th84n>51;094?6|,h=96?>l;%;b7?21<2B2m85aa66966273`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>6mf;390?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918;k4$530>6gf3gk<87<<409'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?j10;3:1(l9=:9;3?>{e;1hn6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:3176>o4nm0;6)o82;633>=h?>91<7*n738;=5=:583>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;1be>hf?=09?9=4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:m436<72-k<>7660:9~f612j3;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4<0:lb31<5;=?0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:23h7?52;294~"f?;097co84;0003=n;on1<7*n738742==86=4+a609<<6<3th845m51;694?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3>=i6*;1280ed=ii>>1>>:8;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg5c8h0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=35:8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;m:26<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53b38jd132;9?56*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8h?>51;094?6|,h=96?>l;%;b7?21<2B2m85aa669662f3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>j>f;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>45e3gk<87<<4c9'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3bde>4<5290;w)o82;03g>">i:0?:95G9`78jd132;9?o6g!g0:3>;;65`76194?"f?;035=54}c1`b`<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;39n;6`n758171b<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6`e:3;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4m7)79c;10`0=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75817065$`51>=?732wi?kj9:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197`ehj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl;0e795?4=83:p(l9=:32`?!?f;3>=86F6a49me22=::?97d=id;29 d152=:<76a87283>!g0:322<65rb52g0?7=<3:14>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3f==?732wi?k>=:081>5<7s-k<>7=1C5l;4n`57>752<2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5bk2dj;94=3478 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2d7e?7=:3:15<#i>8144>4;|`0b1?=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08in5aa66966303-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5a>?0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=34:8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;o<>6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53da8jd132;9>56*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8j5<51;094?6|,h=96?>l;%;b7?21<2B2m85aa669663f3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>h71;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>6cd3gk<87<<5c9'=3e=;:n>7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3642>4<5290;w)o82;03g>">i:0?:95G9`78jd132;9>o6g!g0:3>;;65`76194?"f?;035=54}c1425<62=0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:54e?!26;39i46`n758170b<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532e<;>4>:%c46?>>821vn>8n6;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?44=l1b?kj50;&b37<38>10c:9<:18'e24=00:07pl<6`795?3=83:p(l9=:802?M?f=2Bj9i5+473976>13-3j?7:82:&756<5n2dj;94=34d8 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb24;g?7=:3:15<#i>8144>4;|`02=d=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:09j6`n7581737<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f60e13;1>7>50z&b37<58j1/5l=54768Lk21=7;50;2x d15208:7E7n5:Jb1a=#69;%;b7?2092.?=>4=6:lb31<5;?90(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:=3<7?52;294~"f?;097co84;0021=n;on1<7*n738742==86=4+a609<<6<3th8;:h51;694?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3>=j6*;128264=ii>>1>>8:;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9l325=83.j;?479198yg51j;0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=3748m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;?h:6<4;:183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3>o1/8<=51338jd132;9=;6*66b807a35<#i>81=5;4n`53>4=5<#i>8144>4;|`0221=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>>87;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f600>3;187>50z&b37<>:81C5l;4H`7g?!2193984;5+9`1903`<,=;86<<>;oc40?44>01/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807b983;29 d15213;76sm3750>4<5290;w)o82;03g>">i:0?:95G9`78jd132;9=m6g!g0:3>;;65`76194?"f?;035=54}c1537<62=0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:54e?!26;3;9=6`n758173d<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532e<;>4?:%c46?>>821vn>964;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?44>j1b?kj50;&b37<38>10c:9<:18'e24=00:07pl<78195?2=83:p(l9=:802?M?f=2Bj9i5+473976>13-3j?7:9f:&756<6:81em::5224g?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=h?>91<7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n758173c5$`51>=?732wi?:6i:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40196a=ii>>1>>8i;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b377<729q/m:<521a8 :0e>hk:18'e24=<9=07b983;29 d15213;76sm3656>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;709'045=:?1em::52252?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1b`2<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09?:<4i2dg>5<#i>818=94;n547?6=,h=9657?;:a7db1280?6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a2872`=#<891?:5aa66966143-3=o7=69g9j7cc=93.j;?4>849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b377<729q/m:<521a8 >0e>hk:18'e24=<9=07b983;29 d15213;76sm3`af>4<3290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;6d9'045=;>1em::52256?!?1k3925k5f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75817205$`51>=?732wi?lm<:087>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?:h5+4019=2=ii>>1>>98;%;5g?5>1o1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9l325=93.j;?479198yg5fjo0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=36:8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;hhn6<4;:183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3>l1/8<=5369me22=::=27)79c;1:=c=n;oo1=7*n7382<0=ii>:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65`76195?"f?;035=54}c1ee3<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09?:o4i2dg>5<#i>818=94;n547?6=,h=9657?;:a7cg2280?6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a2872`=#<891?:5aa669661e3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21d;:=51;&b377<729q/m:<521a8 i0e>hk:18'e24=<9=07b983;29 d15213;76sm3gc2>4<3290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;6d9'045=1>1em::5225g?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=h?>91=7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n758172c5$`51>=?732wi?k7i:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;<5+4019`f=ii>>1>>9i;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm3d04>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=;lk0bl9;:31;5>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0bc4=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>>6=;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f6`a93;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86>kl;oc40?440:1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e<9:m6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:31;0>o4nm0;6)o82;633>=h?>91<7*n738;=5=;

    :483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;1fg>hf?=09?5;4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>j<5;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?440?1b?kj50;&b37<38>10c:9<:18'e24=00:07pl13-3j?7:82:&756<4mj1em::522:4?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1g0a<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09?564i2dg>5<#i>818=94;n547?6=,h=9657?;:a7a2d280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891?hm4n`57>75?12.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4l?31=7<50;2x d152;:h7)7n3;650>N>i<1em::522:b?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:n=47?55;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=<>80(9?<:2g`?kg0<3884o5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?i6<:081>5<7s-k<>7=1C5l;4n`57>75?k2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5bk2dj;94=39f8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2f:e?7=:3:15<#i>8144>4;|`0`t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08in5aa66966>a3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg5cio0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=3828m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;mkn6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53da8jd132;92=6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8hn:51;094?6|,h=96?>l;%;b7?21<2B2m85aa66966?53`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>jl3;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>6cd3gk<87<<929'=3e=;:n>7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3ef:>4<5290;w)o82;03g>">i:0?:95G9`78jd132;9286g!g0:3>;;65`76194?"f?;035=54}c1g`=<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;39no6`n75817<3<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6bbm3;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=4>:383>5}#i>81>=m4$8c0>1033A3j96`n75817<>5$`51>=?732wi?h>=:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197`ehj:18'e24=91?0bl9?:198m6`a290/m:<51978jd172810e9>?:18'e24=91?0bl9?:398m166290/m:<51978jd172:10c:9<:18'e24=00:07pl=86F6a49me22=::3j7d=id;29 d152=:<76a87283>!g0:322<65rb2g0=?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7`2a28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5b"3>808?584$8c0>1153->:?7=jc:lb31<5;0n0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:o=87?52;294~"f?;097co84;00=`=n;on1<7*n738742==86=4+a609<<6<3th8i;=51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;1280af=ii>>1>>7i;%;5g?54l<1b?kk50;&b37<60<1em:>50:9j7c`=83.j;?4>849me26=921b8=>50;&b37<60<1em:>52:9j057=83.j;?4>849me26=;21d;:=50;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm3d5;>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=;li0bl9;:31b5>">>j08?i;4i2df>5<#i>81=5;4n`53>5=5<#i>81=5;4n`53>7=54o650>5<#i>8144>4;|`0a=c=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>>o=;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f6c?l3;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86>kl;oc40?44i:1/5;m532f6?l5am3:1(l9=:0:6?kg083:07d=if;29 d15282>7co80;38?l2783:1(l9=:0:6?kg083807d:?1;29 d15282>7co80;18?j10;3:1(l9=:9;3?>{e;lk86<4=:183!g0:38;o6*6a28721=O1h?0bl9;:31b0>o4nm0;6)o82;633>=h?>91<7*n738;=5=:483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;1fg>hf?=09?l;4$84`>65c=2c8jh4?:%c46?7?=2dj;=4?;:k0bc<72-k<>7?75:lb35<632c?<=4?:%c46?7?=2dj;=4=;:k744<72-k<>7?75:lb35<432e<;>4?:%c46?>>821vn>km8;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?44i?1b?kj50;&b37<38>10c:9<:18'e24=00:07pl13-3j?7:82:&756<4mj1em::522c4?!?1k398h85f3gg94?"f?;0:485aa6294>=n;ol1<7*n7382<0=ii>:1=65f41294?"f?;0:485aa6296>=n<9;1<7*n7382<0=ii>:1?65`76194?"f?;035=54}c1fa5<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09?l64i2dg>5<#i>818=94;n547?6=,h=9657?;:a7`ba280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891?hm4n`57>75f12.2:n4<3e78m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd4mo?1=7<50;2x d152;:h7)7n3;650>N>i<1em::522cb?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:om87?55;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=<>80(9?<:2g`?kg0<388mo5+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?k<9:081>5<7s-k<>7=1C5l;4n`57>75fk2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5bk2dj;94=3`f8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2d0f?7=:3:15<#i>8144>4;|`0b6g=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08in5aa66966ga3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg278h0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=3c28m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e<9:26<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53da8jd132;9i=6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8jhj51;094?6|,h=96?>l;%;b7?21<2B2m85aa66966d53`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>hjc;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>6cd3gk<87<7d=ie;29 d15282>7co80;28?l5an3:1(l9=:0:6?kg083;07d:?0;29 d15282>7co80;08?l2793:1(l9=:0:6?kg083907b983;29 d15213;76sm3gae>4<5290;w)o82;03g>">i:0?:95G9`78jd132;9i86g!g0:3>;;65`76194?"f?;035=54}c1eg`<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;39no6`n75817g3<,0
    =k5:k0b`<72-k<>7?75:lb35<732c8jk4?:%c46?7?=2dj;=4>;:k745<72-k<>7?75:lb35<532c?<<4?:%c46?7?=2dj;=4<;:m436<72-k<>7660:9~f6`fk3;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4:1<65f3gd94?"f?;0:485aa6295>=n<9:1<7*n7382<0=ii>:1>65f41394?"f?;0:485aa6297>=h?>91<7*n738;=5=oh4>:383>5}#i>81>=m4$8c0>1033A3j96`n75817g>5$`51>=?732wi>8mk:087>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?:k5+401954>hj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398k214280/m:<58828?xd411h1=7<50;2x d152;:h7)7n3;650>N>i<1em::522`b?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:33m7?55;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=<>80(9?<:2f:?kg0<388no5+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f=5$`51>=?732wi?i=?:081>5<7s-k<>7=1C5l;4n`57>75ek2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5bk2dj;94=3cf8 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2ae0?7=:3:15<#i>8144>4;|`0gc5=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08in5aa66966da3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg278>0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=3b28m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e<9:=6<4;:183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3>o1/8<=51338jd132;9h=6*66b807a34<#i>81=5;4n`53>4=5<#i>8144>4;|`1`<4=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>>m=;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f7b>93;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19027<,=;86994n`57>75d;2.2:n4=b378m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4?8=1=7<50;2x d152;:h7)7n3;650>N>i<1em::522a7?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:=::7?55;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=<>80(9?<:2a2?kg0<388o85+97a976b23`9mi7>5$`51>4>23gk<<7>4;h1eb?6=,h=96<6:;oc44?7<3`>;<7>5$`51>4>23gk<<7<4;h635?6=,h=96<6:;oc44?5<3f=5$`51>=?732wi?:>i:081>5<7s-k<>7=1C5l;4n`57>75d>2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5d92dj;94=3b58 <0d2:9o96g!g0:3;396`n7183?>o4no0;6)o82;3;1>hf?90:76g;0183>!g0:3;396`n7181?>o3880;6)o82;3;1>hf?90876a87283>!g0:322<65rb2533?7=:3:15<#i>8144>4;|`0350=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08o<5aa66966e>3-3=o7=849me26=821b?kh50;&b37<60<1em:>51:9j056=83.j;?4>849me26=:21b8=?50;&b37<60<1em:>53:9l325=83.j;?479198yg51no0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=3bc8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;?ln6<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53b38jd132;9hn6*66b807a35<#i>81=5;4n`53>4=5<#i>81=5;4n`53>6==86=4+a609<<6<3th8h=j51;094?6|,h=96?>l;%;b7?21<2B2m85aa66966ed3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>j?c;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:?4$530>6ce3gk<87<7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3gg5>4<5290;w)o82;03g>">i:0?:95G9`78jd132;9hi6g!g0:3>;;65`76194?"f?;035=54}c1ea0<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;39no6`n75817f`<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f16793;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=44>:383>5}#i>81>=m4$8c0>1033A3j96`n75817a45$`51>=?732wi?i<7:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197`ehj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=86F6a49me22=::n?7d=id;29 d152=:<76a87283>!g0:322<65rb2f6b?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7a1f28096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5c?00:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=jc:lb31<5;m=0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:n297?52;294~"f?;097co84;00`==n;on1<7*n738742==86=4+a609<<6<3th8h4:51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;1280af=ii>>1>>j6;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm3ec:>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=;li0bl9;:31gf>">>j08?i;4i2df>4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0`gb=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>>jl;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f6bek3;197>50z&b37<>:81C5l;4H`7g?!2193984;5+9`19024<,=;86>kl;oc40?44lm1/5;m532f6?l5am3;1(l9=:0:6?kg083:07d=if;39 d15282>7co80;38?l2783;1(l9=:0:6?kg083807d:?1;39 d15282>7co80;18?j10;3;1(l9=:9;3?>{e;mn?6<4=:183!g0:38;o6*6a28721=O1h?0bl9;:31ga>o4nm0;6)o82;633>=h?>91<7*n738;=5=4>:483>5}#i>815??4H8c6?Mg2l2.?:<4<3948 3;1fg>hf?=09?ih4$84`>65c=2c8jh4>:%c46?7?=2dj;=4?;:k0bc<62-k<>7?75:lb35<632c?<=4>:%c46?7?=2dj;=4=;:k744<62-k<>7?75:lb35<432e<;>4>:%c46?>>821vn>jj9;396?6=8r.j;?4=0b9'=d5=0D4o:;oc40?44m91b?kj50;&b37<38>10c:9<:18'e24=00:07pl13-3j?7:82:&756<4mj1em::522g2?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c1gbf<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=09?h<4i2dg>5<#i>818=94;n547?6=,h=9657?;:a7a`e280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891?hm4n`57>75b;2.2:n4<3e78m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10e9>>:08'e24=91?0bl9?:298k214280/m:<58828?xd4m:?1=7<50;2x d152;:h7)7n3;650>N>i<1em::522g7?l5al3:1(l9=:524?>i0?:0;6)o82;::4>=zj:o887?55;294~"f?;02><5G9`78Ld3c3->==7=<879'=d5=<>80(9?<:2g`?kg0<388i85+97a976b23`9mi7?5$`51>4>23gk<<7>4;h1eb?7=,h=96<6:;oc44?7<3`>;<7?5$`51>4>23gk<<7<4;h635?7=,h=96<6:;oc44?5<3f==?732wi?h:n:081>5<7s-k<>7=1C5l;4n`57>75b>2c8ji4?:%c46?27?21d;:=50;&b370<729q/m:<59338Lh6*;60807=0<,0k8699=;%627?5bk2dj;94=3d58 <0d2:9o96g!g0:3;396`n7183?>o4no0:6)o82;3;1>hf?90:76g;0182>!g0:3;396`n7181?>o3880:6)o82;3;1>hf?90876a87282>!g0:322<65rb2g6`?7=:3:15<#i>8144>4;|`0a0e=93?1<7>t$`51><463A3j96Fn5e9'037=;:2=7)7n3;646>"39:08in5aa66966c>3-3=o7=849me26=821b?kh51;&b37<60<1em:>51:9j056=93.j;?4>849me26=:21b8=?51;&b37<60<1em:>53:9l325=93.j;?479198yg5b?=0:6?4?:1y'e24=:9i0(4o<:547?M?f=2dj;94=3dc8m6`c290/m:<54158?j10;3:1(l9=:9;3?>{e;l=86<4::183!g0:339=6F6a49Ke0b<,=<:6>=76:&:e6<3?;1/8<=53da8jd132;9nn6*66b807a34<#i>81=5;4n`53>4=4<#i>81=5;4n`53>6==86<4+a609<<6<3th8i5751;094?6|,h=96?>l;%;b7?21<2B2m85aa66966cd3`9mh7>5$`51>16032e<;>4?:%c46?>>821vn>k78;391?6=8r.j;?46209K=d3<@h?o7):91;10<3=#1h918:<4$530>6cd3gk<87<7d=ie;39 d15282>7co80;28?l5an3;1(l9=:0:6?kg083;07d:?0;39 d15282>7co80;08?l2793;1(l9=:0:6?kg083907b983;39 d15213;76sm3d;f>4<5290;w)o82;03g>">i:0?:95G9`78jd132;9ni6g!g0:3>;;65`76194?"f?;035=54}c1f=a<62<0;6=u+a609=77<@0k>7Eo:d:&724<4;1<0(4o<:551?!26;39no6`n75817``<,0
    =k5:k0b`<62-k<>7?75:lb35<732c8jk4>:%c46?7?=2dj;=4>;:k745<62-k<>7?75:lb35<532c?<<4>:%c46?7?=2dj;=4<;:m436<62-k<>7660:9~f6ce93;1>7>50z&b37<58j1/5l=54768L69;%;b7?20:2.?=>4:1<65f3gd95?"f?;0:485aa6295>=n<9:1=7*n7382<0=ii>:1>65f41395?"f?;0:485aa6297>=h?>91=7*n738;=5=:383>5}#i>81>=m4$8c0>1033A3j96`n75817c45$`51>=?732wi?hjn:086>5<7s-k<>77=1:J:e0=Oi:21;2>">i:0?;?5+40197`ehj:08'e24=91?0bl9?:198m6`a280/m:<51978jd172810e9>?:08'e24=91?0bl9?:398m166280/m:<51978jd172:10c:9<:08'e24=00:07pl=86F6a49me22=::l?7d=id;29 d152=:<76a87283>!g0:322<65rb2gfb?7==3:1j:;h1ea?7=,h=96<6:;oc44?6<3`9mj7?5$`51>4>23gk<<7?4;h634?7=,h=96<6:;oc44?4<3`>;=7?5$`51>4>23gk<<7=4;n547?7=,h=9657?;:a7c4628096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5a:90:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=jc:lb31<5;o=0(48l:21g1>o4nl0:6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890:6)o82;3;1>hf?90976g;0082>!g0:3;396`n7180?>i0?:0:6)o82;::4>=zj:l8?7?52;294~"f?;097co84;00b==n;on1<7*n738742==86=4+a609<<6<3th8j><51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;1280af=ii>>1>>h6;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b377<729q/m:<521a8 hk:18'e24=<9=07b983;29 d15213;76sm41f3>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=;j;0bl9;:31ef>">>j0?4<#i>81=5;4n`53>5=4<#i>81=5;4n`53>7=54o650>4<#i>8144>4;|`0efg=9381<7>t$`51>76d3-3j?7:94:J:e0=ii>>1>>hl;h1e`?6=,h=969>8;:m436<72-k<>7660:9~f6gd13;187>50z&b37<>:81C5l;4H`7g?!2193984;5+9`1903c<,=;86>?4n`57>75al2.2:n4<98d8m6`b280/m:<51978jd172910e>hi:08'e24=91?0bl9?:098m167280/m:<51978jd172;10c:9<:08'e24=00:07pl<6gc95?4=83:p(l9=:32`?!?f;3>=86F6a49me22=::ln7d=id;29 d152=:<76a87283>!g0:322<65rb24e=?7==3:1j:;h1ea?6=,h=96<6:;oc44?6<3`9mj7>5$`51>4>23gk<<7?4;h634?6=,h=96<6:;oc44?4<3`>;=7>5$`51>4>23gk<<7=4;n547?6=,h=9657?;:a7cd128096=4?{%c46?47k2.2m>4;659K=d37:?7:9l325=83.j;?479198yg5aj<0:684?:1y'e24=1;;0D4o:;Ic6`>"3>808?584$8c0>1153->:?7=ja:lb31<5<9;0(48l:21g1>o4nl0;6)o82;3;1>hf?90;76g!g0:3;396`n7182?>o3890;6)o82;3;1>hf?90976g;0083>!g0:3;396`n7180?>i0?:0;6)o82;::4>=zj:oi97?52;294~"f?;097co84;0747=n;on1<7*n738742==86=4+a609<<6<3th8io:51;794?6|,h=964<>;I;b1>Nf=m1/8;?532:5?!?f;3><>6*;1280ad=ii>>1>9><;%;5g?54l<1b?kk51;&b37<60<1em:>50:9j7c`=93.j;?4>849me26=921b8=>51;&b37<60<1em:>52:9j057=93.j;?4>849me26=;21d;:=51;&b377<729q/m:<521a8 0e>hk:18'e24=<9=07b983;29 d15213;76sm36c:>4<2290;w)o82;;15>N>i<1Cm8j4$542>65?>2.2m>4;739'045=;k1em::52526?!?1k398h85f3gg95?"f?;0:485aa6294>=n;ol1=7*n7382<0=ii>:1=65f41295?"f?;0:485aa6296>=n<9;1=7*n7382<0=ii>:1?65`76195?"f?;035=54}c62`g<62;0;6=u+a60965e<,0k8698;;I;b1>hf?=098=84i2dg>5<#i>818=94;n547?6=,h=9657?;:a04bf280>6=4?{%c46??592B2m85Ga4f8 1062:93:6*6a28737=#<891?n?4n`57>727?2.2:n4;09;8m6`b290/m:<51978jd172910e>hi:18'e24=91?0bl9?:098m167290/m:<51978jd172;10e9>>:18'e24=91?0bl9?:298k214290/m:<58828?xd5:<0:6<4?:1y'e24=0oi0D4o:;I63e>"3=m0?<:5+4719"3>:03oh5+44a902376ic:9~f<02280:6=4?{%c46?>ak2B2m85G41c8 13c213;7):93;:b7>"3=j0?;95a971951=i;h?146`n758105g:083>5}#i>814km4H8c6?M27i2.?9i4;069'035=0k>0(9;l:557?k?1;3?;7c=n5;:8jd132;>;n6g7fb83>!g0:32mo65rb84;>4<6290;w)o82;:eg>N>i<1C8=o4$57g>=?73->=?76n6:&71f<3?=1em::5252`?j>ak3:1(l9=:9d`?>{e1?31=7?50;2x d1521lh7E7n5:J74d=#<4$540>=d13->>o7:84:l:26<282d8m847;oc40?438m1d4km50;&b37"3>:03h<5+44a9023655a971915=ii>>1>9>j;n:eg?6=,h=965hl;:a=g4=93;1<7>t$`51>=`d3A3j96F;0`9'035=00i0(9;l:557?k?1;3?;7c=n5;:8jd132;>;j6%7fb83>!g0:32mo65rb8`0>4<6290;w)o82;:eg>N>i<1C8=o4$540>=e73->>o7:84:l:26<282d8m847;oc40?43991 4km50;&b37"3=j0?;95a971915=i;h?146`n7581047<#1lh6=4+a609:083>5}#i>814km4H8c6?M27i2.?:>47c49'00e=<>>0b48<:428j6g2211em::52531?.>ak3:1(l9=:9d`?>{e1k<1=7?50;2x d1521lh7E7n5:J74d=#1133g3=?7;?;o1b1?>3:);bf<72-k<>76ic:9~fak2B2m85G41c8 10421ii7)::c;640>h>>:0><6`n;%657?>dl2.?9n4;759m=35==91e?l;58:lb31<5<8?0'5hl:18'e24=0oi07pl6b`82>4<729q/m:<58ga8L;m6*;628;e7=#<06655aa66961713"2mo7>5$`51>=`d32wi5ol51;394?6|,h=965hl;I;b1>N38h1/8;=58`78 13d2==?7c793;73?k5f=320bl9;:3623>-?nj0;6)o82;:eg>=zj0hh6<4>:183!g0:32mo6F6a49K05g<,=<865ok;%66g?20<2d2:>4:0:l0e050z&b37hf?=098<74+9d`>5<#i>814km4;|`:f`<6280;6=u+a6097E:?a:&726o::99me22=:=;j7&6ic;29 d1521lh76sm9cd95?7=83:p(l9=:9d`?M?f=2B?726j2!3jn4?:%c46?>ak21vn4m?:082>5<7s-k<>76ic:J:e0=O<9k0(98<:9`:?!22k3><86`662864>h4i<037co84;075f=,0oi1<7*n738;bf==?76mc:&71f<3?=1e5;=5519m7d3=02dj;94=40f8/=`d290/m:<58ga8?xd>k;0:6<4?:1y'e24=0oi0D4o:;I63e>"3>:03nh5+44a90224n2c6>==ii>>1>9?j;*:eg?6=,h=965hl;:a=c5=93;1<7>t$`51>=`d3A3j96F;0`9'00b=00:0(98<:9a`?!22k3><86`662864>h4i<037co84;075c=h0oi1<7*n738;bf==?76m3:&71f<3?=1e5;=5519m7d3=02dj;94=4328/=`d290/m:<58ga8?xd>n<0:6<4?:1y'e24=0oi0D4o:;I63e>"3>:03n=5+44a90224n2c6>==ii>>1>9<>;*:eg?6=,h=965hl;:a=c0=93;1<7>t$`51>=`d3A3j96F;0`9'035=0ho0(9;l:557?k?1;3?;7c=n5;:8jd132;>9>6%7fb83>!g0:32mo65rb8d4>4<6290;w)o82;:eg>N>i<1C8=o4$540>=gd3->>o7:84:l:26<282d8m847;oc40?43::1 4km50;&b37"3=j0?;95a971915=i;h?146`n7581072<#1lh6=4+a609:083>5}#i>814km4H8c6?M27i2.?:>47a09'00e=<>>0b48<:428j6g2211em::52506?.>ak3:1(l9=:9d`?>{e1oh1=7?50;2x d1521lh7E7n5:J74d=#<4$540>=ef3->>o7:84:l:26<282d8m847;oc40?43:?1d4km50;&b37"3>:03o:5+44a90224n2c6>==ii>>1>9<8;n:eg?6=,h=965hl;:a=cb=93;1<7>t$`51>=`d3A3j96F;0`9'00b=00:0(98<:9a5?!22k3><86`662864>h4i<037co84;076==h0oi1<7*n738;bf=>h7660:&726o::99me22=:=827b6ic;29 d1521lh76sm9gd95?7=83:p(l9=:9d`?M?f=2B?;%66g?20<2d2:>4:0:l0e050z&b3706655aa669614e3f2mo7>5$`51>=`d32wim=?51;394?6|,h=965hl;I;b1>N38h1/88j58828 10421ho7)::c;640>h>>:0><6`n5`8ga94?"f?;03jn54}cc36?7=93:1n;%66`?>>82.?:>47b`9'00e=<>>0b48<:428j6g2211em::5250g?j>ak3:1(l9=:9d`?>{ei991=7?50;2x d1521lh7E7n5:J74d=#<4$540>=d?3->>o7:84:l:26<282d8m847;oc40?43:l1d4km50;&b376;395?6=8r.j;?47fb9K=d3<@=:j7):93;:g6>"3=j0?;95a971915=i;h?146`n758107`<#1lh6=4+a609:083>5}#i>814km4H8c6?M27i2.?:>47959'00e=<>>0b48<:428j6g2211em::52513?.>ak3:1(l9=:9d`?>{ei821=7?50;2x d1521lh7E7n5:J74d=#1133g3=?7;?;o1b1?>76ic:9~fd7>280:6=4?{%c46?>ak2B2m85G41c8 104213=7)::c;640>h>>:0><6`n;%657?>>12.?9n4;759m=35==91e?l;58:lb31<5<:90'5hl:18'e24=0oi07pln1c82>4<729q/m:<58ga8L;m6*;628;=d=#<06655aa66961533"2mo7>5$`51>=`d32wimN38h1/8;=588`8 13d2==?7c793;73?k5f=320bl9;:3601>-?nj0;6)o82;:eg>=zjh;n6<4>:183!g0:32mo6F6a49K05g<,=<865j<;%66g?20<2d2:>4:0:l0e050z&b37hf?=098>94+9d`>5<#i>814km4;|`b65<6280;6=u+a6097E:?a:&726o::99me22=:=937&6ic;29 d1521lh76sma3395?7=83:p(l9=:9d`?M?f=2B?72412!3jn4?:%c46?>ak21vnl<=:082>5<7s-k<>76ic:J:e0=O<9k0(98<:9;f?!22k3><86`662864>h4i<037co84;077d=,0oi1<7*n738;bf==?76n9:&71f<3?=1e5;=5519m7d3=02dj;94=42`8/=`d290/m:<58ga8?xdf:=0:6<4?:1y'e24=0oi0D4o:;I63e>"3>:03n<5+44a90224n2c6>==ii>>1>9=l;*:eg?6=,h=965hl;:ae73=93;1<7>t$`51>=`d3A3j96F;0`9'035=0k?0(9;l:557?k?1;3?;7c=n5;:8jd132;>8h6%7fb83>!g0:32mo65rb`05>4<6290;w)o82;:eg>N>i<1C8=o4$540>=b73->>o7:84:l:26<282d8m847;oc40?43;l1 4km50;&b377c?s4k>i7=i7:?1;60h=18=>4=0:a6?27827:4o;5412894>e>3>;<63>8c59056<582i47:?0:?2;60kh18=>4=0::`?27827:44k5412894>f83>;<63>8`39056<582j>7:?0:?2;60hk18=>4=0:bf?27827:4lm5412894>fl3>;<63>8`g9056<582jj7:?0:?2;60k918=>4=0:a0?2782785il5931896?cj339863<9e`9676<5:3on77=5:?0e41=1;>01>o>7;014>;4i8=15?=4=2c23??5=2785il513d896?cj3;i<63=:;:01>o98;;11>;4i?21=?h4=35b3?45;279;n=52318971fj38>m63=7`f960g<5:9o:7<=3:?07c7=:;901>:8c;017>;4?=4=2673?45;278886523189623038>m63<45c960g<5:>?o7<:a:?001c=:::0;06e>;4<<81>8o4=2660?42i278?kh523189626i389?63<414960g<5:>;47<:a:?005g=::?c;06e>;4<9o1>8o4=2624?42i2788<<524c89626<38>m63<404960g<5:>;<7<:a:?0054=::?4;06e>;4<;n1>?=4=260`?45;2788><524c89624<38>m63<424960g<5:>=>7<=3:?0024=:;901>:97;06e>;48o4=265f?42i2789ij524c89634i38>m63<553960g<5:??>7<:a:?0115=:;;4;06e>;4==?1>8o4=2772?42i278999524c89633038>m63<55;960g<5:?8n7<:a:?016e=:;;4=:o1>8o4=270b?42i27899>524c897>6l389?63=8209675<5;2:i7<:a:?1<76=:;50;>1>8o4=3:12?42i2794?6524c897>5i38>m63=83a960g<5;=o?7<=3:?13`g=:;901?9k4;06e>;5?m<1>8o4=35gm63=7eg960g<5;=n<7<:a:?13`4=:;5?o<1>?=4=3:3`?45;279;k7524c8971aj38>m63=7gf960g<5;=mj7<:a:?1<57=:;509?1>8o4=3:33?42i2794=7524c8971a?38>m63=7829675<5;=j>7<=3:?13<7=:;5?0<1>8o4=35;m63=79g960g<5:3jj7<=3:?0=fe=:;901>7jb;017>;4i921>?=4=26gf?45;27899459675<583>j7:90:?2=3?=:;901<77b;017>;610918;>4=31e=?42i279?8h524c8975dj38>m63=38f960g<5;;m?7<:a:?16g7=:;901?;5:k>1>8o4=30a2?42i279>o6524c8974ei38>m63=2ca960g<5;8ii7<:a:?16f6=:;5:j>1>8o4=30a6?42i279>i;52318974bk389?63=2e:960g<5;8om7<:a:?16ae=:;5:l:1>8o4=30f6?42i279>h:524c8974b>38>m63=2d:960g<5;8o:7<:a:?1567=:;901??;3;017>;59:31>8o4=33a`?45;279=im52318977d038>m63=1bc960g<5;;ho7<:a:?15fc=:;59m81>8o4=33g0?42i279=i8524c8977c038>m63=1cg960g<5;;h<7<:a:?15f4=:;59j<1>8o4=30e=?45;279?<>52318974ak38>m63=2gg960g<5;9;<7<:a:?1754=:;5;9<1>8o4=313m63=2gc960g<5;k:97=if:?07=?=:;901>=6b;017>;4;h>18;>4=53g4?43l27j444=4e9>e2d=:::01l9m:801?8g0j33;o63n7c8:4g=:i>h15=74=`5`>75734k8j16m:m591`89d1d20:270?l9`810a=:9j3m6?:k;<3gf`<5::16=ij>:300?87cjo099l521ea2>73f34;oo>4=5`9>5ae22;?j70?kc6811d=:9mi26?;n;<3ggg<5=h16=imk:37b?87fn?098i521`da>72c34;h=54=229>5f4e2;8870?l18811d=:9j;i6?;n;<3`5a<5=h16=n?i:37b?87d:8099l521b00>73f34;h>84=5`9>5f402;?j70=>06811d=:;;o36?;n;<12b`<5=h16?=69:37b?857:k099l52310`>73f349;>i4=5`9>754b2;?j70=?2g811d=:;99;6?;n;<1306<5::16?=;7:300?857<=099l523165>73f349;854=5`9>752f2;?j70=?4b811d=:;9>n6?;n;<1315<5=h16?=;=:37b?857==099l523147>744349;;44=229>75022;?j70=?66811d=:;9<26?;n;<132g<5=h16?=8k:37b?857>o099l523152>73f349;;>4=5`9>75122;?j70=?36811d=:;9936?;n;<137<<5=h16?==n:37b?857;k099l52311`>73f348oj84=5`9>6a`?2;?j70ihl:37b?84cnm099l522edf>73f348ojk4=5`9>6`672;?j70o<8:37b?84e:1099l522c0:>73f348i>l4=5`9>6g4e2;?j70ol::300?84e0k099l522cc2>73f348im84=5`9>6gg02;?j70ooi:37b?84ej8099l522c54>73f348i;44=5`9>6g1e2;?j70o6<:37b?84e0<099l522c:4>73f348i444=5`9>6g>c2;?j70o7::37b?84e1>099l522c;:>73f348i5o4=5`9>6g?c2;?j70nml:300?84djh099l522b``>73f348hnh4=5`9>6fe72;?j70nm7:37b?87bim099l5221:e>73f34;mm84=5`9>7=0c2;?j70=76d811d=:;1:37b?85??;099l523950>73f3493;94=5`9>5<472;?j70?620811d=:90896?;n;<3:66<5=h16=4<;:37b?87>:<099l521805>73f34;2>:4=5`9>63272;?j70<940811d=::?>96?;n;<0506<5=h16>;:;:37b?841<<099l522765>73f348=8:4=5`9>62g02;?j70<8ag811d=::>h:6?;n;<04f6<5=h16>:l::37b?8249o02;952423e><1234>8=k46b09>064720=?70:<218:30=:<:8;64l>;<605a<>?=168>?k:856?8249m02n<52423f><1334>8=h46749>067b20h:70:<188:31=:<:;2649:;<605<<>j8168>?n:857?8249h02;852423b>8=o46759>067e20=>70:<1c8:f4=:<:;h649;;<605f<>?<168>?l:8`2?84?1l02;95229;f><1234835h46b09>6=?a20=?70<79g8:30=::13m64l>;<0;e5<>?=16>5o?:856?84?i902n<5229c2><133483m<46749>6=g620h:70<7a38:31=::1k9649:;<0;e7<>j816>5o<:857?84?i:02;85229c0>6=g320=>70<7a58:f4=::1k>649;;<0;e0<>?<16>5o::8`2?84?i>02;95229c4><123483m:46b09>6=g?20=?70<7a98:30=::1k364l>;<602a<>?=168>8k:856?824>m02n<52424g>7ca34>8:i4=ee9>060c2;oh70:<6e81ag=:<:8k:3d4?824>m09j;5231:6>7ce349;4846759>75>220=>70=?848:f4=::1lj6>hj;<11f0<4nl16>=;l:2df?825?108jh524351>6`b348>m8460g52:ln70hj;<001`<4nl16>>;7:2df?84c1<08jk52227a>6`b34973?22:ln70=k1080bc=:;h386<>i;<1b=6<69116?l7<:03:?85f0>0:47?349j4:4>189>7d>?28:m70=n89825==:;h23646a349jm<4>199>7dg628;270=na3824c=:;hk9647>349jm94>0g9>7dg328;370=na5825<=:;hk>6<>i;<1be0<69116?lo::03:?85f0h0:47?349j4l4>189>7dg128:m70=na7825==:;hk=646a349j4o4>199>7d>e28;270=n8b824c=:;h2h647>349j4h4>0g9>7d>b28;370=n8d825<=:;h2m6<>i;<1b46a349j5=4>199>7d?728;270=n95824g=:;h3?6<>i;<1b=1<69116?l7;:03:?85f180:46a349j5<4>199>7d?628;270=n93824g=:;h396<>i;<1b=7<69116?l7=:03:?85f1<0:46a349j584>199>7d?228;270=n97824g=:;h3=6<>i;<1b=3<69116?l79:03:?85f1>0:46a349j5:4>199>7d?028;270=n99824g=:;h336<>i;<1b==<69116?l77:03:?85f100:46a349j544>199>7d?>28;270=n9`824g=:;h3j6<>i;<1b=d<69116?l7n:03:?85f1m0:46a349j5i4>199>7d?c28;270=n9c824g=:;h3i6<>i;<1b=g<69116?l7m:03:?85f1j0:46a349j5n4>199>7d?d28;270=n9g824g=:;h3m6<>i;<1b=c<69116?l7i:03:?85fi90:46a349jm=4>199>7dg728;270?759824g=:91?36<>i;<3;1=<69116=5;7:03:?87?=:0:46a34;39>4>199>5=3428;270?74d824g=:91>n6<>i;<3;0`<69116=5:j:03:?87?<00:46a34;3844>199>5=2>28;270?73`824g=:919j6<>i;<3;7d<69116=5=n:03:?87?<=0:46a34;3894>199>5=2328;270?73g824g=:919m6<>i;<3;7c<69116=5=i:03:?87?;<0:46a34;3?84>199>5=5228;270?731824g=:919;6<>i;<3;75<69116=5=?:03:?87?:k0:46a34;3>o4>199>5=4e28;270?727824g=:918=6<>i;<3;63<69116=5<9:03:?87?:80:46a34;3><4>199>5=4628;270?71b824g=:91;h6<>i;<3;5f<69116=5?l:03:?87?8m0:46a34;3199>5=6c28;270?716824g=:91;<6<>i;<3;52<69116=5?8:03:?87?9;0:46a34;3=?4>199>5=7528;270?709824g=:91:36<>i;<3;4=<69116=5>7:03:?87?8:0:46a34;3<>4>199>5=6428;270?8fd824g=:9>ln6<>i;<34b`<69116=:hj:03:?870n00:46a34;199>52`>28;270?8f5824g=:9>l?6<>i;<34b1<69116=:h;:03:?870mo0:46a34;199>52ca28;270?8cb824g=:9>ih6<>i;<34gf<69116=:ml:03:?870l?0:46a34;199>52b128;270?8d0824g=:9>n:6<>i;<34`4<69116=:j>:03:?870k>0:46a34;199>52e028;270?8c3824g=:9>i96<>i;<34g7<69116=:m=:03:?870jm0:46a34;199>52dc28;270?8b9824g=:9>h36<>i;<34f=<69116=:l7:03:?870j:0:46a34;4>199>52d428;270?8ad824g=:9>kn6<>i;<34e`<69116=:oj:03:?8701o0:46a34;<5k4>199>52?a28;270?8a8824g=:9>k26<>i;<34e<<69116=:o6:03:?870i=0:46a34;199>52g328;270?89`824g=:9>3j6<>i;<34=d<69116=:7n:03:?8701<0:46a34;<584>199>52?228;270?891824g=:9>3;6<>i;<34=5<69116=:7?:03:?8700k0:46a34;<4o4>199>52>e28;270?=79824g=:9;=36<>i;<313=<69116=?97:03:?875?m0:46a34;9;i4>199>571c28;27p};8583>76|5:h2;7983:?0g43=<9;01>m>1;635>;4k9n18=?4=2a3=?279278o=;5413896e793>;=63lje;635>;4jlk18=?4=2`f2?279278nh<5413896dcm3>;=63lle;635>;4jjk18=?4=2``2?279278nn<5413896dem3>;=63lne;635>;4jhk18=?4=2`b2?279278nl<5413896d>m3>;=63397>58z?0f0`=?>901>l82;1eb>;4j?n1?kh4=2`5m7=if:p0=0=83;j:v3n5g8;=4=::1io6?:k;<6050<599098i52264`>72c34;3;i4=5`9>5=>?2;?j70?792811d=:913>6?;n;<3;=3<5=h16=578:37b?87?11099l5219;:>73f34;35l4=5`9>5=?e2;?j70?77d811d=:91=m6?;n;<3;=c<38916=56?:37b?87?08099l5219:1>73f34;34>4=5`9>5=g42=:;70?785811d=:91k?69>?;<3;<0<5=h16=5o::523?87?0?099l5219:4>73f34;3444=5`9>5=g>2=:;70?78`811d=:912i6?;n;<3;73f34;35=4=5`9>5=?62;?j70?793811d=:913?6?;n;<1702<5=h16?>hi:37b?852lm09>>5234d5>744349>hk4=5`9>70c72;?j70=:e0811d=:;744349>9l4=229>70?f2;8870<71e811d=::>n86?;n;<04b3<5=h16>:7?:37b?8401:099l521`c;>77d34;jm44=1b9>5dd72;;h70?nb0815f=:9hh96??l;<3bf6<59j16=ll;:33`?87fj<09=n521``5>77d34;jn:4=1b9>5dgf2;;h70?nac815f=:9hkh6??l;<3bea<59j16=loj:33`?87fio09=n521`a;>77d34;jo44=1b9>5db72;;h70?nd0815f=:9hn96??l;<3b`6<59j16=lj;:33`?87fl<09=n521`f5>77d34;jh:4=1b9>5def2;;h70?ncc815f=:9hih6??l;<3bga<59j16=lmj:33`?87fko09=n52241g>72c348>m44=4e9>60272;>o70<:40810a=::<><6?:k;<00b<<5::16>9?m:300?844nj099l5222dg>73f3488jh4=5`9>66`a2;?j70<;01811d=::=::6?;n;<0747<5=h16>9><:37b?8438=099l5222da>73f34889k4=229>66>c2;8870<<67811d=:::<<6?;n;<002=<5=h16>>86:37b?844>h099l52224a>73f3488:n4=5`9>660c2;?j70<<6d811d=:::<:6?;n;<0027<5=h16>>8<:37b?844>=099l522246>73f3488oo4=229>66cc2;8870<>j>:37b?844l;099l5222f0>73f3488h94=5`9>66b22;?j70<>li:300?844i9099l5222c2>73f3488m?4=5`9>66g42;?j70<6?;n;<00e3<5=h16>>o8:37b?844i1099l5222;e>73f348::k4=229>64>02;8870<>4g8166=::83m6?<<;<02b6<5::16>?7>:300?846no099l52232b>73f3489676c2;?j70<=0d811d=::;:m6?;n;<0155<5=h16>??>:37b?8459;099l5220d6>73f348:j;4=5`9>64`02;?j70<>f9811d=::8l26?;n;<02bd<5=h16>73f348:jh4=5`9>67672;?j70<=00811d=::;:96?;n;<0146<5=h16>?>;:37b?8458<099l522325>73f3489<:4=5`9>676?2;?j70<=08811d=::;:i6?;n;<01f4<5=h16>?j::37b?846jm099l5223d:>73f34;on44=4e9>5ad22;>o70?j1`810a=:9mhn6?;n;<3`56<5i:36g?87d?=098i521b3;>73f349;=k4=4e9>74g72;>o70=>f1810a=:;8>>6?:k;<1242<5::16?<=::300?8568l099l52302e>73f349:==4=5`9>74762;?j70=>13811d=:;8;86?;n;<1251<5=h16?73f349:746e2;?j70=>0b811d=:;8:o6?;n;<1063<5>5233ge>73f3499j=4=5`9>77`62;?j70==f3811d=:;;l86?;n;<11b1<5=h16??h::37b?855n?099l5233d4>73f3499il4=5`9>77ce2;?j70==eb811d=:;;oo6?;n;<11a`<5=h16??=l:36g?856nl09>>52330`>7443499<84=5`9>77612;?j70==06811d=:;;:36?;n;<114<<5=h16??>n:37b?8558k099l52332`>73f349977672;?j70==00811d=:;;:96?;n;<1146<5=h16??>;:37b?8570?09>>5231c6>744349;454=5`9>75>>2;?j70=?8`811d=:;92i6?;n;<1373f349;5=4=5`9>754e2;8870=?42811d=:;9k>>:36g?84cn<09>>522d1g>744348n6a502;>o70o=9:300?84e??099l522b`:>73f34;n8k4=4e9>5c212;>o70?i96810a=:9loi6?:k;<3fea<5::16=hjm:300?87bj=099l521d`6>73f34;nn;4=5`9>5`d02;?j70?jb9811d=:9lh26?;n;<3ffd<5=h16=hlm:37b?87bjj099l521dce>73f34;nn=4=5`9>5`d62;?j70?jb3811d=:9lh86?;n;<03ga<5=6i:300?847jm09>>5221;5>73f348;5:4=5`9>65??2;?j70=7l:37b?8471m099l5221;f>73f348;5<4=5`9>65?52;?j70>521gf0>74434;mmn4=5`9>5cgc2;?j70?iad811d=:9okm6?;n;<3ef5<5=h16=kl>:37b?87aj;099l521g`0>73f34;mn94=5`9>5cg02;?j70?ia9811d=:9ok26?;n;<3eed<5=h16=kom:37b?85577d34999:4=1b9>773?2;;h70==58815f=:;;?j6??l;<111g<59j16??;l:33`?855=m09=n52337f>77d34999<4=1b9>77352;;h70==52815f=:;;??6??l;<1110<59j16??;9:33`?87an?09=n521gd4>77d34;mjh4=1b9>5c`a2;;h70=><:33`?8478=09=n522126>77d34;mj54=1b9>5c`>2;;h70?if`815f=:9oli6??l;<3ebf<59j16=khk:33`?85?>m09>>521803>744348=8=4=229>060c2;ni70:<6e81`<=:<:8k:3f6?824>m09h952424g>7b434>8:i4=d39>060c2;n:70:<6e81`5=:<:8k:3a`?824>m09oo52424g>7ef34>8:i4=c89>060c2;i370:<6e81g2=:<:8k:3a7?824>m09o>52424g>7c334>8:i4=e29>060c2;o970:<6e81a5=:<:8k:3fg?824>m09h552424g>7ec34>8:i4=c39>75>22:h:70=?8480f7=::<>?6>hj;<324c<4no16?k:=:2de?84a?l08jh523`;0>46e349j4:4>0c9>7d>?28:i70=n9d824g=:;hk:6<>m;<1be7<68k16?lo<:02a?85fi=0:46e349j4l4>0c9>7dg128:i70=n88824g=:;h2i6<>m;<1b46e3ty?4:4?:3y>7f7?2:ln70:?5d8436=z{=236=4=0z?0g42=;ol01>m>0;1eb>;4k9i1?kh4=2a3ljd;1eb>;4jl31?kh4=2`f1?5an278nh?53gd896dcl39mj63lld;1eb>;4jj31?kh4=2``1?5an278nn?53gd896del39mj63lnd;1eb>;4jh31?kh4=2`b1?5an278nl?53gd896d>l39mj63m;8;547>;4k==1?kk4}r6;e?6=:r78o975761896e3?39mj6s|49`94?4|5:i?97983:?0g12=;oo0q~:7c;296~;4k=<1;:=4=2a70?5an2wx85j50;0x96?313=3i7>52z?0=1g=?>901>7;8;1eb>{t<1l1<783:1>v3<97;9325<5:3=;7=if:p0<7=838p1?9:2;547>;5?<;1?kk4}r6:6?6=:r79;8=576189712939mj6s|48194?5|5:li47983:?0bg2=;ol01>hk9;1eb>{t<0>1<7=3:1>v3=75a9325<5;=?m7=if:p0<0=838p1?=:1;547>;5;<:1?kk4}r6:3?6=:r79?8<576189752839mj6s|48:94?4|5;9?m7983:?1710=;oo0q~:69;296~;5;=h1;:=4=3172?5an2wx84o50;0x9752<3=2n7>52z?1703=?>901?=:3;1eb>{t<0i1<7l3:1>v3<9659325<5:3<97=if:p0766;547>;410>1?kk4}r6:b?6=:r785495761896?><39mj6s|4`294?4|5;?on7983:?11ag=;oo0q~:n1;296~;5=mi1;:=4=37ge?5an2wx8l<50;0x9ggb2>=870lnd;1ea>{t21434hjh7=if:p0d2=838p1>99c;547>;4??h1?kk4}r6b1?6=:r78;;j576189611j39mj6s|4`494?4|5:8n97983:?06`2=;oo0q~:n7;296~;4:l<1;:=4=20f0?5an2wx8l650;0x97?383=j57>52z?14=e=?>901?>7b;1ea>{tv3;24f9325<5=8>o7=ie:p0de=838p19<:e;547>;3:87576189142039mi6s|4`g94?4|5=8>m7983:?760>=;ol0q~:nf;296~;3:50;0x9142>3=i=7>52z?761b=?>9019<;c;1ea>{t9m53gd8yv2e;3:15v3j7=ie:?0b2d=;oo01>h67;1ea>;4nj:1?kk4=2dgb?5am278h?<53gg896b6m3=i87>52z?7607=?>9019<:0;1ea>{t8>53gd8yv2e>3:1>v3;2539325<5=8?<7=ie:p0g1=838p19<;2;547>;3:=:1?kh4}r6a<;576189146<39mi6s|4c;94?4|5=8::7983:?7642=;ol0q~:ma;296~;39l<1;:=4=53f1?5am2wx8ol50;0x917b?3=io7>52z?75`g=?>9019?j9;1ea>{t=<9:01>oi6;634>;4im;18=>4=2c`g?278278mn85412896gd?3=ij7>52z?131`=?>901?9;e;1ea>{tv3=5109325<5;?;=7=ie:p0f4=838p1?;?3;547>;5=9;1?kh4}r6`7?6=:r7?>;8576189141=39mi6s|4b694?4|5=8=;7983:?7633=;ol0q~:l5;296~;3:?;1;:=4=5054?5am2wx8n850;0x9141:3=h;7>52z?75c`=?>9019?ie;1ea>{t=<9:01>oj4;634>;4j9218=>4=2`32?278278n=:53gd896gb=3=hm7>52z?75cd=?>9019?ia;1ea>{tv3;1g59325<5=;m:7=ie:p0fb=838p19?i8;547>;39o<1?kh4}r6`a?6=:r7?=k=57618917a:39mi6s|4bd94?4|5=;m87983:?75c4=;ol0q~:k0;296~;39ll1;:=4=53fa?5am2wx8i?50;0x917a83=o>7>52z?765?=?>9019{t=653gd8yv2c<3:15v37:?0:?0ec6=<9:01>oje;634>;4j9o18=>4=2c`5?278278moo5412896gej3=o97>52z?7652=?>9019{t==53gd8yv2c?3:1>v3=fdf9325<5;lno7=ie:p0a>=838p1?hje;547>;5nli1?kh4}r6g=?6=:r79jo:5761897`e;39mi6s|4ec94?4|5;li97983:?1bg5=;ol0q~:kb;296~;5nk:1;:=4=3dbb?5am2wx8im50;0x97`e93=oh7>52z?1bde=?>901?hnb;1ea>{tv3=f`:9325<5;lj;7=ie:p0`6=838p1?hn9;547>;5nh=1?kh4}r6f5?6=:r79jl:5761897`f;39mi6s|4d094?4|5;lj97983:?1bd5=;ol0q~:j3;296~;6kj:1;:=4=0aab?5am2wx8h:50;0x94ed93=ccd97c`n97>52z?2f4g=?>9019;1ea>{tv3<7`79325<5:=j87=ie:p0`>=838p1>9n6;547>;4?h>1?kh4}r6f=?6=:r79joo5761897`e139mi6s|4dc94?4|5;lin7983:?1bg?=;ol0q~:jb;296~;5nk=1;:=4=3da2?5am2wx8hm50;0x97`e03=nh7>52z?1bf`=?>901?hle;1ea>{tv3=fba9325<5;lhn7=ie:p0c6=838p1?hld;547>;5njh1?kh4}r6e5?6=:r79jn75761897`d039mi6s|4g094?4|5;lhm7983:?1bf>=;ol0q~:i3;2957}:;jl96:9<;<1f5<<4no16?k>6:2de?85a=808jk523g4g>6`a349m4447a2f2:lm70=k8180bc=:;m3<6>hi;<1gef<4no16?ij9:2de?85cmk08jk523d14>6`a349n8n47a032:lm70=kbg80bc=:;mln6>hi;<1f1c<4no1v9h;:181826=m0?<<52407e>2143ty?j84?:3y>6ce12>=870km::2de?xu3n>0;6?u22ga3>214348mnk4hi;|q7b<<72;q6>klk:650?84ajj08jh5rs5db>5<5s48mnh48729>6cdd2:lm7p};fc83>7}:<;8>6:9<;<6161<4nl1v9hl:181825:?0<;>524307>6`a3ty?ji4?:3y>07462>=870:=2180b`=z{=ln6=4={<6167<0?:168?21434>9=n4hi;|q644<72;q68??6:650?8259108jh5rs421>5<5s4>9=l48729>077?2:lm7p}:0283>7}:<;;:6:9<;<6155<4nl1v8>;:1818259;0<;>524333>6`a3ty><84?:3y>076c2>=870:=0b80b`=z{<:=6=4={<614`<0?:168?>l:2de?xu28>0;6?u2436:>21434>985436>hi;|q64<<726`b349=5o473>62:ln7p}:0`83>7}:<;>>6:9<;<6101<4nl1v8>m:181825524367>6`a3ty>075c2>=870:=3b80b`=z{<:o6=4={<617`<0?:168?=l:2de?xu28l0;6?u2431:>21434>9?54hi;|q655<72;q68?=::650?825;=08jh5rs432>5<5s4>9?;48729>07532:lm7p}:1383>7}:<;9:6:9<;<6175<4nl1v8?<:181825;;0<;>524313>6`a3ty>=94?:9y>5d232=:;70==b38744=:<;2;69>?;<1241<4no168?6::523?825?=0<;>524356>6`c34>:hl4hj;|q653<72;q6?o7=:650?85e0o08jh5rs434>5<5s4>9>h48729>074d2:lm7p}:1983>7}:<;826:9<;<616=<4nl1v8?6:181825:h0<;>52430;>6`a3ty>=l4?:3y>7a7d2>=870=k1c80b`=z{<;i6=4={<1g5a<0?:16?i?m:2de?xu29j0;6?u23bg7>214349hi>4hi;|q65`<72;q6?nji:650?85dll08jh5rs43e>5<5s49hi=48729>7fbb2:lm7p}:2183>7}:;jnj6:9<;<1``<<4nl1v8<>:187851i00?<<5237c2>166349=m>48729>73g32:lo7p}:2383>7}:;jni6:9<;<1``<<4no1v8<<:18185dl<0<;>523bf7>6`b3ty>>94?:3y>7fb12>=870=ld580bc=z{<8>6=4={<1``5<0?:16?nmi:2df?xu2:?0;6?u23bf2>214349hok4hj;|q66=<72;q6?nm=:650?85dk908jk5rs40:>5<5s49h4l48729>7f>>2:ln7p}:2`83>7}:;j2i6:9<;<1`<<<4no1v8523b71>6`b3ty>>n4?:3y>7f332>=870=l5380bc=z{<8o6=4={<1`a`<0?:16?nkk:2df?xu2:l0;6?u23bge>214349hii4hj;|q675<72;q6?nkn:650?85dm108jk5rs412>5<5s49hoo48729>7fef2:ln7p}:3383>7}:;jih6:9<;<1`gd<4no1v8=<:18185dk?0<;>523ba6>6`b3ty>?94?:3y>7fe02>=870=lc480bc=z{<9>6=4=2z?0<07=<9;01>6?c;1eb>;4?l=1?kh4=25f5?5an2784==5412896e6039mj63<5gd97c`<5:o:57=ie:?0b5?=;oo01>h:1;1ea>;4n?n1?kk4=2d;=?5am278h9o53gg896b?839mi63jjb;1ea>;4m:=1?kk4=2g7g?5am278h=<53gd89616:39mi63<71c97cc<5:=;>7=ie:?02c>=;oo01>7:2;1eb>;40?218=?4=2dae?10;278jol53gf896d2939mj63k:f;1ea>{t=:<1<7ok5;634>;4ioh1;:=4=2ceg?5al2wx9>650;0x96eel3=52z?0gg1=?>901>mm6;1ea>{t=:k1<7v3mm3;547>;4kk;1?kh4}r70`?6=:r78olj5761896efk39mi6s|52g94?4|5:iji7983:?0gde=;ol0q~;50;0x96ef13=5az?02`5=;ol01>8kd;1eb>;4>m=1?kh4=24g5?5an278:nl53gd8960d=39mj63<6cd97c`<5:=?>901>8m9;1e`>{t==81<777a;635>{t==>1<7v3m6f;547>;4k0n1?kh4}r773?6=:r78o475761896e>039mi6s|55:94?4|5:i2m7983:?0g<>=;ol0q~;;9;296~;4k0>1;:=4=2a:7?5am2wx99o50;0x96e>=3=52z?0g=`=?>901>m7e;1ea>{t==i1<79m8;635>;4>0318=?4=24:3?10;278:4653gf8960>j3>;<63<69d905652z?0g=3=?>901>m74;1ea>{t==l1<7;t=24:a?5an278:5k53gd89610?39mi63<7619325<5:=<87=id:p106=838p1>m76;547>;4k1>1?kh4}r765?6=:r78o5>5761896e0n39mi6s|54094?4|5:i3=7983:?0g2`=;ol0q~;:3;296~;4k>h1;:=4=2a4e?5am2wx98:50;0x96e0k3=97>52z?0g20=?>901>m85;1ea>{t=<<1<7v3=838p1>m82;547>;4k>:1?kh4}r76=?6=ir78:5:54138960?83>;=63<66;9057<5:=885;635>;4>>;18=?4=2545?10;278;:<53gf89610?3>;<6s|54c94?4|5:i=o7983:?0g3d=;oo0q~;:b;297~;5>hi1;:=4=34bf?279279h:m54138yv32k3:1>v3m97;547>;4k?<1?kk4}r76a?6=:r78o;65761896e1>39mj6s|54d94?4|5:i=>7983:?0g37=;oo0q~;90;296~;4k?91;:=4=2a55?5an2wx9;?50;0x96e2l3=7>52z?0g0c=?>901>m:c;1eb>{t=?91<7v3;7=if:p133=83lp1>9;a;635>;4?=<18=?4=2570?279278;9<54138961383>;=63<72g9057<5:=8o7:?1:?036g=<9;01>9<8;635>;4?:<18=?4=2500?279278;><541389615k3>;=63<73d9325<5:=8<7=id:p130=838p1?=;8;547>;5;==1?kk4}r753?6=;r78::k57618961>m3>;=63<66d905752z?171?=?>901?=;7;1eb>{t=?31<7v3=35d9325<5;9?h7=if:p13d=838p1?:i4;547>;5?;;=63ib48436=z{<6`a34>9;i48729~w0162909w0=99g8745=:;?336:9<;|q637<72:q68=8=:2df?827>=0<;>523c75>6`b3ty>;>4?:3y>7=072=::70=ibc8436=z{<=?6=4={<14<1<38916?:9=:650?xu2?<0;6?u2394a>1663493:?48729~w0112909w05rs45;>5<5s49i9k47g352>=87p}:7883>7}:99hj6>hj;<3314<0?:1v89n:18184c000?<=522e5f>2143ty>;o4?:3y>6c?52:ln706`b34>:oh48729~w01b2909w0:>5780b`=:<8i26:9<;|q63c<72;q68=j9:2df?826k?0<;>5rs4:3>5<5s4>:8n404e32>=87p}:8083>7}:<8>:6>hj;<62g7<0?:1v86=:181826;k08jk5240a3>2143ty>4>4?:3y>63d12=:;70<9848436=z{<2?6=4={<051a<4nl16>;;l:650?xu20<0;6?u224a`>166348>h448729~w0>12908w0=nb98436=:;hh>6>hi;<1ba7<3881v868:1818250=08jh5243:1>2143ty>454?:3y>04c72:lm70:=878436=z{<226=4={<6136<389168?9::650?xu20h0;6?u2111;>16634lm;7983:p1=d=838p1<><0;635>;an=0<;>5rs4:`>5<5s48<8>4622>2>=87p}:8e83>7}:<9on69>?;<1;`3<0?:1v86j:181840>>08jh522675>2143ty>4k4?:3y>7g6f2=:;70=ne78436=z{<3;6=4={<1b`6<38916?lm7:650?xu2180;6?u23c2`>167349jnn48729~w0?52909w0=8498744=:;>9;6:9<;|q6=6<72;q6?::n:2df?850:l0<;>5rs4;7>5<5s49hj<4;019>7a612>=87p}:9483>7}:;k:?6>hj;<1bf2<0?:1v879:18185fj108jh523`d`>2143ty>5:4?:3y>73`22:ln70=9f28436=z{<336=4={<15ad<4nl16?;h?:650?xu2100;6?u21g6;>16634;9:h48729~w0?f2909w08<;:2df?842810<;>5rs4;`>5<5s49=mi4;009>73ga2>=87p}:9e83>7}:;>=o69>>;<15e1<0?:1v87j:181842:<08jk52242a>2143ty>5k4?:3y>72002=:;70=8758436=z{6`b34925>48729~w0g52909w0=i288745=:;1h36:9<;|q6e6<72;q6?hh7:523?85?j<0<;>5rs4c7>5<5s49ni>4;019>7=d42>=87p}:a483>6}:;?ki69>>;<15e`<0?:16?;oi:2dg?xu2i?0;6?u23d`a>1673493n=48729~w0g02909w0=97d8744=:;>336:9<;|q6e=<72;q68>?;:2df?85?>>0<;>5rs4c:>5<5s49nm;4;019>7=gb2>=87p}:a`83>7}:;?k269>?;<14e6<0?:1v8om:181850i>08jh5238;3>2143ty>mn4?:3y>7`?62=:;70=7ab8436=z{1673493m548729~w0ga2909w0=j538745=:;1k=6:9<;|q6f5<72;q6?h=k:523?85?i=0<;>5rs4`2>5<5s49n<;4;019>7=g62>=87p}:b383>7}:;ml:69>?;<1;=c<0?:1v8l<:18185clj0?<=5239;g>2143ty>n94?:3y>7ae02=:;70=79c8436=z{6=4={<1gf7<38916?576:650?xu2j?0;6?u23e;g>16734935:48729~w0d02909w0=k878745=:;13>6:9<;|q6f=<72;q6?i8l:523?85?1:0<;>5rs4`:>5<5s49o9=4;019>7=?62>=87p}:b`83>7}:;m8n6>hj;<1;5236:5>166349<4>4;009~w0dd2909w0:?138745=:;1i?6:9<;|q6fa<72;q6?kkm:2df?85?k;0<;>5rs4`f>5<5s49moi47=e72>=87p}:bg83>7}:;okj6>hj;<1;f`<0?:1v8m?:18185dn108jh5239:g>2143ty>o<4?:3y>05b42=::70:?d48436=z{166349<;;48729~w0e32909w0=nd48744=:;hn<6:9<;|q6g0<72599:650?84??108jh5229f7>6`b3483;>462c>2:ln7p}:c783>7}:;k:n69>>;<1bgc<0?:1v8m8:18185e8;0?<=523`a7>2143ty>o54?:3y>7d`f2=:;70=nbg8436=z{6`b349mm?48729~w0ee2909w0=k4480b`=:;ok;6:9<;|q6gf<72;q6>i68:2df?84c1;0<;>5rs4ag>5<5s49n>?4;009>7a6c2>=87p}:cd83>7}:;hi=69>>;<1bgd<0?:1v8mi:181851m10?<=5237db>2143ty>h=4?:0`x96b7;3=h98;1ea>;4n1>1?kk4=2f72?5am278h;<53gg896b0k39mi63jj1;1ea>;4lo<1?kk4=2g3f?5am278i9<53gg896c2?39mi63knb;1ea>;4mj:1?kk4=2gg7?5am278ih653gg896cal39mi63hi;<1ef4<4no16?kj9:2de?85an808jk52412f>6`a349o?947a2d2:lm70=k6980bc=:;m296>hi;<1g=<<4no16?ioj:2de?85ck:08jk523ef;>6`a349oii47`652:lm70=j3880bc=:;l>n6>hi;<1f26<4no16?h97:2de?85b0m08jk523dc1>6`a349nn:47`ba2:lm70=jf580bc=:;o8>6>hi;<1e7d<4no1v8j=:1816~;4j031;:=4=2a2m?5;1eb>;4k9;1?kh4=2`e`?5an278nk853gd896da:39mj63lj2;1eb>;4jmo1?kh4=2`ge?5an278ni853gd896dc:39mj63ll2;1eb>;4jko1?kh4=2`ae?5an278no853gd896de:39mj63ln2;1eb>;4j0o1?kh4=2`:e?5an2wx9i=50;dx960bi3>;=63<6g09325<5:8ke;635>;4>m218=?4=24g6?279278:nm54138960d>3>;=63<6b29057<5:9?e;1ea>;4?9<1?kk4=24ea?5am2wx9i:50;1x9c4b2>=870h=d;635>;a900?<<5rs4f6>5<0s49i;<47g0d2:ln70=m6680b`=:;k<>6>hj;<1a27<38916?o96:2df?85e=l0<;>5rs4f5>5<1s4972d72=::70=8ad8744=:;>=j69>>;<1430<0?:16?:99:2dg?xu2l>0;68u23c23>166349jj94;009>7dcd2=::70=ndg8744=:;ho;6:9<;|q6`=<72166349jhn4;009>7dbc2>=87p}:d883>0}:;hl=69>>;<1ba`<38816?lk;:522?85fl?0<;>523`f4>6`c3ty>hl4?:4y>7d`?2=::70=nf18744=:;ho369>>;<1b`<<38816?ljn:650?xu2lk0;6?u22efa>214348oh54w0=m0`8744=:;hn869>>;<1bg4<38816?lm<:650?85fk=08ji5rs4fg>5<2s49i<54;009>7db62=::70=nb`8744=:;hhn6:9<;<1bfc<4nm1v8jj:18184cl10?<<522ef`>2143ty>hk4?:cy>73c52=:;70=9db8745=:;?n=69>?;<15`5<38916?;mn:523?851k=0?<=5237`f>167349=n;4;019>7=b22=:;70=9f88436=:;?lj6>hk;|q6a5<72=q68?6<:2de?87f<=08jk5243:2>21434>94?46`b349=h?473ed2:ln70=9c780b`=:;?i;6>hj;<15fd<4nl16?:?9:523?8508l0?<=523625>167349=jh4;019~w0c5290?w0=7028744=:;1hj;<1eg4<0?:16?589:2df?xu2m:0;68u233`4>6`a34>9;448729>071f2:lo70:=7b8744=:<8nj6>hi;|q6a1<72;q6>k7;:650?84a1:0?<<5rs4g6>5<5s4834?48729>6=>62=::7p}:e783>6}::o3>6:9<;<0e=6<38916>ik;:523?xu2m>0;6>u23c2`>166349jo448729>7def2:lo7p}:e983>1}:;k:=69>>;<1bgf<38816?lmj:650?85fko08ji5rs4g:>5<>s49m<847c2c2:lm70=i6880bc=:;o2>6>hi;<1e=g<4nl16?km::2df?85dn008jk523g;`>214349n=>4>=6>hj;<1401<4nl16?::=:2df?850<908jh52361f>6`b349725f2:ln70=83980b`=:;>9=6>hj;<1471<4nl16?:==:2df?850:j08jh52360g>214349<>h4hj;<1e16<4nl16?k8i:2df?85a0k08jh523e27>6`b349mh>47cg62>=870=ia380ba=z{o0?<=523g:a>167349mn=4;019>7a632=:;70=id28745=:;ok>6:9<;<1ee3<4nm1v8kk:18185d900<;>523b3;>1673ty>ih4?:2y>546d2=::70?>028744=:98:m6:9<;|q6ac<72hq6?o8;:2de?85e>808jk523c74>214349i;44;019>7g1f2:lm70=m7g80bc=:;k?86>hi;<1a37<38916?o8k:523?85e>10?<=5rs4d3>5<5s4>:8?4;009>04242>=87p}:f083>7}::=k<6:9<;<07=c<3891v8h=:181843i10<;>5225;e>1663ty>j>4?:3y>042d2>=870:>458744=z{k6k:522?xu2n<0;6?u23b37>167349h=;48729~w0`12909w0=l118745=:;j;96:9<;|q6b2<72;q6?n>l:523?85d8l0<;>5rs4d;>5<5s49h<54;019>7f6f2>=87p}:f883>7}:;j:?69>?;<1`43<0?:1v8hn:18185d890?<=523b21>2143ty>jo4?:3y>7g`d2=:;70=mfd8436=z{167349ij>48729~w0`b2909w0=mee8745=:;kom6:9<;|q6bc<72;q6?ok6:523?85emk0<;>5rs723>5<5s49ii84;019>7gc02>=87p}90083>7}:;ko:69>?;<1aa6<0?:1v;>=:181a~;4?h:18=>4=254`?5am278:lj53gd8960fj39mi63<6`;97c`<5:97e;1eb>;4>h81?kh4=24;e?5an278:5:53gd8960?839mj63<66;97c`<5:<=i7=ie:?03db=;oo01>976;1ea>;4?191?kk4=2544?5am278;o<53gd8961e839mj63<7`g97c`<5:=9m8;634>;4>0318=>4=255e?5an278;8h53gd89615039mj63<73497c`<5:=987=if:?0374=;ol01>9=0;1eb>;4?8o1?kh4=252g?5an278;<;53gg89617l39mi63<71797cc<5:89b;634>;4>0o18=>4=24:e?278278:5k54128961>?3=m7:?1:p255=838p1>lkd;634>;4jml1;:=4}r430?6=:r78ni75412896dcj3=90q~8?6;296~;4jm;18=>4=2`g7?10;2wx:=950;0x96ddl3>;<6352z?1=6>=?>901?7<7;634>{t>931<7v3ll1;634>;4jj91;:=4}r43g?6=:r78noj5412896den3=90q~8?e;296~;4jk?18=>4=2`a3?10;2wx:=h50;0x96de93>;<6352z?0fdb=<9:01>lnf;547>{t>8;1<7v3ln1;634>;4jh91;:=4}r420?6=:r78n4j5412896d>n3=90q~8>6;296~;5ll?1;:=4=3ff0?2792wx:<950;3a85bk;0?<<523g`7>6`b349mh447c?a2>=870=ia180ba=:;oo>6>hj;<6345<4nl16?i<7:2df?85c=o08jh523e5:>6`b349o5947ag>2:ln70=kbb80b`=:;mn86>hj;<1ga=<4nl16?ihm:2df?85b;=08jh523d6:>6`b349n9n47`142:ln70=j8980b`=:;l3o6>hj;<1ff5<4nl16?hjn:2df?85bmo08jh523g03>6`b349m??45rs73b>57g162=:;70=m6b8745=:;k<<69>?;<1a20<38916?o8=:2df?850mh0?<<523c55>167349i4<4;019>7g1d2=:;70=m5`8745=z{?;i6=4={k6<:522?84a0?0<;>5rs73f>5<5s48m4=4;019>6c>f2>=87p}91g83>7}:;>2n69>?;<14=5<0?:1v;2143ty=><4?:3y>73d72=:;70=9b38436=z{?896=4={<15e7<38916?;o9:650?xu1::0;6?u237:b>167349=4n48729~w3432909w0=9748745=:;?=<6:9<;|q560<72;q6?;9>:523?851?:0<;>5rs705>5<5s49=5k48729>73?b2=::7p}92683>7}:;?3j69>>;<15=f<0?:1v;<7:1818510l0?<<5237;3>2143ty=>44?:3y>73>22>=870=9858745=z{?8j6=4={<15<5<38916?;6=:650?xu1:k0;6?u2375:>167349=;o48729~w34d2909w0=8718744=:;>=36:9<;|q56a<72;q6=:ki:36g?8g7<3=52z?23cc=:=n01l>k:650?xu1;80;6?u21920>72c34k:<7983:p264=838p1<6?8;07`>;f9:0<;>5rs710>5<5s4;=a3=?>90q~8<4;296~;6?m;1>9j4=8f1>2143ty=?84?:3y>52ed2;>o707lf;547>{t>:<1<7=87p}93883>7}:9>h36?:k;<;e4?10;2wx:>o50;0x941e;38?h636ee8436=z{?9i6=4={<34e`<5v3>7`;961b<50o<6:9<;|q57a<72;q6=:o;:36g?8?b<3=52z?23<3=:=n014jm:650?xu1<80;6?u216;3>72c343o47983:p214=838p1<97b;07`>;>k:0<;>5rs760>5<5s4;3954=4e9>e6?=?>90q~8;4;296~;60<91>9j4=`15>2143ty=884?:3y>5=2b2;>o70o<3;547>{t>=<1<7h525f89d4f2>=87p}94883>7}:919j6?:k;4=38?h63n508436=z{?>i6=4={<3;75<5v3>83`961b<5h>i6:9<;|q50a<72;q6=5<9:36g?8g303=<7>52z?2<41=:=n01l=i:650?xu1=80;6?u21931>72c34k8o7983:p204=838p1<6?d;07`>;f:>0<;>5rs770>5<1s49m<=47c2?2:lm70=i6580bc=:;o2;6>hi;<1g40<0?:16?i>9:2dg?xu1==0;6?u22815>2143482?94;009~w3322909w0=8b28436=:;>h969>?;|q513<72;q6?:l>:650?850j90?<=5rs774>5<5s4972gb2=:;7p}95983>7}:;>=i6:9<;<143d<3891v;;6:18185?>10<;>5239f7>6`a3ty=9l4?:3y>7f5>2>=870=l3980b`=z{??i6=4={<1`7d<0?:16?n=7:2de?xu1=j0;6?u23b61>214349h8<4:6>hi;|q51`<72;q6?n=i:650?85d;l08jh5rs77e>5<5s49h8=48729>7f5b2:lm7p}96183>7}:;j9h6:9<;<1`7g<4nl1v;8>:18185d;m0<;>523b1a>6`a3ty=:?4?:3y>a`?=?>901hk7:523?xu1>:0;6?u2eea9325<5lni69>?;|q521<72=q6=nm=:650?87djl0?<<521e0`>6`a34;hnn4;019~w3022909w0klf;547>;bkl0?<=5rs745>5<5s4oh>7983:?fg4<3891v;88:1818ce=3=2909w0k6b;547>;b1h0?<=5rs74b>5<5s4o3i7983:?f4;019~w30c2909w0k97;547>;b>?0?<=5rs74f>5<5s4o>m7983:?f1<<3891v;8i:18787e9j0<;>521c3;>16634;i4;45g712=:;7p}97183>7}:m=n1;:=4=d6`>1673ty=;<4?:3y>a16=?>901h=i:523?xu1?;0;6?u2e209325<5l9:69>?;|q536<72;q6i?8576189`422=:;7p}97583>7}:m831;:=4=d3;>1673ty=;84?:3y>a5e=?>901h>m:523?xu1??0;6?u2dgd9325<5mln69>?;|q532<72;q6hk<576189a`62=:;7p}97983>7}:ll?1;:=4=eg7>1673ty=;44?:3y>`a>=?>901ij8:523?xu1?h0;6;u23c40>6`a349i:=47=312=::70=75680b`=:;k?:6:9<;<1a17<4nm1v;9m:1818bdj3=;cj90?<=5rs75f>5<5s4nj?7983:?ge7<3891v;9i:1818b>?3=62909w0j8d;547>;c?j0?<=5rs7:1>5<5s4n<<7983:?g2c<3891v;6<:1818b1:3=22909w0j;9;547>;c<10?<=5rs7:5>5<5s4n8o7983:?g7g<3891v;68:1818b5n3=<4;019~w3>>2909w0j>5;547>;c9=0?<=5rs7:b>5<5s4n;;7983:?g43<3891v;6m:1818420l0<;>5224:`>1663ty=4n4?:3y>60672>=870<:038744=z{?2o6=4={<1ef1<0?:16?kl>:522?xu10l0;6?u23gf:>214349mh;4;009~w3>a2908w0:>8980bc=:<9n?6:9<;<63`0<4nm1v;7?:181851n<0<;>5237d7>1663ty=5<4?:3y>a`e=?>901hkm:523?xu11;0;6?u2eed9325<5lnn69>?;|q5=6<72;q6ii<576189`b62=:;7p}99583>7}:mj?1;:=4=da7>1673ty=584?:3y>ag>=?>901hl8:523?xu11?0;6?u2e``9325<5lkj69>?;|q5=2<72;q6i4k576189`?c2=:;7p}99983>7}:m0;1;:=4=d;3>1673ty=544?:3y>a=2=?>901h6<:523?xu11h0;6?u2392;>1663493<448729~w3?e2909w0k87;547>;b??0?<=5rs7;`>5<5s4o=m7983:?f2<<3891v;7k:1818c2l3=;b<;0?<=5rs7c3>5<5s4o897983:?f71<3891v;o>:180851im0?<=5236c1>2143494;b:10?<=5rs7c0>5<5s4o:o7983:?f5g<3891v;o;:1818c7n3=6=4={hi;|q5e2<72;q6>:6<:650?840080?<<5rs7c;>5<5s4nm97983:?gb1<3891v;o6:1818bb03=;ckm0?<=5rs7c`>5<5s4nh=7983:?gg5<3891v;ok:1818be<3=;c100?<=5rs7`3>5<5s4n3h7983:?g:1818b?83=;c>=0?<=5rs7`7>5<5s4n>57983:?g1=<3891v;l::1818b3k3=;c;80?<=5rs7`;>5<5s4n997983:?g61<3891v;l6:1818b603=hi;|q5ff<72;q6?k>?:522?85a8;0<;>5rs7`g>5<5s49m854;009>7c2f2>=87p}9bd83>7}:;o>;<1e23<0?:1v;li:18185a090?<<523g:1>2143ty=o=4?:3y>a`0=?>901hk::522?xu1k80;6?u2ee;9325<5ln369>>;|q5g7<72;q6inm576189`ee2=::7p}9c283>7}:mkl1;:=4=d`f>1663ty=o94?:3y>ag4=?>901hl>:522?xu1k<0;6?u2e`79325<5lk?69>>;|q5g3<72;q6i46576189`?02=::7p}9c683>7}:m1h1;:=4=d:b>1663ty=o54?:3y>a2c=?>901h9k:522?xu1k00;6?u2e639325<5l=;69>>;|q5gd<72;q6i;:576189`042=::7p}9cc83>7}:m<=1;:=4=d75>1663ty=on4?:3y>a1g=?>901h:6:522?xu1km0;6?u2e2f9325<5l9h69>>;|q5g`<72;q6i?h576189`4b2=::7p}9cg83>7}:m;91;:=4=d01>1663ty=h=4?:3y>a40=?>901h?::522?xu1l80;6?u2e1;9325<5l:369>>;|q5`7<72;q6hkm576189a`e2=::7p}9d283>7}:lll1;:=4=egf>1663ty=h94?:3y>``4=?>901ik>:522?xu1l<0;6?u2de79325<5mn?69>>;|q5`3<72;q6hn6576189ae02=::7p}9d683>7}:lkh1;:=4=e`b>1663ty=h54?:3y>`dc=?>901iok:522?xu1l00;6?u224ca>214348>ml4;009~w3bf2909w0jn0;547>;c1o0?<<5rs7fa>5<5s4n287983:?g=6<3881v;jl:1818b??3=;c>j0?<<5rs7fe>5<5s4n>j7983:?g1`<3881v;k?:1818b2;3=;c;10?<<5rs7g0>5<5s4n9o7983:?g6g<3881v;k;:1815~;4nk318=?4=2dgf?279278jk;541389166:3>;=63<7:?1:?0`3e=<9;01>j76;635>;4l0n18=?4=2fa6?279278hn95413896bck3>;=63k:2;635>;4m?=18=?4=2g4g?279278i4?5413896cf>3>;=63=<9;01>h=9;635>;4n:o18=?4=2d20?279278j8m5413896`003>;=63j?c;547>;4l9n1?kj4}r4f1?6=:r7o=k48729>`4c=<9;0q~8j6;296~;c9;0<;>52d03905752z?g41<0?:16h==54138yv0b03:1>v3<1g:9057<5:;mo7983:p2`?=838p1>99a;634>;4??h1;:=4}r4fe?6=:r78;8h54128961193=52z?0355=?>901>9?2;635>{t>ll1<7v3>a579325<58k?87:?1:p2c7=838p1;6l;i18=>4}r4e6?6=:r7:n595761894d?>3>;<6s|6g194?4|5:8i;7983:?06g4=<9:0q~8i4;297~;5>j>1;:=4=34`7?279279:;j54138yv0a=3:1>v3m608436=:j?:18=?4}r4e2?6=:r7im448729>fd1=<9;0q~8i7;296~;4?8k1;:=4=252=?2792wx:k650;0x960bm3=52z?03=?=?>901>97a;1eb>{t>ok1<7v3=f6`9325<5;l;6:?818=?4}r4e`?6=:r7:>;6576189441?3>;=6s|6gg94?4|5;n3;7983:?1`=3=<9:0q~8if;296~;4no>1;:=4=2de5?2792wx;=>50;0x916693=52z?0`61=?>901>j<4;635>{t?981<7v3j75;547>;4l1818=?4}r531?6=:r78h4m5761896b>13>;=6s|71494?4|5:ni=7983:?0`dc=<9;0q~9?7;296~;4lj<1;:=4=2f`7?2792wx;=650;0x96bcj3=52z?0`c6=?>901>jjd;635>{t?9k1<7v37:?1:p35e=838p1>k;4m:318=?4}r53`?6=:r78i8?5761896c3m3>;=6s|71g94?4|5:o=:7983:?0a35=<9;0q~9?f;296~;4m>h1;:=4=2g450;0x96c>83=52z?0ad3=?>901>kn2;635>{t?881<7v3kj2;547>;4mml18=?4}r521?6=:r78ik95761896ca<3>;=6s|70494?4|5:l947983:?0b73=<9;0q~9>7;296~;4n:n1;:=4=2d0e?2792wx;<650;0x9444<3=221905752z?2661=?>901<<<6;635>{t?8k1<7v3;01a9325<5=:;57:?1:p34e=838p1>hjf;547>;4nli18=?4}r52`?6=:r78ji?5761896`dm3>;=6s|70g94?4|5:lji7983:?0bdd=<9;0q~9>f;296~;6io81;:=4=0ce4?2792wx;?>50;3b85an?08jh524130>6`b349o?447a362:ln70=k6e80b`=:;m2<6>hj;<1g=`<4nl16?il<:2df?85ck108jh523efg>6`b349oj?47`602:ln70=j3d80b`=:;l?86>hj;<1f2=<4nl16?h9k:2df?85b1;08jh523dc4>6`b349nnn47`ea2:ln70=je580b`=:;ll26>hj;<1e6d<4nl16?k=i:2df?85c9l0?<<523e3a>2143ty<><4?:3y>5f?12>=870?l958744=z{>896=4={<14a1<0?:16?:k<:522?xu0::0;6?u238:;>16634924o48729~w2432909w0=k338436=:;m8m69>>;|q460<72;q6=ill:650?87cjh08jh5rs605>5<1s49i4k4;009>7f4b2:ln70=m948744=:;k3=69>?;<1a=5<0?:16?o7>:2dg?xu0:>0;6?u21e`;>21434;on;4;019~w24?2909w0?l178436=:9j;?6>hj;|q46<<72;q6=n?=:650?87d990?<=5rs60b>5<5s4>:i<48729>04b>2=::7p}82c83>7}:;jl36:9<;<1`b6<3881v:523gc4>1663ty<>i4?:3y>7cec2>=870=ic`8744=z{>8n6=4={<1eag<0?:16?kk7:522?xu0:o0;6?u24120>16634>;<:48729~w2572909w0=k2d8436=:;m8i69>>;|q474<72;q6?:ki:522?850n:0<;>5rs611>5<5s4;ji448729>5dc02=::7p}83283>7}:9j2o6:9<;<3`=:0<;>523871>6`b3ty72722=::70=8168436=z{>9=6=4={<144a<38816?:>i:650?xu0;>0;6?u23626>166349<<:48729~w25?2909w0=9fe8744=:;?lm6:9<;|q47<<72;q685rs61b>5<5s49no;48729>7`e52=:;7p}83c83>7}:;m:n6:9<;<1g4f<3891v:=l:18185am=0?<<523gg5>2143ty7c`a2=::70:?008436=z{>9n6=4={<1g62<38816?i<6:650?xu0;o0;6?u23e66>214349o8?4;009~w2272909w0=k5`8744=:;m<;6:9<;|q404<72;q6?i99:522?85c?h0<;>5rs661>5<5s49o5=4;009>7a?22>=87p}84283>7}:;mk>69>>;<1ged<0?:1v::;:18185cjh0?<<523e`g>2143ty<884?:3y>7aea2=::70=kd58436=z{>>=6=4={<1ga1<38816?ik6:650?xu0<>0;6?u23ed:>166349ojn48729~w22?2909w0=j318744=:;l9>6:9<;|q40<<72;q6?h:::522?85b5rs66b>5<5s49n9l4;009>7`3c2>=87p}84c83>7}:;l>;<1f31<0?:1v::l:18185b0=0?<<523d::>2143ty<8i4?:3y>7`?>2=::70=j9d8436=z{>>n6=4={<1fe`<38816?hl>:650?xu0166349nho48729~w2372909w0=jec8744=:;ll;6:9<;|q414<72;q6?k?l:522?85a:80<;>5rs671>5<5s49m?<4;009>7c542>=87p}85283>7}:<9im6>hj;<63`4<0?:1v:;;:18185e?k0<;>523c5b>1663ty<984?:3y>7g>72>=870=m7g8744=z{>?=6=4={<1a11<0?:16?o;<:522?xu0=>0;6?u236:b>214349<454;009~w23?2909w0=ib58744=:;oh=6:9<;|q41<<72;q6?kjn:650?85al00?<<5rs67b>5<5s49n;:48729>7`112=:;7p}85c83>7}:;l2h6:9<;<1f523dc3>1673ty<9i4?:3y>7`d42=:;70=jb48436=z{>?n6=4={<1fg=<0?:16?hm8:523?xu0=o0;6?u23dff>214349nhi4;019~w2072909w0=jf28436=:;ll969>?;|q424<72;q6?k<;:650?85a::0?<=5rs641>5<5s49m?448729>7c5?2=:;7p}86283>7}:;>k369>>;<14ed<0?:1v:8;:18185>=>0<;>523875>1673ty<:84?:3y>04b>2=:;70:>dc8436=z{><=6=4m{<15=3<0?:16?:8n:522?850=o0?<<5237;4>167349<;<4;019>73gb2=:;70=9a28745=:;?k>69>?;<155<5s4>:i?4;019>04c42>=87p}86983>7}:;>3j6:9<;<14=<<4nl1v:86:1818501k0<;>5236;:>6`a3ty<:l4?:3y>7f2b2>=870=l4e80b`=z{>j0;6?u23b6a>214349h8l4j6>hi;|q:63<72:qU5?84=804>6`c3439:76ic:p=71=838p14<8:650?875?m098i5rs80;>5<5s482?n4=4e9>6=5c2>=87p}62883>7}::1nm6?:k;<0;77<0?:1v42143ty2>o4?:3y>6=2a2;>o70<8e`8436=z{08h6=4={<0;2d<55>k:650?xu>:m0;6?u22972>72c3484<<:36g?8401j0<;>5rs813>5<5s483:44=4e9>6=6a2>=87p}63083>7}::08?6?:k;<04=a<0?:1v4==:18184>:<098i5226;f>2143ty2?>4?:3y>6<412;>o70<89g8436=z{09?6=4={<0:62<5:o?:650?xu>;<0;6?u2281g>72c3483?h48729~w<512909w0<7e1810a=::1986:9<;|q:72<72;q6>59i:36g?84?9k0<;>5rs81;>5<5s4839=4=4e9>62cc2>=87p}63883>7}::1;l098i52291e>2143ty2?o4?:3y>6=c62;>o70<7358436=z{09h6=4={<0;<5<55?l:650?xu>;m0;6?u22971>72c3485k=:36g?84?;<0<;>5rs863>5<5s4839>4=4e9>62ca2>=87p}64083>7}::12143ty28>4?:3y>6=332;>o70<8f18436=z{0>?6=4={<0;2`<55?;:650?xu><<0;6?u229g7>72c3483?:48729~w<212909w0<754810a=::>l:6:9<;|q:02<72;q6>58i:36g?84?9<0<;>5rs86;>5<5s482>54=4e9>62g52>=87p}64883>7}::1o>6?:k;<0;7=<0?:1v4:n:18184?=?098i5226d1>2143ty28o4?:3y>6=172;>o70<7178436=z{0>h6=4={<0:6<<5:o<:650?xu>72c3483?448729~w<2b2909w0<756810a=::>l86:9<;|q:0c<72;q6>59>:36g?84?9>0<;>5rs873>5<5s482>l4=4e9>62g32>=87p}65083>7}::1o<6?:k;<0;7d<0?:1v4;=:18184?=1098i5226d7>2143ty29>4?:3y>6=012;>o70<7198436=z{0??6=4={<0:6g<5:o::650?xu>=<0;6?u229g;>72c3483?o48729~w<312909w0<758810a=::>l>6:9<;|q:12<72;q6>588:36g?84?900<;>5rs87;>5<5s482>n4=4e9>62g12>=87p}66483>6}Y1??01<<78;547>;>><03jn5rs845>5<4sW3=:6366680ba=:1?<14km4}r;53?6=:r72::48729>571?2;>o7p}66983>6}Y1?201<<7a;547>;>>103jn5rs84:>5<4sW3=563>2949325<50<265hl;|q:e7<72:qU5l<4=00;0?10;272m?47fb9~w=f0=<<3014l<:9d`?xu>j=0;6>uQ9c6892=?2707m4;:eg>{t1k?1<7=t^8`6?8?dk3>>5636b48;bf=z{0h=6=4<{_;a2>;>ko0?94529c4953z\:f2=:1m818874=8`4>=`d3ty2n44?:2y]=g?<50n>69;6;<;a=?>ak2wx5oo50;1xZ=gd=0oi0q~7mc;297~X>jj165ik544;896}Y1kn014k>:57:?8?el32mo6s|9cg94?5|V0hn707j4;66=>;>jl03jn5rs8`e>5<4sW3ij636e6871<=:1kl14km4}r;`4?6=;rT2o=529dc900?<50i;65hl;|q:g4<72:qU5n?4=8gg>13>343h=76ic:p=f4=839pR4m=;<;e4?221272o?47fb9~w<`42908wS7i3:?23ad=?>9014h<:9d`?xu>n=0;6>uQ9g689d632=?2707i4;:eg>{t1o?1<7=t^8d6?8g7?3>>5636f48;bf=z{0l=6=4<{_;e2>;f8h0?94529g4953z\:b2=:i9n18874=8d4>=`d3ty2j54?:2y]=c><5h;;69;6;<;eak2wx5ko50;1xZ<`f34k:?7::9:?:bd6}Y1oi01<9kf;547>;>nj03jn5rs8dg>5<4sW3mh63>7d39325<50lo65hl;|q:b`<72:qU5kk4=05f7?10;272jh47fb9~w<`a2908wS7if:?23`3=?>9014hi:9d`?xuf890;6>uQa128941b?3=;6?l31;:=4=`22>=`d3tyj<:180[g7;27:;hj576189d6421lh7p}n1783>6}Yi8<01l<8:57:?8g6>32mo6s|a0594?5|Vh;<70o=a;66=>;f9>03jn5rs`3;>5<4sWk:463n2e871<=:i8214km4}rc2=?6=;rTj=452a22900?<5h;265hl;|qb5d<72:qUm13>34k:m76ic:pe4d=839pRl?m;d:?b7<<3=016me6e=<<301l?j:9d`?xuf9o0;6>uQa0d89d5a2=?270o>f;:eg>{ti;:1<7=t^`03?8g3:3>>563n218;bf=z{h8:6=4<{_c15>;f<<0?9452a3397>53z\b67=:i=218874=`01>=`d3tyj>>4?:2y]e75<5h>i69;6;ak2wxm?:50;1xZd4334k?i7::9:?b61e73=0oi0q~o=6;297~Xf:?16m8:544;89d4121lh7p}n8083>6}:i191;:=4=`5e>6`c34khk;70o77;1e`>{ti1<1<7=t=`::><6234k3477?5:?b<2<0?:1vl67:184`~;618o15=h4=0:eg??7n27:4kj591d894?7033;j63>9019=5`<583:477?f:?2=40=19l01<7>7;;3b>;618315=h4=0;2e??7n27:5am33;j63>8gd9=5`<583;<77:9:?2=57=19l01<7?2;;3b>;619915=h4=0;30??2127:5=;594;894?7>33>563>91c9=0?<583;;77?f:?2=5?=19l01<7?b;;3b>;619i15=h4=0;3`??7n27:5=k591d894?6933;j63>91d9=5`<583:<77?f:?2=44=19l01<7>4;;3b>;618?15=h4=`:;><6c34;2?=47dd42:ln70:?bd80b`=:;1?86>hj;<3b01<4nl16==:::2df?84clj08jh5240f0>6`b34>8>9406702:ln70=>eg80bc=:;98:69>?;<3e=3<4no16=h;>:523?8248h08jk52434e>6`b34984=464402:lm70=?c680bc=:<:8269>?;<`;2?5an27?=i853gd8916c139mi63=dd597cc<5:33;7=ie:?1b<1=;oo01;6k9o1?kk4=211<;6nl?1?kk4=3f;1?5am27:mk>53gd894e><39mj63;19797c`<58koo7=if:?2g=6=;ol01;6j181?kh4=0fae?27827:ho853gg894e6<3>;<63>c0297cc<58kn;7=ie:?2g=d=;oo01>l:0;1eb>;38ki1?kk4=2146?5an279=<753gd89650839mj63=10597c`<5:9=i7=if:?1543=;ol01c;1ea>;6k><1?kk4=5120?5an278?;m541289776;3>;<63<37c9056<5;;:=7:?0:?073>=<9:01???f;634>;4;?<18=>4=333`?278278?;:541289777j3>;<63<3709056<5;;;57:?0:?072c=<9:01??=5;634>;4;>i18=>4=3317?278278?:o54128977593>;<63<36:9056<5;;:j7:?0:?0720=<9:01??>d;634>;4;>>18=>4=332f?278278?;>53gd89777?39mj63>92397cc<5;l7}:i1l1;:=4=`:f>6`a3tyj5=4?:3y>e<6=?>901l6j:2df?xuf1;0;6?u2a809325<5h3:6>hi;|qb=6<72;q6m4=576189d?62:ln7p}n9483>7}:i0?1;:=4=`;7>6`a3tyj5;4?:3y>e<0=?>901l7;:2df?xuf110;6?u2a8:9325<5h3<6>hi;|qb=<<72;q6m47576189d?02:ln7p}n9c83>7}:i0h1;:=4=`;b>6`a3tyj5n4?:3y>e901l7n:2df?xuf1l0;6?u2a8g9325<5h3o6>hi;|qb=c<72;q6m4h576189d?c2:ln7p}na083>7}:ih;1;:=4=`c3>6`a3tyjm?4?:3y>ed4=?>901lo?:2df?xufi=0;6?u2a`69325<5hk86>hi;|qbe0<72;q6ml;576189dg42:ln7p}na683>7}:ih=1;:=4=`c5>6`a3tyjm54?:3y>ed>=?>901lo9:2df?xufih0;6?u2a`c9325<5hk26>hi;|qbeg<72;q6mll576189dg>2:ln7p}nae83>7}:ihn1;:=4=`c`>6`a3tyjmh4?:3y>edc=?>901lol:2df?xufj90;6?u2ac29325<5hkm6>hi;|qbf4<72;q6mo?576189dga2:ln7p}nb283>7}:ik91;:=4=``1>6`a3tyjn94?:3y>eg2=?>901ll=:2df?xufj?0;6?u2ac49325<5hh>6>hi;|qbf2<72;q6mo9576189dd22:ln7p}nb883>7}:ik31;:=4=``;>6`a3tyjnl4?:3y>egg=?>901ll7:2df?xufjj0;6?u2aca9325<5hhi6>hi;|qbfa<72;q6moj576189dde2:ln7p}nbd83>7}:j>81;:=4=c:5>1663tyjnk4?:4y>ef4=19n01lmn:2de?8gd039mj63nc78745=:jho18=>4}rc`4?6=460e9>ef>=<9:01lm9:522?8dfm39mj6s|ab394?5|5hi?64>k;;fk?0<;>5rs`a4>5<5s4kh?7<;d:?bg=<0?:1vlm6:1818gd:38?h63nc`8436=z{hii6=4<{e=`=;ol0q~ok2;297~;flj02hi;|qbgf<72:q6mij591f89g672;>o70o62;1eb>{tijn1<7=t=`ff><6c34h;=7<;d:?b=0<4no1vlmj:1808gcn33;h63m03810a=:i021?kh4}rc`b?6=;r7ji=460e9>f55=:=n01l7m:2de?xufl90;6>u2ad39=5b<5k:?6?:k;;fi808jk5rs`f0>5<4s4kn?77?d:?a43<59j4=`cb>6`a3tyjh84?:2y>e`3=19n01o>7:36g?8gfl39mj6s|ae494?5|5ho=64>k;<`3=?43l27jn=4;e8h098i52ac197c`53z?ba=<>8m16n=l525f89dd12:lm7p}nd883>6}:il315=j4=c2`>72c34ki57=if:peag=839p1lkn:82g?8d7l38?h63nbb80bc=z{hoi6=4=1z?b`g<59j16mim520a89dc42;;h70oj4;02g>;fm<09=n52ad4964e<5ho<6??l;e`g=:8i01ljk:33`?8gcm38:o63ndg815f=:il:1>77d34kn>7<>c:?bb`<59j16mkh520a89g612;;h70l?7;02g>;e8109=n52b1;964e<5k:j6??l;<`3f?46k27if5b=:8i01o>?:33`?8d7938:o63m03815f=:j991>77d34h;97<>c:?baf<0?:1vlkj:1818gam33;h63n8g8745=z{hl>6=4={;f1;0?<=5rs`d3>5<5s4h;=77?d:?b=0<3891vlh>:1818d7:33;h63n998745=z{hl96=4={<`37??7l27j5o4;019~wd`42909w0l?4;;3`>;f1l0?<=5rs`d7>5<5s4h;977?d:?be4<3891vlh9:1818d7>33;h63na68745=z{hl<6=4={<`33??7l27jml4;019~wd`?2909w0l?8;;3`>;fim0?<=5rs`d:>5<5s4h;577?d:?bf5<3891vlhn:1818d7i33;h63nb28745=z{hli6=4={<`3f??7l27jn;4;019~wd`d2909w0l?c;;3`>;fj00?<=5rs`dg>5<5s4h;h77?d:?bff<3891vo>j:1820~;e9l02hi;<`76?27827i8>4f0c=;ol01o;m:2de?8d2039mj63m5480bc=:j<81?kh4=c6e>6`a34h?o7=if:?a0<<4no16n9853gd89g5b2:lm70l;e;108jk52b2797c`<5k996>hi;<`1b?5an2wxn<;50;6x9g7a20:o70okc;07`>;fi<08jk52b3d97cc54z?a65<>8m16mij525f89d?42:lm70l:e;1ea>{tj8:1<7:t=c02><6c34koi7<;d:?b=3<4no16n><53gg8yvd693:18v3m238:4a=:iml1>9j4=`;:>6`a34h897=ie:pf44=83>p1o<<:82g?8gb838?h63n9b80bc=:j:21?kk4}r`27?6=9460e9>e`7=:=n01l7i:2de?8d4j39mi6s|b0694?2|5k8>64>k;f6c=;oo0q~l>6;290~;e:?02hi;<`72?5am2wxn<950;6x9g4020:o70oj4;07`>;fik08jk52b5;97cc54z?a6=<>8m16mh;525f89dgb2:lm70l;c;1ea>{tj831<7:t=c0:><6c34kn:7<;d:?bf4<4no16n9h53gg8yvd6i3:18v3m2`8:4a=:il=1>9j4=``7>6`a34h>>7=ie:pf4d=83>p1on460e9>e`?=:=n01lln:2de?8d2039mi6s|b0f94?2|5k8o64>k;f0d=;oo0q~l=e;296~;e9l098i52b22932552z?a6c<0?:16n>>53gg8yvd493:1>v3m21810a=:j:91;:=4}r`06?6=:r7i??48729>f65=;oo0q~l<4;296~;e:8098i52b24932552z?a70<0?:16n>853gg8yvd4?3:1>v3m23810a=:j:31;:=4}r`0f6?=;oo0q~l52z?a7g<0?:16n>m53gg8yvd4l3:1>v3m25810a=:j:l1;:=4}r`0a?6=:r7i?h48729>f6`=;oo0q~l;0;296~;e:<098i52b56932552z?a07<0?:16n9:53gd8yvd3:3:1>v3m428436=:j=>1?kk4}r`71?6=:r7i=k4=4e9>f11=?>90q~l;6;296~;e52b5597cc52z?a63<5v3m488436=:j=k1?kk4}r`7f?6=:r7i>:4=4e9>f1b=?>90q~l;c;296~;e52b5f97cc52z?a6=<557618yvd3n3:1>v3m4g8436=:j<:1?kk4}r`65?6=:r7i>44=4e9>f05=?>90q~l:2;296~;e=;0<;>52b4197cc87>52z?a6d<5v3m548436=:j<<1?kk4}r`63?6=:r7i>o4=4e9>f0?=?>90q~l:8;296~;e=10<;>52b4;97ccm7>52z?a6f<5v3m5c8436=:ji4=4e9>f0`=?>90q~l:e;296~;e=l0<;>52b4d97cc510y>f4c=:8i01o?i:33`?8d5>38:o63m26815f=:j;21>77d34h9m7<>c:?a6g<59j16n?m520a89g4c2;;h70l=0;02g>;e:809=n52b30964e<5k886??l;<`10?46k27i>84=1b9>f36=?>90q~l92;2957}:j?k15=j4=c61>6`a34h??7=ie:?a1`<38916n8l541289g3?2=:;70l:5;634>;e=;0?<=52b5d9056<5k>h69>?;<`7=?27827i8;4;019>f6c=<9:01o=m:523?8d403>;<63m348745=:j:818=>4=c0e>1673tyi:>4?:3y>f3d=19n01o99:2de?xue>=0;6?u2b7a9=5b<5k=36>hi;|qa20<72;q6n;j591f89g1f2:lm7p}m6783>7}:j?o15=j4=c5`>6`a3tyi::4?:3y>f3`=19n01o9j:2de?xue>10;6?u2b629=5b<5k2;6>hi;|qa2<<72;q6n:?591f89g>52:lm7p}m7283>1}:j>>1;:=4=c51>6`a34kno7=if:?aec<4no1vo9::1818d1i38?h63m778436=z{k=<6=4={<`5f?43l27i;548729~wg1>2909w0l9c;07`>;e?h0<;>5rsc5a>5<5s4h=h7<;d:?a3f<0?:1vo9k:1818d1m38?h63m7d8436=z{k=m6=4={<`5b?43l27i4=48729~wg>62909w0l80;07`>;e0;0<;>5rsc:0>5<5s4h<=7<;d:?a<1<0?:1vo6::18`8d1i38:o63m6c815f=:j?i1>77d34h=i7<>c:?a2c<59j16n:>520a89g162;;h70ol2;02g>;fk:09=n52ab6964e<5k2=6:9<;|qa<2<72:q6n49591f89d?72=:;70l=f;635>{tj1o1<7=t=c;;><6c34kj97:?0:?a03<3881vo67:1808d>133;h63n928745=:j:818=?4}r`;=?6=;r7i5l460e9>e<0=<9:01o=::522?xue0h0;6>u2b8`9=5b<5h3269>?;<`0;e;k0?<<5rsc:`>5<4s4h2h77?d:?b=c<38916n>k54138yvd?l3:18v3m9d8:4a=:ih818=>4=c61>6`b34h??7:?0:pf=`=839p1o7i:82g?8gf03>;<63m488744=z{k3;6=4<{<`b4??7l27jmo4;019>f1e=<9;0q~l61;297~;ei802m69>>;|qa=7<72:q6nl<591f89dd62=:;70l:2;635>{tj091<7=t=cc0><6c34ki87:?0:?a10<3881vo7;:1808df<33;h63nb68745=:j<218=?4}r`:1?6=;r7im8460e9>egg=<9:01o;m:522?xue1?0;6>u2b`49=5b<5hho69>?;<`6a?2792wxnl950;328d>?38:o63m99815f=:j0l1>77d34hj=7<>c:?ae7<59j16nl=520a89gg32;;h70ln5;02g>;ei?09=n52b8;964e<5k3j6??l;<`:f?46k27i5n4=1b9>f52:ln70l70;1ea>;e?l08jh52b6a97cc<5k=j6>hj;<`4ef>=;oo01lm9:2df?8d1839mi63ma980b`=:jh=1?kk4=c:5>6`b34hjh77:9:?aef<4nm16n9<541389g242=::70l:f;;6=>;e=j029452b4;9=0?<5k?=64;6;<`67??2127i9=46589>f1b=1<301o:n:87:?8d3?33>563m3g8:1<=:j:i15874=c1:><3>34h8:77:9:?a76<>=016n>>594;8917ci3>;<6s|b``94?4|5kkj6?:k;<`bg?10;2wxnlj50;0x9ggf2;;h70lnd;547>{tjm81<721434hi>7=if:pfa5=838p1oh=:650?8de;39mj6s|be694?4|5j;;6:9<;<`g5?5an2wxni;50;0x9g`32>=870lm5;1eb>{tjm<1<721434hi:7=if:pfa1=838p1oh9:650?8de?39mj6s|be:94?4|5kl<6:9<;<`a=870lm9;1eb>{tjmk1<721434him7=if:pfad=838p1ohn:650?8dej39mj6s|bea94?4|5kli6:9<;<`ag?5an2wxnij50;0x9g`d2>=870lmd;1eb>{tjmo1<721434hi87=if:pfa`=838p1ohk:650?8dem39mj6s|bd294?4|5klm6:9<;<``4?5an2wxnh?50;0x9f672>=870ll1;1eb>{tjl81<721434hh>7=if:pf`5=838p1n>=:650?8dd;39mj6s|bd694?4|5j:86:9<;<``0?5an2wxnh;50;0x9f632>=870ll5;1eb>{tjl<1<721434hh:7=if:pf`1=838p1n>9:650?8dd?39mj6s|bd:94?4|5j:<6:9<;<``=870lmf;1eb>{tjlk1<721434hh57=if:pf`d=838p1n>n:650?8ddj39mj6s|bda94?4|5j:26:9<;<``e?5an2wxnhj50;0x9f6e2>=870llc;1eb>{tjlo1<721434hhh7=if:pf``=838p1n>k:650?8ddm39mj6s|bg294?4|5j:n6:9<;<``b?5an2wxnk?50;0x9f6a2>=870lk0;1eb>{tk8;1<721434ho>7=if:pg44=838p1n?=:650?8da:39mj6s|c0194?4|5j;=6:9<;=870li4;1eb>{tk8?1<721434hm97=if:pg40=838p1n?m:650?8da>39mj6s|c0594?4|5j;n6:9<;<`e3?5an2wxo<650;0x9f472>=870li8;1eb>{tk831<721434hm57=if:pg4g=838p1n<;:650?8dai39mj6s|c0`94?4|5j8=6:9<;<`ef?5an2wxo=870lic;1eb>{tk8n1<721434hm?7=if:pg4c=838p1n<6:650?8dal39mj6s|c0d94?4|5j8j6:9<;<`eb?5an2wxo?>50;0x9f4e2>=870m?0;1eb>{tk;;1<721434i;=7=if:pg74=838p1n=870m?4;1eb>{tk;?1<721434i;97=if:pg70=838p1n=>:650?8e7>39mj6s|c3594?4|5j996:9<;=870lie;1eb>{tk;31<721434i;47=if:pg7g=838p1n=::650?8e7i39mj6s|c2194?4|5j986:9<;850;0x9f512>=870m?b;1eb>{tk:=1<721434i;o7=if:pg6>=838p1n=7:650?8e7l39mj6s|c2;94?4|5j9j6:9<;o50;0x9f732>=870m?f;1eb>{tk:h1<721434hi>7=ie:pg6e=838p1n;m:650?8de;39mi6s|c2f94?4|5j2:6:9<;<`g5?5am2wxo>k50;0x9f3c2>=870lm5;1ea>{tk:l1<721434hi:7=ie:pg16=838p1n8?:650?8de?39mi6s|c5394?4|5j<96:9<;<`a=870lm9;1ea>{tk=91<721434him7=ie:pg12=838p1n87:650?8dej39mi6s|c5794?4|5j<26:9<;<`ag?5am2wxo9850;0x9f0f2>=870lmd;1ea>{tk==1<721434hi87=ie:pg1>=838p1n8m:650?8dem39mi6s|c5;94?4|5j=870ll1;1ea>{tk=h1<721434hh>7=ie:pg1e=838p1n9?:650?8dd;39mi6s|c5f94?4|5j=:6:9<;<``0?5am2wxo9k50;0x9f152>=870ll5;1ea>{tk=l1<721434hh:7=ie:pg06=838p1n9;:650?8dd?39mi6s|c4394?4|5j=>6:9<;<``=870lmf;1ea>{tk<91<721434hh57=ie:pg02=838p1n97:650?8ddj39mi6s|c4794?4|5j=<6:9<;<``e?5am2wxo8850;0x9f1>2>=870llc;1ea>{tk<=1<721434hhh7=ie:pg0>=838p1n9m:650?8ddm39mi6s|c4;94?4|5j=o6:9<;<``b?5am2wxo8o50;0x9f1a2>=870lk0;1ea>{tk21434i>i7=ie:pg36=838p1n8>:650?8e1839mi6s|c7094?4|5j<86:9<;=870m94;1ea>{tk?<1<721434i=:7=ie:pg2e=838p1n9l:650?8e0j39mi6s|c6f94?4|5j=n6:9<;72>=870m8f;1ea>{tk1;1<721434i3=7=ie:pg=5=838p1n6<:650?8dc:39mi6s|c9694?4|5j2?6:9<;22>=870m?b;1ea>{tk1<1<721434i<57=if:pg=1=838p1n68:650?8e7k39mi6s|c9:94?4|5j236:9<;>2>=870m?d;1ea>{tk1k1<721434ic2>=870m?f;1ea>{tk1o1<721434i=870m71;1eb>{tk081<721434hm87=ie:pg<5=838p1n7<:650?8e2l39mj6s|c8694?4|5j3?6:9<;<`e1?5am2wxo4;50;0x9f?22>=870m:e;1eb>{tk0<1<721434hm:7=ie:pg<1=838p1n78:650?8e1839mj6s|c8:94?4|5j336:9<;<`e3?5am2wxo4750;0x9f?>2>=870m92;1eb>{tk0k1<721434i>n7=if:pg=870li9;1ea>{tk0o1<721434i=:7=if:pg<`=838p1n7i:650?8dai39mi6s|c`294?4|5jk;6:9<;=870lib;1ea>{tkh81<721434i=57=if:pgd5=838p1no<:650?8dak39mi6s|c`694?4|5jk?6:9<;=870li3;1ea>{tkh<1<721434hmh7=ie:pgd1=838p1no8:650?8e1j39mj6s|c`:94?4|5jk36:9<;<`eb?5am2wxol750;0x9fg>2>=870m9d;1eb>{tkhk1<721434i;<7=ie:pgdd=838p1nom:650?8e1m39mj6s|c`a94?4|5jkh6:9<;=870m9f;1eb>{tkho1<721434i;>7=ie:pgd`=838p1noi:650?8e0839mj6s|cc294?4|5jh;6:9<;=870m?3;1ea>{tkk81<721434i<=7=if:pgg5=838p1nl<:650?8e7<39mi6s|cc694?4|5jh?6:9<;=870m?5;1ea>{tkk<1<721434i39mi6s|cc:94?4|5jh36:9<;2>=870m?7;1ea>{tkkk1<721434i<97=if:pggd=838p1nlm:650?8dam39mi6s|cca94?4|5jhh6:9<;=870m86;1eb>{tkko1<721434i;m7=ie:pgg`=838p1nli:650?8e0039mj6s|cb294?4|5ji;6:9<;=870m?9;1ea>{tkj81<721434i<;7=if:pgf5=838p1nm<:650?8e4j39mi6s|cb694?4|5ji?6:9<;=870m9b;1ea>{tkj<1<721434i=h7=ie:pgf1=838p1nm8:650?8e1m39mi6s|cb:94?4|5ji36:9<;2>=870m80;1ea>{tkjk1<721434i<=7=ie:pgfd=838p1nmm:650?8e0:39mi6s|cba94?4|5jih6:9<;=870m84;1ea>{tkjo1<721434i<97=ie:pgf`=838p1nmi:650?8e2k39mi6s|ce294?4|5jn;6:9<;=870m88;1ea>{tkm81<721434i=o7=ie:pga5=838p1nj<:650?8e0?39mi6s|ce694?4|5jn?6:9<;=870m8a;1ea>{tkm<1<721434i>h7=ie:pga1=838p1nj8:650?8e1139mi6s|ce:94?4|5jn36:9<;{tl9;1<721434n;<7<;d:p`54=838p1i>=:650?8b7<39mi6s|d1194?4|5m:>69>>;=870j?5;1eb>{tl921<721434n;57:?1:p`5?=838p1i>6:650?8b7<3>;=6s|d1`94?4|5m:i6:9<;=870j?4;634>{tkl:1<7<6c343o47=id:pgag=838p1i>j:82g?8?d>39mh6s|d1d94?4|5m;86:9<;50;0x9a772>=870j>2;1ea>{tl8;1<716634n:=7983:p`42=838p1i?;:650?8b6;39mj6s|d0494?4|5m;=6:9<;=870j>2;635>{tl831<721434n:=7=if:p`4g=838p1i?n:650?8b6:3>;<6s|ce`94?4|5m;i64>k;<;`=?5al2wxh=870j>b;07`>{tl8n1<721434n:j7=ie:p`4c=838p1i=870j=4;635>{tl;>1<721434n:j7:?1:p`70=838p1i<9:650?8b6m39mj6s|d3594?4|5m8<6:9<;{tl;31<721434n947<;d:p`7g=838p1i>;=870j=d;1eb>{tl::1<721434n8=7:?1:p`67=838p1i=>:650?8b5k3>;=6s|d2194?4|5m986:9<;:50;0x9a532>=870j=c;634>{tkmn1<7<6c343hj7=id:p`60=838p1i=n:650?8b4=38?h6s|d2594?4|5m9<6:9<;650;0x9a5f2=::70j<8;547>{tl:h1<721434n8m7=if:p`6b=838p1i=k:650?8b4m3>;=6s|d2g94?4|5m9n6:9<;50;0x9a272>=870j<8;1eb>{tl=;1<721434n857:?0:pgac=838p1i:=:82g?8?c:39mh6s|d5194?4|5m><6:9<;=870j;6;1ea>{tl=?1<716634n?97983:p`1>=838p1i:7:650?8b3?39mj6s|d5c94?4|5m>j6:9<;=870j;6;635>{tl=n1<721434n?97=if:p`1c=838p1i:j:650?8b3>3>;<6s|ced94?4|5m>m64>k;<;g1?5al2wxh8>50;0x9a332>=870j;f;07`>{tl<;1<721434n>?7=ie:p`04=838p1i;;:522?8b2:3=6:9<;=870j:8;635>{tl<21<721434n>?7:?1:p`0g=838p1i;n:650?8b2:39mj6s|d4`94?4|5m?i6:9<;=870j?d;07`>{tl21434n>j7=ie:p`0c=838p1i8?:522?8b2m3==870j94;635>{tl?>1<721434n>j7:?1:p`30=838p1i89:650?8b2m39mj6s|d7594?4|5m<<6:9<;{tkl;1<7<6c34k;87=id:p`3g=838p1i8j:650?8b1138?h6s|d7`94?4|5m{tl?l1<721434n=i7=if:p`27=838p1i9>:650?8b0:3>;=6s|d6094?4|5m=96:9<;=870j9c;1eb>{tl>?1<721434n=h7:?0:pg`4=838p1i99:82g?8g7?39mh6s|d6594?4|5m=i6:9<;=870j8a;1ea>{tl>31<716634n<57983:p`2e=838p1i9l:650?8b0j39mj6s|d6g94?4|5m=n6:9<;=870j8a;635>{tl1;1<721434n<57=if:p`=4=838p1i6=:650?8b0i3>;<6s|cd194?4|5m2864>k;?2>=870j73;07`>{tl1?1<721434n3;7=ie:p`=0=838p1i67:522?8b?>3=e2>=870j7c;635>{tl1i1<721434n3;7:?1:p`=c=838p1i6j:650?8b?>39mj6s|d9d94?4|5m2m6:9<;{tl0;1<721434n2<7<;d:p`<4=838p1i7=:650?8b><39mi6s|d8194?4|5m3>69>>;=870j65;1eb>{tl021<721434n257:?1:p`<3>;=6s|d8`94?4|5m3i6:9<;=870j64;634>{tl0n1<721434n=47<;d:p`>;=870jn1;1eb>{tlh>1<721434nj97:?1:p`d3=838p1io::650?8bf83>;=6s|d`594?4|5mk<6:9<;=870jn0;634>{tko:1<7<6c343oi7=id:pg`0=838p1ion:82g?8g6839mh6s|d``94?4|5mkm6:9<;=870jne;1ea>{tlhn1<716634njh7983:p`g6=838p1il?:650?8bfn39mj6s|dc094?4|5mh96:9<;=870jne;635>{tlk?1<721434njh7=if:p`g0=838p1il9:650?8bfm3>;<6s|cd594?4|5mh<64>k;=870jm7;07`>{tlk31<721434nin7=ie:p`gg=838p1ill:522?8bei3==870jl0;635>{tlj:1<721434nin7:?1:p`f4=838p1im=:650?8bei39mj6s|db194?4|5mi86:9<;{tlj?1<721434nh87<;d:p`f0=838p1im9:650?8bd039mi6s|db594?4|5mi269>>;=870jl9;1eb>{tlji1<721434nhh7:?1:p`fb=838p1imk:650?8bd03>;=6s|dbd94?4|5mim6:9<;50;0x9ab72>=870jl8;634>{tkl31<7<6c34k8o7=id:p`a4=838p1ij9:650?8bc938?h6s|de194?4|5mn86:9<;{tlm=1<721434no:7=if:p`a?=838p1ij6:650?8bci3>;=6s|dec94?4|5mnj6:9<;=870jk4;1eb>{tlmn1<721434no97:?0:pg`g=838p1ijj:82g?8g4n39mh6s|ded94?4|5mo86:9<;50;0x9ac72>=870jj2;1ea>{tll;1<716634nn=7983:p``2=838p1ik;:650?8bb;39mj6s|dd494?4|5mo=6:9<;=870jj2;635>{tll31<721434nn=7=if:p``g=838p1ikn:650?8bb:3>;<6s|cd`94?4|5moi64>k;=870jjb;07`>{tlln1<721434nnj7=ie:p``c=838p1ih?:522?8bbm3==870ji4;635>{tlo>1<721434nnj7:?1:p`c0=838p1ih9:650?8bbm39mj6s|dg594?4|5ml<6:9<;{tlo31<721434nm47<;d:p`cg=838p1ihn:650?8bak39mi6s|dg`94?4|5mlo69>>;=870jid;1eb>{tm9:1<721434o;=7:?1:pa57=838p1h>>:650?8bak3>;=6s|e1194?4|5l:86:9<;=870jic;634>{tkln1<7<6c34k?47=id:pa50=838p1h>n:650?8c7=38?h6s|e1594?4|5l:<6:9<;{tm9h1<721434o;m7=if:pa5b=838p1h>k:650?8c7m3>;=6s|e1g94?4|5l:n6:9<;50;0x9`772>=870k?8;1eb>{tm8;1<721434o;57:?0:pg`c=838p1h?=:82g?8g3j39mh6s|e0194?4|5l;<6:9<;=870k>6;1ea>{tm8?1<716634o:97983:pa4>=838p1h?7:650?8c6?39mj6s|e0c94?4|5l;j6:9<;=870k>6;635>{tm8n1<721434o:97=if:pa4c=838p1h?j:650?8c6>3>;<6s|cdd94?4|5l;m64>k;50;0x9`432>=870k>f;07`>{tm;;1<721434o9?7=ie:pa74=838p1h<;:522?8c5:3=6:9<;=870k=8;635>{tm;21<721434o9?7:?1:pa7g=838p1h=870jn9;07`>{tm;n1<721434o9j7=ie:pa7c=838p1h=?:522?8c5m3==50;0x9`542>=870k<4;635>{tm:>1<721434o9j7:?1:pa60=838p1h=9:650?8c5m39mj6s|e2594?4|5l9<6:9<;{tko;1<7<6c34k>=7=id:pa6g=838p1h=j:650?8c4138?h6s|e2`94?4|5l9i6:9<;m50;0x9`5b2=::70k{tm:l1<721434o8i7=if:pa17=838p1h:>:650?8c3:3>;=6s|e5094?4|5l>96:9<;=870k{tm=?1<721434o8h7:?0:pgc4=838p1h:9:82g?8g2<39mh6s|e5594?4|5l>i6:9<;=870k;a;1ea>{tm=31<716634o?57983:pa1e=838p1h:l:650?8c3j39mj6s|e5g94?4|5l>n6:9<;=870k;a;635>{tm<;1<721434o?57=if:pa04=838p1h;=:650?8c3i3>;<6s|cg194?4|5l?864>k;=870k:3;07`>{tm21434o>;7=ie:pa00=838p1h;7:522?8c2>3==870k:c;635>{tm21434o>;7:?1:pa0c=838p1h;j:650?8c2>39mj6s|e4d94?4|5l?m6:9<;{tm?;1<721434o=<7<;d:pa34=838p1h8=:650?8c1<39mi6s|e7194?4|5l<>69>>;=870k95;1eb>{tm?21<721434o=57:?1:pa3?=838p1h86:650?8c1<3>;=6s|e7`94?4|5l=870k94;634>{tko?1<7<6c34k8<7=id:pa3c=838p1h9=:650?8c1l38?h6s|e7d94?4|5l50;0x9`152=::70k80;547>{tm>91<721434o<>7=if:pa23=838p1h9::650?8c0>3>;=6s|e6494?4|5l==6:9<;=870k80;1eb>{tm>31<721434o<=7:?0:pgc0=838p1h9n:82g?8g4;39mh6s|e6`94?4|5l=m6:9<;=870k8e;1ea>{tm>n1<716634o42>=870k8e;635>{tm1?1<721434o;<6s|cg594?4|5l2<64>k;d2>=870k77;07`>{tm131<721434o3n7=ie:pa=g=838p1h6l:522?8c?i3=a2>=870k60;635>{tm0:1<721434o3n7:?1:pa<4=838p1h7=:650?8c?i39mj6s|e8194?4|5l386:9<;{tm0?1<721434o287<;d:pa<0=838p1h79:650?8c>039mi6s|e8594?4|5l3269>>;=870k69;1eb>{tm0i1<721434o2h7:?1:pa03>;=6s|e8d94?4|5l3m6:9<;50;0x9`g72>=870k68;634>{tko31<7;b;1098i5rsdc0>5<5s4oj?7983:?fe0<4nl1vho;:1818cf>3>;=63ja58436=z{lk<6=4={2909w0kn9;547>;bih0?<<5rsdcb>5<5s4ojm7983:?fe0<3881vhol:1818cfk3=;>m=08ji5rsdce>5<5s4oi?7983:?fe`<5;bj:08jk5rsd`5>5<5s4oi:7983:?ff2<3881vhl8:1818ce?3=;bj;0?<=5rsbd`>5<5s4oin77?d:?:a2<4nm1vhll:1818cd83=;bjl0<;>5rsda2>5<5s4oh=7983:?fg5<4no1vhm<:1818cd;3=;bjl08jk5rsda4>5<5s4oh;7983:?ffc<3891vnhk:1818cd033;h636e`80ba=z{li26=4={;bkj08jh5rsdaa>5<5s4ohh7:?1:?fgg<0?:1vhmj:1818cdm3=;bkj0?<<5rsdf0>5<5s4oo?7983:?fgg<4no1vhj;:1818cc<3=;bl<098i5rsdf4>5<5s4oo;7983:?f`<<4nl1vhj7:1818cci3>;=63jd98436=z{lni6=4={;bll0?<<5rsdff>5<5s4ooi7983:?f`<<3881vhk?:1818cb83=;>n908ji5rsdg0>5<5s4on;7983:?fa7<56=4={;bm>08jk5rsdgb>5<5s4onm7983:?fag<3881vhkm:1818cbj3=;bm?0?<=5rsdge>5<5s4l::77?d:?g40<3891vk?8:1823~;a9k09=n52f04964e<5o9<6??l;:4=1b9>b15=:8i01k:>:33`?8`3:38:o63i45815f=:n=?1>77d34l:o7<>c:?e5a<59j16j;a:009=n52f3:964e<5o8?6??l;84=1b9>b70=:8i01k?7:650?xua9h0;68u210ge>16634;:5?4;019>b2?=<9:01k?7:523?8`613=k;50;0x9c7d20:o70j>3;634>{tmo;1<7<6c34n9<7:?0:pac4=838p1k?j:82g?8b5l3>;<6s|eg194?4|5o;m64>k;{tmo?1<7<6c34n>87:?0:pacd=838p1k<=:82g?8bf93>;<6s|eg594?4|5o8864>k;{tmo31<7<6c34n347:?0:pacg=838p1k<9:82g?8b>=3>;<6s|f1494?4|5o8<64>k;{tmon1<7<6c34nio7:?0:pacc=838p1k;<6s|f3`94?75s4l9m7<>c:?e75<59j16j><520a89c562;;h70h<9;02g>;a;109=n52f21964e<5o9>6??l;b6e=:8i01k=m:33`?8`4>38:o63i3g815f=:n:n1>77d34l?<7<>c:?e6f<0?:1vk16734l297:?0:?e6f<38916j?j57618yvcan3:1>v3i318:4a=:lm<18=>4}rd34?6=:r7m?<460e9>``5=<9:0q~h?1;296~;a;;027>52z?e76<>8m16hkj54128yv`7;3:1>v3i358:4a=:m9k18=>4}rd30?6=:r7m?8460e9>a41=<9:0q~h?5;296~;a;?0252z?e72<>8m16il854128yv`7?3:1>v3i398:4a=:m:o18=>4}rd3a1d=<9:0q~h?9;296~;a;h0252z?e7g<>8m16i;;54128yv`7j3:1>v3i3b8:4a=:m>818=>4}rd3g?6=:r7m?i460e9>a2`=<9:0q~h?d;296~;a;l0252z?e7c<>8m16i4754128yv`7n3:1>v3i418:4a=:mh;1>9j4}rd25?6=:r7m8<460e9>ag5=<9:0q~h>2;296~;a<;0252z?e06<>8m16inj54128yv`6<3:1>v3i458:4a=:mmk18=>4}rd21?6=:r7m88460e9>a`1=<9:0q~h;6;297~;d0=0?<=52f659=5b<50i86476;|qe3=<72;;p1k69:33`?8`?938:o63i86815f=:n181>77d34l3?7<>c:?e<<<59j16j5o520a89c>32;;h70h7b;02g>;a?00<;>52f9a964e<5o2o6??l;b<7=:8i01k7=:33`?8`?838:o63i7g815f=:n>o1>77d34lc:?e3g<59j16jll520a89cgf2;;h70hn9;02g>;ai109=n52f`5964e<5o3o6??l;b2g=:8i01k98:33`?xua=80;6>u2c819056<5o=j64>k;<;g12wxj9950;1x9f?f2=:;70h8b;;3`>;>k?02545rsg6;>5<4s4ii<7:?0:?e3f<>8m165n7598;8yv`313:1?v3lc18745=:n>n15=j4=8a`>3tym8l4?:2y>gf4=<9:01k9j:82g?8?dn33256s|f5`94?5|5j2=69>?;;a090252z?e<4<>8m16o5o54128yv`3m3:1>v3i838:4a=:k1i18=>4}rd7b?6=:r7m4>460e9>g=c=<9:0q~h:0;296~;a0=02o7>53z?`eg<38916j5;591f897}:n1<15=j4=b;6>1673tym9>4?:3y>b=1=19n01n78:523?xua==0;6?u2f9:9=5b<5j3269>?;|qe10<72;q6j57591f89f?d2=:;7p}i5783>7}:n1k15=j4=b;f>1673tym9:4?:3y>b=d=19n01no?:523?xua=10;6>u2c`09056<5o2h64>k;12wxj8750;1x9fg32=:;70h7d;;3`>;f8>02545rsg7b>5<4s4ij;7:?0:?e<`<>8m16m=o598;8yv`2j3:1?v3la88745=:n1l15=j4=`2g>3tym::4?:2y>gg4=<9:01k7?:82g?8?cm33256s|f4f94?5|5jko69>?;;a1;02j7>53z?`<1<4nl16j4=591f89d4020327p}i9583>44|5ok=6??l;bd2=:8i01ko<:33`?8`f:38:o63ia0815f=:nh:1>77d34l2i7<>c:?e=f<59j16j4l520a89c?f2;;h70h69;02g>;a1109=n52f85964e<5o3=6??l;50;1x9f?42:ln70h66;;3`>;f;j02545rsg42>5<4s4ijn7=ie:?e=2<>8m16m>h598;8yv`1:3:1?v3lb380b`=:n0215=j4=`61>3tym:>4?:2y>gg2=;oo01k76:82g?8g3=33256s|f7694?5|5jh=6>hj;;a1k0253z?`fd<4nl16j4m591f89d2b20327p}i7083>6}:kk>18=>4=g;g><6c343n=7769:pb3>=839p1nlk:2df?8`>m33;h63n508:=<=z{o<26=4<{e02=1030q~h9a;297~;d1h08jh52f`29=5b<5h8j6476;|qe2g<72:q6oo>53gg89cg620:o70o=d;;:=>{tn?i1<7=t=ba3>6`b34lj>77?d:?b75<>101vk8k:1808ed:39mi63ia28:4a=:i:915474}rd5a?6=;r7h4;4bd2=19n01l=9:8;:?xua>o0;6>u2c9:97cc<5ok>64>k;12wxj:>50;0x9cg120:o70m7a;1ea>{tn>81<7=t=b`5>16734lj;77?d:?:a1<>101vk9<:1808ee03>;<63ia98:4a=:1l=15474}rd40?6=;r7hnl4;019>bd?=19n014kn:8;:?xua?<0;6>u2ccf9056<5okj64>k;<;f`??>12wxj:850;1x9fda2=:;70hnb;;3`>;>n902545rsgc`>5<2s4ljo77?d:?g4g<4no16h=953gg89a6f2=:;70j?4;1eb>{tnhn1<7;t=gcg><6c34n;n7=ie:?g47<4nl16h=953gd89a6>2:lm7p}iad83>3}:nho15=j4=e2`>6`b34n;>7:?0:?g42<38916h=o53gd89a642:ln7p}iag83>3}:nhl15=j4=e2;>6`b34n;n7:?1:?g4f<38916h=9541389a6>2:ln7p}ib183>6}:nk:15=j4=e2;>16734n;:7=if:pbg7=839p1kl>:82g?8b7>39mi63k028745=z{oh96=48{bdc=:8i01koi:33`?8`e838:o63ib0815f=:nk91;:=4}rdaa?6=;r7mn548729>546b2:ln70hm6;634>{tnkl1<77t=02f6?27927:;=63ie`8744=:nmk18=?4=ga;>16634li?7:?1:?ef1<0?:16jo;53gf8yv`d83:19v3ib78436=:nk=1?kj4=g`7>16734lm:7:?0:?eb6<3891vkm>:1868`d933;h63k1880bc=:l8?1?kk4=e3;>16734n:>7=if:pbf4=83?p1km=:82g?8b6139mi63k1180b`=:l8?1?kh4=e34>6`a3tymo>4?:7y>bf5=19n01i?n:2df?8b683>;<63k148745=:l821?kh4=e32>6`b3tymo94?:7y>bf2=19n01i?9:2df?8b613>;=63k1`8745=:l8?18=?4=e34>6`b3tymo84?:2y>bf3=19n01i?9:523?8b6<39mj6s|fb494?5|5oi=64>k;;ak;09=n52fb1964e<5oi?6??l;bf>=?>90q~hl9;291~;ak002hj;w0hla;;3`>;c:?08jh52d0f97cc<5m896>hi;;c9m0?<=52d309056<5m8>6>hi;;c:?0?<<52d359056<5m8969>>;;c:808jk5rsgaf>5<4s4lhi77?d:?g64<4nl16h77d34lho7<>c:?ega<59j16jnk520a89cb72>=87p}id383><}:nm;1;:=4=02ee?27927:;=63>09c9057<58:;<7:?1:?eb7<38816jh<541389cb72=::7p}id283>0}:nm915=j4=e10>6`a34n9j7=ie:?g77<38916h?m53gd8yv`c<3:19v3id58:4a=:l:91?kk4=e0b>6`b34n9j7=if:?g74<4no1vkj::1858`c=33;h63k3580b`=:l;k18=>4=e0e>16734n8>7=if:?g6g<4nl1vkj9:1858`c>33;h63k3180b`=:l:918=?4=e17>16734n9j7:?1:?g74<4nl1vkj8:1808`c?33;h63k318745=:l;o1?kh4}rdg`7c=;oo01iba1=:8i01kj7:33`?8`ci3=k;`6`=<9:01i=6:2de?xualj0;68u2fea9=5b<5m>;6>hj;`6c=;ol0q~hkd;292~;alm02?;`6>=;oo0q~hke;292~;all02;69>>;`6c=;oo0q~hkf;297~;alo02hi;|qea5<72:q6jh>591f89a5e2:ln70j<8;634>{tnl;1<79t=gfa>77d34loo7<>c:?e`a<59j16jik520a89cba2;;h70hj0;02g>;am;0<;>5rsgg0>5<2s4ln?77?d:?g0a<4no16h9753gg89a2d2=:;70j;6;1eb>{tnl>1<7;t=gg7><6c34n?h7=ie:?g01<4nl16h9753gd89a2e2:lm7p}ie483>3}:nl?15=j4=e6f>6`b34n?87:?0:?g0<<38916h9m53gd89a222:ln7p}ie783>3}:nl<15=j4=e6b>6`b34n?h7:?1:?g0`<38916h97541389a2e2:ln7p}ie683>6}:nl=15=j4=e6b>16734n?47=if:pb`>=839p1kk7:82g?8b3039mi63k448745=z{oo26=48{b`3=:8i01kk9:33`?8`b?38:o63ie9815f=:nlk1;:=4}rdff?6==r7mio460e9>`0g=;ol01i;9:2df?8b213>;<63k5280bc=z{ooh6=4:{`07=;oo01i;9:2de?8b2039mj6s|fdf94?0|5ooo64>k;`00=<9:01i;6:2de?8b2:39mi6s|fdg94?0|5oon64>k;`0d=<9:01i;9:522?8b2039mi6s|fdd94?5|5oom64>k;;c=<08jh52d40905657z?eag<59j16jhm520a89ccc2;;h70hje;02g>;amo09=n52fg2964e<5ol96:9<;|qeb0<72=q6==?7:522?877810?<<52fg19325<5ol?6>hk;|qeb=<72=q6==hk;|qeb<<72;c><0?<=52d4d97c`55z?ebd<>8m16h;853gg89a3c2:ln70j92;1eb>;c>=08jk5rsgda>5<1s4lmn77?d:?g22<4nl16h8j541289a052=:;70j95;1eb>;c=l08jh5rsgd`>5<1s4lmo77?d:?g26<4nl16h;8541389a002=:;70j92;635>;c>=08jh5rsgdg>5<4s4lmh77?d:?g26<38916h;?53gd8yv`am3:1?v3ifd8:4a=:l?;1?kk4=e7f>1673tymjk4?:6y>bc?=:8i01khn:33`?8`aj38:o63ifb815f=:non1>77d34;;<=48729~w46793:19v3>0139=5b<5m=?6>hi;4;019>`3b=;ol0q~??0383>0}:99:964>k;`26=;ol01i9=:2de?xu68991<78t=0237??7l27o;84`3d=<9:01i9?:523?8b0;39mj63k6b80b`=z{8:;87>56z?2452=19n01i9>:2df?8b0<3>;=63k748745=:l>:18=?4=e51>6`b3ty:<=;50;1x9467=33;h63k708745=:l?l1?kh4}r3343<72:q6==>9:82g?8b1n39mi63k6b8745=z{8:;;7>57z?2457=:8i01<>?2;02g>;68991>38:o63>01:9325556>20:o70j71;1eb>;c?m08jh52d929056<5m=j6>hi;|q245g=83?p1<>?a;;3`>;c0808jh52d6:97cc<5m=o6>hi;m:1858778k02?;`2?=;oo0q~??0b83>3}:99:h64>k;`=4=<9:01i9k:522?8b0n39mi6s|112g>5<4s4;;`2c=<9:01i9l:2de?xu689o1<7=t=023a??7l27o;n4`2?=<9:0q~??0g83>2}:99:26??l;<334d<59j16==>m:33`?8778j09=n52112g>77d34;;55772>=87p}>00394?3|58::=77?d:?g<`<4no16h5o53gg89a>c2=:;70j77;1eb>{t99;96=4:{<3357<>8m16h5k53gg89a>22:ln70j7a;1eb>;c0j08jk5rs0227?6=>r7:<<=591f89a>a2:ln70j75;634>;c0h0?<=52d9f97c`<5m2=6>hj;|q2442=83>4;;3`>;c0k08jh52d9g9057<5m2m69>?;0079=5b<5m2i69>?;?;|q2441=83=p1<>>1;02g>;68881>004964e<58::47983:p557>290>w0??188:4a=:l0h1?kh4=e;4>6`b34n2m7:?0:?g=1<4no1v<>>a;291~;688k15=j4=e;a>6`b34n2>7=ie:?g=2<4no16h4753gd8yv779k0;6;u2113a><6c34n2o7=ie:?g=7<38916h49541289a?f2:lm70j63;1ea>{t99;h6=49{<335f<>8m16h4653gg89a?e2=::70j6c;634>;c1>0?<<52d8;97cc557c20:o70j68;634>;c1?08jk5rs022a?6=;r7:<{t99;m6=48{<335<<59j16==?n:33`?8779k09=n52113`>77d34;;=i4=1b9>557b2;;h70??218436=z{8:9=7>55z?2477=19n01io8:2de?8bf;39mi63ka78745=:lh:1?kh4}r3367<726`a3ty:4=ec0>16734nj:7=if:?g=c<4nl1v<>=4;292~;68;>15=j4=ec7>6`b34nj;7:?1:?ge=<38916hl=541389ag22:ln7p}>03794?5|58:9977?d:?ge1<38916hl<53gd8yv77:?0;6>u21105><6c34nj>7=ie:?g=c<3891v<>=7;293~;68;;1>037964e<58:9:7<>c:?247>=?>90q~??2883>0}:998264>k;`g2=<9:01ioj:2de?xu68;k1<7;t=021e??7l27on84`de=;oo01il>:2de?8be;39mj6s|110a>5<1s4;;>o460e9>`g0=;oo01iol:523?8be93>;<63kb580bc=:lhn1?kk4}r336f<72?q6==4=e`2>16634ni?7=ie:p554c2908w0??2e8:4a=:lk818=>4=e`3>6`a3ty:4}r336c<72>q6==<6:33`?877:h09=n52110a>77d34;;>n4=1b9>554c2;;h70??2d815f=:999;6:9<;|q2467=83?p1<><1;;3`>;ck;08jk52dcg97cc<5mi:69>?;hj;0219=5b<5mi86>hj;`f7=;ol01iln:2df?xu68:>1<78t=0200??7l27onk4`f4=<9;01im<:523?8bem3>;=63kc180b`=z{8:897>53z?2463=19n01ili:523?8bel39mj6s|1115>5<4s4;;?;460e9>`gb=;oo01iln:523?xu68:=1<79t=0205?46k27:<><520a89464;38:o63>026964e<58:897<>c:?2460=:8i01<><8;547>{t99926=4:{<337<<>8m16hnh53gd89aee2:ln70jle;634>;ck108jk5rs020e?6==r7:<>o591f89aea2:ln70jl6;1ea>;ckk08jk52dbf97c`555e20:o70jk0;1ea>;ck?0?<=52db`9056<5min6>hi;>;`fb=;oo0q~??3e83>6}:999o64>k;02g9=5b<5mij6>hj;77d34;;?o4=1b9>555d2;;h70??3e815f=:999n6??l;<3305<0?:1v<>;2;296~;68=81;:=4=0277?5am2wx==:::181877<<0<;>521160>1673ty:<9850;;x946393=0b09057<58:i>7=ie:?24d4=<9;01<>62;634>;68>k18=?4=025e?27927:<8o54138946383>;=6s|1164>5<4s4;;9=455242>=870??4580ba=z{8:?47>55z?241>=19n01ijl:2de?8bc039mi63kdc8745=:lm?1?kh4}r330<<726`a3ty:<9o50;4x9463i33;h63kde80b`=:lm918=>4=ef;>16734non7=if:?g`1<4nl1v<>;b;292~;68=h15=j4=ef:>6`b34noo7:?1:?g`a<38916hi6541389abf2:ln7p}>05a94?5|58:?o77?d:?g`<<38916hi953gd8yv77u2116g><6c34no;7=ie:?g`1<3891v<>;e;293~;68=21>05a964e<58:?h7<>c:?241`=?>90q~??5383><}:99ij69>>;<33ed<38816==7n:523?8770;0?<<521151>16634;;:?4;009>552a2=::70??518436=:99?:6>hk;|q2405=83?p1<>:3;;3`>;cm008jk52dd797cc<5mo369>?;hj;0479=5b<5moj6>hj;``>=;ol01ik>:2df?xu68<<1<78t=0262??7l27oi;4``?=<9;01ikn:523?8bb=3>;=63ke680b`=z{8:>;7>53z?2401=19n01ik9:523?8bb<39mj6s|117;>5<4s4;;95460e9>``2=;oo01ik>:523?xu68<31<79t=0267?46k27:<8:520a89462=38:o63>044964e<58:>;7<>c:?240>=:8i01<>:a;547>{t99?i6=4:{<331g<>8m16hk853gd89a`52:ln70ji5;634>;cmo08jk5rs026g?6==r7:<8m591f89a`12:ln70jjd;1ea>;cn;08jk52dg697c`553c20:o70ji7;1ea>;cmm0?<=52dg09056<5ml>6>hi;>;`c2=;oo0q~??5g83>6}:99?m64>k;0729=5b<5ml:6>hj;:184877=k09=n52117`>77d34;;9i4=1b9>553b2;;h70??5g815f=:99<;6??l;<3327<0?:1v<>93;291~;68?915=j4=d20>6`a34nmj7=ie:?f47<38916hkm53gd8yv77>=0;68u21147><6c34o;?7=ie:?gbd<4nl16hkh53gd89`662:lm7p}>07794?0|58:=977?d:?f41<4nl16hko541289a`a2=:;70k?2;1eb>;cnk08jh5rs0252?6=>r7:<;8591f89`672:ln70k?3;635>;b8=0?<=52dgd9057<5l::6>hj;|q2431=839p1<>97;;3`>;b890?<=52dgg97c`550?20:o70jie;1ea>;cnk0?<=5rs025=?6=?r7:<;=520a89461<38:o63>077964e<58:=:7<>c:?2431=:8i01<>98;02g>;68?k1;:=4}r332g<724=d2:>6`a3ty:<;m50;7x9461k33;h63j1180b`=:m9=1?kk4=d2`>6`a34o;i7=if:p550c290=w0??6e8:4a=:m8;1?kk4=d24>16734o;o7:?0:?f4c<4no16i=653gg8yv77>l0;6;u2114f><6c34o;h7=ie:?f55<38816i{t998m16i=j541289`6e2:lm7p}>06294?5|58:<<77?d:?f4g<4nl16i=654128yv77?80;6:u2114a>77d34;;:n4=1b9>550c2;;h70??6d815f=:9991<7;t=0247??7l27n=i4a4?=;oo01h?l:523?8c6>39mj6s|1157>5<2s4;;;9460e9>a4b=;oo01h?;:2df?8c6139mj63j1c80bc=z{8:<97>56z?2423=19n01h?j:2df?8c6<3>;<63j188745=:m8i1?kh4=d36>6`b3ty:<:850;4x9460>33;h63j1`80b`=:m8n18=?4=d3f>16734o:57:?1:?f5g<4nl1v<>87;297~;68>=15=j4=d3b>16734o:47=if:p551?2908w0??798:4a=:m821?kk4=d36>1673ty:<:750;5x9460;38:o63>066964e<58:<97<>c:?2420=:8i01<>87;02g>;68>21>hj;>406a9=5b<5l8j6>hj;;4a7>=;ol0q~??7e83>3}:99=o64>k;<4;019>a70=<9:01h<6:2de?8c5:39mi6s|115f>5<1s4;;;h460e9>a71=;oo01h;<63j278744=:m;21?kk4}r333c<72:q6==9i:82g?8c5?3>;<63j2480bc=z{8:3<7>53z?24=6=19n01h<::2df?8c5:3>;<6s|11:2>5<0s4;;;o4=1b9>551d2;;h70??7e815f=:99=n6??l;<333c<59j16==6?:33`?8770;0<;>5rs02;7?6==r7:<5=591f89`512:lm70k<2;1ea>;b;<0?<=52e3d97c`55>320:o70k<6;1ea>;b:m08jh52e2097c`<5l9?6>hi;|q24=3=8375;;3`>;b;>08jh52e3f9056<5l9969>?;h43:1:v3>0949=5b<5l986>hj;a64=<9;01h=;:2df?xu681=1<7=t=02;3??7l27n?>4;019>a67=;ol0q~??8983>6}:992364>k;h4;019~w46?13:1;v3>091964e<58:387<>c:?24=3=:8i01<>76;02g>;681=1><6c34o?87=if:?f05<4nl16i9=541289`5c2:lm7p}>09a94?3|58:3o77?d:?f01<4nl16i>l53gg89`272:lm70k;2;1eb>{t992o6=49{<338m16i9;53gg89`5e2=:;70k;0;634>;b<:08jk52e2a97cc55>b20:o70k;1;1ea>;b<=0?<<52e579056<5l>;69>>;hi;|q24<6=839p1<>60;;3`>;b;o08jh52e2a905655>e2;;h70??8b815f=:992o6??l;<33<`<59j16==6i:33`?8771909=n5211;1>2143ty:<4=50;7x946>;33;h63j5080bc=:m=n1?kk4=d73>16734o?m7=if:p55?3290>w0??958:4a=:m<;1?kk4=d6;>6`b34o?h7=if:?f0c<4no1v<>65;292~;680?15=j4=d71>6`b34o?47:?0:?f0a<38916i8>53gd89`2>2:ln7p}>08494?0|58:2:77?d:?f0`<4nl16i8?541389`352=:;70k;d;635>;b{t99336=4<{<33==<>8m16i9m53gg89`2>2=:;7p}>08;94?1|58:2?7<>c:?24<2=:8i01<>65;02g>;680<1>i3=5<2s4;;5o460e9>a0c=;ol01h;n:2df?8c2l3>;<63j5680bc=z{8:2o7>55z?244=d7g>6`a34o>:7=ie:p55?b290=w0??9d8:4a=:m16634o>j7:?0:?f1d<38816i8m53gg8yv771o0;6>u211;e><6c34o>n7:?0:?f1<<4no1v<>n0;297~;68h:15=j4=d7:>6`b34o>:7:?0:p55g629077d34;;m?48729~w46f;3:19v3>0`19=5b<5lhi;a32=;ol0q~??a583>0}:99k?64>k;a31=;ol01h86:2de?xu68h?1<78t=02b1??7l27n:n4a34=<9:01h88:523?8c1i39mj63j6280b`=z{8:j:7>56z?24d0=19n01h87:2df?8c1j3>;=63j6b8745=:m?=18=?4=d4:>6`b3ty:39mi63j628745=z{8:j57>57z?24d5=:8i01<>n4;02g>;68h?1>0`c932555ge20:o70k88;1eb>;b?=08jh52e659056<5l=:6>hi;|q24de=83?p1<>nc;;3`>;b?108jh52e7d97cc<5l=?6>hi;?;a26=;oo0q~??ad83>3}:99kn64>k;a2?=<9:01h9;:522?8c0>39mi6s|11ce>5<4s4;;mk460e9>a23=<9:01h9<:2de?xu68k:1<7=t=02a4??7l27n;>4a26=<9:0q~??b083>2}:99ki6??l;<33ef<59j16==ok:33`?877il09=n5211ce>77d34;;n=4=1b9>55d52>=87p}>0c194?3|58:i?77?d:?f<0<4no16i5?53gg89`>32=:;70k8e;1eb>{t99h?6=4:{<33f1<>8m16i5;53gg89`1d2:ln70k71;1eb>;b0:08jk5rs02a1?6=>r7:12:ln70k8c;634>;b080?<=52e9697c`<5l=o6>hj;|q24g0=83m6;;3`>;b0;08jh52e979057<5l2=69>?;40c59=5b<5l2969>?;?;|q24g?=83=p1<>m3;02g>;68k>1>0c:964e<58:im7983:p55de290>w0??bc8:4a=:m081?kh4=d:f>6`b34o2=7:?0:?fmc;291~;68ki15=j4=d;1>6`b34o357=ie:?f<`<4no16i4>53gd8yv77jm0;6;u211`g><6c34o2?7=ie:?f<<<38916i5k541289`?62:lm70k7a;1ea>{t99hn6=49{<33f`<>8m16i5h53gg89`?52=::70k63;634>;b0l0?<<52e8297cc55da20:o70k7f;634>;b0m08jk5rs02`4?6=;r7:591f89`>c2:ln70k7a;634>{t99i:6=48{<33fg<59j16==ll:33`?877jm09=n5211`f>77d34;;nk4=1b9>55e72;;h70??c38436=z{8:h?7>55z?24f5=19n01h7i:2de?8c>j39mi63j9d8745=:m021?kh4}r33g1<72n39mi63j9780b`=:m0h1?kh4=d;g>6`a3ty:4=d;a>16734o2i7=if:?f=2<4nl1v<>l6;292~;68j<15=j4=d;`>6`b34o2j7:?1:?fe5<38916i4l541389`?c2:ln7p}>0b594?5|58:h;77?d:?f=f<38916i4o53gd8yv77k10;6>u211a;><6c34o2m7=ie:?f=2<3891v<>l9;293~;68j91>38:o63>0b5964e<58:h47<>c:?24fg=?>90q~??cc83>0}:99ii64>k;add=<9:01ho::2de?xu68ji1<7;t=02`g??7l27nmn4ad5=;oo01ho7:2de?8cfi39mj6s|11ag>5<1s4;;oi460e9>adb=;oo01ho<:523?8cf03>;<63jac80bc=:mh>1?kk4}r33g`<72?q6==mj:82g?8cf139mi63jab8744=:mhn18=>4=dc;>16634ojm7=ie:p55ea2908w0??cg8:4a=:mh318=>4=dc4>6`a3ty:50;1x946c833;h63ja680b`=:mh>18=>4}r33`4<72>q6==mm:33`?877kj09=n5211ag>77d34;;oh4=1b9>55ea2;;h70??d1815f=:99n96:9<;|q24a5=83?p1<>k3;;3`>;bj008jk52ec797cc<5lh369>?;hj;0e79=5b<5lhj6>hj;ag>=;ol01hl>:2df?xu68m<1<78t=02g2??7l27nn;4ag?=<9;01hln:523?8ce=3>;=63jb680b`=z{8:o;7>53z?24a1=19n01hl9:523?8ce<39mj6s|11f;>5<4s4;;h5460e9>ag2=;oo01hl>:523?xu68m31<79t=02g7?46k27:0e4964e<58:o;7<>c:?24a>=:8i01<>ka;547>{t99ni6=4:{<33`g<>8m16in853gd89`e52:ln70kl5;634>;bjo08jk5rs02gg?6==r7:;bk;08jk52eb697c`55bc20:o70kl7;1ea>;bjm0?<=52eb09056<5li>6>hi;>;af2=;oo0q~??dg83>6}:99nm64>k;0d29=5b<5li:6>hj;:184877lk09=n5211f`>77d34;;hi4=1b9>55bb2;;h70??dg815f=:99o;6??l;<33a7<0?:1v<>j3;291~;68l915=j4=df0>6`a34ohj7=ie:?f`7<38916inm53gd8yv77m=0;68u211g7><6c34oo?7=ie:?fgd<4nl16inh53gd89`b62:lm7p}>0d794?0|58:n977?d:?f`1<4nl16ino541289`ea2=:;70kk2;1eb>;bkk08jh5rs02f2?6=>r7:;bl=0?<=52ebd9057<5ln:6>hj;|q24`1=839p1<>j7;;3`>;bl90?<=52ebg97c`55c?20:o70kle;1ea>;bkk0?<=5rs02f=?6=?r7:0d7964e<58:n:7<>c:?24`1=:8i01<>j8;02g>;68lk1;:=4}r33ag<724=df:>6`a3ty:6`a34ooi7=if:p55cc290=w0??ee8:4a=:ml;1?kk4=df4>16734ooo7:?0:?f`c<4no16ii653gg8yv77ml0;6;u211gf><6c34ooh7=ie:?fa5<38816ih?541289`bd2=::70kke;1ea>{t99om6=4<{<33ac<>8m16iij541289`be2:lm7p}>0g294?5|58:m<77?d:?f`g<4nl16ii654128yv77n80;6:u211ga>77d34;;in4=1b9>55cc2;;h70??ed815f=:99om6??l;<33b5<59j16==h=:650?xu68o91<7;t=02e7??7l27nii4a`?=;oo01hkl:523?8cb>39mj6s|11d7>5<2s4;;j9460e9>a`b=;oo01hk;:2df?8cb139mj63jec80bc=z{8:m97>56z?24c3=19n01hkj:2df?8cb<3>;<63je88745=:mli1?kh4=dg6>6`b3ty:33;h63je`80b`=:mln18=?4=dgf>16734on57:?1:?fag<4nl1v<>i7;297~;68o=15=j4=dgb>16734on47=if:p55`?2908w0??f98:4a=:ml21?kk4=dg6>1673ty:0g6964e<58:m97<>c:?24c0=:8i01<>i7;02g>;68o21>16734;9?<4575>2=::70?=3`80b`=z{8:mo7>55z?24ce=19n01<<=2;1ea>;6::;1?kh4=0007?5am27:>>853gg8yv77nm0;6<;t=02e`??7l27:><753gd89445939mi63>20c97c`<588:n7=ie:?2675=;ol01<<=4;1ea>;6:;k1?kh4=001f?5am27:>><53gg89444=39mi63>22697c`<5888;7=if:?266c=;oo01<<;6:8n1?kk4=002a?5am27:>?853gg89445?39mi63>23f97cc<5889i7=ie:p55`b2902w0??fd8:4a=:9;;36>hj;<3165<4nl16=?<6:2df?875;908jh521311>16734;9?84;019>57542=:;70?=378745=z{8:mj7>54z?24c`=19n01<<;6::318=>4=000e?2792wx=<>?:1825~;699:15=j4=002=?5am27:>??541289446i39mi63>20`9056<5889?7=ie:?2672=<9:01<<=a;1ea>;6:;h18=>4=0006?27927:>>;541389444<3>;=63>2259057<588?<77:9:?264`=1<301<<=8;;6=>;6:;l15874}r3244<72:q6=<>>:82g?875;008jk52131b>1673ty:==<50;:x946aj38:o63>0ga964e<58:mh7<>c:?24cc=:8i01<>if;02g>;699:1><6c34;98i4;019>573b2:ln70?=6`8744=:9;hj;|q2553=83?p1;6:=n1?kk4=006a?5an27:>;<53gg89441?39mi6s|1025>5<6=r7:==8591f89443:39mj63>25a97cc<588??7=if:?2612=;oo01<<;e;1eb>;6:=l1?kk4=0061?5an27:>8853gg89441;39mj63>27:97c`<588<<7=ie:?2627=;oo01<<;6;1ea>;6:==1?kk4=0065?5am27:>8<53gg89442039mi63>24;97cc<588>j7=ie:?2632=;oo0q~?>0683><}:98:<64>k;<3104<4nl16=?;;:2df?875>;0?<=521344>16734;9844573e2:ln70?=5g8745=:9;?;|q255>=83>p1;6:?k18=>4=005f?27927:>;j53gd8yv76800;69<53gg89443k3>;<63>25197cc<588?87:?0:?261c=;oo01<<;f;634>;6:;=54138944103>;=63>2609=0?<588?477:9:?2605=1<301<<:a;;6=>;6:n:1808768h026`a34;9:o4;019~w477j3:14v3>116964e<58;;97<>c:?2550=:8i01;69921>5<5s4;:546a2:ln7p}>10294?5|58;2<77?d:?`<6<4no16h=;53gg8yv76180;6??t=03:4?46k27:=4=520a8947d=38:o63>1cc964e<58;o>7<>c:?25ab=:8i01;69o?1>=l520a8947><38:o63>1c1964e<58;2j7<>c:?25dg=:8i01;69k>1>38:o63>1cg964e<58;ih7<>c:?25g1=:8i01;69k21>1b4964e<58;h=7<>c:?25f4=:8i01;69j91>hj;|q254d=839p1;d0o08jk52d0197cc54?220:o70m=f;634>{t98;96=4={<32=3<>8m16o>>54128yv769:0;6?u210;4><6c34i8=7:?0:p54732909w0?>998:4a=:k:818=>4}r3250<72;q6=<76:82g?8e4<3>;<6s|1035>5<5s4;:5l460e9>g63=<9:0q~?>1683>7}:983i64>k;54?c20:o70m=8;634>{t98;j6=4={<32=`<>8m16o>=54128yv76:?0;6>u210;e><6c34ij97=if:?g65<4nl1vc;296~;69h:15=j4=b15>1673ty:=52z?25d4=19n01n=7:523?xu698l1<7v3>1`69=5b<5j;?69>?;|q2577=838p1;d9?0?<=5rs0316?6=:r7:=l8591f89f7>2=:;7p}>13194?4|58;j;77?d:?`5g<3891v1673ty:=?;50;0x947f133;h63l218745=z{8;9j7>53z?25dg=19n01nlm:2de?8b5l39mi6s|1004>5<5s4;:mo460e9>g74=<9:0q~?>2983>7}:98kh64>k;l4?:3y>54gb20:o70m=7;634>{t988i6=4={<32ec<>8m16o?754128yv76:j0;6?u210`3><6c34i9m7:?0:p544c2909w0?>b08:4a=:k;i18=>4}r326`<72;q6=;<6s|1013>5<4s4;:n>460e9>gf7=;ol01i=n:2df?xu69:;1<7=t=03a0??7l27h484`11=;oo0q~?>3383>6}:98h>64>k;v3>1c49=5b<5j226>hi;|q2562=838p1;d0k08jk5rs0301?6=:r7:=o6591f89f>c2:lm7p}>12494?4|58;i577?d:?`=5<4no1v6`a34nj=7=ie:p545?2909w0?>bc8:4a=:k0>1?kh4}r327<<72;q6=>39mj6s|101b>5<5s4;:ni460e9>g<>=;ol0q~?>3c83>7}:98hn64>k;54e720:o70m6f;1eb>{t989n6=4<{<32g4<>8m16ol?53gd89a0b2:ln7p}>12d94?5|58;h>77?d:?`e6<4no16h:l53gg8yv76<90;6>u210a0><6c34ij:7=if:?g<=<4nl1v15=j4=bc;>6`a34n297=ie:p542c2908w0?>c48:4a=:kk;1?kh4=d13>6`b3ty:=9=50;1x947d>33;h63lab80bc=:lhl1?kk4}r3201<72:q6=53z?25f>=19n01n6<:523?8bd139mi6s|10a:>5<59r7:=n6520a8947dj38:o63>1bf964e<58;ho7<>c:?25a5=:8i01;69m>1>1e4964e<58;o=7<>c:?25a1=:8i01;69mo1>1d2964e<58;on7<>c:?25`7=:8i01;69l81>1d6964e<58;n:7<>c:?25`3=:8i01;69li1>u210aa><6c34i2>7:?0:?g`3<4nl1v16734nn?7=ie:p542?2908w0?>ce8:4a=:kk;18=>4=ed3>6`b3ty:=9750;1x947dm33;h63lb28745=:lon1?kk4}r320d<72:q6=;<63j0`80b`=z{8;?n7>53z?25a6=19n01nl8:523?8c6?39mi6s|106`>5<4s4;:h<460e9>gg?=<9:01h<;:2df?xu69<21<7=t=03g6??7l27hn>4ad0=;oo0q~?>4d83>6}:98n864>k;1e69=5b<5jhn69>?;hj;|q2507=839p1;di<0?<=52e7797cc54b020:o70mmb;634>;b?;08jh5rs0367?6=;r7:=i6591f89fe62=:;70k8f;1ea>{t98??6=4<{<32`<<>8m16o5;541289`>d2:ln7p}>14794?5|58;om77?d:?`<2<38916i4753gg8yv76=?0;6?u210fa><6c34i357:?0:p54302909w0?>db8:4a=:k1h18=>4}r3226<72:q6=57>52z?25ac=19n01n6k:523?xu69v3>1d29=5b<5j3?69>?;|q250e=838p1;d1?0?<=5rs036`?6=:r7:=h<591f89f??2=:;7p}>14g94?4|58;n?77?d:?`=g<3891v15=j4=b;g>1673ty:=;>50;0x947b=33;h63l9g8745=z{8;==7>52z?25`0=19n01no>:523?xu69?81<74;019~w471m3:1?v3>1d:9=5b<5jh<6>hi;54cf20:o70mn8;634>{t98<=6=4={<32ag<>8m16olm54128yv76>>0;6?u210g`><6c34iji7:?0:p540?2909w0?>ee8:4a=:k8;1?kh4}r32a`<72;;p1;69o;1>1g5964e<58;m>7<>c:?25c2=:8i01;69o31>1gf964e<58;mo7<>c:?2654=:8i01<;6:991>=8520a8947an38:o63>217964e<588;h7<>c:?265e=:8i01<;6:931>=k520a89446838:o63>21d964e<588;m7<>c:?2647=:8i01{t98<26=4={<32b5<>8m16o<653gd8yv76>h0;6?u210d2><6c34i9n7=if:p540e2909w0?>f38:4a=:k;o1?kh4}r322f<72;q6=5<5s4;:j9460e9>g66=;ol0q~?>7883>6}:98l>64>k;v3>1g49=5b<5j9:6>hi;|q2526=838p1;d;;08jk5rs0345?6=:r7:=k6591f89f532:lm7p}>16094?4|58;m577?d:?`70<4no1v6`a3ty:=::50;0x947aj33;h63l1e80bc=z{8;<97>52z?25ce=19n01n<7:2de?xu69><1<74v3>1gg9=5b<5j9=6>hi;|q252>=838p1;d;>08jk5rs03;0?6=;r7:>=>591f89fdd2:lm70kka;1ea>{t98=j6=4={<3144<>8m16o>653gd8yv76?k0;6?u21321><6c34i8m7=if:p541d2909w0?=028:4a=:k8>1?kh4}r323a<72;q6=?>;:82g?8e6>39mj6s|105f>5<5s4;9<8460e9>g4?=;ol0q~?>7g83>7}:9;:=64>k;02576?20:o70m=0;1eb>{t98296=4={<314<<>8m16o?<53gd8yv760:0;6?u2132b><6c34i987=if:p54>a2908w0?=0c8:4a=:kko1?kh4=dg4>6`b3ty:=5;50;0x9447k33;h63l2780bc=z{8;3:7>52z?265b=19n01n<8:2de?xu691=1<744v3>21d9=5b<5j8j6>hi;|q25=?=838p1<<>0;;3`>;d:j08jk5rs03;e?6=:r7:>19`94?4|588:>77?d:?`54<3891v<<>3;2964}:9;;=6??l;<3157<59j16=??::33`?8759>09=n5210;5>77d34;:584=1b9>54?>2;;h70?>96815f=:98336??l;<32=g<59j16=<7n:33`?876i909=n5210c1>77d34;:m<4=1b9>54?d2;;h70?>a2815f=:983o6??l;<32e1<59j16=<7j:33`?876ik09=n5210c6>77d34;:mn4=1b9>54g12;;h70?>ae815f=:98k<6??l;<32e=<59j16=77d34;:n=4=1b9>54d62;;h70?>b3815f=:9;;?6:9<;|q25=e=838p1<<>5;;3`>;d910?<=5rs03;`?6=:r7:><8591f89f4e2=:;7p}>19g94?4|588:;77?d:?`6`<3891v<<>8;296~;6:821;:=4=0016?2792wx=??6:181875900<;>52133;>6`a3ty:>23297c`577e2>=870?=218744=z{88:o7>52z?2676=<9:01<<>f;547>{t9;;o6=4={<315a<0?:16=??i:2de?xu6:8o1<721434;9>?4v3>2339325<588:47:?1:p57452909w0?=238436=:9;9h69>?;|q2675=838p1<<=3;547>;6:;31?kh4}r3161<72;q6=?<;:650?875:00?<<5rs0011?6=:r7:>?754128944503=5<5s4;9>;48729>574?2:lm7p}>23594?4|5889;7983:?267>=;oo0q~?=2883>7}:9;826:9<;<3174<3881v<<=a;296~;6:;k1;:=4=0004?5an2wx=?521313>1663ty:>?m50;0x944483>;<63>23d9325i4?:3y>574c2>=870?=2g80bc=z{889i7>52z?267c=?>901<<=f;1ea>{t9;9;6=4={<3175<0?:16=?=>:523?xu6::;1<7>m54138yv75;;0;6?u21311>21434;9?>42219325<588857=ie:?266g=;ol0q~?=3483>7}:9;9>6:9<;<3173<4no1v<<<6;296~;6::<1;:=4=000f??212wx=?=7:181875;j08jh52131a>2143ty:>>750;0x944413=22`97c`575f2>=870?=3c80b`=z{888h7>52z?264>=<9:01<<;0;547>{t9;9n6=4={<317`<0?:16=?:?:2de?xu6::l1<79>53gg8yv75<80;6?u21362>21434;98i4;009~w443:3:1>v3>2509325<588?=7=if:p57242909w0?=428436=:9;>26>hi;|q2612=838p1<<;4;547>;6:=318=?4}r3100<72;q6=?:7:650?875<00?<=5rs0072?6=:r7:>98576189443039mj6s|1364>5<5s4;98:48729>572?2:ln7p}>25;94?4|588?h7=if:?261g=?>90q~?=4b83>7}:9;>h6:9<;<3104<3881v<<;d;296~;6:=n1;:=4=005`?2782wx=?:j:181875521377>6`a3ty:>9h50;0x9443n3=246905757332=:;70?=528436=z{88>=7>52z?2607=?>901<<:3;1eb>{t9;?96=4={<3117<0?:16=?;<:2df?xu6:<>1<78k54138yv75=<0;6?u21376>21434;99o43:1>v3>2449325<588>n7:?1:p57302909w0?=5`8436=:9;?i69>?;|q260>=838p1<<:8;547>;6:8k541289442k3=5<5s4;99h48729>570c2=::7p}>24d94?4|588=>7=if:?2636=?>90q~?=6383>6}:9;<96:9<;<312d<4nl16=?8m:2de?xu6:?>1<7;;57618yv75>>0;6?u21344>21434;9:n46589~w44113:1>v3>27a9325<588=h7=ie:p570f2909w0?=6`8436=:9;
    hi;|q263d=838p1<<9b;547>;6:?i1?kk4}r312c<72;q6=?:>:523?875?;0<;>5rs0044?6=:r7:>:>576189440:39mj6s|1352>5<5s4;9;<48729>57152:ln7p}>26694?4|5j<36>hj;<3136<0?:1v<<85;296~;6l9=1>9j4=000g?10;2wx=?99:18787a1=08jh521gc0>6`a34;9:i48729>570b2:lo7p}>26:94?4|588<477?d:?262d=:=n0q~?=7c83>7}:9k<:6?:k;<313g<>8m1v<<8d;296~;6:>n15=j4=00;4?43l2wx=?6?:181<~;600i1?kk4=0:4`?45;27:4om5231894>f?39mi63>8c097cc<582i97=ie:?2;60k21?kk4=0:a=?5am27:4oo53gg894>ej39mi63>88f97cc<5822i7=ie:?2<<`=;oo01<6n0;1ea>;60h;1?kk4=0:b6?5am27:4l=53gg894>f<39mi63>8`797cc<582j:7=ie:?2=;oo01<6n9;1ea>;60hk1?kk4=0:bf?5am27:4lm53gg894>fl39mi63>8`g97cc<582jj7=ie:?2;60k91?kk4=0:a0?5am278=hj53gg8967f:3>;<63>90f97c`<5:;m47=if:?2=65=;on01<<70;;3`>{t9>2i6=4={<348m16=:6j:36g?xu6?1o1<7:t=ba0>16734;9=h457202:lm70?88d8:4a=z{8=2<7>52z?23<6=19n01<963;07`>{t9>386=4;{?k53gd89442139mj63>7819=5b52?220:o70?899810a=z{8=247>54z?`g2<38916=?=i:2de?875?808jk5216;;><6c3ty:;4o50;0x941>i33;h63>78f961bgfg=<9:01<<=7;1eb>;6:<81?kh4=05:`??7l2wx=:7i:1818701o0272c3ty:;l<50;6x9fee2=:;70?=1d8745=:9;><69>?;<34e7<>8m1v<9n4;296~;6?h>15=j4=05b3?43l2wx=:o8:1878edk3>;<63>23g9056<588>57:?0:?23d1=19n0q~?8a883>7}:9>k264>k;<34ef<516734;9;<4;019>52gd20:o7p}>7`g94?4|58=ji77?d:?23g7=:=n0q~?8b083>1}:kjo18=>4=0013?27827:>8<54128941e933;h6s|16`0>5<5s4;460e9>52d12;>o7p}>7c494?2|5jn;69>?;<315g<4no16=?:;:2de?870j?025<3s4io=7:?0:?267d=;ol01<<:6;1eb>;6?kh15=j4}r34fa<72;q6=:lk:82g?870k9098i5rs05`4?6=57462:lm70?=4b80bc=:9>i;64>k;|q23f4=838p1<9l2;;3`>;6?j?1>9j4}r34g0<72=q6onh541289445<39mj63>25d97c`<58=h977?d:p52e02909w0?8c68:4a=:9>ij6?:k;|q23fg=83>p1nj=:523?8759k0?<<521367>16634;v3>7ba9=5b<58=hj7<;d:p52ea290?w0mk3;634>;6:;h18=?4=0062?27927:;nh591f8yv70l80;6?u216f2><6c34;>;<310f<38816=:j;:82g?xu6?m<1<7{t9>om6=4={<34ac<>8m16=:h=:36g?xu6?o81<7:t=bf4>16734;9:>4;019>57532=:;70?8f38:4a=z{8=m87>52z?23c2=19n01<9i7;07`>{t9>l<6=4;{;6541289444?3>;<63>7g59=5b52`>20:o70?8fb810a=z{8=mo7>54z?`g0<38916=?==:2de?870nj026`a3ty:;kk50;0x941am33;h63>813961bgf0=<9:01<<<5;1eb>;609;15=j4=0050?5an2wx=5><:18187?8:0272c3ty:4=850;6x9fe?2=:;70?=6280b`=:9;9?6>hj;<3;43<>8m1v<6?8;296~;609215=j4=0:3f?43l2wx=5>m:1878ed13>;<63>27:97cc<5888;7=ie:?2<5d=19n0q~?70e83>7}:91:o64>k;<3;55<50;290~;dk:08jh52133g>6`a34;98;45=7720:o7p}>80094?4|582:>77?d:?2<43=:=n0q~?71483>1}:km<1?kk4=001`?5an27:>8653gd894>6=33;h6s|1934>5<5s4;3=:460e9>5=7f2;>o7p}>80c94?2|5ji<6>hj;<317`<4no16=?9?:2de?87?9h026n38?h6s|193e>5<3s4ihm7=ie:?2670=;ol01<<:1;1eb>;608l15=j4}r3;64<72;q6=5<>:82g?87?:=098i5rs0:10?6=577c2=:;70?=478745=:918?64>k;|q2<70=838p1<6=6;;3`>;60;31>9j4}r3;6<<72=q6onm53gg89445l3>;<63>24:9056<5829577?d:p5=4e2909w0?72c8:4a=:918n6?:k;|q2<7c=83>p1nmk:2df?875;l0?<=521353>16734;3>h460e9~w4>483:1>v3>8229=5b<5828?7<;d:p5=54290?w0mle;1ea>;6:;<18=>4=0065?27827:4>=591f8yv7?;<0;6?u21916><6c34;3?54=4e9~w4>403:18v3ld180b`=:9;;j69>?;<3106<38916=5=7:82g?xu60:k1<7j525f8yv7?;m0;69u2ce397cc<5889m7:?0:?2603=<9:01<6{t919m6=4={<3;7c<>8m16=5:=:36g?xu60=81<7:t=ba7>6`b34;9=44;019>57252=:;70?7438:4a=z{82?87>52z?2<12=19n01<6;7;07`>{t91><6=4;{?=541289443m3>;<63>8559=5b5=2>20:o70?74b810a=z{82?o7>54z?``7<4nl16=??n:522?875<:0?<<52196`><6c3ty:49k50;0x94>3m33;h63>843961bga5=;oo01<<=a;635>;6:72c3ty:48850;6x9fb32:ln70?=188744=:9;>969>>;<3;13<>8m1v<6:8;296~;60<215=j4=0:6f?43l2wx=5;m:1878ec=39mi63>2319057<588?i7:?1:?2<0d=19n0q~?75e83>7}:91hh6:9<;<3;bf<55219df>72c3ty:4;>50;0x94>em3=8gd961b5=da2>=870?601810a=z{82=>7>52z?2901<7?1;07`>{t91<86=4={<3;g4<0?:16=4>=:36g?xu60?>1<7<0;6?u219a0>21434;2<94=4e9~w4>1>3:1>v3>8b69325<583;97<;d:p5=002909w0?7c48436=:90:=6?:k;|q2<3>=838p1<6l6;547>;619=1>9j4}r3;2<<72;q6=5j=:650?87>81098i5rs0:5e?6=:r7:4n65761894?7138?h6s|194a>5<5s4;3o448729>5<6f2;>o7p}>87a94?4|582hm7983:?2=5d=:=n0q~?76e83>7}:91ii6:9<;<3:4f<552182f>72c3ty:4:>50;0x94>dm3=91d961b5=ea2>=870?611810a=z{82<>7>52z?2901<7>1;07`>{t91=86=4={<3;`4<0?:16=4?=:36g?xu60>>1<721434;2=94=4e9~w4>0>3:1>v3>8e69325<583:97<;d:p5=102909w0?7d78436=:90;=6?:k;|q2<2>=838p1<6k7;547>;618=1>9j4}r3;3<<72;q6=5j7:650?87>91098i5rs0:4e?6=:r7:4i75761894?6138?h6s|195a>5<5s4;3hl48729>5<7f2;>o7p}>86a94?4|582on7983:?2=4d=:=n0q~?77e83>6}:91=o6:9<;<3;<=<5::16=5m8:300?xu60>o1<7=t=0:4a?10;27:4:h5231894>em389?6s|195e>5<4s4;3;k48729>5=>72;8870?7bg8166=z{823<7>53z?2<=6=?>901<671;017>;60j:1>?=4}r3;<4<72:q6=56>:650?87?0;09>>5219a2>7443ty:45<50;1x94>?:3=8919675<582h>7<=3:p5=>42908w0?7828436=:912?6?<<;<3;g6<5::1v<674;297~;601>1;:=4=0:;1?45;27:4n:52318yv7?0<0;6>u219:6>21434;34;4=229>5=e22;887p}>89494?5|5823:7983:?2<=1=:;901<6l6;017>{t912<6=4<{<3;<2<0?:16=566:300?87?k109>>5rs0:;>;389?63>8e096755=>>2>=870?78`8166=:91i26?<<;|q2<=g=839p1<67a;547>;601h1>?=4=0:`e?45;2wx=56m:18087?0k0<;>5219:`>74434;3oo4=229~w4>?k3:1?v3>89a9325<5823h7<=3:?26}:912o6:9<;<3;<`<5::16=5mk:300?xu601o1<7=t=0:;a?10;27:45h5231894>dm389?6s|19:e>5<4s4;34k48729>5=?72;8870?7cg8166=z{822<7>53z?2<<6=?>901<661;017>;60m:1>?=4}r3;=4<72:q6=57>:650?87?1;09>>5219f2>7443ty:44<50;1x94>>:3=8869675<582o?7<=3:p5=?42908w0?7928436=:913>6?<<;<3;`0<5::1v<664;296~;600>1;:=4=0:g0?45;2wx=57::18087?1<0<;>5219;5>74434;3h;4=229~w4>>>3:1?v3>8849325<5822;7<=3:?26}:913<6:9<;<3;==<5::16=5j7:300?xu60021<7=t=0::c1389?6s|19;:>5<4s4;35448729>5=?f2;8870?7d`8166=z{822m7>53z?2<901<66b;017>;60mh1>?=4}r3;=g<72:q6=57m:650?87??l09>>5219`g>7443ty:44m50;1x94>>k3=86f9=0?<582io7:90:p5=?c2908w0?79e8436=:91=n64;6;<3;fa<3>91v<66e;297~;600o1;:=4=0:4b??2127:4ok54728yv7?1o0;6>u219;e>21434;34=46589>5=da2=<;7p}>8`294?5|582j<7983:?2<=7=1<301<6l0;654>{t91k:6=4<{<3;e4<0?:16=56=:87:?87?k80?:=5rs0:b6?6=;r7:4l<5761894>?;33>563>8b090364?:2y>5=g42>=870?7858:1<=:91i8698?;|q2;601?15874=0:`0?2182wx=5o::18087?i<0<;>5219:5><3>34;3o84;619~w4>f>3:1?v3>8`49325<5823;77:9:?26}:91k<6:9<;<3;<=<>=016=5m8:543?xu60h21<7=t=0:bd03>=<6s|19c:>5<4s4;3m448729>5=>f20?270?7c88725=z{82jm7>53z?2901<67b;;6=>;60jk18;>4}r3;eg<72:q6=5om:650?87?0j02945219aa>1073ty:4lm50;1x94>fk3=89f9=0?<582ho7:90:p5=gc2908w0?7ae8436=:912n64;6;<3;ga<3>91v<6ne;297~;60ho1;:=4=0:;b??2127:4nk54728yv7?io0;6>u219ce>21434;35=46589>5=ea2=<;7p}>8c294?5|582i<7983:?2<<7=1<301<6k0;654>{t91h:6=4<{<3;f4<0?:16=57=:87:?87?l80?:=5rs0:a6?6=;r7:4o<5761894>>;33>563>8e090364?:2y>5=d42>=870?7958:1<=:91n8698?;|q2;60m>18;>4}r3;f0<72:q6=5l::650?87?1<02945219f6>1073ty:4o850;1x94>e>3=8849=0?<582o:7:90:p5=d02908w0?7b68436=:913<64;6;<3;`2<3>91v<6m8;297~;60k21;:=4=0::u219`:>21434;35446589>5=b>2=<;7p}>8cc94?5|582im7983:?2<{t91hi6=4<{<3;fg<0?:16=57m:87:?87?lk0?:=5rs0:gg?6=;r7:44m53gd894>ak33;h63>93d90565=g02:lm70?7fe8:4a=:908n69>?;|q2;60oo15=j4=0;1<6c34;2>44cn3:1?v3>88d97c`<583;<77?d:?2=7?=;ol0q~?7e183>6}:91k;6>hi;<3:44<>8m16=4<6:522?xu60l;1<7=t=0:b5?5an27:5=<591f894?5i39mi6s|19g1>5<4s4;3m?45<6420:o70?62`80bc=z{82n?7>53z?2;61;k18=?4}r3;a1<72:q6=5o;:2de?87>8<026`b3ty:4h;50;1x94>f=39mj63>9149=5b<5839n7=if:p5=c12908w0?7a780bc=:90:<64>k;<3:6g<3881v<6i2;297~;60k81?kh4=0;3u219c;>6`a34;2<4460e9>5<4d2:ln7p}>8d;94?5|582j57=if:?2=5g=19n01<7=c;1eb>{t91oj6=4<{<3;ed<4no16=4>m:82g?87>:j0?<<5rs0:ff?6=;r7:4ll53gd894?7k33;h63>93f97cc5=gd2:lm70?60e8:4a=:908o6>hi;|q2<`b=839p1<6nd;1eb>;619o15=j4=0;1`?2792wx=5kj:18087?il08jk52182e><6c34;2>h4bn3:1?v3>8`d97c`<583:<77?d:?2=7c=;ol0q~?7f183>6}:91h;6>hi;<3:54<>8m16=45<4s4;3n845<7420:o70?62b8745=z{82m?7>53z?24;;3`>;61;l1?kh4}r3;b1<72:q6=5l;:2de?87>9<021663ty:4k850;1x94>e>39mj63>9049=5b<5839n7:?0:p5=`02908w0?7b680bc=:90;<64>k;<3:6d<3891v<6i8;297~;60k21?kh4=0;2u219`:>6`a34;2=4460e9>5<4?2=:;7p}>8gc94?5|582im7=if:?2=4g=19n01<7=8;1ea>{t91li6=4<{<3;fg<4no16=4?m:82g?87>:108jk5rs0;2g?6=:8q6=5hl:33`?87?nm09=n52182;>77d34;2=>4=1b9>5<7?2;;h70?617815f=:90;<6??l;<3:5<<59j16=4?n:33`?87>9k09=n5219df>77d34;3jk4=1b9>5<672;;h70?600815f=:90:96??l;<3:46<59j16=4>;:33`?87>8<09=n521825>77d34;25<602;;h70?608815f=:90:i6??l;<3:4f<59j16=4>k:33`?87>8l09=n521832>77d34;25<772;;h70?613815f=:90;?6??l;<3:50<59j16=4?k:650?xu618o1<7;l{<3:5`<>8m16=4=?:2de?85fj:0?<<5241`f>16634939>4;009>5d222:ln70??448744=::mnh69>?;<62`6<4no168><;:2de?8249>08jk5230ge>167349;><45c?12=:;70?j5080bc=:<::j69>?;<612c<4no16?>6?:523?846:>0?<=5231a4>16734>8>44f=0=<9:019?k6;634>;38m31?kh4=3ff3?5an27855953gd897`>?39mj63>dc69057<58i;i7:?1:?077>=<9;01?>lf;635>;4:jk18=?4=3245?27927?>;m541289734n3>;<63<22g9056<58ln97:?0:?1`=3=;ol01;6k0>18=>4=53;1?27827:mim5412894e?83>;<63>d3:9056<58h3>7:?0:?2`gg=;ol01;6k8>1?kh4=0a24?27927:mh953gd894e?j39mj639;634>;4;>:18=>4=3323?278278?;k541289776=3>;<63>e0a9056<58i<:7:?0:?7742=<9:01>=9c;635>;598918=?4=215e?279279=;=63=11d9057<5:9=:7:?1:?155b=<9;01>=94;635>;599h18=?4=2156?279279==7541389650m3>;=63=1379057<5:9=8a;635>;59;;18=?4=2143>;=63=10f9057<5:9<87:?1:?154d=<9;01>=90;634>;599=18=>4=0;05?5an279j:=53gd897b?839mj63ib`80bc=z{83:j7>53z?2=4c=:=n01<7=7;547>;618n1?kk4}r3:65<72;q6=4:809>>5rs0;15?6=:r7:5??5761894?5:389?6s|1801>5<5s4;2>?48729>5<442;887p}>93194?4|5839?7983:?2=72=:;90q~?62583>7}:908?6:9<;<3:60<5::1v<7=5;296~;61;?1;:=4=0;12?45;2wx=4<9:18187>:?0<;>521804>7443ty:5?650;0x94?503=9329=0?44?:3y>5<4>2>=870?6208:1<=z{839m7>52z?2=7g=?>901<7=2;;6=>{t908i6=4={<3:6g<0?:16=4<<:87:?xu61;i1<7:m0;6?u2180g>21434;2>846589~w4?5m3:1>v3>93g9325<5839:77:9:p5<4a2909w0?62g8436=:908<64;6;|q2=67=83;<;v3>9239325<5;2h:77?f:?1;4>9>15=h4=50;3??7n278:>k591d89603<33;j63<62d9=5`<5:8;6;;3b>;4>=215=h4=247e??7n278:97591d89603j33;j63<6539=5`<5:898;;3b>;4>=915=h4=2418=4;;3b>;4>;915=h4=2411??7n278:?9591d89605>33;j63<60a9=5`<5:<:h77?f:?024c=19l01>7>b;;3b>;4>8l15=h4=2;2g??212785563<90d9=0?<5:39=77:9:?0=76=1<301>7=2;;6=>;418k15874=2:7a??7n2794n759178961el33;j63<6229=5`<5:<8:77?f:?0267=19l01>8<7;;3b>;4>:315=h4=240o591d89604j33;j63<62a9=5`<5:<8>77?f:?026b=19l01>8<3;;3b>;4>:?15=h4=2400??7n278:8:591d8961b033;j63<7d`9=0?<5:=n977?f:?03`4=19l01>9kf;;3b>;409=15=h4=2:3e??7n2784=:591d8961am33;j63<8139=5`<5:=mn77?f:?03ac=19l01>9i8;;3b>;6:8<15=h4=0026??7n27:><;591d8947>>33;j63>2059=5`<58;2977?f:?25<1=19l01;690215=h4=03:e??7n27:=4l591d8947f833;j63>1`09=5`<58;j=77?f:?25d5=19l01;690n15=h4=03:a??7n27:=l:591d8947fj33;j63>1`a9=5`<58;j977?f:?25d0=19l01;69hn15=h4=03b1`;9=5`<58;i=77?f:?25g6=19l01;690915=h4=03:4??7n27:=n;591d8947ei33;j63>1e09=5`<58;n477?f:?25ab=19l01k69:87:?876n<028o16j5<594;89c>?20?270h73;;6=>;6:9h15=h4=g:b><3>34l3577:9:?e<1<>=016=<7;:82e?8`?j33>563ia78:1<=:983m64>i;<32f6<>8o16=<6a34;:nn460g9>54de20:m70?>b78:4c=:98ho64>i;<32f`<>8o16=<6a34;:nk460g9>54e020:m70?>c18:4c=:98i364>i;<32g3<>8o16=:82e?876k=02<6a34;:o>460g9>54ec20:m70?>cc8:4c=:98ih64>i;<32`6<>8o16=<6a34;:h8460g9>54b120:m70?>d18:4c=:98n:64>i;<32`=<>8o16=<6a34;:hk460g9>54c720:m70?>d`8:4c=:98ni64>i;<32`f<>8o16=:82e?876m;02<6a34;:i>460g9>54cf20:m70?>e58:4c=:98o=64>i;<32ag<>8o16=<6a34;:in460g9>54`620:m70?>f78:4c=:98l;64>i;<32b=<>8o16=02<6a34;:j4460g9>54`420:m70?=008:4c=:98li64>i;<32bd<>8o16=<6a34;9<9460g9>576420:m70?>fd8:4c=:98lm64>i;<3143<>8o16=?>::82e?8758j02<6a34;9<:460g9>576?20:m70?=088:4c=:9;:n64>i;<3155<>8o16=?>i:82e?8759802<6a34oj=77:9:?2e47=19l016;;3b>;6i:;15=h4=0c1a??7n27:m?l591d894g4?33;j63>a269=5`<583o977?f:?2e6g=19l01<7k8;;3b>;61mo15=h4=0c0`??7n27:5il591d894?b<33>563>9d39=5`<58k?<77?f:?2=a7=19l01<7k4;;3b>;6i8=15=h4=0;f=??7n27:5hm591d894g6i33;j63>a0f9=5`<58k9<77?f:?2=``=19l01<7i2;;3b>;61o?15=h4=0c17??7n27:m?8594;894?a033;j63>9g`9=5`<583mi77?f:?2e1>=19l01;6i=h15=h4=0c7b??7n27:m;=591d894g1:33;j63>a7g9=5`<58k=o77?f:?2e3b=19l01;6i?l15=h4=0c47??7n27:m:<591d894g0933;j63>a769=5`<58k=477?f:?2e33=19l01;6i?=15=h4=0c5=??7n27:m58591d894g1i33;j63>a959=5`<58k2?77?f:?2e<6=19l01;6i0>15=h4=0c:6??7n27:m4;591d894g>>33;j63>a9:9=5`<58k2;77?f:?2e=g=19l01;6i1n15=h4=0c;g??7n27:m5l591d894g?m33;j63>a9d9=0?<58k=n77:9:?a5`<>=016n;e:>029452b3;9=0?<5k8i64;6;<`1e??2127i>n46589>f76=1<301o563i8b8:1<=:l><15874=g:g><3>34n3?77:9:?e<`<>=016h4>594;89c>a20?270jna;;6=>;a18029452dc59=0?<5o3964;6;`14=1<301k9i:87:?8b4=33>563i7d8:1<=:l;215874=g5g><3>34n:n77:9:?e3f<>=016h=k594;89c1e20?270hnb;;6=>;bm;029452f`c9=0?<5ln>64;6;bd>=1<301hlm:87:?8`f?33>563jad8:1<=:n0n15874=d1;><3>34l2<77:9:?ge<<>=016j5;594;89a0?20?270h8a;;6=>;c8m029452f659=0?<5m:;64;6;a=1=1<301ko;:87:?8c0i33>563ia28:1<=:m?n15874=gc1><3>34o=<77:9:?ee4<>=016i8=594;89cg720?270k;6;;6=>;a1o029452e2;9=0?<5o3n64;6;a44=1<301k7m:87:?8c7=33>563i9`8:1<=:lo215874=g;:><3>34nnn77:9:?e==<>=016hik594;89c?020?270jk1;;6=>;a1?029452db69=0?<5o3864;6;|q2=64=838p1<7>e;02g>;61:91;:=4}r3:70<72:q6=4=::650?87>;m09>>521843>7443ty:5>850;1x94?4>3=9259675<583>57<=3:p5<502908w0?6368436=:90936?<<;<3:1d<5::1v<7<8;297~;61:21;:=4=0;0=?45;27:58l52318yv7>;00;6>u2181:>21434;2?l4=229>5<3d2;887p}>92c94?5|5838m7983:?2=6d=:;901<7:d;017>{t909i6=4<{<3:7g<0?:16=4=l:300?87>=l09>>5rs0;0g?6=:r7:5>m5761894?2n389?6s|181g>5<4s4;2?i48729>5<5b2;8870?6608166=z{838i7>53z?2=6c=?>901<7;61?81>?=4}r3:7c<72:q6=4=i:650?87><909>>521840>7443ty:59>50;1x94?383=9539675<583=87<=3:p5<262908w0?6408436=:90>96?<<;<3:20<5::1v<7;2;297~;61=81;:=4=0;77?45;27:5;852318yv7><:0;6>u21860>21434;2894=229>5<002;887p}>95694?5|583?87983:?2=13=:;901<798;017>{t90>>6=4<{<3:00<0?:16=4=9:300?87>=109>>5rs0;72?6=;r7:5985761894?4=33>563>94590365<202>=870?6378:1<=:90?3698?;|q2=1>=839p1<7;8;547>;61:=15874=0;6=?2182wx=4:6:18087><00<;>52181;><3>34;29l4;619~w4?3i3:1?v3>95c9325<5838577:9:?2=0d=6}:90>i6:9<;<3:7d<>=016=4;l:543?xu61=i1<7=t=0;7g?10;27:5>l594;894?2l3>=<6s|186g>5<4s4;28i48729>5<5d20?270?65d8725=z{83?i7>53z?2=1c=?>901<7;61?:18;>4}r3:0c<72:q6=4:i:650?87>;l0294521842>1073ty:58>50;1x94?283=92d9=0?<583=>7:90:p5<362908w0?6508436=:90>;64;6;<3:26<3>91v<7:2;297~;61<81;:=4=0;75??2127:5;:54728yv7>=:0;6>u21870>21434;28?46589>5<022=<;7p}>94694?5|583>87983:?2=15=1<301<796;654>{t90?>6=4<{<3:10<0?:16=4:;:87:?87>>>0?:=5rs0;62?6=;r7:5885761894?3=33>563>97:90365<0>2>=870?6708166=:903?6?<<;|q2=3g=839p1<79a;547>;61?h1>?=4=0;;`?45;2wx=48m:18087>>k0<;>52184`>74434;24h4=229~w4?1k3:1?v3>97a9325<583=h7<=3:?2==`=:;90q~?66e83>6}:909389?6s|184e>5<4s4;2:k48729>5<172;8870?6938166=z{83<<7>52z?2=26=?>901<763;017>{t90=:6=4<{<3:34<0?:16=49=:300?87>1<09>>5rs0;46?6=;r7:5:<5761894?0;389?63>98496754?:2y>5<142>=870?6758166=:903<6?<<;|q2=22=839p1<784;547>;61>?1>?=4=0;:?<0<;>521855>74434;2544=229~w4?0>3:1?v3>9649325<583<;7<=3:?2=6}:90=<6:9<;<3:3=<5::16=47m:300?xu61>21<7=t=0;4k389?6s|185:>5<4s4;2;448729>5<0f2;8870?68b8166=z{8353z?2=2g=?>901<799;;6=>;611h18;>4}r3:3g<72:q6=49m:650?87>>h02945218:`>1073ty:5:m50;1x94?0k3=97`9=0?<5833h7:90:p5<1c2908w0?67e8436=:9091v<78e;297~;61>o1;:=4=0;5`??2127:55h54728yv7>?o0;6>u2185e>21434;2:h46589>599294?5|5833<7983:?2=3`=1<301<761;654>{t902:6=4<{<3:<4<0?:16=49?:87:?87>1;0?:=5rs0;;6?6=;r7:55<5761894?0933>563>98690364?:2y>5<>42>=870?6738:1<=:903>698?;|q2==2=839p1<774;547>;61>915874=0;:2?2182wx=46::18087>0<0<;>521857><3>34;25:4;619~w4??>3:1?v3>9949325<583<977:9:?2=<>=6}:902<6:9<;<3:33<>=016=476:543?xu61121<7=t=0;;i3>=<6s|18::>5<4s4;24448729>5<1?20?270?69c8725=z{833m7>53z?2==g=?>901<789;;6=>;610i18;>4}r3:=a<72;q6=l8=:36g?87>=>0<;>5rs0;:a?6=:r7:m58525f894??j3=5<5s4;j:94=4e9>5<3?2>=87p}>9`294?4|58k347<;d:?2==e=?>90q~?6a083>7}:9h<>6?:k;<3:1<<0?:1v<7n2;296~;6i131>9j4=0;;`?10;2wx=4o<:18187f>?098i52187b>2143ty:5l:50;0x94g?i38?h63>99g93255d002;>o70?65c8436=z{83j:7>52z?2e=d=:=n01<77f;547>{t90k<6=4={<3b2=<557618yv7>i00;6?u21`4:>72c34;29i48729~w4?fi3:1>v3>a9f961b<5832=7983:p5;61081;:=4}r3:ea<72;q6=l8m:36g?87>=o0<;>5rs0;ba?6=:r7:m5h525f894?>;3=5<5s4;j:>4=4e9>5<072>=87p}>9c294?4|58k3;7<;d:?2=<2=?>90q~?6b083>7}:9h9j4=0;:1?10;2wx=4l<:18187f>m098i521841>2143ty:5o:50;0x94g>938?h63>98493255d0b2;>o70?6628436=z{83i:7>52z?2e<4=:=n01<767;547>{t90h<6=4={<3b2c<5j00;6?u21`53>72c34;2:848729~w4?ei3:1>v3>a86961b<583257983:p5;610k1;:=4}r3:fa<72;q6=l9=:36g?87>>>0<;>5rs0;aa?6=:r7:m48525f894?>j3=5<5s4;j;>4=4e9>5<0?2>=87p}>9b294?4|58k2;7<;d:?2=90q~?6c083>7}:90n:64>k;<3be=<59j4=0;g7?10;2wx=4m7:18187>l=0272c3ty:5n<50;0x94?c=33;h63>a`c961b5o70?6d68436=z{83h?7>52z?2=a>=19n01{t90n26=4={<3:`=<51<7lj0;6?u218fa>72c34;2hi48729~w4?d=3:1>v3>9eg9=5b<58kjh7<;d:p5;6iho1>9j4}r3:a7<72;q6=4k>:36g?87>m:0<;>5rs0;`3?6=:r7:5h:591f894gfn38?h6s|18g6>5<5s4;2i94=4e9>5=87p}>9d594?4|583o87<;d:?2=`>=?>90q~?6c883>7}:90o264>k;<3bf5<59j4=0;ff?10;2wx=4mn:18187>mj0272c3ty:5hj50;0x94?bk38?h63>9dg9325552z?2=``=:=n01<7i1;547>{t90ih6=4={<3:b7<>8m16=ll<:36g?xu61o91<7km0;6?u218d6><6c34;jn94=4e9~w4?a>3:1>v3>9g7961b<583m;7983:p56?:k;|q2=c?=838p1<7i8;07`>;61ok1;:=4}r3:gc<72;q6=4hm:82g?87fj?098i5rs0;eg?6=:r7:5kl525f894?al3=5<5s4;2jh460e9>5dd02;>o7p}>9gd94?4|583mi7<;d:?2e56=?>90q~?n0083>7}:9h;:64>k;<3bg=<52;296~;6i8;1>9j4=0c27?10;2wx=l?;:1815~;6i8<1>?520a894g5j38:o63>a3g964e<58k8;7<>c:?2e62=:8i01<7k5;02g>;61m21>a2f964e<583n87<>c:?2e16=:8i01<7j1;02g>;61m;1>9d;964e<58k:m7<>c:?2e76=:8i01d;02g>;61ll1>a34964e<583m47<>c:?2=cc=:8i01<7ib;02g>;6i8?1;:=4}r3b4=<72;q6=l?9:82g?87fk0098i5rs0c36?6=:r7:m<9591f894gdi38?h6s|1`3;>5<5s4;j=:4=4e9>5d7>2>=87p}>a1194?4|58k:m77?d:?2efd=:=n0q~?n1c83>7}:9h;j6?:k;<3b5f<0?:1v2143ty:m=;50;0x94g5833;h63>abf961b<4?:3y>5d472;>o70?n238436=z{8k;:7>52z?2e75=19n01{t9h8?6=4={<3b66<50;6?u21`05>72c34;j>548729~w4g513:1>v3>a04961b<58k9m7983:p5d6>2909w0?n2c8:4a=:9hn;6?:k;|q2e7e=838p1;6i;n1;:=4}r3b4d<72;q6=l5<5s4;j?<460e9>5db52;>o7p}>a2094?4|58k8=7<;d:?2e65=?>90q~?n0b83>7}:9h9?64>k;<3b`6<51>9j4=0c02?10;2wx=l>k:18187f;>0272c3ty:m>650;0x94g4?38?h63>a2;93255d5f20:o70?nd4810a=z{8k8n7>52z?2e6g=:=n01{t9h:m6=4={<3b7a<>8m16=lj9:36g?xu6i:o1<7h57618yv7f990;6?u21`63><6c34;jh:4=4e9~w4g393:1>v3>a52961b<58k?>7983:p5d242909=v3>a519=5b<58k?>7=ie:?2e6`=;oo01;6i:31?kk4=0c02?5am27:m>=53gg894g4839mi63>a3f97cc<58k9m7=ie:?2e7>=;oo01;6i;81?kk4=0c2b?5am27:ma0197cc<58k;<7=ie:?2=cb=;oo01<7ia;1ea>;61o=1?kk4=0;e0?5am27:5k?53gg894?bm39mi63>9d`97cc<583n47=ie:?2=`0=;oo01<7j3;1ea>;61l:1?kk4=0;g`?5am27:5io53gg894?c?39mi63>9e197cc5d242;;h70?n458436=z{8k?:7>515y>5d2?20:o70?n4d815f=:9h<96??l;<3b26<59j16=l8j:33`?87f>j09=n521`4g>77d34;j:k4=1b9>5d172;;h70?n72815f=:9h=:6??l;<3b37<59j16=l8;:33`?87f><09=n521`4;>77d34;j:;4=1b9>5d002;;h70?n68815f=:9h0;6<:t=0c7f??7l27:m9h520a894g?>38:o63>a95964e<58k2<7<>c:?2e<5=:8i01;6i081>a84964e<58k2;7<>c:?2e=?=:8i01;6i1n1>a9d964e16634oh=7:?1:?ff1<38816il9541389`?f2=::70k7d;635>;b090?<<52e619057<5l<=69>>;a6`=<9;01h=>:522?8c5=3>;=63j198744=:m9h18=?4=edf>16634nm=7:?1:?ga1<38816hi9541389aef2=::70jmd;635>;cj90?<<52d`09057<5m3=69>>;`3`=<9;01i8>:522?8b2=3>;=63k498744=:l:h18=?4=e0f>16634n9=7:?1:?g51<38816h=854138yv7fa`7=;ol01hj;:2de?8cd?39mj63jb`80bc=:mhn1?kh4=dc3>6`a34o2?7=if:?f<3<4no16i:753gd89`0d2:lm70k:f;1eb>;b=;08jk52e5797c`<5l9<6>hi;a47=;ol01h>;:2de?8ba?39mj63ke`80bc=:lmn1?kh4=ef3>6`a34nh?7=if:?gf3<4no16hl653gd89a?d2:lm70j7f;1eb>;c0;08jk52d6797c`<5m<<6>hi;`17=;ol01i=;:2de?8b5?39mj63k1`80bc=:l9i1?kh4}r3b15<72:q6=l8=:82g?87>73f3ty:m8750;1x94g1;33;h63>95g97cc<5838h7<:a:p5d362908w0?n658:4a=:90><6>hj;<3:73<5=h1v9524c8yv7f=:0;6>u21`45><6c34;28445<5?2;?j7p}>a4694?5|58k=;77?d:?2=1g=;oo01<7<9;06e>{t9h?>6=4<{<3b2=<>8m16=4:m:2df?87>;h099l5rs0c62?6=;r7:m;7591f894?3k39mi63>92`960g5d0f20:o70?64e80b`=:909h6?;n;|q2e0>=839p1;6i?h15=j4=0;7`?5an2wx=l;n:18087f>j026`b34;2?h4=5`9~w4g2j3:1?v3>a7f9=5b<583><7=ie:?2=6`=:6}:9hk;<3:14<4nl16=4:?:37b?xu6im6s|1`7f>5<4s4;j;=460e9>5<342:ln70?643811d=z{8k>j7>53z?2e27=19n01<7:4;1ea>;61=91>8o4}r3b25<72:q6=l9=:82g?87>=<08jh521867>73f3ty:m;?50;1x94g0;33;h63>94497cc<583?97<:a:p5d132908w0?n878:4a=:90=j6>hj;<3:2<<5=h1vu21`:;><6c34;2;o45<0f2;?j7p}>a6494?5|58k3577?d:?2=2e=;oo01<79b;06e>{t9h=<6=4<{<3b8m16=49k:2df?87>>j099l5rs0c497f960g5d>d20:o70?67g80b`=:90;611:1?kk4=0;5b?42i2wx=l9m:18087f0l026`b34;2;=4=5`9~w4g0k3:1?v3>a5d961b<58k3j77?d:?2==7=;ol0q~?n7d83>6}:9h3;64>k;<3:<6<4nl16=49=:37b?xu6i>l1<7=t=0c:5??7l27:55:53gg894?0;38>m6s|1`:3>5<4s4;j5?460e9>5<>22:ln70?675811d=z{8k3=7>53z?2e<5=19n01<776;1ea>;61>?1>8o4}r3b<7<72:q6=l7;:82g?87>0>08jh521855>73f3ty:m5=50;1x94g>=33;h63>99:97cc<583<;7<:a:p5d>32908w0?n978:4a=:90226>hj;<3:3=<5=h1v<6c34;28;4n3:1>v3>a`;9=5b<583?i7=if:p5d?>2909w0?na`8:4a=:90><6>hi;|q2e;61=21?kh4}r3b=g<72;q6=lol:82g?87><008jk5rs0c:g?6=:r7:mlj591f894?3i39mj6s|1`;g>5<5s4;jmh460e9>5<2e2:lm7p}>a8g94?4|58kjj77?d:?2=1e=;ol0q~?na183>7}:9hh;64>k;<3:0c<4no1v6`a3ty:ml=50;0x94ge;33;h63>94097c`5dd320:o70?65280bc=z{8kj97>52z?2eg3=19n01<7:4;1eb>{t9hk=6=4={<3bf3<>8m16=4;::2de?xu6ih=1<7<6c34;2;l4v3>ab;9=5b<5833>7=if:p5dd>2909w0?nc`8:4a=:90=i6>hi;|q2egg=838p1;61>i1?kh4}r3bfg<72;q6=lml:82g?87>?m08jk5rs0cag?6=:r7:mnj591f894?0m39mj6s|1``g>5<5s4;joh460e9>5<1a2:lm7p}>acg94?4|58khj77?d:?2==6=;ol0q~?nc183>7}:9hn;64>k;<3:<6<4no1v6`a3ty:mn=50;0x94gc;33;h63>99497c`5db320:o70?68680bc=z{8kh97>52z?2ea3=19n01<778;1eb>{t9hi=6=4={<3b`3<>8m16=466:2de?xu6ij=1<7u21`d2>21434;jj=45dbd2:ln7p}>aec94?5|58kom77?d:?2f56=;ol01{t9hni6=49{<3b`d<59j16=lkm:33`?87fm809=n521`g1>77d34;ji>4=1b9>5dbd2>=87p}>aef94??|58i<=7<>c:?2g26=;oo01;6k>318=?4=0a3a?27827:o<:5413894e6839mj63>c6497c`<58h9;7983:p5dbb2908w0?ne08:4a=:9ju21`g0><6c34;h:h4=4e9>5d`a2:lm7p}>ad694?2|58kn877?d:?2f6b=;ol01;6j:<1?kh4}r3ba0<72;q6=lk;:36g?87fm?0<;>5rs0cf3?6=:r7:mh:591d894gb?3=5<5s4;jil460e9>5g652:lm7p}>ad`94?3|58knn77?d:?2f6d=;oo01;6j:o1?kk4=0`35?5an2wx=lkl:18487fml026`b34;i=k46d?52:lm70?m1c80b`=:9k;?6>hj;<3a55<4nm1vb0697c`5dcb2;;h70?neg815f=:9hl;6:9<;|q2ec5=83?p1;6il<1?kh4=0cee?5am27:n==53gd894ga:39mi6s|1`d7>5<4s4;jj>4=1b9>5dcf2;;h70?nf48436=z{8km:7>54z?2ec0=19n01;6io818=?4=0cf3?2782wx=lh8:18087fn?02<6a34;jj548729~w4ga13:1?v3>ag49=0?<58kmn77:9:?2ecg=?>90q~?nfc83>7}:9hli64>k;<62a4<4nl1v9j4=0`3f?10;2wx=o>9:18187e:o098i521c2`>2143ty:n=950;0x94d4838?h63>b1f93255g562;>o70?m138436=z{8h;57>52z?2f64=:=n017;547>{t9k:j6=4={<3a76<557618yv7e8o0;6?u21c32>21434;i=?4v3>b069325<58h:;7=if:p5g732909w0?m178436=:9k;<6>hj;|q2f4c=838p1e;547>;6j8n1?kh4}r3a5c<72;q6=o?i:650?87e9m08jh5rs0`14?6=:8q6=om6:2de?87d8108jk521b25>6`a34;h<945f652:lm70?l0180bc=:9kln6>hi;<3abf<4no16=ohn:2de?87en108jk521cd5>6`a34;ij945g`52:lm70?mf180bc=:9kon6>hi;<3aaf<4no16=okn:2de?87em108jk521cg5>6`a34;ii945gc52:lm70?me180bc=:9knn6>hi;<3a`f<4no16=ojn:2de?87el108jk521cf5>6`a34;ih945gb52:lm70?md180bc=:9kin6>hi;<3agf<4no16=omm:650?xu6j;;1<7=t=0`2;=6s|1c01>5<2s4;i=848729>5g7f2:lm70?m248744=:9k;=69>>;<3a70<4no1v>;<3`43<38816=n>;:522?87d8;0?<<521b23>16634;ijh4;009>5g`d2=::70?mf`8744=:9kl369>>;<3ab3<38816=oh;:522?87en;0?<<521cd3>16634;iih4;009>5gcd2=::70?me`8744=:9ko369>>;<3aa3<38816=ok;:522?87em;0?<<521cg3>16634;ihh4;009>5gbd2=::70?md`8744=:9kn369>>;<3a`3<38816=oj;:522?87el;0?<<521cf3>16634;ioh4;009>5ged2=::70?m3e8744=:9k9n6:9<;<3a<7<4nl1v08jh521c05>2143ty:n?650;5x94d5m33;h63>b1`97c`<58h;o7=if:?2f5b=<9:01c;634>;6j8?1?kh4=0`25?2792wx=o<6:18587e:o0216734;i5g7d2:lm70?m1480b`=:9k;:69>?;|q2f7g=83?p1;6j9n1?kh4=0`2g?5am27:n<;5413894d6939mi6s|1c0a>5<1s4;i?<460e9>5g7?2=:;70?m148745=:9k2<6>hj;<3a54<4no16=o?9:2de?xu6j;i1<79t=0`06??7l27:n<653gd894d??39mj63>b0c9057<58h997:?0:?2f40=;oo01{t9k8o6=49{<3a76<>8m16=o68:523?87e9h08jh521c3a>16734;i>845g522=:;7p}>b2694?1|58h9i7<>c:?2f7`=:8i01;6j:;1>=520a894d4>3=5<5s4;i?848729>5g512:ln7p}>b2594?4|58h8j7<>c:?2f6>=?>90q~?m3883>7}:9k9264>k;<3a<7<3881v9j4=0`0f?10;2wx=o=l:1816~;6j:31>bcd964e<58hh>7<>c:?2ff5=:8i01;6jj?1>b``964e<58hjo7<>c:?2fdb=:8i01;6jhl1>bc1964e<58hi97<>c:?2fg0=:8i01;6jk21>bca964e<58hih7<>c:?2fgc=:8i01;6jj;1><6c3ty:n9>50;0x94d4n38?h63>b0f93255g0620:o70?m63810a=:9hlh69>?;|q2f1e=839p1;6j?n1>9j4=0ce`?2782wx=o:=:18087e>:0272c348j;n4b769=5b<58h=97<;d:?1e2b=;ol0q~?m4583>6}:9k<>64>k;<3a23<5l67:2de?xu6j=?1<7=t=0`52??7l27:n;9525f897g>;39mj6s|1c65>5<4s4;i::460e9>5g0?2;>o7053z?2f3>=19n01;5i0<1?kh4}r3a0=<72:q6=o86:82g?87e>h098i522`;4>6`a3ty:n9750;1x94d1i33;h63>b7`961b<5;k247=if:p5g2f2908w0?m6c8:4a=:9k0;6>u21c4g><6c34;i;54=4e9>5d`b2=:;7p}>b5f94?5|58h=i77?d:?2f3`=:=n01?o8f;1eb>{t9k>n6=4<{<3a2c<>8m16=o9?:36g?84f0908jk5rs0`7b?6=;r7:n:>591f894d0938?h63=a9397c`5g1620:o70?m73810a=::h296>hi;|q2f07=839p1;6j>91>9j4=3c;7?5an2wx=o;=:18087e?:0272c348j494b669=5b<58h<97<;d:?1e=3=;ol0q~?m5583>6}:9k=>64>k;<3a33<5l69:2de?xu6j5<4s4;i;:460e9>5g1>2;>o70m7>53z?2f2>=19n01;6iol18=>4}r3a1=<72:q6=o96:82g?87e?h098i522`:a>6`a3ty:n8750;0x94d0i33;h63=a9a97c`5g1e20:o70?m7b810a=:9k:;69>?;|q2f0e=839p1;6j>n1>9j4=0`35?2782wx=o;k:18087e?m0272c34;ib6g9=5b<58h6}:9k=m64>k;<3a<5<5l7=:522?xu6j?:1<7=t=0`;4??7l27:n;=525f897g><3>;=6s|1c:2>5<59r7:n;?520a894d1:38:o63>b7f964e<58h<47<>c:?2f2d=:8i01;6j>n1>b71964e<58h=87<>c:?2f33=:8i01;6j?=1>b7`964e<58h=o7<>c:?2f3c=:8i01;6j>:1>b66964e<58h<97<>c:?2f20=:8i01;6j>31>;<63>ad49056<58h;o7=ie:?2f5b=;oo01;6iok18=>4=0``f?27927:n>j53gg894d4m3>;<63>b0:97cc<58kmo7=if:?2f=0=;oo01;6j8315874=0`;1?5al27:n<<594;894d5=39mj63>b059=0?<58h8:77:9:?2f70=;on0q~?m8583>7}:9k286?:k;<3a<0<0?:1v1673ty:n4=50;0x94df133;h63>bg490565ggf20:o70?mcd8745=z{8h3m7>52z?2fdd=19n01{t9k2i6=4={<3aef<>8m16=oj=:523?xu6j1i1<7<6c34;ih;4;019~w4d?m3:1?v3>b`d9=5b<58h:j7:?0:?2fa>=<9:0q~?m8g83>7}:9kh;64>k;<3a`d<3891v:18187ej;021673ty:n4<50;0x94de;33;h63>bd090565gd320:o70?mfb8745=z{8h287>52z?2fg3=19n01{t9k3>6=4={<3af3<>8m16=ok9:523?xu6j0<1<70;6>u21c`;><6c34;i=h45gcf2=:;7p}>b8:94?4|58hi577?d:?2f`e=<9:0q~?m9883>7}:9khj64>k;<3aa`<3891v1673ty:n4m50;0x94del33;h63>bg690565gdb20:o70?mf98745=z{8hj=7>52z?2fg`=19n01{t9k3m6=4={<3ag5<>8m16=ohn:523?xu6jh:1<7<6c34;h<=4;019~w4df;3:1>v3>bb19=5b<58i;>7:?0:p5gg32909w0?mc58:4a=:9j:?69>?;|q2fd3=839p1;6j8l1?kh4=0a32?2782wx=oo9:18187ek?021673ty:nl950;0x94dd?33;h63>bba90565gg?2;>o70?mc88436=z{8hhm7>52z?2fdg=:=n01{t9kio6=4={<3aeg<557618yv7el80;6?u21ccg>72c34;ih?48729~w4dc;3:1>v3>b`g961b<58ho87983:p5gb22909w0?mag810a=:9kn=6:9<;|q2fa1=838p1;6jm21;:=4}r3a`<<72;q6=ol>:36g?87elh0<;>5rs0`gf?6=:r7:no<525f894dck3=5<5s4;in>4=4e9>5gbb2>=87p}>bed94?4|58hj57<;d:?2f`6=?>90q~?me083>7}:9kh>6?:k;<3aa7<0?:1v9j4=0`f0?10;2wx=ok::18187ej>098i521cg5>2143ty:nh950;0x94de038?h63>bd:93255gd>2;>o70?me`8436=z{8hnn7>52z?2fgg=:=n01{t9koo6=4={<3afg<557618yv7en80;6?u21c`g>72c34;ij?48729~w4da;3:1>v3>bcg961b<58hm87983:p5g`22909w0?mb5810a=:9kl=6:9<;|q2fc1=838p1;6jo21;:=4}r3ab<<72;q6=om>:36g?87enh0<;>5rs0`ef?6=:r7:noh525f894dak3=5<5s4;io?4=4e9>5g`b2>=87p}>bgd94?4|58hh?7<;d:?2g56=?>90q~?l0083>7}:9ki?6?:k;<3`47<0?:1v9j4=0a30?10;2wx=n>::18187ek?098i521b25>2143ty:o=950;0x94dd?38?h63>c1:93255f6f20:o70?l178745=:9j;96>hj;<3`4f<4nm1v9j4=0a3g?10;2wx=n>k:18187d8h022143ty:o=h50;7x94e7n33;h63>bb`9056<58h8i7=if:?2g2d=<9:01{t9j;;6=4={<3`4c<>8o16=n??:650?xu6k891<77t=0a27??7l27:n>l53gd894d4039mi63>bb`97cc<58h8i7:?1:?2g2d=;ol01;6j;=15874=0`01?5am2wx=n?;:18187d9:022143ty:o<650;1x94e603=c0;9675<58i9o7<=3:p5f7>2908w0?l188436=:9j;i6?<<;<3`6a<5::1va;297~;6k8k1;:=4=0a2=??2127:o?m54728yv7d9k0;6>u21b3a>21434;h=i4=229>5f4b2;887p}>c0a94?5|58i:o7983:?2g4d=1<301{t9j;o6=4<{<3`5a<0?:16=n?i:300?87d:o09>>5rs0a2a?6=;r7:o563>c3g90365f7a2>=870?l208166=:9j9;6?<<;|q2g76=839p1;6k8l15874=0a1b?2182wx=n<>:18087d:80<;>521b00>74434;h?<4=229~w4e5:3:1?v3>c309325<58i9=77:9:?2g66=6}:9j886:9<;<3`60<5::16=n==:300?xu6k;>1<7=t=0a10?10;27:o?=594;894e493>=<6s|1b06>5<4s4;h>848729>5f402;8870?l328166=z{8i9:7>53z?2g70=?>901;6k:818;>4}r3`62<72;q6=n<8:650?87d;=09>>5rs0a1563>c21903644?:2y>5f7?20?270?l2c8725=:9j8j6:9<;|q2g63=838p1;6k:>18;>4}r3`72<72;q6=n=8:650?87d;?08jk5rs0a0953gd894e403=5<5s4;h?448729>5f512:ln7p}>c2c94?4|58i8;7=ie:?2g6g=?>90q~?l3c83>7}:9j926>hi;<3`7g<0?:1v2143ty:o>k50;0x94e2138?h63>c3a93255f3f2;>o70?l2e8436=z{8i?<7>52z?2g0d=:=n01{t9j>:6=4={<3`1f<5>57618yv7d<:0;6?u21b7f>72c34;h?<48729~w4e3<3:1>v3>c4d961b<58i8>7983:p5f222909w0?l61810a=:9j986:9<;|q2g10=838p1;6k:>1;:=4}r3`02<72;q6=n:8:82g?87d>:08jk5rs0a7;<6s|1b6:>5<5s4;h84460e9>5f5?2=:;7p}>c5c94?4|58i?m77?d:?2g6e=<9:0q~?l4c83>7}:9j>i64>k;<3`7g<3891v6`a3ty:o9k50;6x94e3i38?h63>c4:9=5b<58i8o7=if:?2g7g=;on0q~?l4g83>1}:9j>i6?:k;<3`1<<>8m16=n?n:2df?87d;k08jh5rs0a64?6=c7597cc<58i:o7=ie:p5f36290?w0?l49810a=:9j?i64>k;<3`5`<4nl16=n=n:2df?xu6k<81<753gg8yv7d=:0;69u21b6`>72c34;h9i460e9>5f022:ln70?l2380b`=z{8i>87>52z?2g0c=19n01{t9j?>6=4;{<3`0<<56`b3ty:o8850;0x94e1833;h63>c3:97cc5f202;>o70?l608:4a=:9j<86>hj;<3`70<4nl1v<0<;>521b1b>1663ty:o;850;0x94e1?3=c2`90575f6f2;;h70?l378436=:9j;=6>hj;<3`57<4no1v653gd894e4i39mj63>c2`97c`5f0c20:o70?l368:1<=:9j9264;6;|q2g3d=838p1;6k:<15874}r3`2c<72=q6=n8l:33`?87d>m09=n521b4f>77d34;h;=48729~w4e093:1=?u21b52><6c34;h8n460g9>5f2020:m70?l4e8:4c=:9j>364>i;<3`0d<>8o16=n:m:82e?87d<002<6a34;h94460g9>5f3f20:m70?l5c8:4c=:9j?h64>i;<3`1a<>8o16=n;j:82e?87d=o02<6a34;h:<460g9~w4e0:3:1>v3>c63961b<58ihi;<3`4`<4no16=n?9:2de?87d9;0?<=521b50>6`c3ty:o:;50;0x94e0<33;j63>c64932557>12:lo70?l768:4a=z{8i<47>52z?2g21=:=n01{t9j=j6=4={<3`32<59j16=n9m:650?xu6k>n1<7=t=0a:1?10;27:o4:53gg894e?839mi6s|1b5f>5<4s4;h;h460e9>5fg32:lm70?j1g80b`=z{8i56z?2g2c=:8i01;6k1?1>5<>s4;n=:4=1b9>5`712:ln70?j208744=:9l;m69>>;<3gf1<38916=iln:522?87cj?08jk521d3`>6`a34;hoi48729~w4e?:3:1?v3>c979=5b<58o:>7<;d:?2gd7=;ol0q~?l8283>6}:9j2=64>k;<3f56<51<7=t=0a;3??7l27:i<:525f894ef;39mj6s|1b:;>5<3s4;h45460e9>5fc42:lm70?k2b8744=:9jnh6>hi;|q2g=?=838p1;6k1k1;:=4}r3`5rs0a;a?6=:r7:o5k591f894ef>39mj6s|1b:e>5<2s4;h4k460e9>5fc62:ln70?k7080bc=:9jo?6>hj;<3`e0<4no1vcb397cc<58iim7=ie:?2gg0=;on0q~?l9083>0}:9j3864>k;<3`g6<>=016=no6:2de?87dk808jk521b`b>6`a3ty:o4:50;1x94e>:38:o63>c81964e<58i287983:p5f?0290>w0?l968:4a=:9j2j6>hi;<3`=`<4nl16=no8:2de?87d1?08jh5rs0a:c8;93255f?f20:o70?l9b80b`=:9j3=69>>;<3`u21b;b><3>34;h5k46589>5f?b2>=87p}>c8d94?4|58i2j77?d:?75`7=;ol0q~?lac83>7}:9jn?6?:k;<3`f4<0?:1v9j4=0aa6?10;2wx=nok:18187dl?098i521b`0>2143ty:olk50;0x94ec?38?h63>cc:93255fb?2;>o70?lbe8436=z{8ii<7>52z?2ga?=:=n01{t9jh?6=4={<3`f=<4no16=nl9:650?xu6kk?1<721434;hni4v3>cca9325<58iih7=ie:p5fe32909w0?lc58436=:9ji86>hi;|q2gf3=838p1;6kj91?kk4}r3`g3<72;;p1;6lho1?kh4=0fbg?5an27:hlo53gd894bf039mj63>d`497c`<58nj87=if:?2`d4=;ol01;6l0o1?kh4=0f:g?5an27:h4o53gd894b>039mj63>d8497c`<58n287=if:?2`<4=;ol01;6l1o1?kh4=0f;g?5an27:h5o53gd894b?039mj63>d9497c`<58n387=if:?2`=4=;ol01;6l>o1?kh4=0f4g?5an27:h:o53gd894b0039mj63>d6497c`<58n<87=if:?2`24=;ol01{t9ji<6=4<{<3`f`<0?:16=nm?:523?87dk80?<<5rs0a`cb`9057<58iio7:?1:?2gad=;ol0q~?lc883>74|58nji7:?1:?2`de=<9;01;6lh218=?4=0fb2?27927:hl:5413894bf:3>;=63>d`29057<58n2i7:?1:?2`;6l0218=?4=0f:2?27927:h4:5413894b>:3>;=63>d829057<58n3i7:?1:?2`=e=<9;01;6l1218=?4=0f;2?27927:h5:5413894b?:3>;=63>d929057<58n;6l>218=?4=0f42?27927:h::5413894b0:3>;=63>cd19057<58in87983:?2`7>=;oo0q~?lc`83>7}:9jii6:9<;<3`ga<4no1v6`a34;hn?45fd42=:;70?lc38745=:9jhi6>hi;<3`f2<3881vcc`97cc<58ii;7:?0:p5fb7290>w0?ld78:4a=:9jh86>hi;<3`g7<4nl16=nlm:522?87dj>08jh5rs0ag5?6=>r7:oi9591f894eem3>;<63>cc`9056<58n9h7=ie:?2gg1=;ol01{t9jn96=48{<3``=<>8m16=nlj:2de?87c:m08jk521ba3>16634;hoo4;019>5fdd2:ln70?ldc8744=z{8io?7>56z?2ga?=19n01;6kj:1?kk4=0a`5?27827:onl53gg894ecj3>;<6s|1bfb>5<0s4;hh94=1b9>5fb22;;h70?ld7815f=:9jn<6??l;<3``=<59j16=nj6:33`?87dlj0<;>5rs0agf?6=:r7:oil5761894eck39mi6s|1bfg>5<5s4;hi84=1b9>5fbb2>=87p}>ced94?4|58ioj77?d:?2`7>=<9;0q~?le183>7}:9jnm6?:k;<3`a4<0?:1v77d34;o:54=1b9>5a0>2;;h70?k6`815f=:9m77d34;o9?4=1b9>5a342;;h70?k55815f=:9m?>6??l;<3g13<59j16=i;8:33`?87c=109=n521e7:>77d34;o9o4=1b9>5a3d2;;h70?k5e815f=:9m?n6??l;<3g1c<59j16=i8?:33`?87c>809=n521e41>77d34;o:>4=1b9>5a032;;h70?k67815f=:9m<<6??l;<3`a6<0?:1v64>k;afg=;ol01hlk:2de?8ce839mj63ja280bc=:m0<1?kh4=d::>6`a34o;b;k08jk52e3f97c`<5l8:6>hi;`cg=;ol01ikk:2de?8bb839mj63kd280bc=:lj<1?kh4=e`:>6`a34njo7=if:?g=`<4no16h4<53gd89a>22:lm70j88;1eb>;c>k08jk52d4f97c`<5m?:6>hi;`7g=;ol01i?k:2de?8b6839mj63k0380bc=z{8in:7>52z?2g`3=:=n01{t9jo<6=4<{<3g42<>8m16=i>7:36g?87di90?<=5rs0ae6?6=;r7:h=6591f894b6;38?h63>c`390565a6>20:o70?k0`810a=::0om6>hj;|q2g`?=839p1;6l9h1>9j4=3;e2?5am2wx=nkn:18087c8k0272c348jd1a9=5b<58n;h7<;d:?1e45=;oo0q~?leb83>6}:9m:o64>k;<3g4`<5l?9:2df?xu6kln1<7=t=0f3a??7l27:h=h525f897?a939mi6s|1bgf>5<4s4;o5a772;>o70<6f380bc=z{8inj7>53z?2`46=19n011;07`>;51o91?kh4}r3`b5<72:q6=i?>:82g?87c9;098i5228d7>6`a3ty:ok?50;1x94b6:33;h63>d06961b<5;3m97=if:p5f`c2908w0?k128:4a=:9m;n6?:k;<3`e7<3891v15=j4=0f21?43l2795k753gd8yv7dn=0;6>u21e36><6c34;o=;4=4e9>6<`f2:lm7p}>cg794?5|58n::77?d:?2`41=:=n01?7ib;1eb>{t9jl=6=4<{<3g52<>8m16=i?7:36g?84>nj08jk5rs0ae3?6=;r7:h<6591f894b6138?h63=9gf97c`5a7>20:o70?k1`810a=::0ln6>hi;|q2gc?=839p1a;;3`>;6l8h1>9j4=3;eb?5an2wx=nhn:18087c9k0272c348j<=4d0a9=5b<58n:h7<;d:?1e57=;ol0q~?lfb83>6}:9m;o64>k;<3g5c<5l><:2de?xu6l9:1<7=t=0f2a??7l27:h??525f894ef;3>;<6s|1bdf>5<4s4;o=k460e9>5a472;>o7052z?2`76=19n01?o?7;1eb>{t9m::6=4<{<3g64<>8m16=i<=:36g?87di=0?<=5rs0f36?6=;r7:h?<591f894b5;38?h63>c`790564?:2y>5a4420:o70?k25810a=:9jk=69>?;|q2`52=839p1;6l;?1>9j4=0ab3?2782wx=i>::18087c:<0272c34;hm54;019~w4b7>3:1?v3>d349=5b<58n;57<;d:?2gd?=<9:0q~?k2683>77|58n;;7<>c:?2`5>=:8i013;02g>;6l8o1>d36964e<58n997<>c:?2`70=:8i01;6l9k1>d1g964e<58n;j7<>c:?2`46=:8i011;02g>;6l881>38:o63>d05964e<58n:47<>c:?2`4?=:8i01a;02g>;6l8h1>d32964e<58n947983:p5a4>290::v3>d3;9=5b<58ii=7=ie:?2g`7=<9:01;6kk81?kk4=0aa7?5am27:oik53gd894e>m3>;<63>d639057<58in?7=ie:?2g`2=<9:01;6kh:1?kh4=0f1g?5am27:o4853gd894een33>563>d3`97cb<58ii477:9:?2gfd=;ol01;6kmi15874=0a`g?5al2wx=i2143ty:h?m50;0x94b5138:o63>d3a9325h4?:3y>5a2b20:o70?k878745=z{8n857>52z?2`1`=19n01{t9m8m6=4={<3g15<>8m16=i9;:523?xu6l::1<7<6c34;o;54;019~w4b4:3:1>v3>d419=5b<58n?;|q2`62=839p1;6kj?18=>4=0f4a?2782wx=i=::18187c=?021673ty:h>850;0x94b2?33;h63>d9090565a3?20:o70?k858745=z{8n847>52z?2`0?=19n01{t9m>?6=4={<3g1d<>8m16=io=:523?xu6l:k1<7<6c34;o4n4;019~w4b4k3:1>v3>d4f9=5b<58n3i7:?0:p5a5c2908w0?k5d8:4a=:9ji?6>hi;<3g=5<3891v9021673ty:h9>50;0x94b1933;h63>d8490565a0520:o70?k998745=z{8n?>7>52z?2`35=19n01{t9m>86=4={<3g21<>8m16=i7j:523?xu6l==1<7<6c34;om=4;019~w4b3>3:1>v3>d759=5b<58ih87:?0:p5a2?2909w0?k698:4a=:9mk=69>?;|q2`1?=838p1;6lh218=>4}r3g0d<72;q6=i8n:82g?87cih0?<=5rs0f7f?6=;r7:h;l591f894ed=39mj63>d`a90565a0d20:o70?kad8745=z{8n?h7>52z?2`3b=19n01{t9m:1<772c34;o;948729~w4b0=3:1>v3>d40961b<58n<:7983:p5a102909w0?k52810a=:9m=36:9<;|q2`2?=838p1;6l>k1;:=4}r3g3g<72;q6=i;::36g?87c?j0<;>5rs0f4`?6=:r7:h88525f894b0m3=5<5s4;o9:4=4e9>5a>72>=87p}>d9394?4|58n>47<;d:?2`=4=?>90q~?k8283>7}:9m?26?:k;<3g<1<0?:1v9j4=0f;2?10;2wx=i68:18187c=k098i521e:;>2143ty:h5750;0x94b2k38?h63>d9c93255a3c2;>o70?k8b8436=z{8n3h7>52z?2`0c=:=n01{t9m2m6=4={<3g1c<572c34;o5948729~w4b>=3:1>v3>d70961b<58n2:7983:p5a?02909w0?k62810a=:9m336:9<;|q2`;6l0k1;:=4}r3g=g<72;q6=i;n:36g?87c1j0<;>5rs0f:`?6=:r7:h;8525f894b>m3=5<5s4;o::4=4e9>5ag72>=87p}>d`394?4|58n=97<;d:?2`d4=?>90q~?ka283>7}:9m<36?:k;<3ge1<0?:1v9j4=0fb2?10;2wx=io8:18187c>h098i521ec;>2143ty:hl750;0x94b1j38?h63>d`c93255a0d2;>o70?kab8436=z{8njh7>52z?2`3b=:=n01{t9mh;6=4;{<3gf5<>8m16=ill:523?87cj108jh521e`1>6`c3ty:ho?50;0x94be838?h63>dc093254?:3y>5ad720:m70?kb58436=z{8ni97>55z?2`g3=19n01;6kl>1?kh4=0g15?27827:i<6a34;on;48729~w4be13:15v3>dc;9=5b<58in=7=if:?2gac=;oo01;6kl>18=?4=0g15?5an27:h?j5413894edl33>563>ce`97cc5ad>20:m70?kb`8436=z{8nii7>53z?2`gc=?>901;6lm81>?=4}r3gfc<72:q6=ili:650?87ck809>>521ef0>7443ty:hn>50;1x94bd83=dcd9=0?<58no>7:90:p5ae62908w0?kc08436=:9mi86?<<;<3g`1<5::1vu21ea0>21434;oo84=229>5ab22;887p}>db694?5|58nh87983:?2`f5=1<301{t9mi>6=4<{<3gg0<0?:16=im8:300?87cl?09>>5rs0f`2?6=;r7:hn85761894bd=33>563>de790365ae02>=870?kc88166=:9mn<6?<<;|q2`f>=839p1;6lj=15874=0fg2?2182wx=im6:18087ck00<;>521eaa>74434;oh54=229~w4bdi3:1?v3>dbc9325<58nh577:9:?2`a1=6}:9mii6:9<;<3gga<5::16=ij6:300?xu6lji1<7=t=0f`g?10;27:hnl594;894bc03>=<6s|1eag>5<5s4;ooi48729>5abf2;887p}>dbg94?5|58nhi7983:?2`fb=1<301{t9mim6=4<{<3gf`<>=016=ij>:543?87cl90<;>5rs0fgf?6=:r7:hil5761894bci3>=<6s|1efg>5<5s4;ohi48729>5abd2:lm7p}>deg94?4|58noh7=if:?2`ac=?>90q~?kdg83>7}:9mnm6:9<;<3g`f<4nl1v:18187clo08jk521eg2>2143ty:hh<50;0x94bcn39mi63>dd093254?:3y>5a`b2;>o70?kd08436=z{8nn87>52z?2`c`=:=n01{t9mo>6=4={<3f45<50;6?u21d21>72c34;oh848729~w4bb03:1>v3>e11961b<58no:7983:p5ac>2909w0?j05810a=:9mn<6:9<;|q2``g=838p1;6lm21;:=4}r3gag<72;q6=h>9:36g?87cl00<;>5rs0ffg?6=:r7:i=9525f894bci3=5<5s4;oii460e9>5`6>2:lm7p}>ddg94?4|58nni77?d:?2``6=<9:0q~?keg83>7}:9mom64>k;<3g``<3891v:18187cn8021673ty:hk<50;0x94ba:33;h63>e1`97c`4?:3y>5a`420:o70?j0e80bc=z{8nm87>54z?2`c6=:=n01;6ll81?kh4=0fg4?5al2wx=ih::18787cn8098i521ede><6c34;oo=45ac62:ln7p}>dg494?2|58nm?7<;d:?2a56=19n01;6lj81?kk4}r3gb2<72=q6=ikj:36g?87b88026`b34;oi=4v3>e109=5b<58nh:7=ie:p5a`>290?w0?kf3810a=:9l:864>k;<3f4g<4nl16=im7:2df?xu6lok1<772c34;n<8460e9>5aed2:ln70?kdd80b`=z{8nmo7>52z?2a50=19n01{t9mlo6=4;{<3gaa<58:82g?87b8008jh521efa>6`b3ty:i=650;0x94c713=deg90575`6e2>=870?ke18744=z{8o;o7>52z?2a5b=?>901{t9l:n6=4;{<3gf5<59j16=ijl:650?87cjj08jh521e`;>6`a3ty:i=h50;7x94c6:33;h63>dd097cc<58noi7=if:?2``6=;ol01{t9l;;6=4<{<3f56<>8m16=ijk:87:?87clo02945rs0g25?6=:r7:i<:591f894bck33>56s|1d36>5<3s4;n=?4=1b9>5`742;;h70?j15815f=:9l;=6:9<;|q2a41=83;9w0?j168:4a=:9ml964>i;<3gaa<>8o16=ih<:82e?87cml02<6a34;oj<460g9>5aca20:m70?kfd8:4c=:9mlm64>i;<3f45<>8o16=h>>:82e?87b8;02<6a34;n<9460g9>5`6220:m70?j078:4c=:9l:<64>i;|q2a4>=838p17;07`>;6m831;:=4}r3f5d<72?q6=h?n:82g?87b9?08jk521e`7>6`a34;onn45ad?2=:;70?j1880ba=z{8o:n7>52z?2a4g=19l01c;547>{t9l;o6=4=7z?2a4b=19n01hkk:523?8cb83>;<63jd28745=:mj<18=>4=d`:>16734ojo7:?0:?f=c<38916i4<541289`>22=:;70k88;634>;b>k0?<=52e4g9056<5l?:69>?;a7g=<9:01h?k:523?8c683>;<63j028745=:lo<18=>4=eg:>16734noo7:?0:?ggc<38916hn<541289ad22=:;70jn7;634>;c1k0?<=52d9g9056<5m2:69>?;`0g=<9:01i:k:523?8b383>;<63k328745=:l;<18=>4=e3:>16734n;n7:?0:p5`7b2909w0?j1e810a=:9l;m6:9<;|q2a76=838p1d;02g>;6m;;1;:=4}r3f66<72:q6>=;7:650?8471663ty:i?:50;4x9762<39mi63=04397cc<5;:<=7:?0:?140e=?>901?>:d;1eb>;58521g71>6`a3ty:i?850;6x94`2j3>;=63>f419325<58l><7:?1:?2b04=<9:0q~?j2683>6}:9o?i6>hi;<3e12<0?:16=k;?:523?xu6m;21<772c34;m4548729~w4c5i3:1>v3>f83961b<58l357983:p5`4e2909w0?i93810a=:9o2j6:9<;|q2a7e=838p1;6m<;1?kk4}r3f6a<72;q6=h=k:82g?8479m08jh5rs0g00?6=:r7:i>k591f89765j39mi6s|1d0f>5<5s4;n?k460e9>657a2:ln7p}>e3d94?4|58o?<77?d:?1477=;oo0q~?j3183>7}:9l>:64>k;<0366<4nl1v6`b3ty:i>=50;0x94c3<33;h63=03;97cc5`2220:o7052z?2a10=19n01?>=f;1ea>{t9l9<6=4={<3f02<>8m16>==>:2df?xu6m:21<7=53gg8yv7b;00;6?u21d6:><6c348;?84v3>e5c9=5b<5;:8;7=ie:p5`5e2909w0?j4c8:4a=::9926>hj;|q2a6e=838p1;58:h1?kk4}r3f0a<728;p1;6m:o1>e5:964e<58o?57<>c:?2a1g=:8i01;6m=i1>520a894c3938:o63>e50964e<58o??7<>c:?2a12=:8i01{t9l>m6=4;{<3f0c<>8m16=h8i:2df?87b>l08jh521g51>1663ty:i8>50;0x94c3n33;j63>e4393254?:5y>5`1d2=::70?j7c8436=:9l286>hj;<3f3c<4nl1v<0;6?u21dc1>72c34;n9:48729~w4c1>3:1>v3>e9:961b<58o>?7983:p5`002909w0?ja2810a=:9l?36:9<;|q2a3>=838p1;6m<>1;:=4}r3f2<<72;q6=ho;:36g?87b=00<;>5rs0g5e?6=:r7:i5o525f894c2=3=5<5s4;nm84=4e9>5`3f2>=87p}>e7a94?4|58o3n7<;d:?2a00=?>90q~?j6e83>0}:9lk96??l;<3fe6<59j16=ho;:33`?87bi<09=n521d4f>2143ty:i:>50;;x94c2j33jj63>e4a9=d`<58o>h77nf:?2a0c=1hl01;6m?815lh4=0g54??fn27:i;?59`d894c1n3=5<5s4;n5;4=4e9>5`3e2:3n7p}>e6094?4|58o2;7<;d:?2a0e=;0o0q~?j7283>7}:9l336?:k;<3f1a<41l1v9j4=0g6a?5>m2wx=h9::18187b1h098i521d7e>6?b3ty:i:850;0x94c>j38?h63>e72975`?d2;>o70?j6080=`=z{8o<47>52z?2a{t9l=26=4={<3f3<<>8m16><<7:523?xu6m>k1<7=t=0g4=?43l27:i5j5412894c0k3=5<4s4;n:k4;009>5`0b2=:;70?j818436=z{8o52z?2a2c=?>901{t9l=m6=4={<3f3c<0?:16=h6?:2df?xu6m181<7u21d:0>21434;n:k4;019>5`0b2:lm7p}>e9694?76s4;n9o4<9g9>5`3d2:3m70?j5e80=c=:9l?n6>7i;<3f1c<41o16=h8=:2;e?87b>9085k521d42>6?a34;n45460e9>5`332:lm70?j548745=:9l?=69>?;<3f3f<4no16=h6<:523?87b=:08ji521d5f>6`b34;n;k4;009~w4c?=3:1jv3>e4`97d6<58o>o7=n0:?2a0b=;h:01;6m4=0g56?5f827:i;>53`2894c1939j<63>e9;9=5b<58o>87=ie:?2a03=;oo01;6m1;1?kk4=0g4a?27927:i:h54128yv7b0?0;6iu21d7a>6g634;n9n45`3c2:k:70?j5d80e4=:9l?m6>o>;<3f27<4i816=h8?:2c2?87b>808m<521d:b><6c34;n9845`312=::70?j7c8745=:9l2969>?;|q2a=1=83ip1;6m63>e7097d4<58o=<7=n2:?2a37=;h801;6m<<1?kk4=0g4f?5am27:i5<53gg8yv7b0j0;68u21d:;>77d34;n444=1b9>5`>f2;;h70?j8c815f=:9l2o6:9<;|q2a=c=838p1;59;21?kh4}r3f5<5s4;n54460e9>644e2:ln7p}>e8094?4|58o2m77?d:?157e=;oo0q~?j9283>7}:9l3i64>k;<026a<4nl1v6`b3ty:i4k50;3287b=k0:46e34;n9i4>0c9>5`3b28:i70?j5g824g=:9l<96<>m;<3f25<68k16=h8>:02a?87bi;026`a34;n944;019>5`3f2=:;70?j7b8745=:9l2869>>;<3f12<4nm16=h9j:2de?87b?o08jk5rs0g:b?6=mr7:i8l511d894c2k3;;j63>e4f955`<58o>i7??f:?2a0`=99l01;6m?:1==h4=0g55?77n27:il=591f894c2039mi63>e4;97cc<58o>m7=if:?2a=7=;ol01{t9lk;6=4k{<3f1g<69116=h;l:03;?87b=m0:=5521d7f>47?34;n9k4>199>5`0528;370?j61825==:9l<:68m16=h;6:2de?87b=h0?<<521d5a>16634;n4?4;009~w4cf93:1ov3>e4`954?<58o>o7?>9:?2a0b=98301;6m510;894c193;:563>e`79=5b<58o>m7=ie:?2a2d=;ol01{t9lko6=4<{<3fea<0?:16=hl;:300?87bm;09>>5rs0gba?6=;r7:ilk5761894cfl33>563>ee`90365`ga2>=870?jb18166=:9lno6?<<;|q2ag6=839p1;6mk;1>?=4=0gga?45;2wx=hl>:18087bj80<;>521d`1>74434;nhk4=229~w4ce:3:1?v3>ec09325<58oi?7<=3:?2a`6=:;90q~?jb283>7}:9lh86:9<;<3fa4<5::1v1;:=4=0ga1?45;27:ih=52318yv7bj<0;6>u21d`6>21434;nn;4=229>5`c32;887p}>ec494?5|58oi:7983:?2ag1=:;901{t9lh<6=4<{<3ff2<0?:16=hl7:300?87bm?09>>5rs0gaed596755`d>2>=870?jb`8166=:9lo36?<<;|q2agg=839p1;6mkh1>?=4=0gf=?45;2wx=hlm:18087bjk0<;>521d``>74434;nil4=229~w4cek3:1?v3>eca9325<58ojj7<=3:?2aae=:;90q~?jbe83>6}:9lkm64;6;<3f`f<3>916=hlj:650?xu6mkl1<7=t=0ga4??2127:iij5472894cd83=5<4s4;nn<46589>5`bb2=<;70?jc38436=z{8oh?7>53z?2ag4=1<301;6mj>1;:=4}r3fg0<72:q6=hl<:87:?87bm90?:=521da5>2143ty:in950;0x94cb93>=<63>eb:93255`d320?270?je38725=:9lij6:9<;|q2afd=839p1;6ml918;>4=0g`g?10;2wx=hmk:18087bj?0294521dg7>10734;noh48729~w4cdn3:1?v3>ec59=0?<58on97:90:?2aa6=?>90q~?jd083>6}:9lh364;6;<3fa3<3>916=hj=:650?xu6mm91<7=t=0ga=??2127:ih95472894cc<3=5<4s4;nnl46589>5`c?2=<;70?jd78436=z{8oo;7>53z?2agd=1<301;6mm21;:=4}r3f`<<72:q6=hll:87:?87bmh0?:=521dfb>2143ty:ihl50;`x94cbj33;h63>f8a9056<58l>?7=if:?2b01=<9:01;6n0<18=?4=0d4a?5am27:jl>5413894`f939mj63>f449057<58l>47:?0:p5`cd2909w0?jec8:4c=:9loo6:9<;|q2a`c=839p1;6n;:18=?4=0gba?5am2wx=hh::18087bno0216734;nol4f129=5b<58l9>7=if:?2agc=;on0q~?jf183>6}:9o::64>k;<3e67<4nl16=hm?:2dg?xu6mo;1<7=t=0d36??7l27:j??5413894cd:39mh6s|1dd1>5<4s4;m<>460e9>5c462=:;70?jc580ba=z{8om?7>53z?2b52=19n01;6mj<1?kj4}r3fb1<72:q6=k>::82g?87a:808jh521da;>6`c3ty:ik850;1x94`7>33;h63>f3297c`<58oho7=id:p5``02908w0?i068:4a=:9o8;6>hj;<3fg`<4nm1v53gf8yv7bn00;6>u21g2:><6c34;m=k4;019>5`b52:lo7p}>egc94?5|58l;m77?d:?2b4`=;ol01{t9lli6=4<{<3e4g<>8m16=k?i:2df?87bl?08ji5rs0geg?6=;r7:j=m591f894`5:3>;=63>ee:97cb5c6c20:o70?i238745=:9lnj6>hk;|q2b5c=838p1;6n;?18=>4}r3e4c<72;q6=hjl:650?87a:>08jh5rs0d24?6=:r7:iij5761894`5139mi6s|1g32>5<5s4;nhh48729>5c4e2:ln7p}>f0094?4|58ooj7983:?2b7b=;oo0q~?i1283>7}:9lo;6:9<;<3e6c<4nl1v4;296~;6ml;1;:=4=0d05?5am2wx=k?::18187bm;0<;>521g10>1673ty:j<850;0x94cb;3=f2790565`c32>=870?i368745=z{8l:47>52z?2a`3=?>901{t9o;26=4={<3fa3<0?:16=k=m:2df?xu6n8k1<7j53gg8yv7a9k0;6?u21dg;>21434;m?k4v3>ed;9325<58l?=7=ie:p5c7c2909w0?je`8436=:9o>86>hj;|q2b4c=83;9w0?jee80bc=:9o886:9<;<3e60<4nl16=k=<:2df?87a;<08jh521g14>6`b34;m?445c5e2:lm70?i3e80bc=:9o9m6>hi;<3e04<4no16=k:<:2de?87a;808jk521g0e>6`a34;m>i45c4e2:lm70?i2880bc=:9o8<6>hi;|q2b4`=838p1f;547>;6n;91?kk4}r3e65<72;q6=k;<6s|1g01>5<5s4;m>?48729>5c442=::7p}>f3694?4|58omi7<;d:?2b73=?>90q~?i2783>7}:9o:;6?:k;<3e62<0?:1v9j4=0d1=?10;2wx=k2143ty:j?m50;0x94`7;38?h63>f3f9325h4?:3y>5c632;>o70?i2g8436=z{8l8<7>52z?2b53=:=n01{t9o996=4={<3fbc<51<7;57618yv7a;?0;6?u21g24>72c34;m?:48729~w4`403:1>v3>f1:961b<58l857983:p5c5f2909w0?i08810a=:9o9i6:9<;|q2b6e=838p1;6n:n1;:=4}r3e7`<72;q6=k>m:36g?87a;o0<;>5rs0d74?6=:r7:j=m525f894`393=5<5s4;m5c242>=87p}>f5694?76s4;njh4=1b9>5``a2;;h70?i07815f=:9o:<6??l;<3e4=<59j16=k>6:33`?87a8h09=n521g2a>77d34;m5c6c2;;h70?i01815f=:9o::6??l;<3e47<59j16=k><:33`?87a8=09=n521g26>77d34;m8848729~w4`3>3:1=9u21g65><6c34;m8845`cc2=:;70?i2480bc=:9o3=6>hj;<3e76<4no16=k=::2de?87a;>08jk521g1:>16734;m?o4;019>5c5c2=:;70?i3g8745=:9o>:69>?;<3e06<38916=k=>:523?87a:o0?<=521g0g>16734;m>o4;019>5c4>2=:;70?i268745=z{8l?;7>52z?2b10=19l01{t9o>26=49{<3e0f<>8m16=k;m:523?87a=80?<<521g73>6`a34;mm=45c312:lm7p}>f5c94?0|58l?h77?d:?2b06=;oo01;6nh:1?kh4=0d62?5am27:j8654138yv7au21g6f><6c34;m9946589>5cg72=:;7p}>f5d94?4|58l?i7<;d:?2b02=?>90q~?i5183>7}:9o?;6:9<;<3e11<4no1v2143ty:j8850;0x94`2>3=f4;97c`5c3?2>=870?i5880b`=z{8l>m7>52z?2b1e=:=n01{t9o?h6=4={<3e21<>8m16=h;m:36g?xu6n<6c34;n9i4=4e9~w4`2n3:1>v3>f759=5b<58o>i7<;d:p5c072909w0?i698:4a=:9l?m6?:k;|q2b37=838p1;6m?:1>9j4}r3e27<72;q6=k8n:82g?87b>8098i5rs0d57?6=:r7:j;l591f894c1:38?h6s|1g4`>5<>s4;m:94=1b9>5c022;;h70?i67815f=:9o<<6??l;<3e2=<59j16=k86:33`?87a>h09=n521g4a>77d34;m:h48729~w4`1n3:1?v3>e3a961b<58l=j77?d:?2a07=<9;0q~?i7183>7}:9oc:?132e=:8i01?98d;02g>;5?>o1>520a8967f>38:o63<1`5964e<5:;j47<>c:?757e=:8i019?=d;02g>;409o1>5=38:o63<83:964e<5:2957<>c:?0<7g=:8i01>6=b;02g>;40;i1>520a896>6938:o63<800964e<5:2:?7<>c:?0<42=:8i01>6>5;02g>;408<1>6138:o63<80`964e<5:2:o7<>c:?0<4b=:8i01>6>e;02g>;408l1>5:38:o63<831964e<5:2987<>c:?0<70=:8i01>6=7;02g>;4><<1>520a89601938:o63<670964e<5:<=?7<>c:?0232=:8i01>895;02g>;4>?<1>n7<>c:?020e=:8i01>8:d;02g>;4>c:?027g=:8i01>8=b;02g>;4>;i1>c:?03fg=:8i01>9k5;02g>;4?m21>c:?03f6=:8i01>9l1;02g>;4?j81>c:?03f>=:8i01>9l9;02g>;4?jh1>c:?03a7=:8i01>9k2;02g>;4?m91>c:?021b=:8i01>8;e;02g>;4>=l1>c:?0253=:8i01>8>0;02g>;4>8?1>c:?0251=:8i01>8?8;02g>;4>931>c:?025`=:8i01>8>1;02g>;4>881>f:?1`61=19l019?k3;547>{t9o=?6=4={<3e3f<>8m16=k8;:36g?xu6n>n1<77t=0d;1?46k27:j5:520a894`?;38:o63>f90964e<58l3=7<>c:?2b=6=:8i01;6n>i1>72c34;m:84=4e9~w4`0>3:1?v3>f929=5b<58l6}:9o2:64>k;<3e<5<521<7=t=0d;6??7l27:j5?525f894`1038?h6s|1g5:>5<4s4;m4>460e9>5c>52;>o70?i68810a=z{8l53z?2b=2=19n01;6n?k1>9j4}r3e3g<72:q6=k6::82g?87a0=098i521g4a>72c3ty:j5l50;7x94`?n33;h63>f9:97c`<58l357:?0:?2b=g=<9:01{t9o2h6=4:{<3e=5<>8m16=k67:2df?87a0008jh521g:b>6`a34;m544f839=5b<58l357=if:?2b=g=<9;01{t9o2n6=4<{<3e=7<>8m16=k6n:2df?87a1008jh5rs0d:7?6==r7:j5h520a894`>838:o63>f83964e<58l2>7<>c:?2b<2=?>90q~?i9483>3}:9o3<64>i;<3e8o16=k7?:82e?87a1802<6a34;m5;48729~w4`>?3:15v3>f97961b<58l2;77?d:?2b;6n?n1?kh4=0d46?5an27:j;k53gd894`f939mi63>f4:97cc5c?02;;h70?i988436=z{8l2m7>5dz?2b;6n<91?kk4=0d5`?27827:j8953gd894`293>;<63>f5:9056<58lj?7:?0:?2b24=<9:01;6n?o18=>4=0db6??2127:j87594;8yv7a1k0;6?u21g;b>72c34;m5n48729~w4`>l3:1hv3>f8f9=5b<58l2o7=ie:?2b05=<9:01;6n<=1?kk4=0d65?5an27:j9653gd894`f;39mi63>f6097cc<58l;6n<21?kh4}r3e=`<72;q6=k7k:36g?87ai:0<;>5rs0d:b?6=:r7:jl=5413894`f:3=5<5s4;mm=48729>5cg52:lm7p}>f`394?4|58lj=7983:?2bd4=;oo0q~?ia483>6}:9ok>6:9<;<3eef<5::16=kjn:300?xu6nh<1<7=t=0db2?10;27:jl;594;894`c;3>=<6s|1gc4>5<4s4;mm:48729>5cg?2;8870?id48166=z{8lj47>53z?2bd>=?>901;6nm<1>?=4}r3ee<<72:q6=ko6:650?87aih09>>521gf4>7443ty:jlo50;1x94`fi3=f``9675<58lo47<=3:p5cge2909w0?iac8436=:9on26?<<;|q2bde=839p1;6nhn1>?=4=0dgf?45;2wx=kok:18087aim0<;>521gcf>74434;mhn4=229~w4`fm3:1?v3>f`g9325<58ljj7<=3:?2bab=:;90q~?iag83>6}:9okm6:9<;<3ef5<5::16=kjj:300?xu6nk:1<7=t=0da4?10;27:jo?5231894`cn389?6s|1g`2>5<4s4;mn<48729>5cd52;8870?ie18166=z{8li>7>53z?2bg4=?>901;6nl;1>?=4}r3ef6<72:q6=kl<:650?87aj=09>>521gg1>7443ty:jo:50;1x94`e<3=f`59675<58lo87<=3:p5cd22908w0?ia68:1<=:9on?698?;<3ef3<0?:1vu21gc:><3>34;mh;4;619>5cdf2>=87p}>fc`94?5|58ljm77:9:?2ba1={t9oho6=4<{<3eeg<>=016=kj7:543?87ajl0<;>5rs0dab?6=:r7:ji75472894`d83=5<4s4;mmn46589>5cbf2=<;70?ic38436=z{8lh?7>53z?2bdb=1<301;6nj>1;:=4}r3eg0<72:q6=koj:87:?87alj0?:=521ga5>2143ty:jn950;1x94`fn33>563>fef9036<58lh47983:p5ce>2908w0?ib18:1<=:9onn698?;<3egd<0?:1vu21g`1><3>34;mi=4;619>5ceb2>=87p}>fbd94?5|58li?77:9:?2b`7={t9on:6=4<{<3ef1<>=016=kk=:543?87al;0<;>5rs0df7?6=>r7:jh=591f894`3=39mi63>edf97cc<58l287=if:?1571=;oo01?>lf;1eb>{t9oo?6=4={<3ea6<>8o16=kk::650?xu6nl<1<7=t=0de2??7l279<<65413894`f>39mi6s|1ggg>5<4s4;mj:460e9>657?2=:;70?ic380ba=z{8ln;7>53z?2bc>=19n01?>>a;1eb>;6nk<1?kj4}r3ea=<72:q6=kh6:82g?8479h08jh521g`;>6`c3ty:jh750;1x94`ai33;h63=00;9057<58lim7=id:p5ccf2908w0?ifc8:4a=::9;269>?;<3eff<4nm1vu21gdg><6c348;=445ce72:lo7p}>fdg94?5|58lmi77?d:?144>=;ol01{t9oom6=4<{<3ebc<>8m16>=?7:2df?87ak?08ji5rs0de4?6=;r79<=>591f89766?3>;=63>fb:97cb656620:o70hk;|q2bc4=839p1?>?2;;3`>;588=1?kh4=0d`g?5al2wx=kh<:1808478:026`b34;moh46}::9:>64>k;<035d<38916=kj=:2dg?xu589<1<70;6?u21gf7>214348;=k4;009~w76703:1>v3>fe79325<5;:9=7:?1:p656>2909w0?id78436=::98869>>;|q145g=838p1;58;?18=?4}r034g<72;q6=kj7:650?847:>0?<<5rs323g?6=:r7:ji757618976513>;=6s|212g>5<5s4;mhl48729>654e2=::7p}=01g94?4|58lon7983:?147b=<9;0q~7}:9onh6:9<;<036c<3881v?>>0;296~;6nmn1;:=4=3205?2792wx>=?>:18187all0<;>522110>1663ty9<<<50;0x94`cn3=4?:3y>5cc72>=87052z?2b`7=?>901?><9;635>{t:9;>6=4={<3ea7<0?:16>==m:522?xu588<1<7?={<035g<0?:16>=?k:2de?847:k08jk52210g>6`a348;>k465562:lm706>hi;<0372<4no16>==6:2de?847;k08jk52210:>6`a348;>:465422:lm70hi;<035c<4no16=kk::2de?xu588=1<7214348;=o4v3=00;9325<5;::n7:?0:p657f2909w0>;|q144e=838p1;588n1;:=4}r035`<72;q6=kh7:36g?8479o0<;>5rs3214?6=:r7:jk7525f8976593=5<5s4;mjl4=4e9>65442>=87p}=03694?4|58lmn7<;d:?1473=?>90q~7}:9olh6?:k;<0362<0?:1v?>=8;296~;6non1>9j4=321=?10;2wx>=098i52210a>2143ty9h4?:3y>5c`a2;>o7052z?1456=:=n01?><1;547>{t:9996=4={<0344<5==<:650?xu58:>1<7;57618yv47;?0;6?u22120>72c348;?:48729~w76403:1>v3=016961b<5;:857983:p655f2909w0;1;;3`>;58=218=>4=327e?5am279<98541389763k39mj63=04597cc<5;:3:7=ie:?14=d=1<301?>:b;635>{t:99n6=4m{<0307<>8m16>=:7:2df?8476`a348;954;019>65>02:ln70hi;<03=6k:2df?847=k08jk5rs320b?6=jr79<9=591f89763039mj63=05c9056<5;:?:7=ie:?140>=;ol01?>77;1eb>;581k1?kk4=32;652320:o70=69>?;<031=<4nl16>=69:2de?847000?<=52217a>1673ty9<9;50;0x9763<38?h63=054932565242;>o7052z?1414=:=n01?>;a;547>{t:9>i6=4={<0304<5=:l:650?xu58=n1<7=t=327`??7l279<8:53gd89762939mj6s|216f>5<5s48;8i4=4e9>652a2>=87p}=04294?4|5;:?h7<>c:?1407=?>90q~7}::9?964>k;<026d<3891v?>:3;296~;58<81>=;::18a847=<0272c348;8n4;019>65302:lm70?;<026<<38916>=9>:2de?8476`b348;9h43:1>v3=047961b<5;:>;7983:p653>2909w0:b;547>;58=;k:650?847=o08jk5rs326a?6=:r79<8k576189762n39mi6s|2143>5<5s48;:5460e9>65>f2=::7p}=07394?4|5;:=577?d:?14=1=<9:0q~7}::9k;<0393;296~;58?h15=j4=32;`?2782wx>=8;:181847>j026`a3ty9<;;50;0x9761l33;h63=0959057650b20:o7052z?143`=19n01?>76;634>{t:9=;6=46{<032=<59j16>=86:33`?847>h09=n52214a>77d348;:n4=1b9>650c2;;h7082;296~;58>k15=j4=325=9<:181847?k0272c3ty9<::50;0x9760k33;h63=07c961b651c20:o7052z?142c=19n01?>9c;07`>{t:9=<6=4={<033c<>8m16>=8k:36g?xu58>21<7<6c348;:k4=4e9~w76?:3:15v3=06c964e<5;:c:?142e=:8i01?>8d;02g>;58>o1>520a8976?938:o63=091932516634oh97:?1:?ff=<38816ill541389`?b2=::70k61;635>;b0=0?<<52e659057<5l>;a15=<9;01h=::522?8c513>;=63j1b8744=:m9l18=?4=d21>16634nm97:?1:?ga=<38816hil541389aeb2=::70jl1;635>;cj=0?<<52d`49057<5m3j69>>;`25=<9;01i8::522?8b213>;=63k4b8744=:l:l18=?4=e11>16634n997:?1:?g5=<38816h=o54138yv470<0;6?u221:7>72c348;4448729~w76?>3:1>v3=0949325<5;:357=ie:p65>02909w0>;|q14=>=838p1?>78;547>;58131?kh4}r03=6n:650?8470j0?<<5rs32;f?6=:r79<5754138976?j3=5<4s48;4k48729>65?12;887053z?14<6=?>901?>7f;;6=>;58kn18;>4}r03=4<72:q6>=7>:650?8471;09>>5221`e>7443ty9<4<50;1x976>:3=64;297~;580>1;:=4=32:1?45;279214348;o>4=229~w76>>3:1?v3=0849325<5;:2;7<=3:?14f3=:;90q~6}::93<6:9<;<03==<5::16>=m9:300?xu58021<7=t=32:5<4s48;5448729>65?f2;887053z?14901?>6b;017>;58j31>?=4}r03=g<72:q6>=7m:650?8471j09>>5221ab>7443ty9<4m50;1x976>k3=6e;297~;580o1;:=4=32:5?45;279u221;2><3>348;nh4;619>65g72>=87p}=0`394?5|5;:2>77:9:?14g`=n2;547>{t:9k86=4<{<03=6<>=016>=m?:543?847i=0<;>5rs32b1?6=;r79<4:594;8976d93>=<63=0`4932565?220?270l3;654>;58hk1;:=4}r03eg<72:q6>=79:87:?847k=0?:=5221c`>2143ty9?33>563=0b79036<5;:ji7983:p65ga2908w0m1;297~;580315874=32`3?218279u221;b><3>348;o54;619>65d32>=87p}=0c794?5|5;:2n77:9:?14f?=m6;547>{t:9h<6=4<{<03=f<>=016>=mn:543?847j10<;>5rs32a=?6=;r79<4j594;8976dj3>=<63=0cc932565?b20?27074;02g>;58=;1>;7:?0:?140e=1<30q~7}::9io64>i;<03gc<0?:1v?>k0;297~;58l:15=j4=3336?279279<4>53gg8yv47l>0;6>u221g2><6c348:65gd2:lo7p}=0e394?5|5;:n>77?d:?1552=;ol01?>n0;1e`>{t:9n96=4<{<03a6<>8m16><>;:2df?847i;08ji5rs32g7?6=;r79;=63=0`697cb65c220:o70<>028745=::9k=6>hk;|q14a3=839p1?>j6;;3`>;59991?kh4=32b=j9:180847m>026`b348;ml47=if:?14dc=;on0q~6}::9o264>k;<0247<4nl16>=l?:2dg?xu58mk1<7=t=32fe??7l279==?54138976e:39mh6s|21fa>5<4s48;io460e9>64662=:;7053z?14`e=19n01???1;1eb>;58k<1?kj4}r03`a<72:q6>=kk:82g?8468808jh5221`;>6`c3ty9?;<03ff<4nm1v?>i0;296~;58kn1;:=4=3333?2792wx>=h>:181847jl0<;>52202:>6`b3ty94?:3y>65e72>=870<>0e80b`=z{;:m87>52z?14f7=?>901???f;1ea>{t:9l>6=4={<03g7<0?:16>:2df?xu58o<1<70;6?u221a7>214348:=84;009~w76a03:1>v3=0b79325<5;;:;7:?1:p65`>2909w0>;|q14cg=838p1?>l7;547>;598h1?kk4}r03bg<72;q6>=m7:650?8469m08jh5rs32eg?6=:r795<5s48;ol48729>64462:ln7p}=0gg94?4|5;:hn7983:?1575=;oo0q~7}::9ih6:9<;<0260<4nl1v???0;2957}::8:>6:9<;<03gc<38916>08jh522036>6`b348:=>464762:lm70<>0g80bc=::8:o6>hi;<024g<4no16><>6:2de?846:<08jk522000>6`a348:><4647a2:lm70<>1e80bc=::8;i6>hi;<0242<4nl1v???1;296~;599;1;:=4=3331?5am2wx><>=:1818468;0<;>522026>6`a3ty9===50;0x9777;3=64632>=870<>048744=z{;;;:7>52z?14`6=:=n01???7;547>{t:8:36=4={<03a7<5<>6:650?xu599k1<772c348:v3=0d7961b<5;;;j7983:p64772909w0j7;07`>;59891;:=4}r0251<72;q6>=k>:36g?8469<0<;>5rs3322?6=:r795<5s48;i44=4e9>647>2>=87p}=10c94?4|5;:nm7<;d:?154d=?>90q~<>1b83>7}::9oi6?:k;<025a<0?:1v??>e;296~;58li1>9j4=332b?10;2wx><2143ty9=?<50;0x976bm38?h63=131932594?:3y>65ca2;>o70<>248436=z{;;9:7>510y>65c72;;h70=kn:33`?847mk09=n5221g`>77d348;ii4=1b9>65cb2;;h70=k;:33`?847m<09=n5221g5>77d348;i:4=1b9>64402>=87p}=12394?5|5;;8=7983:?1564=:;901??;4;017>{t:8996=4<{<0277<0?:16><=<:300?846<<09>>5rs3307?6=;r79=>=576189774<389?63=154967564532>=870<>348166=::8><6?<<;|q1563=839p1??<5;547>;59:<1>?=4=337<=9:180846;?0<;>522014>744348:844=229~w774?3:1?v3=1259325<5;;847<=3:?151g=:;90q~<>3983>6}::8936:9<;<027<<5::16><:m:300?xu59:31<7u2201b>214348:?446589>642e2=<;7p}=12`94?5|5;;8n7983:?1567=1<301??;3;654>{t:89h6=4<{<027f<0?:16><==:87:?846<=0?:=5rs330`?6=;r79=>j576189774;33>563=1579036645b2>=870<>358:1<=::8>=698?;|q156`=839p1??;59:?15874=3373?2182wx><:?:180846<90<;>522015><3>348:854;619~w77393:1?v3=1539325<5;;8;77:9:?151?=4383>6}::8>96:9<;<027=<>=016><:n:543?xu59=n1<7214348:9;4=229~w77283:1>v3=1429325<5;;>=7<=3:p64362909w0<>508436=::8?96?<<;|q1504=838p1??:2;547>;59<91>?=4}r0216<72;q6><;<:650?846==09>>5rs3360?6=:r79=8:576189772=389?6s|2075>5<5s48:9;48729>64302;887p}=14594?4|5;;>;7983:?150>=:;90q~<>5983>7}::8?36:9<;<021<<5::1v??:9;296~;59<31;:=4=336e?45;2wx><;n:181846=h0<;>52207a>7443ty9=8l50;0x9772j3=643d2>=870<>5e8166=z{;;>h7>52z?150b=?>901??:e;017>{t:8?n6=4={<021`<0?:16><;?:300?xu5990;6?u22073><3>348::=48729~w77193:1>v3=1439=0?<5;;==7983:p64052909w0<>538:1<=::8<96:9<;|q1535=838p1??:3;;6=>;59?91;:=4}r0221<72;q6><;;:87:?846>=0<;>5rs3351?6=:r79=8;594;89771=3=5<5s48:9;46589>64012>=87p}=17594?4|5;;>;77:9:?1531=?>90q~<>6983>7}::8?364;6;<022=<0?:1v??99;296~;59<315874=335=?10;2wx><8n:181846=h029452204b>2143ty9=;l50;0x9772j33>563=17`9325643d20?270<>6b8436=z{;;=h7>52z?150b=1<301??9d;547>{t:8=016><8j:650?xu59?l1<7214348:;<4=229~w77093:1>v3=1639325<5;7=if:p64152909w0<>738436=::8=86?<<;|q1525=838p1??83;547>;59>>1>?=4}r0231<72;q6><9;:650?846?<09>>5rs3341?6=:r79=:;576189770>389?6s|2055>5<5s48:;;48729>64102;887p}=16594?4|5;;<;7983:?152>=:;90q~<>7983>7}::8=36:9<;<023<<5::1v??89;296~;59>31;:=4=334e?45;2wx><9n:181846?h0<;>522053>7443ty9=:l50;0x9771n33>563=16`9325641720?270<>7b8436=z{;;52z?1527=1<301??8d;547>{t:8=n6=4={<0237<>=016><9j:650?xu59>l1<7<3>348:4=48729~w77?93:1>v3=1679=0?<5;;3=7983:p64>52909w0<>778:1<=::8296:9<;|q15=5=838p1??87;;6=>;59191;:=4}r02<1<72;q6><97:87:?8460=0<;>5rs33;1?6=:r79=:7594;8977?=3=5<5s48:;l46589>64>12>=87p}=19594?4|5;;3;7983:?15=g=:;90q~<>8983>7}::8236:9<;<02<<<5::1v??79;296~;59131;:=4=34f4?5an2wx><6n:1818460h0<;>5220:a>7443ty9=5l50;0x977?j3=64>d2>=870<>8e8166=z{;;3h7>52z?15=b=?>901??7e;017>{t:82n6=4={<02<`<0?:16><6i:300?xu591l1<752318yv46190;6?u220;3>214348:5<4=229~w77>93:1>v3=1839325<5;;2>7<=3:p64?52909w0<>938436=::8236?<<;|q15<5=838p1??77;;6=>;59091;:=4}r02=1<72;q6><67:87:?8461=0<;>5rs33:1?6=:r79=57594;8977>=3=5<5s48:4l46589>64?12>=87p}=18594?4|5;;3n77:9:?15<1=?>90q~<>9983>7}::82h64;6;<02==<0?:1v??69;296~;591n15874=33:=?10;2wx><7n:1818460l02945220;b>2143ty9=4l50;0x977?n33>563=18`932564?720?270<>9b8436=z{;;2h7>52z?15<7=1<301??6d;547>{t:83n6=4={<02=7<>=016><7j:650?xu590l1<7214348:m<4=229~w77f93:1>v3=1`39325<5;a38436=::8k86?<<;|q15d5=838p1??n3;547>;59h>1>?=4}r02e1<72;q6>>5rs33b1?6=:r79=l;57618977f>389?6s|20c5>5<5s48:m;48729>64g02;887p}=1`594?4|5;;j;7983:?15d>=:;90q~<>a983>7}::8k36:9<;<02e<<5::1v??n9;296~;59h31;:=4=33be?45;2wx>5220c3>7443ty9=ll50;0x977>n33>563=1``932564g720?270<>ab8436=z{;;jh7>52z?15d7=1<301??nd;547>{t:8kn6=4={<02e7<>=016><3>348:n=48729~w77e93:1>v3=1`79=0?<5;;i=7983:p64d52909w0<>a78:1<=::8h96:9<;|q15g5=838p1??n7;;6=>;59k91;:=4}r02f1<72;q6>5rs33a1?6=:r79=l7594;8977e=3=5<5s48:ml46589>64d12>=87p}=1c594?4|5;?9m7<;d:?15gg=?>90q~<>b983>7}::<8i6?:k;<02fg<0?:1v??m9;296~;5=;i1>9j4=33ag?10;2wx>5220a;>744348:i94=229~w77em3:1?v3=1cg9325<5;;h<7<=3:?15ac=:;90q~<>bg83>6}::8hm6:9<;<02f`<>=016>5<4s48:o<48729>64e720?270<>dd8725=z{;;h>7>53z?15f4=?>901??l4;017>;59l:1>?=4}r02g6<72:q6>1073ty9=n:50;1x977d<3=c48436=::8i?64;6;<02a5<3>91v??l6;296~;59j<1;:=4=33f6?45;2wx>0<;>5220a5><3>348:i<4;619~w77d03:1?v3=1b:9325<5;;hm7<=3:?15`3=:;90q~<>c883>6}::8i26:9<;<02g=<>=016>389?6s|20aa>5<4s48:oo48729>64ef20?270<>e48725=z{;;ho7>53z?15fe=?>901??le;017>;59l=1>?=4}r02ga<72:q6>1073ty9=nk50;1x977dm3=cg8436=::8in64;6;<02a2<3>91v??k0;297~;59m:1;:=4=33g6?45;279=h752318yv46l80;6>u220f2>214348:h=46589>64c?2=<;7p}=1e094?5|5;;o>7983:?15a2=:;901??ja;017>{t:8n86=4<{<02`6<0?:16>389?63=1d`967564b22>=870<>d58:1<=::8oj698?;|q15a0=839p1??k6;547>;59m21>?=4=33fg?45;2wx>0<;>5220f5><3>348:io4;619~w77c03:1?v3=1e:9325<5;;ii7<=3:?15ab=:;90q~<>d883>6}::8n26:9<;<02`=<>=016>5<5s48:i>48729>64c52=<;7p}=1g194?5|5;;m?7983:?15c`=:;901?<6c;017>{t:8l?6=4<{<02b1<0?:16>389?63=281967564`12>=870<>f68166=::;3?6?<<;|q15c1=839p1??i7;547>;59o21>?=4=30:1?45;2wx>5220d:>74434895;4=229~w77a13:1?v3=1g;9325<5;;mm7<=3:?16<1=:;90q~<>f`83>6}::8lj6:9<;<02bg<5::16>?77:300?xu59oh1<7=t=33ef?10;279=km52318974>1389?6s|20d`>5<4s48:jn48729>64`c2;8870<=9`8166=z{;;mh7>53z?15cb=?>901??ie;017>;5:0h1>?=4}r02b`<72:q6>>5223;g>7443ty9=kh50;1x977an3=4h52318yv458;0;6>u22321>2143489<>4=229>67g72;887p}=21194?5|5;8;?7983:?1652=:;901?{t:;:?6=4<{<0141<0?:16>?>::300?845i;09>>5rs3031?6=;r79>=;576189747>389?63=2`1967567612>=870<=068166=::;k?6?<<;|q1651=839p1?;5:921>?=4=30b1?45;2wx>?>7:180845810<;>52232:>7443489m;4=229~w74713:1?v3=21;9325<5;8;n7<=3:?16d>=:;90q~<=0`83>6}::;:j6:9<;<014f<5::16>?on:300?xu5:9h1<7l752318yv458j0;6>u2232`>214348967ge2;887p}=21f94?5|5;8;h7983:?165c=:;901?{t:;:n6=4<{<014`<0?:16>?>i:300?845im09>>5rs303b?6=;r79>=h5761897468389?63=2`g967567772>=870<=108166=::;km6?<<;|q1647=839p1?<>1;547>;5:881>?=4=30a4?45;2wx>??=:1808459;0<;>5220d6>74434895?4=229~w746;3:1>v3=632961b<5;8:87983:p67722909w0<920810a=::;;26:9<;|q1640=838p1?8=2;07`>;5:8=1;:=4}r015=<72;q6>;<<:36g?8459h0<;>5rs302f?6=:r79:?:525f89746k3=5<5s48=>84=4e9>677b2>=87p}=20d94?4|5;<9:7<;d:?1676=?>90q~<=2083>7}::?8<6?:k;<0167<0?:1v?<=3;296~;5>;21>9j4=3010?10;2wx>?<::181841:0098i522305>2143ty9>?950;0x9705i38?h63=23:932544?:3y>634e2;>o70<=2`8436=z{;89n7>52z?127e=:=n01?<=f;547>{t:;8h6=4={<056a<5?>>57618yv45;80;6?u2270e>72c3489??48729~w744;3:1>v3=622961b<5;8887983:p67522909w0<930810a=::;9=6:9<;|q1661=838p1?8<2;07`>;5::21;:=4}r017<<72;q6>;=<:36g?845;h0<;>5rs300f?6=:r79:>:525f89744k3=5<5s48=?84=4e9>675b2>=87p}=22d94?4|5;<8:7<;d:?1616=?>90q~<=4083>7}::?9<6?:k;<0103<0?:1v?<;2;296~;5>:21>9j4=3077?10;2wx>?:;:181841;0098i522366>2143ty9>9950;0x9704i38?h63=25:9325635e2;>o70<=4`8436=z{;8?n7>52z?126e=:=n01?<;c;547>{t:;>o6=4={<057a<5?:j:650?xu5:=l1<78>57618yv45=80;6?u2271e>72c34899?48729~w742;3:1?v3=1g79=0?<5;82>7:90:?1602=?>90q~<=5483>6}::8l=64;6;<01=6<3>916>?;9:650?xu5:<=1<7=t=33e3??21279>4:54728974203=5<4s48:j546589>67?22=<;70<=5`8436=z{;8>n7>53z?15c?=1<301?<66;654>;5:0?:=52237f>2143ty9>8h50;1x977aj33>563=28:9036<5;8=<7983:p67062908w0<>fb8:1<=::;32698?;<0127<0?:1v?<93;297~;59on15874=30:e?218279>;:57618yv45><0;6>u220df><3>34895o4;619>67012>=87p}=27594?5|5;;mj77:9:?16{t:;<26=4<{<0145<>=016>?7k:543?845>h0<;>5rs305f?6=;r79>=?594;8974>m3>=<63=27a9325676520?270<=9g8725=::;;5:h:18;>4=3044?10;2wx>?9>:1808458=02945223c2>1073489;?48729~w740;3:1?v3=2179=0?<5;8j>7:90:?1622=?>90q~<=7483>6}::;:=64;6;<01e6<3>916>?99:650?xu5:>=1<7=t=3033??21279>l:54728974003=5<4s489<546589>67g22=<;70<=7`8436=z{;853z?165?=1<301?;5:>i1;:=4}r013a<72:q6>?>n:87:?845i>0?:=52235f>2143ty9>:h50;1x9747j33>563=2`:9036<5;83<7983:p67>62909w0<=a88725=::;296:9<;|q16=5=839p1?;5:hk18;>4=30;0?10;2wx>?6::1808458m02945223ca>10734894;48729~w74??3:1?v3=21g9=0?<5;8jo7:90:?16=>=?>90q~<=8883>6}::;:m64;6;<01ea<3>916>?6n:650?xu5:1h1<7=t=3024??21279>lk54728974?k3=5<4s489=<46589>67ga2=<;70<=8d8436=z{;83j7>53z?1644=1<301?;5:0:1;:=4}r01f4<72:q6>?l>:650?845j=09>>5223a`>7443ty9>o<50;0x974e:3=4?:2y>67d42>=870<=b38:1<=::;i2698?;|q16g2=839p1?;5:k<1>?=4=30``?45;2wx>?l::180845j<0<;>5223`7><3>3489on4;619~w74e>3:1?v3=2c49325<5;8i47<=3:?16fc=:;90q~<=b683>6}::;h<6:9<;<01f3<>=016>?mk:543?xu5:k21<7=t=30aoo52318974dn389?6s|23`:>5<4s489n448729>67d?20?270<=cd8725=z{;8im7>53z?16gg=?>901?;5:m:1>?=4}r01fg<72:q6>?lm:650?845jh02945223ae>1073ty9>om50;1x974ek3=91v?i<52318yv45jo0;6>u223`e>2143489nh46589>67b62=<;7p}=2b294?5|5;8h<7983:?16f4=:;901?{t:;i:6=4<{<01g4<0?:16>?m?:87:?845l;0?:=5rs30`6?6=;r79>n<57618974d<389?63=2e696754?:2y>67e42>=870<=c38:1<=::;n8698?;|q16f2=839p1?;5:k81>?=4=30`=?45;2wx>?m::180845k<0<;>5223a7><3>3489h94;619~w74d>3:1?v3=2c39=0?<5;8h47:90:?16f1=?>90q~<=cc83>7}::;ii6:9<;<01gd<3>91v?k>52318yv45l?0;6?u223f5>2143489ih4=229~w74c?3:1?v3=2e59325<5;8o:77:9:?16`b=6}::;n36:9<;<01`d<5::16>?h>:300?xu5:m31<7=t=30g=?10;279>i6594;8974a83>=<6s|23fb>5<4s489hl48729>67bd2;8870<=f38166=z{;8on7>53z?16ad=?>901?;5:o;18;>4}r01`f<72:q6>?jl:650?845ll09>>5223d0>7443ty9>ij50;1x974cl3=7:90:p67bb2908w0<=dd8436=::;o;6?<<;<01b1<5::1v?k=54728yv45m90;6>u223g3>2143489i?4=229>67`22;887p}=2d394?5|5;8n=7983:?16`6=1<301?{t:;o96=4<{<01a7<0?:16>?k;:300?845n?09>>5rs30f7?6=;r79>h=57618974b:33>563=2g7903667c32>=870<=e78166=::;l<6?<<;|q16`3=839p1?;5:l>15874=30e2?2182wx>?k9:180845m?0<;>5223g;>7443489j54=229~w74b?3:1?v3=2d59325<5;8n:77:9:?16c1=6}::;o36:9<;<01`3<5::16>?kk:300?xu5:l31<7=t=30f=?10;279>h6594;8974a03>=<6s|23gb>5<4s489h846589>67cd2=<;70<=ec8436=z{;8nj7>52z?16``=?>901?{t:;l26=4<{<01b<<0?:16>?hl:300?8449=09>>5rs30ee?6=:r79>ko576189756:389?6s|23da>5<4s489jo48729>67`f20?270<<108725=z{;8mo7>53z?16ce=?>901?;5;8?1>?=4}r01ba<72:q6>?hk:650?845nj0294522237>1073ty9>kk50;1x974am3=91v?=?0;297~;5;9:1;:=4=3136?45;279?<952318yv44880;6>u22222>2143488<=46589>66712=<;7p}=31094?5|5;9;>7983:?1752=:;901?=>8;017>{t:::86=4<{<0046<0?:16>>>=:87:?8449>0?:=5rs3130?6=;r79?=:576189757>389?63=30;967566622>=870<<058:1<=:::;3698?;|q1750=839p1?=?6;547>;5;921>?=4=312e?45;2wx>>>8:1808448>0<;>522225><3>3488=44;619~w75703:1?v3=31:9325<5;9;m7<=3:?174d=:;90q~<<0883>6}::::26:9<;<004=<>=016>>?n:543?xu5;9k1<7=t=313e?10;279?=m523189756k389?6s|222a>5<4s488666f20?270<<1c8725=z{;9;o7>53z?175e=?>901?;5;8;1>?=4}r004a<72:q6>>>k:650?8448j029452223`>1073ty9?=k50;1x974a133>563=3029036<5;9;j7983:p66742909w0<<128436=:::;9698?;|q174b=838p1?=>d;547>;5;;<1?kh4}r005`<72;q6>>?j:650?844:?08jh5rs312b?6=:r79?;=6s|2203>5<5s488>=48729>667a2:lm7p}=33394?4|5;99=7983:?1776=;ol0q~<<2383>7}:::896:9<;<005c<4nl1v?==3;296~;5;;91;:=4=3114?5am2wx>><;:181844:=0<;>522201>6`a3ty9??;50;0x9755=3=;4?:3y>66412>=870<83`80b`=z{;99;7>52z?1771=?>901?=<0;1eb>{t::836=4={<006=<0?:16>>=?:2df?xu5;;31<7754138yv44:h0;6?u2220b>2143488>44v3=33`9325<5;99m7=if:p664d2909w0<<2b8436=:::826>hj;|q177b=838p1?==d;547>;5;;k1?kk4}r006`<72;q6>>5<5s488?=48729>62512:ln7p}=32394?4|5;98=7983:?176g=;ol0q~<<3383>7}:::996:9<;<007d<4nl1v?=<3;296~;5;:91;:=4=3575?2792wx>>=;:181844;=0<;>522210>6`a3ty9?>;50;0x9754=3=66512>=870<<3280b`=z{;98;7>52z?1761=?>901?=<4;1ea>{t::936=4={<007=<0?:16>>=9:2de?xu5;:31<7853gg8yv44;h0;6?u2221b>214348v3=32`9325<5;9?87=if:p665d2909w0<<3b8436=:::>?6>hj;|q176b=838p1?=;5?==18=?4}r007`<72;q6>>=j:650?844;m08jk5rs310b?6=:r79?>h576189754m39mj6s|2263>5<5s4888=48729>665c2:ln7p}=35394?4|5;9?=7983:?176c=;oo0q~<<4383>7}:::>96:9<;<0005<4no1v?=;3;296~;5;=91;:=4=3174?5am2wx>>:;:181844<=0<;>522667>6`b3ty9?9;50;0x9734=38?h63=354932560512;>o70<<468436=z{;9?o7>52z?1161=:=n01?=;d;547>{t::?;6=4={<067=<5>;?:650?xu5;<91<772c34889548729~w752?3:1>v3=3459325<5;9>47=if:p663>2909w0<:3c810a=:::?i6:9<;|q170g=838p1?=:a;547>;5;8=l:36g?844=l0<;>5rs316`?6=:r79?8j576189752m39mj6s|227e>5<4s4889k48729>66012;8870<<958166=z{;9=<7>53z?1736=?>901?=:f;;6=>;5;1n18;>4}r0024<72:q6>>8>:650?844>;09>>5222:e>7443ty9?;<50;1x9751:3=1;:=4=3151?45;279?4<52318yv44><0;6?u22246>21434885>4=229~w751>3:1?v3=3749325<5;9=;7<=3:?17<3=:;90q~<<6683>6}:::<<6:9<;<002=<5::16>>79:300?xu5;?21<7=t=315?389?6s|224:>5<4s488:448729>660f2;8870<<998166=z{;9=m7>53z?173g=?>901?=9b;017>;5;031>?=4}r002g<72:q6>>8m:650?844>j09>>5222;b>7443ty9?;m50;1x9751k3=o0;6>u22242><3>34884h4;619>66172>=87p}=36394?5|5;9=>77:9:?17=`={t::=86=4<{<0026<>=016>>7?:543?844?=0<;>5rs3141?6=;r79?;:594;8975>93>=<63=3649325660220?270<<938725=:::=36:9<;|q172?=838p1?=63;654>;5;>k1;:=4}r003g<72:q6>>89:87:?8441=0?:=52225`>2143ty9?:j50;1x9751?33>563=3879036<5;9u2224b><3>3488554;619>66>32>=87p}=39794?5|5;9=n77:9:?17{t::2<6=4<{<002f<>=016>>7n:543?844010<;>5rs31;=?6=;r79?;j594;8975>j3>=<63=39c9325660b20?270<<9b8725=:::2h6:9<;|q17;5;h:1>?=4=31`6?45;2wx>>7j:1808441l0<;>5222;g><3>3488nk4;619~w75>n3:1>v3=38d9325<5;9h=7<=3:p66g72908w0<u222c1>2143488m>4=229>66e22;887p}=3`194?5|5;9j?7983:?17d2=:;901?=l6;017>{t::k?6=4<{<00e1<0?:16>>o::300?844k>09>>5rs31b1?6=;r79?l;57618975f>389?63=3b:967566g12>=870<;5;h21>?=4=31`e?45;2wx>>o7:180844i10<;>5222;e>7443488o=4=229~w75f13:1?v3=38d9=0?<5;9h<7:90:?17dg=?>90q~<7}:::i:698?;<00ef<0?:1v?=nd;297~;5;h:15874=31`6?218279?lk57618yv44io0;6>u222c2><3>3488o>4;619>66d72>=87p}=3c394?5|5;9j>77:9:?17f2={t::h86=4<{<00e6<>=016>>m::543?844j=0<;>5rs31a1?6=;r79?l:594;8975d>3>=<63=3c4932566g220?270<;5;j218;>4=31ae?10;2wx>>lm:180844i>02945222a:>1073488nn48729~w75el3:1?v3=3`:9=0?<5;9hm7:90:?17gc=?>90q~<6}:::ii6:9<;<00g`<5::16>>h?:300?xu5;ji1<7=t=31`g?10;279?nl594;8975bl3>=<6s|22ag>5<5s488oi48729>66ca2;887p}=3bg94?5|5;9hi7983:?17f`=:;901?=i1;017>{t::im6=4<{<00gc<0?:16>>j?:300?844n;09>>5rs31g4?6=;r79?i>57618975c9389?63=3g1967566b62>=870<;5;m91>?=4=31e1?45;2wx>>j<:180844l:0<;>5222f7>7443488j;4=229~w75c<3:1?v3=3e69325<5;9o97<=3:?17c1=:;90q~<6}:::n>6:9<;<00`3<5::16>>h7:300?xu5;m<1<7=t=31g2?10;279?nj52318975bm389?6s|22f4>5<4s488oi46589>66cb2=<;70<52z?17``={t::ni6=4<{<00g`<>=016>>h?:543?844lj0<;>5rs31g`?6=;r79?nh594;8975a93>=<63=3eg932566b720?270<;5;o918;>4=31f6?10;2wx>>k<:180844l;02945222d7>1073488i948729~w75b=3:1?v3=3e19=0?<5;9m97:90:?17`0=?>90q~<6}:::n?64;6;<00b3<3>916>>k7:650?xu5;l31<7=t=31g1??21279?k954728975bi3=5<4s488h;46589>66`?2=<;70<53z?17c?=?>901?=ic;017>;5<8o1>?=4}r00bd<72:q6>>hn:650?844n0029452253a>1073ty9?kl50;0x975aj3=66`d2>=870<;5;oo1>?=4=3614?45;2wx>>hj:180844nl0<;>5222de>744348?><4=229~w75an3:1?v3=3gd9325<5;>;<7<=3:?1074=:;90q~<;0183>6}::=:;6:9<;<0744<5::16>9<<:300?xu5<9;1<7=t=3635?10;2798=<523189725<389?6s|2521>5<4s48?61642;8870<;248166=z{;>;?7>53z?1055=?>901?:?4;017>;5<;<1>?=4}r0741<72:q6>9>;:650?844nk09>>52253`>7443ty98=;50;1x975aj33>563=40a9036<5;>;:7983:p61602909w0<;1e8725=::=:36:9<;|q105?=839p1?=ic;;6=>;5<8o18;>4=363e?10;2wx>9>m:180844nm029452253e>107348?9<7:90:?105c=?>90q~<;0g83>6}:::lm64;6;<0764<3>916>9??:650?xu5<8;1<7=t=3634??212798?<547289726:3=5<4s48?<<46589>61442=<;70<;158436=z{;>:97>53z?1054=1<301?:=4;654>;5<8<1;:=4}r0752<72:q6>9><:87:?843:<0?:=52253;>2143ty98<750;1x9727<33>563=4349036<5;>:m7983:p614?2909w0<;298436=::=8h6>hj;|q107?=838p1?:=9;547>;5<;i1?kh4}r076d<72;q6>9;=6s|250`>5<5s48?>n48729>61402=:;7p}=43f94?0|5;?;87=if:?1175=<9:01?;?9;634>;5=8h18=>4=3727?2782798kj57618yv43:l0;6;u227ca>6`a348=5k4;019>63102=:;70<9858745=::??i6:9<;<051f<4nm1v?:=f;290~;5=h81;:=4=37b2?27827994:53gg8973>=39mi6s|2513>563102:ln70<85g80b`=::>?36>hj;<0410<0?:16>:;9:2dg?840<=0?<=52261f>167348625f2=:;7p}=42394?3|5;?;;7983:?115>=;on01?;?c;1ea>;5=8i1?kk4=3720?5am2wx>9==:180842i<0<;>5224c4>167348>5l4;5>1?1?kj4}r0771<72;lk:523?8410o0?<=522747>167348=9i48729>633f2:ln7p}=42794?3|5;?;j7=if:?114`=;ol01?;>7;1eb>;5=9k1;:=4=373f?5al2wx>9=9:180846mo0<;>5220d1>6`a348>h94;009~w724?3:18v3=5859325<5;?j:7=if:?11d1=;ol01?;6b;;6=>{t:=936=4<{<06gg<0?:16>8j;:523?842lj08jk5rs360=?6=>r79;>j53gg89714=39mi63=72697cc<5;<=?>901?9;9;1e`>{t:=9j6=4={<0707<>8m16?>l8:36g?xu5<:h1<7<6c3498n44=4e9~w724l3:1>v3=4579=5b<5:9im7<;d:p615b2909w0<;478:4a=:;:hi6?:k;|q106`=838p1?:;7;;3`>;4;ki1>9j4}r0705<72;q6>9:7:82g?854jm098i5rs3675?6=:r79897591f8965em38?h6s|256b>5<5s48?8?4=4e9>612e2>=87p}=45a94?4|5;>??7<;d:?101b=?>90q~<;4d83>7}::=>?6?:k;<070c<0?:1v?::0;296~;5<=?1>9j4=3665?10;2wx>9;=:1818432143ty988:50;0x9723?38?h63=4479325612?2;>o70<;568436=z{;>>47>52z?101?=:=n01?::9;547>{t:=?j6=4={<06f4<5<:j:650?xu5<<:53gg8yv43=j0;6?u224a7>72c348:ii48729~w722l3:1>v3=6b7961b<5;8h47983:p613b2909w0<9d2810a=::;oh6:9<;|q100`=838p1?;:a;07`>;59mi1;:=4}r0725<72;q6>;k>:36g?844990<;>5rs3655?6=:r798h;525f89773;3=5<5s4895?48729>67702:ln7p}=47194?4|5;90q~<;6583>7}::?n>6?:k;<01aa<0?:1v?:95;296~;5=9j4=33g`?10;2wx>989:181841m:098i522232>2143ty98;950;0x974>;3=63e?2;>o70<=c`8436=z{;>=57>52z?12a0=:=n01?{t:=j0;6?u223;7>2143489=n4v3=54g961b<5;;oj7983:p610b2909w0<=948436=::;;n6>hj;|q103`=838p1?;:f;07`>;59l:1;:=4}r0735<72;q6>?79:650?845:908jh5rs3645?6=:r799;>525f8977b93=5<5s4895:48729>67452:ln7p}=46194?4|5;?==7<;d:?15`4=?>90q~<;7583>7}::;336:9<;<0161<4nl1v?:85;296~;5:031;:=4=3012?5am2wx>999:1818451h0<;>52230;>6`b3ty98:950;0x974>j3=60d52;>o70<;788436=z{;><57>52z?16901?<>9;1ea>{t:==j6=4={<06g0<5h1<7nm57618yv43?j0;6?u227f7>72c3489j=48729~w720l3:1>v3=54`961b<5;;n87983:p611b2909w0<9e3810a=:::;?6:9<;|q102`=838p1?:j6;07`>;59=>1;:=4}r07<5<72;q6>?7k:650?845:m08jh5rs36;5?6=:r79>4k576189744839mi6s|25:1>5<5s4895k48729>67552:ln7p}=49194?4|5;8j<7983:?1662=;oo0q~<;8583>7}::;k:6:9<;<0173<4nl1v?:75;296~;5:h81;:=4=300969:181845i:0<;>52231b>6`b3ty985950;0x974f<3=67g22>=870<=3d80b`=z{;>357>52z?16d0=?>901?<;0;1ea>{t:=2j6=4={<06f6<596m:650?xu5<1h1<7?h53gg8yv430j0;6?u224a5>72c348:j=48729~w72?l3:1>v3=6b;961b<5;8hh7983:p61>b2909w0<9d6810a=::;l:6:9<;|q10=`=838p1?;92;07`>;59l?1;:=4}r07=5<72;q6>;k::36g?8449<0<;>5rs36:5?6=:r798h9525f89773=3=5<5s489m548729>67242:ln7p}=48194?4|5;8j57983:?1613=;oo0q~<;9583>7}::979:181842k>098i5220d2>2143ty984950;0x970di38?h63=2bg932563b?2;>o70<=f38436=z{;>257>52z?1135=:=n01??j6;547>{t:=3j6=4={<05a3<5>?9:650?xu5<0h1<7214348?5h4l3:1>v3=4849325<5;>2i7=ie:p61?a2909w0<:b4810a=::=3m6:9<;|q10d6=838p1?;5:=21?kk4}r07e4<72;q6>8m7:36g?846n;0<;>5rs36b6?6=:r79:nl525f8974dn3=5<5s48=h44=4e9>67`42>=87p}=4`694?4|5;?=87<;d:?15`1=?>90q~<;a483>7}::?o<6?:k;<0052<0?:1v?:n6;296~;59j4=3373?10;2wx>9o6:181845ij0<;>52236b>6`b3ty98lo50;0x970dk38?h63=2e2932563bf2;>o70<=f58436=z{;>jo7>52z?1133=:=n01??j8;547>{t:=ko6=4={<05a=<5>?7:650?xu521434898n4v3=6bf961b<5;8o=7983:p61d62909w0<9dc810a=::;l>6:9<;|q10g4=838p1?;96;07`>;59l31;:=4}r07f6<72;q6>;k6:36g?844900<;>5rs36a0?6=:r798hl525f8977313=5<5s489mh48729>672b2:ln7p}=4c494?4|5;90q~<;b683>7}::?nh6?:k;<01b3<0?:1v?:m8;296~;5=?=1>9j4=33fe?10;2wx>9l6:181841mh098i52223b>2143ty98oo50;0x972bk38?h63=15c932567ga2>=870<=5180b`=z{;>io7>52z?12f`=:=n01?{t:=ho6=4={<05`a<5?h8:650?xu572c3488=o48729~w72d83:1>v3=4df961b<5;;?n7983:p61e62909w0<=b18436=::;?96>hj;|q10f4=838p1?8k0;07`>;5:m>1;:=4}r07g6<72;q6>;jj:36g?845n10<;>5rs36`0?6=:r799;7525f8977bk3=5<5s48=in4=4e9>667d2>=87p}=4b494?4|5;>ni7<;d:?151e=?>90q~<;c683>7}::=n;64>k;<070g<4nl1v?:l8;296~;59m6:181843l;026`b3ty98no50;0x972c;33;h63=44397cc61b320:o70<;5280b`=z{;>ho7>52z?10a3=19n01?::5;1ea>{t:=io6=4={<07`3<>8m16>9;8:2df?xu5<6c348?94461302:lm70<;5480bc=::=?86>hi;<0714<4no16>9:i:2de?8436`a3ty98i750;37843l909=n5225f2>77d348?h?4=1b9>61b42;;h70<;d5815f=::=n>6??l;<07`3<59j16>9j8:33`?843l109=n5225g6><6a348?i;460g9>61c020:m70<;e98:4c=::=o264>i;<07ad<>8o16>9km:82e?843mj02<6a348?ih460g9>61bf2>=87p}=4e`94?5|5;>n977?d:?156d=;oo01??<1;06e>{t:=nh6=4;{<07`5<59k9:82g?846;j08jh522011>73f3ty98ij50;6x972c938?h63=4d59=5b<5;;8h7=ie:?1565=:1}::=n96?:k;<07a=<>8m16><=j:2df?846;=099l5rs36gb?6=k;<0205<4nl16><=9:37b?xu561b12;>o70<;eb8:4a=::8>96>hj;<027=<5=h1v?:j3;297~;59j4=36f`??7l279=>o53gg8yv43m=0;6>u225f;>72c348?ih460e9>642c2:ln7p}=4dd94?>|5;>m57=ie:?1175=;oo01?;?9;1ea>;5=8h1?kk4=3727?5am2798k=576189737?39mj63=51c97cc61`72>=870<;f480bc=z{;>m>7>52z?10c4=?>901?:i4;634>{t:=l<6=4j{<07b<<0?:16>>;>:523?844=;0?<<52226b>16634888o4;009>66332:lm70<<548744=:::>369>>;<000<<38816>>:j:522?84416734889:4663f2=::7p}=4g:94?4|5;>mm7983:?10cb=;ol0q~<;f`83>7}::=li6:9<;<07ba<4nl1v?:ic;296~;59hj:181843nl0<;>522420>6`b3ty98kh50;0x972an3=<<4?:3y>61`>2=:;70<:008436=z{;?;87>52z?1152=?>901?:i9;635>{t:<:>6=4k{<064c<0?:16>>;>:2df?844=;08jh522265><3>3488994;019>66322:lm70<<498745=:::>269>?;<000`<38916>>:i:522?844=m08jk522274>16734889l4;019~w737>3:1>v3=5149325<5;?;57:?1:p606>2909w0<:088436=::<:m6>hj;|q115e=838p1?;?f;635>;5=9n1;:=4}r0654<72jq6>8?8:650?844=9029452226b>6`a34888o4;019>66332=::70<<5480b`=:::>36>hj;<000<<4no16>>:k:87:?844=m0?<<522274>16634889l4v3=5009325<5;?:?7:?1:p60742909w0<:128436=::<;<6>hj;|q1142=838p1?;>7;635>;5=8?1;:=4}r065<<72mq6>8?i:650?844=808jk522271>6`a34888l4;019>662e2:ln70<<528:1<=:::>36>hi;<000<<4nl16>>:j:2de?8446`b34889:4663f2:ln7p}=50c94?4|5;?:m7983:?114d=<9;0q~<:1c83>7}::<;i6:9<;<065c<4nl1v?;>c;296~;5=8l18=?4=372`?10;2wx>8<>:18g842:<0<;>522272>16634889?4;019>662f2:ln70<<4c80bc=:::??6>hj;<0010<38916>>:8:87:?8446`b34889h46589>663?20?270<<5c8:1<=z{;?9>7>52z?1174=?>901?;=3;635>{t:<886=4={<0666<0?:16>8<::2df?xu5=;>1<70;6<;t=371e??7l279=ol53gg8977ek3>;<63=5ca97c`<5;>om7=ie:?1110=;ol01?;=2;1eb>;5=9<1?kh4=372e?5an2799<<53gd8972ak39mj63=5109056<5;?;?7:?0:?10c2=;oo01?:i5;635>;59kk1?kj4=3777?5an2798ko53gd8972aj3>;<63=51597cc<5;?;m7:?0:p604?290:>v3=53`9=5b<5;;in7=if:?15ge=;ol01?;mc;635>;539mi63=50c97cc<5;?:>7=ie:?10ce=<9;01?;?1;;6=>;5290>w0<:2b8:4a=::8hh6>hj;<06ff<38916>8:9:2df?842<:08jh5rs371`?6=:r799>;591f89774j39mj6s|240f>5<5s48>?;460e9>645d2:lm7p}=53d94?4|5;?8;77?d:?156b=;ol0q~<:3183>7}::<9364>k;<027`<4no1v?;<1;296~;5=:315=j4=330b?5an2wx>8==:181842;h026`a3ty99>=50;0x9734j33;h63=15397c`?94?:3y>605d20:o70<>4380bc=z{;?8h7>523y>605c20:o70<:34815f=::<9=6??l;<0672<59j16>8=7:33`?842;009=n52241b>77d348>?o4=1b9>605d2;;h70<;43815f=::=>86??l;<0701<59j16>9:::33`?84377d348?854=1b9>612>2;;h70<:2`815f=::<8i6??l;<066f<59j16>9k::33`?843m?09=n5225g4>77d348?i54=1b9>61c>2;;h70<;e`815f=::=oi6??l;<07af<59j16>9kk:33`?843ml09=n5224``>6`b348?hl4;019>60212=::70<:428744=z{;?8i7>52z?116b=19l01?;{t:<>;6=4;5>j<1>c:?12fc=:8i01?8lf;02g>;5>m:1>c:?12a>=:8i01?8k9;02g>;5>mk1>c:?12a0=:8i01?;:a;02g>;5=

    c:?1131=:8i01?;98;02g>;5=?31>c:?1137=:8i01?8j1;02g>;5>l81>c:?12`g=:8i01?8jb;02g>;5>li1>{t:<>:6=48{<0604<>8m16>8m;:33`?842k<09=n5224a5>77d348>o:4=1b9>60e?2;;h70<:d080b`=z{;??>7>52z?1117=19l01?;;4;547>{t:<>86=4={<0606<0?:16>8:;:2de?xu5==?1<70;6;u22464><6c348>n4460g12:ln70<:a680b`=::<3j6>hj;<06=g<4no1v?;;8;296~;5===15=h4=377=?10;2wx>8:n:187842=h0273f348:9k464be2:lo7p}=54394?2|5;?>n77?d:?1500=:;59?<1?kk4}r060g<72=q6>8;l:82g?846=9099l5220`e>6`b348::=4=7<:a:?15f7=;oo01??91;1ea>{t:<>o6=4;{<061`<>8m16><;=:37b?846k:08jh522041>6`b3ty999k50;6x9732n33;h63=141960g<5;;h97=ie:?1535=;oo0q~<:4g83>1}::<<;64>k;<0211<5=h16>=08jh5rs3764?6=m63=1d197cc<5;;=97=ie:p6035290?w0<:638:4a=::8?<6?;n;<02gg<4nl16><88:2df?xu5=<91<7:t=3757??7l279=86524c8977dl39mi63=17:97cc994?:5y>600320:o70<>58811d=::8im6>hj;<022<<4nl1v?;:5;290~;5=??15=j4=336e?42i279=i?53gg89771i39mi6s|2475>5<3s48>:;460e9>643e2;?j70<>d280b`=::8hj;|q1101=83>p1?;97;;3`>;598o4=33g1?5am279=;m53gg8yv42=10;69u2244;><6c348:9i4=5`9>64b02:ln70<>6e80b`=z{;?>57>54z?113?=19n01??:e;06e>;59m31?kk4=335a?5am2wx>88n:1825~;5=;5=?215=h4=375=??7n27998m591d89732l33;j63=54g9=5`<5;?>j77?f:?1136=19l01?;91;;3b>;5=?h1;:=4}r062f<72:q6><;::650?842>k08jh52246:>6`a3ty99;j50;0x975?l3=:h4?:3y>66>b2>=870<>6180bc=z{;?=j7>52z?17=`=?>901??91;1eb>{t:<=;6=4={<00=5<0?:16><8=:2de?xu5=>;1<7214348::94v3=3819325<5;;=97=if:p60132909w0<<958436=::8<=6>hi;|q1123=838p1?=65;547>;59?=1?kh4}r0633<72;q6>>79:650?846>108jk5rs3743?6=:r79?49576189771139mj6s|245;>5<5s4885548729>640f2:lm7p}=56;94?4|5;9257983:?153d=;ol0q~<:7`83>7}:::3j6:9<;<022f<4no1v?;8b;296~;5;0h1;:=4=335`?5an2wx>89l:1818441j0<;>52204f>6`a3ty99:j50;328420=0<;>52207e>167348::84;019>64032=:;70<>628745=::8<969>?;<0224<38916><8?:523?846>l0?<=52204g>167348::n4;019>640e2=:;70<>6`8745=::8<269>?;<022=<38916><88:523?846>?0?<=5rs374a?6=:r7995:53gg89730n3=5<5s48>4<48729>60>32:lm7p}=59094?4|5;?3>7983:?11=2=<9:0q~<:8283>7}::<286:9<;<06<1<3881v?;75;297~;5=1?15=j4=37a=?5an27995653gg8yv420?0;6?u224:6>72c348>4448729~w73??3:1>v3=5959325<5;?3o7=if:p60>?2909w0<:898436=::<226>hi;|q11=g=838p1?;7a;547>;5=1o18=>4}r0686m:650?8420l08jk5rs37;g?6=:r7995753gg8973?k3=5<5s48>5=48729>60>?2=::7p}=58394?4|5;?2=7983:?11=>=<9:0q~<:9383>7}::<2>6??l;<06=g<0?:1v?;63;296~;5=0<1;:=4=37:e?2792wx>87;:1818421=0<;>5224;5>6`a3ty994;50;0x973>=3=554?:3y>60??2>=870<:9`8745=z{;?257>52z?11901?;6b;1ea>{t:<3h6=4k{<06=f<>8m16>996:523?8421l08jk5225:a>6`b348>4:4;009>60>e2=::70<:8980bc=::=k<69>>;<07e=<38816>97::522?8431?0?<<5224c7>166348>m<4;009~w73>l3:1>v3=58a961b<5;?2i7983:p60?a2909w0<:9b815f=::;5=h81?kh4}r06e6<72;q6>8o;:650?842i<08jh5rs37b2?6=:r799l857618973f039mj6s|24c4>5<5s48>m:48729>60g?2:ln7p}=5`;94?d|5;?j577?d:?11=3=19l01?;m1;;6=>;5=k815874=37a7??212799o:594;8973e=33>563=58g97cc<5;?i;7=if:?11d0=<9;01?;n7;635>{t:8o16>8on:650?xu5=hi1<7ht=37a5??7l2798:753gd8972?j39mj63=59c97cc<5;?247=ie:?10d1=<9:01?:n8;634>;5=9>1?kk4=37;g?5am279=9k53gf8972>=3>;<63=4849056<5;?j477:9:?11<2=;ol01?;65;1eb>{t:8m16>996:2df?8430k0?<<5224:4>167348>4o4;019>60?72:lm70<:9080bc=::=k<6>hi;<07e=<4no16>8>6:2de?8431<08jk5225;5>6`a348>m94;019>60g62=:;7p}=5`g94?b|5;?i?77?d:?10=d=<9:01?;77;1eb>;5=1h1?kh4=37:4?5am27994?53gg8972f?39mi63=4`:97cc<5;?:?7=if:?10<3=;oo01?:66;1ea>;5=h>1?kh4=37b5?5an2wx>8oi:18b842j=026`b348>4o460?72=::70<:908744=::=3m6>hj;<065g<4no16>97j:87:?842i=08jh5224c2>6`b3ty99o>50;;x973e=33;h63=59c97c`<5;?2<7:?0:?11<7=<9:01?:6f;1eb>;5=;91?kh4=37;g?2782799l;594;8973f:33>56s|24`5>5<1s48>n<460g9>60d520:m70<:b28:4c=::i;<06f0<>8o16>8l8:650?xu5=k21<78t=37a5?46k2799o<520a8973e;38:o63=5c6964e<5;?i97<>c:?11g?=?>90q~<:b`83>6}::k;<06f`<4nm16>8:;:87:?xu5=kh1<772c348>nh48729~w73en3:14v3=5b69=5b<5;;ni7=if:?15``=;oo01??i0;1ea>;59o;18=>4=37gg?5am279=hj53gf8973c039mi6s|24a3>5<0s48>o8460e9>64cb2:ln70<>eg80bc=::?;<02b5<38916>:522?842lh02945rs37`5?6=>r799n8591f8973c839mj63=1g09056<5;;m<7=if:?11a2=;ol01??i1;1eb>{t:8m16>8j9:2de?846n;0?<<5224f0>6`a348:j<460ec2=:;7p}=5b194?3|5;?h477?d:?11a4=;oo01?;k7;1eb>;59o81?kk4=37g7?5am2wx>8m6:1823~;5=lo1>c:?11cd=:8i01?;ic;02g>;5=on1>520a8973a938:o63=5g0964e<5;?m?7<>c:?11c2=:8i01?;i5;02g>;5=o<1>33;j63=5b59=5`<5;?h477?f:?11a7=?>90q~<:c`83>6}::8jl:523?xu5=jh1<7=t=37gf?2792799i657618973c139mh6s|24a`>5<5s48>on48729>60ec2:ln7p}=5bf94?4|5;?o<7:?1:?11fc=?>90q~<:d183>7}::8j<:181842l80?<=5224f0>2143ty99i;50;0x973c839mi63=5e59325hl4?:3y>60b72=:;70<:d`8436=z{;?oh7>55z?11`c=19n01?:i0;1ea>;54=3613?5an2798k?54138yv42m<0;6>u224gf>72c348>ik460e9>614>2=:;7p}=5eg94?5|5;?mi7<;d:?11c6=19n01?:=b;1eb>{t:8h>:82g?843:k08jh5rs37f4?6=;r799k?525f8973a:33;h63=43c9057i<4?:2y>60`52;>o70<:f28:4a=::=8j69>?;|q11`4=839p1?;i3;07`>;5=o>15=j4=361e?5an2wx>8k<:180842n=098i5224d6><6c348?>l46}::8m16>9<8:2df?xu5=l=1<7=t=37e3?43l2799k6591f89725139mj6s|24g;>5<4s48>j54=4e9>60`>20:o70<;2880b`=z{;?n57>53z?11c?=:=n01?;ia;;3`>;5<;218=?4}r06ad<72:q6>8hn:36g?842nk021673ty99hl50;1x973aj38?h63=5ga9=5b<5;>947=if:p60cd2908w0<:fb810a=::k;<076=<4nl1v?;jd;297~;5=on1>9j4=37ea??7l2798?l54128yv42no0;6?u224d5>72c348?>:48729~w70783:1?v3=6329=5b<5;6}::?8:64>k;<050`<38916>?87:2dg?xu5>9;1<7=t=3416??7l279:96541389742<39mh6s|2721>5<4s48=>>460e9>632>2:ln70<=5780ba=z{;<;?7>53z?1272=19n01?8;9;1eb>;5:<21?kj4}r0541<72:q6>;<::82g?841<00?<<52237b>6`c3ty9:=;50;1x9705>33;h63=65c97cc<5;8>o7=id:p63612908w0<9268:4a=::?>j6>hi;<011`<4nm1v?8?7;297~;5>;215=j4=347e?279279>;>53gf8yv41810;6>u2270:><6c348=8o467052:lo7p}=61;94?5|5;<9m77?d:?121d=;ol01?<94;1e`>{t:?:j6=4<{<056g<>8m16>;:m:522?845>?08ji5rs3422?6=;r79:?m591f89703l3>;<63=26g97cb634c20:o70<94b80b`=::;hk;|q125b=839p1?8=e;;3`>;5>=i1?kh4=305g?5al2wx>;>j:180841:o021663489:h46}::?9:64>k;<050a<4no16>?9=:2dg?xu5>8;1<7=t=3406??7l279:9j541389740<39mh6s|2731>5<4s48=?>460e9>632b2:ln70<=7780ba=z{;<:?7>53z?1262=19n01?8;e;1eb>;5:>21?kj4}r0551<72:q6>;=::82g?8416`c3ty9:<;50;1x9704>33;h63=65d97cc<5;82908w0<9368:4a=::?>h69>?;<01<1<4nm1v?8>7;297~;5>:215=j4=347b?5an279>5>53gf8yv41910;6>u2271:><6c348=8k4;009>67>52:lo7p}=60c94?5|5;<8m77?d:?121d=<9:01?<76;1e`>{t:?;i6=4<{<057g<>8m16>;:n:523?8450108ji5rs342g?6=;r79:>m591f8970313>;<63=29c97cb635c20:o70<9498745=::;2h6>hk;|q124c=839p1?8;5>=21?kk4=30;a?5al2wx>;?i:180841;o026`a34895=4v3=6529325<5;96?<<;|q1214=838p1?8;2;547>;5>=91>?=4}r0506<72;q6>;:<:650?841<=09>>5rs3470?6=:r79:9:576189703=389?6s|2766>5<5s48=8848729>63212;887p}=65494?4|5;7}::?>36:9<;<0505<>=01v?8;9;296~;5>=31;:=4=3475??212wx>;:n:181841522761><3>3ty9:9l50;0x9703j3=632d2>=870<9458:1<=z{;52z?121b=?>901?8;5;;6=>{t:?>n6=4={<050`<0?:16>;:9:87:?xu5>=l1<78>53gd89743m39mj63=25a97c`<5;8?m7=if:?161>=;ol01?<;6;1eb>;5:;l1?kh4=3023?5an279><753gd89746<39mj63=20c97c`<5;8:o7=if:?164c=;ol01?<=0;1eb>;5:;81?kh4=3010?5an279>?853gd89745039mj63=23c97c`<5;89h7=if:?1211=?>901?<<0;1eb>;5::81?kh4=3000?5an279>>853gd89744039mj63=22c97c`<5;88o7=if:?166c=;ol01?<;0;1eb>;5:=91?kh4=3071?5an2799>h53gd8yv41=80;69u22772><6c3488>8466?b2:ln70<9fb80b`=z{;<>>7>54z?1204=19n01?==f;1eb>;5;ho1?kj4=34eg?5an2wx>;;<:187841=:026`a3488n=463`d2=:;7p}=64694?2|5;<>877?d:?1715=;ol01?=m2;1e`>;5>oi18=?4}r0510<72=q6>;;::82g?841no08jh52266a>6`a3488n943:18v3=6449=5b<5;=>87=if:?12c`=;ol01?=m6;1e`>{t:??<6=4;{<0512<>8m16>:;j:2de?841no0?<=5222`;>6`c3ty9:8650;6x9702033;h63=77797c`<5;<}::??:6??l;<0517<59j16>;;<:33`?841==09=n522776>77d348=9;4=1b9>63302;;h70<959815f=::??j6:9<;|q120c=83>p1?8:e;;3`>;5;8n1?kh4=36ea?5am2799=m53gd8yv41=o0;69u2277e><6c3488>:461`b2:lm70<:1580bc=z{;<=<7>54z?1236=19n01?=<1;1eb>;54=372g?5an2wx>;8>:187841>8026`a348?jh4;009>60432:lm7p}=67094?0|5;<=>77?d:?1304=<9;01?;?3;1eb>;5:0;6;u2277f>77d348=9k4=1b9>63072;;h70<960815f=::?<96??l;<0521<0?:1v?895;290~;5>??15=j4=312`?278279?;>53gg89730m39mi6s|2745>5<3s48=:;460e9>66402=:;70<<7b80ba=::<=n6>hi;|q1231=83>p1?897;;3`>;5;:;18=>4=314a?5al2799:k54128yv41>10;69u2274;><6c3488?o4;019>66>72:lo70<:7d8744=z{;<=57>54z?123?=19n01?;71;1ea>;5?<:18=>4=31;6?5al2wx>;8n:187841>h02166348>4<466>32:lo7p}=67`94?2|5;<=n77?d:?1335=<9;01?;71;634>;5;1<1?kj4}r052f<72=q6>;8l:82g?840>00?<<5224:2>1663488454c:?1231=:8i01?898;02g>;5>?31>630b20:o70<:8380b`=:::2j6>hk;<047d<3881v?89f;290~;5>?l15=j4=37;6?5an279?5m53gf89714>3>;=6s|2753>5<3s48=;=460e9>60>52=:;70<<7180ba=::>9n69>>;|q1227=83>p1?881;;3`>;5=1818=?4=3146?5al279;9:54138yv41?;0;69u22751><6c348>4>4622d2=::70<<7580ba=z{;<54z?1225=19n01?9:b;634>;5=191?kh4=3142?5al2wx>;9;:187841?=02167348>4>4;019>661?2:lo7p}=66794?2|5;<<977?d:?133>=<9:01?;73;635>;5;>k1?kj4}r0533<720q6>;8j:33`?841>o09=n522753>77d348=;<4=1b9>63152;;h70<972815f=::?=?6??l;<0530<59j16>;98:650?xu5>>21<78t=34403>;=63=72697c`<5;?3i7:?1:?11<2=<9;0q~<97883>0}::?=264>k;<06=2<4no16>877:523?840;<08jk5224;7>1673ty9::o50;6x9700i33;h63=58g9056<5;?i;7=ie:?136b=;ol0q~<97c83>3}::?=i64>k;<06=2<38916>877:2de?840<:08jk5224:f>6`b348>5;46589~w700k3:19v3=66:964e<5;<<57<>c:?122g=:8i01?88b;02g>;5>>n1;:=4}r053`<72=q6>;9j:82g?844:<0?<=522623>6`b3488nn4{t:?2;6=4;{<05<5<>8m16>>=6:523?840890?<=5222cb>6`c3ty9:5?50;6x970?933;h63=3519056<5;=;<7:?1:?17de=;on0q~<98383>0}::?=n6??l;<053c<59j16>;6?:33`?8410809=n5227:0>2143ty9:5850;6x970?>33;h63=33697c`<5;9ho7=ie:?135`=;oo0q~<98683>1}::?2<64>k;<006`<4no16>>jl:2dg?8408o08jk5rs34;>290?w0<9888:4a=:::>96>hi;<00a5<4nm16>:>i:522?xu5>1k1<7:t=34;e??7l279;<<53gg89712:3>;<63=3d097cb63>e20:o70<8558745=::>;96>hi;<00a1<4nm1v?87c;290~;5>1i15=j4=356a?278279;<<54128975b>39mh6s|27:g>5<3s48=4i460e9>62022=:;70<8138744=:::o36>hk;|q12=c=833p1?876;02g>;5>1=1>c:?12=b=:8i01?87f;547>{t:?3;6=4;{<05=5<>8m16>><;:523?8409:08jh5222gb>6`c3ty9:4?50;6x970>933;h63=33g9056<5;=:?7=if:?17`e=;on0q~<99383>1}::?3964>k;<007=<38916>:?<:523?844l108ji5rs34:7?6=;<63=7019057<5;9om7=id:p63?3290>w0<991815f=::?3:6??l;<05=7<59j16>;7<:33`?8411<0<;>5rs34:2?6=7=ie:p63?0290?w0<9968:4a=:::8o6>hi;<074d<4nm16>:<=:2de?xu5>021<7:t=34:953gd89727k39mh63=730905663?>20:o70<<4080bc=::=:n6>hk;<0467<3881v?86a;290~;5>0k15=j4=3511?5am279;9m53gd89726839mh6s|27;a>5<3s48=5o460e9>623e2:lm70<82480bc=::=;96>hk;|q12p1?86c;;3`>;5??81?kh4=3511?2782798<:53gf8yv411m0;69u227;g><6c348<:5462422=::70<;1780ba=z{;<2i7>59z?12<0=:8i01?867;02g>;5>021>j38:o63=68a964e<5;<2h7<>c:?12<`=?>90q~<9a183>1}::?k;64>k;<0066<38916>:<9:2df?8439108ji5rs34b5?6=;<63=73497c`<5;>:m7=id:p63g5290?w0<9a38:4a=:::9<69>?;<0463<38916>9>9:2dg?xu5>h91<7:t=34b7??7l279?9?541289715>3>;=63=41:97cb63g72;;h70<9a0815f=::?k96??l;<05e6<59j16>;o::650?xu5>h<1<7:t=34b2??7l279???53gd8973dj3>;<63=5e:97c`63g020:o70<<2c80bc=::hj;<06gf<4nl16>8jm:2de?xu5>h21<7;t=34b;53gd8973dj39mj63=5ba97c`<5;?on7:?0:p63g>290>w0<9a88:4a=:::9m6>hi;<06`3<4nl16>8j<:523?842km08jk5rs34be?6==r79:lo591f8973c:39mj63=5e597cc<5;?o87=ie:?1305=<9:0q~<9ac83>3}::?k=6??l;<05e2<59j16>;o7:33`?841i009=n5227cb>77d348=mo48729~w70fl3:1?v3=6`f9=5b<5;99=7:?0:?10c7=;ol0q~<9ad83>6}::?kn64>k;<006g<38916>9h=:522?xu5>hl1<7=t=34bb??7l279?>;54128972a=39mi6s|27`3>5<4s48=n=460e9>665a2=:;70<;f08745=z{;53z?12g7=19n01?:i2;1ea>;5?<:18=?4}r05f7<72:q6>;l=:82g?840=j0?<=5225d3>6`a3ty9:o=50;0x970e;33;h63=771905663d320:o70<8688745=z{;59z?12db=:8i01?8ne;02g>;5>hl1>c:?12g0=?>90q~<9b683>1}::?h<64>k;<005`<4no16>8>i:523?8428;08jk5rs34a290?w0<9b88:4a=:::996>hi;<065c<38916>8>?:2de?xu5>kk1<7:t=34ae??7l279?>m53gd89735=3>;<63=512905663de20:o70<84c8744=::<:96>hj;<07bd<38916>9hm:2de?8428h08jk5rs34ag?6=>r79:o9520a8970e038:o63=6c;964e<5;c:?12gd=:8i01?8md;547>{t:?hn6=4;{<05f`<>8m16>>?j:523?843no08jh522425>1673ty9:oh50;6x970en33;h63=33:9056<5;>mj7=if:?1144=<9:0q~<9c183>1}::?i;64>k;<0077<38916>9hi:523?8429h0?<=5rs34`5?6=;<63=4gd9057<5;?9>7:?0:p63e52903w0<9c38:4a=::<8969>>;<0643<38816>8?n:522?8429;0?<<5225d`>6`b348<8k4;019>61`c20?27p}=6b194?0|5;c:?12g`=:8i01?8l0;02g>;5>j;1><6c348::k4=5`9>641e2:ln70<=c680ba=z{;54z?12f0=19n01??82;06e>;5:k?1?kk4=334a?5am2wx>;m8:187841k>0273f3489n>4641d2:ln7p}=6b:94?2|5;;59>n1?kk4}r05g<<72=q6>;m6:82g?846?:099l5223`4>6`b348:;k4{t:?ii6=4;{<05gg<>8m16><9::37b?845jk08jh5220:2>6`b3ty9:nm50;6x970dk33;h63=164960g<5;8ih7=ie:?15=4=;oo0q~<9ce83>1}::?io64>k;<0232<5=h16>?li:2df?8460:08jh5rs34`a?6=m63=2b397cc<5;;387=ie:p63ea290?w0<9cg8:4a=::8=26?;n;<01g6<4nl16><6::2df?xu5>m:1<7:t=34g4??7l279=:o524c8974d=39mi63=19497cc624e2;;h70<9c48:4c=::?i=64>i;<05g<<>8o16>;mn:82e?841kk02<6a348=oi460g9>63eb20:m70<9cg8:4c=::?n;64>i;<05g2<>8o16>;m7:82e?841l;0<;>5rs34g7?6=m63=18197cc<5;8nn7=id:p63b3290?w0<9d58:4a=::82j6?;n;<01`<<4nl16><79:2df?xu5>m?1<7:t=34g1??7l279=56524c8974c?39mi63=18697cc63b120:o70<>88811d=::;om6>hj;<02=0<4nl1v?8k7;290~;5>m=15=j4=33;f?42i279>il53gg8977>?39mi6s|27f;>5<3s48=h5460e9>64>d2;?j70<=de80b`=::8336>hj;|q12a?=83>p1?8k9;;3`>;591n1>8o4=30gb?5am279=4753gg8yv41lh0;69u227fb><6c348:4h4=5`9>67c62:ln70<>9`80b`=z{;54z?12ad=19n01??7f;06e>;5:l91?kk4=33:f?5am2wx>;jl:187841lj0273f3489i8464?d2:ln7p}=6ef94?2|5;;590n1?kk4}r05``<72=q6>;jj:82g?8461;099l5223g:>6`b348:5h4;5>m215=h4=34g=??7n279:io591d8970cj33;j63=6ea9=5`<5;;5>m<15=h4=34f4?10;2wx>;k>:187841m80273f348:mo4666a2:lo7p}=6d094?2|5;77?d:?15d4=:;59ho1?kk4}r05a6<72=q6>;k<:82g?846i9099l5223da>6`b348:mn4{t:?o>6=4;{<05a0<>8m16>6`b3ty9:h850;6x970b>33;h63=1`6960g<5;9;=7=ie:?15g6=;oo0q~<9e683>1}::?o<64>k;<02e0<5=h16>>><:2df?846j808jh5rs34f38>m63=31797cc<5;;i>7=ie:p63c>290?w0<9e88:4a=::8k<6?;n;<0042<4nl16>lk1<7:t=34fe??7l279=l6524c89757139mi63=1c697cc63ce20:o70<>a8811d=::::i6>hj;<02f0<4nl1v?8jc;290~;5>li15=j4=33be?42i279?=j53gg8977e>39mi6s|27gg>563c620:m70<9e38:4c=::?o>64>i;<05a3<>8o16>;k8:82e?841m102<6a348=il460g9>63ce20:m70<9eb8:4c=::?o864>i;<05a1<>8o16>;kj:650?xu5>ll1<7214348:;n4v3=3b39325<5;;hi;|q12c5=838p1?=l3;547>;59>l1?kh4}r05b1<72;q6>>m;:650?8460908jk5rs34e1?6=:r79?n;57618977?939mj6s|27d5>5<5s488o;48729>64>52:lm7p}=6g594?4|5;9h;7983:?15=5=;ol0q~<9f983>7}:::i36:9<;<02<1<4no1v?8i9;296~;5;j31;:=4=33;1?5an2wx>;hn:181844kh0<;>5220:5>6`a3ty9:kl50;fx971793=;591?18=>4=33;0?278279=5=54128977?:3>;<63=1939056<5;;3<7:?0:?152`=<9:01??8e;634>{t:?lh6=4={<0444<4nl16>;hk:650?xu5>ol1<7214348<<<4;019~w717:3:1>v3=3df9325<5;;2?7=if:p62642909w0<hi;|q1352=838p1?=jf;547>;590?1?kh4}r0440<72;q6>>h?:650?8461?08jk5rs3532?6=:r79?k?57618977>?39mj6s|2624>5<5s488j?48729>64??2:lm7p}=71:94?4|5;9m?7983:?157}:::l?6:9<;<02=d<4no1v?9?a;296~;5;o?1;:=4=33:f?5an2wx>:>m:181844n?0<;>5220;`>6`a3ty9;=m50;0x975a?3=66`?2>=870<>9d80bc=z{;=;i7>5dz?1342=?>901??63;634>;590?18=>4=33:0?278279=4k54128977>l3>;<63=18a9056<5;;2n7:?0:?15;590218=>4=33:3?278279=4854128yv408o0;6?u22637>6`b348<==48729~w716:3:1>v3=7009325<5;=:87=if:p62742909w0<8128436=::>;?69>?;|q1343=838p1?:>b;547>;59hh1?kh4}r0453<72;q6>9?l:650?846ij08jk5rs3523?6=:r7985<5s48?=h48729>64gb2:lm7p}=70;94?4|5;>:j7983:?15d`=;ol0q~<81`83>7}::=8;6:9<;<02f5<4no1v?9>b;296~;5<;;1;:=4=33a5?5an2wx>:?l:181843:;0<;>5220`1>6`a3ty9;61432>=870<>b580bc=z{;=:j7>52z?1073=?>901??m5;1eb>{t:>8;6=4={<0763<0?:16>;<63=1`a9056<5;;i:7:?0:?15g3=<9:01??m4;634>;59k918=>4=33a6?278279=o?54128977e83>;<63=1`d9056<5;;ji7:?0:p62452909w0<82680b`=::>886:9<;|q1373=838p1?9=5;547>;5?;=1?kh4}r0463<72;q6>:<9:650?840:>0?<=5rs351n4?:3y>624e2;>o70<82e8436=z{;=957>53z?137c=19n01?:i2;1eb>;5?::1?kj4}r046c<72;q6>:5rs351e?6=;r79;>?591f8972a=3>;<63=72197cb62562;>o70<8328436=z{;=887>52z?1362=?>901?9;2;634>{t:>9>6=4={<0470<0?:16>:=6:523?xu5?:<1<7957618yv40;h0;6?u22661>6`b348v3=72f9325<5;=?=7:?0:p625b2909w0<84080b`=::>9m6:9<;|q1315=838p1?9;3;547>;5?==18=>4}r0401<72;q6>::8:2df?840<<0<;>5rs357e?6=:r79;9j541289713i3=5<5s48<8i4;009>622b2>=87p}=74394?4|5;=?h7=if:?1307=?>90q~<85583>7}::>??6:9<;<041=<3891v?9:7;290~;5??<1;:=4=3553?279279;8h54138971203>;=6s|267;>5<5s48<9i4;009>623>2>=87p}=74`94?4|5;=>n7983:?130>=;ol0q~<85b83>7}::>?h6:9<;<041a<4nl1v?9:e;296~;5?:;i:181840>=0?<<522643>2143ty9;;<50;0x9711:3=4?:3y>62042>=870<86580b`=z{;==97>52z?1333=?>901?997;634>{t:><<6=4={<0422<0?:16>:8n:522?xu5??21<700;6?u2264:>214348<:l4{t:>8o16>:8j:650?xu5?>:1<7167348<;4460e9~w710:3:1>v3=9g:9056<5;==i64>k;|q1322=838p1?o?9;634>;5?>i15=j4}r0430<72;q6>l>m:523?840?m025<5s48j621a20:o7p}=76:94?4|5;k:=7:?0:?13=6=19n0q~<88583><}::>=26?:k;<04<0<0?:16>:9n:36g?840?k098i52265`>72c348<;i4=4e9>621b2;>o70<87g810a=::>2;6?:k;|q13=>=839p1?978;547>;5?1k1>?=4=35:`?45;2wx>:66:180840000<;>5226:;><3>348<5n4;619~w71?i3:1?v3=79c9325<5;=3o7<=3:?136}::>2i6:9<;<04=016>:7k:543?xu5?1i1<7=t=35;g?10;279;5k52318971>n389?6s|26:g>5<4s48<4i48729>62>d20?270<89d8725=z{;=3i7>52z?13=c=?>901?9n0;017>{t:>2m6=4<{<04:6j:87:?8401o0?:=5rs35:4?6=;r79;4>57618971>9389?63=7`1967562?62>=870<8928166=::>k?6?<<;|q13<4=839p1?962;547>;5?0;15874=35b7?2182wx>:7<:1808401:0<;>5226;7>744348<3:1?v3=7869325<5;=2:7<=3:?13d0=:;90q~<89483>6}::>3>6:9<;<04=1<>=016>:o::543?xu5?0<1<7=t=35:2?10;279;5652318971>k389?6s|26;4>5<4s48<5:48729>62?120?270<8a78725=z{;=247>53z?13<6=1<301?9n2;654>;5?031;:=4}r04=d<72:q6>:7<:87:?840i=0?:=5226;a>2143ty9;l?50;0x971f93=62g02>=870<8ag8166=::>ij6?<<;|q13d>=839p1?9n8;547>;5?h=15874=35`7?2182wx>:o6:180840i00<;>5226cb>7443486}::>ki6:9<;<04ea<5::16>:m8:300?xu5?hi1<7=t=35bg?10;279;ll594;8971d>3>=<6s|26cg>5<5s4862e?2;887p}=7`g94?5|5;=ji7983:?13db=1<301?9l7;654>{t:>km6=4<{<04ec<0?:16>:l>:300?840kk09>>5rs35a4?6=;r79;o>57618971fn33>563=7bc903662d62>=870<8b28166=::>ih6?<<;|q13g4=839p1?9m2;547>;5?k;15874=35`f?2182wx>:l<:180840j:0<;>5226`6>7443486}::>h>6:9<;<04f2<5::16>:mj:300?xu5?k<1<7=t=35a2?10;279;o;594;8971dl3>=<6s|26`4>5<4s4862d?2;8870<8cg8166=z{;=i47>53z?13g>=?>901?9m9;017>;5?m:1>?=4}r04f<<72:q6>:l6:650?840jh09>>5226f2>7443ty9;oo50;1x971ei3=7<=3:p62de2908w0<8bc8436=::>k26?<<;<04g1<5::1v?9mc;297~;5?ki1;:=4=35b=??21279;n:54728yv40jm0;6>u226`g>21434862e22=<;7p}=7cg94?5|5;=ii7983:?13g1=1<301?9le;654>{t:>hm6=4<{<04fc<0?:16>:l7:87:?840ko0?:=5rs35`4?6=;r79;n>57618971e133>563=7e2903662e62>=870<8b`8:1<=::>n:698?;|q13f4=839p1?9l2;547>;5?kh15874=35g6?2182wx>:m6:181840k00<;>5226a;>1073ty9;i=50;1x971c;3=n=6?<<;<04a`<5::1v?9k5;297~;5?m?1;:=4=35g0??21279;hj54728yv40l?0;6>u226f5>21434862ca2;887p}=7e594?5|5;=o;7983:?13a0=1<301?9je;654>{t:>n36=4<{<04`=<0?:16>:jn:300?840n909>>5rs35g=?6=;r79;i757618971c033>563=7dd903662bf2>=870<8db8166=::>l:6?<<;|q13ad=839p1?9kb;547>;5?mk15874=35e4?2182wx>:jl:180840lj0<;>5226ff>7443486}::>nn6:9<;<04a5<5::16>:h<:300?xu5?ml1<7=t=35gb?10;279;ik594;8971a:3>=<6s|26g3>5<4s4862c52;8870<8f58166=z{;=n=7>53z?13`7=?>901?9j0;;6=>;5?o918;>4}r04a7<72:q6>:k=:650?840m=09>>5226d6>7443ty9;h=50;1x971b;3=oi6?<<;|q13`3=839p1?9j5;547>;5?l>15874=35e1?2182wx>:k9:180840l:02945226gb>107348=77?f:?1<01=19l01?9j9;547>{t:>oh6=4={<04af<0?:16>:km:543?xu5?o<1<7=t=35e2?10;279;k75231897>69389?6s|26d4>5<5s486=6a2;887p}=7g:94?5|5;=m47983:?13c1=1<301?6?e;654>{t:>l26=4<{<04b<<0?:16>:hm:300?84?9;09>>5rs35ee?6=;r79;ko57618971a133>563=803903662`e2>=870<8fe8166=::1;86?<<;|q13ce=839p1?9ic;547>;5?oh15874=3:26?2182wx>:hk:180840nm0<;>5226de>7443483=94=229~w71am3:1?v3=7gg9325<5;=mh77:9:?1<45=6}::>lm6:9<;<0;44<5::16>5?::300?xu509:1<7=t=3:34?10;279;kh594;897>6<3>=<6s|2922>5<4s483<<48729>6=642;8870<7178166=z{;2;>7>53z?1<54=?>901?6?1;;6=>;508?18;>4}r0;46<72:q6>5><:650?84?8<09>>522934>7443ty94=:50;1x97>7<3=0;6>u22924>2143483<44=229>6=7>2;887p}=81:94?5|5;2;47983:?1<51=1<301?6>8;654>{t:1:26=4<{<0;4<<0?:16>:h8:300?84?8l09>>5rs3:3e?6=;r794=o5761897>7133>563=80;903662`120?270<70e8725=::1:h6:9<;|q1<46=838p1?6>0;547>;509l18;>4}r0;5a<72:q6>5?k:650?84?9l09>>522910>7443ty946m3=91v?6=0;297~;50;:1;:=4=3:16?45;2794>;52318yv4?:80;6>u22902>2143483>=46589>6=532=<;7p}=83094?5|5;29>7983:?1<72=:;901?6<6;017>{t:1886=4<{<0;66<0?:16>5<=:87:?84?;<0?:=5rs3:10?6=;r794?:5761897>5>389?63=825967584?:2y>6=422>=870<7258:1<=::19=698?;|q1<70=839p1?6=6;547>;50;21>?=4=3:05<8:18084?:>0<;>522905><3>3483?:4;619~w7>503:1?v3=83:9325<5;29m7<=3:?1<6?=:;90q~<72883>6}::1826:9<;<0;6=<>=016>5=7:543?xu50;k1<7=t=3:1e?10;2794?m5231897>4i389?6s|290a>5<4s483>o48729>6=4f20?270<7388725=z{;29o7>52z?1<7e=?>901?6{t:18o6=4<{<0;6a<0?:16>54:3>=<63=83d93256=ba20:m70<7e68:4c=::1o=64>i;<0;a6<>8o16>4<7:82e?84>:002<6a3482>o460g9>6<4d20:m70<6228:4c=::08?64>i;<0:60<>8o16>4<9:82e?84>:>022143ty94>m50;0x97>4k3=3833;h63=8ed964e<5;38o7<>c:?1=6b=:8i01?7;50l:1>b;38:o63=8d4964e<5;2n87<>c:?1<`3=:8i01?6j7;02g>;50l21>h53gd8yv4?<80;6>u22963>72c3483j:4;009>6=`f2>=87p}=85694?2|5;2?j77?d:?1<15=;oo01?687;1ea>;5?l=1?kj4}r0;03<72=q6>5;?:82g?84?<:0?<=522954>1673483=3:19v3=8439=5b<5;2?>7=ie:?1<23=<9:01?6k4;635>;5?li1?kk4}r0;02<72=q6>5;=:82g?84?<:08jk522954>6`a348303:19v3=8419=5b<5;2mn7:?0:?1<23=<9;01?9k9;1ea>;50o318=?4}r0;0<<725;;:82g?84?nk08jk522956>6`a3486=`>2=:;7p}=85c94?3|5;2>977?d:?1;5?mn1?kk4=3:e=?5am2wx>5:m:18784?=?026`a3483;;462ba2:ln7p}=85a94?3|5;2>;77?d:?1;5?l;1?kk4=3:e=?5an2wx>5:k:18684?=1021673483;846=b32=:;70<8e280b`=z{;2?i7>55z?1<0?=19n01?6;3;635>;50>=18=?4=3:g0?5an279;h;53gg8yv4?=h0;69u22945><6c34862df2;?j70<70980b`=z{;2>n7>54z?1<31=19n01?9l2;1ea>;5?kh1>8o4=3:3e?5am2wx>5;l:18784?>1026`b34862`?2:ln7p}=84f94?2|5;2=577?d:?13gb=;oo01?9na;06e>;508:1?kk4}r0;1`<72:q6>58n:82g?840i108jh52292`>6`c3ty948h50;1x97>1j33;h63=7c297cc<5;=mm7=ie:p6=072908w0<76b8:4a=::>h96>hj;<04bf<4nl1v?691;297~;50?n15=j4=35a0?5am279;kk53gg8yv4?>;0;6>u2294f><6c3486=672:ln7p}=87194?2|5;2=j77?d:?13gc=;oo01?9m7;06e>;50981?kk4}r0;21<72=q6>59?:82g?840jo08jh5226`;>73f3483<941=3:18v3=8639=5b<5;=h<7=ie:?13g?=:{t:1=96=4>5z?1<1`=19l01?6:0;;3b>;50<815=h4=3:67??7n27948:591d897>2>33;j63=84;9=5`<5;2>477?f:?1<3g=19l01?69b;;3b>;50?i15=h4=3:5`??7n2794;k591d897>1n33;j63=8629=5`<5;2<=77?f:?1<30=19l01?697;;3b>;50?215=h4=3:5=??7n2794:=57618yv4??=0;6?u229:2>6`b3483;548729~w7>0=3:18v3=8679325<5;2<47=if:?1<25=;ol01?9j9;1eb>{t:1=<6=4;{<0;32<0?:16>597:523?84??:0?<=5226g:>1673ty94:750;fx97>1i38:o63=87`964e<5;2=o7<>c:?1<3b=:8i01?69e;02g>;50?l1>1>38:o63=875964e<5;2=47<>c:?1<3?=:8i01?68a;547>{t:1=i6=49{<0;3`<>8m16>5?m:2de?84?9j08jh52295b>6`a34834?46=7f2:lo7p}=86a94?3|5;2c;634>;50>k18=?4=3:;5?2782wx>59k:18784?09026`a3483;l46=>52:ln7p}=89394?2|5;2;501;1;:=4}r0;<1<720q6>56;:82g?84?0j08jh5229:a>6`b34834l46=>>2:ln70<78980b`=::12<6>hj;<0;<3<4nl16>56::2df?xu501n1<76`a34835k4<1g9~w7>?n3:1>v3=89597c`<5;2j<7=>f:p6=?72909w0<78980bc=::1k:6>?i;|q1<<7=838p1?679;1eb>;50h81?56n:2de?84?i:08=k5rs3::7?6=:r7945l53gd897>f<39:j6s|29;7>5<5s4834n46=g22:;m7p}=88794?4|5;2397:?0:?17}::12=69>?;<0;e2<4:h1v?667;296~;501=18=>4=3:b3?54=2wx>577:18184?010?<=5229c4>65f3ty944750;0x97>?13>;<63=8`:974`6=>f2=:;70<7a9806d=z{;22n7>52z?1<=d=<9:01?6n8;101>{t:13h6=4={<0;5o7:21b?xu50h31<77t=3:b=??7l2794o?53gg897>e839mi63=8`d97cc<5;2ji7=ie:?1;50hh1?kk4=3:be?5am2wx>5l=:18184?ih08jk5229;f>6033ty94o=50;0x97>fj39mj63=88d97326=gd2:lm70<7a18021=z{;2i97>52z?1{t:1h=6=4={<0;e`<4no16>5o=:247?xu50k=1<76`a3483m94<659~w7>e13:1>v3=8c397c`<5;2j97=94:p6=df2902w0<7bc8436=::13n6>l=;<0;=c<4j;16>5o?:2`1?84?i808n?5229c1>6d53483m>46=g32:h970<7a480f7=z{;2io7>52z?1{t:1ho6=4={<0;eg<38916>5o8:24e?xu50ko1<71673483m:4<7g9~w7>d83:1>v3=8`g9056<5;2j47=94:p6=e62909w0<7ag8745=::1k36>8i;|q1;50h21?:o4}r0;g6<72;q6>5l>:523?84?i108;k5rs3:`0?6=jr794n;5761897>>m33i<63=88d9=g6<5;2j<77m0:?1;50h915o>4=3:b0??e82794l;59c2897>f?33i<63=8`:9=g66=e120:o70:>e180b`=z{;2h;7>52z?1{t:1i26=4>9z?1<1`=1<301?6:0;;6=>;50<815874=3:67??2127948:594;897>2>33>563=84;9=0?<5;2>477:9:?1;51:915=h4=3:f4??212794h?594;897>b:33>563=8d69=0?<5;2n977:9:?1<`>=1<301?68a;634>;51:l1?kk4=3:fe?5an2794>?53gg897>?939mj63=8619057<5;=n57:?1:?10}::1>;6??l;<0;3`<59j16>59i:33`?84?0909=n5229aa>2143ty94nm50;0x97>dk33;h63=8b;961b6=ec20:o70<7cg80ba=z{;2hi7>59z?1;519;15=h4=3:e6??7n2794k=591d897>a<33;j63=8df9=5`<5;2ni77?f:?190q~<7d183>7}::1io64>i;<0;`6<0?:1v?6k1;296~;50m;1;:=4=3:g6?2792wx>5j=:18184?l:08jk5229f1>2143ty94i:50;0x97>c<3=6=ba20:o70<63580bc=::08m69>>;<0;6c<4nm1v?6k6;290~;50l:15=j4=3;06n39mi6s|29f4>5<3s483i<460e9>6<532:ln70<62g80b`=::18:6>hj;|q1=83?p1?6j2;;3`>;51:21?kh4=3;1b?5an2794i?53gd897>5;39mi6s|29f:>5<2s483i>460e9>6<5?2=:;70<62d8745=::1n:69>?;<0;60<4nl1v?6ka;291~;50l>15=j4=3;02?2782795?k5413897>c93>;=63=83597cc6=c220:o70<63780bc=::08n6>hj;<0;`4<4nl16>5<6:2df?xu50mi1<7;t=3:f2??7l2795>85413897?5m39mj63=83`97cc<5;2o>7=if:p6=bc290>w0<7e68:4a=::09=6>hj;<0;6a<4nl16>5j=:523?84>;90?<<5rs3:ga?6==r794h6591f897?4<3>;<63=82a97cc<5;2o>7=ie:?1=66=;ol0q~<7e883>2}::1o;64>i;<0;a4<>8o16>5k=:82e?84?m=02<6a3483i5460g9>6=cf2>=87p}=8d`94?4|5;2nh77?d:?23a`=;on0q~<7eb83>7}::1on64>k;<34a4<4nm1v?6jf;296~;50o815=j4=05f7?5al2wx>5h?:18184?n:026`c3ty94k?50;0x97>a<33;h63>7d597cb6=`220:o70?8de80ba=::1l<6>hj;|q1;50=81;:=4=3:ee??212wx>5h8:18184?n<098i5229d4>2143ty94k650;0x97>a13=6=`a20:o70?8e880ba=z{;2mh7>52z?1=56=19n01<9jb;1e`>{t:1ln6=4={<0:44<>8m16=:kk:2dg?xu51981<7lt=35`7?10;27944k5160897>>n3;<>63=8`29524<5;2j=7?82:?1801?6n3;346>;50h>1=:<4=3:b1?70:2794l95160897>f03;<>6s|2820>56=?b28=?70<79g8231=::1k;6<9;;<0;e4<6?=16>5o=:057?84?i:0:;95229c7>4133483m84>759>6=g028=?70<7a98231=z{;3;87>5bz?13f3=?>901?66e;342>;500l1=:84=3:b4?70>2794l?5164897>f:3;<:63=8`19520<5;2j87?86:?1<01?6n7;342>;50h21=:84}r0:40<720q6>:m9:650?84?1l0:;:5229;e>4103483m=4>769>6=g628=<70<7a38232=::1k86<98;<0;e1<6?>16>5o::054?xu519<1<77t=35`3?10;27944k516;897>>n3;<563=8`2952?<5;2j=7?89:?1301?6n3;34=>;50h>1=:74=3:b1?7012wx>4>8:18684?i0098i5226a;>2143483no46=g02:h970<7a980f7=z{;3;47>5bz?13fg=?>901?66e;34e>;500l1=:o4=3:b4?70i2794l?516c897>f:3;k01?6n7;34e>;50h21=:o4}r0:4<<72kq6>:mm:650?84?1l0:;o5229;e>41e3483m=4>7c9>6=g628=i70<7a3823g=::1k86<9m;<0;e1<6?k16>5o::05a?84?i>0:;o5229c;>41e3ty95=o50;`x971dk3=i01?6n1;34g>;50h81=:m4=3:b7?70k2794l:516a897>f=3;5o?:05g?84?i80:;i5229c1>41c3483m>4>7e9>6=g328=o70<7a4823a=::1k<6<9k;<0;e=<6?m1v?7?c;29f~;5?jo1;:=4=3::a?70m27944h516g897>f83;7?8e:?1o01?6n4;34a>;50h?1=:k4=3:b3?70m2794l6516g8yv4>8m0;6ou226ae>21434835h4>7g9>6=?a28=m70<7a1823c=::1k:6<9i;<0;e7<6?o16>5o<:05e?84?i=0:;k5229c6>41a3483m:4>7g9>6=g?28=m7p}=91g94?d|5;=o<7983:?1<;50h:1=5>4=3:b5?7?82794l<5192897>f;3;3<63=8`695=6<5;2j97?70:?1{t:0:m6=4m{<04`4<0?:16>57j:0:1?84?1o0:4?5229c3>4>53483m<4>839>6=g5282970<7a282<7=::1k?6<6=;<0;e0<60;16>5o8:0:1?84?i10:4?5rs3;24?6=jr79;i<5761897>>m3;3?63=88d95=5<5;2j<7?73:?1;50h91=5=4=3:b0?7?;2794l;5191897>f?3;3?63=8`:95=56=cc2;>o70<7a`8436=z{;3:>7>52z?1<`c=:=n01?6nb;547>{t:0;86=4={<0;b7<55ol:650?xu518>1<79<0;6?u229d7>72c3483mh48729~w7?6>3:1>v3=8gd961b<5;2jj7983:p6<702909w0<601810a=::1h;6:9<;|q1=4>=838p1?7?1;07`>;50k;1;:=4}r0:5<<72:q6>4<<:82g?840jj08jk5226::>6`b3ty95kh6>hj;<04c;297~;51;<15=j4=35ba?5am279;5h53gg8yv4>9m0;6>u22804><6c34862g62:ln7p}=90g94?5|5;39477?d:?13gc=;ol01?969;1e`>{t:0;m6=4<{<0:6<<>8m16>:li:2de?8401;08jh5rs3;14?6=;r795?o591f8971d839mj63=78`97cb<4?:2y>6<4e20:o70<8c080bc=::>3>6>hj;|q1=74=839p1?7=c;;3`>;5?j81?kh4=35:3?5am2wx>4;o0?<<5229gb>6`b3483?<46<572>=87p}=93g94?4|5;39i7983:?1=66=<9:0q~<62g83>7}::08m6:9<;<0:75<4nl1v?7<1;29f~;51;21>c:?1=72=:8i01?7=5;02g>;51;<1><57618yv4>;:0;69u22810><6c34;6<5?2:ln70<63680bc=z{;3887>52z?1=61=;oo01?7<4;547>{t:09<6=4={<0:76<54=8:650?xu51:31<78t=3;0g??7l2794>k53gd897>4n39mi63=92097cc<5;3?<7:?0:?1<6b=;on0q~<63`83>0}::09o64>k;<0;7`<4nl16>5=i:523?84>;;0?<<522863>6`a3ty95>l50;6x97?4m33;h63=82d97c`<5;38>7:?0:?1=16=;oo0q~<63g83>1}::09h64>i;<0:7a<>8o16>4=j:82e?84>;o0<;>5rs3;75?6=>r79<5=5412894c3m3>;<63>e9f97cc<58oj:7983:?75`7=<9;01?>:d;635>{t:0>96=4;{<11`f<38916?=kk:2df?8579l0?<=523027>2143ty959=50;0x9dcd2=:;70ln8;547>{t:0>?6=46{<0:00<0?:16>57j:2`2?84?1o08n<5229c3>6d63483m<46=g52:h:70<7a280f4=::1k?6>l>;<0;e0<4j81v?7;6;296~;51=<1;:=4=53fe?5an2wx>4:8:18184>><0<;>5240ge>6`b3ty959650;0x97??:3=6<002>=870:=198:1<=z{;3?m7>52z?1=3>=?>9019<>c;;6=>{t:0>i6=4={<0:2<<0?:168??:594;8yv4>21434>9>546589~w7?3m3:1>v3=97a9325<5=89o77:9:p6<2a2909w0<66e8436=:<;9;64;6;|q1=06=838p1?79e;547>;3::>15874}r0:14<72;q6>48i:650?825;102945rs3;66?6=:r795;857618917a;39mi6s|2870>5<5s482;=48729>075d20?27p}=94694?4|5;3<>7983:?7617=;ol0q~<65483>7}::0=86:9<;<6101<>=01v?7:6;296~;51>>1;:=4=5074;8:18184>?<0<;>52436g>6`a3ty958650;0x97?0>3=6<102>=870:=5480bc=z{;3>m7>52z?1=2>=?>9019<:9;1eb>{t:0?i6=4={<0:3<<0?:168?;k:2de?xu51;?53gg8yv4>=m0;6?u22852>21434>:j:4v3=96`9325<5=8=:7=ie:p6<3a2909w0<67b8436=:<8li6>hj;|q1=36=838p1?78d;547>;39ol1?kk4}r0:24<72;q6>49j:650?8258=08jh5rs3;56?6=:r795:h576189147139mi6s|2840>5<5s4824=48729>076d20?27p}=97694?4|5;33=7983:?7646=1<30q~<68283>7}::0286:9<;<0:03<4no1v?774;296~;51hk1;:=4=53fe?2782wx>46::18184>mj0<;>52285g>6`a3ty955850;0x97?fk3=6=870<67g80bc=z{;3347>52z?1=g6=?>901?770;1eb>{t:0226=4={<0:f7<0?:16>46>:2de?xu511k1<70k0;6?u228`7>21434824?4v3=9c59325<5=8:97:?0:p6<>c2909w0<6b98436=::0<<6>hi;|q1==c=838p1?7ma;547>;51?21?kh4}r0:4ll:650?84>>008jk5rs3;:4?6=:r795ok5761897?1i39mj6s|28;2>5<5s482o=48729>6<0e2:lm7p}=98094?4|5;3h?7983:?1=3e=;ol0q~<69283>7}::0i>6:9<;<0:2a<4no1v?764;296~;51j=1;:=4=3;5a?5an2wx>47::18184>k00<;>52284e>6`a3ty954850;0x97?d:3=6=870<67180bc=z{;3247>52z?1=fc=?>901?782;1eb>{t:0326=4={<0:`5<0?:168?:>:523?xu510k1<71k0;6?u228f0>2143482;94k3:1>v3=9e79325<5;3<97=if:p6o69>?;|q1=;51><1?kh4}r0:=c<72;q6>4jm:650?825=80?<=5rs3;b4?6=:r795im5761897?0?39mj6s|28c2>5<5s482hh48729>07322=:;7p}=9`094?4|5;3oj7983:?1=2>=;ol0q~<6a283>7}::0o:6:9<;<611<<3891v?7n4;296~;51l81;:=4=3;4=?5an2wx>4o::18184>m=0<;>52437g>1673ty95l850;0x97?b=3=6=870<67080bc=z{;3j47>52z?1=`1=?>901?78b;1eb>{t:0k26=4={<0:ad<0?:16>49l:2de?xu51hn1<7io0;6?u228ce>2143482mh4v3=9c39325<5;3i<7=ie:p6hj;|q1=g3=838p1?7m5;547>;51k>1?kk4}r0:f3<72;q6>4l9:650?84>ik08jh5rs3;a=?6=:r795o75761897?e039mi6s|28`a>5<5s482no48729>67}::0hm6:9<;<0:f`<4nl1v?7l1;296~;51j;1;:=4=3;`4?5am2wx>4m;:18184>k=0<;>5228a0>6`b3ty95n850;0x97?d>3=6=870<6c680b`=z{;3hm7>52z?1=fg=?>901?7l9;1ea>{t:0ih6=4={<0:gf<0?:16>4mm:2df?xu51jn1<7ko0;6?u228ae>2143482oh4v3=9e09325<5;3o=7=ie:p6hj;|q1=a0=838p1?7k6;547>;51m?1?kk4}r0:`<<72;q6>4j6:650?84>l108jh5rs3;g`?6=:r795ij5761897?ck39mi6s|28g3>5<5s482i=48729>67}::0o=6:9<;<0:a0<4nl1v?7j8;296~;51l21;:=4=3;f3?5am2wx>4k6:18184>m00<;>5228fb>6`b3ty95hl50;0x97?bj3=6=870<6eb80b`=z{;3ni7>52z?1=`c=?>901?773;1ea>{t:0om6=4={<0:<6<4no16>4ki:650?xu51o:1<7n80;6?u228g`>6`a3482j<48729~w7?a:3:1>v3=9`a97c`<5;3m>7983:p6<`42909w0<6ad80bc=::0l86:9<;|q1=c2=838p1?7m0;1eb>;51o>1;:=4}r0:b0<72;q6>4l=:2de?84>n<0<;>5rs3;e2?6=:r795ll53gd897?a>3=5<5s482j:48729>67}::0h36>hi;<0:b<<0?:1v?7ia;296~;51kk1?kh4=3;ee?10;2wx>4hm:18184>jj08jk5228da>2143ty95km50;0x97?em39mj63=9ga9325652z?1=f5=;ol01?7ie;547>{t:0lm6=4={<0:g0<4no16>4hi:650?xu5i9:1<757618yv4f880;6?u228a:>6`a348j<<48729~w7g7:3:1>v3=9b097c`<5;k;>7983:p6d642909w0<6cc80bc=::h:86:9<;|q1e52=838p1?o?4;547>;51jo1?kh4}r0b40<72;q6>l>::650?84>l908jk5rs3c32?6=:r795i?53gd897g7>3=5<5s482h>46d602>=87p}=a1:94?4|5;k;47983:?1=a3=;ol0q~7}::h:26:9<;<0:`2<4no1v?o?a;296~;5i9k1;:=4=3;gl>m:18184f8k0<;>5228fa>6`a3ty9m=m50;0x97g7k3=6d6c2>=870<6dd80bc=z{;k;i7>52z?1e5c=?>901?7kf;1eb>{t:h:m6=4={<0b4c<0?:16>4k>:2de?xu5i8:1<72143482i94v3=a009325<5;3n97=if:p6d742909w0<6d`80bc=::h;86:9<;|q1e42=838p1?o>4;547>;51l=1?kh4}r0b53<72;q6>4kn:2de?84f9?0<;>5rs3c23?6=:r79m<95761897?3>39mi6s|2`3;>5<5s48j?;48729>6<022:ln7p}=a0;94?4|5;k>?7983:?1==4=;oo0q~7}::h936:9<;<0:22<4nl1v?o>b;296~;5i:31;:=4=3;5l?l:18184f;h0<;>52284:>6`b3ty9m6d5d2>=870<66c80b`=z{;k:j7>52z?1e6b=?>901?79c;1ea>{t:h8;6=4={<0b7`<0?:16>48k:2df?xu5i;;1<72143482:k4v3=a259325<5;3=:7=ie:p6d432909w0hj;|q1e73=838p1?o;3;547>;51>81?kk4}r0b63<72;q6>l:;:650?84>?:08jh5rs3c13?6=:r79m9;5761897?0<39mi6s|2`0;>5<5s48j8;48729>6<122:ln7p}=a3;94?4|5;k?;7983:?1=20=;oo0q~7}::h>36:9<;<0:32<4nl1v?o=b;296~;5i=31;:=4=3;4l52285:>6`b3ty9m?j50;0x97g3j3=h4?:3y>6d252>=870<67080b`=z{;k9j7>52z?1e1e=?>901?78b;1ea>{t:h9;6=4={<0b0a<0?:16>49l:2df?xu5i:;1<72143482;h4v3=a429325<5;3hj;|q1e63=838p1?o:2;547>;511;1?kk4}r0b11<72;q6>l;;:650?84f9>08jk5rs3c61?6=:r79m8;5761897g3:39mj6s|2`75>5<5s482ml46d302>=87p}=a4:94?4|5;k>47983:?1e1b=;ol0q~7}::h?26:9<;<0b0`<4no1v?o:a;296~;5il;m:18184f=k0<;>522`73>6`a3ty9m8m50;0x97g2k3=6d3c2>=870i7>52z?1e0c=?>901?o:3;1eb>{t:h?m6=4={<0b1c<0?:16>4l8:2df?xu5i?:1<7653gd8yv4f>80;6?u22`42>214348j?44v3=a709325<5;k8:7=if:p6d042909w0hi;|q1e32=838p1?o94;547>;5i:h1?kh4}r0b20<72;q6>l8::650?84f;j08jk5rs3c52?6=:r79m;85761897g4l39mj6s|2`44>5<5s48j::48729>6d5b2:lm7p}=a7:94?4|5;k=47983:?1e6`=;ol0q~7}::h<26:9<;<0b05<4no1v?o9a;296~;5i?k1;:=4=3c75?5an2wx>l8m:18184f>k0<;>522`60>6`a3ty9m;m50;0x97g1k3=6d0c2>=87052z?1e3c=?>901?o<7;1eb>{t:hl:::2de?xu5i>:1<72143482h:4v3=a609325<5;k?;7=if:p6d142909w0hj;|q1e22=838p1?o84;547>;5i=21?kh4}r0b30<72;q6>l9::650?84>ll08jh5rs3c42?6=:r79m:85761897g3139mj6s|2`54>5<5s48j;:48729>67}::h=26:9<;<0:a1<4nl1v?o8a;296~;5i>k1;:=4=3c7f?5an2wx>l9m:18184f?k0<;>522`6`>6`a3ty9m:m50;0x97g6?39mi63=a6a93256d512:ln7052z?1e2c=?>901?o:3;1ea>{t:h=m6=4={<0b7=<4nl16>l9i:650?xu5i1:1<757618yv4f080;6?u22`1b>6`b348j4<48729~w7g?:3:1>v3=a2`97cc<5;k3>7983:p6d>42909w0;5i1>1;:=4}r0b<0<72;q6>l=j:2df?84f0<0<;>5rs3c;2?6=:r79m>h53gg897g?>3=5<5s48j8=46d>02>=87p}=a9:94?4|5;k8;7=ie:?1e=>=?>90q~7}::h>:6>hj;<0b<<<0?:1v?o7a;296~;5i1k1;:=4=3c77?5am2wx>l6m:18184f<=08jh522`:a>2143ty9m5m50;0x97g3=39mi63=a9a93256d212:ln7052z?1e11=;oo01?o7e;547>{t:h2m6=4={<0b0=<4nl16>l6i:650?xu5i0:1<757618yv4f180;6?u22`6b>6`b348j5<48729~w7g>:3:1>v3=a5`97cc<5;k2>7983:p6d?42909w0;5i0>1;:=4}r0b=0<72;q6>l:k:2df?84f1<0<;>5rs3c:2?6=:r79m9k53gg897g>>3=5<5s48j8k46d?02>=87p}=a8:94?4|5;k><7=ie:?1e<>=?>90q~7}::h?:6>hj;<0b=<<0?:1v?o6a;296~;5i<81?kk4=3c:e?10;2wx>l7m:18687fn10?<=521`d2>6`a34;jj845dc>2:ln70:=738436=z{;k2o7>56z?11dg=;oo019<83;547>;5>>n1?kh4=34`7?278279:;j53gg89702j39mj6s|2`;g>5<4s48<4<4;019>620b2:lm70:=798436=z{;k2i7>56z?e6a<4nl16j<753gd89140j3=>;<3306<4no1v?o6f;291~;39m21?kk4=3d4`?27927?>:m576189140l39mh63=d8390575d2f2:ln70?n148744=:<;2;6:9<;|q1ed7=83?p1;6k0?1?kh4=50;7?10;27:o4753gd894e?l39mi6s|2`c1>5<0s4h=<7=if:?a37<4nl16nl654128914?<3=lo<:18784?k108jk5229a6>6`a34>94848729>07>12:lo7p}=a`694?4|5=:287=if:?2ece=?>90q~7}::h=n6>hi;<3bba<0?:1v?on6;296~;5i1k1?kh4=0cea?10;2wx>lo8:18187fno0<;>522`:g>6`a3ty9ml650;0x94d783=5g662>=87052z?2f54=?>901?o60;1eb>{t:hki6=4={<3a46<0?:16>l7>:2de?xu5ihi1<7166348:>448729~w7gfm3:1>v3=a7a9057<5;;9m7983:p6dga2909w0;59;i1;:=4}r0bf4<72;q6>l9::522?846:m0<;>5rs3ca6?6=:r79m:9541389775m3=5<5s48j;44;009>644a2>=87p}=ac694?4|5=:2<7=ie:?1314=?>90q~7}::0l36>hj;<047<<0?:1v?om6;296~;5i9?1?kk4=3575?10;2wx>ll8:18184f8008jh522664>2143ty9mo650;0x97g7j39mi63=75f93256d6c2:ln70<85e8436=z{;kim7>52z?1e5`=;oo01?994;547>{t:hhi6=4={<0b54<4nl16>:8n:650?xu5iki1<716734984?48729~w7gem3:1>v3=a9c9056<5:93?7983:p6dda2909w0:?9380bc=:jk81;:=4}r0bg5<72;q6>l;;:2de?8de;3=5<5s48j:?4fg2=?>90q~7}::hhi;<`ab?10;2wx>lm<:18184f=<08jk52bbc93256d3?2:lm70llc;547>{t:hi>6=4={<0b1<<4no16nnj57618yv4fk?0;6?u22`7b>6`a34hhi7983:p6de02909w0l;l:2de?8dc83=5<5s48j9i4fa7=?>90q~7}::h?n6>hi;<`a1?10;2wx>lmm:18184f>908jk52bc493256d062:lm70lm7;547>{t:hio6=4={<0b26<4no16no657618yv4fkl0;6?u22`47>6`a34hi57983:p6dea2909w0l89:2de?8dej3=5<5s48j::4fge=?>90q~7}::h<36>hi;<`a`?10;2wx>lj<:18184f>008jk52bcg93256d0f2:lm70ll0;547>{t:hn>6=4={<0b2g<4no16nn?57618yv4fl?0;6?u22`4g>6`a34hh>7983:p6db02909w0l9?:2de?8dd<3=5<5s48j;?4ff3=?>90q~7}::h=?6>hi;<``2?10;2wx>ljm:18184f??08jk52bb593256d1?2:lm70ll8;547>{t:hno6=4={<0b3d<4no16nn757618yv4fll0;6?u22`5a>6`a34hhn7983:p6dba2909w0:?938745=::o3n6:9<;|q1e`6=838p1?o:4;634>;5nh;1;:=4}r0ba4<72;q6>l8=:523?84ai:0<;>5rs3cf6?6=:r79m;k5412897`f?3=5<5s48j984;019>6cge2>=87p}=ad694?4|5;k>47:?0:?1bd`=?>90q~7}::h?269>?;<0ef6<0?:1v?oj6;296~;5i4=3da2?10;2wx>lk8:18184f=k0?<=522g`:>2143ty9mh650;0x97g2k3>;<63=fca93256d3c2=:;7052z?1e0c=<9:01?hl4;547>{t:hoi6=4={<0b25<38916>km::650?xu5ili1<7167348moo48729~w7gbm3:1>v3=a769056<5;lhi7983:p6dca2909w0;5nm81;:=4}r0bb4<72;q6>l88:523?84al:0<;>5rs3ce6?6=:r79m;65412897`c<3=5<5s48j:44;019>6cb22>=87p}=ag694?4|5;k=m7:?0:?1ba0=?>90q~7}::h?;<0e`<<0?:1v?oi6;296~;5i?n18=>4=3dge?10;2wx>lh8:18184f>o0?<=522gfa>2143ty9mk650;0x97g083>;<63=feg93256d152=:;7052z?1e22=<9:01?hj4;547>{t:hli6=4={<0b33<38916>kk8:650?xu5ioi1<7167348min48729~w7gam3:1>v3=a6`9056<5;lm=7983:p6d`a2909w0:?8g80bc=:9jk;6:9<;|q1f56=838p1?7i7;1eb>;6kh;1;:=4}r0a44<72;q6>l>;:2de?87di;0<;>5rs3`36?6=:r79m=653gd894ef;3=5<5s48j5fg32>=87p}=b1694?4|5;k;o7=if:?2gd3=?>90q~7}::h:n6>hi;<3`e3<0?:1v?l?6;296~;5i8:1?kh4=0ab3?10;2wx>o>8:18184f9;08jk521bc;>2143ty9n=650;0x97g6<39mj63>c`;932505>a2=:;70o7e;547>{t:k:j6=4={;5i9818=?4}r0a4a<72;q6m4o5761897g6;3>;=6s|2c2f>5<5s4k2h7983:?1e40=<9;0q~7}:ih:1;:=4=3;e5?2792wx>o??:18184>n>0?<=52a`193256d632=:;70on6;547>{t:k;96=4={<0b4=<38916ml757618yv4e9:0;6?u22`2b>16734kjo7983:p6g732909w0l>j:523?8ge:3=5<5s48j==4;019>eg3=?>90q~7}::h;969>?;o?7:18184f9=0?<=52ac`932505?42=:;70<7848436=z{;h:m7>52z?1e0`=<9:01?676;547>{t:k;i6=4={<0b2f<38916>568:650?xu5j8i1<716734834448729~w7d6m3:1>v3=a679056<5;23m7983:p6g7a2909w0;501i1;:=4}r0a67<7202=w0:<208154=:<:;>6??>;<61e=<598168?o6:332?825j=09=<5243`e>77634>9o?4=109>07e42;;:70:=c58154=:<;i>6??>;<61g3<598168?m8:332?825ih09=<5243ca>77634>9mn4=109>07gc2;;:70:=ad8154=:<;km6??>;<61f5<598168?l>:332?825j;09=<5243`0>77634>9n84=109>07d12;;:70:=b68154=:<;h36??>;<61f<<598168?ln:332?825jk09=<5243``>77634>9ni4=109>07db2;;:70:=c18154=:<;i:6??>;<61g=<598168?87:332?85>9909=<5239db>7763483o;4=109>06422;;:70:=868154=:;1:n6??>;<1;4c<59816?5?n:332?85?:<09=<52390;>7763493>44=109>7=4f2;;:70=72c8154=:;18h6??>;<1;6a<59816?5??:332?85?9809=<523931>7763493=>4=109>7=732;;:70=7148154=:;1;=6??>;<1;52<59816?5?7:332?85?9009=<52393a>7763493=n4=109>7=7c2;;:70=71d8154=:;1;m6??>;<1;65<59816?5<>:332?85?:;09=<523900>7763493>94=109>7=412;;:70=7268154=:;?:>6??>;<1555<59816?;?::332?8519?09=<523734>776349==54=109>737>2;;:70=9078154=:;?:<6??>;<154=<59816?;>6:332?8518h09=<52372a>776349=736c2;;:70=90d8154=:;?:m6??>;<1554<59816?;?=:332?8519:09=<523737>776349=<94=109>735b2;;:70=93g8154=:;?>?6??>;<1500<59816?;:9:332?851<>09=<52376;>776349=844=109>732f2;;:70=94c8154=:;?>;6??>;<1504<59816?;:=:332?851<:09=<52374;>77634938k4=109>734?2;;:70=91`8154=:;?;i6??>;<1565<59816?;<>:332?851:;09=<523700>776349=>94=109>73422;;:70=9278154=:;?8<6??>;<155f<59816?;?k:332?8519l09=<52373e>776349=8n4=109>732c2;;:70=94d8154=:;?>m6??>;<1515<598168=;n:332?850jl09=<5236`e>77634972b22;;:70=8d98154=:;>n26??>;<14`d<59816?:jm:332?850lj09=<5236fg>77634972e62;;:70=8c38154=:;>i86??>;<14g1<59816?:m::332?850k?09=<5236a4>77634972e>2;;:70=8cc8154=:;>ih6??>;<14ga<59816?:mj:332?850ko09=<5236f3>77634972b52;;:70=8d28154=:;>n?6??>;<14`3<59816?:j8:332?851:009=<52370b>776349=>o4=109>734d2;;:70=92e8154=:;?8n6??>;<156c<59816?4?m:332?85>9j09=<52383g>7763492=h4=109>7<7a2;;:70=6218154=:;08:6??>;<1:67<59816?4?n:332?851=809=<523771>776349=9>4=109>73312;;:70=9568154=:;?<;6??>;<1524<59816?;8=:332?851>:09=<523747>776349=:84=109>73012;;:70=9668154=:;??36??>;<151<<59816?;;n:332?851=k09=<52377`>776349=9i4=109>733b2;;:70=95g8154=:;1>n6??>;<14fa<59816?lom:332?85fil09=<523831>7763492=>4=109>7<732;;:70=6148154=:;0;=6??>;<1:52<59816?4?7:332?85>9009=<523832>776349=?=4=109>73562;;:70=9378154=:;?9<6??>;<157=<59816?;=6:332?851;h09=<52371a>776349=?n4=109>735c2;;:70=9338154=:;?986??>;<1571<59816?;=::332?85?nk09=<5239d`>7763493ji4=109>7=`b2;;:70=7fg8154=:;0:;6??>;<1:44<59816?4<<:332?85>:=09=<52380g>7763492>h4=109>7<4a2;;:70=6318154=:;09:6??>;<1:77<59816?4=<:332?85>;=09=<523806>7763492>;4=109>7<402;;:70=6298154=:;0826??>;<1:6d<59816?4:j09=<5239f4>7763493in4=109>7=cc2;;:70=7f38154=:;1l86??>;<1;b1<59816?5h::332?85?n?09=<5239d4>7763493j54=109>7=`>2;;:70=7ed8154=:;1om6??>;<1;b5<59816?5h>:332?85?:l09=<52390e>7763493?l4=109>7=222;;:70=7498154=:;1>26??>;<1;0d<59816?5:m:332?85?7763493?=4=109>7=562;;:70=7338154=:;1986??>;<1;71<59816?5=::332?85?;?09=<523914>7763493?54=109>7=5>2;;:70=73c8154=:;19h6??>;<1;7a<59816?5=j:332?85?;o09=<523963>77634938<4=109>7=252;;:70=7428154=:;1>?6??>;<1;03<59816?5:8:332?85>;<09=<5239f;>7763493i?4=109>7=c02;;:70=7e98154=:;1o26??>;<1;ad<59816?5km:332?85?l009=<5239fb>7763493ho4=109>7=bd2;;:70=7de8154=:;1nn6??>;<1;`c<59816?5k?:332?85?m809=<5239g0>7763493i94=109>7=c22;;:70=7e78154=:;hh:6??>;<1:47<59816?4><:332?85>8109=<52382:>77634927<6e2;;:70=60b8154=:;0:o6??>;<1:4`<59816?4>i:332?85>8=09=<523826>7763492<;4=109>7<602;;:70:=e88154=:<;oj6??>;<61b0<598168>>?:332?8248:09=<524227>77634>8<84=109>06612;;:70:<068154=:<::36??>;<61ag<598168?kl:332?825mm09=<5243gf>77634>9ik4=109>07`72;;:70:=f08154=:<;l96??>;<61b6<598168?h;:332?825n?09=<5243d4>77634>9j54=109>07`>2;;:70:=f`8154=:<;li6??>;<61bf<598168?hk:332?825nl09=<5243de>77634>8<<4=109>06652;;:70:?ag8154=:<9h?6??>;<63f0<598168=l9:332?827j>09=<5241`;>77634>;n44=109>05df2;;:70:?a98154=:<9k26??>;<63ed<598168=om:332?827ij09=<5241cg>77634>;mh4=109>05d72;;:70:?b08154=:<9h96??>;<63f6<598168m09=<5229:7>776349jml4=109>5<7b2;;:70<86b8154=:;0ni6?<:;<1b52<5:<16?l87:306?87?nj09=<5219dg>77634;2<54=109>5<742;;:70?6198154=:90;=6??>;<3:52<59816=4?6:332?87>9h09=<52183a>77634;3jh4=109>5=`a2;;:70?6018154=:90::6??>;<3:47<59816=4><:332?87>8=09=<521826>77634;2<;4=109>5<6f2;;:70?6068154=:90:26??>;<3:4g<59816=4>l:332?87>8m09=<52182f>77634;2=<4=109>5<6a2;;:70?6118154=:90;96??>;<3:51<59816=4?::332?85f;<09=<523`17>776349j?>4=109>7d552;;:70=n308154=:;h9;6??>;<1b6c<59816?l776349j>o4=109>7d4f2;;:70=n288154=:;h836??>;<1b62<59816?l98:332?85f??09=<523`56>776349j;94=109>7d142;;:70=n738154=:;h=:6??>;<1b35<59816?l8i:332?85f>l09=<523`4g>776349j:n4=109>7d0e2;;:70=n6`8154=:;h<26??>;<1511<59816?:km:332?850m109=<5236g6>77634972ba2;;:70=70`8154=:;1:<6??>;<1;41<59816?5>>:332?850nl09=<5236da>77634972bb2;;:70?=178154=:9;;96??>;<3150<59816j77634l9;7<>1:?e06<59816j9?520389c252;;:70h;4;025>;a<<09=<52f0g9647<5o;h6??>;b76=:8;01k<>:332?8`5138:=63i298154=:n;>1>77634l9m7<>1:?e60<59816j>>520389c552;;:70h=6;025>;a;809=<52f2;9647<5o936??>;b62=:8;01k=n:332?8`4k38:=63i3c8154=:n:<1>77634l8h7<>1:?e7`<59816=<79:332?8`3838:=63>1879647<58;257<>1:?25<1=:8;01;690h1>52038947f:38:=63>1`39647<58;2o7<>1:?25d5=:8;01;69h>1>1`a9647<58;j:7<>1:?25db=:8;01;69h21>1c29647<58;i=7<>1:?25g4=:8;01;69091>1ef9647<58;n47<>1:?e<3<59816j5?52038947a=38:=63i868154=:n181>b=5=:8;01<;a0009=<52f9c9647<5o2?6??>;b28154=:983m6??>;<32ed<59816=77634;:nn4=109>54d12;;:70?>bd8154=:98ho6??>;<32f2<59816=77634;:o:4=109>54e72;;:70?>c78154=:98i36??>;<32g4<59816=77634;:oo4=109>54ec2;;:70?>cb8154=:98n86??>;<32g`<59816=77634;:h=4=109>54b12;;:70?>d08154=:98n<6??>;<32`=<59816=77634;:hl4=109>54c72;;:70?>dc8154=:98o:6??>;<32`f<59816=77634;:il4=109>54c32;;:70?>e78154=:98o>6??>;<32ag<59816=77634;:j<4=109>54`72;;:70?>f78154=:98l36??>;<32b2<59816=77634;:j44=109>57662;;:70?>f`8154=:98li6??>;<32ba<59816=77634;9<>4=109>54`b2;;:70?=078154=:98lm6??>;<3140<59816=?>k:332?8758j09=<521324>77634;9<44=109>576?2;;:70?=0d8154=:9;;;6??>;<314c<59816=?>n:332?8`a138:=63if`8154=:noh1>77634lmh7<>1:?eb`<59816=??>:332?8`fk38:=63iae8154=:nho1>77634li<7<>1:?ef4<59816==mm:332?877kj09=<5211ag>77634;;oh4=109>55ea2;;:70??d18154=:998:6??>;<3367<59816==<<:332?877:=09=<521106>77634;;>;4=109>55>42;;:70??858154=:992>6??>;<33<3<59816==68:332?8770109=<5211f0>77634;;h94=109>55b22;;:70??d78154=:99n<6??>;<33`=<59816==jm:332?877lj09=<5211fg>77634;;hh4=109>55ba2;;:70??e18154=:99l86??>;<33b1<59816==h::332?877n?09=<5211d4>77634;;j54=109>55c42;;:70??e58154=:99o>6??>;<33a3<59816==k8:332?877m109=<5211ga>77634;;in4=109>55cc2;;:70??ed8154=:99om6??>;<33b5<59816ji=520389cb32;;:70hk5;025>;al?09=<52fe59647<5on36??>;bf5=:8;01km;:332?8`d=38:=63ic78154=:nj31>77634lhn7<>1:?egf<59816jnj520389ceb2;;:70hjb;025>;amj09=<52fdf9647<5oon6??>;bad=:8;01kjl:332?8`cl38:=63idd8154=:nml1>77634ln?7<>1:?ea1<59816jh;520389cc12;;:70hj7;025>;am109=<52112:>77634;;556e2;;:70??0b8154=:99:o6??>;<334`<59816==>>:332?8778;09=<521120>77634;;<94=109>55622;;:70??078154=:99826??>;<336d<59816==77634;;>h4=109>55762;;:70??138154=:99;86??>;<3351<59816==?::332?8779?09=<52113:>77634;;=l4=109>557e2;;:70??1b8154=:99;o6??>;<335`<59816==:7:332?877<009=<52116b>77634;;8o4=109>552d2;;:70??4e8154=:999:6??>;<3377<59816===<:332?877;=09=<521116>77634;;?;4=109>555>2;;:70??3`8154=:999i6??>;<337f<59816===k:332?877;l09=<521140>77634;;:94=109>55022;;:70??678154=:99<<6??>;<332=<59816==;<:332?877==09=<521176>77634;;9;4=109>55302;;:70??598154=:99?i6??>;<331f<59816==;k:332?877=l09=<52117e>77634;;:=4=109>551e2;;:70??7b8154=:99=o6??>;<333`<59816==9i:332?8770909=<52114a>77634;;:n4=109>550c2;;:70??6d8154=:99;<3335<59816==9<:332?877?=09=<521156>77634;;;;4=109>55102;;:70??798154=:993i6??>;<33=f<59816==7k:332?8771l09=<5211;e>77634;;m=4=109>55>e2;;:70??8b8154=:992o6??>;<33<`<59816==6i:332?8771909=<5211;0>77634;;594=109>55?22;;:70??978154=:993<6??>;<33==<59816==l<:332?877j=09=<5211`6>77634;;n;4=109>55d02;;:70??b98154=:99k86??>;<33e1<59816==o::332?877i?09=<5211c4>77634;;m54=109>55ge2;;:70??ab8154=:99ko6??>;<33e`<59816==oi:332?877j909=<5211da>77634;;jn4=109>55`c2;;:70??fd8154=:99lm6??>;<3245<59816=<>>:332?877jk09=<5211``>77634;;ni4=109>55db2;;:70??bg8154=:99i;6??>;<33g6<59816==m;:332?877k<09=<5211a5>77634;;o:4=109>55e?2;;:70?>058154=:98:>6??>;<3243<59816=<>8:332?8768109=<52102:>77634;:ad7=:8;016;025>;6i=91>?5203894g5j38:=63>a3g9647<58k8;7<>1:?2e62=:8;01<7k5;025>;61m21>a2f9647<583n87<>1:?2e16=:8;01<7j1;025>;61m;1>9d;9647<58k:m7<>1:?2e76=:8;01d;025>;61ll1>a349647<583m47<>1:?2=cc=:8;01<7ib;025>;6i=21>ac29647<58ki=7<>1:?2eg4=:8;01;6ik>1>a`c9647<58kjn7<>1:?2ede=:8;01;6iho1>ae29647<58ko=7<>1:?2ea4=:8;01;6im>1>abc9647<58khn7<>1:?2efe=:8;01;6ijo1>a709647<58k=?7<>1:?2e3c=:8;01;6i?n1>5203894g0;38:=63>a639647<58k<>7<>1:?2e32=:8;01;6i?21>a7c9647<58k3:7<>1:?2e=1=:8;01;6i091><38:=63>a879647<58k347<>1:?2e<0=:8;01;6i131>a9a9647<58k3i7<>1:?2e3d=:8;01;e9l09=<52b0d9647<5k8=6??>;<`13?46927i>54=109>f7?=:8;01o77634h9=7<>1:?a67<59816n?=520389g432;;:70l=5;025>;e>h09=<52b7`9647<5k;<`5`?46927i:h4=109>f3`=:8;01o9?:332?8d0938:=63ma`8154=:ij81>77634kh87<>1:?a=2<59816n46520389g?a2;;:70ln0;025>;ei809=<52b`09647<5kk86??>;<`b0?46927im84=109>fd0=:8;01o76:332?8d>i38:=63m9c8154=:j0i1>77634h2i7<>1:?b`g<59816mim520389dc42;;:70oj4;025>;fm<09=<52ad49647<5ho<6??>;e`g=:8;01ljk:332?8gcm38:=63ndg8154=:il:1>77634kn>7<>1:?bb`<59816mkh520389g612;;:70l?7;025>;e8109=<52b1;9647<5k:j6??>;<`3f?46927if5b=:8;01o>?:332?8d7938:=63m038154=:j991>77634h;97<>1:?122c=:8;01?88f;025>;5>1:1>1:?1224=:8;01?883;025>;5>>>1>1:?12=g=:8;01?87b;025>;5>1i1>1:?12<6=:8;01?861;025>;5>081>?38:=63=68:9647<5;<257<>1:?12;5>0i1>52038970f938:=63=6`09647<5;1:?12d0=:8;01?8n7;025>;5>h21>1:?12d`=:8;01?8m0;025>;5>k;1>1:?12g?=:8;01?8ma;025>;5>kh1>7<>1:?11;5=kk1>1:?120c=:8;01?8:f;025>;5>?:1>?7<>1:?1202=:8;01?8:5;025>;5><<1>1:?123>=:8;01?899;025>;5>?k1>1:?11c1=:8;01?;i8;025>;5=o31>1:?11c6=:8;01?;i1;025>;5=o81>1:?1160=:8;01?;<7;025>;5=:21>o520389734j38:=63=52a9647<5;>?>7<>1:?1015=:8;01?:;4;025>;5<=?1>1:?10a6=:8;01?:k1;025>;5o;7<>1:?10a>=:8;01?;m1;025>;5=k81>1:?1277=:8;01?8=c;025>;5>:k1>m520389704l38:=63=62g9647<5;<8j7<>1:?1272=:8;01?8=2;025>;5>;91>1:?127g=:8;01?8=e;025>;5>;h1>7<>1:?1265=:8;01?8<4;025>;5>:21>8520389704138:=63=53c9647<5;?9n7<>1:?117e=:8;01?:j5;025>;5nn7<>1:?10`e=:8;01?:jd;025>;538:=63=5b59647<5;?h47<>1:?12f3=:8;01?8l6;025>;5>j31>1:?12f`=:8;01?8k0;025>;5>j=1>1:?12a?=:8;01?8ka;025>;5>mh1>1:?110g=:8;01?;:b;025>;5=?81>1:?113>=:8;01?;99;025>;5=1:?12`7=:8;01?8j2;025>;5>l?1>1:?12`d=:8;01?8jc;025>;5>l91>1:?07gb=:8;01>=mb;025>;4;ki1>1:?07fe=:8;01>=k0;025>;4;jo1>1:?755?=:8;019?<0;025>;39:>1>1:?752`=:8;019?70;025>;391;1>1:?7521=:8;019?88;025>;39>31>1:?757b=:8;019??e;025>;390n1>1:?75dd=:8;019?nc;025>;39hn1>7<>1:?75d5=:8;019?n4;025>;39h?1>1:?754c=:8;019?k0;025>;39;;1>1:?74c>=:8;019>ib;025>;38oo1>e=>=:8;01l9k:650?840?009=<52265b>776348<;o4=109>621d2;;:70<87e8154=::>=n6??>;<043c<59816>:6?:332?87d?l09=<521b;b>77634;h5?4=109>5f?42;;:70?l898154=:9j3m6??>;<3`=2<59816=n6j:332?87d0o09=<521b:6>77634;h4;4=109>5f>02;;:70?ldg8154=:9m826??>;<3g0`<59816=i:i:332?87c=h09=<521e46>77634;o:54=109>5a0>2;;:70?k6`8154=:9m;<3g2f<59816=i8k:332?87c=909=<521e72>77634;o9?4=109>5a342;;:70?k558154=:9m?>6??>;<3g13<59816=i;8:332?87c=109=<521e7:>77634;o9o4=109>5a3d2;;:70?k5e8154=:9m?n6??>;<3g1c<59816=i8?:332?87c>809=<521e41>77634;o:>4=109>5a032;;:70?k678154=:9m<<6??>;<3g42<59816=i>7:332?87c9:09=<521e3f>77634;o><4=109>5a452;;:70?k228154=:9m8?6??>;<3g60<59816=i<9:332?87c8009=<521e2b>77634;o5a6d2;;:70?k0e8154=:9m:n6??>;<3g4c<59816=i??:332?87c9809=<521e31>77634;o=94=109>5a722;;:70?k178154=:9m;<6??>;<3g5=<59816=i?6:332?87c9h09=<521e3a>77634;o=n4=109>5a7c2;;:70?k1g8154=:9m8;6??>;<3`a0<59816=nj;:332?87dl<09=<521bf5>77634;hh:4=109>5fb?2;;:70?ld88154=:9l;96??>;<3f56<59816=h?;:332?87cj009=<521e`6>77634;n=:4=109>5`7f2;;:70?j1e8154=:9mh;6??>;<3gb7<59816=ikk:332?87cn:09=<521egf>77634;oj=4=109>5a`62;;:70?keg8154=:9mln6??>;<3gbc<59816=h>?:332?87b8809=<521d21>77634;n<>4=109>5`632;;:70?j048154=:9l:=6??>;<3f42<59816=ljn:332?87fn?09=<521`gf>77634;jik4=109>5dc32;;:70?nfc8154=:9hl86??>;<3bad<59816=lkm:332?87fm809=<521`g1>77634;ji>4=109>5g5>2;;:70?m828154=:9kk36??>;<3ae<<59816=ol;:332?87ejo09=<521ca1>77634;io>4=109>5ge32;;:70?mc48154=:9ki=6??>;<3ag2<59816=oon:332?87eik09=<521cc`>77634;imi4=109>5ggb2;;:70?mag8154=:9kh;6??>;<3af4<59816=ol=:332?87ej:09=<521c`6>77634;in;4=109>5gd02;;:70?mb98154=:9kh26??>;<3afd<59816=olm:332?87ejj09=<521c`g>77634;inh4=109>5ge72;;:70?mc08154=:9k<:6??>;<3a27<59816=o8k:332?87e?109=<521c5a>77634;i;n4=109>5g1c2;;:70?m7d8154=:9k=m6??>;<3a<5<59816=o8<:332?87e>=09=<521c46>77634;i:;4=109>5g002;;:70?m698154=:9k<26??>;<3a2d<59816=o8m:332?87e>j09=<521c4f>77634;i:k4=109>5g172;;:70?m708154=:9k=96??>;<3a36<59816=o9;:332?87e?<09=<521c55>77634;i;:4=109>5g1>2;;:70?m7`8154=:9k9m6??>;<3a6`<59816=o77634;i??4=109>5g542;;:70?l6b8154=:9j;<3`2`<59816=n?<:332?87d8o09=<521b52>77634;h;94=109>5f102;;:70?l0`8154=:9j>h6??>;<3`02<59816=n:k:332?87d<109=<521b6b>77634;h8o4=109>5f2>2;;:70?l598154=:9j?26??>;<3`1d<59816=n;m:332?87d=j09=<521b7g>77634;h9h4=109>5f3a2;;:70?l618154=:9j<:6??>;<135c<59816?=>k:332?8578l09=<523136>776349;=;4=109>75702;;:70=?198154=:;9;26??>;<135d<59816?=?m:332?8579j09=<52312e>776349;==4=109>75762;;:70=?138154=:;9;86??>;<1351<59816>khl:332?855l:09=<5233f7>7763499h84=109>77b12;;:70==d68154=:;;n36??>;<11`<<59816??jn:332?855i?09=<5233fg>7763499mh4=109>77?f2;;:70==9c8154=:;;3h6??>;<11=a<59816??om:332?855k809=<5233a1>7763499o>4=109>77e32;;:70==c48154=:;;i=6??>;<11g2<59816??m7:332?856i?09=<5230c4>776349:m54=109>74g72;;:70=>c88154=:;8nn6??>;<12`a<59816?776349:h44=109>74b?2;;:70=>d48154=:;8hn6??>;<12fc<59816?776349:o>4=109>74e32;;:70=>c48154=:;8l;6??>;<12a=<59816?776349:j;4=109>74`42;;:70=>448154=:;8?36??>;<121<<59816?<8?:332?856>809=<523041>776349::>4=109>74032;;:70=>648154=:;8<=6??>;<1222<59816?<;n:332?856=k09=<52307`>776349:9i4=109>743b2;;:70=>5g8154=:;:8=6??>;<107<<59816?>=n:332?854<809=<523261>77634988>4=109>76232;;:70=<448154=:;:>=6??>;<1002<59816?>:7:332?854;k09=<52321`>7763498?i4=109>765b2;;:70=<3g8154=:;:>;6??>;<117f<59816??:i:332?855=909=<523374>7763499954=109>773>2;;:70==5`8154=:;;?i6??>;<111f<59816??;k:332?855=l09=<523372>77634999?4=109>77342;;:70==558154=:;;?>6??>;<1113<59816?=mn:332?857m809=<5231g1>776349;i94=109>75c22;;:70=?e78154=:;9o<6??>;<13a=<59816?=k6:332?857mh09=<5231ga>776349;i>4=109>75`>2;;:70=?f`8154=:;9lh6??>;<13ba<59816?=hj:332?857no09=<523023>776349:<<4=109>74652;;:70=>028154=:;9li6??>;<0e40<59816>k=7:332?84a;009=<522g22>776348m?:4=109>6c0c2;;:70;<0e7`<59816>k:6:332?84a776348m9=4=109>6c362;;:70;<0e11<59816>k=i:332?84a<909=<522g62>776348m8?4=109>6c242;;:70>6??>;<0e03<59816>k:8:332?84a<109=<522g6b>776348m8o4=109>6c2d2;;:70;<0e42<59816>k?=:332?84a9>09=<522g3;>776348m=44=109>6c7f2;;:70;<0e5a<59816>k>7:332?84a8009=<522g2b>776348m6c6d2;;:70;<0e4c<59816>k??:332?84a9809=<522g30>776348m=94=109>6c722;;:70;<0e7g<59816>k=l:332?84a>l09=<522g4e>776348m984=109>6c312;;:70;<0e22<59816>k87:332?84a>009=<522g4b>776348m:o4=109>6c0d2;;:70;<0e1<<59816>k;n:332?84a=k09=<522g7`>776348m9i4=109>6c3b2;;:70;<0e27<59816>k8<:332?84a>=09=<522g46>776348m=h4=109>6c7a2;;:70;<0e75<59816>k=>:332?84a;;09=<522g10>776348m?94=109>6c522;;:70;<0e67<59816>k<<:332?84a:=09=<522g06>776348m>;4=109>6c402;;:70;<0e6g<59816>k776348o??4=109>6a542;;:70;<0g74<59816>i;6:332?84c=h09=<522e42>776348o:?4=109>6a042;;:706??>;<0g23<59816>i88:332?84c>109=<522e7a>776348o9n4=109>6a3c2;;:70;<0g25<59816>i=8:332?84c8h09=<522e1;>776348o?44=109>6a272;;:7096??>;<0g06<59816>i:;:332?84c<<09=<522e65>776348o8:4=109>6a5f2;;:70;<0g7a<59816>i=j:332?84c;o09=<522e33>776348o=<4=109>6a7?2;;:70;<0g5g<59816>i?l:332?84c9m09=<522e3f>776348o=k4=109>6a752;;:70;<0g50<59816>i?9:332?84c9>09=<522e13>776348o;l4=109>6a1e2;;:706??>;<0g73<59816>i86:332?84c>h09=<522e52>776348o;?4=109>6a142;;:706??>;<0g33<59816>i98:332?84c?109=<522e4a>776348o:n4=109>6a0c2;;:70;<0g35<59816>i:7:332?84c<009=<522e73>776348o9<4=109>6a352;;:70;<0g10<59816>i;9:332?84c=>09=<522e6b>776348o8o4=109>6a2d2;;:70n6??>;<0g0c<59816>i96:332?84c:909=<522e02>776348o>54=109>6a4>2;;:70;<0g6f<59816>i776348o>?4=109>6a442;;:706??>;<0g63<59816>i<8:332?84d1109=<522b;:>776348hm94=109>6fga2;;:70;<0`f1<59816>nl::332?84dj?09=<522b`4>776348h5l4=109>6f?e2;;:70;<0`=`<59816>n7i:332?84di909=<522bc2>776348hm?4=109>6fg42;;:70;<0`e2<59816>no7:332?84di009=<522bcb>776348hmo4=109>6fgd2;;:70;<0`f5<59816>nl>:332?84dmm09=<522bg;>776348hih4=109>6fc>2;;:70;<0`ad<59816>nh6:332?84dnh09=<522bda>776348hjn4=109>6f`c2;;:70;<0g45<59816>i>>:332?84c8;09=<521d6e>77634;n?i4=109>5`5b2;;:70?j448154=:9l>=6??>;<3f02<59816=h:7:332?87b<009=<521d6b>77634;n8o4=109>5`2d2;;:70?j3g8154=:9l>;6??>;<3f04<59816=h:=:332?87b<:09=<521d67>77634;n>n4=109>651f2;;:70;<033a<59816>=9j:332?847?o09=<5221:3>776348;4<4=109>652c2;;:706??>;<0304<59816>=:=:332?847<:09=<522167>776348;9?4=109>650?2;;:70;<032g<59816>=8l:332?847>m09=<52214f>776348;:k4=109>5c2d2;;:70?i4e8154=:9o>n6??>;<3e03<59816=k8i:332?87a0<09=<521g:7>77634;m4>4=109>5c>52;;:70?i808154=:9o2;6??>;<3e3c<59816=k9l:332?87a>=09=<521g46>77634;m:;4=109>5c002;;:70?i698154=:9o<26??>;<3e2d<59816=k8m:332?87a1>09=<521g:e>77634;m5=4=109>5c?62;;:70?i938154=:9o3o6??>;<3e=d<59816=hkm:332?87bnl09=<521dde>77634;m<;4=109>5c602;;:70?i098154=:9o:26??>;<3e4d<59816=k>m:332?87a8j09=<521g2g>77634;m<=4=109>5c662;;:70?i038154=:9o:86??>;<3e41<59816=k>::332?847km09=<5221g3>776348;i<4=109>65c?2;;:70;<03ag<59816>=kl:332?847mm09=<5221gf>776348;ik4=109>65c52;;:70;<03a0<59816>=k9:332?847m>09=<521gg0>77634;mj;4=109>5c`02;;:70?ifd8154=:9olm6??>;<0345<59816>=>>:332?8478;09=<522120>776348;<94=109>65622;;:70?if98154=:9ol26??>;<3ebd<59816=khm:332?87anj09=<521gdg>77634;n;44=109>5`?12;;:70?j968154=:9l336??>;<3f=<<59816=h7n:332?87b1k09=<521d;`>77634;n5i4=109>5`3e20kn70?j5b8:e`=:9l?o64oj;<3f1`<>il16=h;i:8cf?87b>;02mh521d43>5`g52;;:70?ja28154=:9lk?6??>;<3fe0<59816=h67:332?87b0009=<521d:b>77634;n4o4=109>`3?=:8;01k6l:332?8b0>38:=63i8e8154=:l191>77634n2<7<>1:?e;a1;09=<52d5d9647<5o2;6??>;`63=:8;01k9j:332?8b5038:=63i7e8154=:l8h1>77634n;i7<>1:?e3g<59816jll520389`c52;;:70hna;025>;bl<09=<52f`;9647<5li36??>;bd1=:8;01hoj:332?8`>l38:=63j398154=:n0:1>77634l397<>1:?g2=<59816j:o520389a6c2;;:70h87;025>;c8909=<52e869647<5ok>6??>;a2g=:8;01ko<:332?8c1l38:=63ia38154=:m?:1>77634o>?7<>1:?ee5<59816i98520389c?a2;;:70k<9;025>;a1l09=<52e0d9647<5o3h6??>;a53=:8;01k7n:332?8ba038:=63i988154=:llh1>77634noi7<>1:?e=2<59816hi?520389c?12;;:70jl4;025>;a1:09=<52423e>74d34>8=k4=2e9>06472;8h70:<21816a=:<:;o6??j:30`?8249l09>i52423:>74d34>8=44=2e9>067f2;8h70:<1`816a=:<:;i6??l:30`?8249j09>i5229;f>74d34835k4=2b9>6=g72;8h70<7a0816f=::1k96?5o;:30`?84?i<09>n5229c4>74d3483m54=2b9>060c2;8h70:<6e816a=:;92>6?742349i>k4=109>7d>?2;8>70=m318154=:;h3n6?<:;<1a03<59816?lo>:306?85e<009=<523`c1>742349i8l4=109>7dg42;8>70=m4c8154=:;hk?6?<:;<1a0f<59816?lo::306?85e742349i??4=109>7dg12;8>70=m4d8154=:;h226?<:;<1a74<59816?l6m:306?85e;:09=<523`:`>742349i?94=109>7d>c2;8>70=m348154=:;h2n6?<:;<1a73<59816?l6i:306?85e;>09=<523`;3>742349i?54=109>7d?32;8>70=m3b8154=:;h3:6?<:;<1a7<<59816?l7=:306?85e;h09=<523`;6>742349i?i4=109>7d?12;8>70=m3d8154=:;h3<6?<:;<1a7c<59816?l77:306?85e<909=<523`;:>742349i8<4=109>7d?f2;8>70=m438154=:;h3o6?<:;<1a00<59816?l7m:306?85e<:09=<523`;`>742349i894=109>7d?a2;8>70=m468154=:;hk;6?<:;<1a0=<59816=5;7:306?87?=k09=<521970>74234;39;4=109>5=2b2;8>70?7508154=:91>26?<:;<3;0f<59816=5=n:306?87?;m09=<521967>74234;38:4=109>5=5a2;8>70?7438154=:919>6?<:;<3;7=<59816=5=?:306?87?;:09=<52190a>74234;3>h4=109>5=412;8>70?7288154=:918:6?<:;<3;61<59816=5?l:306?87?9o09=<52192g>74234;3==4=109>5=702;8>70?71`8154=:91;96?<:;<3;50<59816=5>7:306?87?8k09=<521920>74234;3<;4=109>52`b2;8>70?7008154=:9>l26?<:;<34bf<59816=:h;:306?870n>09=<5216ge>74234;52ed2;8>70?8cg8154=:9>n=6?<:;<34`<<59816=:j>:306?870l=09=<5216a4>74234;52e52;8>70?8c48154=:9>ho6?<:;<34g5<59816=:l7:306?870jk09=<5216`0>74234;52gb2;8>70?8b08154=:9>3m6?<:;<34e7<59816=:o6:306?870ij09=<5216c7>74234;52?f2;8>70?89e8154=:9>3>6?<:;<34==<59816=:7?:306?8701:09=<5216:a>74234;<4h4=109>571?2;8>70?=7c8154=:9;=o6?<:;<31<5<5981v?l=3;2952>|5k8:64;6;<`16??2127i>>46589>f72=1<301o<::87:?8dfi33>563m968:1<=:j0215=h4=c;e><6a34hj<77?f:?ae4<>8o16nl<591d89gg420:m70ln4;;3b>;ei<02i;<`:e??7n27i5o460g9>fm33>563=66g9=5`<5;<;5>?o15=h4=345b??7n279::>591d89700933;j63=6609=5`<5;<;5>1<15=h4=34;3??7n279:56591d8970?133;j63=69c9=5`<5;<3n77?f:?12=e=19l01?87d;;3b>;5>>215=h4=344=??7n279::o591d89700j33;j63=6829=5`<5;<2=77?f:?12<4=19l01?863;;3b>;5>0<15=h4=34:3??7n279:46591d8970>133;j63=68c9=5`<5;<2n77?f:?12;5>h:15=h4=34b5??7n279:l<591d8970f;33;j63=6`49=5`<5;=19l01?8n9;;3b>;5>hk15=h4=34b`??7n279:lk591d8970fn33;j63=6c29=5`<5;;5>k>15=h4=34a3??7n279:o6591d8970e133;j63=6cc9=5`<5;;5>j:15=h4=34`5??7n279:n<591d89702m33;j63=64d9=5`<5;<=<77?f:?1237=19l01?892;;3b>;5><;15=h4=3466??7n279:8=591d89702<33;j63=6479=5`<5;<>:77?f:?1201=19l01?8:8;;3b>;5>??15=h4=3452??7n279:;9591d89701033;j63=67;9=5`<5;<=m77?f:?123d=19l01?89c;;3b>;5<=815=h4=3677??7n27989:591d89723=33;j63=4549=5`<5;>?;77?f:?101>=19l01?:;9;;3b>;5>;:15874=3403??21279:??594;89705k33>563=62c9=5`<5;<8n77:9:?126e=19l01?8;5>:o15=h4=340b??7n279:?:591d89705:33;j63=6319=5`<5;<9977?f:?1270=19l01?8=7;;3b>;5>;215=h4=341=??7n279:?o591d89705m33;j63=63`9=5`<5;<9h77?f:?127`=19l01?8<0;;3b>;5>:;15=h4=3406??7n279:>=591d89704<33;j63=62:9=5`<5;<8977?f:?1260=19l01?8<9;;3b>;4;kl15=h4=21`e??7n278?nj591d8965dj33;j63<3ba9=5`<5:9o<77?f:?07fc=19l01>=lf;;3b>;4;m;15=h4=21g6??7n278?i=594;89174833;j63;1269=5`<5=;2h77?f:?75;39h215=h4=53b=??7n27?=lo591d8917fj33;j63;1`a9=5`<5=;jh77?f:?75dc=19l019?6f;;3b>;39h:15=h4=53b5??7n27?=l<591d8917f;33;j63;1`69=5`<5=;j977?f:?75d0=19l019?76;;6=>;39m:15=h4=0a:3??2127:o5k591d894b5133>563>ce69=5`<58io977?f:?2ga0=19l01;6km215=h4=0ag=??7n27:i<<591d894c6;33;j63>e069=5`<58o:;77?f:?2ec5=1<301;6j1915874=0`1a??7n27:n?h591d894d4833;j63>b239=5`<58h8>77?f:?2f65=19l01;6k?n15=h4=0a5a??7n27:o:?591d897`ak33;j63<2`49=0?<5:8oh77:9:?06dc=19l01><6a;;3b>;4:0h15=h4=20:g??7n278>4j591d8964fj33;j63<1b;9=5`<5:;m:77?f:?05c5=19l01>>j1;;3b>;48l815=h4=22f0??7n27833;j63<0d59=5`<5::n477?f:?04`?=19l01>>ja;;3b>;48lh15=h4=22f7??7n278>if;;3b>;499:15=h4=2335??7n278==<591d89677;33;j63<0g`9=5`<5;l;977?f:?1b6>=19l01?h<7;;3b>;5n:<15=h4=3d0e??7n279j>l591d897`4k33;j63=f7g9=0?<5;l=j77?f:?1`64=19l01?j?f;;3b>;5l:;15=h4=3f04??7n279h:o594;897b0j33;j63=d269=5`<5;n8977?f:?1`60=19l01?m68;;3b>;5k0315=h4=3ab0??7n279olh591d897ee:33;j63=cc19=5`<5;ii877?f:?1gg3=19l01?mm6;;3b>;5kk=15=h4=3a:e??7n279o4l591d897e>k33;j63=c8f9=5`<5;i2i77?f:?1g<`=19l01?mn0;;3b>;5kh;15=h4=3ab6??7n279ol=591d897ef=33;j63=c`49=5`<5;ij;77?f:?1gd>=19l01?mn9;;3b>;5khk15=h4=3abf??7n279olm591d897efl33;j63=c`g9=5`<5;ii<77?f:?1gg7=19l01;58=n15874=32;0??21279<8;591d89763933;j63=0509=5`<5;:??77?f:?1412=19l01?>:2;;3b>;6n?l15=h4=0d:`??7n27:j4o591d894cf:33;j63>e`19=5`<58oj877?f:?2ad3=19l01;6m1315=h4=0g;e??7n27:i5l591d894eb;3>;<63>b2f9056<5:;j>7=if:?14=5=;oo01;5??o18=?4=0ceim53gg89666m3>;=63>c8a9057<5;non7:?1:?1b<5=;ol01lkl:522?84c?j08jh522eg7>6`a34;2?=48729>05cb2:ln7036>hj;<0g=2<38916=h<>:2df?87d?k08jh521d4e>6`a34;n=k4;019>5f1>2=:;70==778745=::9;o69>?;<63ac<4no16>k9::523?8550=0?<=52210a>16734994;4;019>654c2=:;70==898745=::98m69>?;<11==>:523?8550j0?<=522110>16734994h4;019>65522=:;70==918745=::99<69>?;<11=7<38916>==6:523?8551=0?<=52211a>16734994?4;019>654>2=:;70==818745=::98<69>?;<113`<38916>=<::523?855?j0?<=522100>1673499;l4;019>65462=:;70==798745=::9;m69>?;<12`2<38816?16634>8>:4;019>062e2=::70=63c8744=::o=:69>?;|q1f70=838p1?l=6;547>;5j;=1>?=4}r0a62<72;q6>o<8:650?84e:109>>5rs3`15<5s48i>448729>6g4f2;887p}=b3c94?4|5;h9m7983:?1f7d=:;90q~7}::k8i6:9<;<0a6f<5::1v?l=c;296~;5j;i1;:=4=3`1`?45;2wx>o522efb>167348oh446ab?2:ln7052z?1f7c=?>901?l=6;;6=>{t:k8m6=4={<0a6c<0?:16>o<8:87:?xu5j::1<7214348i>446589~w7d4:3:1>v3=b209325<5;h9m77:9:p6g542909w0;5j;i15874}r0a70<72;q6>o=::650?84e:m02945rs3`02?6=:r79n>85761897d4l389?6s|2c14>5<5s48i?:48729>6g5?2;887p}=b2:94?4|5;h847983:?1f6?=:;90q~7}::k926:9<;<0a7d<5::1v?lo=m:18184e;k0<;>522c1`>7443ty9n>j50;0x97d4l3=6g5b2>=87052z?1f6`=?>901?l;0;017>{t:k>;6=4={<0a05<0?:16>o:>:300?xu5j=;1<7214348i8>4=229~w7d3;3:1>v3=b519325<5;h?87<=3:p6g232909w0>6?<<;|q1f13=838p1?l;5;547>;5j:=1>?=4}r0a03<72;q6>o:9:650?84e;?02945rs3`73?6=:r79n995761897d4?33>56s|2c6;>5<5s48i8548729>6g5?20?27p}=b5;94?4|5;h?57983:?1f6?=1<30q~7}::k>j6:9<;<0a7d<>=01v?l;b;296~;5j=h1;:=4=3`0f??212wx>o:l:18184e522c1`><3>3ty9n9j50;0x97d3l3=6g2b2>=87052z?1f1`=?>901?l{t:k?;6=4={<0a15<0?:16>o:?:87:?xu5j<;1<7214348i8?46589~w7d2;3:1>v3=b419325<5;h??77:9:p6g332909w0?64;6;|q1f03=838p1?l:5;547>;5j=?15874}r0a13<72;q6>o;9:650?84e=m09>>5rs3`63?6=:r79n895761897d20389?6s|2c7;>5<5s48i9548729>6g3>2;887p}=b4;94?4|5;h>57983:?1f0g=:;90q~7}::k?j6:9<;<0a1g<5::1v?l:b;296~;5jo;l:18184e=j0<;>522e2g>6`a3ty9n8j50;0x97d2l3=6g3b2>=870j7>52z?1f0`=?>901?l90;017>{t:k<;6=4={<0a25<0?:16>o8>:300?xu5j?;1<7;0;6?u22c41>214348i:>4=229~w7d1;3:1>v3=b719325<5;h=87<=3:p6g032909w06?<<;|q1f33=838p1?l95;547>;5j<=1>?=4}r0a23<72;q6>o89:650?84e=?02945rs3`53?6=:r79n;95761897d2?33>56s|2c4;>5<5s48i:548729>6g3?20?27p}=b7;94?4|5;h=57983:?1f0?=1<30q~7}::k=01v?l9b;296~;5j?h1;:=4=3`6f??212wx>o8l:18184e>j0<;>522c7`><3>3ty9n;j50;0x97d1l3=6g0b2>=87052z?1f3`=?>901?l:f;;6=>{t:k=;6=4={<0a35<0?:16>o8?:87:?xu5j>;1<7214348i:?46589~w7d0;3:1>v3=b619325<5;h=?77:9:p6g132909w0;5j??15874}r0a33<72:q6>o99:650?84e0k09>>522ca3>7443ty9n:950;1x97d0?3=91v?l89;297~;5j>31;:=4=3`4f?45;279no652318yv4e?h0;6>u22c5b>214348i;446589>6gd02=<;7p}=b6`94?5|5;h{t:k=h6=4<{<0a3f<0?:16>o9m:87:?84ej10?:=5rs3`4`?6=;r79n:j5761897d0n389?63=bcc96756g1b2>=870;5j1;1>?=4=3`af?45;2wx>o6?:18084e090<;>522c5e><3>348inl4;619~w7d?93:1?v3=b939325<5;h3?7<=3:?1fge=:;90q~6}::k296:9<;<0a<4<>=016>olm:543?xu5j191<7=t=3`;7?10;279n5;5231897del389?6s|2c:7>5<4s48i4948729>6g>420?27053z?1f=3=?>901?l77;017>;5jko1>?=4}r0a<3<72:q6>o69:650?84e0<0294522c`g>1073ty9n5950;1x97d??3=?2908w091v?l79;297~;5j131;:=4=3`;`?45;279nn?52318yv4e0h0;6>u22c:b>214348i4446589>6gda2=<;7p}=b9`94?5|5;h3n7983:?1fd7=:;901?llb;017>{t:k2h6=4<{<0ao6m:87:?84ek90?:=5rs3`;`?6=;r79n5j5761897d?n389?63=bb096756g>b2>=870;5j0;1>?=4=3``7?45;2wx>o7?:18084e190<;>522c:e><3>348io?4;619~w7d>93:1?v3=b839325<5;h2?7<=3:?1ff2=:;90q~6}::k396:9<;<0a=4<>=016>om<:543?xu5j091<7=t=3`:7?10;279n4;5231897dd=389?6s|2c;7>5<4s48i5948729>6g?420?27053z?1f<3=?>901?l67;017>;5jj<1>?=4}r0a=3<72:q6>o79:650?84e1<0294522ca6>1073ty9n4950;1x97d>?3=91v?l69;297~;5j031;:=4=3`:f?45;279nn652318yv4e1h0;6>u22c;b>214348i5446589>6ge02=<;7p}=b8`94?5|5;h2n7983:?1f{t:k3h6=4<{<0a=f<0?:16>o7m:87:?84ek10?:=5rs3`:`?6=;r79n4j5761897d>n389?63=bbc96756g?b2>=870;5jh91>?=4=3``g?45;2wx>oo?:18084ei90<;>522c;e><3>348iol4;619~w7df93:1?v3=b`39325<5;hj97<=3:?1ff`=:;90q~6}::kk96:9<;<0ae4<>=016>omm:543?xu5jh91<7u22cc7>214348im>46589>6ged2=<;7p}=b`794?5|5;hj97983:?1fd1=:;901?lk0;017>{t:kk=6=4<{<0ae3<0?:16>oo::87:?84eko0?:=5rs3`b3?6=;r79nl95761897df1389?63=be396756gg?2>=870;5jhh1>?=4=3`g6?45;2wx>oon:18084eih0<;>522cc:><3>348ih<4;619~w7dfj3:1?v3=b``9325<5;hjh7<=3:?1fa5=:;90q~6}::kkh6:9<;<0aeg<>=016>oj=:543?xu5jhn1<7=t=3`b`?10;279nlh5231897dc<389?6s|2ccf>5<4s48imh48729>6ggc20?27053z?1fd`=?>901?lm1;017>;5jm?1>?=4}r0af5<72:q6>ol?:650?84eio0294522cf7>1073ty9no?50;1x97de93=91v?lm3;297~;5j><15874=3`a1?218279no:57618yv4ekl0;6?u22caf>214348ioi4;619~w7dc>3:1?v3=be49325<5;hoo7<=3:?1g4d=:;90q~6}::kn<6:9<;<0a`=<5::16>n?9:300?xu5jm21<7=t=3`g5<4s48ih448729>6gbf2;887053z?1fag=?>901?lkb;017>;5k831>?=4}r0a`g<72;q6>ojm:650?84d9h09>>5rs3`gg?6=;r79nim5761897dcl389?63=c0a96756gbc2>=870;5jml1>?=4=3a2a?45;2wx>oji:18084elo0<;>522cg3>744348h=k4=229~w7db83:1?v3=bd29325<5;hn=7<=3:?1g76=:;90q~6}::ko:6:9<;<0aa7<5::16>n<>:300?xu5jl81<7=t=3`f6?10;279nh=5231897e5:389?6s|2cg0>5<4s48ii>48729>6gc32;887053z?1f`2=?>901?lk7;017>;5k8?1>?=4}r0aa0<72;q6>i5rs3`f3?6=:r79h??525f897dbi3=5<5s48o>?4=4e9>6gc>2>=87p}=bdc94?4|5;n9?7<;d:?1f`d=?>90q~7}::m8?6?:k;<0aaa<0?:1v?lje;296~;5l;?1>9j4=3`fb?10;2wx>oh?:18184c:?098i522cd2>2143ty9nk<50;0x97b5?38?h63=bg193256a4?2;>o7052z?1`7?=:=n01?li7;547>{t:kl36=4={<0g6d<5oh6:650?xu5jok1<772c348iji48729~w7dam3:1>v3=d3f961b<5;hmj7983:p6f672909w0;5k991;:=4}r0`41<72:q6>oj9:87:?84d9=0?:=522b27>2143ty9o=;50;1x97dc?33>563=c079036<5;i;97983:p6f612908w0u22cfb><3>348h=54;619>6f6?2>=87p}=c1;94?5|5;hon77:9:?1g4?={t:j:j6=4={<0`5d<3>916>n>n:650?xu5k9h1<7=t=3`gg??21279o5<4s48ihi46589>6f7d2=<;7053z?1fac=1<301?m>d;654>;5k9n1;:=4}r0`4`<72:q6>oji:87:?84d9l0?:=522b2f>2143ty9o=h50;1x97db833>563=c0d9036<5;i;j7983:p6f772908w01;297~;5jl815874=3a15?218279ou22cg0><3>348h>?4;619>6f752>=87p}=c0194?5|5;hn877:9:?1g75=3;547>{t:j8>6=4={<0`60<0?:16>n<;:2de?xu5k;<1<7214348h>:4v3=c3;9325<5;i9;7=ie:p6f4e2909w0hi;|q1g7e=838p1?m=c;547>;5k;k1?kk4}r0`6`<72;q6>n5<5s48h?<48729>6f572:lm7p}=c2094?4|5;i8>7983:?1g66=;oo0q~7}::j9?6:9<;<0`76<4no1v?m<5;296~;5k:?1;:=4=3a07?5am2wx>n=8:18184d;>0<;>522b15>6`a3ty9o>650;0x97e403=6f5f2>=87052z?1g6d=?>901?m<9;1ea>{t:j9o6=4={<0`7a<0?:16>n=l:2de?xu5k:o1<7m53gg8yv4d<90;6?u22b63>214348h?k4v3=c539325<5;i8j7=ie:p6f252909=v3=de;9325<5;no;7=ie:?1`a3=;oo01?jk3;1ea>;5lm;1?kk4=3f`b?5am279hnj53gg897bdj39mi63=db;97cc<5;nh;7=ie:?1`f3=;oo01?jl3;1ea>;5lj;1?kk4=3fab?5am279hoj53gg897bej39mi63=dc;97cc<5;ni;7=ie:?1`g3=;oo01?jm3;1ea>;5lk;1?kk4=3fbb?5am279hlj53gg897bfj39mi63=d`;97cc<5;nj;7=ie:?1`d3=;oo01?jn3;1ea>;5lh;1?kk4=3f:b?5am279h4j53gg897b>j39mi63=d8;97cc4?:2y>6a?12>=870>;|q1g12=83=p1?j8c;1eb>;5l1n18=>4=3fgb?5am279h4:5412897b?:3>;=63=d839325<5;n2>7=id:p6f222909=v3=dec9325<5;no;7:?1:?1`a3=<9;01?jk3;635>;5lm;18=?4=3f`b?279279hnj5413897bdj3>;=63=db;9057<5;nh;7:?1:?1`f3=<9;01?jl3;635>;5lj;18=?4=3fab?279279hoj5413897bej3>;=63=dc;9057<5;ni;7:?1:?1`g3=<9;01?jm3;635>;5lk;18=?4=3fbb?279279hlj5413897bfj3>;=63=d`;9057<5;nj;7:?1:?1`d3=<9;01?jn3;635>;5lh;18=?4=3f:b?279279h4j5413897b>j3>;=63=d8;90576a1d2=:;70hi;<0g3a<0?:16>i9j:2dg?84c0;0?<=5rs3a73?6==r79hh:53gg897bb;39mi63=dd39056<5;nn;7:?0:?1``6=?>90q~7}::j;?6:9<;<0aa3<4no1v?m;9;296~;5k021>9j4=3`a1?10;2wx>n:n:18184d9<0<;>522cg:>6`a3ty9o9l50;0x97e>i38?h63=bc493256f712>=87052z?1g{t:j>n6=4={<0`52<0?:16>okk:2de?xu5k=l1<7214348iik4v3=c8f961b<5;hi57983:p6f352909w0hi;|q1g05=838p1?m6e;07`>;5jkk1;:=4}r0`11<72;q6>n?n:650?84en:08jk5rs3a61?6=:r79o4h525f897dej3=5<5s48hm=4=4e9>6gdd2>=87p}=c4594?4|5;ij=7<;d:?1fgb=?>90q~7}::jk96?:k;<0af`<0?:1v?m:9;296~;5kh91>9j4=3`ab?10;2wx>n;n:18184d9k0<;>522cgb>6`a3ty9o8l50;0x97e>138?h63=bb293256fg22;>o70h7>52z?1gd0=:=n01?ll2;547>{t:j?n6=4={<0`e2<5om<:650?xu5k90;6?u22bc:>72c348io848729~w7e193:1>v3=c`c961b<5;hh:7983:p6f052909w0;5jj21;:=4}r0`21<72;q6>nok:36g?84ek00<;>5rs3a51?6=:r79olk525f897ddi3=5<5s48h=n48729>6g`22:lm7p}=c7594?4|5;ij87<;d:?1ffd=?>90q~7}::jh;6?:k;<0agf<0?:1v?m99;296~;5kk;1>9j4=3```?10;2wx>n8n:18184d9m0<;>522cd4>6`a3ty9o;l50;0x97efn38?h63=bbd93256f7b2>=87052z?1gg4=:=n01?lk0;547>{t:johm:2de?xu5k?l1<7214348iji4v3=cc6961b<5;ho>7983:p6f152909w0hi;|q1g25=838p1?mm5;07`>;5jm91;:=4}r0`31<72;q6>n<=:650?84d8808jk5rs3a41?6=:r79oo8525f897dc<3=5<5s48h>>48729>6f642:lm7p}=c6594?4|5;ii;7<;d:?1fa3=?>90q~6}::j3364>k;<0`60<38916>ol;:2dg?xu5k191<7=t=3a:=??7l279o?l5412897d?k39mi6s|2b5:>5<4s48h5l460e9>6f4?2=:;7053z?1g;5j>k1?kk4}r0`3g<72:q6>n7l:82g?84ai?0?<=522c5`>6`b3ty9o:m50;1x97e>l33;h63=f`c9056<5;h?;<0a<5<4nl1v?m8e;297~;5k0l15=j4=3da6?278279n5<53gg8yv4d?o0;6>u22bc3><6c348i4946cd?2:lm7p}=c9294?5|5;ij=77?d:?1f=0=;oo01?hmb;1eb>{t:j2:6=4<{<0`e7<>8m16>o67:2df?84ajl08jk5rs3a;6?6=;r79ol=591f897d?i39mi63=fb397c`6fg320:o70hj;|q1g=2=839p1?mn5;;3`>;5j1o1?kk4=3d`3?5an2wx>n6::18084di?026`b348mol43:1?v3=c`59=5b<5;h2>7=ie:?1bfb=;ol0q~6}::jk364>k;<0a=1<4nl16>kj?:2de?xu5k121<7=t=3ab=??7l279n4853gg897`c939mj6s|2b::>5<4s48hml460e9>6g??2:ln7053z?1gdd=19n01?l6a;1ea>;5nm91?kh4}r0`nol:82g?84e1j08jh522gf7>6`a3ty9o5m50;1x97efl33;h63=b8g97cc<5;lo97=if:p6f>c2908w0hj;<0e`3<4no1v?m61;297~;5khl15=j4=3a05?278279nl853gg8yv4d0o0;6>u22b`3><6c348im946cbf2:lm7p}=c8294?5|5;ii=77?d:?1ffc=;oo01?hkb;1eb>{t:j396=4<{<0`f7<>8m16>n=;:523?84ei108jh5rs3a:7?6=;r79oo=591f897e4?3>;<63=b`c97cc6fd320:o70hj;|q1g<3=839p1?mm5;;3`>;5k:n18=>4=3`ba?5am2wx>n79:18084dj?02167348in=4?3:1?v3=cc59=5b<5;i?<7:?0:?1fg4=;oo0q~6}::jh26:9<;<0`fd<5::16>nmk:300?xu5kkk1<7=t=3aae?10;279oom5231897edm389?6s|2b`a>5<4s48hno48729>6fdf20?27053z?1gge=?>901?mme;017>;5kjl1>?=4}r0`fa<72:q6>nlk:650?84djj0294522baf>1073ty9ook50;1x97eem3=91v?ml0;297~;5kj:1;:=4=3a`6?45;279oi?52318yv4dk80;6>u22ba2>214348ho=46589>6fb72=<;7p}=cb094?5|5;ih>7983:?1gf2=:;901?mk2;017>{t:ji86=4<{<0`g6<0?:16>nm=:87:?84dl80?:=5rs3a`0?6=;r79on:5761897ed>389?63=ce196756fe22>=870;5kj21>?=4=3ag0?45;2wx>nm8:18084dk>0<;>522ba5><3>348hh>4;619~w7ed03:1>v3=cb:9325<5;io97<=3:p6fe>2908w091v?mla;297~;5kk315874=3a`g?218279onl57618yv4dl?0;6?u22bf5>214348hh84;619~w7ec03:1>v3=ce:9325<5;io;7=if:p6fb>2909w0;5km=1?kk4}r0``g<72;q6>nj7:2df?84dlk0<;>5rs3agg?6=:r79oio53gd897eck3=5<5s48hhl46fbc2>=87p}=ceg94?4|5;im57<;d:?1gfe=?>90q~7}::jlj6?:k;<0`ga<0?:1v?mj0;296~;5koh1>9j4=3a`a?10;2wx>nk>:18184dnj098i522bae>2143ty9oh<50;0x97eal38?h63=ce293254?:3y>6f`b2;>o7052z?1gc`=:=n01?mk2;547>{t:jo>6=4={<0g45<5nj<:650?xu5kl<1<70;6?u22e21>72c348hh848729~w7eb03:1>v3=cd:9=5b<5;n;87=if:p6fc>2909w0?;|q1g`g=838p1?mja;;3`>;5km318=>4}r0`ag<72;q6>nkm:82g?84dlm0?<=5rs3afg?6=:r79ohm591f897eck3>;<6s|2bgg>5<5s48hii460e9>6a612:lm7p}=cdg94?4|5;ini77?d:?1`5>=;ol0q~1}::joi6?:k;<0`b<<>8m16>njk:2de?84dkk08ji5rs3ae4?6=k;<0g4=<4nl16>nlk:2df?xu5ko81<7:t=3af=?43l279okm591f897een39mi63=ce`97cc4?:3y>6f`c20:o7054z?1g`b=:=n01?mie;;3`>;5l9<1?kk4=3a`7?5am2wx>nh::18184dno026`b3ty9ok850;6x97ebi38?h63=d129=5b<5;ih;7=ie:?1ga?=;oo0q~7}::m::64>k;<0`g<<4nl1v?mi8;290~;5kl21>9j4=3f36??7l279h=:53gg897ec>39mi6s|2e20>5<5s48o<948729>6fb>2=::7p}=d1794?4|5;n;:7983:?1gad=<9;0q~7}::m:36:9<;<0``f<3881v?j?9;2962}::m:j64>k;afg=<9;01hlk:522?8ce83>;=63ja28744=:m0<18=?4=d::>16634o;b;k0?<<52e3f9057<5l8:69>>;`cg=<9;01ikk:522?8bb83>;=63kd28744=:lj<18=?4=e`:>16634njo7:?1:?g=`<38816h4<541389a>22=::70j88;635>;c>k0?<<52d4f9057<5m?:69>>;`7g=<9;01i?k:522?8b683>;=63k038744=z{;n;n7>52z?1f6e=?>901?j?d;634>{t:m:h6=4={<0g4d<>8o16>i>k:650?xu5l9o1<7=t=3ag3?10;279h4853gg897b??39mj6s|2e2e>5<4s48o6f4d2:lm7053z?1`46=19n01?m=5;1eb>;5j;o1?kh4}r0g54<72:q6>i?>:82g?84d:k08jk522c0f>1663ty9h<<50;1x97b6:33;h63=c3:97c`<5;h8?7=if:p6a742908w0>;<0ee1<4nl1v?j>4;297~;5l8>15=j4=3`00?5an279jl653gg8yv4c9<0;6>u22e36><6c348i?94;009>6cgd2:ln7p}=d0494?5|5;n::77?d:?1f63=;ol01?hm0;1ea>{t:m;<6=4<{<0g52<>8m16>o=::522?84aj=08jh5rs3f26a7>20:o70>;|q1`4g=839p1?j>a;;3`>;5k:>1?kh4=3`04?5an2wx>i?m:18084c9k026`a348i?=4;009~w7b6k3:1?v3=d0a9=5b<5;i8m7=if:?1f67=;ol0q~6}::m;o64>k;<0`7a<4no16>o=>:522?xu5l8o1<7=t=3f2a??7l279n><53gd897`bl39mi6s|2e3e>5<4s48o=k460e9>6f272:lm7059z?1`76=19n01?m=6;634>;5j;o1?kk4=3`52?5am279n88524c897d3>39mi63=b24960g<5;ho:7<:a:?1g52=;oo0q~<}::m8:64>k;<0`6f<38916>om08jh522c7g>73f348i8i46g5c2;?j70hj;|q1`74=833p1?j=2;;3`>;5k;318=>4=3`07?5am279n;953gg897d2?38>m63=b5597cc<5;h8;7<:a:?1fa1=:{t:m886=46{<0g66<>8m16>o=<:523?84e>108jh522c7;>73f348i8546g5?2;?j70hi;<0`43<4nl1v?j=4;29=~;5l;>15=j4=3`00?5am279n;753gg897d2138>m63=b5;97cc<5;h857<:a:?1fa?=:;5k9=1?kk4}r0g60<720q6>i<::82g?84e;=0?<=522c4b>6`b348i9l4=5`9>6g2f2:ln70n>7:2df?xu5l;<1<77t=3f12??7l279n>;53gg897d1j39mi63=b4`960g<5;h?n7=ie:?1f6d=:;5nho1?kh4=3a3=?5am2wx>i<8:18;84c:>02167348i:n46g3d2;?j70n>n:2df?xu5l;21<77t=3f1i7<:a:?1f1c=;oo01?l;5jmn1>8o4=3a3g?5am2wx>i<6:18:84c:002167348i>k4;019>6g0a2:ln70m6>hj;<0a7c<5=h16>ojj:37b?84d8m08jh5rs3f1e?6=1r79h?o591f897e4=3>;<63=b2297cc<5;h<<7=ie:?1f36=:;5j=:1>8o4=3`gb?42i279o=k53gg8yv4c:k0;64u22e0a><6c348h?54;019>6g572=:;70o:>:37b?84em9099l522b2e>6`b3ty9h?m50;;x97b5k33;h63=c2`9056<5;h8=7=ie:?1f24=;oo01?l92;06e>;5j<81?kk4=3`76?42i279nh?524c897e6839mi6s|2e0g>5<>s48o>i460e9>6f5b2=:;70hj;<0a26<5=h16>o;<:2df?84e<:099l522cg1>73f348h=<47=ie:?1f22=;oo01?l94;06e>;5j<>1?kk4=3`70?42i279nh=524c897`bj39mj63=c0097cck4?:8y>6a4a20:o70?;<0a30<4nl16>o8::37b?84e=<08jh522c66>73f348ii94=5`9>6f742:ln7p}=d2294?74s48o?=460e9>6f4a2:lm70hi;<0`4f<4no16>n>k:2de?84d8l08jk522b2e>6`a348h==46f762:lm70hi;<0`40<4no16>n>9:2de?84d8>08jk522b2;>6`a348h<446f6f2:lm7054z?1`67=19n01?m=6;1eb>;5l0<1?kh4=3f;3?2782wx>i==:18084c;;026`a348o5:43;635>{t:m9?6=49{<0g71<>8m16>n=::2de?84dlm08jh522bf:>6`a348hho46fbd2:lm7p}=d2794?2|5;n8977?d:?1g6>=;ol01?mk8;;6=>;5kmk15874}r0g73<72:q6>i=9:82g?84d;k08jk522bf4><3>3ty9h>950;3184c;>02<6a348hi5460g9>6fcb20:m70i;<0`af<>8o16>nkn:82e?84dn002<6a348hjo460g9>6f`d20:m70i;<0`bc<>8o16>i>?:82e?84c8802<6a3ty9h>650;1x97b4033;h63=b5497c`<5;n257=if:p6a5>2908w0o6>hi;<0ge2<4no1v?ju22e1a><6c348i8546a?c2:lm7p}=d2a94?5|5;n8o77?d:?1f1?=;ol01?j6f;1eb>{t:m9o6=4<{<0g7a<>8m16>o:n:2de?84ci808jk5rs3f0a?6=;r79h>k591f897d3j39mj63=d`197c`6a5a20:o706>hi;|q1`16=839p1?j;0;;3`>;5j=o1?kh4=3fb=?5an2wx>i:>:18084c<8026`a348omo4<7=if:?1`db=;ol0q~6}::m>864>k;<0a14<4no16>ioi:2de?xu5l=>1<7=t=3f70??7l279n8<53gd897be939mj6s|2e66>5<4s48o88460e9>6g342:lm7053z?1`10=19n01?l:4;1eb>;5lk?1?kh4}r0g02<72:q6>i:8:82g?84e=<08jk522e`4>6`a3ty9h9650;1x97b3033;h63=b7497c`<5;ni57=if:p6a2>2908w0hi;<0gg2<4no1v?j;a;297~;5l=k15=j4=3`53?5an279hol53gd8yv4cu22e6a><6c348i:546adc2:lm7p}=d5a94?5|5;n?o77?d:?1f3?=;ol01?jmf;1eb>{t:m>o6=4<{<0g0a<>8m16>o8n:2de?84ck808jk5rs3f7a?6=;r79h9k591f897d1j39mj63=db197c`6a2a20:o706>hi;|q1`06=839p1?j:0;;3`>;5j?o1?kh4=3f`=?5an2wx>i;>:18084c=8026`a348ooo46}::m?864>k;<0a34<4no16>imi:2de?xu5l<>1<7=t=3f60??7l279n:<53gd897bc939mj6s|2e76>5<4s48o98460e9>6g142:lm70:7>53z?1`00=19n01?l84;1eb>;5lm?1?kh4}r0g12<72:q6>i;8:82g?84e?<08jk522ef4>6`a3ty9h8650;6x97b2033;h63=de`97c`<5;n;h7=ie:?1`ae=;ol0q~7}::m?264>k;<0g=<<3891v?j:a;296~;5li;m:18184c=k021673ty9h8m50;0x97b2k33;h63=d8f90566a3c20:o70i7>52z?1`0c=19n01?jn1;634>{t:m?m6=4={<0g1c<>8m16>io<:523?xu5l?:1<780;6?u22e42><6c348om44;019~w7b1:3:1>v3=d709=5b<5;njn7:?0:p6a042909w0?;|q1`32=838p1?j94;;3`>;5lhl18=>4}r0g20<72;q6>i8::82g?84cj80?<=5rs3f52?6=:r79h;8591f897be;3>;<6s|2e44>5<5s48o::460e9>6ad22=:;7p}=d7:94?4|5;n=477?d:?1`g1=<9:0q~7}::m<264>k;<0gf<<3891v?j9a;296~;5l?k15=j4=3f`3?2782wx>i8m:18184c>k021673ty9h;m50;0x97b1k33;h63=dcf90566a0c20:o7052z?1`3c=19n01?jl1;634>{t:m8m16>im<:523?xu5l>:1<7<6c348oo44;019~w7b0:3:1>v3=d609=5b<5;nhn7:?0:p6a142909w0?;|q1`22=838p1?j84;;3`>;5ljl18=>4}r0g30<72;q6>i9::82g?84cl80?<=5rs3f42?6=:r79h:8591f897bc;3>;<6s|2e54>5<5s48o;:460e9>6ab22=:;7p}=d6:94?4|5;n<477?d:?1`a1=<9:0q~6}::m=264>k;<0g`g<38916>ik::2de?xu5l>k1<7;t=3f4e??7l279o9?53gd897bci39mj63=de;97cc<5;no47:?0:p6a1e290>w0hi;<0g`d<4nl16>ij6:523?84clk08jh5rs3f4g?6=98q6>i??:33`?84c9809=n522e3;>77d348o=44=1b9>6a7f2;;h70i?j:33`?84c9o09=n522e31>77d348o=>4=1b9>6a732;;h70i9l:650?xu5l>l1<7?>{<0g65<>8o16>i<>:82e?84c:102<6a348o>l460g9>6a4e20:m70i;<0g6`<>8o16>i<6a348o>9460g9>6a4220:m70i;<0g<5<0?:1v?j71;2957}::j:86>hj;<0`44<4nl16>ohi:2df?84enm08jh522cda>6`b348ij446g`02:ln70hj;<0ab4<4nl16>oki:2df?84emm08jh522cga>6`b348ii446gcf2:ln70i<7:33`?84c:009=n522e0b>77d348o>o4=1b9>6a4d2;;h70i<=:33`?84c::09=n522e07>77d348o>84=1b9>6a412;;h706:9<;|q1`=?=838p1?j79;547>;5l1=18=?4}r0goj9:300?84d9=09>>522e:a>2143ty9h5m50;`x97b4:38:o63=d1d964e<5;n8=7<>c:?1`66=:8i01?j8a;02g>;5l>h1>;520a897b4>38:o63=d9d97cc<5;n3h7983:p6a>b2909w0;5l0=1;:=4}r0g=6<72;q6>i78:522?84c1<0<;>5rs3f:0?6=:r79h4:5761897b>=39mi6s|2e;;>5<5s48o?54=4e9>6a?>2>=87p}=d8c94?4|5;n8m7<;d:?1`90q~7}::m9i6?:k;<0g=a<0?:1v?j6e;296~;5l:i1>9j4=3f:b?10;2wx>io?:18184c;m098i522ec2>2143ty9hl<50;0x97b4m38?h63=d`193256a5a2;>o7052z?1`6?=:=n01?jn7;547>{t:mk36=4={<0g05<5io6:650?xu5lhk1<772c348omi48729~w7bfm3:1>v3=d51961b<5;njj7983:p6ad72909w0;5lk91;:=4}r0gf1<72;q6>i:9:36g?84cj<0<;>5rs3fa2?6=:r79h99525f897be?3=5<5s48o854=4e9>6ad>2>=87p}=dcc94?4|5;n?m7<;d:?1`gd=?>90q~7}::m>i6?:k;<0gfa<0?:1v?jme;296~;5l=i1>9j4=3fab?10;2wx>im?:18184c2143ty9hn<50;0x97b3m38?h63=db193256a2a2;>o7052z?1`1?=:=n01?jl7;547>{t:mi36=4={<0g15<5im6:650?xu5ljk1<772c348ooi48729~w7bdm3:1>v3=d41961b<5;nhj7983:p6ab72909w0;5lm91;:=4}r0g`1<72;q6>i;9:36g?84cl<0<;>5rs3fg2?6=:r79h89525f897bc?3=5<5s48o954=4e9>6ab?2>=87p}=def94?76s48o944=1b9>6a3f2;;h70i8;:33`?84c><09=n522e45>77d348o::4=1b9>6a0?2;;h70i;j:33`?84c=o09=n522e43>77d348oi<48729~w7bcm3:1>v3=ded9325<5;nn<7=if:p6ac5290:=v3=d7;964e<5;n=m7<>c:?1`27=:8i01?j82;02g>;5l>91>38:o63=d65964e<5;n<47<>c:?1`3d=:8i01?j9c;02g>;5l?n1>6a1>2;>o7052z?1`2?=:8i01?jj7;547>{t:mo36=4={<0`61<0?:16>k7j:523?xu5ll31<7214348mo94;019~w7bbj3:1>v3=c3f9325<5;lo57:?0:p6acd2909w0?;|q1``b=838p1?m<3;547>;5nl;18=>4}r0ga`<72;q6>n=9:650?84am=0?<=5rs3ffb?6=:r79o>75761897`b?3>;<6s|2ed3>5<5s48h?n48729>6ccf2=:;7p}=dg394?4|5;i8j7983:?1bc7=<9:0q~6}::ml86:9<;<0e<0<38816>k6k:2df?xu5lo?1<7214348oj:4=229~w7ba?3:1>v3=dg59325<5;l3=7=if:p6a`?2909w0;5lok1>?=4}r0gbd<72;q6>ihn:650?84cnk09>>5rs3fef?6=:r79hkl5761897bak389?6s|2ed`>5<5s48ojn48729>6a`c2;887p}=dgf94?4|5;nmh7983:?1`cc=:;90q~7}::mln6:9<;<0gbc<5::1v?jif;296~;5lol1;:=4=3g34?45;2wx>h>?:18184b890<;>522ed5>7443ty9i=?50;0x97c793=6`652>=87052z?1a55=?>901?ji7;;6=>{t:l:?6=4={<0f41<0?:16>ih7:87:?xu5m9?1<7214348ojl46589~w7c7?3:1>v3=e159325<5;nmn77:9:p6`6?2909w0;5lon15874}r0f4d<72;q6>h>n:650?84cnl02945rs3g3f?6=:r79i=l5761897ban33>56s|2d2`>5<5s48n6`6720?27p}=e1f94?4|5;o;h7983:?1a4>=:;90q~7}::l:n6:9<;<0f4c<5::1v?k?f;296~;5m9l1;:=4=3g24?45;2wx>h??:18184b990<;>522d32>7443ty9i6`752>=87052z?1a45=?>901?k>4;017>{t:l;?6=4={<0f51<0?:16>h?::300?xu5m8?1<7214348n=:4=229~w7c6?3:1>v3=e059325<5;o:57<=3:p6`7?2909w09;547>;5m8k1>?=4}r0f5d<72;q6>h?n:650?84b9k09>>5rs3g2f?6=:r79i5<5s48n=i48729>6`7b2;887p}=e0g94?4|5;o:i7983:?1a4`=:;90q~7}::l;m6:9<;<0f65<5::1v?k=0;296~;5m;:1;:=4=3g15?45;2wx>h<>:18184b:80<;>522d01>7443ty9i?<50;0x97c5:3=>4?:3y>6`442>=87052z?1a72=?>901?k?e;017>{t:l8>6=4={<0f60<0?:16>h>k:87:?xu5m;<1<70;6?u22d04>214348nv3=e3:9325<5;o:<77:9:p6`4>2909w0;5m8815874}r0f6g<72;q6>h56s|2d0g>5<5s48n>i48729>6`7220?27p}=e3g94?4|5;o9i7983:?1a40=1<30q~7}::l8m6:9<;<0f52<>=01v?k<0;296~;5m::1;:=4=3g2h=>:18184b;80<;>522d3:><3>3ty9i><50;0x97c4:3=4?:3y>6`542>=87052z?1a62=?>901?k>c;;6=>{t:l9>6=4={<0f70<0?:16>h?k:87:?xu5m:<1<70;6?u22d14>214348n=k46589~w7c403:1>v3=e2:9325<5;o9<77:9:p6`5>2909w0;5m;815874}r0f7g<72;q6>h=m:650?84b::02945rs3g0g?6=:r79i>m5761897c5<33>56s|2d1g>5<5s48n?i48729>6`2?2;887p}=e2g94?4|5;o8i7983:?1a6`=:;90q~7}::l9m6:9<;<0f05<5::1v?k;0;296~;5m=:1;:=4=3g75?45;2wx>h:>:18184b<80<;>522d61>7443ty9i9<50;0x97c3:3=4?:3y>6`242>=87052z?1a12=?>901?k;5;017>{t:l>>6=4={<0f00<0?:16>h:9:300?xu5m=<1<70;6?u22d64>214348n844=229~w7c303:1>v3=e5:9325<5;o?h7<=3:p6`2>2909w0j6?<<;|q1a1g=838p1?k;a;547>;5m=h1>?=4}r0f0g<72;q6>h:m:650?84b>5rs3g7g?6=:r79i9m5761897`7<39mj6s|2d6g>5<5s48n8i48729>6`2b2;887p}=e5g94?4|5;o?i7983:?1a1`=:;90q~7}::l>m6:9<;<0f15<5::1v?k:0;296~;5m<:1;:=4=3g65?45;2wx>h;>:18184b=80<;>522d71>7443ty9i8<50;0x97c2:3=4?:3y>6`342>=87087>52z?1a02=?>901?k{t:l?>6=4={<0f10<0?:16>h=k:87:?xu5m<<1<7k594;8yv4b=>0;6?u22d74>214348n?k46589~w7c203:1>v3=e4:9325<5;o?<77:9:p6`3>2909w0:64;6;|q1a0g=838p1?k:a;547>;5m=815874}r0f1g<72;q6>h;m:650?84b<:02945rs3g6g?6=:r79i8m5761897c3<33>56s|2d7g>5<5s48n9i48729>6`2220?27p}=e4g94?4|5;o>i7983:?1a10=1<30q~7}::l?m6:9<;<0f02<>=01v?k90;296~;5m?:1;:=4=3g7h8>:18184b>80<;>522d6:><3>3ty9i;<50;0x97c1:3=4?:3y>6`042>=87052z?1a32=?>901?k;c;;6=>{t:l<>6=4={<0f20<0?:16>h:k:87:?xu5m?<1<7>0;6?u22d44>214348n8k46589~w7c103:1>v3=e7:9325<5;o><77:9:p6`0>2909w0;5m<815874}r0f2g<72;q6>h8m:650?84b=:02945rs3g5g?6=:r79i;m5761897c2<33>56s|2d4g>5<4s48n:i48729>6`1?2;887053z?1a3c=?>901?k9f;017>;5mjn1>?=4}r0f2c<72:q6>h8i:650?84b?909>>522daf>7443ty9i:>50;1x97c083=81;:=4=3g47?45;279ii?52318yv4b?:0;6>u22d50>214348n;94=229>6`b52;887p}=e6694?5|5;o<87983:?1a23=:;901?kk3;017>{t:l=>6=4<{<0f30<0?:16>h99:300?84bl=09>>5rs3g42?6=;r79i:85761897c0?389?63=ee796756`102>=870=839p1?k88;547>;5m>i1>?=4=3ggf?45;2wx>h96:18084b?00<;>522d5b>744348nh54=229~w7c0i3:1?v3=e6c9325<5;o7}::l=i6:9<;<0f`d<5::1v?k8c;297~;5m>i1;:=4=3g4`?45;279iim52318yv4b?m0;6>u22d5g>214348n;h4=229>6`bc2;887p}=e6g94?5|5;o{t:l=m6=4<{<0f3c<0?:16>h6?:300?84blo09>>5rs3g;4?6=;r79i5>5761897c?9389?63=ed296756`>62>=870;5m191>?=4=3gf6?45;2wx>h6<:18084b0:0<;>522d4f>744348non4=229~w7c?<3:1>v3=f0g961b<5;o397983:p6`>12909w0;5m121;:=4}r0f<<<72;q6>k<>:36g?84b0h0<;>5rs3g;f?6=:r79j?<525f897c?k3=5<5s48m>>4=4e9>6`>b2>=87p}=e9d94?4|5;l987<;d:?1a<6=?>90q~7}::o8>6?:k;<0f=7<0?:1v?k63;296~;5n;<1>9j4=3g:0?10;2wx>h7::18184a:>098i522d;5>2143ty9i4950;0x97`5038?h63=e8:93256c4>2;>o7052z?1b7g=:=n01?kn4;547>{t:l3h6=4={<0e6g<5h7k:650?xu5m0o1<772c348nm<48729~w7cf:3:1>v3=f3g961b<5;oj?7983:p6`g22909w0;5mh21;:=4}r0fe<<72;q6>k=>:36g?84bih0<;>5rs3gbf?6=:r79j><525f897cfk3=5<5s48m?>4=4e9>6`gb2>=87p}=e`d94?4|5;l887<;d:?1ag6=?>90q~7}::o9>6?:k;<0ff7<0?:1v?km3;297~;5m?n15874=3g`f?218279io=57618yv4bj=0;6>u22d4f><3>348non4;619>6`d32>=87p}=ec794?5|5;o=j77:9:?1afb={t:lh=6=4<{<0f35<>=016>hmj:543?84bj?0<;>5rs3ga3?6=;r79i:?594;897cdn3>=<63=ec593256`1520?270;5mm;18;>4=3ga=?10;2wx>hln:18084b?=0294522df1>107348nnl48729~w7cej3:1?v3=e679=0?<5;oo?7:90:?1agd=?>90q~6}::l==64;6;<0f`1<3>916>hll:650?xu5mkn1<7=t=3g43??21279ii;5472897cel3=5<4s48n;546589>6`b12=<;7053z?1a2?=1<301?kk7;654>;5mkl1;:=4}r0fg5<72:q6>h9n:87:?84bl10?:=522da3>2143ty9in?50;1x97c0j33>563=ee;9036<5;oh=7983:p6`e52909w0;5mmh18;>4=3g`7?10;2wx>hm;:18084b?m0294522df`>107348no948729~w7cd=3:1?v3=e6g9=0?<5;ooh7:90:?1af3=?>90q~6}::l=m64;6;<0f``<3>916>hm9:650?xu5mj=1<7=t=3g;4??21279iih5472897cd?3=5<4s48n4<46589>6`c72=<;7053z?1a=4=1<301?kj1;654>;5mj31;:=4}r0fgd<72:q6>h6<:87:?84bm;0?:=522dab>2143ty9ih:50;3f84a?008jh522g:0>214348nn?4;019>6`d72=:;70?;<0fed<38916>ho7:523?84bi?0?<=522dc7>167348n554;019>6`?12=:;70?;<0f=5<38916>h6j:523?84b0j0?<=522d:b>167348n454;019>6`>>2=:;70?;<0f=a<38916>h7i:523?84bi80?<=522dc0>167348m;<4;009>6c122=::70>;|q1a`3=83;iw0hi;<0ff5<4no16>hoj:2de?84bij08jk522dcb>6`a348nm546`g12:lm70hi;<0f=3<4no16>h7;:2de?84b1;08jk522d;3>6`a348n4h46`>d2:lm70hi;<0f<<<4no16>h6::2de?84b1h08jk522d;g>6`a348n5k46`g62:lm706>hi;<0e<4<4nl1v?kj6;297~;5n1:18=?4=3d;5?10;279j5<53gf8yv4bm>0;68u22g;0>6`b348m5:4;019>6c?72=:;70hk;|q1a`>=838p1?klb;547>;5m1?1?kk4}r0fa<<72;q6>hml:650?84b0108jh5rs3gfe?6=:r79inj5761897c?i39mi6s|2dga>5<5s48noh48729>6`>d2:ln7p}=eda94?4|5;ohj7983:?1a=c=;oo0q~7}::ln;6:9<;<0f=5<4nl1v?kje;296~;5mm;1;:=4=3g:6?5am2wx>hki:18184bl;0<;>522d;7>6`b3ty9ik>50;0x97cc;3=6`b32>=8707>52z?1aa3=?>901?k6a;1ea>{t:ll86=4={<0f`3<0?:16>h66:2df?xu5mo>1<7214348n5k43:1>v3=ee;9325<5;oj=7=ie:p6``02909w0hj;|q1ac>=838p1?kkb;547>;5mh>1?kk4}r0fb<<72;q6>hjl:650?84bi?08jh5rs3gee?6=:r79iij5761897cf039mi6s|2dda>5<5s48nhh48729>6`gf2:ln7p}=ega94?4|5;ooj7983:?1ade=;oo0q~7}::lo;6:9<;<0fe`<4nl1v?kie;296~;5ml;1;:=4=3ga4?5am2wx>hhi:18184bm;0<;>522d`1>6`b3ty9j=>50;0484a8802hj;ag>=;oo01hom:2df?8c>m39mi63j9080b`=:m1>1?kk4=d54>6`b34o=m7=ie:?f1a<4nl16i8>53gg89`242:ln70k<5;1ea>;b:008jh52e0a97cc<5l:m6>hj;``>=;oo01ijm:2df?8bdm39mi63kc080b`=:lk>1?kk4=ec5>6`b34n2m7=ie:?g53gg89a142:ln70j95;1ea>;c=008jh52d5a97cc<5m9m6>hj;84`4>=;oo01i>n:2df?xu5n981<7<6a348m<948729~w7`7=3:1?v3=f179=5b<5;lh?7=if:?1b25=;oo0q~6}::o:=64>k;<0f44<4no16>k7l:2df?xu5n9=1<7=t=3d33??7l279i=?5413897`d:39mi6s|2g2;>5<4s48m<5460e9>6`6?2:lm7053z?1b5?=19n01?k?8;635>;5nh?18=?4}r0e4d<72:q6>k>n:82g?84b8008jk522gc:>1663ty9j=l50;1x97`7j33;h63=e1;9057<5;ljh7:?1:p6c6d2908w0hi;<0ef4<3881v?h?d;297~;5n9n15=j4=3g3e?279279jo;54138yv4a8l0;6>u22g2f><6c348n6cd?2=::7p}=f1d94?5|5;l;j77?d:?1a5d=<9;01?hmb;635>{t:o;;6=4<{<0e55<>8m16>h>l:2de?84ajl0?<<5rs3d25?6=;r79j;=63=fb390576c7520:o70hj;|q1b45=839p1?h>3;;3`>;5m981?kh4=3d`3?2792wx>k?;:18084a9=02166348mol4;009~w7`6=3:1?v3=f079=5b<5;o;?7=if:?1bfb=<9;0q~6}::o;=64>k;<0f46<38816>kj?:522?xu5n8=1<7=t=3d23??7l279i=:5413897`ck39mi6s|2g3;>5<4s48m=5460e9>6`622:lm7053z?1b4?=19n01?k?5;635>;5nl81?kk4}r0e5d<72:q6>k?n:82g?84b8?08jk522gg6>6`b3ty9jhi;<0ea`<3881v?h>d;297~;5n8n15=j4=3g33?279279jhh53gg8yv4a9l0;64u22g3f><6c348n<<46`322:ln706>hj;<0f4a<5=h16>h8k:37b?84a1m0?<<522d`0>6`b3ty9j=:;5m821>8o4=3g45<>s48m>=460e9>6`6?2:ln70h>j:37b?84b>l099l522gc3>166348nn94;5m;=1?kk4=3g3b?42i279i;h524c897ce=39mi63=f`797c`?4?:8y>6c4520:o70hj;<0f05<5=h16>h<7:2df?84b99099l522d53>73f348nn;46cg>2:lm7p}=f3194??|5;l9?77?d:?1a5?=<9:01?k:9;1ea>;5m=;1>8o4=3g1=?5am279im63=ec597cc<5;ljh7=if:p6c432902w0hj;<0f1d<4nl16>h:=:37b?84b:h08jh522d31>73f348n;?4=5`9>6`d?2:ln7059z?1b73=19n01?k?a;634>;5mm63=e61960g<5;oi57=ie:?1bg3=;ol0q~<}::o8=64>k;<0f4g<4nl16>h;l:2df?84b<=099l522d0`>6`b348n=94=5`9>6`132;?j70hi;|q1b71=833p1?h=7;;3`>;5m9h18=>4=3g6`?5am279i9;524c897c5l39mi63=e07960g<5;o<97<:a:?1agd=;oo01?hma;1eb>{t:o836=46{<0e6=<>8m16>h>l:2df?84b=l08jh522d65>73f348n>h46`712;?j70hj;<0efa<4no1v?h=9;29=~;5n;315=j4=3g3g?278279i8h53gg897c3?38>m63=e3d97cc<5;o:;7<:a:?1a21=:;5nj:1?kh4}r0e6d<720q6>k6`b348n8i4=5`9>6`522:ln70hm<:2df?xu5n;h1<77t=3d1f??7l279i=<53gg897c1939mi63=e5;960g<5;o8=7=ie:?1a4?=:;5mkl1?kk4=3d`2?5an2wx>k167348n:?46`2f2;?j70hm?:2df?84ak008jk5rs3d1`?6=1r79j?j591f897c7;39mi63=e7197cc<5;o?n7<:a:?1a65=;oo01?k>b;06e>;5m>h1>8o4=3g`5?5am279jnm53gd8yv4a:l0;65u22g0f><6c348n<>4;019>6`032:ln70hj;<0f5f<5=h16>hm=:2df?84ako08jk5rs3d1b?6=1r79j?h591f897c7<3>;<63=e7497cc<5;o?i7<:a:?1a60=;oo01?k>e;06e>;5m>n1>8o4=3dg`?279279in:53gg8yv4a;90;64u22g13><6c348n<846`002:ln70hj;<0f5c<5=h16>h9j:37b?84am90?<<522da6>6`b3ty9j>?50;;x97`4933;h63=e179056<5;o=47=ie:?1a06=:;5m;:1>8o4=3g4b?42i279jh=5413897cd>39mi6s|2g11>5<>s48m??460e9>6`612:ln70h<>:37b?84b09099l522gg5>166348no:4;5m:k1?kk4=3g16?42i279i5?524c897`b13>;=63=eb:97cc6c5320:o70hj;<0f16<5=h16>h=m:2df?84b::099l522d:1>73f348no446ccb2:lm7p}=f2794??|5;l8977?d:?1a51=<9:01?k9c;1ea>;5m<>1>8o4=3g0g?5am279i?:524c897c?;38>m63=fg29057<5;ohm7=ie:p6c51290:nv3=f249=5b<5;lo47=if:?1ag5=;ol01?kme;1eb>;5mj91?kh4=3g`0?5an279in;53gd897cd>39mj63=eb597c`<5;oh47=if:?1af?=;ol01?kla;1eb>;5mk>1?kh4=3ga1?5an279io853gd897ce?39mj63=ec:97c`<5;oi57=if:?1agg=;ol01?kmb;1eb>;5mki1?kh4=3ga`?5an279ioh53gd897cd839mj63=eb397c`<5;oh>7=if:?1b21=;on0q~1}::o9<64>k;<0e=a<4no16>k9::2df?84a080?<=5rs3d06591f897`cl39mj63=f9297cc044c2;>o70hi;<625=<3881v?hk=m:18184a;k026`a3ty9j>m50;0x97`4k33;h63=fd497c`6c5c20:o7052z?1b6c=19n01?k<0;1eb>{t:o9m6=4={<0e7c<>8m16>h<9:2de?xu5n=:1<7<6c348n>54v3=f509=5b<5;o957=if:p6c242909w0hi;|q1b12=838p1?h;4;;3`>;5m;h1?kh4}r0e00<72;q6>k:::82g?84b:j08jk5rs3d72?6=:r79j98591f897c5l39mj6s|2g64>5<5s48m8:460e9>6`4b2:lm7p}=f5:94?4|5;l?477?d:?1a7`=;ol0q~7}::o>264>k;<0f70<4no1v?h;a;296~;5n=k15=j4=3g05?5an2wx>k:m:18184a6`a3ty9j9m50;0x97`3k33;h63=e2197c`6c2c20:o7052z?1b1c=19n01?k<6;1eb>{t:o>m6=4={<0e0c<>8m16>h=8:2de?xu5n<:1<7653gd8yv4a=80;6?u22g72><6c348n?44v3=f409=5b<5;o8m7=if:p6c342909w0hi;|q1b02=838p1?h:4;;3`>;5m:i1?kh4}r0e10<72;q6>k;::82g?84b=<08jk5rs3d62?6=:r79j88591f897c1839mj6s|2g74>5<5s48m9:460e9>6`312:lm7p}=f4:94?4|5;l>477?d:?1a01=;ol0q~7}::o?264>k;<0f1=<4no1v?h:a;296~;5nk;m:18184a=k026`a3ty9j8m50;0x97`2k33;h63=e4`97c`6c3c20:o70i7>52z?1b0c=19n01?k:d;1eb>{t:o?m6=4={<0e1c<>8m16>h;j:2de?xu5n?:1<780;6?u22g42><6c348n:84v3=f709=5b<5;o==7=if:p6c042909w0hi;|q1b32=838p1?h94;;3`>;5m?91?kh4}r0e20<72;q6>k8::82g?84b>=08jk5rs3d52?6=:r79j;8591f897c1>39mj6s|2g44>5<5s48m::460e9>6`002:lm7p}=f7:94?4|5;l=477?d:?1a3>=;ol0q~7}::o<264>k;<0f2<<4no1v?h9a;296~;5n?k15=j4=3g5e?5an2wx>k8m:18184a>k026`a3ty9j;m50;0x97`1k33;h63=e7a97c`6c0c20:o70hj;|q1b3c=838p1?h9e;;3`>;5no:1?kh4}r0e2c<72;q6>k8i:82g?84ai908jk5rs3d44?6=90q6>k>9:33`?84a8>09=n522g31>77d348m=:4=1b9>6c7?2;;h70k?l:33`?84a9m09=n522g2;>77d348m<44=1b9>6c6f2;;h70k>j:33`?84a8o09=n522g33>77d348m=<4=1b9>6c742;;h706??l;<0e53<59j16>k9>:650?xu5n>81<7?6{<0e5`<>8o16>k?i:82e?84a:h02<6a348m?=460g9>6c5620:m70i;<0e71<>8o16>k=::82e?84a:902<6a348m>?460g9>6c4420:m7064>i;<0e63<>8o16>k<8:82e?84a:102<6a348m>o460g9>6c4d20:m70i;<0e36<0?:1v?h84;295<}::o;n6??l;<0e5c<59j16>k77d348m?<4=1b9>6c552;;h70k77d348m>>4=1b9>6c432;;h70k<7:33`?84a:009=n522g0a>77d348m>n4=1b9>6c4c2;;h706:9<;|q1b20=839p1?k9d;017>;5mjh1>?=4=3d43?10;2wx>k97:18b84a8<09=n522g1;>77d348m?:4=1b9>6c512;;h70k8j:33`?84a>o09=n522g5:>2143ty9j:o50;0x97`4138?h63=f6g93256c1c2>=87052z?1b2`=?>901?h8d;634>{t:o2;6=4={<0e7<<59j16>k6?:650?xu5n1i1<7?6{<0e7a<59j16>k=j:33`?84a<009=n522g6f>77d348m8k4=1b9>6c372;;h70k;;:33`?84a;o09=n522g63>77d348m8<4=1b9>6c252;;h70?6??l;<0e00<59j16>k:9:33`?84a<>09=n522g6;>77d348m8l4=1b9>6c2e2;;h70o6??l;<0e=5<0?:1v?h61;295<}::o?>6??l;<0e13<59j16>k8>:33`?84a>?09=n522g44>77d348m:54=1b9>6c0>2;;h70k;8:33`?84a=109=n522g7:>77d348m9l4=1b9>6c3e2;;h70k;i:33`?84a>909=n522g41>77d348m:>4=1b9>6c032;;h70;5n091;:=4}r0e=3<72;q6>k8k:33`?84a1>0<;>5rs3d:6c?e2>=870hi;|q1b;5n0o1?kh4}r0e=a<72;q6>k7k:650?84a1l0?<<5rs3d:b?6=:r79j4h5761897`f939mj6s|2gc3>5<5s48mm=48729>6cg62=::7p}=f`094?4|5;lj>7983:?1bd2=<9;0q~7}::ok=6:9<;<0ee=<3881v?hna;296~;5nhk1;:=4=3dbg?2792wx>koj:18184ail0<;>522g`3>1663ty9jo<50;0x97`e:3=6ce52>=87052z?1bf5=?>901?hl4;635>{t:on<6=4={<0e`2<0?:16>kj6:2de?xu5nm21<7214348mhh4v3=fef9325<5;loi7:?1:p6cba2909w0hi;|q1b`6=838p1?hj0;547>;5nl;18=?4}r0ea7<72;q6>kk=:650?84am=08jk5rs3df7?6=:r79jh=5761897`b<3>;=6s|2gg6>5<5s48mi848729>6cc02:lm7p}=fd494?4|5;ln:7983:?1b`1=<9;0q~7}::oo36:9<;<0ead<4no1v?hj9;296~;5nl31;:=4=3dfe?2792wx>kkm:18184amk0<;>522ggg>1663ty9jhh50;0x97`bn3=6c`72>=87053z?06g7=?>901>;4:k:18=?4}r0eb1<726`a3499ol4;019>77d22>=87p}=fg794?5|5:;i97=ie:?05dd=?>901>?nc;1eb>{t:ol=6=4;{<12f0<38816?1673ty9jk950;1x967e=39mj63<1c39325<5:;jm7:?0:p6c`?2909w0=>e9810a=:;8o;6:9<;|q1bc?=838p1>?j9;07`>;49l;1;:=4}r0ebd<72;q6?5rs3def?6=:r78=hl525f8967b;3=5<5s48mjn460e9>75462:ln7p}=fgf94?4|5::;h77?d:?0620=;oo0q~=?0583>7}:;9:n64>k;<11<1<4nl1v?hie;296~;489l15=j4=204khi:18185799026`b3ty8<=>50;0x9666933;h63<26a97cc757520:o70==7d80b`=z{::;>7>52z?0445=19n01><70;1ea>{t;9:86=4={<1351<>8m16??6=:2df?xu489?1<75853gg8yv578?0;6?u23135><6c3499454v3<0059=5b<5:83m7=ie:p756?2909w0=?198:4a=:;;2h6>hj;|q045?=838p1>>>9;;3`>;4:1o1?kk4}r134d<72;q6?=?n:82g?8551908jh5rs223f?6=:r78<:39mi6s|312`>5<5s49;=n460e9>77?32:ln7p}<00f94?76s49;756b2;;h70=?14815f=:;9;=6??l;<1352<59j16?=?7:33`?8579009=n52313b>77d349;=o4=1b9>757d2;;h70=?0g815f=:;9;;6??l;<1354<59j16?=?=:33`?8579:09=n523137>77d349;=h48729~w666n3:18v3<00d9=5b<5::h47=ie:?05fe=<9;01>>l7;1ea>{t;98;6=4={<135c<>8o16?=<>:650?xu48;81<76`a349;484<6g9~w665<3:1>v3<39197c`<5::397=8a:p75422909w06>9i;|q0470=838p1?o7e;634>;481?1?5>4}r1362<72;q6>l6i:523?8570<084<5rs22154128966?=393>6s|310:>5<5s48j5<4;019>75>22:2?7p}<03`94?4|5::9n7983:?047e=:;90q~=?2b83>7}:;98h6:9<;<136a<5::1v>>=d;296~;48;n1;:=4=221a?45;2wx?=52310e>7443ty875572>=870=?c`810a=:;9oo69>?;|q0467=838p1>><1;547>;48;h15874}r1377<72;q6?===:650?857:j02945rs2207?6=:r78<>=576189665l33>56s|3117>5<5s49;?948729>754b20?27p}<02794?4|5::897983:?047`=1<30q~=?3783>7}:;99=6:9<;<1375<>=01v>><7;296~;48:=1;:=4=22052311:>7443ty8<>750;0x966413=755f2>=870=?3c8166=z{::8n7>52z?046d=?>901>>{t;99h6=4<{<137f<0?:16?=m7:2de?857k>0?<<5rs220`?6=:r78<>j576189664?33>56s|311f>5<5s49;?h48729>755?20?27p}<02d94?4|5::8j7983:?046?=1<30q~=?4183>7}:;9>;6:9<;<137d<>=01v>>;1;296~;48=;1;:=4=220f??212wx?=:=:181857<;0<;>52311`><3>3ty8<9=50;1x9663;3=n7<=3:p75232908w0=?458436=:;9>=6?<<;<131f<5::1v>>;5;297~;48=?1;:=4=2270??21278<8l54728yv57u23165>214349;854=229>753c2;887p}<05594?5|5::?;7983:?0410=1<301>>:c;654>{t;9>36=4<{<130=<0?:16?=:n:300?857=l09>>5rs227=?6=;r78<97576189663033>563<04f9036752f2>=870=?4b8166=:;9?m6?<<;|q041d=839p1>>;b;547>;48=k15874=226a?2182wx?=:l:18085752316f>744349;:=4=229~w663l3:1?v3<05f9325<5::?o77:9:?040`=6}:;9>n6:9<;<1315<5::16?=8>:300?xu48=l1<7=t=227b?10;278<9k594;8966183>=<6s|3173>5<4s49;9=48729>75352;8870=?638166=z{::>=7>53z?0407=?>901>>:0;;6=>;48?;18;>4}r1317<72:q6?=;=:650?857==09>>523140>7443ty8<8=50;1x9662;3=7:90:p75332909w0=?558436=:;9?26?<<;|q0403=839p1>>:5;547>;48<>15874=2257?2182wx?=;9:180857<:029452317;>107349;9:48729~w662i3:1>v3<04c9325<5::>57:90:p75032908w0=?658436=:;9<>6?<<;<133f<5::1v>>95;297~;48??1;:=4=2253?45;278<:j52318yv57>?0;6>u23145>214349;:846589>751d2=<;7p}<07594?5|5::=;7983:?043?=:;901>>8e;017>{t;9<36=4<{<132=<0?:16?=88:87:?857?m0?:=5rs225=?6=;r78<;7576189661j389?63<06d9675750f2>=870=?688:1<=:;9=n698?;|q043d=839p1>>9b;547>;48?n1>?=4=22;4?45;2wx?=8l:180857>j0<;>52314a><3>349;;k4;619~w661l3:1?v3<07f9325<5::=j7<=3:?04=7=:;90q~=?6d83>6}:;9=016?=6?:543?xu48?l1<7=t=225b?10;278<:?52318966?:389?6s|3153>5<4s49;;=48729>750a20?270=?808725=z{::<=7>53z?0427=?>901>>83;017>;48191>?=4}r1337<72:q6?=9=:650?857?802945231:1>1073ty8<:=50;1x9660;3=91v>>85;296~;48>?1;:=4=224e?45;2wx?=99:180857??0<;>523156><3>349;494;619~w660?3:1?v3<0769=0?<5::<57:90:?042>=?>90q~=?7c83>7}:;9=i6:9<;<133d<3>91v>>76;297~;481<1;:=4=22;0;6>u231:4>214349;4;46589>75g22=<;7p}<09:94?5|5::347983:?04=?=:;901>>n8;017>{t;9226=4<{<13<<<0?:16?=6n:300?857i009>>5rs22;e?6=;r78<5o57618966?j389?63<0`c967575>e2>=870=?8b8166=:;9ki6?<<;|q04=e=839p1>>7c;547>;481n1>?=4=22bg?45;2wx?=6k:1808570m0<;>5231:f>744349;mi4=229~w66?m3:1?v3<09g9325<5::3j7<=3:?04dc=:;90q~=?8g83>6}:;92m6:9<;<13=5<5::16?=oi:300?xu480:1<7107349;5?48729~w66>;3:1?v3<09:9=0?<5::j;7:90:?04<2=?>90q~=?9483>6}:;92264;6;<13e=<3>916?=79:650?xu480=1<7=t=22;e??2127803=5<4s49;4o46589>75gf2=<;70=?9`8436=z{::2n7>53z?04=e=1<301>>nb;654>;480i1;:=4}r13=a<72:q6?=6k:87:?857ij0?:=5231;f>2143ty8<4h50;1x966?m33>563<0`f9036<5::j<7983:p75g62908w0=?8g8:1<=:;9kn698?;<13e7<0?:1v>>n3;297~;480:15874=22bb?21827872c349;9548729~w66e93:1>v3<0g;961b<5::<57983:p75d52909w0=?e2810a=:;9?26:9<;|q04g5=838p1>>ib;07`>;48>k1;:=4}r13f1<72;q6?=k=:36g?857=k0<;>5rs22a1?6=:r785<5s49;i94=4e9>753d2>=87p}<0c594?4|5::mo7<;d:?042b=?>90q~=?b983>7}:;9o>6?:k;<131a<0?:1v>>m9;296~;48on1>9j4=224a?10;2wx?=ln:181857m?098i52317f>2143ty875c02;>o70=?5g8436=z{::ih7>52z?04c`=:=n01>>70;547>{t;9hn6=4={<13a=<572c349;:<48729~w66d93:1>v3<113961b<5::3>7983:p75e52909w0=?e`810a=:;9<96:9<;|q04f5=838p1>??2;07`>;48191;:=4}r13g1<72;q6?=km:36g?857>:0<;>5rs22`1?6=:r78===525f8966?<3=575`f2;;h70=?fb815f=:;9lo6??l;<13b`<59j16?=hi:33`?8568909=n523022>77d349:74642;;h70=?fc815f=:;9i36:9<;|q04f?=838p1>>l7;547>;481?15o>4}r13gd<72;q6?=mn:82g?854080?<=5rs22`f?6=:r785<5s49;m;48729>75252:lm7p}<0bf94?4|5::j;7983:?046b=<9;0q~=?cd83>7}:;9k36:9<;<137`<4no1v>>lf;296~;48h31;:=4=220a?2792wx?=j?:181857ih0<;>52311e>6`a3ty875gd2>=870=?4180bc=z{::o?7>52z?04db=?>901>>;0;635>{t;9n?6=4={<13e`<0?:16?=:>:2de?xu48m?1<7<6c349;?<475>02:ln70=?5680ba=:;92>6<9=;|q04a>=83?p1>>j2;;3`>;48:;18=>4=2271?5am278<4:53gf8966?=3;5<2s49;i>460e9>75512:ln70=?5`80b`=:;9396>hk;<13<0<6?=1v>>k9;291~;48l>15=j4=2206?5am278<9953gg8966>>39mh63<097952d75c220:o70=?338745=:;9>26>hj;<13==<4nm16?=6::05`?xu48mh1<7;t=22f2??7l278<>=53gg89663j39mi63<08c97cb<5::397?8d:p75bd290>w0=?e68:4a=:;99869>?;<130a<4nl16?=7l:2dg?8570<0:;h5rs22g`?6==r78l0q~=?dd83>0}:;9o264>k;<1371<38916?=;>:2df?857i908ji5231:6>4>73ty8?7=ie:?04d4=;on01>>75;3;6>{t;9o;6=4:{<13ag<>8m16?==::523?857=<08jh5231c7>6`c349;484>829~w66bk3:1ov3<0d3964e<5::n>7<>c:?04`2=:8i01>>j5;02g>;48l<1>c:?04`5=:8i01>>jd;547>{t;9on6=4:{<13b<<>8m16?==>:2de?857;m08jh52315;>6`c349;484>509~w66a83:19v3<0gc9=5b<5::8=7:?1:?046b=<9:01>>96;1ea>;481?1=874}r13ac<726`b349;;o475>228?87p}<0g394?3|5::mo77?d:?0464=;ol01>>;48?21?kk4=22;1?72i2wx?=h=:186857nm02166349;?h4;019>750f2:ln70=?84821g=z{::m?7>55z?04cc=19n01>><3;1eb>;48:l1?kk4=225g?5am278<5;514a8yv57n=0;68u231de><6c349;?>4;009>755a2=:;70=?6d80b`=:;92>6<;k;|q04c3=83?p1>??0;;3`>;48:>1?kh4=2274?5am278<:>53gg8966?=3;>i6s|31d5>5<2s49:<<460e9>75532=::70=?418745=:;9=96>hj;<13<0<6=o1v>>i7;291~;499815=j4=2201?5an278<9?53gg89660<39mi63<0979537746420:o70=?348744=:;9>:69>?;<1333<4nl16?=6::041?xu499=1<7=t=2333?10;278==k523189674k389?6s|302;>5<4s49:<548729>746020?270=>348725=z{:;;57>53z?055?=?>901>??a;017>;49:=1>?=4}r124d<72:q6?<>n:650?8568k09>>52301;>7443ty8==l50;1x9677j3=0b8436=:;8:o6?<<;<127d<5::1v>??d;296~;499n1;:=4=230f?45;2wx?<>j:1808568l0<;>52302e>744349:?i4=229~w677n3:1?v3<11d9325<5:;:<7<=3:?056c=:;90q~=>1183>6}:;8;;6:9<;<1254<5::16?<=i:300?xu498;1<7=t=2325?10;278=<<5231896738389?6s|3031>5<4s49:=?48729>74742;8870=>408166=z{:;:?7>53z?0545=?>901>?>4;017>;49=81>?=4}r1251<72:q6?>523060>7443ty8=<;50;1x9676=3=178436=:;8:26?<<;<1273<5::1v>?>7;297~;499315874=2302?218278=<657618yv56900;6>u2302b><3>349:?:4;619>747f2>=87p}<10`94?5|5:;;n77:9:?056>=?>c;547>{t;8;o6=4<{<124f<>=016?<=6:543?8569l0<;>5rs232b?6=;r78==j594;89674i3>=<63<1329325<4?:3y>745e2=<;70=>238436=z{:;9?7>53z?055c=1<301>?;49;>1;:=4}r1260<72:q6?<>i:87:?856;m0?:=523005>2143ty8=?950;1x9676833>563<12g9036<5:;947983:p744>2908w0=>108:1<=:;89m698?;<126d<0?:1v>?=b;297~;498815874=2374?218278=?m57618yv56:m0;6>u23030><3>349:8<4;619>744b2>=87p}<13d94?5|5:;:877:9:?0514=?<0;547>{t;89:6=4<{<1250<>=016?<:<:543?856;;0<;>5rs2307?6=;r78=<8594;89673<3>=<63<1269325742220:o70=>f48745=:;8ko6>hi;<12f4<38916?6`b349:jl4;009>74`e2:lm70=>b18744=:;8h969>?;|q0510=838p1>?;5;;3b>;49==1;:=4}r120=<72:q6?<;7:82g?856?h0?<<52302;>6`b3ty8=9h50;1x9672133;h63<16c9056<5:;987=id:p742>2908w0=>5`8:4a=:;8=h6>hi;<125=<4nm1v>?;a;297~;49u2307`><6c349:;o4;009>747d2:lo7p}<15a94?5|5:;>h77?d:?052d=<9:01>?>e;1e`>{t;8>o6=4<{<121`<>8m16?<9m:2de?856:908ji5rs237a?6=;r78=8h591f89670j39mi63<13097cb740720:o70=>7`80bc=:;88=6>hk;|q0507=839p1>?91;;3`>;49>k1?kk4=231;02166349:>l45583>6}:;8k;<123<<4no16?<5<4s49::;460e9>741d2=::70=>3380ba=z{:;>;7>53z?0531=19n01>?8c;634>;49:>1?kj4}r122=<72;q6?<=::650?856?o0?<=5rs235=?6=:r78=>857618967?939mi6s|304b>5<5s49:?:48729>74>42:ln7p}<17`94?4|5:;847983:?05=3=;oo0q~=>6b83>7}:;8926:9<;<12<2<4nl1v>?9d;296~;49:k1;:=4=23;=?5am2wx?<8j:181856;k0<;>5230:a>6`b3ty8=;h50;0x9674k3=745c2>=870=>8g8745=z{:;<=7>52z?056c=?>901>?61;634>{t;8=96=4={<127c<0?:16?<7<:2df?xu49>91<7214349:5:4v3<1509325<5:;257=ie:p74112909w0=>428436=:;83i6>hj;|q0521=838p1>?;4;547>;490n1?kk4}r123=<7288p1>?;7;1eb>;49>n1;:=4=234b?5am278=5j53gg8967?n39mi63<18397cc<5:;2?7=if:?05<3=;ol01>?67;1eb>;49031?kh4=23:f?5an278=4j53gd8967?j39mj63<19;97c`<5:;3;7=if:?05=3=;ol01>?73;1eb>;491;1?kh4}r123<<72;q6?<96:650?856?m08jh5rs234e?6=:r78=:o576189670l39mj6s|305a>5<5s49:;o48729>741c2=:;7p}<16a94?4|5:;7d83>7}:;8?36?:k;<123c<0?:1v>?70;296~;499j4=23;5?10;2wx?<6=:181856=k098i5230:0>2143ty8=5:50;0x9672k38?h63<1979325743c2;>o70=>868436=z{:;347>52z?050c=:=n01>?79;547>{t;82j6=4={<121c<572c349:4k48729~w67>83:1>v3<173961b<5:;2=7983:p74?52909w0=>63810a=:;8386:9<;|q05<2=838p1>?93;07`>;490?1;:=4}r12=3<72;q6?<8;:36g?8561>0<;>5rs23:13=5<5s49::;4=4e9>74?e2>=87p}<18a94?4|5:;=;7<;d:?0590q~=>9d83>47|5:;>47<>c:?050?=:8i01>?90;02g>;49?;1>c:?0531=:8i01>?:a;02g>;49

    ?8f;1eb>;49ll1?kk4=23;`?5an278=5h53gd8967>939mj63<1819056<5:;297:?0:?05<1=<9:01>?69;634>;490h18=>4=23:`?278278=5l54128967?13>;<63<1959056<5:;397:?0:?05=5=<9:01>?71;634>{t;8k:6=4={<12e5<>8o16?;=63<1`c97c`<5:;mm7=ie:?05g6=;ol0q~=>a583>3}:;8k<64>k;<12ed<4nl16?6`b349:n?4;009~w67f=3:1?v3<1`:9=5b<5:;ji77:9:?05cg=;ol0q~=>a883>7}:;8k36?:k;<12e`<0?:1v>?na;296~;49hk1;:=4=23ba?5an2wx?5230cf>6`b3ty8=lh50;0x967f?38?h63<1c1932574d72>=870=>b280bc=z{:;i>7>52z?05g4=?>901>?m3;1ea>{t;8h?6=4={<12e3<50;6?u230`e><6c349;484=899~w67e03:1>v3<1b29=5b<5::397<63:p74d>2909w0=>c08:4a=:;92>6?77;|q05gg=838p1>?l2;;3`>;481?1>474}r12fg<72;q6?5<5s49:o8460e9>75>22;3o7p}<1b494??|5:;ii7<>c:?05g`=:8i01>?l0;02g>;49j;1>2908w0k;<1364<3881v>?la;296~;49j31>9j4=23`3?10;2wx?2143ty8=nj50;0x967c=33;h63<1cg961b74bb2;;h70=>de815f=:;8nh6??l;<12`g<59j16?77d349:h84=1b9>74b02>=87p}<1bg94?5|5:;o477?d:?05a3=:=n01>?mf;07`>{t;8im6=4<{<12`<<>8m16?74be20:o70=>d`810a=:;8i96?:k;|q05a4=839p1>?kc;;3`>;49mh1>9j4=23`7?43l2wx?72c349:o94=4e9~w67c<3:1?v3<1eg9=5b<5:;oh7<;d:?05f3=:=n0q~=>e583>0}:;8o364>k;<12a4<4no16?6`c3ty8=h;50;7x967b133;h63<1d397cc<5:;n>7=ie:?05`5=;ol01>?i2;1eb>{t;8o=6=4;{<12ad<>8m16?1673ty8=h950;1x967bj33;h63<1d197cc<5:;m>7=ie:p74cd290>w0=>e9815f=:;8o26??l;<12ad<59j16?5rs23fa?6=>r78=k>591d8967b033;j63<1d;9=5`<5:;nm77?f:?05`d=19l01>?jf;547>{t;8l;6=46{<12``<5166349:o:474ed2:lm70=>c980bc=:;8li6>hj;<12f7<4nl1v>?i1;296~;49o:1>166349:mi474e02=:;70=>b080bc=:;8ki69>?;<12e7<38816?167349:o54;019>74`d20?270=>b28:1<=z{:;m87>52z?05c5=:=n01>?i5;547>{t;8l=6=4k{<12b3<>8m16?6`b349:n<474ge2:lm70=>a380b`=:;8l36>hj;<12gf<4nl16?167349:n?4v3<1g4961b<5:;m47983:p74`>2909w0=>f`8436=:;8lh6>hi;|q05cg=838p1>?ib;547>;49oi1?kk4}r12b`<72:q6?>523310>7443ty8=kh50;1x967an3=?h52318yv558;0;6>u23321>2143499<>4=229>77572;887p}<21194?5|5:8;?7983:?0652=:;901><<1;017>{t;;:?6=4={<1141<0?:16??==:300?xu4:9?1<7=t=2031?10;278>=8523189644<389?6s|3325>5<4s499<;48729>77602;8870==348166=z{:8;;7>53z?0651=?>901>;4::<1>?=4}r114=<72:q6??>7:650?8558009>>523314>7443ty8>=750;1x964713=>o52318yv558j0;6>u2332`>2143499775e2;887p}<21f94?5|5:8;h7983:?0656=:;901><=d;017>{t;;:n6=4<{<1145<>=016??5rs2024?6=;r78>=?594;89645m3>=<63<2039325776520?270==2g8725=:;;;86:9<;|q0642=839p1>;4:::18;>4=2021?10;2wx???9:1808558=0294523312>1073499=:48729~w64603:1>v3<2209036<5:8:57983:p777f2908w0==048:1<=:;;98698?;<115g<0?:1v><>c;297~;4:9<15874=2000?218278>u23324><3>3499?84;619>777a2>=87p}<23294?5|5:8;477:9:?0660=<=1;547>{t;;896=4<{<114<<>=016??=8:543?855::0<;>5rs2010?6=;r78>=o594;8964403>=<63<2379325;4?:2y>776e20?270==388725=:;;8<6:9<;|q067>=839p1>;4::k18;>4=201=?10;2wx??1073499>o48729~w644k3:1:v3<22a9=5b<5:;nh7=if:?05<`=;oo01>?;7;1ea>;4;1:1?kk4=2112143ty8>>h50;1x9643n33;h63<2639057<5:;mj7=ie:p77212908w0==518:4a=:;;=:69>?;<115g<4nm1v><;0;297~;4:<;15=j4=2047?5an278>=h53gf8yv55<80;6>u23371><6c3499;>477762:lo7p}<25094?5|5:8>?77?d:?0624=<9;01><>3;1e`>{t;;>86=4<{<1111<>8m16??9=:523?8559<08ji5rs2070?6=;r78>8;591f89640:39mj63<20597cb773120:o70==7380b`=:;;;26>hk;|q0611=839p1><:7;;3`>;4:>;1?kh4=202`?5al2wx??:7:180855=1026`b3499=k46}:;;?j64>k;<1135<38916??<<:2dg?xu4:=h1<7=t=206f??7l278>:>53gd89645=39mh6s|336`>5<4s4999n460e9>77172:ln70==2680ba=z{:8?h7>53z?060b=19n01><83;635>;4:;31?kj4}r110`<72:q6??;j:82g?855?:0?<=52330a>6`c3ty8>8h50;0x9645k3=774c2>=870==798744=z{:8==7>52z?067c=?>901><8a;635>{t;;<96=4={<116c<0?:16??9l:522?xu4:?91<7:k54138yv55>=0;6?u23312>21434994=4;009~w641=3:1>v3<2209325<5:83>7:?1:p77012909w0==328436=:;;2?69>>;|q0631=838p1><<4;547>;4:1<18=?4}r112=<72;q6??=::650?855010?<<5rs205=?6=:r78>>857618964?i3>;=6s|334b>5<5s499?:48729>77>d2=::7p}<27`94?4|5:8847983:?06=c=<9;0q~==6b83>7}:;;926:9<;<11=5<3881v><9d;296~;4::k1;:=4=20:6?2792wx??8j:181855;k0<;>5233;7>1663ty8>;h50;31855?=0<;>523355>6`a349949477>12:lm70==8980bc=:;;2j6>hi;<116`a349959477>52:lm70==8180bc=:;;=n6>hi;<113f<4no16??9n:2de?855?108jk52331f>6`a3ty8>:>50;0x964083=77162>=870==7580bc=z{:8<>7>52z?0624=?>901><84;634>{t;;=86=4={<1136<0?:16??9;:522?xu4:>?1<7:857618yv55?>0;6?u23372>72c3499;548729~w64013:1>v3<240961b<5:8<:4;07`>;4:>o1;:=4}r113c<72;q6??;::36g?855090<;>5rs20;5?6=:r78>88525f8964?:3=5<5s4999=4=4e9>77>32>=87p}<29794?4|5:8>;7<;d:?06=0=?>90q~==8683>7}:;;?36?:k;<11<=<0?:1v><79;296~;4:<31>9j4=20;e?10;2wx??6m:181855=h098i5233:`>2143ty8>5j50;0x9642j38?h63<29g9325773d2;>o70==918436=z{:82=7>52z?060b=:=n01><62;547>{t;;386=4={<111`<5l?54128964f;39mi63<28d9057<5:8j97=if:?06g6=;oo01>;4:l>15874=20a0?2792wx??78:18a8551k026`b3499m>477?a2:lm70==b08745=:;;o;6>hj;<11a6<38916??k>:2de?855m<08jh5233g5>6`b3499n9403:1nv3<28a9=5b<5:8j=7=if:?06d5=<9:01><6f;1ea>;4:k;1?kh4=20f4?5an278>h=53gg8964b939mi63<2d797c`<5:8n:7=if:?06g2=;oo0q~==9883>2}:;;3o64>k;<11e6<38816??7i:523?855j808jh5233fe>6`a3499i?4;019>77d32=:;7p}<28g94?4|5:82h7<;d:?06<`=?>90q~==a183>7}:;;3h6?:k;<11e4<0?:1v>9j4=20b7?10;2wx??o;:1818551h098i5233c6>2143ty8>l850;1x964f>33;h63<2`f97c`<5:8jm7=if:p77g02909w0==a7810a=:;;k36:9<;|q06d?=838p1>;4:hk1;:=4}r11eg<72;q6??om:82g?8540:0?<=5rs20bg?6=:r78>ll520a8964fl3=577ge2;>o70==a48745=:;;h;6>hi;<11ea<38916??on:523?8540;0?<=5233`1>6`b3499ol477g?2:lo7p}<2`d94?4|5:8ji7<;d:?06g6=?>90q~==b383>7}:;;kn6??l;<11f7<0?:1v>1;:=4=20a1?5an2wx??l6:181855k8021663ty8>oo50;0x964d:33;h63<2d2905677e420:o70==e48745=z{:8io7>52z?06f2=19n01>{t;;ho6=4={<11g0<>8m16??k<:2de?xu4:ko1<7h>54138yv55jo0;6?u233a4><6c3499hk4;009~w64d83:1>v3<2b:9=5b<5:8oj7:?0:p77e>2902w0==c0815f=:;;i96??l;<11g6<59j16??m;:33`?855k<09=n5233a5>77d3499o:4=1b9>77e?2;;h70==c`8436=z{:8hn7>52z?06a5=19n01>{t;;ih6=4={<11`1<>8m16??m=:36g?xu4:jn1<7n=525f8yv55kl0;6?u233f5><6c3499o94=4e9~w64dn3:1>v3<2e59=5b<5:8h97<;d:p77b72909w0==d98:4a=:;;i=6?:k;|q06a7=838p1>;4:j=1>9j4}r11`7<72;q6??jn:82g?855k1098i5rs20gf?6=1r78>i=520a8964c<38:o63<2e7964e<5:8o:7<>c:?06a1=:8i01>;4:m31>im57618yv55lm0;6?u213:7>6`c3499hi460e9~w64cm3:1>v3<2ef961b<5:8n>7983:p77ba2909w0==dg8436=:;;o96>hj;|q06`6=838p1>;4:l<18=?4}r11a4<72;q6??k>:650?855m;08jk5rs20f7?6=:r78>h=57618964b=3>;=6s|33g7>5<5s499i?4;009>77c32>=87p}<2d:94?5|5:8n47983:?06``=:;901>=>d;017>{t;;o26=4<{<11a<<0?:16??k7:87:?8549?0?:=5rs20fe?6=;r78>ho57618964bj389?63<30:967577ce2>=870==eb8166=:;:;26?<<;|q06`e=839p1>;4:ln1>?=4=212e?45;2wx??kk:180855mm0<;>5233gf>7443498=o4=229~w64bm3:1>v3<2dg9325<5:9:o7<=3:p77ca2908w0==eg8436=:;;l;6?<<;<105`<5::1v>u233d2>2143499j?4=229>76472;887p}<2g094?5|5:8m>7983:?06c5=:;901>==1;017>{t;;l86=4<{<11b6<0?:16??h;:300?854:;09>>5rs20e0?6=;r78>k:57618964a=389?63<331967577`22>=870==f78166=:;:8?6?<<;|q06c0=839p1>;4:o=1>?=4=2111?45;2wx??h8:180855n>0<;>5233gb>7443498=:4=229~w64a03:1?v3<2dc9=0?<5:9:;7:90:?06c?=?>90q~==f`83>6}:;;oi64;6;<105=<3>916??hm:650?xu4:oi1<7=t=20fg??21278?<754728964al3=5<4s499ii46589>767f2=<;70==fg8436=z{:9;<7>53z?06`c=1<301>=>b;654>;4;9;1;:=4}r1047<72;q6?>?l:543?8548:0<;>5rs2130?6=;r78>hh594;89656l3>=<63<317932577`720?270=<1d8725=:;::<6:9<;|q075>=839p1>;4;8l18;>4=213=?10;2wx?>>n:180855n;0294523203>107349890q~=<0d83>6}:;;l?64;6;<1067<3>916?>>i:650?xu4;8:1<7=t=20e1??21278??=54728965693=5<4s499j;46589>76432=<;70=<128436=z{:9:87>53z?06c1=1<301>==5;654>;4;8?1;:=4}r1063<721q6??jk:33`?8551h09=n5233;a>77d34995n4=1b9>77?c2;;h70=<278:4a=:;;h;69>?;<11f0<>=01v>==7;296~;4;;<15=h4=211<6:180854;0021663499i44n7:?0:?0753=;on0q~=<2`83>6}:;:9i64>k;<101a<4no16??h6:2dg?xu4;;h1<7=t=210g??7l278?8j53gg8964aj39mh6s|320`>5<4s498?i460e9>763d2=::70==fe80ba=z{:99h7>53z?076c=19n01>=:c;634>;4:ol1?kj4}r106`<72:q6?>=i:82g?854=j08jk523222>6`c3ty8??h50;1x9653833;h63<34a97cc<5:9;?7=id:p76562908w0=<408:4a=:;:?i6>hi;<1042<4nm1v>=<2;297~;4;=815=j4=216f?5am278?=753gf8yv54;:0;6>u23260><6c34989l4;009>766e2:lo7p}<32694?5|5:9?877?d:?070g=<9:01>=?d;1e`>{t;:9>6=4<{<1000<>8m16?>;n:2de?8548o08ji5rs2102?6=;r78?98591f89652i39mi63<30397cb762020:o70=<5e8744=:;:;86>hk;|q076>=839p1>=;8;;3`>;4;4=2121?5al2wx?>:6:1818549?0<;>523243>1663ty8?9o50;0x9656?3=767?2>=870=<6580b`=z{:9?o7>52z?074?=?>901>=96;1ea>{t;:>o6=4={<105d<0?:16?>87:2df?xu4;=o1<72143498:n4v3<30f9325<5:9=i7:?1:p76362909w0=<1d8436=:;:=;69>>;|q0704=838p1>=>f;547>;4;>818=?4}r1016<72;q6?>39mi6s|3276>5<5s498>?48729>761?2:ln7p}<34494?4|5:99?7983:?072g=;oo0q~=<5683>7}:;:8?6:9<;<103f<4nl1v>=:8;296~;4;;?1;:=4=214a?5am2wx?>;6:1826~;4;=98;1eb>;4;?<1?kh4=2150?5an278?;<53gd89650m39mj63<36a97c`<5:9=;ol01>=86;1eb>;4;>>1?kh4=2154?5am2wx?>;n:181854=h0<;>52327f>6`b3ty8?8l50;0x9652j3=763d2>=870=<5d8745=z{:9>h7>52z?070b=?>901>=:e;635>{t;:?m6=4={<107<<58?:650?xu4;?;1<7:0;6?u2321`>72c3498:948729~w651=3:1>v3<32f961b<5:9=:7983:p76002909w0=<3d810a=:;:<36:9<;|q073?=838p1>=;4;?k1;:=4}r102g<72;q6?>:?:36g?854>j0<;>5rs215`?6=:r78?>o525f89651m3=5<5s4988<4=4e9>76172>=87p}<36394?4|5:9?>7<;d:?0724=?>90q~=<7283>7}:;:>86?:k;<1031<0?:1v>=85;296~;4;=>1>9j4=2142?10;2wx?>98:181854<<098i52325;>2143ty8?:750;0x9653>38?h63<36c932576202;>o70=<7b8436=z{:952z?071>=:=n01>=8e;547>{t;:=m6=4>1z?076?=:8i01>=;4;=;1>c:?0711=:8i01>=;8;02g>;4;:h1>j520a89654m38:o63<32d964e<5:9?<7<>c:?07=6=?>90q~=<8583>1d|5;2hh7<>1:?1;519;1>a:38:=63=8g19647<5;2m87<>1:?1;50j31>=5203897>3838:=63=8ed9647<5;38o7<>1:?1=6b=:8;01?7;50l:1>b;38:=63=8d49647<5;2n87<>1:?1<`3=:8;01?6j7;025>;50>o1>5203897>3n38:=63=8429647<5;2>>7<>1:?1<05=:8;01?6:4;025>;502?38:=63=84:9647<5;2=m7<>1:?1<3d=:8;01?69c;025>;50?n1>0838:=63=8639647<5;2=:7<>1:?1<31=:8;01?698;025>;50?31>1:?1=75=:8;01?7=4;025>;51;?1>b038:=63=8439647<5h2i6:9<;<0;=`<5:m16>57i:30g?84?i909>i5229c2>74c3483m?4=2e9>6=g42;8o70<7a5816a=::1k>6?5o7:30g?xu4;131<7=t=21;=?10;278?5o52318965>k389?6s|32:b>5<4s4984l48729>76>e2;8870=<9e8166=z{:93n7>53z?07=d=?>901>=7c;017>;4;0o1>?=4}r106l:650?8540m09>>5232;e>7443ty8?5j50;1x965?l3=b2908w0=<8d8436=:;:2m6?<<;<10e4<5::1v>=7f;297~;4;1l1;:=4=21:4?45;278?l<52318yv54190;6>u232;3>21434985<4=229>76g42;887p}<38394?4|5:92=7983:?07d2=:;90q~=<9383>6}:;:396:9<;<10<<<>=016?>7m:543?xu4;091<7=t=21:7?10;278?5o594;8965>k3>=<6s|32;7>5<4s4985948729>76>e20?270=<9e8725=z{:9297>53z?07<3=?>901>=7c;;6=>;4;0o18;>4}r10=3<72:q6?>79:650?8540m02945232;e>1073ty8?4950;1x965>?3=91v>=69;297~;4;031;:=4=21:4??21278?l<54728yv541h0;6>u232;b>21434985<46589>76g42=<;7p}<3`794?4|5:9hm7<;d:?0790q~=7}:;:ii6?:k;<10=f<0?:1v>=n7;296~;4;ji1>9j4=21:`?10;2wx?>o7:181854km098i5232;f>2143ty8?l750;0x965dm38?h63<38d932576ea2;>o70=52z?07a6=:=n01>=n1;547>{t;:kh6=4={<10`4<5o=:650?xu4;hn1<772c3498m948729~w65fn3:1>v3<3c59=5b<5:92>7=if:p76d72909w0=hi;|q07g7=838p1>=m9;;3`>;4;0>1?kh4}r10f7<72;q6?>ln:82g?8541<08jk5rs21a7?6=:r78?ol591f8965>>39mj6s|32`7>5<5s498nn460e9>76?02:lm7p}<3c794?4|5:9ih77?d:?07<>=;ol0q~=7}:;:hn64>k;<10=<<4no1v>=mf;2962}:;:hm64>k;aa6=;ol01hm<:2de?8ce>39mj63ja880bc=:m0i1?kh4=d:e>6`a34o3>7=if:?f30<4no16i;653gd89`3e2:lm70k;e;1eb>;b<808jk52e2197c`<5l8<6>hi;a56=;ol01ih<:2de?8bb>39mj63kd880bc=:lji1?kh4=e`e>6`a34ni>7=if:?ge1<4no16h4653gd89a>e2:lm70j8e;1eb>;c?808jk52d7197c`<5m?<6>hi;`66=;ol01i<<:2de?8b6>39mj63k0980bc=z{:9h<7>53z?07fg=19n01>=62;1ea>;4;131>8o4}r10g4<72:q6?>mm:82g?8541:08jh5232:b>73f3ty8?n<50;1x965dk33;h63<38697cc<5:93n7<:a:p76e42908w0=6>hj;<10=l4;297~;4;jo15=j4=21:2?5am278?5j524c8yv54k<0;6>u232ae><6c34985:476>b2;?j7p}<3b494?5|5:9o<77?d:?07<>=;oo01>=7f;06e>{t;:i<6=4<{<10`4<>8m16?>76:2df?85419099l5rs21`i39mi63<383960g76da2;>o70=hi;|q07a0=839p1>=k6;547>;4;mk1>?=4=21e2?45;2wx?>j8:180854l>0<;>5232f;>7443498j>4=229~w65c03:1?v3<3e:9325<5:9o57<=3:?07c2=:;90q~=7}:;:n26:9<;<10b0<5::1v>=ka;297~;4;mk1;:=4=21gf?45;278?k952318yv54lk0;6>u232fa>2143498hn4=229>76`?2;887p}<3ea94?5|5:9oo7983:?07ab=:;901>=i9;017>{t;:no6=4<{<10`a<0?:16?>jj:300?854nh09>>5rs21ga?6=;r78?ik57618965cn389?63<3g`967576ba2>=870==j0;547>;4;l;1>?=4=21e`?45;2wx?>k>:180854m80<;>5232g1>7443498jh4=229~w65b:3:1?v3<3d09325<5:9o;7<=3:?07c4=:;90q~=6}:;:o86:9<;<10`3<>=016?>h>:543?xu4;l>1<7=t=21f0?10;278?i9594;8965a:3>=<6s|32g6>5<4s498i848729>76b?20?270=53z?07`0=?>901>=k9;;6=>;4;o>18;>4}r10a2<72;q6?>k8:650?854n<0?:=5rs21f563<3g4903676c>2>=870==ja;547>;4;mi15874=21ekm:180854mk0<;>5232fg><3>3498j44;619~w65bk3:1?v3<3da9325<5:9oi77:9:?07cg=6}:;:oo6:9<;<10`c<>=016?>hm:543?xu4;lo1<7=t=21fa?10;278?h>594;8965ak3>=<6s|32ge>5<4s498ik48729>76c620?270=53z?07c6=?>901>=j2;;6=>;4;oo18;>4}r10bc<72:q6?>hi:650?8538?09>>523503>7443ty88=>50;1x962783=:o7<=3:p71662908w0=;008436=:;=:;64;6;<175g<3>91v>:?2;297~;4<981;:=4=2630?45;2788u23520>214349?717d2=<;7p}<41694?4|5:>;87983:?004c=:;90q~=;0483>6}:;=:>6:9<;<1741<>=016?9?k:543?xu4<9<1<7=t=2632?10;2788=65231896259389?6s|3524>5<4s49?<:48729>716120?270=;218725=z{:>;47>53z?005>=?>901>:?a;017>;4<;81>?=4}r174<<72:q6?9>6:650?853810294523502>1073ty88=o50;1x9627i3=9?7<=3:p716e2908w0=;0c8436=:;=:j64;6;<1767<3>91v>:?c;297~;4<9i1;:=4=263a?45;2788?:52318yv538m0;6>u2352g>214349?71442=<;7p}<41g94?5|5:>;i7983:?0046=:;901>:=5;017>{t;=:m6=4<{<174c<0?:16?9>j:87:?853:=0?:=5rs2624?6=;r788<>576189626:389?63<434967571762>=870=;118:1<=:;=8>698?;|q0044=839p1>:>2;547>;4<8>1>?=4=2613?45;2wx?9?<:1808539:0<;>523531><3>349?>;4;619~w626<3:1?v3<4069325<5:>::7<=3:?007>=:;90q~=;1483>6}:;=;>6:9<;<1751<>=016?9<8:543?xu4<8<1<7=t=2622?10;2788=>523189626j389?6s|3534>5<4s49?=:48729>717120?270=;298725=z{:>:47>53z?07c`=1<301>:>a;654>;4<831;:=4}r175c<72;q6?9?i:650?8539l0?:=5rs261=?6==r785;h53gd89625k3>;<63<43c9325<5:37}:;=8h6:9<;<1:0g<3891v>:=d;297~;4<;n1;:=4=261a?45;2788>k52318yv53:l0;6>u2350f>214349?>k4=229>715a2;887p}<43d94?5|5:>9j7983:?0066=:;901>:;0;017>{t;=9;6=4<{<1775<0?:16?9=>:300?853<809>>5rs2605?6=;r788>?576189624:389?63<450967571552>=870=;358166=:;=>86?<<;|q0065=839p1>:<3;547>;4<:815874=2676?2182wx?9=;:180853;=0<;>523515>744349?894=229~w624=3:1?v3<4279325<5:>8877:9:?0015=7}:;=9=6:9<;<1700<5::1v>:<7;297~;4<:=1;:=4=2602??2127889:54728yv53;10;6>u2351;>214349?>i46589>715c2=<;7p}<42;94?5|5:>857983:?007c=1<301>:{t;=9j6=4<{<177d<0?:16?9l576189624833>563<4529036715620?270=;408725=:;=9h6:9<;|q0010=838p1>:;6;547>;4<=?18;>4}r1702<72:q6?9:8:650?853<109>>52357:>7443ty889650;1x962303=>m7<=3:p712>2908w0=;488436=:;=>364;6;<171<<3>91v>:;a;297~;4<=k1;:=4=267g?45;27888l52318yv53u2356a>214349?8l46589>713f2=<;7p}<45a94?5|5:>?o7983:?001c=:;901>::c;017>{t;=>o6=4<{<170a<0?:16?9:l:87:?853=k0?:=5rs267a?6=;r7889k5761896228389?63<44f9675712a2>=870=;4d8:1<=:;=?h698?;|q0006=839p1>::0;547>;4<<81>?=4=266a?45;2wx?9;>:180853=80<;>523573><3>349?9i4;619~w622:3:1?v3<4409325<5:>>87<=3:?000`=:;90q~=;5283>6}:;=?86:9<;<1717<>=016?9;j:543?xu4<<>1<752318yv53=<0;6>u23576>214349?9946589>713a2=<;7p}<44494?5|5:>?;77:9:?000>=::7;547>{t;=<:6=4={<1724<0?:16?98?:543?xu45<4s49?:>48729>71032;8870=;758166=z{:>=87>53z?0032=?>901>:95;017>;4<>?1>?=4}r1720<72:q6?98::650?853>?09>>523555>7443ty88;850;1x9621>3=<;7<=3:p71002908w0=;668436=:;=<26?<<;<173=<5::1v>:98;297~;400;6>u2354:>214349?:o4=229>711>2;887p}<47c94?5|5:>=m7983:?003?=1<301>:88;654>{t;==<6s|354g>5<4s49?:i48729>710520?270=;738725=z{:>=i7>53z?003c=?>901>:93;;6=>;4<>918;>4}r172c<72:q6?98i:650?853>=0294523557>1073ty88:>50;1x962083=<97:90:p71162908w0=;708436=:;=<=64;6;<1733<3>91v>:8b;296~;4<>h1;:=4=264e?2182wx?99l:180853?j0<;>5235:4>744349?o;4=229~w620l3:1?v3<46f9325<5:>6}:;==n6:9<;<173c<5::16?9lj:300?xu4<>l1<7=t=264b?10;27885>52318962en389?6s|35:3>5<4s49?4=48729>71>62;8870=;c18166=z{:>3=7>53z?00=7=?>901>:72;017>;4?=4}r17<7<72:q6?96=:650?8530:09>>5235a1>7443ty885=50;1x962?;3=h?7<=3:p71>32908w0=;858436=:;=2>6?<<;<17g1<5::1v>:75;297~;4<1?1;:=4=26;2?45;2788n;52318yv530?0;6>u235:5>214349?454=229>71e02;887p}<49594?5|5:>3;7983:?00<4=:;901>:k1;017>{t;=236=4<{<17<=<0?:16?966:300?853k109>>5rs26;=?6=;r7885757618962?i389?63<4b;967571>f2>=870=;8c8166=:;=ij6?<<;|q00=d=839p1>:7b;547>;4<1i1>?=4=26`f?45;2wx?96l:1808530j0<;>5235:g>744349?on4=229~w62?l3:1?v3<49f9325<5:>3i7<=3:?00fb=:;90q~=;8d83>6}:;=2n6:9<;<1752318962dn389?6s|35;3>5<4s49?5=48729>71?62;8870=;d18166=z{:>2=7>53z?00<7=?>901>:63;017>;4?=4}r17=7<72:q6?97=:650?8531=09>>5235f7>7443ty884=50;0x962>;3=71?32>=870=;948166=:;=n>6?<<;|q00<3=839p1>:65;547>;4<0<1>?=4=26g2?45;2wx?979:1808531?0<;>5235;4>744349?h:4=229~w62>?3:1?v3<4859325<5:>247<=3:?00a>=:;90q~=;9983>6}:;=336:9<;<17=<<5::16?9j6:300?xu4<031<7=t=26:=?10;27884o52318962ci389?6s|35;b>5<4s49?5l48729>711c2;8870=;bb8166=z{:>2n7>53z?002e=1<301>:mb;654>;4<0h1;:=4}r17=f<72:q6?99k:87:?853jj0?:=5235;`>2143ty884j50;1x9620m33>563<4cf9036<5:>2h7983:p71?b2908w0=;7g8:1<=:;=hn698?;<17=`<0?:1v>:6f;297~;4<1:15874=26ab?21827884h57618yv53i90;6>u235:2><3>349?o=4;619>71g72>=87p}<4`394?5|5:>3>77:9:?00f7=:n1;547>{t;=k96=4<{<17<6<>=016?9m=:543?853i;0<;>5rs26b7?6=;r7885:594;8962d;3>=<63<4`1932571>220?270=;c58725=:;=k?6:9<;|q00d3=839p1>:76;;6=>;44=26b1?10;2wx?9o9:1808530>02945235a5>107349?m;48729~w62f?3:1?v3<49:9=0?<5:>h;7:90:?00d1=?>90q~=;a983>6}:;=2264;6;<17g=<3>916?9o7:650?xu45<4s49?4o46589>71ef2=<;70=;a`8436=z{:>jn7>53z?00=e=1<301>:lb;654>;42143ty88lj50;1x962?m33>563<4bf9036<5:>jh7983:p71gb2908w0=;8g8:1<=:;=in698?;<17e`<0?:1v>:nf;297~;4<0:15874=26`b?2182788lh57618yv53j90;6>u235;2><3>349?h=4;619>71d72>=87p}<4c394?5|5:>2>77:9:?00a7=:m1;547>{t;=h96=4<{<17=6<>=016?9j=:543?853j;0<;>5rs26a7?6=:r788i=54728962e;3=5<4s49?5946589>71b32=<;70=;b58436=z{:>i97>53z?00<3=1<301>:k5;654>;42143ty88o950;1x962>?33>563<4e59036<5:>i;7983:p71d?2908w0=;998:1<=:;=n3698?;<17f=<0?:1v>:m9;297~;4<0315874=26g=?2182788o757618yv53jh0;6>u235;b><3>349?hl4;619>71df2>=87p}<4e`94?5|5:>on7983:?00`0=:;901>;=5;017>{t;=nh6=4<{<17`f<0?:16?9jk:300?8529j09>>5rs26g`?6=;r788ij57618962cm389?63<50f967571bb2>=870=;dg8166=:;<;n6?<<;|q00a`=839p1>:kf;547>;4?=4=272b?45;2wx?9k?:180853m90<;>5235g2>744349>>=4=229~w62b93:1?v3<4d39325<5:>n>7<=3:?0177=:;90q~=;e383>6}:;=o96:9<;<17a6<5::16?8<=:300?xu45<4s49?i948729>71c22;8870=:258166=z{:>n97>53z?00`3=?>901>:j7;017>;4=;<1>?=4}r17a3<72:q6?9k9:650?853n809>>523413>7443ty88h950;1x962b?3=:j9;297~;4u235gb>214349?io4=229>704f2;887p}<4d`94?5|5:>nn7983:?00`e=:;901>;=b;017>{t;=oh6=4<{<17af<0?:16?9kk:300?852:j09>>5rs26f`?6=;r788hj57618962bm389?63<53f967571cb2>=870=;eg8166=:;<8n6?<<;|q00``=839p1>:jf;547>;4?=4=271b?45;2wx?9h?:180853n90<;>5235d1>744349>?<4=229~w62a93:1?v3<4g39325<5:>m?7<=3:?0165=:;90q~=;f383>7}:;=l96:9<;<1677<5::1v>:i3;297~;4:52318yv53n=0;6>u235d7>214349?j84=229>70522;887p}<4g794?5|5:>m97983:?00c0=:;901>;<6;017>{t;=l=6=4<{<17b3<0?:16?9h8:300?852;>09>>5rs26e3?6=;r788k957618962a0389?63<52:967571`?2>=870=;f88166=:;<926?<<;|q00c?=839p1>:i9;547>;4?=4=272f?45;2wx?9hn:180853nh0<;>5235fa><3>349>=l4;619~w62aj3:1?v3<4g`9325<5:>oo77:9:?014d=6}:;=lh6:9<;<17`a<>=016?8?l:543?xu4=<6s|35df>5<4s49?jh48729>71ba20?270=:1d8725=z{:>mj7>53z?00c`=?>901>:j0;;6=>;4=8l18;>4}r1645<72:q6?8>?:650?853m80294523403>1073ty89=?50;1x963793=91v>;?3;297~;4=991;:=4=26f0??212789?=54728yv528=0;6>u23427>214349?i846589>70432=<;7p}<51794?5|5:?;97983:?00`0=1<301>;=5;654>{t;<:=6=4<{<1643<0?:16?9k8:87:?852:?0?:=5rs2733?6=;r789=957618962b033>563<5359036<54?:2y>706?2>=870=;e88:1<=:;<83698?;|q015?=839p1>;?9;547>;4n:1808528h0<;>5235ga><3>349>>l4;619~w637j3:1?v3<51`9325<5:>no77:9:?017d=6}:;<:h6:9<;<17aa<>=016?8=<6s|342f>5<4s49>71ca20?270=:2d8725=z{:?;j7>53z?015`=?>901>:i0;;6=>;4=;l18;>4}r1655<72:q6?8??:650?853n80294523413>1073ty89;>3;547>;45235d7><3>349>?94;619~w636=3:1?v3<5079325<5:>m977:9:?0163=6}:;<;=6:9<;<17b3<>=016?8=9:543?xu4=8=1<7=t=2723?10;2788k9594;89634?3>=<6s|343;>5<4s49>=548729>71`?20?270=:398725=z{:?:57>53z?014?=?>901>:i9;;6=>;4=:318;>4}r167d<72;q6?8=n:650?852<809>>5rs270f?6=:r789>l576189634k389?6s|341`>5<5s49>?n48729>705c2;887p}<52f94?4|5:?8h7983:?016c=:;90q~=:3d83>7}:;<9n6:9<;<167c<5::1v>;523bdg>6`b3ty899?50;0x963393=8?4?:3y>70252>=870=:428166=z{:???7>52z?0115=?>901>;;4;017>{t;<>?6=4={<1601<0?:16?8:::300?xu4==?1<7214349>8:4=229~w633?3:1>v3<5559325<5:??47<=3:p702?2909w0=:498436=:;<>26?<<;|q011?=838p1>;;9;547>;4=:h1>?=4}r160d<72;q6?8:n:650?852;h02945rs277f?6=:r7899l576189634j33>56s|346`>5<5s49>8n48729>705d20?27p}<55f94?4|5:??h7983:?016b=1<30q~=:4d83>7}:;<>n6:9<;<167`<>=01v>;;f;296~;4==l1;:=4=270b??212wx?8;?:181852=90<;>523463><3>3ty898?50;0x963293=9?4?:3y>70352>=870=:438:1<=z{:?>?7>52z?0105=?>901>;;3;;6=>{t;214349>8;46589~w632?3:1>v3<5459325<5:??;77:9:p703?2909w0=:598436=:;<>364;6;|q010?=838p1>;:9;547>;4==315874}r161d<72;q6?8;n:650?852><09>>5rs276f?6=:r7898l576189632k389?6s|347`>5<5s49>9n48729>703c2;887p}<54f94?4|5:?>h7983:?010c=:;90q~=:5d83>7}:;;:f;296~;4=90<;>523442>7443ty89;?50;0x963193=:?4?:3y>70052>=870=:628166=z{:?=?7>52z?0135=?>901>;94;017>{t;<52318yv52>?0;6?u23445>214349>::4=229~w631?3:1>v3<5759325<5:?=47<=3:p700?2909w0=:698436=:;<<26?<<;|q013?=838p1>;99;547>;4=?k1>?=4}r162d<72;q6?88n:650?852>k09>>5rs275f?6=:r789;l576189631k389?6s|344`>5<5s49>:n48729>700c2;887p}<57f94?4|5:?=h7983:?013c=:;90q~=:6d83>7}:;<;9f;296~;4=?l1;:=4=2745?45;2wx?89?:181852?90<;>523450>7443ty89:?50;0x963093=;?4?:3y>70152>=870=k0080bc=z{:?52z?0125=?>901>;84;017>{t;<=?6=4={<1631<0?:16?89::300?xu4=>?1<7214349>;:4=229~w630?3:1>v3<5659325<5:?<47<=3:p701?2909w0=:798436=:;<=26?<<;|q012?=838p1>;89;547>;4=

    ?=4}r163d<72;q6?8;n:87:?852?h0<;>5rs274f?6=:r7898l594;89630j3=5<5s49>9n46589>701d2>=87p}<56f94?4|5:?>h77:9:?012b=?>90q~=:7d83>7}:;;8f;296~;4=902945234:3>2143ty895?50;0x9631933>563<59393254?4?:3y>700520?270=:838436=z{:?3?7>52z?0135=1<301>;73;547>{t;<2?6=4={<1621<>=016?86;:650?xu4=1?1<7<3>349>4;48729~w63??3:1>v3<5759=0?<5:?3;7983:p70>?2909w0=:698:1<=:;<236:9<;|q01=?=838p1>;99;;6=>;4=131;:=4}r165rs27;f?6=:r789;l594;8963?j3=5<5s49>:n46589>70>d2>=87p}<59f94?4|5:?=h77:9:?01=b=?>90q~=:8d83>7}:;<;7f;296~;4=?l15874=27;b?10;2wx?87?:181852?902945234;3>2143ty894?50;0x9630933>563<58393255?4?:3y>701520?270=:938436=z{:?2?7>52z?0125=1<301>;63;547>{t;<3?6=4={<1631<>=016?87;:650?xu4=0?1<7<3>349>5;48729~w63>?3:1>v3<5659=0?<5:?2;7983:p70??2909w0=:798:1<=:;<336:9<;|q01;89;;6=>;4=031;:=4}r16=d<72;q6?87n:650?852i<09>>5rs27:f?6=:r7894l57618963>k389?6s|34;`>5<5s49>5n48729>70?c2;887p}<58f94?4|5:?2h7983:?017}:;<3n6:9<;<16=c<5::1v>;6f;296~;4=0l1;:=4=27b4?45;2wx?8o?:181852i90<;>5234c2>7443ty89l?50;0x963f93=m?4?:3y>70g52>=870=:a28166=z{:?j?7>52z?01d5=?>901>;n4;017>{t;52318yv52i?0;6?u234c5>214349>m:4=229~w63f?3:1>v3<5`59325<5:?j47<=3:p70g?2909w0=:a98436=:;;n9;547>;4=hk1>?=4}r16ed<72;q6?8on:650?852ik09>>5rs27bf?6=:r789ll57618963fk389?6s|34c`>5<5s49>mn48729>70gc2;887p}<5`f94?4|5:?jh7983:?01dc=:;90q~=:ad83>7}:;;nf;296~;4=hl1;:=4=27a5?45;2wx?8l?:181852j90<;>5234`0>7443ty89o?50;0x963e93=n?4?:3y>70d52>=870=lfd8745=z{:?i?7>52z?01g5=?>901>;m4;017>{t;214349>n:4=229~w63e?3:1>v3<5c59325<5:?i47<=3:p70d?2909w0=:b98436=:;;m9;547>;4=0h1>?=4}r16fd<72;q6?87n:87:?852jh0<;>5rs27af?6=:r7894l594;8963ej3=5<5s49>5n46589>70dd2>=87p}<5cf94?4|5:?2h77:9:?01gb=?>90q~=:bd83>7}:;<3n64;6;<16f`<0?:1v>;mf;296~;4=0l15874=27ab?10;2wx?8m?:181852i902945234a3>2143ty89n?50;0x963f933>563<5b39325o?4?:3y>70g520?270=:c38436=z{:?h?7>52z?01d5=1<301>;l3;547>{t;=016?8m;:650?xu4=j?1<7<3>349>o;48729~w63d?3:1>v3<5`59=0?<5:?h;7983:p70e?2909w0=:a98:1<=:;;n9;;6=>;4=j31;:=4}r16gd<72;q6?8on:87:?852kh0<;>5rs27`f?6=:r789ll594;8963dj3=5<5s49>mn46589>70ed2>=87p}<5bf94?4|5:?jh77:9:?01fb=?>90q~=:cd83>7}:;;lf;296~;4=hl15874=27`b?10;2wx?8j?:181852j902945234f3>2143ty89i?50;0x963e933>563<5e39325h?4?:3y>70d520?270=:d38436=z{:?o?7>52z?01g5=1<301>;k3;547>{t;=016?8j;:650?xu4=m?1<7<3>349>h;48729~w63c?3:1>v3<5c59=0?<5:?o;7983:p70b?2909w0=:b98:1<=:;;m9;;6=>;4=m31;:=4}r16`d<72=q6?8jm:650?850im0?<=523674>6`b349=;<4901>99d;1eb>;4?h?1?kk4=2441?5am2wx?8jk:180852lm0<;>5234fe>744349>j:4=229~w63cm3:1?v3<5eg9325<5:?oh77:9:?01c0=6}:;5<4s49>i<48729>70c52;8870=:f`8166=z{:?n>7>53z?01`4=?>901>;j3;017>;4=oh1>?=4}r16a6<72:q6?8k<:650?852m=09>>5234d`>7443ty89h:50;1x963b<3=;kf;;6=>;4=o=18;>4=27f3?10;2wx?8k7:180852m902945234d;>107349>i448729~w63bi3:1?v3<5d39=0?<5:?m57:90:?01`d=?>90q~=:eb83>6}:;916?8kk:650?xu4=lo1<7=t=27f7??212789kl54728963bn3=5<4s49>i946589>70`d2=<;70=:f08436=z{:?m>7>53z?01`3=1<301>;id;654>;4=o91;:=4}r16b1<72;q6?8hj:543?852n<0<;>5rs27eb?6=9kq6?4=l:522?852no0<;>5237d7>6`a349<>54;019>72412=:;70=8258745=:;>8969>?;<1465<38916?:?j:523?8509j0?<=5238:4>167349<=l4;019>72722:lm70=80e80bc=:;>:>6>hi;<15ba<4no168>:m:2df?850:h0?<<5237d5>6`b349=:l4730e2:lm70=68580b`=:;>hi6>hi;<146c<38916?:6`b3ty8:==50;gx96d2n3>;<63<95a97cc<5:33=7=ie:?0=2?=;oo01>796;1ea>;41?>1?kk4=2`57?279278n;>5413896d2l3=773;1eb>;411>18=?4}r1541<72>q6?;>;:82g?85?l>098i52374b>6`b349=:o472de2=:;70=9f38745=:;?om6>hi;|q0253=839p1>8?5;;3`>;40m21>9j4=244b?5an2wx?;>9:1808518?0272c349=:h46}:;?:364>k;<1;`d<5931<7=t=243=??7l2784il525f89600>39mi6s|372b>5<3s49=7=bd2;>o70=63680b`=:;?=26>hj;|q025d=83>p1>8?b;;3`>;40mn1>9j4=2;0=?279278:5>53gg8yv518j0;69u2372`><6c3493hh4=4e9>7<5>2=:;70=98580b`=z{:<;h7>53z?025b=19n01>6kf;07`>;4>1=1?kk4}r154`<72=q6?;>j:82g?85?m9098i5237::>6`a3492?54;019~w607n3:18v3<61d9=5b<5:2n=7<;d:?02=g=;oo01>7<8;1eb>{t;?;;6=4l{<1555<>8m16?5k=:36g?824jj08jk5242`f>6`a34>8o=406e52:lm70:hi;<60g=<4no168>mn:2de?824jh08jk5237c2>6`a3ty8:1}:;?;964>k;<1;a1<5b=38?h63<68c97cc737320:o70=7e7810a=:;09<69>>;<15=`<4nl1v>8>5;297~;4>8?15=j4=2:f3?43l278:l<53gg8yv519?0;6>u23735><6c3493i54=4e9>73g>2:ln7p}<60594?2|5:<:;77?d:?0<`?=:=n01>7<9;1eb>;4>hh1?kh4}r155=<72:q6?;?7:82g?85?mh098i5237cg>6`b3ty8:<750;ax9606133;h63<8d`961b<5=9io7:?0:?77gc=<9:019=l0;634>;3;j818=>4=51`0?27827??n854128915d03>;<63;3bc9056<5=9im7:?0:?02g7=;oo0q~=91`83>1}:;?;j64>k;<146f<4no16?9?6:2dg?851jh0?<=5rs242f?6=996>hi;<1744<4nl168>?i:0:1?824:90:4?52423g>4>534>8=h4>839>067>282970:<1`82<7=:<:;i6<6=;<605f<60;16?;m?:523?xu4>8n1<7mt=242`??7l278;>:53gd89627;39mi63;30d95=5<5=99<7?73:?774b=919019=>e;3;7>;3;831=5=4=512e?7?;27??737b20:o70=83780bc=:;=:>6>hj;<605c<6?=168>41334>8=44>759>067f28=?70:<1c8231=:<:;h6<9;;<15gf<3891v>8>f;29g~;4>8l15=j4=250<019=>9;342>;3;8k1=:84=512f?70>27??;<6s|3703>5=460e9>725d2:lm70=;0880b`=:<:;m6<9=;<6065<6?;168>?k:051?8249l0:;?52423:>41534>8=l4>739>067e28=970:<1b8237=:;?nn69>?;|q0277=83ip1>8=1;;3`>;4?:o1?kh4=263f?5am27??k019=>a;34e>;3;8h1=:o4=512g?70i278:h:54128yv51:;0;6nu23701><6c349<8=473cf2=:;70=;0e80b`=:<:;m6<9m;<6065<6?k168>?k:05a?8249l0:;o52423:>41e34>8=l4>7c9>067e28=i70:<1b823g=z{:<9?7>5cz?0275=19n01>9;2;1eb>;4<9l1?kk4=24e1?5an27??i019=>a;34g>;3;8h1=:m4=512g?70k2wx?;<;:18`851:=026`a349?=<4067a28=o70:<21823a=:<:;o6<9k;<605`<6?m168>?6:05g?8249h0:;i52423a>41c34>8=n4>7e9>73`b2:lm7p}<63794?e|5:<9977?d:?0310=;ol01>:>3;1ea>;3;8l1=:k4=5114?70m27??o019=>c;34a>;4?9<1?kh4}r1563<72jq6?;<9:82g?850<108jk523536>6`b34>8=k4>7g9>064728=m70:<1e823c=:<:;n6<9i;<605<<6?o168>?n:05e?8249k0:;k52423`>41a349<f;3;4>;3;;:1=5>4=512`?7?827??9>6;1eb>{t;?836=48{<156=<>8m16?476:2de?850i908jk52375f>6`a349<944727f2:lm70=81880b`=z{:<957>53z?027?=19n01>6ib;07`>;4?8i1?kk4}r156d<72:q6?;6`b3ty8:?l50;1x9605j33;h63<8gf961b<5:=9<7=ie:p734d2908w0=92b8:4a=:;1ln6?:k;<1467<4nl1v>8=d;297~;4>;n15=j4=2:eb?43l278;?:53gg8yv51:l0;6>u2370f><6c3492<=4=4e9>72412:ln7p}<63d94?5|5:<9j77?d:?0=57=:=n01>9=8;1ea>{t;?9;6=4={<1575<>8m16?4>=:36g?xu4>:;1<7<6c3492<94=4e9~w604;3:1>v3<6219=5b<5:3;97<;d:p73532909w0=9358:4a=:;0:=6?:k;|q0263=838p1>8<5;;3`>;419=1>9j4}r1573<72;q6?;=9:82g?85>81098i5rs2403?6=:r78:>9591f896?7138?h6s|371;>5<5s49=?5460e9>7<6f2;>o7p}<62;94?4|5:<8577?d:?0=5d=:=n0q~=93`83>7}:;?9j64>k;<1:4f<58:h15=j4=2;3`?43l2wx?;=l:181851;j0272c3ty8:>j50;0x9604l33;h63<91d961b735b20:o70=931810a=:;1oh6?:k;<146f<38916?n=7:87:?85d<80294523b1f><3>349h?o46589>7f2c20?270=l4`8:1<=:;j><64;6;<1`01<>=01v>8k;<1574<56`b349h?l47f252:ln70=l4280b`=:;j9m6>hj;<1`05<4nl16?n=l:2df?85d;m08jh523b6f>6`b349h8k47f2e2:ln70=l4b80b`=:;j>36>hj;<1`0<<4nl16?n:::2df?85d591f89604:38?h63<8dg961b<5:=8>7:?0:p7326290?w0=9408:4a=:;?986?:k;<1;ac<5=81<7:t=2476??7l278:>:525f896>a838?h63<72490564?:5y>732420:o70=934810a=:;1l:6?:k;<147=<3891v>8;4;290~;4>=>15=j4=2402?43l2784k<525f89614k3>;<6s|3766>5<3s49=88460e9>73502;>o70=7f2810a=:;>9n69>?;|q0210=83>p1>8;6;;3`>;4>:21>9j4=2:e0?43l278;9>54128yv51<>0;69u23764><6c349=?44=4e9>7=`22;>o70=8438745=z{:54z?021>=19n01>8;40o<1>9j4=2570?2782wx?;:6:187851<00272c3493j:4=4e9>72212=:;7p}<65c94?2|5:6i8;07`>;4?=218=>4}r150g<72=q6?;:m:82g?851;m098i5239d:>72c349<8l4;019~w603k3:1?v3<65a9=5b<5:>=h7=if:?031e=;oo0q~=94e83>6}:;?>o64>k;<172`<4no16?::j:2df?xu4>=o1<7=t=247a??7l2788;h53gd89612839mi6s|376e>5<4s49=8k460e9>71172:lm70=85380b`=z{:<><7>53z?0206=19n01>:81;1eb>;4?<>1?kh4}r1514<7289p1>8:1;;3`>;41;<63<97097c`<5:3<<7=ie:?0=2>=<9:01>9:d;1eb>;41<=1?kk4=2;739mj63<95d9057<5:3><7=if:?0=3d=;ol01>79c;1ea>;41>o18=?4=2;4b?2782wx?;;=:1821~;4><815=j4=2;61?27827858753gd896?193>;=63<97197cc<5:3<47=ie:?0=2e=;oo01>9:f;1ea>;41<91?kk4=2;63?27827859753gd896?3i39mi63<97:9056<5:3<:7:?0:?0=1`=<9:01>7:0;634>;41?h18=?4=2;4a?5an2785:h5413896?0:39mj63<94f97c`4?:02x9602;33;h63<94797cc<5:3>m7=ie:?0=36=;oo01>791;1eb>;41?l1?kk4=2;4g?27827859l53gg89611i39mi63<95;9056<5:3=;77:9:?0=23=1<301>7;f;1eb>;41<:18=?4=2;4a?5am2785:h53gd8yv51==0;6?7t=2460??7l278:48541289611n39mj63<74697cc<5:=>>7=if:?0306=;ol01>9;e;1eb>;4?=i1?kh4=24;3?5an278;8j53gg8961>m39mi63<66d97cc<5:<<<7=if:?034?=;ol01>8j8;1ea>;4>l81?kk4=24gg?5am278:i853gg8960c839mi63<6bc97cc<5:8m6;1ea>;3;=h1?kh4=251e?5an278;5653gg8961f039mj63<6g497c`<5:=im7=if:?03gd=<9;01>9=f;1eb>;4?;n18=?4=24e6?5am278:hh54138961>?39mi63<6c:97c`<5:=886;1eb>;4>>81?kh4=25:7?5an2wx?;;::181851==098i5237d5>2143ty8:8850;1x9602>33;h63<931961b<5:==j7=ie:p73302908w0=9568:4a=:;08?6?:k;<14=6<4nl1v>8:8;297~;4><215=j4=2;11?43l278;:>53gd8yv51=00;6>u2377:><6c3492>;4=4e9>721f2:ln7p}<64c94?5|5:<>m77?d:?0=71=:=n01>98d;1eb>{t;??i6=4<{<151g<>8m16?4<7:36g?850?o08jh5rs246g?6=;r78:8m591f896?5138?h63<79197c`733c20:o70=62`810a=:;>2=6>hi;|q020c=839p1>8:e;;3`>;41;h1>9j4=25;72c349<4h46}:;?<:64>k;<1:6`<5?81<7=t=2456??7l2785?h525f8961f039mi6s|3740>5<4s49=:>460e9>7<572;>o70=8ae80bc=z{:<=87>53z?0232=19n01>7<1;07`>;4?ho1?kk4}r1520<72:q6?;8::82g?85>;;098i5236`3>6`b3ty8:;850;1x9601>33;h63<921961b<5:=i>7=ie:p73002908w0=9668:4a=:;09?6?:k;<14f=<4nl1v>898;292~;4>?215=j4=2;01?43l2785>j53gg896??;39mi63<9969056<5:=im7=ie:p730>2909w0=905810a=:;?89a;547>;4>?i1?kh4}r152g<72;q6?;8m:650?851>j08jh5rs245`?6=:r78:=8525f89601m3=5<5s49=<:4=4e9>73172>=87p}<66394?4|5:<;47<;d:?0227=?>90q~=97483>7}:;?:26?:k;<1530<0?:1v>889;296~;4>9k1>9j4=244=?10;2wx?;9k:1818518<098i52375e>2143ty8:5>50;0x9607j38?h63<6929325736d2;>o70=9858436=z{:<3:7>52z?025b=:=n01>877;547>{t;?236=4={<154`<51k1<772c349=4h48729~w60>:3:1>v3<600961b<5:<257983:p73?42909w0=99880bc=:;?3>6:9<;|q02<2=838p1>864;547>;4>0?1?kh4}r15=d<72;q6?;?<:36g?8511h0<;>5rs24:a?6=:r78:<:525f8960>m3=5<5s49===4=4e9>73g62>=87p}<6`094?4|5:<:97<;d:?02d4=?>90q~=9a983>7}:;?;=6?:k;<15e<<0?:1v>8na;296~;4>8=1>9j4=24bf?10;2wx?;ol:18185191098i5237cg>2143ty8:o>50;0x9606138?h63<6c29325737f2;>o70=9b68436=z{:52z?02g1=<9;01>8m6;547>{t;?hj6=4={<15f2<4nl16?;lm:650?xu4>kn1<7166349=nh48729~w60d83:1>v3<6cd97cc<5:6:9<;|q02f2=838p1>8l5;635>;4>j>1;:=4}r15g3<72;q6?;m::2df?851k>0<;>5rs24`=?6=:r78:5<5s49=oo4;009>73ef2>=87p}<6ba94?4|5:90q~=9cg83>7}:;?;m6?:k;<15`4<0?:1v>8k0;296~;4>m;18=?4=24g4?10;2wx?;j=:181851l808jh5237f0>2143ty8:i;50;0x9606j38?h63<6e5932573b02=::70=9d78436=z{:52z?02a1=;oo01>8k9;547>{t;?ni6=4={<1565<5mi1<76`b349=hk48729~w60b93:1>v3<633961b<5:8j3;1ea>;4>l?1;:=4}r15a2<72;q6?;<=:36g?851m00<;>5rs24f5<5s49=il48729>73c>2:ln7p}<6d`94?4|5:<9?7<;d:?02c2=?>90q~=9eb83>7}:;?oh6:9<;<15aa<4nl1v>8jd;296~;4>o;18=?4=24f`?10;2wx?;h>:181851n80<;>5237d7>1673ty8:k950;0x9605<38?h63<6gf932573`d2=::70=9f98436=z{:52z?02ce=?>901>8id;634>{t;>::6=4={<1560<5::650?xu4?981<7214349<<84;019~w61713:1>v3<634961b<5:=;h7983:p726f2909w0=80b8744=:;>:j6:9<;|q035e=838p1>9?c;547>;4?9n18=>4}r1454<72;q6?;<8:36g?8509<0<;>5rs2526?6=:r78;<:541389616:3=5<5s49<=948729>72722=:;7p}<70;94?4|5:<947<;d:?034?=?>90q~=81c83>7}:;?826?:k;<145f<0?:1v>9>d;296~;4>;k1>9j4=252a?10;2wx?:?i:181851:k098i523603>2143ty8;??50;0x9605k38?h63<7309325>4?:3y>734c2;>o70=8258436=z{:=997>52z?027c=:=n01>9=6;547>{t;>8<6=4={<156c<5?520a89604>38:o63<625964e<5:<847<>c:?026?=:8i01>8;4>:h1>j520a89604:38:o63<621964e<5:<887<>c:?0263=:8i01>9=a;547>{t;>8i6=4={<157`<5<57618yv50;:0;6?u23762>72c349v3<650961b<5:=8:7983:p72502909w0=942810a=:;>936:9<;|q036?=838p1>8;4?:k1;:=4}r147g<72;q6?;:;:36g?850;j0<;>5rs250`?6=:r78:9;525f89614m3=5<5s49=8;4=4e9>72272>=87p}<75394?4|5:90q~=84283>7}:;?>36?:k;<1401<0?:1v>9;5;296~;4>=31>9j4=2572?10;2wx?::8:1818512143ty8;9750;0x9603j38?h63<75c9325732d2;>o70=84b8436=z{:=?h7>52z?021b=:=n01>9;e;547>{t;>>m6=4={<150`<572c349<9948729~w612=3:1>v3<643961b<5:=>h7983:p72312909w0=8598436=:;>?j69>?;|q0301=838p1>9:7;547>;4?<21?kk4}r141<<72;q6?:;6:650?850=h08jk5rs256e?6=:r78;8j541389612j3=5<5s49=9?4=4e9>723a2>=87p}<77194?4|5:==47=if:?0330=?>90q~=86583>7}:;>995;296~;4???1;:=4=2552?5am2wx?:88:181850>>0<;>52364;>1663ty8;;650;0x961103=73342;>o70=86`8436=z{:==i7>52z?0200=:=n01>99f;547>{t;>=;6=4={<151=<5k1<772c349<;i48729~w610m3:1>v3<64`961b<5:=52909w0=95b810a=:;>286:9<;|q03=3=838p1>8:d;07`>;4?1<1;:=4}r14<2<72;q6?;;j:36g?850010<;>5rs25;f?6=:r78;5o54138961?k3=5<5s49=9k4=4e9>72>b2>=87p}<78094?4|5:<>;7<;d:?0390q~=89283>7}:;>3h69>>;<14=1<0?:1v>966;296~;4?0i1?kk4=25:2?10;2wx?:76:1818501j0?<=5236;:>2143ty8;4j50;0x9601838?h63<78g932573062;>o70=8a18436=z{:=j=7>52z?0234=:=n01>9n8;547>{t;>k?6=4={<14e1<0?:16?:o6:2df?xu4?h=1<772c349v3<676961b<5:=ji7983:p72d72909w0=964810a=:;>h;6:9<;|q03g4=838p1>896;07`>;4?k81;:=4}r14f1<72;q6?;88:36g?850j10<;>5rs25a1?6=:r78;o653gd8961e?3=5<5s4972d02:ln7p}<7c;94?4|5:<=47<;d:?03ge=?>90q~=8b`83>7}:;>hj6:9<;<14ff<4no1v>9mb;296~;4?kh1;:=4=25ag?5am2wx?:lk:187850jm026`a3492544;019>723>2:lm7p}<7cg94?d|5=8n57<;d:?03gc=19n01>m:1;635>;4kl=18=?4=2ab5?279278o::5413896e2;39mi63l6a;1ea>{t;>hm6=4m{<61ad<5166349hm;4;009>7f1>2=::70=l8`80b`=:;j2i69>?;<605<<50116?59m:522?85ek?08jh5rs25`4?6=?r7?>hl525f8961d833;h6357:?0:?774d=:0901>685;1ea>;4j0o1?kk4}r14g4<72>q68?kl:36g?850k8026`b349h9h4;019>067e2;3370=7778745=:;kk96>hj;|q03f4=83=p19;4?j815=j4=2:42?5an278o;<53gg896e1;3>;<63;30a962b<5:hj:7=ie:p72e4290i864>k;<1`22<4nl16?n87:523?8249j0945523955>6`b349iml4m9d;634>;3;8i1>4=4=2:43?278278nlk53gg8yv50k<0;6:u243d3>72c3497=102:lm70=l7080b`=:;j=969>?;<605f<51116?ol=:2df?xu4?j<1<79t=50e5?43l278;n8591f896e0>39mi63lm6;1ea>{t;>i<6=48{<61b7<516734>8=i4=899>7=1?2=:;70=mb`80b`=z{:=h47>57z?76c5=:=n01>9l8;;3`>;40>21?kh4=2a;4?5am278o5?541289156l382?6307`32;>o70=8c88:4a=:;j2>6>hj;<1`<3<389168>?k:3;;?85??108jh523ca1>6`b3ty8;no50;`x914a=38?h63<7bc9=5b<5:iij7:?1:?0gdd=<9;01>m8e;635>;4k<<18=?4=2a`5?5am278on<5412891561382?63<86c9057<5:hm>7=ie:p72ee290ii64>k;<1`167349iol4m64;1ea>;4k0?18=>4=512a?4?0278nnk53gg8yv50km0;6:u243d;>72c3497f?>2:ln70=l9`8745=:<:;n6?7<;<1;3<<4nl16?oj=:2df?xu4?jo1<79t=50e=?43l278;nk591f896e>m39mi63lk6;1ea>{t;>im6=48{<61bd<56`b349hm94;019>067a2;=o70=md`80b`=z{:=o<7>57z?76cd=:=n01>9k0;;3`>;4kh21?kk4=2ab=?27827??0i39mi6307`d2;>o70=8d08:4a=:;jko6>hj;<1`e`<389168>?i:3;0?85??k0?<=523cg1>6`b3ty8;i<50;5x914al38?h63<7e09=5b<5:2mm3;634>;3;8l1>464=2`f2?5am2wx?:j<:184825nl098i5236f0><6c349hn:47fd?2=:;70:<21813a=:;1=i6>hj;<1aad<4nl1v>9k4;293~;3:ol1>9j4=25g0??7l278oom53gg896eel3>;<63;33296=><5:2g}:<::;6?:k;<14`0<>8m16?nmj:522?85dj90?<<523b:0>166349h9o4;009>7fb72:ln70=ld08745=:<:;26?77;<1;3<<38816?ohk:2df?xu4?m<1<79t=5135?43l278;i8591f896>0k39mj63li6;1ea>{t;>n<6=48{<6047<56`b349hon4;019>06472;3370=77b80b`=z{:=o47>5bz?7755=:=n01>9k8;;3`>;4km918=?4=2aa1?279278o5j5413896e183>;=63n01>688;635>;4k9;1?kk4}r14`<<72kq68>>;:36g?850l002166349hnl4;009>7f?52=::70=l648744=:;jnj6>hj;<1``g<389168>?n:3:;?85??>0?<<523b26>6`b3ty8;io50;`x9157=38?h63<7ec9=5b<5:ioh7:?1:?0gf2=<9;01>m67;635>;4k?k18=?4=2agb?5am278oh>541289156i382?63<8649057<5:i;57=ie:p72be290iw0:<07810a=:;>ni64>k;<1`a7<38816?nm6:522?85d1j0?<<523b4e>166349hi947fc22=:;70:<1`81===:;1=>69>>;<1`4a<4nl1v>9kc;293~;3;9=1>9j4=25gg??7l278oh753gg896ebi3>;<63;30`962b<5:2<97:?0:?0g47=;oo0q~=8de83>2}:<::36?:k;<14`a<>8m16?59::2de?85dml08jh523bge>16734>8=o4=899>7f722:ln7p}<7eg94?e|5:=oi77?d:?03`1=<9;01>6:c;635>;4j031?kh4=2:6=?5am278n8853gd896dai39mi63l60;1eb>;4j<;18=?4}r14`c<72;;p1>9kf;;3`>;4?l;18=?4=2f2e?2782784=j53gg896ge;39mj639jf;1ea>;4nl>1?kk4=2deb?5am278h?953gg896b3:39mi63jn5;1ea>;4lkk1?kk4=2f`b?5am278hh:53gg896ba139mi63k9f;1ea>;4m1>1?kk4=2g:=?5am278ilk53gg896cc>39mi63l:0;635>{t;>o;6=4={<14`c<5:650?xu4?l81<7:t=25f6??7l27?<;=53gg8961a939mi63<7g097cc4?:3y>72c52;>o70=8e28436=z{:=n97>54z?03`3=19n019>94;634>;4j<218=?4=2:57?5an2wx?:k9:181850m<098i5236g4>2143ty8;h650;06850m1026`a349o=l47c`32:lm70:?1080bc=:;m9<6>hi;<1g0c<4no16?i8m:2de?85c0<08jk523e;`>6`a349on<47ae12:lm70=kdc80bc=:;ml;6>hi;<1f40<4no16?h=l:2de?85b=808jk523d45>6`a349n;o47`?72:lm70=ja480bc=:;lhj6>hi;<1fgd<4no16?hk=:2de?85bn>08jk523g0;>6`a349m?i47`7c2:lm70=i0e80bc=:;o?>6>hi;<1e34<4no16?k6k:2de?85c8108jk523gfb>6`b34972`52:lm70=ib480b`=z{:=n57>52z?03`>=:=n01>9ja;547>{t;>oi6=481z?03`d=19n01>6:b;1ea>;404=2:6=?279278;k:53gg896d?n39mj63l:7;1ea>;4joh1?kh4=2f2e?5an278i?<53gg896`e139mi63j<8;1ea>;4l<:1?kk4=2f5g?5am278h5853gg896b>l39mi63ji1;1ea>;4m9<1?kk4=2g0`?5am278i8<53gg896c1?39mi63kmb;1ea>;4ml91?kk4=2gei7=ie:?740e=;ol01>6?9;1ea>;4j0218=>4=2:34?5an278;ho53gg896b4;39mi63;01f97cc<5:lm<7=ie:?0ba4=;oo01>hnf;1ea>;409<1?kk4=24f`?278278;h=5412896d2l39mj63<92f9056<5:38n7=if:?0f2d=;oo01>l70;1ea>;4j<>1?kk4=2g42?5am278i5l53gg896cf839mi63ki2;1ea>;4n;91?kk4=2d0563ml0;;6=>;4k1315874=2a66??21278ohj594;896eb033>563mm6;;6=>;4kk;15874=2abg??21278ol9594;896ef:33>563m7e;;6=>;4k1>15874=2a4b??21278o:o594;896e0=33>563m91;;6=>;4k>39mi63<7g09056<5:lim7=if:?0f<6=<9:01>h>4;1ea>;4n7}:;>oi6?:k;<14aa<0?:1v>9jf;296~;4?ln18=?4=25fb?10;2wx?:h?:181850n80<;>5236d0>6`a3ty8;k?50;0x961a:3=72`32>=870=8f680b`=z{:=m97>52z?03c3=?>901>9i7;1eb>{t;>l=6=4={<14b3<0?:16?:h8:523?xu4?o=1<7mle;1ea>;4kkl1?kk4=2a;mma;1ea>;4kk?1?kk4=2aa4?5am278oll53gg896ef>39mi63m62;1ea>;4k1n1?kk4=2a;7?5am278o:k53gg896e0139mi63m95;1ea>;4k?:1?kk4=2a6f?5am278o8853gg896c6m3>;<63mj4;1eb>;4kml1?kh4=2age?5an278oi;53gd896ec839mj63mje;1eb>;4kl31?kh4=2a`f?5an278on853gd896eek39mj637=if:?0gdb=;ol01>mn8;1eb>;4kh91?kh4=2a:a?5an278o4753gd896e><39mj63m8b;1eb>;4k><1?kh4=2a45?5an278o;m53gd896e1?39mj63h7=if:?0g0>=;ol01>693;635>;4n9o18=>4=2d62?278278j:<5412896`?m3>;<63hj5;1eb>;389:1?kh4=2f1jk3;1eb>;4ll21?kh4=2fef?5an278i>:53gd896c3139mj63=;ol01>k6d;1eb>;4mk:1?kh4=2gge?5an278ihh53gd896`5839mj6372`?2;>o70=8f`8436=z{:=mn7>59z?03cd=19n019>92;634>;4n0i18=>4=2f2a?278278h;<63<7g09057<5:lj97=ie:?0bd7=<9:0q~=8fb83>7}:;>li6?:k;<14ba<0?:1v>9ie;29g~;4?oo15=j4=5250?279278n8653gg8963an3>;=63<6g397c`<5:=:87=if:?035e=;ol01>9?4;1eb>;4>oi1?kh4=2:55?5am2784i;53gg8960e039mi6s|36de>5<5s497=672>=87p}<81394?56s493<<460e9>72`c2:lm70=8f`80bc=:;1?269>?;<1efc<389168=8=:2de?827>?0?<<523g`;>16734>;::472cb2:lm70=j208745=:;o;869>?;<1e1g<38916?k98:523?85a1:0?<=523gg0>167349mjh4;019>7a412=:;70=k408745=:;m?269>?;<1g30<38916?i6i:523?85ci=0?<=523e`:>167349ooh4;019>7ac42=:;70=kf98745=:;l8m69>?;<1f01<38916?h;6:523?85b>l0?<=523d:0>167349n554;019>7`gc2=:;70=jd48745=:;loj69>?;<1e5g<38916?k=?:523?85c900?<=523d3`>167349m7c332=:;70=i718745=:;o2h69>?;<1g42<38916?hm9:2df?85ak808jh523e3a><3>3493:547:3:1>v3<813961b<5:2;?7983:p7=632909;v3<8169=5b<5:=mh7:?1:?03cg=<9;019>93;634>;4?lo1?kk4=2de0?27827?<;<63j6c;634>;4lk;18=>4=2f`2?278278hil5412896ba83>;<63k96;634>;4m>h18=>4=2g:4?278278il;5412896cei3>;<637:?0:?0ac1=<9:01>h=8;634>;4n:n18=>4=2g2`?278278j=j5412896`2=3>;<63=<9:01>hka;634>;4l8i18=>4=5252?278278jo;54128yv5?8<0;6?u23927>72c3493<;48729~w6>7?3:14v3<8159=5b<5:2;47=if:?0<0?=;ol019>94;1ea>;4j<21?kh4=5253?2782784;=5412896d>839mi6s|392;>5<5s493<:4=4e9>7=6?2>=87p}<81c94?22s4937=6d2=::70=k1`8744=:;k:;6>hj;<1bb`<4nl16?lh7:2df?85fn?08jh523`d7>6`b349jj?47d`72:ln70=ned80b`=:;hoh6>hj;<1bad<4nl16?lk7:2df?85fm=08jh523`fe>6`b349jhn47db>2:ln70=nb48745=:;k:n6>hj;<1a4f<4nl16?o>n:2df?85e8108jh523c25>6`b349ji?47db22:ln70=nd280b`=:;hn:6>hj;<1bgf<4nl16?lm9:2df?85fk808jh523``b>6`b34937dd42=:;70=m058744=:;lii69>?;<1bf5<38916?lok:523?85e8;08jh523`db>6`b3497cc32=:;70=ifg8745=:;m8<69>?;<1g07<38916?i;n:523?85c??0?<=523e;3>167349om84;019>7adf2=:;70=kcg8745=:;mo?69>?;<1gb<<38916?h=?:523?85b<<0?<=523d7b>167349n:k4;019>7`>32=:;70=j988745=:;lkn69>?;<1f`3<38916?hkm:523?85a9j0?<=523g12>167349i9=47dc22=:;70=nc680b`=:;hhi69>?;<1bbg<4nl1v>6?b;296~;409k1>9j4=2:3g?10;2wx?5>j:1821~;409o15=j4=2:1a?43l278?h=53gg8965c>38>m63<46a960g<5:??m7=if:?010g=:;6a;06e>;43>;=63;ma;1ea>;4<0h1?kk4=24a2?5an278j9?5413896d>i3>;<637n33;h63<83d961b<5:9n47=ie:?07ag=::77;06e>;4==k18=?4=2751?42i2789l;524c89637=39mi63<4d4960g<5:i347:?0:?0ac`=;ol01>hi9;635>;4n;i1?kk4=27;1?5am2789n;53gg8962f>39mi63k=3;1eb>{t;1;;6=4>8z?0<46=19n01>6<0;07`>;4;l>1?kk4=21g3?42i2788:j524c89632=39mj63<54`960g<5:?2n7<:a:?00cd=;oo01>:kc;06e>;4k<<18=>4=2d31?278278h>753gd896b4j3>;<637;c;635>;4<0i1?kk4=274f?5am2789ol53gg8960em39mj63m7=ie:p7=76290::v3<8039=5b<5:28=7<;d:?07`3=;oo01>=k8;06e>;4<>o1>8o4=2761?27927898m524c8963>k38>m63<4ga97cc<5:>oh7<:a:?0g0d=<9:01>h;d;634>;4l<;1?kh4=2f47?5an278hk=5413896c3839mi63<48f97cc<5:?8l4;1eb>;4jh818=>4=2f67?2782wx?5?=:1821~;408815=j4=2:06?43l278?h853gg8965c138>m63<46d960g<5:?>:7=if:?010b=:;6d;06e>;45412896`113>;<63:6e;1ea>;4=>n1?kk4=27a`?5am278:no53gd896df>3>;<634?:07x96>6;33;h63<821961b<5:9n;7=ie:?00=6=:;:6;635>;4=8o4=27:a?42i2788kk53gg8962cn38>m63j79;634>;4l0l1?kh4=2fe0?5am278i>h54138962>n39mi63<56g97cc<5:?ii7=ie:?02a6=;ol01>lna;634>{t;1;?6=4>3z?0<42=19n01>6<4;07`>;4<1;1>8o4=2763?5an27898h524c8963>n38>m63<4gd97cc<5:>n<7<:a:?0g3g=<9:01>h6b;1eb>;4l0o1?kh4=2fb4?278278ho:53gd896bcn39mi63j<7=ie:?012`=;oo01>;mf;1ea>;4jho18=>4}r1;50<7288p1>6>5;;3`>;40:?1>9j4=26;6?42i278989541389631838>m63<5`2960g<5:?;<7=ie:?00`7=:m9f;634>;4nj?1?kh4=2fa7?5an278ho;5412896bdi39mi63j=7=ie:?01=6=;oo01>;l0;1ea>;4jk818=>4}r1;53<7289p1>6>6;;3`>;40:<1>9j4=26;7?42i27898653gd89631938>m63<5`3960g<5:?;=7=ie:?00`4=:m84;634>;4lk?1?kk4=2f`;71;1ea>;4=j;1?kk4=2`a2?2782wx?5?8:1827~;408=15=j4=2:03?43l27885:524c8963203>;=63<570960g<5:?j>7<:a:?0154=;oo01>:j3;06e>;4k>318=>4=2g2f?5an278hl>53gg896bcl39mj63:n3;1ea>;4=181?kk4=27`6?5am278noo54128yv5?910;6<=t=2:26525f8962?=38>m63<54;97c`<5:?=?7<:a:?01d5=:;?3;1ea>;41>8o4=2a4a?278278j=l53gd896b?139mi63=;oo01>kn8;635>;41?kk4=27;7?5am2789n=53gg896dem3>;<6s|393:>5<6;r784<7591f896>4138?h63<494960g<5:?>57:?1:?0132=:;n4;06e>;4=9>1?kk4=26f1?42i278o5=5412896`2;39mj63kmd;635>;4;<636i33;h63<82c961b<5:9n57=ie:?07ad=::62;06e>;4=<;1?kh4=2744?42i2789o>524c89636839mi63<4g3960g<5:iij7:?0:?0b41=;ol01>h>9;634>;4n==1?kh4=5222?279278ikl53gg8962e939mi63<58297cc<5:?o<7=ie:?0f36=;oo01>7:2;635>;4>mi1?kh4=2`e6?2782wx?5?m:1827~;408h15=j4=2:0f?43l278856524c89633j39mj63<574960g<5:?j:7<:a:?0150=;oo01>:j7;06e>;4k1n18=>4=2d5b?5an278i>k53gd896c383>;<63;76;1ea>;4=j<1?kk4=2``e?278278h8=53gg8yv5?9j0;6<=t=2:2g??7l2784>m525f8962?138>m63<55`9057<5:?=;7<:a:?01d1=:;?7;1ea>;48o4=2a:6?278278j5l53gd896b4j39mi6397:?0:?0a3?=;ol01>kj5;635>;4;<6s|393g>5<6;r7844l38?h63<49c960g<5:??o7=if:?013>=:;n8;06e>;4=921?kk4=26f=?42i278o495412896`e839mj63;00797cc<5:o=47=if:?0a3g=<9:01>k8e;1eb>;4mok18=?4=26b=?5am27895653gg8963d039mi636m33;h63<82g961b<5:>3n7<:a:?011e=<9;01>;99;06e>;4=h31>8o4=273=?5am2788ho524c896e>k3>;<63k63;1eb>;4n;h18=?4=26be?5am27895753gg8963d139mi63;;d;1eb>;4=?k1>8o4=27be?42i2789=o53gg8962bj38>m63k64;634>;4mh21?kh4=2f30?2792788ll53gg8963?i39mi63<5bc97cc<5:l?=7=if:?0fag=<9:0q~=72183>44|5:29<77?d:?0<16=:=n01>:7d;06e>;4==n18=?4=275f?42i2789ll524c89637j39mi63<4da960g<5:ij:7:?0:?0a4d=<9;01>hl3;1ea>;4mh=1?kh4=2gb=?278278ioj53gd8962fk39mi63<59`97cc<5:?hn7=ie:?0fac=<9:0q~=72083>44|5:29=77?d:?0<17=:=n01>:7e;06e>;4==o1?kh4=275g?42i2789lm524c89637k39mi63<4df960g<5:ijn7:?0:?0b5d=<9;01>h69;1ea>;4mki1?kh4=2gaa?278278ii>53gd8962fl39mi63<59a97cc<5:?ho7=ie:?0f`4=<9:0q~=72383>44|5:29>77?d:?0<14=:=n01>:7f;06e>;4==o18=?4=275`?42i2789lj524c89637l39mi63<4dg960g<5:ii<7:?0:?0b05=<9;01>h8d;1ea>;4mjl1?kh4=2gg5?278278ih;53gd8962fm39mi63<59f97cc<5:?hh7=ie:?0f`0=<9:0q~=72283>44|5:29?77?d:?0<15=:=n01>:60;06e>;4==l1?kh4=275a?42i2789lk524c89637m39mi63<4dd960g<5:ii97:?0:?0b37=;oo01>h9f;635>;4ml>1?kh4=2gf2?278278iko53gd8962fn39mi63<59g97cc<5:?hi7=ie:?0f`g=<9:0q~=72583>44|5:29877?d:?0<12=:=n01>:61;06e>;4==l18=?4=275b?42i2789lh524c89637n39mi63<4g2960g<5:iim7:?0:?0b4?=;oo01>h7b;635>;4mo31?kh4=2gef?278278j?l53gd8962e839mi63<59d97cc<5:?hj7=ie:?0f`c=<9:0q~=72483>41|5:29977?d:?0<13=:=n01>=ja;1ea>;4;mi1>8o4=26:0?42i27898?541389630;38>m63<5c1960g<5:?:?7=ie:?00c5=:mle;634>;4n;=63i87=ie:?01<5=;oo01>;k3;1ea>;4j?91?kk4=24f6?5an2785885413896dal3>;<6s|3905>5<6:r784?8591f896>3>38?h63<481960g<5:?><7=if:?0127=:;m1;06e>;4=8;1?kk4=26e6?42i278on:5412896c5>39mj63h;3;1ea>;43>;<6s|3904>5<59r784?9591f896>3?38?h63<5429057<5:?<>7<:a:?0144=;oo01>9j7;1ea>;407;39mi63<81:9056<5:h2>7=ie:?0g7`=;ol019>:f;1eb>;4k831?kh4=2a`=?278278n8h53gd896daj39mi63m=e;634>;4=081?kk4=27g6?5am2788o=53gg896d1;3>;<636:6;634>;40<=1?kh4=2`:1?5an278ji=54138yv5?:10;6<9t=2:1297<:a:?0104=;ol01>;84;06e>;4=k>1>8o4=2720?5am2788k:524c896ec;3>;<63j:7;635>;4mm;1?kk4=26a1?5am27894:53gg8963c<39mi63<6d:97c`<5:3>i7=if:?0f3>=;oo01>m?1;634>{t;1826=4>7z?0<7?=19n01>6;9;07`>;4;li1?kk4=21ga?42i278848524c89632:3>;=63<567960g<5:?i97<:a:?0143=;oo01>:i5;06e>;4km218=>4=24fg?5am278j4953gd896`>13>;<63:m6;1ea>;4=0?1?kk4=27g1?5am2785;:5413896d1l39mi63l4?:05x96>5i33;h63<85c961b<5:9nh7=ie:?07a`=::67;06e>;4=<91?kh4=2742?42i2789o8524c89636>39mi63<4g4960g<5:ioh7:?0:?0bf6=;ol01>hl3;634>;4nj<1?kh4=2f;`?279278il753gg8962e?39mi63<9749056<5:?2:7=ie:?01a0=;oo01>8ib;1ea>;4j>81?kk4=2a3=?2782wx?5m63<48:960g<5:?>?7:?1:?0121=:;m7;06e>;4=8=1?kk4=26e3?42i278oh<5412896`cn39mj63k64;1ea>;44>|5:29o77?d:?0<1e=:=n01>=jf;1ea>;4;l;1>8o4=26:=?42i27898:53gd89630038>m63<5c:960g<5:?:47=ie:?00c>=:mj7;634>;4no<1?kh4=2de;=63789;635>;4=021?kk4=27g;<63i4?:0:x96>5l33;h63<85f961b<5:9m<7=ie:?07`4=::6a;06e>;4=<>18=?4=274=?42i2789o7524c89636139mi63<4g;960g<5:ino7:?0:?7445=;ol019>>5;634>;4l:i1?kh4=2f`=?5am278i;o53gg896??93>;=63<4cc97cc<5:?257=ie:?01a?=;oo01>9>3;1ea>;4k8?18=>4=2`;5?5am278i<=54128yv5?:l0;6<:t=2:1a??7l278mnj53gg896gd:39mj63oj0;634>;4imn1?kk4=2cge?5an278j4m53gg896b6m39mj63ole;1ea>;4ij91?kh4=2caa?5an278jl;5412896`f939mj63k4?:06x96>5n33;h637=ie:?0egb=;oo01>om8;634>;4il81?kh4=2g2a?279278mh>53gd896gcl39mj63om6;1ea>;4im<1?kh4=2c`a?5an278mn=5412896gem39mi63f}:<9k36?:k;<1;75<>8m16?lln:2de?8249o0::<524203>40634>8=i4>609>067b28<:70:<188224=:<:;j6<8>;<605g<6>8168>?l:042?85c:10?<=5rs2:05?6=kr7?4933;h63;3;8n1=;<4=512a?71:27??<7517089156i3;=>63;30`9534<5=9:o7?92:p7=55290hw0:?a`810a=:;19964>k;<1bg3<4no168>?i:070?824:90:9>52423g>43434>8=h4>529>067>28?870:<1`8216=:<:;i6<;<;<605f<6=:16?i;i:523?xu40:91<7mt=52bf?43l2784>=591f896gdk39mj63;30d9503<5=99<7?:5:?774b=9e;361>;3;831=8;4=512e?72=27??96305gd2;>o70=7358:4a=:;hn:6>hi;<1g=1<3891v>6<5;290~;38hn1>9j4=2:01??7l278mi=53gd896bf13>;<6s|3915>5<3s4>;mh4=4e9>7=5120:o70=nd480bc=:;mhh69>?;|q0<61=839p1>6<7;;3`>;4im31?kh4=2fg7?2782wx?5=7:18085?;1026`a349oi54;019~w6>413:1?v3<82;9=5b<5:koj7=if:?0`cd=<9:0q~=73`83>47|5=:jj7<;d:?0<6g=19n01>l?2;635>;4iok1?kh4=512b?72927???>514389156l3;>=63;30g9507<5=9:57?:1:?774g=9<;019=>b;365>;3;8i1=8?4=2cf1?5am278mn95412896gej39mj63hi;<1f71<3891v>6u2391g><6c349jil47`3d2=:;7p}<82g94?2|5=:i<7<;d:?0<6c=19n01>ojc;1eb>;4m>918=>4}r1;7c<72=q68=l>:36g?85?;o026`a349n454;019~w6>383:18v3;0c0961b<5:2?<77?d:?0ec6=;ol01>k6d;634>{t;1>:6=4;{<63f6<5:82g?85fn;08jk523d`3>1673ty849<50;0e85?<;026`a34>87cda2:ln70=ib980b`=:;hl?6>hi;<63f`<4no16?h<>:2df?85a9:08jh523g7a>6`b349m;:47c?42:ln70=ie280b`=:;oln6>hj;<1g63<4nl16?i:>:2df?85c=008jh523e56>6`b349o4k47ag32:ln70=kb880b`=:;min6>hj;<1ga6<4nl16?ih7:2df?85b:o08jh523d67>6`b349n9447`0b2:ln70=j8280b`=:;l336>hj;<1fea<4nl16?hj::2df?85bmh08jh523g3a>6`b349m?=47a7>2:ln70=j1b8744=:;o:h69>>;<1e11<38816?k9?:522?85a0j0?<<523e24>166349no?47ce62:lm70:?bb80bc=:;m;o6>hj;<604a<3891v>6;3;297~;40=915=j4=2ce2?5an278iio54128yv5?<=0;6>u23967><6c349jj547`ca2=:;7p}<85794?76s4>;n94=4e9>7=2220:o70=m0380bc=:;hlj69>>;<605c<6=0168>43>34>8=44>589>067f28?270:<1c821<=:<:;h6<;6;<1ba0<4no16?lm8:2de?85fjk08jh523`da>167349m9;4;009~w6>3>3:1?v3<8549=5b<5:kmi7=if:?0b76=<9:0q~=74683>6}:;1><64>k;<1a45<4no16?k==:523?xu40=21<7?:{<63f0<5167349ji=47dbc2=:;70=nd`8745=:<:;m6<;n;<6065<6=h168>?k:07b?8249l0:9l52423:>43f34>8=l4>5`9>067e28?j70:<1b821d=:;o=969>>;<1b`3<4nl16?lmj:523?85fk:08jh523``f>167349jo44;019~w6>313:1ov3;0c4961b<5:2?577?d:?0f50=;ol019=>f;36f>;3;;:1=8l4=512`?72j27??n63;30c950d<5=9:n7?:b:?774e=9h7e;635>{t;1>j6=4l{<63f2<543d34>8>=4>5b9>067c28?h70:<1d821f=:<:;26<;l;<605d<6=j168>?m:07`?8249j0:9n523g``>1663ty849l50;ax916e038?h63<85`9=5b<5:h;m7=if:?774`=9;3;8n1=8j4=512a?72l27??<7514f89156i3;>h63;30`950b<5=9:o7?:d:?0bae=<9;0q~=74b83>f}:<9h26?:k;<1;0f<>8m16?o>l:2de?8249o0:9h524203>43b34>8=i4>5d9>067b28?n70:<18821`=:<:;j6<;j;<605g<6=l168>?l:07f?85am<0?<=5rs2:7`?6=kr7?3l33;h63d;36b>;3;8o1=8h4=512=?72n27??j63;30a950`<5=:;<7:?0:p7=2b290hj;<604c<4nl168=lj:523?827jj0?<=523975>6`b34939:4;019~w6>3n3:19v3<85d9=5b<5=8=h7<;d:?7744=;ol01>6:d;1eb>;3;9k1?kk4}r1;15<72;q6?:lk:36g?85?=80<;>5rs2:66?6=:8q6?577d3493884=1b9>7=2?2;;h70=748815f=:;1>j6??l;<1;0g<59j16?5:l:33`?85?77d3493?<4=1b9>7=552;;h70=732815f=:;19?6??l;<1;70<59j16?5=9:33`?85?;>09=n52391;>77d3493?44=1b9>7=5e2;;h70=73b815f=:;19o6??l;<1;7`<59j16?5=i:33`?85?<909=n523962>77d34938?4=1b9>7=242;;h70=745815f=:;1>=6??l;<1;02<59j16?5;<:650?xu40<>1<721434939542>3:1>v3<8459325<5:2>47=ie:p7=3>2909w0=7588436=:;1?=6>hi;|q0<0g=838p1>6;f;07`>;402l3>;=6s|397f>5<1s492?n4;019>064>2=::70:<268744=:;02?6>hi;<1;23<0?:16?588:2dg?xu40c<39mi63<87497c`7=072>=870=76c8745=:;1n?69>>;<1;23<3891v>691;290~;40m>18=>4=2:55?10;2784;<53gf896>1>3>;=6s|3940>5<5s4939k47=032>=87p}<87;94?5|5:2>j7=if:?7430=?>9019>97;635>{t;17?2;02g>;41991>c:?0=5b=:8i01>7?e;02g>;419l1>38:o63<915964e<5:2o47<>c:?0<`4=:8i01>6j7;02g>;40l21>bj38:o63<8e;964e<5:2om7<>c:?06kc;02g>;40mn1>b838:o63<8d3964e<5:2n?7<>c:?0<`2=:8i01>6j5;02g>;40l<1>a:38:o63<8g1964e<5:2m87<>c:?06i6;02g>;40o=1>bm38:o63<8dd964e<5:2m<7<>c:?06k7;02g>;41;91>c:?0=67=:8i01>7<2;02g>;41:91>38:o63<935964e<5:3947<>c:?0=7?=:8i01>7=a;02g>;41;h1>ak38:o63<8gf964e<5:2mi7<>c:?07?0;02g>;419;1>5234de>167349=j<472732:ln70=80b80b`=:;>:?6>hj;<15bf<4nl16?58>:2de?85?l<08jk5237`;>1663ty84;j50;0x96>1l3=7=0b2>=870=76g8166=z{:2=j7>52z?0<3`=?>901>680;017>{t;1=;6=4={<1;35<0?:16?59>:300?xu40>;1<72143493;>4=229~w6>0;3:1>v3<8619325<5:2<87<=3:p7=122909w0=7748436=:;1686;547>;40?o15874}r1;32<72;q6?598:650?85?>o02945rs2:40833>56s|395:>5<5s493;448729>7=1620?27p}<86c94?4|5:27}:;1=i6:9<;<1;36<>=01v>68c;296~;40>i1;:=4=2:40??212wx?59k:181854n80<;>5237`4>1673ty84:k50;0x965a:3=76`42>=870=9c48745=z{:23<7>52z?07c2=?>901>8lb;634>{t;12:6=4={<10b0<0?:16?;j>:523?xu40181<7214349=hi4;019~w6>?<3:1>v3<3g:9325<5:22909w0=?;|q0<=0=838p1>=ia;547>;4>o;18=>4}r1;<2<72;q6?>hm:650?851nj0?<=5rs2:;;<6s|39::>5<5s498ji48729>726d2=:;7p}<89c94?4|5:9mi7983:?0342=<9:0q~=78c83>g}:;hkj6?:k;<1f5`<4nl16?5>k:650?85?>90?<=523g2f>6`b349m9;47c152:ln70=i8d80b`=:;ohh6>hj;<1e`f<4nl16?i>6:2df?xu40j?1<7214349=o=4d?3:1>v3<40a9325<5:hi;|q0:>e;547>;4>m81?kh4}r1;gd<72;q6?95<5s49?>?48729>73c32:lm7p}<8bf94?4|5:>9?7983:?02`g=;ol0q~=7cd83>7}:;=8?6:9<;<15b0<3881v>6lf;296~;4<;?1;:=4=24ea?2792wx?5j?:181853:?0<;>523625>1663ty84i?50;0x9625?3=714?2>=870=8178744=z{:2o?7>529y>73?12=::70=7d58436=:;>?;<1411<38916?:;=:523?850=90?<=52366f>167349<8n4;019>73>02=:;70=85e8745=:;>3n69>?;<153c<38916?;9?:523?850900?<=5237g;>166349=i?4;009>73bd2=::70=9d78744=:;?n;69>>;<15gd<38816?;m;:522?851jl0?<<5237`5>16634>88o4;019>724f2=:;70=8898745=:;>k369>?;<15b3<38916?:ll:87:?850:o08jh52360g>167349=j?4;009>73ca2=:;70=89680bc=:;?h369>?;<143c<38916?;l>:523?851??0?<=523751>167349<5>4;019~w6>c?3:18v3<8e59=5b<5:=9m7=ie:?037`=<9;01>9=d;1eb>{t;1n36=4={<1;`=<>8m168=8?:522?xu40m31<76t=2:g=??7l27?<;?53gd89616:39mj63<71c97c`<5:=;>7=if:?02c>=;ol01>8je;1ea>;4>9:18=?4}r1;`d<72;q6?5jn:82g?827>808jh5rs2:gf?6=jr784il591f8961a<39mj639?b;1eb>;4?991?kh4=24ef?5an278;h=53gg89607839mj63<6g;97cc7=bd20:o70=8f48744=:;k2n69>?;|q06kd;;3`>;4?l=18=>4=2:6g?5an278;k;5412896d>:39mj63m>9;634>;4j039mi63=7=ie:p7=bb2902w0=7dd8:4a=:;>l>6>hi;<1a10<4no16?587:2df?850mh0?<=523871>167349i9i47<5c2:lm70=63c80b`=z{:2oj7>58z?06:1;634>;4?o?1?kk4=2a1g?27927?<;>54128960bm3>;<63<7d697cc<5:<;<7:?0:p7=c72908w0=7e18:4a=:;>l=69>>;<1;45<4nl1v>6j1;291~;40l;15=j4=25ee?5am278n5k53gd8961bl39mi63;07497cc7=c520:o70:?6180bc=z{:2n?7>53z?0<`5=19n01>9j1;1ea>;4?o<18=>4}r1;a1<726`b34905012:lm7p}<8d794?d|5:2n977?d:?03c0=;ol01>m=c;634>;4>li18=>4=2527?278278;=l541289617;3>;<63<6g`9056<5:=n87=if:?0256=;oo01>8i9;635>{t;1o=6=4<{<1;a3<>8m16?5>l:2df?850n?08jh5rs2:f3?6=:r784h9591f89161839mi6s|39g;>5<5s493i5460e9>05062=::7p}<8d;94??|5:2n577?d:?03c2=<9:019>91;634>;4j4=2`6a?278278;h:5412896d0j39mj6387=if:p7=cf2909w0=7e`8:4a=:;j;269>>;|q0<`d=838p1>6jb;;3`>;4k831?kk4}r1;af<72;q6?5kl:82g?85c::08jh5rs2:f`?6=:r784hj591f896c5>3>;<6s|39gf>5<5s493ih460e9>7a5c2:ln7p}<8dd94?4|5:2nj77?d:?0`04=;oo0q~=7f183>7}:;1l;64>k;<1g2`<4nl1v>6i1;296~;40o;15=j4=2f;6`b3ty84k=50;0x96>a;33;h637=`320:o70=i7b80b`=z{:2m97>52z?0h68;1ea>{t;1l=6=4={<1;b3<>8m16?k7j:2df?xu40o=1<7<6c349mjl4a13:1>v3<8g;9=5b<5=::;7=ie:p7=`f2909w0=7f`8:4a=:;1<;6>hj;|q0p1>6ib;;3`>;4<:21?kh4=2ae5?5an278:hk53gd8yv5?nj0;69u239d`><6c349??4473`?2=:;70=j2680bc=z{:2mh7>54z?0:;4n9:18=>4=2536?2782wx?5hj:18785?nl026`a349m854;019>726f2=:;7p}<8gd94?2|5:2mj77?d:?006e=;ol01>h94;634>;4?8818=>4}r1:45<72;q6?4>?:82g?85a090?<=5rs2;35?6=;r785=?591f896`>l3>;=637<6520:o70=>;|q0=55=839p1>7?3;;3`>;4;l21?kh4=2g1e?5an2wx?4>;:18085>8=026`a349o?l46}:;0:=64>k;<10a3<4no16?i8j:522?xu419=1<7=t=2;33??7l278?h953gd896b?03>;=6s|382;>5<4s492<5460e9>76c>2:lm70=i198744=z{:3;57>53z?0=5?=19n01>=ja;1eb>;4n?:18=?4}r1:4d<72:q6?4>n:82g?854mk08jk523g5`>1663ty85=l50;1x96?7j33;h63<3da97c`<5:l247:?1:p7<6d2908w0=60b8:4a=:;:oo6>hi;<1eg7<4no1v>7?d;297~;419n15=j4=21fa?5an278jh>53gd8yv5>8l0;6>u2382f><6c3498ik47c`02:lm7p}<91d94?5|5:3;j77?d:?07c6=;ol019>>4;1eb>{t;0;;6=4<{<1:55<>8m16?4=l:2df?85>0<02945rs2;25?6=;=63<45497cc<5:h>?7:?0:p7<75290>w0=6138:4a=:;=936>hj;<176a<5=h16?o8>:523?85bno0?<<5rs2;27?6==r785<=591f89624139mi63<43g960g<5:h=87:?0:?0b11=<9;0q~=61583>0}:;0;?64>k;<177d<4nl16?9:0?<<523c4;>1663ty85<;50;7x96?6=33;h63<42`97cc<5:>8<7<:a:?0b2`=<9;01>l9d;635>{t;0;=6=4:{<1:53<>8m16?9=>:37b?85ak;0?<<52351`>6`b349i;?4;009~w6?6?3:18v3<9059=5b<5:h<57:?1:?0b`6=<9;01>:<3;1ea>{t;0;36=4;{<1:5=<>8m16?kh8:522?853;<08jh523c5b>1673ty85<750;6x96?6133;h63;0069057<5:>8;7=ie:?0f2`=<9:0q~=61`83>=}:;0;j64>k;<1:54<5808jh52355a>6`b349284470`22:lo70=m5`8744=z{:3:n7>59z?0=4d=19n01>7>2;07`>;4f}:;0;h64>k;<1:56<5:099l523876>6`a349i:84;009>7<3f2:lm70=6618745=:;=8j69>>;<170<<4nl16?486:522?852m>08ji5rs2;2`?6=jr785=87<:a:?0f31=<9;01>7:a;634>;41?:18=?4=261e?27827889l53gg896?1139mj63<5d;97cb7<7b20:o70=614810a=:;==;6>hj;<1720<5=h16?o8l:522?85>>908jk523842>6`b349?>l4712c2:ln70=6688745=:;hk;|q0=4`=83kp1>7>f;;3`>;418<1>9j4=2645?5am2788;8524c896d093>;=63<43c97cc<5:>?j7=ie:?0=3>=;oo01>799;1ea>;4=ln1?kj4}r1:65<72hq6?49>098i52384e>167349?>n471362:ln70=;6980b`=:;0=i69>?;<1:32<38916?8ki:2dg?85e??0?<<5rs2;15?6=ir785??591f896?6038?h63<43a97cc<5:>>?7=ie:?003g=;oo01>78b;1eb>;41><1?kk4=2;43?5an2789k?53gf896d0k3>;=6s|3801>5?460e9>7<7>2;>o70=64c8744=:;=?>6>hj;<172f<4nl16?49m:2df?852n:08ji52385f>1673492;k47g>62=::7p}<93194?77s492>>460e9>7a712:ln70=i198745=:;o<;69>?;<1e3f<38916?k77:523?85ak;08jh523gg3>6`b349mj:405732:ln70=k3`80b`=:;m?969>?;<1g2`<38916?i67:523?85c::0?<=523d0b>6`b3ty85?:50;3385>:=026`a349n>;4;009>7c7?2:lm70=i6180bc=:;o=h6>hi;<1e==<4no16?k7j:2de?85ak>08jk523gdb>6`a34>;=:47a5c2:lm70=k5380bc=:;mhi;<1g<=<4no16?i<<:2de?xu41;?1<7<={<1:60<>8m16?i?=:523?85a900?<<523g42>166349m;i4;009>7c?>2=::70=ic28744=:;oo:69>>;<1eb=<388168=?::522?85c;k0?<<523e::>166349om=4;009>7ad22=::70=kc88744=:;mnm69>>;<1gb1<38816?h>7:522?85b<90?<<523d76>166349n:l4;009>7`1a2=::70=j958744=:;lk269>>;<1ff`<38816?hj>:522?85bm?0?<<523dda>166349m>n4;009>7c242=::70=k258744=:;l8o6>hj;<1g16<38816?i8i:522?xu41;<1<7<={<1:63<>8m16?i?=:522?85b:?08jh523g3:>6`a349m:<47c1c2:lm70=i9880bc=:;oi86>hi;<1ea4<4no16?kh7:2de?8279<08jk523e1a>6`a349o4447ag72:lm70=kb480bc=:;mij6>hi;<1g`c<4no16?ih;:2de?85b8008jk523d63>6`a349n9847`0f2:lm70=j7g80bc=:;l3?6>hi;<1fe<<4no16?hlj:2de?85bl808jk523dg5>6`a349njo47c4d2:lm70=k2580bc=:;o>:69>?;<1g16<4no16?i8i:2de?xu41;=1<7<={<1:62<>8m16?h?>:2de?85c<:08jk523e54>6`a349om<47ad12:lm70=kcc80bc=:;mo;6>hi;<1gb0<4no16?h>n:2de?85b<808jk523d75>6`a349n:o47`>72:lm70=j9480bc=:;lkj6>hi;<1ffc<4no16?hj=:2de?85bm>08jk523dd`>6`a349m>i47c232:lm70=ia680bc=:;oij6>hi;<1ea=<4no168=><:2de?85c:k08jk523e30>6`b349o9o47c662:lm70=i4880bc=:;o<>6>hi;<1e<4<4no16?nh<:2de?xu41;21<7<={<1:6=<>8m16?i8<:2de?85cjk08jk523edb>6`a349n9o47`ga2:lm70=lf`80bc=:;m;86>hi;<1f53<4no16?k>9:2de?85a6`a349m4;47a202:lm70=k7e80bc=:;m3:6>hi;<1ge3<4no16?ij?:2de?85cm<08jk523d12>6`a349n8;47`172:lm70=j8480bc=:;l3j6>hi;<1fg6<4no16?hj8:2de?85bmj08jk523g3g>6`a349m?84056>2:lm70=ieb80bc=:;oin6>hi;<1eeg<4no16?i8m16?nh=:2df?85dnj08jk52412`>6`a349mik47cb62:lm70=iad80bc=:;m996>hi;<1f32<4nl16?h6l:2df?85bi808jh523da;>6`b349nhh47``42:ln70=i2580b`=:;o926>hj;<1g56<38916?hl;:2df?xu41;k1<7<>{<1:6d<>8m16?hhi:2df?85a<>08jh523g40>6`b349m;k47c?c2:ln70=ic780b`=:;ol26>hj;<6353<4nl16?i=l:2df?85c=>08jh523e50>6`b349o4i47a?a2:ln70=kb580b`=:;mij69>?;<1g``<4nl16?ih<:2df?85b8108jk523d1e>6`b349n9947`0>2:ln70=j7d80b`=:;l386>hj;<1fe=<4nl16?hlk:2df?85bl908jh523dg6>6`b349njl47c4e2:ln70=i4280bc=:;m;869>>;<1f6d<3891v>7=b;297~;41;h15=j4=2f26?5am278h=?53gg8yv5>:j0;6>u2380`><6c349o=?47f`b2:lm7p}<93f94?3|5:39h77?d:?0`40=<9:01>j?5;1ea>;4n0l1?kk4=2g13?5am2wx?4;<63;0049056<5:n8o7:?0:?0`01=<9:01>j83;634>;4l1n18=>4=2f:b?278278ho:5412896bd139mj63k;4m<>18=>4=2g5=?278278i:k5412896c>;3>;<63kj5;634>;4mok18=>4=2d1f?278278j9?53gg896b6839mi63hj;<1g53<38816?nh7:2de?85aih08jk523gag>6`a349mio47a4b2:lm70:?0780bc=z{:38<7>5cz?0=66=19n01>kif;634>;4n==18=>4=2d57?278278j:h5412896`d:3>;<63j;4n=815874=2f24?5an2wx?4=>:1826~;41:;15=j4=2ae6?5an278okm541289167k3>;<63j<2;634>;4m>=18=>4=2g;g?278278il?5412896cd03>;<63h<9;634>;4l8:18=>4=2ga0?2782wx?4==:1816~;41:815=j4=2g16?5an278jo753gd896`cj39mj637=if:?0`6>=;ol01>j:0;1eb>;4l?i1?kh4=2f;2?5an278h4j53gd896be:39mj63k?6;1eb>;4m:n1?kh4=2g66?5an278i;953gd896c0k39mj63kj3;1eb>;4mo21?kh4=2d1=?5an278j>k53gd896b683>;=63o7=if:?0b2>=;ol01>h64;1eb>;4mji1?kh4=2f2b?5an2wx?4=<:18:85>;:026`a349hj54;019>7cgf2=:;70=ice8745=:;ooi69>?;<1g6`<389168=>9:523?85c8j0?<<5rs2;00?6=;r785>:591f896eal39mj63o7=ie:?0f<4=<9;01>m=f;1ea>;384=2`63?278278nk75412896>2;39mj63o7:?0:?0<5?=<9:01>l68;635>;409:18=>4=25fe?5an278h>=53gd89167l39mj637=if:?0bd`=;ol01>6?6;1eb>;4>ln1?kh4=25f7?5an278n8j5412896?4l3>;=63<92`9056<5:hl:4;634>;4m><1?kh4=2g;f?5an278il>53gd896ce;39mj63h=3;1eb>;4n:21?kh4=2af1?5an278oh>53gd896ecj39mj63m7b;1eb>;4k<>1?kh4=2afb?5an278oho53gd896edk39mj63=;ol01>mm3;1eb>;4kho1?kh4=2ab=?5an278ol:53gd896e>n39mj63m76;1eb>;4k1;1?kh4=2a4g?5an278o:953gd896e0:39mj63m:e;1eb>;4k<31?kh4=2`:1?278278n4854138961a;33>5638i9;1eb>{t;09=6=4={<1;bd<5654138yv5>;00;6?u2381:>2143492?54v3<9029=5`<5:38n7983:p7<5d290jw0=613815f=:;0;86??l;<1:51<59j16?4?::33`?85>9?09=n523834>77d3492=54=1b9>7<7>2;;h70=610815f=:;09h6:9<;|q0=6c=838p1>;i6;547>;41<;15874}r1:7c<72;q6?8h8:650?85>=>08jk5rs2;74?6=:r789k65761896?2j39mj6s|3862>5<5s49>j448729>7<052=:;7p}<95094?5|5:?mm7983:?0=3d=<9:01>79c;1eb>{t;0>86=4={<16bg<0?:16?49?:2de?xu41=>1<7<<0;6?u234dg>21434924=46589~w6?3>3:1>v3<5gg9325<5:3?m7:?0:p7<202909w0=61`810a=:;0>h6:9<;|q0=1>=838p1>7;c;634>;41=21;:=4}r1:0g<72;q6?4:m:650?85>5<5s4929>4;019>7<362>=87p}<95d94?4|5:3?j7983:?0=07=;ol0q~=65183>7}:;0?;6:9<;<1:14<4nl1v>7:4;296~;418i1>9j4=2;62?10;2wx?4;::18185>=<0<;>523875>6`a3ty858650;0x96?6l38?h63<94g93257<3>2>=870=65`8744=:;0>;|q0=0g=838p1>7:a;547>;41=m0?<<5rs2;6g?6=:r7858j5761896?2m39mi6s|387e>5<5s492=h4=4e9>7<032>=87p}<97294?4|5:3=<7983:?0=34=;oo0q~=66083>7}:;0<:6:9<;<1:27<3881v>792;296~;41?81;:=4=2;50?2782wx?48<:18185>>:0<;>523847>6`a3ty85;;50;0x96?6n38?h63<97493257<002>=870=66c80b`=:;0?;|q0=3g=838p1>796;1eb>;41?n1;:=4}r1:2g<72;q6?48m:650?85>>m08jk5rs2;5g?6=:r785;m5761896?1l39mi6s|384f>5<5s492>=4=4e9>7<142>=87p}<97d94?4|5:3=j7983:?0=24=;oo0q~=67183>7}:;0=;6:9<;<1:37<3881v>781;296~;41>81;:=4=2;47?5am2wx?49;:18185>:8098i52385:>2143ty85:;50;0x96?0139mj63<96793257<1?2>=870=6788745=z{:352z?0=74=:=n01>771;547>{t;0=i6=4={<1:3f<38816?49m:650?xu41>i1<7?m0;6?u238:2>6`a34924=48729~w6?0m3:1>v3<96g9325<5:33<7=if:p7<1a2909w0=67g8436=:;02;6>hj;|q0==4=83kp1>7>b;02g>;418i1>c:?0=74=:8i01>7>a;02g>;411?1;:=4}r1:<6<72;q6?46<:650?85>0<08jk5rs2;;0?6=:r7855:5761896??=39mi6s|38:5>5<5s492?8460g9>7<>02>=87p}<99:94?5|5:<<<7:?1:?0==>=?>901>89a;635>{t;02n6=4m{<143a<38916?;om:523?851i80?<=52365f>6`b349=n=473g52=::70=8a78745=:;>2?6>hj;<14e7<4nl16?46i:650?85>1908ji5rs2;:5?6=kr78;l;53gd89610m39mj63<6c297c`<5:<<97=if:?0227=;ol01>974;1eb>;4?>=1?kh4=24;5?5an278::o53gg896?>:3=768;29<~;41031;:=4=244a?278278:4853gg89611n3>;=63<6959057<5:=3m7:?0:?0==g=;ol01>9n9;1eb>{t;03j6=4<{<15<<<38916?47m:650?850>m0?<<5rs2;b4?6=:r785nm5761896g>838?h6s|38c2>5<5s492oi48729>7d?62;>o7p}<9`094?4|5:3hi7983:?0e<4=:=n0q~=6a283>7}:;0im6:9<;<1b=1<57n4;296~;41m:1;:=4=2c:1?43l2wx?4o::18185>l80<;>523`;5>72c3ty85l850;0x96?c:3=7=870=n99810a=z{:3j47>52z?0=a2=?>901>o69;07`>{t;0k26=4={<1:`0<0?:16?l7n:36g?xu41hk1<7ik0;6?u238f4>214349j5n4=4e9~w6?fk3:1>v3<9e:9325<5:k2h7<;d:p77ka;547>;4ih:1>9j4}r1:ec<72:q6?4oi:650?85>j909>>5238ag>7443ty85o>50;1x96?e83=7m2;297~;41k81;:=4=2;a7?45;2785i>52318yv5>j:0;6>u238`0>2143492n94=229>77k2;017>{t;0h>6=4<{<1:f0<0?:16?4l9:300?85>l:09>>5rs2;a2?6=;r785o85761896?e?389?63<9e696757=870=6b98166=:;0n>6?<<;|q0=g>=839p1>7m8;547>;41k31>?=4=2;g2?45;2wx?4l6:18085>j00<;>5238`b>7443492h:4=229~w6?ei3:1?v3<9cc9325<5:3in7<=3:?0=a>=:;90q~=6bc83>6}:;0hi6:9<;<1:ff<5::16?4j6:300?xu41ki1<7jm0;6>u238`g>2143492mk46589>77ld;654>{t;0hm6=4<{<1:fc<0?:16?4l>:87:?85>kl0?:=5rs2;`4?6=;r785n>5761896?e:33>563<9bd90367=870=6b28:1<=:;0n;698?;|q0=f4=839p1>7l2;547>;41k>15874=2;g5?2182wx?4m<:18085>k:0<;>5238`6><3>3492h?4;619~w6?d<3:1?v3<9b69325<5:3i:77:9:?0=a5=6}:;0i>6:9<;<1:f2<>=016?4j;:543?xu41j<1<7=t=2;`2?10;2785o6594;896?c=3>=<6s|38a4>5<4s492o:48729>720?270=6d78725=z{:3h47>53z?0=f>=?>901>7ma;;6=>;41m=18;>4}r1:g<<72:q6?4m6:650?85>jk02945238f;>1073ty85no50;1x96?di3=o<5;07`>;4i921;:=4}r1:`a<72;q6?l=;:36g?85f800<;>5rs2;ga?6=:r78m>=525f896g7i3=5<5s49j??4=4e9>7d6e2>=87p}<9d294?4|5:k8=7<;d:?0e5e=?>90q~=6e083>7}:;h9;6?:k;<1b4a<0?:1v>7j2;296~;4i;l1>9j4=2c3a?10;2wx?4k<:18185f:l098i523`2e>2143ty85h:50;0x96g5l38?h637d4d2;>o70=n108436=z{:3n:7>52z?0e7d=:=n01>o>2;547>{t;0o<6=4={<1b6d<5m00;6?u23`0;>72c349j=848729~w6?bi3:1>v37jc;297~;41li1;:=4=2;f`?45;278m=o52318yv5>mm0;6>u238gg>2143492ih4=229>7d6e2;887p}<9dg94?5|5:3ni7983:?0=``=:;901>o?c;017>{t;0om6=4<{<1:ac<0?:16?4h?:300?85f8m09>>5rs2;e4?6=;r785k>5761896?a9389?637<`62>=870=6f38166=:;h:m6?<<;|q0=c4=839p1>7i2;547>;41o91>?=4=2c24?45;2wx?4h<:18085>n:0<;>5238d7>744349j=<4=229~w6?a<3:1?v3<9g69325<5:3m97<=3:?0e44=:;90q~=6f483>6}:;0l>6:9<;<1:b3<5::16?l?<:300?xu41o<1<7=t=2;e2?10;2785k95231896g6<389?6s|38d4>5<4s492j:48729>7<`?2;8870=n148166=z{:3m47>52z?0=c>=?>901>o>6;017>{t;0l26=4<{<1:b<<0?:16?4km:87:?85f810?:=5rs2;ee?6=;r785ko5761896?bk33>5637<`e2>=870=6ee8:1<=:;h:j698?;|q0=ce=839p1>7ic;547>;41lo15874=2c3f?2182wx?4hk:18085>nm0<;>5238ge><3>349j6}:;0lm6:9<;<1:b4<>=016?l>j:543?xu4i9:1<7=t=2c34?10;2785k<594;896g7n3>=<6s|3`22>5<4s49j<<48729>7<`420?270=n118725=z{:k;>7>53z?0e54=?>901>7i4;;6=>;4i8;18;>4}r1b46<72:q6?l><:650?85>n<0294523`31>1073ty8m=:50;1x96g7<3=91v>o?6;297~;4i9<1;:=4=2;e0;6?u23`24>214349j=;4;619~w6g603:1?v36}:;h;<65k=;<1:bd<4nl16?4kl:37b?xu4i8k1<7=t=2c23?>c0278m==53gg896?a=38>m6s|3`3a>5<4s49j=:47d89>7d632:ln70=6f7811d=z{:k:o7>53z?0e41=0mk01>o?5;1ea>;41o=1>8o4}r1b5a<72:q6?l?8:9fa?85f8?08jh5238d;>73f3ty8m7d7021oo70=6fc80b`=:;0oo6?;n;|q0e76=839p1>o>7;:e1>;41oi1?kk4=2;fa?42i2wx?l<>:18085f9>03j;5238dg>6`b3492ik4=5`9~w6g5:3:1?v36}:;h;<65h7;<1:bc<4nl16?4h>:37b?xu4i;>1<7=t=2c23?>a1278m=>53gg896?a:38>m6s|3`06>5<4s49j=:47f`9>7d662:ln70=6f2811d=z{:k9:7>53z?0e41=0oh01>o?2;1ea>;41o>1>8o4}r1b62<72;q6?l<8:82g?85>kk08jk5rs2c15<5s49j>4460e9>72:lm7p}=;ol0q~=n2c83>7}:;h8i64>k;<1:g2<4no1v>o=c;296~;4i;i15=j4=2;`2?5an2wx?l6`a3ty8m?k50;0x96g5m33;h63<9b697c`k4?:3y>7d4a20:o70=6c280bc=z{:k8<7>52z?0e66=19n01>7l2;1eb>{t;h9:6=4={<1b74<>8m16?4m>:2de?xu4i:81<753gd8yv5f;:0;6?u23`10><6c3492nk4v3hi;|q0e60=838p1>7kb;3e1>;4i8=1=nh4}r1b72<72;q6?4jm:0de?85f9>0:h45rs2c05<5s492ho4>f69>7d7028n:7p}7}:;0ni6olk0:jo523`34>4b23ty8m>k50;0x96?cj3;mo63752z?0=ad=:9:01>o>7;3ge>{t;h>:6=4={<1:`g<58816?l?8:0fa?xu4i=81<7764349j=:4>de9~w6g3<3:1>v3<9e`9652<5:k:;7?ke:p7d222909w0=6dc8140=:;h;<67kb;032>;4i8=1=h>4}r1b02<72;q6?4jm:324?85f9>0:i<5rs2c75<5s492ho47e39>7d>?2;>o7p}7}:;0ni65j6;<1bo;c;296~;41mh14io4=2c;f?43l2wx?l:k:18185>lk03ho523`:`>72c3ty8m9k50;0x96?cj32oo637<7>52z?0=ad=0mo01>o7f;07`>{t;h?:6=4={<1:`gb82785ko53gd8yv5f=:0;6?u238fa>=c63492jo4v3<9e`9<`b<5:k2?7<;d:p7d322909w0=6dc8;a6=:;0lh6>hi;|q0e00=838p1>7kb;:f0>;41on1?kh4}r1b12<72;q6?4jm:9g6?85>nl08jk5rs2c65<5s492ho47e69>7d672:lm7p}7}:;0ni65k6;<1b47<4no1v>o:c;296~;41mh14ho4=2c37?5an2wx?l;k:18185>lk03io523`27>6`a3ty8m8k50;0x96?cj32no63770=n9d810a=z{:k=<7>52z?0=ad=0lo01>o?6;1eb>{t;h<:6=4={<1:`g8:2de?xu4i?81<7a>278ml?525f8yv5f>:0;6?u238fa>=`0349jm?4=4e9~w6g1<3:1>v3<9e`9<5:kj?7<;d:p7d022909w0=6dc8;b<=:;hk?6?:k;|q0e30=838p1>7kb;:ee>;4ih?1>9j4}r1b22<72;q6?4jm:9da?85fi?098i5rs2c5=?6=:r78m;7591f896?dj39mi6s|3`4b>5<4s49j:l460e9>753z?0e3d=19n01>7l9;1ea>;41kh1>8o4}r1b2f<72:q6?l8l:82g?85>k108jh5238`b>73f3ty8m;j50;1x96g1l33;h63<9b597cc<5:3i57<:a:p7d0b2908w0=n6d8:4a=:;0i=6>hj;<1:f=<5=h1v>o9f;297~;4i?l15=j4=2;`1?5am2785o9524c8yv5f?90;6>u23`53><6c3492o9477m5;06e>{t;h=96=4<{<1b37<>8m16?4m=:2df?85>j=099l5rs2c47?6=;r78m:=591f896?d939mi63<9c1960g7d1320:o70=6c180b`=:;0h96?;n;|q0e23=839p1>o85;;3`>;41kl1?kk4=2;a5?42i2wx?l99:18085f??026`b3492n=4=5`9~w6g0?3:1?v37}:;h<365j8;<1b32<5o89;296~;4i?214h<4=2c42?43l2wx?l9n:18185f>103h5523`4g>72c3ty8m:l50;0x96g1032o5637d0?21nj70=n6c810a=z{:k52z?0e3>=0mh01>o9a;07`>{t;h=n6=4={<1b2=l1<7bl278m:;525f8yv5f090;6?u23`4;>=`2349j;94=4e9~w6g?93:1>v352909w0=n698;b2=:;h=96?:k;|q0e=5=838p1>o98;:e<>;4i>;1>9j4}r1b<1<72;q6?l87:9d:?85f?9098i5rs2c;1?6=:r78m;658gc896g1n38?h6s|3`:5>5<5s49j:547fc9>7d0b2;>o7p}7}:;h2364>k;<1a75<5o79;296~;4i1315=j4=2`05?43l2wx?l6n:18185f0h0272c3ty8m5l50;0x96g?j33;h637d>d20:o70=m35810a=z{:k3h7>52z?0e=b=19n01>l<5;07`>{t;h2n6=4={<1b<`<>8m16?o=9:36g?xu4i1l1<79525f8yv5f190;6?u23`;3><6c349i?54=4e9~w6g>93:1>v3o63;;3`>;4j:h1>9j4}r1b=1<72;q6?l7;:82g?85e;j098i5rs2c:1?6=:r78m4;591f896d4l38?h6s|3`;5>5<5s49j5;460e9>7g5b2;>o7p}7}:;h3364>k;<1a05<5o69;296~;4i0315=j4=2`75?43l2wx?l7n:18185f1h0272c3ty8m4l50;0x96g>j33;h637d?d20:o70=m45810a=z{:k2h7>52z?0el;5;07`>{t;h3n6=4={<1b=`<>8m16?o:9:36g?xu4i0l1<7<6c349i854=4e9~w6gf93:1>v3j6?:k;|q0ed5=838p1>on3;;3`>;4j=h1>9j4}r1be1<72;q6?lo;:82g?85e5<5s49jm;460e9>7g2b2;>o7p}7}:;hki64>k;<1bf5<4nl1v>onc;296~;4ihh1>9j4=2cb`?10;2wx?lo6:18185fil0272c3ty8mlh50;0x96gfm38?h637dd620:o70=70b8745=:;>o:69>?;<14ac<4no16?58?:2de?xu4ik81<74g0349jn848729~w6ge13:1>v3<9e`95d><5:kim7983:p7de72909w0=6dc82e<=:;hi:6:9<;|q0ef3=838p1>7kb;3be>;4ij<1;:=4}r1bgg<72;q6?4jm:0ca?85fkj0<;>5rs2cg4?6=:r785il51`a896gc93=5<5s492ho4>ae9>7db42>=87p}90q~=nd983>7}:;h<36okb;296~;4i?21=o?4=2cgg?10;2wx?ljj:18185f>10:n?523`fe>2143ty8mh?50;0x96?cj3;i=634?:3y>7d0?28h870=ne58436=z{:kn;7>52z?0e3>=9k>01>oj8;547>{t;ho26=4={<1b2=<6j<16?lkn:650?xu4ilh1<7278mhm57618yv5fmm0;6?u23`4;>4d0349jih48729~w6gbn3:1>v3<5:km<7983:p7d`62909w0=n6982f<=:;hl96:9<;|q0ec5=838p1>o98;3b<>;4io>1;:=4}r1bb0<72;q6?l87:0c:?85fn?0<;>5rs2ce3?6=:r78m;651`c896ga03=5<5s492ho4>b39>7d`f2>=87p}90q~=nfg83>0}:;h<3610:n=523c23>2143ty8n=?50;0x96?cj3;i?634?:3y>752z?0=ad=9k?01>l?6;547>{t;k:<6=4={<1:`g<6j?16?o>7:650?xu4j931<74d?349iv3<9e`95g?<5:h;i7983:p7g6a2909w0=m2g8:4a=:;m:26>hi;|q0f4g=838p1>k>e;1eb>;4j::15=j4}r1a55<72;q6?i<8:2de?85e;8025<5s49o9l47g5420:o7p}7}:;m3;6>hi;<1a70<>8m1v>l>5;296~;4lh?1?kh4=2`02??7l2wx?o?9:18185cjh08jk523c14><6c3ty8n<950;0x96bdn39mj637ac32:lm70=m388:4a=z{:h:57>52z?0`c?=;ol01>l{t;k8>6=4={<1a7g<>8m16?k>j:2de?xu4j8h1<7m591f8yv5e9j0;6?u23d66>6`a349i?i460e9~w6d6l3:1>v3k;|q0f4`=838p1>k74;1eb>;4j=:15=j4}r1a65<72;q6?h76:2de?85e<8025<5s49noo47g2420:o7p}7}:;loi6>hi;<1a00<>8m1v>l=8;296~;4j=<15=j4=2d62?5an2wx?o<9:18185a9j08jk523c64><6c3ty8n?950;0x96`4939mj6344?:3y>7g2>20:o70=i7380bc=z{:h9m7>52z?0f1g=19n01>h7e;1eb>{t;k8i6=4={<1a0g<>8m16?kll:2de?xu4j;i1<76`a349i8i460e9~w6d5m3:1>v3v3<9e`9677<5:k:;7<=1:?0e3>=:;;01>o<5;02g>;4i:>1><520a896g4938:o63c:?0e7c=:8i01>o=d;02g>;4i;i1>c:?0e21=:8i01>o86;02g>;4i>?1>c:?0e3`=:8i01>o9e;02g>;4i?n1><7983:?0e<5=:8i01>l;4i1=1>c:?0ed7=:8i01>l;9;02g>;4ih81>c:?0ed3=:8i01>l;d;02g>;4i1k1>c:?0e=d=:8i01>l<3;02g>;4i1i1>c:?0e=`=:8i01>l<7;02g>;4i0:1>c:?0e<4=:8i01>l;4i0?1>c:?0e<>=:8i01>l;0;02g>;4i031>c:?0el;3;02g>;4i0i1>c:p7g322909w0=m548436=:;k?<6>hi;|q0f00=838p1>l:6;547>;4j<=18=?4}r1a1<<72;q6?o;<:2df?85e=k0<;>5rs2`54?6=:r78n;?5413896d183=5<5s49i:?48729>7g062:ln7p}90q~=m6483>7}:;k<>6:9<;<1a21<4nl1v>l97;296~;4j?=1;:=4=2`52?5am2wx?o87:18185e>?08jk523c4:>2143ty8n;m50;0x96d1k3=7g0e2:lm70=m6d8436=z{:h<=7>52z?0f27=?>901>l80;1ea>{t;k=96=4={<1a35<4no16?o9<:650?xu4j><1<7214349i;84v362909w0=m7g80b`=:;k296:9<;|q0f=2=838p1>:;4j?818=?4}r1a<0<72;q6?9=j:650?85e><08jk5rs2`;2?6=:r788>h5761896d1?39mj6s|3c:4>5<5s49?8=48729>7g0d2:lm7p}?=7983:?0f27=;ol0q~=m8883>7}:;=>96:9<;<1a3<<4no1v>l7a;296~;4<=91;:=4=2`4f?2792wx?o6m:181853<=0<;>523c:3>1663ty8n5m50;0x9623=3=7=3e2=:;70=70280bc=:;k3969>?;<631c<38816?o;::522?85e0l0<;>523cd:>1663493<;4;019>7g?020?270=m508745=z{:h287>52z?0f<3=?>901>l67;1eb>{t;k3>6=4={<1a=3<0?:16?o78:2df?xu4jo31<7214349ijo4;009~w6e6i3:1?v3<44:9325<5=9io7:?1:?0=05=;ol0q~=l1c83>6}:;=?26:9<;<60f`<38816?4;8:522?xu4k8i1<7=t=266e?10;27??n>5413896?2l3>;<6s|3b3g>5<4s49?9o48729>06e52=::70=66280bc=z{:i:i7>53z?000e=?>9019=l4;635>;41?n15874}r1`5c<72:q6?9;k:650?824k?0?<<523851>1673ty8o?>50;1x9622m3=>;<1:3f<4no1v>m=2;290~;45<5s49?;?48729>06dd2:ln7p}7}:;==?6:9<;<60g5<4nl1v>m=6;296~;4<>?1;:=4=51`6?5am2wx?n<8:181853??0<;>5242a7>6`b3ty8o?650;0x9620?3=44?:3y>711?2>=870:52z?002?=?>9019=la;1ea>{t;j8i6=4={<173d<0?:168>ln:2df?xu4k;i1<7214349h>h4;009~w6e5n3:1>v3976;634>;4?1918=>4=25a7?5am278;o?54138961fn39mj63<76`9057<5:i847983:?03d1=;ol01>9;c;635>;4?8i18=?4=25:e?5am278;4l53gg896?>>39mi63<98597c`<5:==o7=if:?03d0=;ol01>9m6;635>;4>0>1?kh4=2551?278278:4l53gg8960?93>;<63<79`97cc<5:=9n2;1eb>;4>h?18=?4}r1`74<728765;1ea>;410o1?kh4=27gf?5am278;:>54128961e;3>;<63<7c39056<5:=jj7:?1:?032d=<9:01>m;4?0<18=?4=257a?279278;j3>;<63<9869=0?<5:=i:7=ie:?02<2=<9:01>995;1ea>;4>0l1?kk4=24;b?5an278;5l5412896?>k39mi63<99d97c`=39mj63<98g97cc<5:?on7:?0:?033>=;oo01>9m3;1eb>;4?k;1?kh4=25bb?278278;:l53gg896e4m3=<7:?1:?0376=<9;01>96a;1eb>;4?0h1?kh4=2;:2?27927854953gg8961e>39mj63<68697cc<5:=3n7=if:?0325=;oo01>76c;634>;411l18=?4}r1`76<7282p1>765;634>;410o18=?4=27gf?27927854l53gg8961e;3>;=63<7c397cc<5:=jj7=ie:?032d=;ol01>m;1;547>;4?0<1?kk4=2566?279278;?<54138961?13>;<63<78`9057<5:32:7=if:?0=<1=<9;01>9m6;634>;4>0>18=?4=2550?5an278;;;541389600i3>;<63<76197c`<5:33j7:?0:?0==g=<9:0q~=l3583>41|5:<2j7=if:?0=998;634>;4?0<18=>4=2560?279278;?:54138961?139mj63<78;9=0?<5:i?87983:?0=<0=<9:01>767;634>;4??i18=>4=255`?5am278;o9594;8960>=33>563<7459056<5:<2m7=if:?02=`=;oo01>762;1ea>;411l1?kk4=24;f?5an278;5h5413896??i39mi6s|3b16>5<6?r78;;953gd8961f?3>;<63<7349057<5:=357:?1:?0==>=;oo01>m;7;547>;4??h15874=25b1?278278;l853gg89612?39mj63<74:97c`<5:==87=ie:?0333=;ol01>867;1ea>;4?>;1?kk4=24ba?5am278:l=53gd896?>:3>;=63<77297c`<5:97f;634>;4?>?18=>4}r1`73<728?p1>997;1ea>;4?h=18=?4=2519n4;;6=>;4?<215874=2552??21278:4953gd89610939mj63<6`g97c`<5:990;1ea>;4>h?1?kk4=24;f?279278;5h53gg89610=39mj6s|3b14>5731b2:ln70=99780bc=:;>?269>>;<145d<38816?467:523?8500h08jh523b6g>21434925?4723f2:ln70=8a88745=z{:i><7>54z?03gc=:=n01>7kb;312>;4i?21=?84=2a66?10;2wx?n;>:18085d=80<;>523b70>167349h994;009~w6e2=3:18v3<7b2961b<5:3on7?=7:?0e3>=9;=01>m:7;547>{t;j?=6=4<{<1`13<0?:16?n;7:523?85d=00?<<5rs2a6e?6=<5:i>o7983:p7f3e2908w0=l5c8436=:;j?o69>?;<1`1`<3881v>m:f;290~;4?j81>9j4=2;gf?751278m;6513;896e193=5<4s49h:=48729>7f052=:;70=l628744=z{:i=87>54z?03f5=:=n01>7kb;31e>;4i?21=?o4=2a52?10;2wx?n8::18085d><0<;>523b44>167349h:54;009~w6e113:18v3<7b6961b<5:3on7?=b:?0e3>=9;h01>m9b;547>{t;jm0?<<5rs2a5a?6=?;<1`37<3881v>m83;290~;4?j<1>9j4=2;gf?75l278m;6513f896e0=3=5<4s49h;948729>7f112=:;70=l768744=z{:i<47>53z?03f1=:=n01>o>7;312>;4k>k1;:=4}r1`3<<72:q6?n96:650?85d?k0?<=523b5`>1663ty8o:j50;1x961d038?h63?;<1`<4<3881v>m72;297~;4?j31>9j4=2c23?749278o5:57618yv5d0:0;6>u23b:0>214349h484;019>7f>12=::7p}o98;304>;4k131;:=4}r1`<=<72:q6?n67:650?85d0h0?<=523b:a>1663ty8o5m50;1x961dj38?h63c2908w0=l8e8436=:;j2m69>?;<1`=5<3881v>m61;297~;4?ji1>9j4=2c23?74;278o4=57618yv5d1;0;6>u23b;1>214349h594;019>7f?22=::7p}01>m68;547>{t;j3<6=4<{<1`=2<0?:16?n76:523?85d1h0?<<5rs2a:f?6=;r78;nk525f896g6?3;89637f?d2>=870=l9d8745=:;j3m69>>;|q0gd6=839p1>9lf;07`>;4i8=1=>84=2ab6?10;2wx?no>:18085di80<;>523bc0>167349hm94;009~w6ef=3:1?v3<7e2961b<5:k:;7?<7:?0gd1=?>90q~=la783>6}:;jk=6:9<;<1`e=<38916?no6:522?xu4khk1<7=t=25g5?43l278m<9512:896efk3=5<4s49hmo48729>7fgc2=:;70=lad8744=z{:ijj7>53z?03a4=:=n01>o>7;313>;4kk;1;:=4}r1`f5<72:q6?nl?:650?85dj;0?<=523b`0>1663ty8oo:50;1x961c;38?h63<5:ii:7983:p7fd22908w0=lb48436=:;jh<69>?;<1`f=<3881v>mm9;297~;4?m>1>9j4=2c23?751278ool57618yv5djh0;6>u23b`b>214349hnn4;019>7fdc2=::7p}o98;305>;4kj:1;:=4}r1`fc<72:q6?nli:650?85dk80?<=523ba1>1663ty8on=50;1x961c>38?h63?;<1`g2<3881v>ml8;292~;4?m=1>9j4=2c23?75j278m<9513a896g6?3;9h632908w0=lc88436=:;jii69>?;<1`gf<3881v>mld;290~;4?m?1>9j4=2;gf?74:278m;65120896edn3=5<4s49hoh48729>7fb72=:;70=ld08744=z{:io>7>54z?03a>=:=n01>7kb;307>;4i?21=>=4=2ag0?10;2wx?nj<:18085dl:0<;>523bf6>167349hh;4;009~w6ec?3:18v3<7e;961b<5:3on7?<4:?0e3>=9:>01>mk9;547>{t;jn36=4<{<1``=<0?:16?njn:523?85dlk0?<<5rs2agg?6=?;<1`a5<3881v>mj1;290~;4?mh1>9j4=2;gf?74>278m;65124896eb;3=5<4s49hi?48729>7fc32=:;70=le48744=z{:in:7>54z?03ae=:=n01>7kb;303>;4i?21=>94=2af0<;>523bg:>167349hil4;009~w6ebj3:18v3<7ef961b<5:3on7?<8:?0e3>=9:201>mjd;547>{t;joh6=4<{<1`af<0?:16?nkj:523?85dmo0?<<5rs2ae4?6=:r784=k525f896b7:3=5<5s49hj<48729>7f`42:ln7p}90q~=lf883>7}:;jl26:9<;<1g52<4nl1v>mia;296~;4kok1;:=4=2aea?5am2wx?nhk:18185dnm0<;>523bdf>1663ty8okk50;0x96b7:39mi637a652=:;70=k008436=z{:n;87>52z?0`52=?>901>j>7;1eb>{t;m:<6=4={<1g52<38916?i>8:650?xu4l921<76`b349ov3hj;|q0`44=838p1>j>2;547>;4l9o1?kk4}r1g56<72;q6?i>j:2de?85c9=0<;>5rs2f22?6=:r78h<85761896b7m3>;<6s|3e34>5<5s49o=:48729>7a452=::7p}90q~=k1`83>7}:;m;j6:9<;<1g5f<4nl1v>j>f;296~;4l;818=>4=2f14?10;2wx?i<=:18185c:;0<;>523e21>1663ty8h?=50;0x96b5;3=94?:3y>7a432>=870=lf08744=z{:n997>52z?0<46=:=n01>j<3;547>{t;m8=6=4={<1g63<0?:16?i<7:522?xu4l;=1<7:53gg8yv5c:k0;6?u23e0a>214349o>k4v36:9<;|q0`6>=838p1>j<8;547>;4l:318=>4}r1g7<<72;q6?i=6:650?85c;:0?<<5rs2f0e?6=:r78h>o5761896b4m39mi6s|3e1a>5<5s49o?o48729>7a5b2:lm7p}7}:;m9o6:9<;<1g7`<3881v>j2143ty8h9?50;0x96b393=7a252>=870=k4b80b`=z{:n??7>52z?0`15=?>901>j;7;1ea>{t;m>=6=4={<1g03<0?:16?i:8:522?xu4l==1<7214349o8o4v3?;|q0`07=838p1>j:1;547>;4l=h1?kk4}r1g17<72;q6?i;=:650?85c=?08jh5rs2f67?6=:r78h8853gd896b2<3=5<5s49o9;48729>7a242:ln7p};7983:?0`15=<9;0q~=k5983>7}:;1;96?:k;<1g22<0?:1v>j:9;296~;4l<31;:=4=2f6b?2792wx?i;n:18185c=h0<;>523e4;>6`b3ty8h8l50;0x96b1;39mi637a042=::70=k638436=z{:n=?7>52z?0`35=?>901>j94;635>{t;mj0;6?u23e4`>214349o:i4;019~w6b1l3:1>v3hj;|q0`3`=838p1>j82;1eb>;4l>:1;:=4}r1g37<72;q6?i9=:650?85c=k08jh5rs2f47?6=:r78h:=5761896b2j3>;=6s|3e57>5<5s493=>4=4e9>7a>62>=87p}7}:;m==6:9<;<1g<7<4nl1v>j87;296~;4l>=1;:=4=2f4`?5am2wx?i9l:18185c?j0<;>523e5g>1663ty8h:j50;0x96b?83>;=637a>72>=870=k8080bc=z{:n3>7>52z?0`=1=<9;01>j73;547>{t;m2=6=4={<1g<3<0?:16?i68:523?xu4l1=1<7214349o4l4v3f2909w0=k7680b`=:;m2i6:9<;|q0`=b=838p1>j7d;547>;4l>=18=?4}r1g<`<72;q6?5?;:36g?85c110<;>5rs2f;b?6=:r78h5h5761896b><3>;=6s|3e;3>5<5s49o5=48729>7a?>2:ln7p}90q~=k9683>7}:;m3<6:9<;<1g==<4no1v>j69;296~;4l0o18=?4=2f:e?10;2wx?i7k:18185c1m0<;>523e;f>1673ty8h4k50;0x96b>m3=7a?a2>=870=ka080b`=z{:nj<7>52z?0`d6=?>901>jn1;635>{t;mk:6=4={<1ge4<0?:16?i7>:2df?xu4lh81<772c349omi48729~w6bf<3:1>v3hj;|q0`d0=838p1>jnc;635>;4lh=1;:=4}r1gef<72;q6?iol:650?85cim08jk5rs2fba?6=:r78ho=5413896bfn3=5<5s49on?48729>7ad42=:;7p}7}:;mh?6:9<;<1gf3<4nl1v>jm5;296~;4lk?1;:=4=2fa2?2792wx?il9:18185cj?0<;>523ec5>6`b3ty8ho950;0x96be?3=7=712;>o70=kc38436=z{:ni57>52z?0`g?=?>901>jmc;635>{t;mhj6=4={<1gfd<0?:16?im<:2df?xu4lkh1<76`a349oo=48729~w6bd;3:1>v3?;|q0`f>=838p1>jl8;547>;4lj81?kk4}r1gg<<72;q6?im6:650?85ckk08jh5rs2f`e?6=:r78hno5761896bdj3>;=6s|3eaa>5<5s49ooo48729>7ade2:ln7p}90q~=kce83>7}:;1;<6?:k;<1g`2<0?:1v>jle;296~;4ljo1;:=4=2fg7?2792wx?imi:18185cko0<;>523ef;>6`b3ty8hi>50;0x96bc>3>;=637ab12>=870=kd680bc=z{:no47>52z?0`ab=<9;01>jk9;547>{t;mnh6=4={<1g`f<0?:16?ijk:523?xu4lmn1<7214349oi=4v3hj;|q0``7=838p1>jj1;547>;4lm:18=?4}r1ga7<72;q6?5?7:36g?85cmj0<;>5rs2ff7?6=:r78hh=5761896bb03>;=6s|3eg7>5<5s49oi948729>7acc2:ln7p}90q~=kec83>7}:;moi6:9<;<1gaf<4no1v>jjd;296~;4lo818=?4=2ffa?10;2wx?ih>:18185cn80<;>523ed1>1673ty8hk<50;0x96ba:3=4?:3y>7a`42>=870=kf480b`=z{:nm87>52z?0`c2=?>901>ji5;635>{t;ml>6=4={<1gb0<0?:16?ik::2df?xu4lo<1<70;6?u2393:>72c349n<<48729~w6ba03:1>v32909w0=kf88436=:;l:96>hj;|q0`cg=838p1>jia;547>;4loo18=?4}r1gb`<72;q6?h>>:2de?85cno0<;>5rs2g36?6=:r78i=95413896c7;3=5<5s49n<;48729>7`602=:;7p}7}:;l:36:9<;<1f4d<4nl1v>k?9;296~;4m931;:=4=2g3e?2792wx?h>n:18185b8h0<;>523edb>6`b3ty8i=l50;0x96bai3>;=637fc52:lm70=lde80bc=:;jn36>hi;<1``6<4no16?nmj:2de?85djo08jk523b:;>6`a349h9<47de52>=87p}m9f;1eb>;4k?k1?kh4=2a51?5an278o;>53gd896e2j39mj63hi;<1`=7<4no16?n6k:2de?85d0:08jk523b5f>6`a349h;447f132:lm70=nce8436=z{:o;j7>5az?0gf?=;ol01>ml4;1eb>;4kkk1?kh4=2aa1?5an278oo>53gd896efj39mj63901>om7;1e`>{t;l;;6=4={<1;4c<5214349n=;4;009~w6c6;3:1>v3k>9;547>;4m8k1?kh4}r1f5g<72;q6?h?m:650?85b9o08jk5rs2g2g?6=:r78i5<5s49n=k4;009>7`7c2>=87p}7}:;l;m6:9<;<1f66<3881v>k=1;296~;4m;;1;:=4=2g27?2792wx?h<=:18185b:;0<;>523d00>1673ty8i?=50;0x96c6i39mi63;4?:3y>7`412>=870=j268744=z{:o9;7>52z?0a47=;oo01>k=8;547>{t;l8j6=4={<1f6a<38916?h72c349n?548729~w6c5n3:1>v3hj;|q0a67=838p1>k<7;635>;4m:81;:=4}r1f72<72;q6?h=8:650?85b;108jk5rs2g0=?6=:r78i>k5413896c4i3=5<5s49n?i48729>7`5b2=:;7p}=;oo0q~=j3g83>7}:;l9m6:9<;<1f04<4nl1v>k;0;296~;4m=:1;:=4=2g75?2792wx?h:>:18185b<80<;>523d12>6`b3ty8i9<50;0x96c3:3=4?:3y>7=7d2;>o70=j4e8436=z{:o?87>52z?0a12=?>901>k;9;635>{t;l>>6=4={<1f00<0?:16?h:j:2df?xu4m=<1<7214349n8i4v3?;|q0a05=838p1>k:3;547>;4m=n1?kk4}r1f11<72;q6?h;;:650?85b=?08jh5rs2g61?6=:r78i8;5761896c2>3>;=6s|3d75>5<5s49n9;48729>7`212:ln7p};7983:?0a10=<9;0q~=j5983>7}:;1;o6?:k;<1f27<0?:1v>k:9;296~;4m<31;:=4=2g6g?2792wx?h;n:18185b=h0<;>523d40>6`b3ty8i8l50;0x96c2j3=7`052:lm70=j618436=z{:o=?7>52z?0a3>=<9;01>k94;547>{t;l<<6=4={<1f22<0?:16?h87:523?xu4m?21<700;6?u23d4:>214349n:o4v3hj;|q0a3e=838p1>k:b;635>;4m?i1;:=4}r1f2a<72;q6?5?j:36g?85b??0<;>5rs2g5a?6=:r78i;k5761896c0;3>;=6s|3d4e>5<5s49n:k48729>7`1?2:ln7p}90q~=j7983>7}:;l=o69>>;<1f3<<0?:1v>k8c;296~;4m>i1;:=4=2g4`?2782wx?h9k:18185b?m0<;>523d55>1663ty8i:k50;0x96c0m3=7`1a2>=870=j818744=z{:o3<7>52z?0a=6=?>901>k80;1ea>{t;l2:6=4={<1f<4<0?:16?h9?:522?xu4m181<7214349n454;009~w6c?<3:1>v322909w0=j8b8744=:;l2=6:9<;|q0a=b=838p1>k62;635>;4m1o1;:=4}r1f=4<72;q6?h7>:650?85b1;0?<=5rs2g:6?6=:r78i4<5761896c?j3>;=6s|3d;0>5<5s49n5>48729>7`?22:ln7p}7}:;l3>6:9<;<1f<0<4nl1v>k66;296~;4m0<1;:=4=2g;1?2792wx?h78:18185?:9098i523dc3>2143ty8i4650;0x96c>03=7`?>2>=870=ja380b`=z{:o2m7>52z?0ad7=<9;01>k6b;547>{t;lk96=4={<1fe2<38816?ho<:650?xu4mh<1<70;6?u23dc4>214349nm=4;009~w6cf03:1>v32909w0=ja88436=:;lkj69>>;|q0adg=838p1>kna;547>;4m0k1?kk4}r1feg<72;q6?hom:650?85b1h0?<<5rs2gbg?6=:r784??525f896ce;3=5<5s49nmi48729>7`d72=::7p}7}:;lkm6:9<;<1ff1<3881v>km7;296~;4mki18=?4=2ga523d``>1673ty8iom50;0x96cek3=7`dc2>=870=jbg80b`=z{:oii7>52z?0agc=?>901>kmf;635>{t;lhm6=4={<1ffc<0?:16?hoi:2df?xu4mj:1<757618yv5bk80;6?u23901>72c349no:48729~w6cd:3:1>v37983:p7`e42909w0=jc98744=:;li?6:9<;|q0af?=838p1>klf;635>;4mj31;:=4}r1fgg<72;q6?hmm:650?85bk;08jh5rs2g`g?6=:r78inh5412896cdl3=5<5s49nok48729>7`e02=::7p}7}:;ln:6:9<;<1f`7<3881v>kk2;296~;4mm81;:=4=2g`7?5am2wx?hj<:18185bl:0<;>523da0>1663ty8ii:50;0x96>5;38?h637`b22>=870=jd`8744=z{:oo:7>52z?0aa0=?>901>kkf;1ea>{t;ln<6=4={<1f``<38816?hj7:650?xu4mml1<757618yv5bm:0;6?u23dg0>214349ni94;019~w6cb<3:1>v3hj;|q0a`0=838p1>kj6;547>;4ml=18=?4}r1fa2<72;q6?hk8:650?85bl>08jh5rs2gf;=6s|3dg:>5<5s493>94=4e9>7``52>=87p}7}:;loi6:9<;<1fb1<4nl1v>kjc;296~;4mo918=?4=2gf`?10;2wx?hh;:18185bn00?<<523dd6>2143ty8ik650;0x96ca03=7``>2>=870=jf38744=z{:omm7>52z?0acg=?>901>kic;1ea>{t;lli6=4={<1fbg<0?:16?hhl:522?xu4moi1<7214349nin4;009~w6cam3:1>v3<80c961b<5:l;m7983:p7``a2909w0=jfg8436=:;o:;6>hj;|q0b56=838p1>h?0;547>;4n9<1?kk4}r1e41<72;q6?k>;:650?85a8?0?<<5rs2d31?6=:r78j=;5761896`6939mi6s|3g25>5<5s49m<44;009>7c602>=87p}7}:;o:i6:9<;<1e54<4no1v>h?c;296~;4n8;18=>4=2d3g?10;2wx?k>k:18185a980?<<523g2g>2143ty8j=k50;0x96`7=39mi637c762>=870=i168744=z{:l:?7>52z?0b53=<9;01>h>3;547>{t;o;?6=4={<1e52<38916?k?::650?xu4n8=1<7214349m<<4;009~w6`613:1>v3h>b;547>;4n;:18=?4}r1e5f<72;q6?k?l:650?85a:<08jh5rs2d2`?6=:r78j?:5413896`6m3=5<5s49m>l4;009>7c412>=87p}7}:;o8j6:9<;<1e66<3881v>h=b;296~;4n;h1;:=4=2d1`?5am2wx?k523g0g>1663ty8j?j50;0x96`5l3=h4?:3y>7c4b2>=870=i1e8744=z{:l9j7>52z?0<71=:=n01>h<8;547>{t;o9;6=4={<1e75<0?:16?k==:522?xu4n:;1<7o53gg8yv5a;<0;6?u23g1:>166349m?;48729~w6`4i3:1>v3?;|q0b6`=838p1>h;4n:218=?4}r1e05<72;q6?k:;:2df?85a<;0<;>5rs2d75?6=:r78j9?5761896`3:39mi6s|3g60>5<5s49m8>48729>7c232=::7p}7}:;o>>6:9<;<1e70<3881v>h;6;296~;40;?1>9j4=2d66?10;2wx?k:8:18185a<>0<;>523g6;>6`b3ty8j9650;0x96`303=7c2d2>=870=i4d8744=z{:l?h7>52z?0b1b=?>901>h:9;1ea>{t;o>n6=4={<1e14<38816?k:i:650?xu4n<;1<7214349m944v387983:p7c322909w0=i588744=:;o?>6:9<;|q0b00=838p1>h;d;1ea>;4n<=1;:=4}r1e1<<72;q6?k;6:650?85a=o0?<<5rs2d6f?6=:r78j9j5413896`2j3=5<5s49m9k4;019>7c3c2>=87p}j7983:?0b04=;oo0q~=i6183>7}:;o<;6:9<;<1e0<<3881v>h91;296~;4n?;1;:=4=2d7=?5am2wx?k8=:18185?:1098i523g4f>2143ty8j;=50;0x96`1;3=7c032>=870=i6`80b`=z{:l=47>52z?0b3>=?>901>h9a;635>{t;o<26=4={<1e2<<0?:16?k9::2df?xu4n?k1<7m0;6?u23g4g>214349m:h4v3h85;635>;4n>;1;:=4}r1e37<72;q6?k86:2df?85a?:0<;>5rs2d41?6=:r78j:;5761896`0j3>;=6s|3g54>5<5s49m:44;009>7c102>=87p}90q~=i7c83>7}:;o=i6:9<;<1e2`<4nl1v>h8c;296~;4n>i1;:=4=2d51?2792wx?k9k:18185a?m0<;>523g46>6`b3ty8j:k50;0x96>5138?h637c1a2>=870=i8180b`=z{:l3<7>52z?0b=6=?>901>h76;1ea>{t;o2?6=4={<1e<1<0?:16?k69:522?xu4n1?1<7166349m4:48729~w6`?13:1>v3e2909w0=i8c8436=:;o3:6>hi;|q0b=e=838p1>h61;634>;4n1i1;:=4}r1e:522?85a0m0<;>5rs2d;a?6=:r78j5;53gg896`?n3=5<5s49m5<48729>7c?02=::7p}90q~=i9583>7}:;o3<69>?;<1e=0<0?:1v>h67;296~;4n0=1;:=4=2d;e?5am2wx?k77:18185a110<;>523g:2>1663ty8j4750;0x96`>13=7=4f2;>o70=iag8436=z{:l2n7>52z?0b901>hm1;1ea>{t;o3o6=4={<1e=a<0?:16?ko<:523?xu4n0o1<7214349mm:4v3hm0;547>;4nk?18=?4}r1ef4<72;q6?km?:522?85aj;0<;>5rs2da=?6=:r78jo75761896`d83>;<6s|3g``>5<5s49m5o4;019>7cdc2>=87p}7}:;oi96:9<;<1ee6<4nl1v>hl3;296~;4nj91;:=4=2db7?5an2wx?km;:18185?:k098i523gf1>2143ty8jn;50;0x96`d=3=7ce12>=870=ic98745=z{:lh;7>52z?0bf1=?>901>hl8;635>{t;oi36=4={<1eg=<0?:16?kmn:2df?xu4njk1<7167349mok48729~w6`c;3:1>v3hkb;547>;4nml18=>4}r1e`f<72;q6?km::523?85alm0<;>5rs2dgb?6=:r78jih5761896`c:3>;=6s|3gg3>5<5s49mi=48729>7ce?2:ln7p}=;ol0q~=ie383>7}:;18h6?:k;<1eb5<0?:1v>hj3;296~;4nl91;:=4=2df1?2792wx?kk;:18185am=0<;>523gd2>6`b3ty8jh650;0x96`b03=7c`72=:;70=iee8436=z{:lm=7>52z?0bc0=<9;01>hi2;547>{t;ol>6=4={<1eb0<0?:16?kh9:523?xu4no<1<754138yv5an>0;6?u23gd4>214349mjo4v32909w0=if88436=:;oli69>?;|q0bcg=838p1>hia;547>;4noh18=?4}r1ebg<72;q6?khm:650?85am108jh5rs2de`?6=:r784?j525f89167l3=5<5s49mjh48729>05672=::7p}7}:<9:86:9<;<634<<4nl1v9>?9;296~;389n18=>4=523e?10;2wx8=>j:1818279:0?<<52412e>2143ty?<<<50;0x9166:3=;=>4?:3y>05742>=870:?0e8744=z{=::87>52z?7442=?>9019>>8;1ea>{t<9;>6=4={<6350<0?:168=?7:2de?xu388<1<70;6?u24134>21434>;=54;009~w16603:1>v3;00:9325<5=:;?7=ie:p057f2909w0=;bc8436=:;jlh6>hj;|q744d=838p1>:mc;547>;4l:81?kk4}r635f<72;q6?9lk:650?85c;<6s|413f>5<5s49?nk48729>7a>72=:;7p};00d94?4|5:>h<7983:?0`<1=<9:0q~:?2183>7}:;=i:6:9<;<1gef<3891v9>=1;296~;4523ef5>1673ty?;>94?:3y>71e22>=870=kfd8745=z{=:997>52z?00f0=?>901>k>9;634>{t<98=6=4={<17g2<0?:16?h=8:523?xu38;=1<7214349n9k4;019~w16513:1>v3<4bc9325<5:o<;7=if:p054f2909w0=;cc8436=:;l2h6>hi;|q747d=838p1>:lc;547>;4mh;1?kh4}r636f<72;q6?9mk:650?85bj=08jk5rs521`?6=:r788nk5761896cd039mj6s|410f>5<5s49?ok48729>7`bb2:lm7p};03d94?4|5:>o<7983:?0ac5=;ol0q~:?3183>7}:;=n:6:9<;<1e4<<3891v9><1;296~;4523g1:>6`a3ty?<>=50;0x962c<3=;?94?:3y>71b22>=870=i6e8745=z{=:897>52z?00a0=?>901>h79;634>{t<99=6=4={<17`2<0?:16?koj:2df?xu38:=1<7214349mik4v3<4ec9325<5=:;o7=ie:p055f2909w0=:1`8436=:;jlj69>?;|q746d=838p1>;>b;547>;4l;l18=>4}r637f<72;q6?8?l:650?85c<>0?<=5rs520`?6=:r789;<6s|411f>5<5s49>=h48729>7a1c2=:;7p};02d94?4|5:?:j7983:?0`<7=<9:0q~:?4183>7}:;<8;6:9<;<1ge3<3891v9>;1;296~;4=;;1;:=4=2faf?2782wx8=:=:181852:;0<;>523ef3>1673ty?<9=50;0x9635;3=;894?:3y>70432>=870=kf`8745=z{=:?97>52z?0173=?>901>k>6;634>{t<9>=6=4={<1663<0?:16?h=>:523?xu38==1<7214349n9o4;019~w16313:1>v3<53;9325<5:o<<7:?0:p052f2909w0=:2`8436=:;l2>69>?;|q741d=838p1>;=b;547>;4m0k18=>4}r630f<72;q6?8;<6s|416f>5<5s49>>h48729>7`b02=:;7p};05d94?4|5:?9j7983:?0a`e=<9:0q~:?5183>7}:;<9;6:9<;<1e43<3891v9>:1;296~;4=:;1;:=4=2d2`?2782wx8=;=:181852;;0<;>523g16>1673ty?<8=50;0x9634;3=;994?:3y>70532>=870=i6`8745=z{=:>97>52z?0163=?>901>h76;634>{t<9?=6=4={<1673<0?:16?kom:523?xu38<=1<7214349min4;019~w16213:1>v3<52;9325<5=:;57:?0:p053f2902ov3;04c9=5b<5=:>h7=if:?06m1;1ea>;40ki1?kk4=2:af?5am2784oo53gg896>e>39mi63<8`097cc<5:?;75;1eb>;4=j?1?kh4=26:f?5an2788l853gd8962e939mj63<4c697c`<5:>i97=if:?01<6=;ol01>;k0;1eb>;4=;ol01>;65;1eb>;4=m?1?kh4=26a=?5an27894853gd8963c>39mj63<4cc97c`<5:?2;7=if:?01a1=;ol01>:6c;1eb>;4=021?kh4=27g139mj63<5e;97c`<5:>2i7=if:?012d=;ol01>;mb;1eb>;4<0l1?kh4=274g?5an2789om53gd8962f839mj63<56f97c`<5:?ih7=if:?00d7=;ol01>;8e;1eb>;4=ko1?kh4=26b6?5an2789:h53gd8963en39mj63<4`197c`<5:?3<7=if:?01f6=;ol01>:n4;1eb>;4=1;1?kh4=27`5?5an2788l;53gd8963?:39mj63<5b097c`<5:>j;7=if:?01=5=;ol01>;l3;1eb>;4;77;1eb>;4=j=1?kh4=26bf?5an27895653gd8963d039mj63<4`a97c`<5:?357=if:?01f?=;ol01>:nd;1eb>;4=1k1?kh4=27`e?5an2788lk53gd8963?j39mj63<5b`97c`<5:>jj7=if:?01=e=;ol01>;lc;1eb>;4;lf;1eb>;4=0;1?kh4=27g5?5an27894<53gd8963c:39mj63h98;1eb>;4n1>1?kh4=2f72?5an278h;<53gd896b0k39mj63jj1;1eb>;4lo<1?kh4=2g3f?5an278i9<53gd896c2?39mj63i?7=if:?0a=7=;ol01>k66;1eb>;4mhh1?kh4=2g`4?5an278ii=53gd896cb039mj636m7;1ea>;40k>1?kk4=2:a6?5am2784lh53gg896>fl39mi63<8``97cc<5:2j57=ie:?06n5;1ea>;40h91?kk4=2:b4?5am27844k53gg896>>k39mi63<88c97cc<5:2247=ie:?0<<0=;oo01>664;1ea>;40081?kk4=2::4?5am27845k53gg896>d;39mi63<8b397cc<5:2ij7=ie:?067c;1ea>{t<9?i6=4={<631d<521434>;:84i7=ie:?740e=;oo0q~:?6083>6}:<9<:6:9<;<631`<4no168=;l:522?xu38?81<7:0;6?u24140>21434>;:84;009~w161=3:1>v3;0779325<5=:>h7=ie:p05002909w0:?5e8745=:<9<<6:9<;|q743>=83kp19=>5;02g>;3;9o1;:=4=512b?5e927???>53c389156l39i=63;30g97g7<5=9:57=m1:?774g=;k;019=>b;1a5>;3;8i1?o?4}r632<<72?q6?8:n:2df?85e1:08jh523b77>6`b34934n48729>7=>c2:lo70=k1g8745=z{=:=m7>56z?0103=;oo01>l6d;1ea>;4l:218=>4=2a6=?5am27845k5761896>?n39mh6s|414a>5<2s49>984;019>7gg62:ln70=l5d80b`=:;13;6:9<;<1;=4<4nm1v9>9c;291~;4=<<1?kk4=2`b1?5am278o;=53gg896>>:3=;:i4?:4y>70312=:;70=ma880b`=:;j<36>hj;<1;=1<0?:16?57::2dg?xu38?o1<7;t=2763?5am278nlj53gg896e1l39mi63<8849325<5:22;7=id:p050a290>w0=:568745=:;kh:6>hj;<1`37<4nl16?577:650?85?1008ji5rs5244?6==r7898653gg896de=39mi630}:;?;<1af<<4nl16?n9l:2df?85?1j0<;>5239;g>6`c3ty?<:<50;7x9632139mi63901>66f;1e`>{t<9=86=4:{<161<<38916?om>:2df?85d0?08jh5239c3>2143493m<46n2;547>;4k1h1?kk4}r6330<726`b3493m>48729>7=g32:lo7p};06494?3|5:??n7:?0:?0ffb=;oo01>m65;1ea>;40h?1;:=4=2:b2?5al2wx8=98:1868526`b349h5l47=g02>=870=7a980ba=z{=:<47>55z?011e=<9:01>lk5;1ea>;4k0l1?kk4=2:b=?10;2784lo53gf8yv27?00;68u2346g>6`b349ih447fg32:ln70=7ac8436=:;1kh6>hk;|q742g=83?p1>;;d;634>;4jmn1?kk4=2ab=?5am2784lj5761896>fm39mh6s|415a>5<2s49>8h47gc62:ln70=lad80b`=:;1km6:9<;<1;f5<4nm1v9>8c;291~;4==o18=>4=2`f1?5am2784o?5761896ee;39mi63;;i4?:4y>702a2:ln70=me880b`=:;jh36>hj;<1;f7<0?:16?5l<:2dg?xu38>o1<7;t=277b?278278nhj53gg896eel39mi63<8c69325<5:2i97=id:p051a290>w0=:5080b`=:;kl:6>hj;<1;f3<0?:16?nm=:2df?85a9=0?<=5rs52;4?6==r7898>53gg896da=39mi63=;on0q~:?8083>3}:;?;<16f7<5=h16?oh6:2df?85?j00<;>523g1f>167349hon4901>mk1;1ea>;4n4}r63<6<72214349hh;47c1?2=:;7p};09694?3|5:?>>7:?0:?0g52=;oo01>6mc;547>;4kmh1?kk4=2d:0?2782wx8=6::185852=:08jh523b2;>6`b349mn44;019>7fc72:ln70=7be8436=:;1hn6>hk;|q74=0=83;:3;634>;4k9i1?kk4=2dgf?278278oh;53gg896>en3=;4:4?:7y>70332:ln70=l1180b`=:;ol>69>?;<1`ad<4nl16?5m>:650?85?k;08ji5rs52;r7898:5412896e6<39mi63?6;1ea>{t<92j6=4={<6321434>:i;4;019~w16?m3:1>v3;09g9325<5=:3n7=ie:p05>a2909w0:?8g8436=:<92i6>hi;|q74<6=838p19>60;547>;381n1?kh4}r63=4<72;q68=7>:650?8270h08jh5rs52:6?6=:r7?<4<57618916>939mj6s|41;0>5<5s4>;5>48729>05>c2:ln7p};08694?4|5=:287983:?74<7=;oo0q~:?9483>c}:<9k364>k;>m3;==63=88d9537<5;2j<7?91:?1;50h91=;?4=3:b0?7192794l;5173897>f?3;==63=8`:9537<58;;i7:?1:?ef3<4nl1v9>66;29f~;38h315=j4=3::a?71:27944h5170897>f83;=>63=8`39534<5;2j>7?92:?1;50h?1=;<4=3:b3?71:2794l651708yv271>0;6ou241cb><6c34835h4>529>6=?a28?870<7a18216=::1k:6<;<;<0;e7<6=:16>5o<:070?84?i=0:9>5229c6>4343483m:4>529>6=g?28?87p};08:94?d|5=:jn77?d:?1<;50h:1=8;4=3:b5?72=2794l<5147897>f;3;>963=8`69503<5;2j97?:5:?1{t<9326=46{<63ef<>8m16>57j:075?84?1o0:9;5229c3>4313483m<4>579>6=g528?=70<7a28213=::1k?6<;9;<0;e0<6=?1v9>6a;29=~;38hn15=j4=3::a?72027944h514:897>f83;>463=8`3950><5;2j>7?:8:?1;50h?1=864}r63=g<726`c3483m:46=g?2:h:7p};0`294?7f1r7?;d0=08jk52cb197c`<5j;96>hj;gf2=;ol01n?k:2df?8ef=39mi63lb180bc=:kjl1?kh4=b0;>6`b34iin7=ie:?`g5<4no16oi<53gd89f542:ln70ml1;1ea>;dk;08jk52ce197c`<5j9=6>hj;ga2=;ol01n=8:2df?8e??39mi63l8980bc=:km?1?kh4=b1;>6`b34i357=ie:?`o53gg89f>e2:ln70m7c;1eb>;d9=08jh52c9f97cc<5j2n6>hi;g<7=;ol01n?7:2df?8e>:39mi63l9280bc=:km<1?kh4=b3:>6`b34i287=ie:?`=0<4no16o;d9l08jh52c8:97cc<5j326>hi;gl39mi63l9d80bc=:k;>1?kk4=b;e>6`b34ij<7=if:?`63<4nl16ol?53gg89fg52:lm70mk7;1eb>;d:>08jh52c`197cc<5jk?6>hi;44gd0=;oo01no8:2de?8ed=39mj63l2`80b`=:kh21?kk4=bc:>6`a34ih:7=if:?`6g<4nl16olo53gg89fge2:lm70ml7;1eb>;d:j08jh52c`a97cc<5jko6>hi;i4gdc=;oo01noi:2de?8ed139mj63l2d80b`=:kk;1?kk4=b`1>6`a34ihm7=if:?`6c<4nl16oo=53gg89fd32:lm70mlb;1eb>;d;908jh52cc797cc<5jh=6>hi;gg1=;oo01nl7:2de?8edl39mj63l3380b`=:kk31?kk4=b`b>6`a34ihi7=if:?`71<4nl16oom53gg89fdc2:lm70mk0;1eb>;d;<08jh52ccg97cc<5jhm6>hi;ed3=;oo01l6i:2df?8g>839mi63na680b`=:ih21?kk4=`cb>6`b34kjn7=ie:?bea<4nl16mlk53gg89dd72:ln70om1;1ea>;fj:08jh52ac697cc<5hh=6>hj;egg=;oo01lll:2df?8gel39mi63n9380b`=:i091?kk4=`;6>6`b34k2:7=ie:?b==<4nl16m4753gg89d?e2:ln70o6c;1ea>;f1l08jh52a8d97cc<5hk:6>hj;e;1ea>;5;;h1?kk4=311`?5am279??k53gg89755n39mi63=33597cc<5;9947=ie:?1763=;oo01?=<7;1ea>;5;:21?kk4=310=?5am279?>?53gg89754:39mi63=32d97cc<5;9?=7=ie:?1714=;oo01?=;3;1ea>;5;:h1?kk4=310g?5am279o?;53gg897e5>39mi63=c3`97cc<5;i9o7=ie:?1g62=;oo01?m<5;1ea>;5k;o1?kk4=3a1b?5am279o>?53gg897e4:39mi63=c2597cc<5;i847=ie:?1g6g=;oo01?m;5k:n1?kk4=3a0a?5am279o9>53gg897e3939mi63=c3:97cc<5;i957=ie:?2g;581918=?4=0g7a?5an279;5;53gg8973fj39mi63m758745=:;;nh69>>;<13aa<4no16?=?j:2de?87b0m08jk521`6b>16634;j=84f37=;oo01?8nc;1eb>;a:m08jk52f0;97cc<5;l287=ie:?1b<3=<9:01??=f;1eb>;59;o1?kh4=331`?5an279=?m53gd89775j39mj63=13c97cc<5;;957=ie:?157>=;oo019?k2;1eb>;39k:1?kh4=5306?27827:==m53gg89477;3>;<63=f8097c`<5;l<57=if:?2417=;ol01;6kh21?kk4=0ab3?5am27:ol853gg894ef=39mi63>c`697cc<58ij?7=ie:?2gd4=;oo01;6kh:1?kk4=0`37?5am27:n=<53gg894d7939mi63>b1297cc<58kmj7=ie:?2ecc=;oo01;6ioi1?kk4=3ff1?5am279:8j53gd897bb;39mj63=dd397c`<5on:6>hi;<0g<<<4no16=?9<:2de?840>?0?<=522e:g>6`b348ni>46c`72:ln70hj;<0ea6<4nl16>kk?:2df?84alm08jh522gf;>6`b348mo>46cg72:ln70hj;<6147<4nl16?>6<:2df?8540;08jh5232:2>6`b348<8i4g2c=;ol01n6?:2de?8e?:39mj63l5g80bc=:k?;1?kh4=b40>6`a34i=97=if:?`22<4no16>l7>:522?84f190?<<522`:e>166348j4h4;009>6d>c2=::70hj;<0b==<38916>4h<:523?84f1>0?<=5228d1>167348j5;4;019>6d?22=:;70?;<0b3a<38916>l9l:523?84f0j0?<=522`24>167348j4o4;019>6d612=:;70?;<0b<2<38916>l>>:523?84f0?0?<=522`23>167348j484;019>6<`a2=:;70?;<0b<6<38916>4hk:523?84f0;0?<=5228d`>167348j4<4;019>6<`e2=:;70?;<0b3c<38916>4h6:523?84f1h0?<=5228d6>167348j544;019>6<`32=:;70<6f080bc=::h;=6>hi;<0b56<4no16>l>=:2de?84>n?08jk5228ge>6`a34;jj84;009>5f?>2=::70?n448744=:;;h<69>>;<05g6<4no16>;8k:2de?8df?3>;<63=fe`9056<5;lom7:?0:?1ba0=<9:01?hk5;634>;5nm>18=>4=3dg7?278279ji<5412897`c93>;<63=f8297c`<58km>7:?0:?2g<0=<9:01;6k1:18=?4=0cf=?5an27:o5j53gd89711i39mj63=77697c`<5;=>h7=if:?760c=;oo019<:a;1ea>;3:<<1?kk4=507a?5am27?>8<53gg89143:39mi63;20497cc<5=;n;7=ie:?75`d=;oo019<97;1ea>;3:?81?kk4=5034?5am27?=km53gg8917a039mi63;1g697cc<5=;m<7=ie:?1b`b=<9:01?hje;1ea>;5nk>18=>4=3da1?5am279jo>5412897`e939mi63=f`a9056<5;ljh7=ie:?1bd>=<9:01?hn9;1ea>;5nh>18=>4=3db1?5am279joo53gg897`ej39mi63=fc597cc<5;li47=ie:?1bf`=;oo01?hk0;1ea>;5nji1?kk4=3d``?5am279jn753gg897`di39mi63=fb497cc<5;lh;7=ie:?1bf6=;oo01?hl1;1ea>;5nkn1?kk4=3daa?5am27944k5143897>>n3;>=63=8`29507<5;2j=7?:1:?1;50h>1=8?4=3:b1?7292794l95143897>f03;>=63=04f9056<5;n287:?1:?1b2b=;oo01?h81;1eb>;aj=08jk522g::>6`b34;;9=463>32:lm70hi6;1eb>;an:08jh52266;>6`a348<9846a>52:lm7p};08a94?des4>;n=460e9>05>a2:ln70:?9380b`=:<93?6>hj;<63=5<4no168=7<:2df?84f==08jh522`41>6`b348j:h46d322:ln70hj;<0b1d<4nl16>l;m:2df?84f=j08jh522`7g>6`b3482j:46d3b2:ln70hi;<0b1c<4nl16>l8?:2df?84f>808jh522`40>6`b348j:946d022:ln70hj;<0b2=<4nl16>l86:2df?84f>h08jh522`27>6`b348j:o46d>f2:ln70hj;<0b2a<4nl16>l8i:2df?84f8108jh522`53>6`b348j<446d162:ln70hj;<0b4g<4no16>l9<:2df?84f8j08jh522`57>6`b348j6d122:ln70hj;<0b4c<4no16>l98:2df?84f9908jh522`5;>6`b348j=<46d1>2:ln70hj;<0b51<4nl16>l9m:2df?84f9<0?<=5243:0>16634>9494071a2:lm70<6e980b`=::0o=6>hj;<0:a6<4nl16>4k?:2df?84>lm08jh5228f:>6`b3482h;46hj;<0:gf<4nl16>4mn:2df?84>k108jh5228a5>6`b3482o946hj;<0:fg<4nl16>4l6:2df?84>j<08jh5228`0>6`b3482n<46hj;<0:ag<4nl16>4k6:2df?84>km08jh5228`5>6`b3482ih405>b2:ln70hj;<0bl6j:2df?84f0m08jh522`;7>167348j5?4;019>6d??2:ln70<6f280b`=::h3<6>hj;<0:b7<4nl16>l79:2df?84f1<08jh522`;0>6`b348j4546d1c2:ln70hj;<0b42<4nl16>l6m:2df?84f8?08jh522`::>6`b348j<>46d>02:ln70hj;<0b45<4nl16>l6::2df?84>no08jh522`:7>6`b3482jh46d>42:ln70<6fe80b`=::h296>hj;<0:bf<4nl16>l6>:2df?84>nk08jh522`:3>6`b3482jl46d1a2:ln70<6f880b`=::h3j6>hj;<0:b0<4nl16>l76:2df?84>n=08jh5228d2>167348j=;4;019>6d742=:;70?;<0:ac<389168?8;:2de?826m:0?<<524306>6`a34>9>;407462:lm70:=2380bc=:<;;o6>hi;<615`<4no168??6:2de?8259h08jk524332>6`a34>9=?4076c2:lm70:=0d80bc=:<;>26>hi;<610d<4no168?:::2de?8256`a34>9?h4075>2:lm70:=3`80bc=:<;9>6>hi;<6173<4no168?=>:2de?825;;08jk52430g>6`a34>9>h4074>2:lm70:=2`80bc=::h?<6>hk;<6132<388168?9>:522?826ll08jk52435a>16734>9;n4;019>5`g?2:lm70:=7580bc=z{=:2h7>57`y>05d620:o70:?8c8:1<=:<93:64;6;<63=5<388168=7<:2de?84>0:0294522`34><3>3482mo46589>6d5120?270<6c38:1<=::h9<64;6;<0:`d<>=016>l:=:87:?84>mh0294522`6g><3>3482in46589>6d2b20?270<6ab8:1<=::h>m64;6;<0:e`<>=016>l;?:87:?84>j90294522`72><3>3482n?46589>6d3520?270<6b58:1<=::h?864;6;<0:b=<38816>l;i:2de?84>j10294522`1;><3>3482nl46589>6d5>20?270<6bb8:1<=::h9j64;6;<0:f`<>=016>l=m:87:?84>k90294522`1`><3>3482o>46589>6d5c20?270<6c48:1<=::h9n64;6;<0:g2<>=016>l=i:87:?84>k00294522`63><3>3482oo46589>6d2620?270<6cd8:1<=::h>864;6;<0b40<38816>l8l:2de?84>l80294522`67><3>3482h>46589>6d2220?270<6d48:1<=::h>=64;6;<0b4<<38816>l9>:2de?84>l10294522`64><3>348j6d142:lm70<6db8:1<=::h>364;6;<0b4a<38816>l9::2de?84>lo0294522`6:><3>348j6d102:lm70<6e38:1<=::h>j64;6;<0b54<38816>l96:2de?84>m<0294522`6a><3>3482i:46589>6d2d20?270hj;<61<1<389168?9i:523?825>=08jh5240g0>6`b34>9>8407412=:;70:=2080b`=:<;8969>?;<615a<4nl168??j:523?8259008jh52433b>16734>9=<407752=:;70:=0e80b`=:<;:n69>?;<610<<4nl168?:n:523?825<<08jh524365>16734>9?i4075b2=:;70:=3880b`=:<;9j69>?;<6170<4nl168?=9:523?825;808jh524311>16734>9>i4074b2=:;70:=2880b`=:<;8j69>?;<6132<389168?9>:523?826ll08jh52435a>6`a34>9;n45`g?2:ln70:=7580b`=z{=:2i7>552y>05d520:o70:?8`8:1<=:<92o64;6;<0:03<>=016>4on:87:?84>><0294522845><3>3482;<46589>6<1d20?270<67e8:1<=::0=n64;6;<0:3c<>=016>46?:87:?84>0802945228:1><3>3482n:46589>6<0020?270<6698:1<=::0<264;6;<0:2d<>=016>48m:87:?84>>j029452284g><3>3482:h46589>6<0a20?270<6718:1<=::0=964;6;<0:`5<>=016>49<:87:?84>?=0294522856><3>3482h:46589>6<1120?270<6dc8:1<=::0=<64;6;<0:``<>=016>497:87:?84>m8029452285:><3>3482i946589>6<1f20?270<67c8:1<=:9lk=69>>;<6136<4nl168?9i:2df?825>=0?<<5243:3>6`a349:<9404c52:lm70:=248744=:<;8=69>>;<6164<388168?<=:522?8259m0?<<52433f>16634>9=44;009>077f2=::70:=108744=:<;;969>>;<614a<388168?>j:522?825<00?<<52436b>16634>9884;009>07212=::70:=3e8744=:<;9n69>>;<617<<388168?=n:522?825;<0?<<524315>16634>9?<4;009>07552=::70:=2e8744=:<;8n69>>;<616<<388168?08jk524352>6`a34>:hh4;009>071>2:lm70:=8080b`=:<;2>6>hj;|q74<`=839hw0:?b28:4a=:9lk=6>hi;<6136<4no168?9i:522?825>=0?<=5243:3>6`b349:<94;009>04c42=:;70:=5e80b`=:<;?26>hj;<6110<4nl168?:k:2df?825=808jh524362>6`b34>9=8404c12:ln70:>e`80b`=:<;<=6>hi;<6124<4no1686`a34>:j>404ca2:lm70:=0880bc=:<;:?6>hi;<6160<389168?<9:2df?825:80?<=524301>6`b34>9=i4;019>077b2:ln70:=188745=:<;;j6>hj;<6154<389168??=:2df?8258m0?<=52432f>6`b34>9844;019>072f2:ln70:=448745=:<;>=6>hj;<617a<389168?=j:2df?825;00?<=52431b>6`b34>9?84;019>07512:ln70:=308745=:<;996>hj;<616a<389168?6`b34>9;:407162:ln70:>dd8745=:<;=26>hj;<61<4<4no168?6::2de?xu38h;1<7?=cz?74g2=19n01oj=:87:?8e?<3>;=63lc28744=:jo815874=b;b>16634ih87:?1:?ab6<>=016oo>541389fea2=::70lie;;6=>;dk90?<<52ce09057<5j:264;6;4;009>g5d=1<301n69:522?8ec<3>;=63l0b8:1<=:k1218=?4=bf6>16634i;h77:9:?`d2:ln70m?f;;6=>;d0l08jh52c029=0?<5j3:6>hj;<`e0??2127h5>4;009>ga0=<9;01oh::87:?8e>=39mi63mf78:1<=:k0=1?kk4=cd4><3>34i257=ie:?ab=<>=016o4m53gg89g`>20?270m6e;1ea>;enh029452c`297cc<5kli64;6;fce=1<301no;:2df?8ec039mi63mfe8:1<=:kh=1?kk4=ba6>6`b34hmj77:9:?`e<<4nl16on853gg89f6720?270mnb;635>;dk>0?<<52c139=0?<5jko6>hj;gd`=;oo01nm6:2df?8e7;33>563lb38744=:kjk18=?4=b27><3>34ii87:?1:?`gg<38816o=;594;89fd12=::70mlc;635>;d8?029452cc:9057<5jio69>>;gfc=<9;01n>7:87:?8eel3>;=63ld18744=:k9k15874=b`e>16634io=7:?1:?1776=1<301?==2;;6=>;5;;<15874=311e??21279??m594;89754833>563=3269=0?<5;98:77:9:?176g=1<301?=;5;=:15874=3170??2127:o4<525f894gbm38?h63=c369=0?<5;i9m77:9:?1g65=1<301?m=d;;6=>;5k::15874=3a02??21279o>7594;897e4k33>563=c2d9=0?<5;i9;77:9:?13=3=;ol01?;nb;635>;e?=08jh52b739057<588:87=if:?25``=;ol01;690818=?4=g;6>16634l<57:?1:?e6f<38816j<654138970fk39mi63=f869056<5;l297=ie:?75a4=<9:019?m0;1ea>;39:81?kh4=3d:6?278279j:754128946di39mi63>0b097cc<58:im7:?1:?24g4=<9;01<>na;1eb>;68h81?kh4=02:e?27927:<4<54138946?:39mj63>06c97c`<58:<>7:?0:?243g=<9:01<>92;1ea>;685412897bb=3>;<63=6cf97cc<5;;5>1l1?kh4=34;7?5an279:;:53gg89702i39mj63=dd19056<5;nn=7=ie:?24cg=<9:01<>i2;634>;68lk18=>4=02f6?27827:;<63>09c97cc<58:847:?0:?2466=<9:01<>=8;1ea>;68;:1?kk4=02254128946703>;<63>0129056<5ol969>?;bag=;oo01kj?:523?8`d03>;<63ib28745=::m226>hj;<3136<4nl16>:8::2df?840=l08jh522677>6`b348o4i4;009>6`c42:lm70?;<0ea=<4no16>kk6:523?84am<08jk522gg5>167348mi?46cc42=:;70?;<0e`f<4no16>kjk:523?84al>08jk522gf;>167348mo?46ce42=:;70?;<0e=f<4no16>k7k:523?8258>0?<=524321>167348mio46cd52:ln70hj;<0ee3<4nl16>ko=:2df?8e0k39mi63l7d80b`=:k1:1?kk4=b:1>6`b34i>j7=ie:?`24<4nl16o;=53gg89f022:ln70m97;1ea>;5>j91?kk4=345`?27827im446cbe2:ln70hj;<0e`0<4nl16>kj;:2df?84al:08jh522gf1>6`b348mh<46c?72:ln70?;<0421<38916>:;k:523?840=;08jh522670>6`a348<8o4;019>622d2=:;70:=5d8745=:<;?j69>?;<6113<389168?:j:523?825=;0?<=524361>16734>9=;4;019>04c02=:;70:>ec8745=::>>n64;6;<6122<389168?8=:523?825890?<=5240d`>16734>:j54;019>04`32=:;70:>f18745=:<;:j6>hi;<6140<4no16>kkk:2de?84aml0?<=522g`7>6`a348mn84;019>6cd72:lm70hi;<0eea<38916>ko7:2de?84ai00?<=522gc7>6`a348mm84;019>6cd>20?270=016>km7:87:?84ak<0294522g`e><3>348mnn46589>6=?b28?270<79g821<=::1k;6<;6;<0;e4<6=016>5o=:07:?84?i:0:945229c7>43>3483m84>589>6=g028?270<7a9821<=:98:n69>?;<0g=1<4nl16>k9>:2df?84a0008jk52266;>166348<984;019>6a>52:ln7p};0`094??7s4>;n8460e9>g6d=1<301n;m:87:?8e2k33>563l6b8:1<=:k>=15874=b5:><3>34i=016o:j594;89f1a20?270m71;;6=>;d=m029452c4g9=0?<5j<;64;6;g30=1<301n87:87:?8e1133>563l6`8:1<=:k?h15874=b4g><3>34i=i77:9:?`2c<>=016o:>594;89f1620?270m82;;6=>;d?:029452c669=0?<5j=>64;6;ed5=1<301l6j:87:?8gf>33>563na88:1<=:ihi15874=`ce><3>34ki>77:9:?bf0<>=016mo6594;89dde20?270o61;;6=>;f1=029452a859=0?<5h3j64;6;667a20?270<<288:1<=:::9864;6;<007a<>=016=n7<:36g?87fmo098i5226:6>166348>ml4;019>f22=;ol01o8?:523?84a?o0?<<521337>6`b34;:ik454ef2:lm70?>9380bc=:n0?1?kk4=g5:>6`b34l9o7=if:?e5=<4no16>;om:523?8768j0?<=521020>6`a34;;ol455e52:lm70??b`80bc=:99h96>hi;<33ed<4nl16==o=:2df?8771h08jk5211;1>6`a34;;4?4;019>551f2=:;70??7380b`=:99hj;<3327<4no16==;n:2de?8776`b348m4k463d12:lm70<9a480bc=::?3>6>hj;<05<6<38916>;;k:523?877nh08jk5211d1>6`a34;;il455c52:lm70??d`80b`=:99n96>hj;<336`a34;;>=4;019>557?2=:;70??1180b`=:99:36>hj;<3345<4nl16jk<53gg89ccf2:ln70hj2;634>;alh0?<=52fe297cc<5oi36>hj;o7=if:?1b=5=;ol01?8l4;635>;ei00?<<522672><3>348<8l46589>622a2:ln70<85180b`=::13n6<;n;<0;=c<6=h16>5o?:07b?84?i80:9l5229c1>43f3483m>4>5`9>6=g328?j70<7a4821d=::1k<6<;n;<0;e=<6=h16>iji:523?84c?m0?<=52266;>167348<98462232:lm70<83d80bc=::>9=6>hi;<047d<4no1v9>n3;293a}:<9h=64>k;<`a6??2127in>46589>fg2=1<301oli:87:?8ddi33>563mcb8:1<=:jjn15874=caf><3>34hhj77:9:?a`5<>=016ni?594;89gd220?270lm6;;6=>;ej>029452bc:9=0?<5kh264;6;<`ae??2127ino46589>fge=1<301olk:87:?8dem33>563mc18:1<=:jj;15874=ca1><3>34hh?77:9:?ag1<>=016nn;594;89ge120?270ll7;;6=>;ek1029452bb;9=0?<5kii64;6;<04<4<4no16>8on:2de?84a?o0?<=521337>16734;:ik4;019>54ef2:ln70?>9380b`=:n0?1?kh4=g5:>6`a34l9o7=ie:?e5=<4nl16>;om:2df?8411o08jh522754>6`a34;:54642:ln70??c`8745=:99i969>?;<33fd<38916==l=:523?877ih0?<=5211c1>16734;;5l455?52:ln70??8380b`=:99=j6>hj;<3337<4no16==8n:2de?877>;0?<=52117b>16734;;8k455272:lm70hi;<05;8;:2de?841=h0?<=5211db>6`b34;;j?455cf2:ln70??e380b`=:99nj6>hi;<33`7<4no16==6n:523?877;108jk521113>6`a34;;>54;019>55472:lm70??1980bc=:99;;6>hi;<334=<4no16==>?:2de?8`a:39mj63ie`80bc=:nl81?kh4=gfb>6`a34lo<7=if:?eg=<4no16jo=53gd89711039mi63=77497c`<5;==57=ie:?1334=;oo01?993;1ea>;5?7=if:?1b=5=;oo01?8l4;1ea>;5?<81?kh4=3567?5am279;9l53gg89713k39mi63=75d97c`<5;=><7=if:?1<;50h:1=8l4=3:b5?72j2794l<514`897>f;3;>n63=8`6950d<5;2j97?:b:?1;5lml1?kh4=3f4`?279279:5:53gg89713039mi6s|41c7>5<60r7?:2df?84?1l0:9n5229;e>43d3483m=4>5b9>6=g628?h70<7a3821f=::1k86<;l;<0;e1<6=j16>5o::07`?84?i>0:9n5229c;>43d34;:6aba2=::70hm4;1ea>;5n1?1?kk4=0264?278279h:j53gg89c`12:ln70hi3;1eb>{t<9k>6=4>2z?74g>=19n01?h8f;1ea>;5n1l18=?4=0276?278279j5=5412897>>m3;>h63=88d950b<5;2j<7?:d:?1;50h91=8j4=3:b0?72l2794l;514f897>f?3;>h63=8`:950b<5;nn<77:9:?efd<4nl16>i9k:2de?xu38h<1<7=n{<63f<<>8m16==:=:2df?8`e039mi63=f8`97cc<5;l257=ie:?1bc7=;oo01?hja;1ea>;5nl=1?kk4=3df0?5am279jh?53gg897`cm39mi63=fe;97cc<5;lh87=ie:?1bd7=;oo01?h6e;1ea>;5lo91?kk4=3dgf?279279jio5413897`c>3>;=63=fe79057<5;lo87:?1:?1ba5=<9;01?hk2;635>;5nm;18=?4=3dfg??21279jo=594;897`fn33>563=f``9=0?<5;lj;77:9:?1bd5=1<301?hma;634>;5nkh18=>4=3da3?278279jo65412897`dn3>;<63=fe29056<5;lho7:?0:?1bfb=<9:01?hl9;634>;5njk18=>4=3d`2?278279jn95412897`d83>;<63=fb39056<5;lih7:?0:?1bgc=<9:01?66e;36a>;500l1=8k4=3:b4?72m2794l?514g897>f:3;>i63=8`1950c<5;2j87?:e:?1;50h21=8k4=3d4a??21279h4?54128yv27i>0;6ku241`b><6c34l9i7=ie:?2414=;ol01?66e;36b>;500l1=8h4=3:b4?72n2794l?514d897>f:3;>j63=8`1950`<5;2j87?:f:?1;50h21=8h4=033b??2127mn;477d34>;n94=1b9>05d22;;h70:?b7815f=:<9h<6??l;<63f=<59j168=l6:33`?827jh09=n5241c;>77d34>;m44=1b9>05gf2;;h70:?ac815f=:<9kh6??l;<63ea<59j168=oj:33`?827j909=n5241`2>77d34>;n?4=1b9>05d42;;h70:?bb8436=z{=:ih7>52z?76f>=19l019>me;547>{t<9i;6=48{<6213<389168<;j:2df?826<<0<;>52406b>6`b34>:?:404352=:;70:>5580bc=z{=:h=7>54z?7506=<9;019?;5;634>;38jh1;:=4=52`g?2792wx8=m=:180826=90?<=524066>6`a34>:8;48729~w16d;3:18v3;1e097cc<5=;i<7:?0:?7564=;oo019?<3;547>{t<9i?6=4:{<627g<389168<:m:2de?826<>0<;>52401:>6`b34>;ok4;009~w16d=3:1=8u2400:>6`a34>:>;404442:lm70:>2180bc=:<8;o6>hi;<6255<4no168<>k:2de?8268k08jk52402;>6`a34>:<>404672:lm70:?fe80bc=:<9lj6>hi;<63b2<4no168=h;:2de?827n908jk5241gf>21434>:=54047>2:ln70:>1280b`=:<8;?6>hj;|q74f0=83>p19?;39=h18=>4=537e?10;27?=>753gd8yv27k>0;68u2407g>6`b34>:9h48729>043d2=:;70:>598745=:<8?j6>hi;|q74f>=83;39=>1?kk4=537f?10;27?=9?53gd89174l3>;<63;12d97c`;o44?:2y>05ca2>=870:>1`8:1<=:<8;>64;6;|q74fg=83?p19?k0;;6=>;391k18=>4=52g7?10;27?5<4s4>;on48729>05b42:ln70:>898745=z{=:hh7>52z?74fb=?>9019>lf;1eb>{t<9in6=4={<63g`<0?:168=j;:2df?xu38jl1<721434>;h94;019~w16c?3:1;v3;0e59=5b<5=;3m7=ie:?74`c=;ol019??6;1ea>;38m91?kh4=52fb?27827?=5653gg8yv27l10;6?u241f4><6a34>;h448729~w16ci3:19v3;0da9=5b<5=;?:7=ie:?751c=;oo019?md;1ea>;38m:1?kk4}r63aa<72;q68=kl:33`?827n90<;>5rs52f7?6=;r7?;ho4?:2y>05`520:o70:>bd80b`=:<89269>?;|q74c5=838p19>i2;02g>;38o>1;:=4}r63`f<72;q68=h::82g?826<>08jh5rs52e2?6=:r7?5<5s4>;j5460e9>04da2:ln7p};0g;94?4|5=:m47<>c:?74cg=?>90q~:?dd83>0}:<9li64>k;<6206<4nl168<=k:2df?826;o08jh5240a2>6`b3ty?;hk4?:2y>05`b20:o70:>428745=:<8i86>hj;|q74c`=838p19>ie;02g>;399:1;:=4}r63a5<72;q68<>>:82g?826k<08jh5rs5336?6=:r7?==?520a89177;3=5<5s4>:<9460e9>04e02:ln7p};11794?74s4>:<94=4e9>046>2;>o70:?eb810a=:<9l:6?:k;<624`<572c34>:=h4=4e9>04462;>o70:>25810a=:<88<6?:k;<63b7<572c34>;jh4=4e9>04662;>o70:>078436=z{=;;;7>52z?7552=:8i019??8;547>{t<9o96=4<{<624<<>8m168<:l:523?827l90?<=5rs533e?6=:r7?==7520a89177j3=5<5s4>;j<4=1b9>046c2>=87p};0d694?2|5=;;i77?d:?7506=;ol019?;5;1ea>;38jn1?kk4}r624c<72;q68<>j:33`?826990<;>5rs52f1?6=10815f=:<8;>6:9<;|q7545=838p19?>3;547>;398?1?kh4}r6251<72;q685<5s4>:=;4=1b9>047f2>=87p};10:94?4|5=;:47983:?754g=;ol0q~:>1883>7}:<8;26:9<;<625d<4nl1v9>j7;296~;398h15=j4=53`f?5am2wx82143ty?j7:?0:?750>=;oo019?:a;1ea>{t<8;m6=4={<625`<59j168<77d34>:>>48729~w16bi3:1>v3;1369=5b<5=;hj7=ie:p04422909w0:>25815f=:<88=6:9<;|q74`d=83>p19?=7;;3`>;39=21?kk4=52``?27827?=8h54138yv26:10;6?u24004>77d34>:>448729~w175i3:1>v3;13a9=5b<5=;:?7:?0:p044e2909w0:>2e8:4a=:<8;369>?;|q757c=838p19?<0;;3`>;3:9?1?kk4}r6274<72:q68<=?:33`?826;=09=n524011>2143ty?=?h50;0x9174<33;h63;21c97cc:?84?:3y>04152;>o70:>be8436=z{=;8:7>52z?7522=:=n019?<7;547>{t<8936=4={<6230<5l57618yv26;j0;6?u24054>72c34>:?i48729~w174m3:1>v3;16:961b<5=;8j7983:p04272909w0:>78810a=:<8>:6:9<;|q7514=838p19?8a;07`>;39=81;:=4}r6201<72;q68<9m:36g?826<=0<;>5rs537:844?:2y>042>2>=870:>4`8745=:<89<69>>;|q751b=838p19?83;07`>;39=o1;:=4}r620c<72;q68<9l:36g?826=90<;>5rs5365?6=:r7?=:j525f89172:3=5<5s4>:;h4=4e9>04332>=87p};14794?4|5=;90q~:>5683>7}:<82;6?:k;<621=<0?:1v9?:9;296~;391;1>9j4=536e?10;2wx8<;m:1818260;098i52407`>2143ty?=8j50;0x917?;38?h63;14f9325::=4?:2y>041520:o70:?f180b`=:<8o<6>hi;|q753?=839p19?83;;3`>;399n1?kk4=5022?5an2wx8<8>:180826?=026`b34>:io46283>6}:<8==64>k;<63bd<4nl1681<7=t=5343??7l27?5<4s4>:;5460e9>04672:ln70:>fb80bc=z{=;=:7>53z?752?=19n019??3;1ea>;3:9:1?kh4}r6222<72:q68<9n:82g?8268108jh524321>6`a3ty?=;650;1x9170j33;h63;11`97cc<5=8;;7=if:p040f2908w0:>7b8:4a=:<8;;6>hj;<6107<4no1v9?9b;290~;39>n15=j4=507a?5an27?=<=53gd89176<39mj6s|404`>5<3s4>:;h460e9>07352:lm70:>1980bc=:<8;26>hi;|q753b=839p19?8f;;3`>;398n1?kk4=5062?5an2wx8<8j:18082609026`b34>99l47183>6}:<82964>k;<6263<4nl168?8=:2de?xu39>;1<7=t=53;7??7l27?=?753gg89141?39mj6s|40:7>5<6;r7?=:<520a89170;38:o63;16a964e<5=;c:?752c=:8i019?8f;02g>;391:1>c:?7520=:8i019?87;02g>;39>21>:4;4?:7y>04>120:o70:?ed8744=:<8:=69>?;<63`6<389168=ki:522?826010?<<5rs53;3?6=:r7?=58525f8917?03=5<5s4>:4;4=1b9>04>f2>=87p};19`94?0|5=;2h77?d:?7510=;ol019?;e;635>;39kn1?kh4=53f3?27927?53gd8yv261=0;69u240;f><6c34>;oo4042b2:lm70:=178744=z{=;3o7>54z?75<`=19n019?me;1eb>;39:318=?4=53ff?2792wx8<6k:180826i9026`a34>:j=4;009~w17?m3:1?v3;1`39=5b<5=;m87:?1:?75g`=;ol0q~:>8g83>3}:<8k964>k;<6206<4no168<=k:2de?826;o0?<<5240d;>16634>:o<483:18v3;1`19=5b<5=;??7:?1:?75ce=<9;019?l3;1eb>{t<83:6=4<{<62e1<>8m168?>?:522?826k<08jk5rs53:6?6=;r7?=l;591f8917d?39mj63;2109057:5>4?:5y>04g120:o70:>4b80bc=:<;:<69>>;<63`5<3881v9?65;291~;39h=15=j4=5364?5am27?=9;54138916dl39mj63;2509057:5;4?:4y>04g?20:o70:>5380bc=:<8??69>>;<610`<388168;=6s|40;;>5<4s4>:ml460e9>04ee2:lm70:=578744=z{=;257>56z?75dd=19n019?lc;1eb>;39;=6s|40;b>5<4s4>:mn460e9>073b2=::70:>ce80bc=z{=;2n7>53z?75db=19n019?lf;1eb>;3:?818=?4}r62=f<7216634>:9k407002=::7p};1`d94?74s4>:5i4=1b9>04?b2;;h70:>a6815f=:<8k36??l;<62e<<59j16877d34>:mi4=1b9>04gb2;;h70:>9g815f=:<8k;6??l;<62e4<59j16877d34>:m84=1b9>04g12;;h70:>b18436=z{=;i=7>55z?756d=<9;019?;b;635>;39ko1;:=4=52`g?27827?=>953gg8yv26j;0;69u2406a>6`b34>;oh404da2>=870:>c180ba=z{=;i?7>54z?751e=<9;019>le;634>;39j;1;:=4=53`6?5al2wx816634>:?k4;019>04e42>=870:>c580ba=z{=;i97>55z?7514=;oo019?;4;634>;39=;18=>4=53`1?10;27?=n853gf8yv26j?0;69u24061>6`a34>:89404e02>=870:?d780bc=z{=;i;7>55z?750c=;ol019?;9;635>;38m<18=>4=53`16634>:9h4;009>042?2=:;70:>c`8436=:<9n=69>>;<6211<3891v9?m9;291~;39<<1?kh4=536a?27827?=9753gg8917dj3=:nl4?:5y>043d2:ln70:>4880bc=:<8ih6:9<;<63gf<4no1v9?mb;292~;394=536g?27927?=97541289172i3>;<63;1bf9325<5=;hi7=id:p04dd290>w0:>5e80bc=:<8?h6>hi;<620=<4no168591f896>2939mi63<98;97cc<5:=>57:?0:p04b62908w0:>d1815f=:<9n<6??l;<62`7<0?:1v9?k4;29g~;39m>15=j4=50;7?27827:il854128914?<3>;=63;26`97cc<5=;8?7:?0:?75`5=;ol019<88;;6=>;3:>815874=53gb??2127?>:m53gg89140<3>;<6s|40f6>5<5s4>:h94=1b9>04b12>=87p};1e594?5|5=83;7<;d:?763e=;ol019?k8;547>{t<8no6=4={<62a5<38816821434>:h54;019~w17b:3:1>v3;1e;97cc<5=;n>7983:p04c32909w0:=a9810a=:<8o>6:9<;|q75`>=838p19;39l31;:=4}r62af<72;q68?om:36g?826ml0<;>5rs53f`?6=ir7?>;:576189141>3>;<63;2739056<5=;mj7:?0:?75cd=<9:019?i7;634>;39o918=>4=53fb?27827?>=7541289147<3>;<6s|40d2>5<5s4>9mn4=4e9>04`52>=87p};1g794?4|5=8jh7<;d:?75c0=?>90q~:>f883>7}:<;kn6?:k;<62bd<0?:1v9?id;296~;3:hl1>9j4=53ea?10;2wx8?>>:181825j9098i524320>2143ty?>=<50;0x9147:3=9<;4?:3y>07d62;>o70:=098436=z{=8;;7>52z?7651=?>9019{t<;:i6=4={<61f7<5l:650?xu3:9l1<7<>57618yv259:0;6?u243c:>72c34>9=948729~w146?3:1>v3;2c7961b<5=8:47983:p077e2909w0:=b7810a=:<;;h6:9<;|q764`=838p19;3:;:1;:=4}r6166<72;q68?l7:36g?825:=0<;>5rs5013?6=:r7?>o7525f8914503=5<5s4>9nl4=4e9>074d2>=87p};23d94?4|5=8in7<;d:?7666=?>90q~:=3283>7}:<;hh6?:k;<6171<0?:1v9<<7;296~;3:kn1>9j4=5002143ty?>>h50;0x914e<38?h63;252932598>4?:3y>07e72;>o70:=458436=z{=8?;7>52z?76f7=:=n019<;8;547>{t<;>i6=4={<61fc<58>57618yv25=:0;6?u243a0>72c34>99948729~w142?3:1>v3;2b6961b<5=8>47983:p073e2909w0:=c4810a=:<;?h6:9<;|q760`=838p19;3:?:1;:=4}r6126<72;q68?m8:36g?825><0<;>5rs5056`b348<:h4;019>5d`?2:lm70<:ac8745=:9h>j6>hi;<3b50<4nl16n;?5412894e>k39mj63>ag39056<5o8n6>hi;<0;g0<4nl16=n7::523?8d0:3>;=63ma980bc=:nk218=>4=3d:=?5an27:mk;541289700l39mi63>c8;9056<5=;8?7:?1:?2e13=;ol01>;5>j>1?kh4=cc:>6`a34;;8846c1e2:lm70?ne88745=:9j2o69>?;<62`=<4no16?<>;:523?826l008jk5240g1>6`b34hjj7:?1:?120d=;oo01;5l0;1?kk4}r612<<72;q68?87:36g?825>h0<;>5rs505f?6=:r7?>;6520a89141k3=5<5?r7?>;j591f894e?i39mi63>ad497cc<5;:3?7=if:?2a1c=;oo01?6l8;1ea>;5?1;1?kk4=37bf?5an278>im53gd8966bl3>;=63<00g97cc<58o3h7:?1:?2e1g=<9:015;634>;e>808jk521`d2>6`b34l9h7:?0:?e5<<38916>5m::523?87d1<08jh52b609056<5hoh6>hj;;<63>ag797cc<5;<;6i=?18=>4=20a3?5am279:n:541289gg>2=:;70??448745=::o=i69>?;<`bb?278279<8h594;89702j3>;<63=d8397c`9:h4?:3y>070c2;;h70:=6g8436=z{=8<<7>52z?7627=?>9019<82;1eb>{t<;==6=4={<6132<0?:168?97:2de?xu3:>o1<7?;{<613c<0?:168<=<:2de?825=j029452437;><3>34>99946589>072d20?270:=518:1<=:<;>;64;6;<6151<>=0168<3>34>9:=46589>04`b20?270:>f`8:1<=:<8l=64;6;<62b7<>=0168<3>3ty?>5950;008250>026`a349n><47c742:lm70=i5c80bc=:;o=<6>hi;<1e=6<4no16?kk<:2de?85anl08jk523e05>6`a349o8<47a3>2:lm70=k7480bc=:;m2m6>hi;<1ge1<4no16?il6:2de?85ckl08jk523eg0>6`a349oj547`4a2:lm70=j4580bc=:;l?26>hi;<1f2`<4no16?h6<:2de?85b1108jk523dcg>6`a349nh847`cf2:lm70=i1c80bc=:;o9;6>hi;<1g5<<4no16?hm9:2de?85ak80?<=523e3g>16734>8v3;2`:9=5b<5:n:57:?1:p07?42909w0:=a88:4a=:;l8:69>>;|q76=?=838p19;4l;<18=?4}r61lm591f896b213>;=6s|43:`>5<5s4>9mi460e9>7a122=::7p};29f94?4|5=8ji77?d:?0`=`=<9;0q~:=8d83>7}:<;km64>k;<1ge1<3881v9<7f;296~;3:k:15=j4=2fa=?2792wx8?7?:181825j8021663ty?>4?50;0x914e:33;h6395?4?:3y>07d420:o70=kf98744=z{=82i7>52z?76g2=19n01>h>3;635>{t<;3?6=4={<61f0<>8m16?h<6c349n944;009~w14>?3:1>v3;2c:9=5b<5:o=i7:?1:p07??2909w0:=b88:4a=:;l2869>>;|q76;4m0218=?4}r61=d<72;q68?lm:82g?85bim0?<<5rs50:f?6=:r7?>om591f896cd>3>;<6s|43;`>5<5s4>9ni460e9>7`b22=::7p};28f94?4|5=8ii77?d:?0a`g=<9;0q~:=a083>7}:<;hm64>k;<1e1g<3881v9<6f;296~;3:j:15=j4=2d2f?2792wx8?o?:181825k8021663ty?>l<50;0x914d:33;h639m>4?:3y>07e420:o70=i928744=z{=8j87>52z?76f2=19n01>hm4;634>{t<;k>6=4={<61g0<>8m16?kj6:523?xu3:h<1<70;6?u243a4><6c349mjh4;009~w14d03:19v3;2b:9=5b<5=8=j7:?0:?75a0=;oo019<9c;635>;3:?k1?kj4}r61g<<72;6:881>9j4=g35>72c34l9m7<;d:?25<6=:=n01;69ln1>9j4=gd:>72c34ljo7<;d:?24fd=:=n01<>=1;07`>;68191>9j4=02g7?43l27:0d1961b<58:nn7<;d:?e`6<52;>o70hjb;07`>;alk098i52fd1961b<58:;57<;d:?2457=:=n01<>=9;07`>;688;1>9j4=022=?43l27:<96525f89464938?h63>02;961b<58:=?7<;d:?2405=:=n01<>:b;07`>;68>h1>9j4=025f?43l27:<:=525f8946>j38?h63>09`961b<58:2?7<;d:?24g5=:=n01<>n3;07`>;68hh1>9j4=02ef?43l27:116961b<58k?47<;d:?a=2<5;9j:36g?841>l098i5227:5>72c348=;54=4e9>63?72;>o70<997810a=::?k;6?:k;<05e3<5;ok:36g?841j>098i5227`f>72c348=9h4=4e9>63362;>o70<964810a=:<83o6?:k;<63`2<5k:36g?855l:098i522g14>72c348m?i4=4e9>6c612;>o70i??:36g?84c>0098i521d1g>72c348;;l4=4e9>5<`c2=:;70?6d280bc=:j><18=>4=0f5b?5am27:nn753gg897`><3>;=63=f8797c`<5;nn97:?1:?1f`0=<9:01?k75;635>;a?>098i52f81961b<5;22i7<8d:?1n01o=?:2de?xu3:m>1<7;n{<61ad<>8m16=??::36g?8`6j38?h63i31810a=:98386?:k;<32gg<572c34;;j94=4e9>55c32;>o70??eb810a=:nm>1>9j4=ga1>72c34lhm7<;d:?eaf<5o70??0`810a=:99:96?:k;<336d<572c34;;??4=4e9>555f2;>o70??65810a=:99??6?:k;<331f<5j098i521157>72c34;;5n4=4e9>55>d2;>o70??95810a=:99h?6?:k;<33e1<572c34;;o94=4e9>54622;>o70?n4c810a=:j021>9j4=344b?43l279:;h525f8970??38?h63=66;961b<5;<2=7<;d:?12<1=:=n01?8n1;07`>;5>h=1>9j4=34ba?43l279:o6525f8970en38?h63=64d961b<5;<>>7<;d:?1230=:=n019?6e;07`>;6k1?1>9j4=0cf5?43l278<=k525f8964c<38?h63=f17961b<5;l8i7<;d:?1b51=:=n01?h:6;07`>;5l9l1>9j4=3f6e?43l279he2g961b<5;:;e?10?<=521e:5>6`b34;ii=46gcf2=:;70k1>9j4=g;5>72c34835k4=7e9>6=g02;2370l;7;1eb>{t<;ij6=4>cz?76`d=19n01k?l:36g?8`4i38?h63>18`961b<58;287<;d:?25a3=:=n01;e10098i5240;e>72c349;6c5a2;>o70i;m:36g?84c9;098i522e5a>72c348o:o4=4e9>5`5a2;>o70?6ec8745=:90n<6>hi;<3g37<4nl16=oml:2df?84em00?<=522d:;>16634l=53gd8yv25kk0;6b6d=:=n01;690l1>9j4=03g2?43l27:=kl525f89g?f2;>o70:>a1810a=:;9;;6?:k;<0e05<5k>6:36g?84a=1098i522e7`>72c348o=>4=4e9>6a0d2;>o70?j41810a=:90on69>?;<3:`d<4no16=i9;:2df?87ekl08jh522cga>167348n4l4;009>b2e=:=n01ko>:36g?8d4>39mj6s|43a`>5<6ir7?>hj591f89c7b2;>o70h;690n1>9j4=03be?43l27:=i9525f8947ak38?h63m9c810a=:<8k:6?:k;<1354<5k:>:36g?84a8h098i522g7:>72c348o9i4=4e9>6a732;>o70:6?:k;<3:b4<38916=4jk:2de?87c??08jh521cf3>6`b348iii4;019>6`>d2=::70h8d;07`>;ai;098i52b2;97c`9oi4?:0cx914bm33;h63i1g810a=:n:n1>9j4=03:a?43l27:=o=525f8947c038?h63>1gf961b<5k3h6?:k;<62e7<572c348m9l4=4e9>6a3b2;>o70m908jk521e5;>6`b34;ih?46gca2=:;70o1>9j4=gc0>72c34h8o7=if:p07eb290:mv3;2dd9=5b<5o8;6?:k;525f8947e<38?h63>1e;961b<58;mi7<;d:?a=a<572c348m6c3e2;>o70n>0?<=5218g0>6`a34;o;l45gb32:ln70>;f6`=;ol0q~:=cg83>4g|5=8m<77?d:?e64<5h525f8947f938?h63>1c7961b<58;om7<;d:?25c`=:=n01o7j:36g?826i=098i523137>72c348m894=4e9>6c6c2;>o70i9?:36g?87b<=098i5218db>16734;2i;45a1d2:ln70?md780b`=::kl869>?;<0f=7<38816j5>525f89cg22;>o70l;4;;6=>{t<;n;6=4>2z?76c7=19n01k:?:36g?876i;098i52f93961b<5ok=6?:k;<32f3<572c34>:m84=4e9>6c222;>o706`b348n594;009~w14c93:1==u243d1><6c34;:m>4=4e9>b=4=:=n01;69mi1>9j4=0036?43l27?=>:525f8917f>38?h63=f54961b<5;l;j7<;d:?1b0c=:=n01;6i;k1?kh4=0f;4?5am27:nio53gg897c>>3>;=6s|43f1>59j>460e9>54g32;>o70h73;07`>;69k21>9j4=03ga?43l27:>==525f897`3?38?h63=f02961b<5;l>j7<;d:?2e7b=;ol019;634>;6l181?kk4=0`gg?5am279i4654138yv25l:0;6hu243d7><6c34;:m84=4e9>b=2=:=n01;69ml1>9j4=0030?43l279j96525f897`6938?h63=f72961b<58k8<7=if:?2e4e=<9:01;6jmo1?kk4=3g:e?2792wx8?ji:186e~;3:o?15=j4=0022?43l27m>?4=4e9>b67=:=n01;69ji1>9j4=03e5?43l27mjo4=4e9>bdc=:=n01<>ld;07`>;68;91>9j4=02;1?43l27:0g7961b<58:n97<;d:?24`b=:=n01kj::36g?8`d;38?h63icc810a=:nln1>9j4=gfg>72c34ln97<;d:?245d=:=n01<>?3;07`>;68;h1>9j4=0227?43l27:<021961b<58:8n7<;d:?2433=:=n01<>:5;07`>;689j4=024`?43l27:<;j525f89460=38?h63>08f961b<58:3h7<;d:?24<3=:=n01<>m5;07`>;68h?1>9j4=02b`?43l27:0b7961b<58;;:7<;d:?2e15=:=n01o7i:36g?84109098i522753>72c348=454=4e9>631f2;>o70<993810a=::?336?:k;<05e7<5;o7:36g?841io098i5227`:>72c348=o=4=4e9>63072;>o70<952810a=::?<<6?:k;<62e2<572c3499h84=4e9>6c512;>o70i8>:36g?84c91098i522e13>72c348o;<4=4e9>5`222;>o70hi;<3:`2<38916n:o5412894b>k39mi63>bg497cc<5;hm97:?0:?1ad2=<9;01k6::36g?8`>?38?h63=8`2962b<5;2j;7<63:?a0d<4no1v954de2;>o70?>e1810a=:9;:>6?:k;<0e0d<5k?<:36g?84a>;098i521`10>6`a34;j=k4;019>5a>?2:ln70?me380b`=::l3o69>>;|q76a0=83op19;69h=1>9j4=g:4>72c34;:nn4=4e9>54c62;>o70?=07810a=::o>i6?:k;<0e51<5k8<:36g?87f;?08jk521`01>16734;o4l45gc32:ln705ez?76c>=19n01;a01098i5210`g>72c34;:i?4=4e9>57602;>o706?:k;<0e21<56`b34;ii;46`g62=::7p};2e:94?c|5=8m577?d:?25d?=:=n01k66:36g?876jl098i5210g0>72c34;9<54=4e9>6c2c2;>o706?:k;<3b7f<4no16=l<7:523?87c0l08jh521cg;>6`b348nm>4;009~w14c13:1mv3;2gc9=5b<58;jn7<;d:?e72c34;j?k45d742=:;70?k9180b`=:9koj6>hj;|q76ag=83kp19;69hi1>9j4=g:a>72c34;:o=4=4e9>54c22;>o70?=0`810a=:9h>96>hi;<3b6d<38916=i7=:2df?87emj08jh5rs50gf?6=jr7?>km591f89c442;>o70?>ae810a=:98i:6?:k;<32a3<5l:36g?87f:m0?<=521`3:>6`a34;o5945gcb2:ln70h7c;07`>{t<;nh6=4m{<61ba<>8m16j?:525f8947fm38?h63>1b0961b<58;n;7<;d:?265b=:=n01;6i8i1?kh4=0f:2?5am27:nk>53gg89c>c2;>o7p};2ef94?d|5=8mi77?d:?e60<572c34;95d542=:;70?n1g80bc=:9m336>hj;<3ab7<4nl16j5k525f8yv25ll0;6ou243de><6c34l9:7<;d:?25g6=:=n01;69lk1>9j4=003b?43l27:m>85412894g5:39mj63>d8c97cc<58hm87=ie:?ek;<3152<5o70?>c4810a=:98io6?:k;<32b7<5o70??cd810a=:998?6?:k;<33<3<572c34;;i;4=4e9>55cb2;>o70hk6;07`>;ak=098i52fba961b<5oon6?:k;556d2;>o70??05810a=:998h6?:k;<3351<572c34;;?n4=4e9>55012;>o70??57810a=:99?n6?:k;<333`<572c34;;4h4=4e9>55?12;>o70??b7810a=:99k=6?:k;<33e`<572c34;:<:4=4e9>fd6=:=n01?871;07`>;5>>;1>9j4=34;=?43l279::l525f8970>;38?h63=68;961b<5;;5>kk1>9j4=34`5?43l279:;?525f89702<38?h63=67:961b<5=;j47<;d:?2g=1=:=n01;488<1>9j4=20g2?43l279j>6525f897`3m38?h63=f05961b<5;l=:7<;d:?1`64=:=n01?j92;07`>;5l831>9j4=3f46?43l27:i98525f89760l38?h63>9dg97c`<583om7:?0:?a3f<38916=io=:2df?87enj08jh522cd4>167348nm;4;009>b<6=:=n01k77:36g?84?i809;i5229c4>7??34h?h7=if:p07c7290iw0:<008:4a=:n;21>9j4=03a5?43l27:=n8525f8947bj38?h63>202961b<58k857:?0:?2e73=;ol01;6jo21?kk4=g;2>72c3ty?>h?50;`x9157:33;h63i28810a=:98h96?:k;<32g2<516734;j>545ag72:ln70?mf`80b`=:n081>9j4}r61a6<72;a;>098i52f21961b<58;297<;d:?25a4=:=n01;69o91>9j4=gdg>72c34li<7<;d:?24f`=:=n01<>=5;07`>;681=1>9j4=02g3?43l27:0d5961b<58:nj7<;d:?e`2<5o70hjf;07`>;alo098i52fd5961b<58:;h7<;d:?2453=:=n01<>=d;07`>;688?1>9j4=022`?43l27:<9m525f89464=38?h63>02f961b<58:=;7<;d:?2401=:=n01<>:f;07`>;68>l1>9j4=025b?43l27:<:9525f8946>n38?h63>09d961b<58:2;7<;d:?24g1=:=n01<>n7;07`>;68hl1>9j4=02eb?43l27:11:961b<5kk:6?:k;<0537<5;6n:36g?8411h098i5227cb>72c348=n<4=4e9>63de2;>o70<9c3810a=::?<96?:k;<0510<5;86:36g?826i0098i521b5f>72c34;jhl4=4e9>75702;>o70==d6810a=::o>m6?:k;<0e5=<5k=n:36g?84a>>098i522e40>72c348o=l4=4e9>6a532;>o70<6?:k;<033`<5:2de?87>lm0?<=52b6g9056<58nj87=ie:?2fcc=;oo01?li9;634>;5mh218=?4=g;g>72c34l257<;d:?1n01?6n8;04`>;e=908jk5rs50f0?6==8q68>>;:82g?8`3938?h63i35810a=:983=6?:k;<32`a<572c34;;i=4=4e9>55`?2;>o70??e9810a=:99l;6?:k;bfc=:=n01kh?:36g?8`b838?h63ie9810a=:99:n6?:k;<3343<572c34;;8i4=4e9>55512;>o70??3d810a=:99<36?:k;<331=<572c34;;;54=4e9>55g72;>o70??91810a=:99336?:k;<33f=<572c34;;o=4=4e9>55e?2;>o70?>08810a=:jh81>9j4=3447?43l279:5l525f8970>j38?h63=6c0961b<5;<>:7<;d:?123g=:=n019?na;07`>;6k1l1>9j4=0cff?43l278<<6525f8964c038?h63=f42961b<5;l:57<;d:?1b6d=:=n01?h98;07`>;5l?>1>9j4=3f2f?43l279h>;525f897b0<38?h63>e5:961b<5;:;e090?<=521ec5>6`b34;h<=46g`e2=:;709j4=g;b>72c3483m>4=7e9>6=g?2;2370l:3;1eb>{t<;o>6=4=bz?7753=19n01k:=:36g?8`4=38?h63>185961b<58;n47<;d:?25a6=:=n01;699;1>9j4=033e?43l27im>4=4e9>63132;>o70<98b810a=::?3h6?:k;<05f6<5;;8:36g?841>k098i5240ca>72c34;h4h4=4e9>5dcf2;>o70=?18810a=:;;n26?:k;<0e14<5k?n:36g?84a;j098i522g4:>72c348o:84=4e9>6a7d2;>o706?:k;<3f0<<5=6?:36g?87>n>08jk5218g0>16734h3>7:?0:?2`d>=;oo01;5jon18=>4=3gbg?27927mm54=4e9>b;50h21>4=4=c75>6`a3ty?>h850;0a8248?0272c34hj87<;d:?1223=:=n01?87d;07`>;5>0n1>9j4=34a0?43l279:86525f89701k38?h63;1`a961b<58i2;7<;d:?2ec5=:=n01>>>a;07`>;4:mk1>9j4=3d66?43l279j;581;1>9j4=c:7>6`a34;2jl45hj;<0gohi:523?84bil0?<<522g5a>16634lj57<;d:?e=f<55o::35g?84?i1095552b4;97c`<5;n2977:9:?1b=?=<9:0q~:=e683>4g|5=9;;77?d:?e01<56525f8947>138?h63>212961b<58;o?7<;d:?25c>=:=n01oo::36g?826im098i52313a>72c348m9>4=4e9>6c7d2;>o70i98:36g?87b6`a34;2h>4;019>5agd2:ln70?l0780b`=::j::69>?;<0ff5<38816jlo525f89c?b2;>o70l:c;1eb>{t<;o36=4>cz?775>=19n01k:::36g?8`4138?h63>18c961b<588;n7<;d:?25a2=:=n01;ei?098i5240cf>72c349;=n4=4e9>6c332;>o70i87:36g?84c9o098i522e5b>72c348o;54=4e9>5`2d2;>o70?n0180bc=:90o369>?;<3ge`<4nl16=n>7:2df?84d8:0?<=522d`1>16634ljn7<;d:?e=c<5ho520a8914a=38:o63;312964e<5=9;?7<>c:?7752=:8i019=?5;02g>;3;9<1>c:?76`c=:8i019;3:o:1>k<520a8914a;38:o63;2g6964e<5=8m:7<>c:?76c1=:8i019;3:o31>kl520a8914ak38:o63;2gf964e<5=8mi7<>c:?76c`=:8i019=?1;02g>;3;981>>m:180826l=098i52422e>21434>:h;4;009~w15693:15v3;3009325<5=9:j77m0:?7776=1k:019=>d;;a4>;3;8o15o>4=512=??e827??8=>4?:`y>06462;;h70:<158436=:<:;m6>l=;<6065<4j;168>?k:2`1?8249l08n?52423:>6d534>8=l4067e2:h970:<1b80f7=z{=9:97>5dz?7743=19n019=>2;1ea>;4nkl1?kh4=5123?278278ij?7;1eb>;4nj;18=?4=2f2`?5an27??=j53gg8yv249?0;6?u24236><6a34>8=:48729~w15593:18v3;3339=5b<5=9987:?0:?777?=;oo019==7;1ea>{t<:896=4<{<6064<5<8:2de?8245rs5117?6=:r7????591d89155<3=5<3s4>8>8460e9>727f2:ln70=96b8:1<=:;>hi6>hj;|q7770=838p19==5;07`>;3;;=1;:=4}r606=<72;q68><::33`?824:00<;>5rs511e?6==r7?=5o53gd89177>39mj63;0dd97cc<5:2o97983:?07}:;m:<6>hj;<605<<49o1v9==c;296~;3;8h1?>;4=2f00?2782wx8>1673ty???k50;0x9156k39:j638>k4?:3y>067d2:8j70=k838745=z{=98<7>52z?774e=;:?01>j69;634>{t<:9:6=4={<605f<4;h16?ioj:523?xu3;:81<764f349oh54;019~w154<3:1>v3;30f9763<5:nnh7:?0:p06522909w0:<1e807d=:;l:969>?;|q7760=838p1>k>c;1ea>;3;831??o4}r6072<72;q68>?j:23e?85b;00?<=5rs510;<6s|421:>5<5s4>8=h4<349>7`042=:;7p};32c94?4|5=9:i7==<9:0q~:<3c83>7}:<:;m6>?i;<1f=k:1818249o08?8523d`4>1673ty??>k50;0x96cd13>;<63;30d976g8?k4?:3y>06472:;m70=jdg8745=z{=9?<7>52z?7776=;;k01>ki4;634>{t<:>:6=4={<1e4f<4nl168>?6:216?xu3;=81<765f349m?l4;019~w153<3:1>v3?i;|q7710=838p1>h7c;1ea>;3;8k1??o4}r6002<72;q68>?n:216?85aj80?<=5rs5173>;<6s|426:>5<5s4>8=o4<1g9>7c`62=:;7p};35c94?4|5=9:n7==a:?745c=<9:0q~:<4b83>7}:;j9j69>?;<605<<4>=1v9=;d;296~;4k:l18=>4=512f?50i2wx8>:j:18185d<;0?<=52423a>61a3ty??9h50;0x96e3=3>;<63;30a973289=4?:3y>7f2?2=:;70:<1b802c=z{=9>=7>52z?0g1d=<9:019=>c;14e>{t<:?96=4={<1`0`<389168>?l:25e?xu3;<91<76`a34>8=i4<6g9~w152=3:1>v39i;|q7701=838p1>m;3;831?;h4}r601=<72;q6?n:9:2de?8249l08:95rs516=?6=:r78o9753gd89156m39=j6s|427b>5<5s49h8n4067b2:=j7p};34`94?4|5:i?j7=if:?774c=;>l0q~:<5b83>7}:;j926>hi;<605c<4>=1v9=:d;296~;4k:i1?kh4=512b?51n2wx8>;j:18185d;o08jk52423e>61f3ty??8h50;0x96e3:39mj63;30d972`8:=4?:3y>7f222:lm70:<218021=z{=9==7>52z?0g1>=;ol019==0;15b>{t<:<96=4={<1`05<389168>?6:25b?xu3;?91<7536c8yv24>=0;6?u23b6f>6`a34>8>=4<7g9~w151=3:1>v38;;|q7731=838p1>m;9;634>;3;8k1?;h4}r602=<72;q6?n:l:523?8249h08;l5rs515=?6=:r78o9h541289156i395<5s49h?44;019>067e2:7}:;k?86:9<;<602a<6>81v9=9f;296~;4j?;1;:=4=515`?7292wx8>9?:18185e>=0<;>52424g>43>3ty??:?50;0x96d1>3=8;?4?:3y>7g0e2>=870:<6e821g=z{=952z?0f26=?>9019=9d;36g>{t<:=?6=4={<1a30<0?:168>8k:07g?xu3;>?1<721434>8:i4>5g9~w150?3:1>v3?;<17=g<38816?i>7:2df?824>m08=k5239:`>1673ty??:750;4x962>k3>;=63<56`9056<5:?in7:?0:?0`61=;oo019=9d;114>;401o18=>4}r603d<72>q6?97k:522?852?j0?<=5234``>167349o8;4;009>7a2a2:ln70:<6e8064=:;13;69>?;|q772d=83=p1>:6e;635>;4=>n18=>4=27a`?278278h;<5413896b1j39mi63;37f9774<5:22>7:?0:p061d290?;<16f`<38916?i9l:522?85c0<08jh52424g>6443493594;019~w150l3:1;v3<4`29057<5:?jn2;635>;4l0i1?kk4=515`?55<27844854128yv24?l0;6:u235c2>166349>4=4;019>70e72=:;70=kb68744=:;mh:6>hj;<602a<4:<16?577:523?xu3;>l1<79t=26b6?27927895?54128963d93>;<6366a;634>{t<:2;6=48{<17e6<38816?86=:523?852k;0?<=523eg2>166349oho4060c2:8<70=79b8745=z{=93=7>57z?00d2=<9;01>;73;634>;4=j918=>4=2fe2?279278hk>53gg89151l399463<88g905684?4?:6y>71g22=::70=:858745=:;?;<1f4g<38816?h>::2df?824>m08>45239c3>1673ty??5=50;5x96>f:3>;<63<5979056<5:?h97:?0:?00d0=<9;01>k>2;635>;4m8n1?kk4=515`?55i2wx8>6;:184853i>0?<<5234:5>167349>o;4;019>7`252=::70=j3b80b`=:<:;=632}:;=k269>>;<16<=<38916?8m7:523?85b>j0?<<523d45>6`b34>8:i4<2e9>7=g02=:;7p};39594?1|5:>jm7:?1:?01=?=<9:01>;l9;634>;4m1;18=?4=2g4f?5am27??;j533g896>f13>;<6s|42:;>5<0s49?mo4;009>70>f2=:;70=:c`8745=:;l3=69>>;<1f=5<4nl168>8k:20e?85?ik0?<=5rs51;=?6=?r788lm54138963?j3>;<63<5b`9056<5:ojn7:?1:?0ad3=;oo019=9d;104>;40hn18=>4}r60q6?9ok:522?8520j0?<=5234a`>167349no=4;009>7`df2:ln70:<6e8074=:;1km69>?;|q77=d=83=p1>6m1;634>;4;=63d290?;<16g`<38916?hk7:522?85bm;08jh52424g>6543493n?4;019~w15?l3:1;v3<4c29057<5:?3j7:?0:?01f`=<9:01>kid;635>;4mo=1?kk4=515`?54<2784o:54128yv240l0;6:u239`5>167349?n<4;009>70?72=:;70=:d18745=:;o:?69>>;<1e4a<4nl168>8k:216?xu3;1l1<79t=26a6?27927894?54128963c93>;<636m7;634>{t<:3;6=48{<1;f<<38916?87=:523?852l;0?<=5235`0>166349m884;009>7c5c2:ln70:<6e807==z{=92=7>57z?0:m4;635>;4=0918=>4=27g7?278278j9m5413896`2=39mi63;37f976g85?4?:6y>7=de2=:;70=;b48744=:;<3?69>?;<16`1<38916?k87:522?85a?808jh52424g>65e3ty??4=50;5x96>ek3>;<63<4c49057<5:?297:?0:?01a3=<9:01>h74;635>;4n1n1?kk4=515`?54k2wx8>7;:185853j>0?<<5234;5>167349>h;4;019>060c2:9o70=7be8745=:;oh>6>hi;|q77<3=83:m8;635>;4=0=18=>4=27g3?278278jio53gd89151l398j63<8cd905685;4?:7y>71d>2=::70=:998745=:;?;<1eb1<4nl168>8k:263?85?k80?<=5rs51:3?6=>r788oo54138963>13>;<63<5e;9056<5=::=7=ie:?773b=;=;01>6l3;634>{t<:336=4={<1a=6<0?:168>8k:35g?xu3;031<721434>8:i4=7g9~w15>j3:1>v3;|q77lnd;547>;3;?n1>5<4}r60=`<72;q6?ol>:650?824>m094>5rs51:b?6=:r78no;576189151l38386s|42c3>5<5s49in448729>060c2;2>7p};3`394?4|5:hih7983:?773b=:1<0q~:7}:;ki:6:9<;<602a<50>1v9=n3;296~;4jj?1;:=4=515`?4?02wx8>o;:18185ek00<;>52424g>7>>3ty??l;50;0x96ddl3=8m;4?:3y>7gb62>=870:<6e8152z?0fa3=?>9019=9d;0;g>{t<:k36=4={<1a`<<0?:168>8k:3:g?xu3;h31<721434>8:i4=8g9~w15fj3:1>v3;|q77db=838p1>ljd;547>;3;?n1>4<4}r60e`<72;q6?oh>:650?824>m095>5rs51bb?6=:r78nk;576189151l38286s|42`3>5<5s49ijo48729>060c2;3=7p};3c394?4|5:hmo7983:?773b=:020q~:7}:;j:;6:9<;<602a<5101v9=m3;296~;4k9>1;:=4=515`?4>i2wx8>l;:18185d810<;>52424g>7?e3ty??o;50;0x96e7k3=8n;4?:3y>7f772>=870:<6e81=`=z{=9i;7>52z?0g42=?>9019=9d;0:b>{t<:h36=4={<1`5=<0?:168>8k:8`3?xu3;k31<721434>8:i4>739~w15el3:1>v3;3cg9325<5=9=h7?8a:p06da2909w0:;3;?n1=:m4}r60g6<72;q68>m;:650?824>m0:;i5rs51`1?6=:r7??n8576189151l3;5<5s4>8o548729>060c28=m7p};3b;94?4|5=9hm7983:?773b=91:0q~:7}:;j8n6:9<;<602a<4j;1v9=lc;293~;4j3>;<63;37f9732<5:23o7=if:?0gc5=<9:0q~:2}:;=li6>hi;<17=f<38916?89m:522?852jk0?<<523e0a>16734>8:i4<649>7=>b2:lm7p};3bg94?>|5:>mo7=if:?0`15=<9:01>:6d;634>;4=>i18=?4=27ag?279278h98541289151l39=:63<88297c`8ok4?:9y>71`c2:lm70=;9d8745=:;<=o69>>;<16fa<38816?i8=:523?824>m08::523e7a>16734935?4;8e;635>;4=ko18=?4=2f4g?27827??;j537:896>><39mj6s|42f2>57ag62=:;70=;a18745=:;<=m69>>;<16fc<38816?io=:523?824>m08:45239;5>6`a3ty??i<50;:x9637839mj63j=7:?0:?01=6=<9;01>;l0;635>;4lk=18=>4=515`?51i27844653gd8yv24l:0;65u23422>6`a349ooo4;019>71g52=:;70=:808744=:;>;<1ggf<389168>8k:24a?85?1h08jk5rs51g0?6=0r789=<53gd896bb83>;<63<4`19056<5:?3>7:?1:?01f4=<9;01>jj1;634>;3;?n1?;m4=2::g?5an2wx8>j::18;8528:08jk523ed6>167349?m94;019>70>42=::70=:c28744=:;ml=69>?;<602a<4>m16?57j:2de?xu3;m<1<76t=2730?5an278i=o54128962f=3>;<63<5969057<5:?h87:?1:?0a5d=<9:019=9d;15a>;40h:1?kh4}r60`2<721q6?8>::2de?85b980?<=5239c1>6`a349>484;009>70e22=::70=;a78745=:;l;969>?;<602a<4>o1v9=k8;29<~;4=9<1?kh4=2g75?2782788l954128963?>3>;=63<5b49057<5:o?>7:?0:?773b=;>:01>6n3;1eb>{t<:n26=47{<1642<4no16?h;9:523?853i10?<=5234:4>166349>o:4;009>7`302=:;70:<6e8034=:;1k>6>hi;|q77ag=832p1>;?8;1eb>;4m?h18=>4=26b=?27827895654138963d03>;=63=}:;<:26>hi;<1f<5<38916?9on:523?852000?<<5234a:>166349n4<4;019>060c2:=870=7a880bc=z{=9oo7>58z?015g=;ol01>k65;634>;44=27;e?2792789no5413896c>>3>;<63;37f9722<5:2jn7=if:p06bc2903w0=:0c80bc=:;lkj69>?;<17ef<38916?86m:522?852kk0?<<523dca>16734>8:i4<749>7=gc2:lm7p};3eg94?>|5:?;o7=if:?0ag`=<9:01>:nd;634>;4=1i18=?4=27`g?279278in>541289151l39<:63<8`d97c`8hk4?:9y>706c2:lm70=7b080bc=:;ln969>?;<17e`<38916?86k:522?852km0?<<523df0>16734>8:i4<769~w15b83:14v3<51g97c`<5:on;7:?0:?00d`=<9:01>;7e;635>;4=jo18=?4=2gfe:39mj6s|42g2>57``d2=:;70=;b18745=:;<2m69>>;<16gc<38816?hhk:523?824>m08;45239`7>6`a3ty??h<50;:x9636839mj63<8c497c`<5:>i=7:?0:?01<6=<9;01>;k0;635>;4n9>18=>4=515`?50i278j=?54128yv24m:0;65u23432>6`a349m>i4;019>71d52=:;70=:908744=:;>;<1e6`<389168>8k:25a?85?j>08jk5rs51f0?6=0r789<<53gd896>e139mj637:?1:?01a4=<9;01>:m3;634>;4n=?18=>4=515`?50l2wx8>k::18;8529:08jk5239`b>6`a349?n94;019>70?42=::70=:d28744=:;o>h69>?;<602a<4?o16?k:6:523?xu3;l<1<76t=2720?5an2784ol53gd8962e=3>;<63<5869057<5:?o87:?1:?0b3>=<9:019=9d;1;4>;4n??18=>4}r60a2<721q6?8?::2de?85?jj08jk5235`5>167349>584;009>70b22=::70=i858745=:<:6>;<1e<4<3891v9=j8;293~;4=8<1?kh4=26a3?27827894854138963c>3>;=632}:;<;<6>hi;<17f=<38916?878:522?852l>0?<<523gab>16734>8:i4<859>7=da2:lm7p};3dc94?1|5:?:47=if:?00g?=<9:01>;68;635>;4=m218=?4=2dfd939mj6s|42ga>5<0s49>=4471df2=:;70=:988744=:;>;<6346<389168>8k:2:5?85?k:08jk5rs51fg?6=ir78;kj54128961ai3>;<63<81:97cc<5:h>47:?0:?775b=?>9019>97;1eb>;4?lo18=>4=2:583>;=6s|2g:4>5<5s48m4848729>6c>12:lo7p}=f9`94?4|5;l357983:?1b=g=;on0q~=99e83>7}:;?3i6:9<;<15=f<4nm1v>861;296~;4>1l1;:=4=24:4?5al2wx?58::18185?>:0<;>523947>6`c3ty8;:750;0x9610?3=52z?efd<0?:16jol53gf8yv5e?=0;6?u23c51>214349i;>4v3hk;|q0g41=838p1>m>5;547>;4k8<1?kj4}r1`56<72;q6?n?>:650?85d9;08ji5rs2a3b?6=:r78o=j5761896e7m39mh6s|3b2a>5<5s49h<448729>7f6f2:lo7p}7}:;j::6:9<;<1`47<4nm1v>lif;296~;4jon1;:=4=2`ea?5al2wx?oh7:18185en?0<;>523cd4>6`c3ty8nk:50;0x96da:3=7gcb2>=870=meg80ba=z{:hno7>52z?0f`g=?>901>ljb;1e`>{t;ko36=4={<1aa3<0?:16?ok8:2dg?xu4jl>1<7214349ihk4v3hk;|q0fa2=838p1>lk2;547>;4jm91?kj4}r1a`5<72;q6?omj:650?85eko08ji5rs2``g?6=:r78nno5761896ddj39mh6s|3ca;>5<5s49io;48729>7ge02:lo7p}7983:?0ff5=;on0q~=mc183>7}:;khn6:9<;<1afc<4nm1v>lmc;296~;4jkk1;:=4=2`af?5al2wx?ol7:18185ej?0<;>523c`4>6`c3ty8no:50;0x96de:3=7ggb2>=870=mag80ba=z{:hjo7>52z?0fdg=?>901>lnb;1e`>{t;kk36=4={<1ae3<0?:16?oo8:2dg?xu4jh>1<7214349i5k4k3:1>v3hk;|q0f=5=838p1>l71;547>;4j181?kj4}r1a3`<72;q6?o9l:650?85e?m08ji5rs2`6g?6=:r78n8o5761896d2j39mh6s|1dcb>5<5s4;nm548729>5`g>2:lo7p}=77394?4|5;=>j7983:?1336=;on0q~<85`83>7}::>?36:9<;<041<<4nm1v>8?2;296~;4>9:1;:=4=2435?5al2wx?i?::18185c9:0<;>523e37>6`c3ty9;9850;0x9713<3=625b2>=870<83g80ba=z{;=847>52z?1360=?>901?9<7;1e`>{t:>9h6=4={<047d<0?:16>:=m:2dg?xu5=1:1<721434;98l4v3>24`9325<588>o7=id:p57062909w0?=5g8436=:9;<;6>hk;|q2630=838p1<<94;547>;6:??1?kj4}r1f50<72;q6?h?<:650?85b9=08ji5rs2g11?6=:r78i?=5761896c5<39mh6s|3d0`>5<5s49n>l48729>7`4e2:lo7p}7}:;o:n6:9<;<1e4c<4nm1v>h>6;296~;4n8>1;:=4=2d21?5al2wx?k>7:18185a8?0<;>523g24>6`c3ty8j8650;0x96`2>3=7c3d2>=870=i5e80ba=z{:l><7>52z?0b1c=?>901>h;f;1e`>{t;?o=6=4={<15a1<0?:16?;k::2dg?xu4>l:1<7214349=h44v3<6e09325<5:hk;|q02f>=838p1>8l6;547>;4>j=1?kj4}r15g7<72;q6?;m?:650?851k808ji5rs24ag?6=:r78:oo57618960ej39mh6s|242f>5<5s48>606c2:lo7p}7983:?0b25=;on0q~=i7`83>7}:;o=36:9<;<1e3<<4nm1v>h9c;296~;4n?k1;:=4=2d5f?5al2wx?k7?:18185a0l0<;>523g:e>6`c3ty8j4850;0x96`><3=7c>12>=870=i8680ba=z{:lii7>52z?0bge=?>901>hmd;1e`>{t;onn6=4={<1e`f<0?:16?kjk:2dg?xu4nm?1<7214349=4?4v3<66c9325<5:<c2909w0=88c8436=:;>2h6>hk;|q114c=838p1?;>c;547>;5=8n1?kj4}r0653<72;q6>8?;:650?8429<08ji5rs3510?6=:r79;?<576189715;39mh6s|2632>5<5s48<62772:lo7p}=6gg94?4|5;7}:;m?86:9<;<1g11<4nm1v>j;9;296~;4l==1;:=4=2f7:18185c>o0<;>523e53>6`c3ty8h8j50;0x96b2j3=7a032>=870=k6480ba=z{:n3o7>52z?0`=g=?>901>j7b;1e`>{t;m=m6=4={<1g3a<0?:16?i9j:2dg?xu4l091<7214349om:4v3hk;|q0``1=838p1>jj5;547>;4ll<1?kj4}r1f45<72;q6?ihj:650?85cno08ji5rs2g07?6=:r78i>?5761896c4:39mh6s|3d6;>5<5s49n8;48729>7`202:lo7p}j7983:?0a36=;on0q~=j7383>7}:;l=;6:9<;<1f34<4nm1v>k77;296~;4m1?1;:=4=2g;2?5al2wx?h7l:18185b1h0<;>523d;a>6`c3ty8ink50;0x96cdk3=7`e42>=870=jc580ba=z{:oo57>52z?0aa1=?>901>kk8;1e`>{t;lon6=4={<1faf<0?:16?hkk:2dg?xu4n8l1<70;6?u23g16>214349m?;4v3<74c9325<5:=>n7=id:p7a6e2909w0=k088436=:;m:j6>hk;|q0`77=838p1>j>f;547>;4l;:1?kj4}r1g45<72;q6?nhj:650?85dno08ji5rs2da7?6=:r78jo?5761896`e:39mh6s|3gf;>5<5s49mh;48729>7cb02:lo7p}7}:;o>26:9<;<1e0d<4nm1v>h97;296~;4n??1;:=4=2d52?5al2wx?k6<:18185a080<;>523g:1>6`c3ty8;;<50;0x961183=73g22>=870=9a780ba=z{:<3h7>52z?02=d=?>901>87c;1e`>{t;>2:6=4={<143c<0?:16?:6?:2dg?xu4>k91<7214349=;:4v3<6609325<5:<3?6>hk;|q03<7=838p1>97f;547>;4?0:1?kj4}r1f6<<72;q6?h<8:650?85b:108ji5rs2de7?6=:r78jk?5761896`a:39mh6s|4133>5<5s4>;056a2:lo7p}7}:;m>h6:9<;<1g0a<4nm1v>j9a;296~;4l?21;:=4=2f5=?5al2wx?i6;:18185c0;0<;>523e:0>6`c3ty8h4l50;0x96b>13=7agb2>=870=kag80ba=z{:nh97>52z?0`f5=?>901>jl4;1e`>{t;mnj6=4={<1g`=<0?:16?ij6:2dg?xu4lll1<7214349n<>4v3m6>hk;|q0a33=838p1>k93;547>;4m?>1?kj4}r1f3d<72;q6?h97:650?85b?008ji5rs2g;b?6=:r78i5j5761896c?m39mh6s|3dc7>5<5s49nm?48729>7`g42:lo7p}=;on0q~=je083>7}:;lnm6:9<;<1fa5<4nm1v>ki6;296~;4mo>1;:=4=2ge1?5al2wx?k<8:18185a:<0<;>523g05>6`c3ty8j>m50;0x96`4i3=;056>2>=870:?0`80ba=z{:lni7>52z?0b`e=?>901>hjd;1e`>{t;on;6=4={<1eg`<0?:16?kmi:2dg?xu4nhn1<7214348>oh4v3<99c9325<5:33n7=id:p7a562909w0=k2g8436=:;m9;6>hk;|q0gc3=838p1>mi3;547>;4ko>1?kj4}r634=<72;q68=>9:650?8278>08ji5rs2525<5s49<726a2:lo7p}<71:94?4|5:=;:7983:?0351=;on0q~=80183>7}:;?ln6:9<;<15bc<4nm1v>hj7;296~;4nl?1;:=4=2df2?5al2wx8=>=:181827890<;>524122>6`c3ty8h?o50;0x96b503=7a3a2>=870=k6180ba=z{:n52z?0`2?=?>901>j8a;1e`>{t;m3=6=4={<1g=1<0?:16?i7::2dg?xu4lhh1<7214349oni4v3hk;|q0`cb=838p1>jib;547>;4loi1?kj4}r1f73<72;q6?h=;:650?85b;<08ji5rs2g7f?6=:r78i975761896c3i39mh6s|3d7f>5<5s49n9n48729>7`3c2:lo7p}7}:;l236:9<;<1f<<<4nm1v>k6f;296~;4m0n1;:=4=2g:a?5al2wx?hl=:18185bj90<;>523d`2>6`c3ty8iim50;0x96cci3=7`ca2>=870=jf180ba=z{:l9>7>52z?0b76=?>901>h=1;1e`>{t;o9?6=4={<1e77<0?:16?k=<:2dg?xu38m81<70;6?u23g`6>214349mn;43:1>v3kj6>hk;|q75ae=838p19?ka;547>;39mh1?kj4}|lg376=83;pD4o:;H:eg?7|93wQ?k853z657?22k32mi7s+8gg9<3=]1h;1=v68:|lb31<73tdo;??50;c2M?f=2dj;94>;o;:1?6?4?:0yK=d3{il>8?6=4>{I;b1>hf?=0?7c765;38ykb0:<0;6i<1em::56:m`240290:wE7n5:lb31<03tdo;?650;3xL<=zfm=9m7>51zJ:e0=ii>>1m6sad60a>5<6sA3j96`n758a?xhc?;i1<7?tH8c6?kg0<3i0qcj82e83>4}O1h?0bl9;:e9~ja15m3:1=vF6a49me22=m2weh:?50;3xL;|lg364=83;pD4o:;oc40?753tdo;>=50;3xL;50;3xL950;3xL=83;pD4o:;oc40?7?3tdo;>750;3xLl50;3xLj50;3xLh50;3xL;|lg314=83;pD4o:;oc40?453tdo;9=50;3xL=83;pD4o:;oc40?4?3tdo;9750;3xL;|lg304=83;pD4o:;oc40?553tdo;8=50;3xL=83;pD4o:;oc40?5?3tdo;8750;3xL;|lg334=83;pD4o:;oc40?253tdo;;=50;3xL=83;pD4o:;oc40?2?3tdo;;750;3xL;|lg324=93;pD4o:;oc40?353tdo;:=50;3xL=83;pD4o:;oc40?3?3tdo;:750;3xL;|lg3=4=83;pD4o:;oc40?053tdo;5=50;3xL=83;pD4o:;oc40?0?3tdo;5750;3xL;|lg3<4=83;pD4o:;oc40?153tdo;4=50;3xL=83;pD4o:;oc40?1?3tdo;4750;3xL73tdo;l?50;3xL;|lg3d4=83;pD4o:;oc40?>53tdo;l=50;3xL33tdo;l;50;3xL13tdo;l950;3xL=83;pD4o:;oc40?>?3tdo;l750;3xLf3tdo;ll50;3xLd3tdo;lj50;3xLb3tdo;lh50;3xL;|lg3g4=93;pD4o:;oc40??53tdo;o=50;3xL=83;pD4o:;oc40???3tdo;o750;3xL;|lg3f4=83;pD4o:;oc40?g53tdo;n=50;3xL=83;pD4o:;oc40?g?3tdo;n750;3xL;|lg3a4=83;pD4o:;oc40?d53tdo;i=51;3xL=83;pD4o:;oc40?d?3tdo;i750;3xL;|lg3`4=83;pD4o:;oc40?e53tdo;h=50;3xL=83;pD4o:;oc40?e?3tdo;h750;3xL;|lg3c4=83;pD4o:;oc40?b53tdo;k=50;3xL=83;pD4o:;oc40?b?3tdo;k750;3xL;|lg<54=83;pD4o:;oc40?c53tdo4==51;3xL=83;pD4o:;oc40?c?3tdo4=750;3xLl:082M?f=2dj;94jc:&b2`i<1em::5ee9'e3c==l90qcj70d82>4}O1h?0bl9;:dg8 d0b2;k2j6sad92e>4<6sA3j96`n758fb>"f>l0>8i5rne:24?6=9rB2m85aa669b5=zfm2:=7?51zJ:e0=ii>>1j<5+a7g9163:0yK=d303>3tdo4<=51;3xL81vbi6>4;395~N>i<1em::5f59'e3c=:lo=7p`k80795?7|@0k>7co84;d6?!g1m3=9:6sad935>4<6sA3j96`n758e2>"f>l0=;l5rne:23?7=9rB2m85aa669b2=#i?o1==;=;|lg<4>=93;pD4o:;oc40?`?3-k=i7613;1=vF6a49me22=n01/m;k52dg4?xhc08k1=7?tH8c6?kg0<3lj7)o9e;3302=zfm2:n7?51zJ:e0=ii>>1jo5+a7g904de3tdo41vbi6>d;395~N>i<1em::5fe9'e3c=<8h>7p`k80g95?7|@0k>7co84;df?!g1m3>:n95rne:2b?7=9rB2m85aa669bc=#i?o187?51zJ:e0=ii>>1==<4$`4f>725m2weh5<<:082M?f=2dj;94>029'e3c=:7co84;330>{il18>6<4>{I;b1>hf?=0:<85+a7g91`6;4>:0yK=d39;%c5a?4fi:1vbi6=7;395~N>i<1em::51158 d0b2<;?7p`k83:95?7|@0k>7co84;33<>"f>l0mj55rne:1=?7=9rB2m85aa66955?<,h>1==m4$`4f>72482weh50e9'e3c=4}O1h?0bl9;:02f?!g1m3>ii6sad90e>4<6sA3j96`n75824c=#i?o18i:4}of;75<628qC5l;4n`57>4773-k=i7;95:m`=56280:wE7n5:lb31<6981/m;k55dc8ykb?;;0:6{il1986<4>{I;b1>hf?=0:=>5+a7g97`6a3tdo4>:51;3xL4:&b2`<2;>1vbi6<5;395~N>i<1em::51078 d0b27co84;322>"f>l0>i<5rne:03?7=9rB2m85aa669541<,h:0yK=d31c9'e3c==;;0qcj73b82>4}O1h?0bl9;:03`?!g1m38??85rne:0`?7=9rB2m85aa66954b<,h4n3;1=vF6a49me22=98l0(l8j:2;:5>{il1>;6<4>{I;b1>hf?=0:>=5+a7g905>73tdo49?51;3xLo0qcj74382>4}O1h?0bl9;:001?!g1m3>;;i5rne:77?7=9rB2m85aa669575:0yK=d3i<1em::51378 d0b2?:97p`k85495?7|@0k>7co84;312>"f>l0848k4}of;02<628qC5l;4n`57>4403-k=i7:?7`9~ja>303;1=vF6a49me22=9;20(l8j:7c2?xhc0=31=7?tH8c6?kg0<3;956*n6d80==c:0yK=d3i<1em::513`8 d0b2=:<46sad96`>4<6sA3j96`n75826f=#i?o18=98;|lg<1b=93;pD4o:;oc40?75l2.j:h4;0648ykb?>1=?h4$`4f>160;2weh5;?:082M?f=2dj;94>319'e3c=<9=97p`k84395?7|@0k>7co84;305>"f>l0?<:?4}of;17<628qC5l;4n`57>4553-k=i7:?719~ja>2;3;1=vF6a49me22=9:90(l8j:525b>{il1??6<4>{I;b1>hf?=0:?95+a7g9050b3tdo48;51;3xL4}O1h?0bl9;:015?!g1m3>;:n5rne:63?7=9rB2m85aa669561<,h9b:m`=3?280:wE7n5:lb31<6;11/m;k5414b?xhc0<31<7?tH8c6?kg0<3;856sad97b>4<6sA3j96`n75827d=#i?o18=67;|lg<0d=93;pD4o:;oc40?74j2.j:h4;0958ykb?=j0:6h7?51zJ:e0=ii>>1=>j4$`4f>16?=2weh5;j:082M?f=2dj;94>3d9'e3c=<9<27p`k84d95?7|@0k>7co84;30b>"f>l0=4k5rne:54?7=9rB2m85aa669516<,h;|lg<37=93;pD4o:;oc40?7392.j:h4:d79~ja>1:3;1=vF6a49me22=9=80(l8j:4f:?xhc0?91<7?tH8c6?kg0<3;??6sad947>4<6sA3j96`n758201=#i?o19h64}of;20<628qC5l;4n`57>4223-k=i7;kc:m`=01280:wE7n5:lb31<6>0:6<7)o9e;7fg>{il1<36<4>{I;b1>hf?=0:855+a7g91`d:0yK=d34`9'e3c=:j>?7p`k87`95?7|@0k>7co84;37f>"f>l0=i95rne:5g?7=9rB2m85aa66951e<,h1m3:1=vF6a49me22=9=o0qcj76g83>4}O1h?0bl9;:06e?xhc0>:1<7?tH8c6?kg0<3;><6sad952>4<6sA3j96`n758214=zfm2<>7>51zJ:e0=ii>>1=8<4}of;36<728qC5l;4n`57>4343tdo4::51;3xLi<1em::51448ykb??>0:67co84;36<>{il1=26=4>{I;b1>hf?=0:945rne:4e?7=9rB2m85aa66950g:0yK=d35e9~ja>0m3;1=vF6a49me22=94}O1h?0bl9;:07e?xhc01:1<7?tH8c6?kg0<3;=<6sad9:2>4<6sA3j96`n758224=zfm23>7>51zJ:e0=ii>>1=;<4}of;<6<728qC5l;4n`57>4043tdo45:50;3xL2280:wE7n5:lb31<6><1vbi676;395~N>i<1em::51748ykb?0>0;67co84;35<>{il1226<4>{I;b1>hf?=0::45rne:;e?7=9rB2m85aa66953g6e9~ja>?m3:1=vF6a49me22=9?o0qcj78g83>4}O1h?0bl9;:04e?xhc00:1<7?tH8c6?kg0<3;<<6sad9;2>5<6sA3j96`n758234=zfm22>7>51zJ:e0=ii>>1=:<4}of;=6<628qC5l;4n`57>4143-k=i7=l169~ja>><3;1=vF6a49me22=9>>0(l8j:2a27>{il13>6<4>{I;b1>hf?=0:;85+a7g97f6a3tdo44851;3xL4}O1h?0bl9;:054?!g1m39h<:5rne::<,hm?3:m`=?>280:wE7n5:lb31<6?01/m;k53cde?xhc00k1=7?tH8c6?kg0<3;:0yK=d3i<1em::516a8 d0b2:hm<6sad9;g>4<6sA3j96`n75823a=#i?o1?okl;|lg<>1=5>4}of;e4<628qC5l;4n`57>4>63-k=i7=me19~ja>f:3;1=vF6a49me22=9180(l8j:2`gg>{il1k86<4>{I;b1>hf?=0:4>5+a7g97gb?3tdo4l:51;3xL0qcj7a482>4}O1h?0bl9;:0:6?!g1m39ih=5rne:b2?6=9rB2m85aa6695=0:0yK=d3i<1em::519:8 d0b2:hh46sad9c:>4<6sA3j96`n7582<<=#i?o1?om;;|lg>1=5m4$`4f>6de02weh5ok:082M?f=2dj;94>8e9'e3c=;kh?7p`k8`g95?7|@0k>7co84;3;a>"f>l08no>4}of;ec<628qC5l;4n`57>4>a3-k=i7=mab9~ja>e83;1=vF6a49me22=90:0(l8j:2`b<>{il1h:6<4>{I;b1>hf?=0:5<5+a7g97gg33tdo4o<51;3xL4}O1h?0bl9;:0;0?!g1m39i5n5rne:a0?6=9rB2m85aa6695<2:0yK=d3>2weh5l8:082M?f=2dj;94>969'e3c=9lkj7p`k8c:94?7|@0k>7co84;3:<>{il1h26<4>{I;b1>hf?=0:545+a7g9bge:0yK=d3i<1em::518`8 d0b2;l3;6sad9``>4<6sA3j96`n7582=f=#i?o1>k6m;|lgl2.j:h4<7838ykb?jl0:6>1=4h4$`4f>60e;2weh5m?:082M?f=2dj;94>a19'e3c=;?k<7p`k8b395?7|@0k>7co84;3b5>"f>l08:5j4}of;g7<628qC5l;4n`57>4g53-k=i7=9799~ja>d;3;1=vF6a49me22=9h90(l8j:2440>{il1i?6=4>{I;b1>hf?=0:m95rne:`1?7=9rB2m85aa6695d3<,h86d:m`=e1280:wE7n5:lb31<6i?1/m;k537;2?xhc0j=1<7?tH8c6?kg0<3;j;6sad9a;>4<6sA3j96`n7582e==#i?o1?;6<;|lg53zJ:e0=ii>>1=ll4}of;gf<72:qC5l;4n`57>4gd3tdo4nj50;1xLi<1em::51`d8ykb?l90;6>uG9`78jd1328h;7p`k8e394?5|@0k>7co84;3a5>{il1n96=4<{I;b1>hf?=0:n?5rne:g7?6=;rB2m85aa6695g5b79~ja>c?3:1?vF6a49me22=9k=0qcj7d983>6}O1h?0bl9;:0`;?xhc0m31<7=tH8c6?kg0<3;i56sad9fb>5<4sA3j96`n7582fd=zfm2on7>53zJ:e0=ii>>1=ol4}of;`f<72:qC5l;4n`57>4dd3tdo4ij50;1xLi<1em::51cd8ykb?m90;6>uG9`78jd1328i;7p`k8d394?5|@0k>7co84;3`5>{il1o96=4<{I;b1>hf?=0:o?5rne:f7?6=;rB2m85aa6695f5c79~ja>b?3:1?vF6a49me22=9j=0qcj7e983>6}O1h?0bl9;:0a;?xhc0l31<7=tH8c6?kg0<3;h56sad9gb>5<4sA3j96`n7582gd=zfm2nn7>53zJ:e0=ii>>1=nl4}of;af<72:qC5l;4n`57>4ed3tdo4hj50;1xLi<1em::51bd8ykb?n90;6>uG9`78jd1328n;7p`k8g395?7|@0k>7co84;3g5>{il1l96=4>{I;b1>hf?=0:h?5rne:e7?6=9rB2m85aa6695a5d79~ja>a?3:1=vF6a49me22=9m=0qcj7f983>4}O1h?0bl9;:0f;?xhc0o31<7?tH8c6?kg0<3;o56sad9db>5<6sA3j96`n7582`d=zfm2mn7>51zJ:e0=ii>>1=il4}of;bf<728qC5l;4n`57>4bd3tdo4kj50;3xLi<1em::51ed8ykb>890;67co84;3f5>{il0:96=4>{I;b1>hf?=0:i?5rne;37?6=9rB2m85aa6695`59:182M?f=2dj;94>e79~ja?7?3:1=vF6a49me22=9l=0qcj60983>4}O1h?0bl9;:0g;?xhc1931<7?tH8c6?kg0<3;n56sad82b>5<6sA3j96`n7582ad=zfm3;n7>51zJ:e0=ii>>1=hl4}of:4f<728qC5l;4n`57>4cd3tdo5=j50;3xLi<1em::51dd8ykb>990;67co84;3e5>{il0;96=4>{I;b1>hf?=0:j?5rne;27?6=9rB2m85aa6695c5f79~ja?6?3:1=vF6a49me22=9o=0qcj61982>4}O1h?0bl9;:0d;?xhc1831<7?tH8c6?kg0<3;m56sad83b>5<6sA3j96`n7582bd=zfm3:n7>51zJ:e0=ii>>1=kl4}of:5f<728qC5l;4n`57>4`d3tdo5f;295~N>i<1em::51gd8ykb>:90;67co84;035>{il0896=4>{I;b1>hf?=0994?:0yK=d3;;|lg=73=83;pD4o:;oc40?47=2weh4<9:182M?f=2dj;94=079~ja?5?3:1=vF6a49me22=:9=0qcj62983>4}O1h?0bl9;:32;?xhc1;31<7?tH8c6?kg0<38;56sad80b>5<6sA3j96`n75814d=zfm39n7>51zJ:e0=ii>>1>=l4}of:6f<728qC5l;4n`57>76d3tdo5?j51;3xLi<1em::521d8ykb>;90;67co84;025>{il0996=4>{I;b1>hf?=09=?5rne;07?6=9rB2m85aa6696454}O1h?0bl9;:33;?xhc1:31<7?tH8c6?kg0<38:56sad81b>5<6sA3j96`n75815d=zfm38n7>51zJ:e0=ii>>1>77d3tdo5>j50;3xLd:m`<5b290:wE7n5:lb31<59l1vbi7i<1em::520d8ykb><90;67co84;015>{il0>96=4>{I;b1>hf?=09>?5rne;77?6=9rB2m85aa6696754}O1h?0bl9;:30;?xhc1=31<7?tH8c6?kg0<38956sad86b>5<6sA3j96`n75816d=zfm3?n7>51zJ:e0=ii>>1>?l4}of:0f<728qC5l;4n`57>74d3tdo59j50;3xLi<1em::523d8ykb>=90;67co84;005>{il0?96=4>{I;b1>hf?=09??5rne;67?6=9rB2m85aa6696654}O1h?0bl9;:31;?xhc1<31<7?tH8c6?kg0<38856sad87b>4<6sA3j96`n75817d=#i?o1?k><;|lg=0d=93;pD4o:;oc40?44j2.j:h4=j0:6h7?51zJ:e0=ii>>1>>j4$`4f>6`?;2weh4;j:182M?f=2dj;94=3d9~ja?2n3:1=vF6a49me22=::l0qcj66183>4}O1h?0bl9;:363?xhc1?;1<7?tH8c6?kg0<38?=6sad841>5<6sA3j96`n758107=zfm3=?7>51zJ:e0=ii>>1>9=4}of:21<728qC5l;4n`57>7233tdo5;;50;3xLi<1em::52558ykb>>10;637p`k97;94?7|@0k>7co84;07=>{il0{I;b1>hf?=098l5rne;5f?6=9rB2m85aa66961d4}O1h?0bl9;:373?xhc1>;1<7?tH8c6?kg0<38>=6sad851>5<6sA3j96`n758117=zfm351zJ:e0=ii>>1>8=4}of:31<728qC5l;4n`57>7333tdo5:;50;3xLi<1em::52458ykb>?10;67co84;06=>{il0=j6=4>{I;b1>hf?=099l5rne;4f?6=9rB2m85aa66960d4}O1h?0bl9;:343?xhc11;1<7?tH8c6?kg0<38==6sad8:1>4<6sA3j96`n758127=zfm33?7>51zJ:e0=ii>>1>;=4}of:<1<728qC5l;4n`57>7033tdo55;50;3xL1290:wE7n5:lb31<5>?1vbi777;295~N>i<1em::52758ykb>010:651zJ:e0=ii>>1>;74}of:70f3tdo55l50;3xLd290:wE7n5:lb31<5>j1vbi77d;295~N>i<1em::527f8ykb>0l0;67co84;05b>{il03;6=4>{I;b1>hf?=09;=5rne;:5?6=9rB2m85aa669627=3:1=vF6a49me22=:>?0qcj69783>4}O1h?0bl9;:355?xhc10=1<7?tH8c6?kg0<38<;6sad8;;>5<6sA3j96`n75813==zfm3257>51zJ:e0=ii>>1>:74}of:=d<728qC5l;4n`57>71f3tdo54l50;3xLi<1em::526f8ykb>1l0;67co84;04b>{il0k;6=4>{I;b1>hf?=094=5rne;b5?6=9rB2m85aa6696=74}O1h?0bl9;:3:5?xhc1h=1<7?tH8c6?kg0<383;6sad8c;>5<6sA3j96`n7581<==zfm3j57>51zJ:e0=ii>>1>574}of:ed<728qC5l;4n`57>7>f3tdo5ll50;3xLi<1em::529f8ykb>il0;67co84;0;b>{il0h;6=4>{I;b1>hf?=095=5rne;a5?6=9rB2m85aa6696<7;2weh4l;:182M?f=2dj;94=959~ja?e=3:1=vF6a49me22=:0?0qcj6b783>4}O1h?0bl9;:3;5?xhc1k=1<7?tH8c6?kg0<382;6sad8`;>5<6sA3j96`n7581===zfm3i57>51zJ:e0=ii>>1>474}of:fd<728qC5l;4n`57>7?f3tdo5ol50;3xLi<1em::528f8ykb>jl0;67co84;0:b>{il0i;6=4>{I;b1>hf?=09m=5rne;`5?7=9rB2m85aa6696d7<,h77c:m`i<1em::52`18ykb>k=0:67co84;0b1>{il0i=6=4>{I;b1>hf?=09m;5rne;`3?6=9rB2m85aa6696d14}O1h?0bl9;:3c`?xhc1jn1=7?tH8c6?kg0<38jh6*n6d8745>4}O1h?0bl9;:3`1?xhc1m91=7?tH8c6?kg0<38i?6*n6d8034>:0yK=d3i<1em::52c78 d0b2:=;46sad8f5>4<6sA3j96`n7581f3=#i?o1?:>?;|lg=a1=83;pD4o:;oc40?4e?2weh4j7:182M?f=2dj;94=b99~ja?c13:1=vF6a49me22=:k30qcj6d`82>4}O1h?0bl9;:3`b?!g1m39mi:5rne;gf?7=9rB2m85aa6696gd<,h?2:m`4<6sA3j96`n7581f`=#i?o1?i8>;|lg=a`=93;pD4o:;oc40?4en2.j:h4m90:6>1>n?4$`4f>6bfj2weh4k=:082M?f=2dj;94=c39'e3c=;mhn7p`k9d195?7|@0k>7co84;0`7>"f>l08hi;4}of:a1<628qC5l;4n`57>7e33-k=i7=ke`9~ja?b=3;1=vF6a49me22=:j?0(l8j:2fe`>{il0o=6<4>{I;b1>hf?=09o;5+a7g97`513tdo5h951;3xL4}O1h?0bl9;:3a;?!g1m39n9h5rne;f=?7=9rB2m85aa6696f?<,hk85:m`:0yK=d3i<1em::52bf8 d0b2:ooo6sad8gf>4<6sA3j96`n7581g`=#i?o1?hh>;|lg=``=93;pD4o:;oc40?4dn2.j:h4n90:6>1>i?4$`4f>16c:2weh4h=:182M?f=2dj;94=d39~ja?a;3:1=vF6a49me22=:m90qcj6f583>4}O1h?0bl9;:3f7?xhc1o?1<7?tH8c6?kg0<38o96sad8d5>4<6sA3j96`n7581`3=#i?o1?kl8;|lg=c1=83;pD4o:;oc40?4c?2weh4h7:182M?f=2dj;94=d99~ja?a13:1=vF6a49me22=:m30qcj6f`83>4}O1h?0bl9;:3fb?xhc1oh1=7?tH8c6?kg0<38on6*n6d80ag04}O1h?0bl9;:3g3?xhci9;1=7?tH8c6?kg0<38n=6*n6d803dd7co84;0f1>{ilh:=6=4>{I;b1>hf?=09i;5rnec33?6=9rB2m85aa6696`1n:182M?f=2dj;94=e`9~jag7j3:1=vF6a49me22=:lh0qcjn0b83>4}O1h?0E5hl:0y2>x\4n?09w98<:57`>xhf?=09in5rnec3`?6=:rB2m85aa6696`b:0yK=d3;01vbio?f;295~N>i<1em::52dd8ykbf990:651zJ:e0=ii>>1>k?4}ofb57<728qC5l;4n`57>7`53tdom<=50;3xL5;295~N>i<1em::52g78ykbf9?0;67co84;0e3>{ilh;36=4>{I;b1>hf?=09j55rnec2=?6=9rB2m85aa6696c?4}O1h?0bl9;:3df?xhci8l1<7?tH8c6?kg0<38mj6sad`03>5<6sA3j96`n758045=zfmk9=7>51zJ:e0=ii>>1?=?4}ofb67<728qC5l;4n`57>6653tdom?=50;3xLi<1em::53178ykbf:?0;67co84;133>{ilh836=4>{I;b1>hf?=08<55rnec1=?6=9rB2m85aa66975?l4?:0yK=d3>n;|lge7d=83;pD4o:;oc40?57j2wehl4}O1h?0bl9;:22f?xhci;l1<7?tH8c6?kg0<39;j6sad`13>5<6sA3j96`n758055=zfmk8=7>51zJ:e0=ii>>1?6753tdom>=50;3xL3:m`d53290:wE7n5:lb31<49=1vbio<5;295~N>i<1em::53078ykbf;?0;67co84;123>{ilh936=4>{I;b1>hf?=08=55rnec0=?6=9rB2m85aa66974??n;|lge6d=83;pD4o:;oc40?56j2wehl=l:182M?f=2dj;94<1b9~jag4l3:1=vF6a49me22=;8n0qcjn3d83>4}O1h?0bl9;:23f?xhci:l1<7?tH8c6?L>ak3;p>7sU3g490~21;3>>o7793;1b1?{ii>>1?4}62tP8j;4;{540>13d20<86>o::|lb31<4:91vbio;1;296~N>i<1em::53338ykbf<;0;651zJ:e0=N0oi1=v<5}[1e2?2|h9:5y726<3=j08m846628~jd132:8?7p`ka5794?4|@0k>7D6ic;1x0?7=;3wQ?k854z657?22k33=?7=n5;me22=;;?0qcjn4783>7}O1h?0E5hl:2y7>4<42tP8j;4;{540>13d20<86>o::|lb31<4:?1vbio;7;296~N>i<1B4km53z695?5=uS9m:7:t471900e=1?91?l;5}oc40?55?2wehl:7:181M?f=2C3jn4<{582>6>:08m84rn`57>64?3tdom9750;0xLu;:080>x\4n?0?w98<:57`><042:k>6p`n75806d=zfmk?n7>52zJ:e0=N0oi1?v:51;19y_5a>3>p8;=544a9=35=;h?1qco84;11f>{ilh>h6=4={I;b1>O?nj08w94>:28~^6`12=q?:>4;5b8:26<4i<0vbl9;:20`?xhci=n1<7ak39p87?53;Y7c0==?7::c;;57?5f=3wem::533f8ykbf6g22tdj;94<2d9~jag3n3:1>vF6a49J1=7=5}[1e2?2|4rZ2d5>1}3>:0?9n466280e0=?;|lge07=838pD4o:;H:eg?5|<3;1?7sU3g490~21;3>>o7793;1b1?{ii>>1?>?4}ofb17<72;qC5l;4I9d`>6}328086pTxhf?=08??5rnec67?6=:rB2m85F8ga97~2=9391qW=i6;6x035=<5<5sA3j96G7fb801<62:0vV>h9:5y726<3=j02:>47D6ic;3x6?{];o<18v:93;66g??1;39j97saa669763u;:080>x\4n?0?w98<:57`><042:k>6p`n758073=zfmk>;7>52zJ:e0=N0oi1?v:51;19y_5a>3>p8;=544a9=35=;h?1qco84;103>{ilh?36=4={I;b1>O?nj08w94>:28~^6`12=q?:>4;5b8:26<4i<0vbl9;:21;?xhci<31<7ak39p87?53;Y7c0==?7::c;;57?5f=3wem::532;8ykbf=h0;6?uG9`78M=`d2:q?6<4<:|X0b3<3s=<869;l:840>6g22tdj;94<3`9~jag2j3:1>vF6a49J1=7=5}[1e2?2|h9:5y726<3=j02:>47D6ic;3x6?{];o<18v:93;66g??1;39j97saa66976b6g22tdj;94<3d9~jag2n3:1=vF6a49J5<6sA3j96G7fb827>:08m84rn`57>6273tdom;?50;3xL=?7::c;;57?5f=3wem::53538ykbf>;0;6xhf?=088?5rnec57?6=9rB2m85F8ga95~4=uS9m:7:t471900e=1?91?l;5}oc40?53;2wehl8;:182M?f=2C3jn4>{38~^6`12=q?:>4;5b8:26<4i<0vbl9;:267?xhci??1<7ak39p87?53;Y7c0==?7::c;;57?5f=3wem::53578ykbf>?0;6?uG9`78M=`d2:q?6<4<:|X0b3<3s=<869;l:840>6g22tdj;94<479~jag1?3:1>vF6a49J1=7=5}[1e2?2|4rZ2d5>1}3>:0?9n466280e0:7;|lge3?=838pD4o:;H:eg?5|<3;1?7sU3g490~21;3>>o7793;1b1?{ii>>1?974}ofb2d<72;qC5l;4I9d`>6}328086pTxhf?=088l5rnec5f?6=:rB2m85F8ga97~2=9391qW=i6;6x035=<5<5sA3j96G7fb801<62:0vV>h9:5y726<3=j02:>4h7p`ka7f94?4|@0k>7D6ic;1x0?7=;3wQ?k854z657?22k33=?7=n5;me22=;=n0qcjn6d83>7}O1h?0E5hl:2y7>4<42tP8j;4;{540>13d20<86>o::|lb31<4i<1B4km53z695?5=uS9m:7:t471900e=1?91?l;5}oc40?53n2wehl9?:181M?f=2C3jn4<{582>6>:08m84rn`57>6373tdom:?50;0xLu;:080>x\4n?0?w98<:57`><042:k>6p`n758017=zfmk52zJ:e0=N0oi1?v:51;19y_5a>3>p8;=544a9=35=;h?1qco84;167>{ilh=?6=4={I;b1>O?nj08w94>:28~^6`12=q?:>4;5b8:26<4i<0vbl9;:277?xhci>?1<7?tH8c6?kg0<39>96sad`55>5<6sA3j96`n758013=zfmk<;7>51zJ:e0=ii>>1?894}ofb3=<628qC5l;4n`57>63?3tdom:751;3xLi<1em::534c8ykbf?k0;67co84;16g>{ilh=o6=4>{I;b1>hf?=089i5rnec4a?6=9rB2m85aa66970c;i;|lge=6=83;pD4o:;oc40?5182wehl6>:182M?f=2dj;94<609~jag?:3:1=vF6a49me22=;?80qcjn8283>4}O1h?0bl9;:240?xhci1>1<7?tH8c6?kg0<39=86sad`:6>5<6sA3j96`n758020=zfmk3:7>51zJ:e0=ii>>1?;84}ofb<2<728qC5l;4n`57>6003tdom5650;3xL>290:wE7n5:lb31<4>01vbio7a;295~N>i<1em::537c8ykbf0k0;67co84;15g>{ilh2o6=4>{I;b1>hf?=08:i5rnec;a?6=9rB2m85aa66973c8i;|lge<6=83;pD4o:;oc40?5082wehl7>:182M?f=2dj;94<709~jag>:3:1=vF6a49me22=;>80qcjn9283>4}O1h?0bl9;:250?xhci0>1<7?tH8c6?kg0<39<86sad`;6>5<6sA3j96`n758030=zfmk2:7>51zJ:e0=ii>>1?:84}ofb=2<728qC5l;4n`57>6103tdom4650;3xL290:wE7n5:lb31<4?01vbio6a;295~N>i<1em::536c8ykbf1k0;67co84;14g>{ilh3o6=4>{I;b1>hf?=08;i5rnec:a?6=9rB2m85aa66972c9i;|lged6=83;pD4o:;oc40?5?82wehlo>:182M?f=2dj;94<809~jagf:3:1=vF6a49me22=;180qcjna283>4}O1h?0bl9;:2:0?xhcih>1<7?tH8c6?kg0<39386sad`c6>5<6sA3j96`n7580<0=zfmkj:7>51zJ:e0=ii>>1?584}ofbe2<728qC5l;4n`57>6>03tdoml650;3xL290:wE7n5:lb31<4001vbiona;295~N>i<1em::539c8ykbfik0;67co84;1;g>{ilhko6=4>{I;b1>hf?=084i5rnecba?6=9rB2m85aa6697=c6i;|lgeg6=83;pD4o:;oc40?5>82wehll>:182M?f=2dj;94<909~jage:3:1=vF6a49me22=;080qcjnb283>4}O1h?0bl9;:2;0?xhcik>1<7?tH8c6?kg0<39286sad``6>5<6sA3j96`n7580=0=zfmki:7>51zJ:e0=ii>>1?484}ofbf2<728qC5l;4n`57>6?03tdomo650;3xL290:wE7n5:lb31<4101vbioma;295~N>i<1em::538c8ykbfjk0;67co84;1:g>{ilhho6=4>{I;b1>hf?=085i5rnecaa?6=9rB2m85aa66977i;|lgef6=83;pD4o:;oc40?5f82wehlm>:182M?f=2dj;944}O1h?0bl9;:2c0?xhcij>1<7?tH8c6?kg0<39j86sad`a6>5<6sA3j96`n7580e0=zfmkh:7>51zJ:e0=ii>>1?l84}ofbg2<728qC5l;4n`57>6g03tdomn650;3xL290:wE7n5:lb31<4i01vbiola;295~N>i<1em::53`c8ykbfkk0;67co84;1bg>{ilhio6=4>{I;b1>hf?=08mi5rnec`a?6=9rB2m85aa6697dcoi;|lgea6=83;pD4o:;oc40?5e82wehlj>:182M?f=2dj;944}O1h?0bl9;:2`0?xhcim>1<7?tH8c6?kg0<39i86sad`f6>5<6sA3j96`n7580f0=zfmko:7>51zJ:e0=ii>>1?o84}ofb`2<728qC5l;4n`57>6d03tdomi650;3xL290:wE7n5:lb31<4j01vbioka;295~N>i<1em::53cc8ykbflk0;67co84;1ag>{ilhno6=4>{I;b1>hf?=08ni5rnecga?6=9rB2m85aa6697gcli;|lge`6=83;pD4o:;oc40?5d82wehlk>:182M?f=2dj;944}O1h?0bl9;:2a0?xhcil>1<7?tH8c6?kg0<39h86sad`g6>5<6sA3j96`n7580g0=zfmkn:7>51zJ:e0=ii>>1?n84}ofba2<728qC5l;4n`57>6e03tdomh650;3xL290:wE7n5:lb31<4k01vbioja;295~N>i<1em::53bc8ykbfmk0;67co84;1`g>{ilhoo6=4>{I;b1>hf?=08oi5rnecfa?6=9rB2m85aa6697fcmi;|lgec6=83;pD4o:;oc40?5c82wehlh>:182M?f=2dj;944}O1h?0bl9;:2f0?xhcio>1<7?tH8c6?kg0<39o86sad`d6>5<6sA3j96`n7580`0=zfmkm:7>51zJ:e0=ii>>1?i84}ofbb2<728qC5l;4n`57>6b03tdomk650;3xL290:wE7n5:lb31<4l01vbioia;295~N>i<1em::53ec8ykbfnk0;67co84;1gg>{ilhlo6=4>{I;b1>hf?=08hi5rnecea?6=9rB2m85aa6697acji;|lgf56=83;pD4o:;oc40?5b82weho>>:182M?f=2dj;944}O1h?0bl9;:2g0?xhcj9>1<7?tH8c6?kg0<39n86sadc26>5<6sA3j96`n7580a0=zfmh;:7>51zJ:e0=ii>>1?h84}ofa42<728qC5l;4n`57>6c03tdon=650;3xL290:wE7n5:lb31<4m01vbil?a;295~N>i<1em::53dc8ykbe8k0;67co84;1fg>{ilk:o6=4>{I;b1>hf?=08ii5rne`3a?6=9rB2m85aa6697`cki;|lgf46=83;pD4o:;oc40?5a82weho?>:182M?f=2dj;944}O1h?0bl9;:2d0?xhcj8>1<7?tH8c6?kg0<39m86sadc36>5<6sA3j96`n7580b0=zfmh::7>51zJ:e0=ii>>1?k84}ofa52<728qC5l;4n`57>6`03tdon<650;3xL290:wE7n5:lb31<4n01vbil>a;395~N>i<1em::53gc8ykbe9k0:6{ilk;h6=4>{I;b1>hf?=08jn5rne`2`?6=9rB2m85aa6697cbhj;|lgf4`=83;pD4o:;oc40?5an2weho4}O1h?0bl9;:521?xhcj;91<7?tH8c6?kg0<3>;?6sadc07>5<6sA3j96`n758741=zfmh997>51zJ:e0=ii>>18=;4}ofa63<728qC5l;4n`57>1613tdon?950;3xLi<1em::541;8ykbe:h0;67co84;63f>{ilk8h6=4>{I;b1>hf?=0?h4?:0yK=d3j;|lgf7`=83;pD4o:;oc40?27n2weho=?:182M?f=2dj;94;119~jad493:1=vF6a49me22=<8;0qcjm3383>4}O1h?0bl9;:531?xhcj:91<7?tH8c6?kg0<3>:?6sadc17>5<6sA3j96`n758751=zfmh897>51zJ:e0=ii>>18<;4}ofa73<728qC5l;4n`57>1713tdon>950;3xL7:m`g5?290:wE7n5:lb31<3911vbil<9;295~N>i<1em::540;8ykbe;h0;67co84;62f>{ilk9h6=4>{I;b1>hf?=0?=n5rne`0`?6=9rB2m85aa66904b4}O1h?0bl9;:501?xhcj=91<7?tH8c6?kg0<3>9?6sadc67>5<6sA3j96`n758761=zfmh?97>51zJ:e0=ii>>18?;4}ofa03<728qC5l;4n`57>1413tdon9950;3xLi<1em::543;8ykbe7co84;61f>{ilk>h6=4>{I;b1>hf?=0?>n5rne`7`?6=9rB2m85aa66907b4}O1h?0bl9;:511?xhcj<91<7?tH8c6?kg0<3>8?6sadc77>5<6sA3j96`n758771=zfmh>97>51zJ:e0=ii>>18>;4}ofa13<728qC5l;4n`57>1513tdon8950;3xLi<1em::542;8ykbe=h0;67co84;60f>{ilk?h6=4>{I;b1>hf?=0??n5rne`6`?6=9rB2m85aa66906b4}O1h?0bl9;:561?xhcj?91<7?tH8c6?kg0<3>??6sadc47>5<6sA3j96`n758701=zfmh=97>51zJ:e0=ii>>189;4}ofa23<728qC5l;4n`57>1213tdon;950;3xLi<1em::545;8ykbe>h0;6j7p`kb7`94?7|@0k>7co84;67f>{ilk{I;b1>hf?=0?8n5rne`5`?6=9rB2m85aa66901b4}O1h?0bl9;:571?xhcj>91<7?tH8c6?kg0<3>>?6sadc57>5<6sA3j96`n758711=zfmh<97>51zJ:e0=ii>>188;4}ofa33<728qC5l;4n`57>1313tdon:950;3xLi<1em::544;8ykbe?h0;67co84;66f>{ilk=h6=4>{I;b1>hf?=0?9n5rne`4`?6=9rB2m85aa66900b4}O1h?0bl9;:541?!g1m3>;m<5rne`;7?6=9rB2m85aa6690354}O1h?0bl9;:54;?xhcj131<7?tH8c6?kg0<3>=56sadc:b>5<6sA3j96`n75872d=zfmh3n7>51zJ:e0=ii>>18;l4}ofa10d3tdon5j50;3xLb290:wE7n5:lb31<3>l1vbil7f;295~N>i<1em::547d8ykbe190;67co84;645>{ilk396=4>{I;b1>hf?=0?;?5rne`:7?6=9rB2m85aa669025?3:1=vF6a49me22=<>=0qcjm9983>4}O1h?0bl9;:55;?xhcj031<7?tH8c6?kg0<3><56sadc;b>5<6sA3j96`n75873d=zfmh2n7>51zJ:e0=ii>>18:l4}ofa=f<728qC5l;4n`57>11d3tdon4j50;3xLi<1em::546d8ykbei90;67co84;6;5>{ilkk96=4>{I;b1>hf?=0?4?5rne`b7?6=9rB2m85aa6690=54}O1h?0bl9;:5:;?xhcjh31<7?tH8c6?kg0<3>356sadccb>5<6sA3j96`n758751zJ:e0=ii>>185l4}ofaef<728qC5l;4n`57>1>d3tdonlj50;3xLi<1em::549d8ykbej90;67co84;6:5>{ilkh96=4>{I;b1>hf?=0?5?5rne`a7?6=9rB2m85aa6690<5=2wehol9:182M?f=2dj;94;979~jade?3:1=vF6a49me22=<0=0qcjmb983>4}O1h?0bl9;:5;;?xhcjk31<7?tH8c6?kg0<3>256sadc`b>5<6sA3j96`n7587=d=zfmhin7>51zJ:e0=ii>>184l4}ofaff<728qC5l;4n`57>1?d3tdonoj50;3xLi<1em::548d8ykbek90;67co84;6b5>{ilki96=4>{I;b1>hf?=0?m?5rne``7?6=9rB2m85aa6690d54}O1h?0bl9;:5c;?xhcjj31<7?tH8c6?kg0<3>j56sadcab>5<6sA3j96`n7587ed=zfmhhn7>51zJ:e0=ii>>18ll4}ofagf<728qC5l;4n`57>1gd3tdonnj50;3xLi<1em::54`d8ykbel90;67co84;6a5>{ilkn96=4>{I;b1>hf?=0?n?5rne`g7?6=9rB2m85aa6690g54}O1h?0bl9;:5`;?xhcjm31<7?tH8c6?kg0<3>i56sadcfb>5<6sA3j96`n7587fd=zfmhon7>51zJ:e0=ii>>18ol4}ofa`f<72;qC5l;4n`57>1dd3tdonij50;3xLi<1em::54cd8ykbem90;67co84;6`5>{ilko96=4>{I;b1>hf?=0?o?5rne`f7?6=9rB2m85aa6690f54}O1h?0bl9;:5a;?xhcjl31<7?tH8c6?kg0<3>h56sadcgb>5<6sA3j96`n7587gd=zfmhnn7>51zJ:e0=ii>>18nl4}ofaaf<728qC5l;4n`57>1ed3tdonhj50;3xLi<1em::54bd8ykben90;67co84;6g5>{ilkl96=4>{I;b1>hf?=0?h?5rne`e7?6=9rB2m85aa6690a57}O1h?0bl9;:5f;?xhcjo31<7?tH8c6?kg0<3>o56sadcdb>5<6sA3j96`n7587`d=zfmhmn7>51zJ:e0=ii>>18il4}ofabf<728qC5l;4n`57>1bd3tdonkj50;3xLi<1em::54ed8ykbd890;67co84;6f5>{ilj:96=4>{I;b1>hf?=0?i?5rnea37?6=9rB2m85aa6690`59:182M?f=2dj;94;e79~jae7?3:1=vF6a49me22=4}O1h?0bl9;:5g;?xhck931<7?tH8c6?kg0<3>n56sadb2b>5<5sA3j96`n7587ad=zfmi;n7>51zJ:e0=ii>>18hl4}of`4f<728qC5l;4n`57>1cd3tdoo=j50;3xLi<1em::54dd8ykbd990;67co84;6e5>{ilj;96=4>{I;b1>hf?=0?j?5rnea27?6=:rB2m85aa6690c54}O1h?0bl9;:5d;?xhck831<7?tH8c6?kg0<3>m56sadb3b>5<6sA3j96`n7587bd=zfmi:n7>51zJ:e0=ii>>18kl4}of`5f<728qC5l;4n`57>1`d3tdoof;295~N>i<1em::54gd8ykbd:90;67co84;735>{ilj896=4>{I;b1>hf?=0>94?:3yK=d3;;|lgg73=838pD4o:;oc40?37=2wehn<9:182M?f=2dj;94:079~jae5?3:1=vF6a49me22==9=0qcjl2983>4}O1h?0bl9;:42;?xhck;31<7?tH8c6?kg0<3?;56sadb0b>5<6sA3j96`n75864d=zfmi9n7>51zJ:e0=ii>>19=l4}of`6f<728qC5l;4n`57>06d3tdoo?j50;3xLi<1em::551d8ykbd;90;67co84;725>{ilj996=4>{I;b1>hf?=0>=?5rnea07?6=9rB2m85aa669145vF6a49me22==8=0qcjl3983>4}O1h?0bl9;:43;?xhck:31<7?tH8c6?kg0<3?:56sadb1b>5<6sA3j96`n75865d=zfmi8n7>51zJ:e0=ii>>1907d3tdoo>j50;3xLd:m`f5b290:wE7n5:lb31<29l1vbimi<1em::550d8ykbd<90;6?uG9`78jd132<8;7p`kc5394?7|@0k>7co84;715>{ilj>96=4>{I;b1>hf?=0>>?5rnea77?6=9rB2m85aa6691754}O1h?0bl9;:40;?xhck=31<7?tH8c6?kg0<3?956sadb6b>5<6sA3j96`n75866d=zfmi?n7>51zJ:e0=ii>>19?l4}of`0f<728qC5l;4n`57>04d3tdoo9j50;3xLi<1em::553d8ykbd=90;67co84;705>{ilj?96=4={I;b1>hf?=0>??5rnea67?6=9rB2m85aa6691654}O1h?0bl9;:41;?xhck<31<7?tH8c6?kg0<3?856sadb7b>5<6sA3j96`n75867d=zfmi>n7>52zJ:e0=ii>>19>l4}of`1f<728qC5l;4n`57>05d3tdoo8j50;3xLi<1em::552d8ykbd>90;6;7p`kc7394?7|@0k>7co84;775>{ilj<96=4>{I;b1>hf?=0>8?5rnea57?6=9rB2m85aa6691154}O1h?0bl9;:46;?xhck?31<7?tH8c6?kg0<3??56sadb4b>5<6sA3j96`n75860d=zfmi=n7>51zJ:e0=ii>>199l4}of`2f<728qC5l;4n`57>02d3tdoo;j50;0xLi<1em::555d8ykbd?90;67co84;765>{ilj=96=4>{I;b1>hf?=0>9?5rnea47?6=9rB2m85aa6691054}O1h?0bl9;:47;?xhck>31<7?tH8c6?kg0<3?>56sadb5b>5<6sA3j96`n75861d=zfmi51zJ:e0=ii>>198l4}of`3f<728qC5l;4n`57>03d3tdoo:j50;3xLi<1em::554d8ykbd090;67co84;755>{ilj296=4>{I;b1>hf?=0>:?5rnea;7?6=9rB2m85aa6691357}O1h?0bl9;:44;?xhck131<7?tH8c6?kg0<3?=56sadb:b>5<6sA3j96`n75862d=zfmi3n7>51zJ:e0=ii>>19;l4}of`00d3tdoo5j50;3xLb290:wE7n5:lb31<2>l1vbim7f;295~N>i<1em::557d8ykbd190;67co84;745>{ilj396=4>{I;b1>hf?=0>;?5rnea:7?6=9rB2m85aa669125?3:1=vF6a49me22==>=0qcjl9983>4}O1h?0bl9;:45;?xhck031<7?tH8c6?kg0<3?<56sadb;b>5<5sA3j96`n75863d=zfmi2n7>51zJ:e0=ii>>19:l4}of`=f<728qC5l;4n`57>01d3tdoo4j50;3xLi<1em::556d8ykbdi90;67co84;7;5>{iljk96=4>{I;b1>hf?=0>4?5rneab7?6=:rB2m85aa6691=54}O1h?0bl9;:4:;?xhckh31<7?tH8c6?kg0<3?356sadbcb>5<6sA3j96`n758651zJ:e0=ii>>195l4}of`ef<728qC5l;4n`57>0>d3tdoolj50;3xLi<1em::559d8ykbdj90;67co84;7:5>{iljh96=4>{I;b1>hf?=0>5?5rneaa7?6=9rB2m85aa6691<5=2wehnl9:182M?f=2dj;94:979~jaee?3:1=vF6a49me22==0=0qcjlb983>4}O1h?0bl9;:4;;?xhckk31<7?tH8c6?kg0<3?256sadb`b>5<6sA3j96`n7586=d=zfmiin7>51zJ:e0=ii>>194l4}of`ff<728qC5l;4n`57>0?d3tdoooj50;3xLi<1em::558d8ykbdk90;67co84;7b5>{ilji96=4>{I;b1>hf?=0>m?5rnea`7?6=9rB2m85aa6691d5vF6a49me22==h=0qcjlc983>4}O1h?0bl9;:4c;?xhckj31<7?tH8c6?kg0<3?j56sadbab>5<6sA3j96`n7586ed=zfmihn7>51zJ:e0=ii>>19ll4}of`gf<728qC5l;4n`57>0gd3tdoonj50;3xLi<1em::55`d8ykbdl90;6?uG9`78jd1327co84;7a5>{iljn96=4>{I;b1>hf?=0>n?5rneag7?6=9rB2m85aa6691g54}O1h?0bl9;:4`;?xhckm31<75<6sA3j96`n7586fd=zfmion7>51zJ:e0=ii>>19ol4}of``f<728qC5l;4n`57>0dd3tdooij50;3xLi<1em::55cd8ykbdm90;67co84;7`5>{iljo96=4={I;b1>hf?=0>o?5rneaf7?6=9rB2m85aa6691f54}O1h?0bl9;:4a;?xhckl31<7?tH8c6?kg0<3?h56sadbgb>5<6sA3j96`n7586gd=zfminn7>52zJ:e0=ii>>19nl4}of`af<728qC5l;4n`57>0ed3tdoohj50;3xLi<1em::55bd8ykbdn90;67co84;7g5>{iljl96=4>{I;b1>hf?=0>h?5rneae7?6=9rB2m85aa6691a54}O1h?0bl9;:4f;?xhcko31<7?tH8c6?kg0<3?o56sadbdb>5<6sA3j96`n7586`d=zfmimn7>51zJ:e0=ii>>19il4}of`bf<728qC5l;4n`57>0bd3tdookj50;3xLi<1em::55ed8ykbc890;67co84;7f5>{ilm:96=4>{I;b1>hf?=0>i?5rnef37?6=9rB2m85aa6691`59:181M?f=2dj;94:e79~jab7?3:1=vF6a49me22==l=0qcjk0983>4}O1h?0bl9;:4g;?xhcl931<7?tH8c6?kg0<3?n56sade2b>5<6sA3j96`n7586ad=zfmn;n7>51zJ:e0=ii>>19hl4}ofg4f<728qC5l;4n`57>0cd3tdoh=j50;3xLi<1em::55dd8ykbc990;67co84;7e5>{ilm;96=4>{I;b1>hf?=0>j?5rnef27?6=9rB2m85aa6691c57}O1h?0bl9;:4d;?xhcl831<7?tH8c6?kg0<3?m56sade3b>5<6sA3j96`n7586bd=zfmn:n7>51zJ:e0=ii>>19kl4}ofg5f<728qC5l;4n`57>0`d3tdohf;295~N>i<1em::55gd8ykbc:90;67co84;435>{ilm896=4>{I;b1>hf?=0=94?:0yK=d3;;|lg`73=83;pD4o:;oc40?07=2wehi<9:182M?f=2dj;949079~jab5?3:1=vF6a49me22=>9=0qcjk2983>4}O1h?0bl9;:72;?xhcl;31<7?tH8c6?kg0<3<;56sade0b>5<5sA3j96`n75854d=zfmn9n7>51zJ:e0=ii>>1:=l4}ofg6f<728qC5l;4n`57>36d3tdoh?j50;3xLi<1em::561d8ykbc;90;67co84;425>{ilm996=4>{I;b1>hf?=0==?5rnef07?6=9rB2m85aa6692458=0qcjk3983>4}O1h?0bl9;:73;?xhcl:31<7?tH8c6?kg0<3<:56sade1b>5<6sA3j96`n75855d=zfmn8n7>51zJ:e0=ii>>1:37d3tdoh>j50;3xLd:m`a5b290:wE7n5:lb31<19l1vbiji<1em::560d8ykbc<90;67co84;415>{ilm>96=4>{I;b1>hf?=0=>?5rnef77?6=9rB2m85aa669275;=0qcjk4983>4}O1h?0bl9;:70;?xhcl=31<7?tH8c6?kg0<3<956sade6b>5<6sA3j96`n75856d=zfmn?n7>51zJ:e0=ii>>1:?l4}ofg0f<728qC5l;4n`57>34d3tdoh9j50;3xLi<1em::563d8ykbc=90;67co84;405>{ilm?96=4>{I;b1>hf?=0=??5rnef67?6=9rB2m85aa669265:=0qcjk5983>4}O1h?0bl9;:71;?xhcl<31<7?tH8c6?kg0<3<856sade7b>5<6sA3j96`n75857d=zfmn>n7>51zJ:e0=ii>>1:>l4}ofg1f<728qC5l;4n`57>35d3tdoh8j50;3xLi<1em::562d8ykbc>90;6?uG9`78jd132?>;7p`kd7394?4|@0k>7co84;475>{ilm<96=4={I;b1>hf?=0=8?5rnef57?6=:rB2m85aa669215==0qcjk6983>4}O1h?0bl9;:76;?xhcl?31<7?tH8c6?kg0<35<6sA3j96`n75850d=zfmn=n7>52zJ:e0=ii>>1:9l4}ofg2f<728qC5l;4n`57>32d3tdoh;j50;3xLi<1em::565d8ykbc?90;67co84;465>{ilm=96=4={I;b1>hf?=0=9?5rnef47?6=:rB2m85aa669205vF6a49me22=><=0qcjk7983>7}O1h?0bl9;:77;?xhcl>31<756sade5b>5<6sA3j96`n75851d=zfmn52zJ:e0=ii>>1:8l4}ofg3f<72;qC5l;4n`57>33d3tdoh:j50;0xLi<1em::564d8ykbc090;6?uG9`78jd132?<;7p`kd9394?4|@0k>7co84;455>{ilm296=4={I;b1>hf?=0=:?5rnef;7?6=:rB2m85aa669235vF6a49me22=>?=0qcjk8983>7}O1h?0bl9;:74;?xhcl131<75<5sA3j96`n75852d=zfmn3n7>51zJ:e0=ii>>1:;l4}ofg30d3tdoh5j50;0xLb2909wE7n5:lb31<1>l1vbij7f;296~N>i<1em::567d8ykbc190;6?uG9`78jd132?=;7p`kd8394?7|@0k>7co84;445>{ilm396=4>{I;b1>hf?=0=;?5rnef:7?6=9rB2m85aa669225?3:1=vF6a49me22=>>=0qcjk9983>4}O1h?0bl9;:75;?xhcl031=7?tH8c6?kg0<3<<56sade;b>4<6sA3j96`n75853d=zfmn2n7>51zJ:e0=ii>>1::l4}ofg=f<728qC5l;4n`57>31d3tdoh4j50;3xLi<1em::566d8ykbci90;67co84;4;5>{ilmk96=4>{I;b1>hf?=0=4?5rnefb7?6=9rB2m85aa6692=51=0qcjka983>4}O1h?0bl9;:7:;?xhclh31<7?tH8c6?kg0<3<356sadecb>5<6sA3j96`n758551zJ:e0=ii>>1:5l4}ofgef<728qC5l;4n`57>3>d3tdohlj50;3xLi<1em::569d8ykbcj90;67co84;4:5>{ilmh96=4>{I;b1>hf?=0=5?5rnefa7?6=9rB2m85aa6692<5=2wehil9:182M?f=2dj;949979~jabe?3:1=vF6a49me22=>0=0qcjkb983>4}O1h?0bl9;:7;;?xhclk31<7?tH8c6?kg0<3<256sade`b>5<6sA3j96`n7585=d=zfmnin7>51zJ:e0=ii>>1:4l4}ofgff<728qC5l;4n`57>3?d3tdohoj50;3xLi<1em::568d8ykbck90;67co84;4b5>{ilmi96=4>{I;b1>hf?=0=m?5rnef`7?6=9rB2m85aa6692d5h=0qcjkc983>4}O1h?0bl9;:7c;?xhclj31<7?tH8c6?kg0<35<6sA3j96`n7585ed=zfmnhn7>51zJ:e0=ii>>1:ll4}ofggf<728qC5l;4n`57>3gd3tdohnj50;3xLi<1em::56`d8ykbcl90;67co84;4a5>{ilmn96=4>{I;b1>hf?=0=n?5rnefg7?6=9rB2m85aa6692g5k=0qcjkd983>4}O1h?0bl9;:7`;?xhclm31<7?tH8c6?kg0<35<6sA3j96`n7585fd=zfmnon7>51zJ:e0=ii>>1:ol4}ofg`f<728qC5l;4n`57>3dd3tdohij50;3xLi<1em::56cd8ykbcm90;67co84;4`5>{ilmo96=4>{I;b1>hf?=0=o?5rneff7?6=9rB2m85aa6692f5j=0qcjke983>4}O1h?0bl9;:7a;?xhcll31<7?tH8c6?kg0<35<6sA3j96`n7585gd=zfmnnn7>51zJ:e0=ii>>1:nl4}ofgaf<728qC5l;4n`57>3ed3tdohhj50;3xLi<1em::56bd8ykbcn90;67co84;4g5>{ilml96=4>{I;b1>hf?=0=h?5rnefe7?6=9rB2m85aa6692a5m=0qcjkf983>4}O1h?0bl9;:7f;?xhclo31<7?tH8c6?kg0<35<6sA3j96`n7585`d=zfmnmn7>51zJ:e0=ii>>1:il4}ofgbf<728qC5l;4n`57>3bd3tdohkj50;3xLi<1em::56ed8ykbb890;67co84;4f5>{ill:96=4>{I;b1>hf?=0=i?5rneg37?6=9rB2m85aa6692`59:182M?f=2dj;949e79~jac7?3:1=vF6a49me22=>l=0qcjj0983>4}O1h?0bl9;:7g;?xhcm931<7?tH8c6?kg0<34<6sA3j96`n7585ad=zfmo;n7>51zJ:e0=ii>>1:hl4}off4f<728qC5l;4n`57>3cd3tdoi=j50;3xLi<1em::56dd8ykbb990;67co84;4e5>{ill;96<4>{I;b1>hf?=0=j?5rneg27?6=9rB2m85aa6692c5o=0qcjj1983>4}O1h?0bl9;:7d;?xhcm831<7?tH8c6?kg0<35<6sA3j96`n7585bd=zfmo:n7>51zJ:e0=ii>>1:kl4}off5f<728qC5l;4n`57>3`d3tdoif;295~N>i<1em::56gd8ykbb:90;6:;7p`ke3394?7|@0k>7co84;535>{ill896=4>{I;b1>hf?=0<94?:0yK=d3;;|lga73=83;pD4o:;oc40?17=2wehh<9:182M?f=2dj;948079~jac5?3:1=vF6a49me22=?9=0qcjj2983>4}O1h?0bl9;:62;?xhcm;31<7?tH8c6?kg0<3=;56sadd0b>5<6sA3j96`n75844d=zfmo9n7>51zJ:e0=ii>>1;=l4}off6f<728qC5l;4n`57>26d3tdoi?j50;3xLi<1em::571d8ykbb;90;6;;7p`ke2394?7|@0k>7co84;525>{ill996=4>{I;b1>hf?=0<=?5rneg07?6=9rB2m85aa6693454}O1h?0bl9;:63;?xhcm:31<7?tH8c6?kg0<3=:56sadd1b>5<6sA3j96`n75845d=zfmo8n7>51zJ:e0=ii>>1;27d3tdoi>j50;3xLd:m``5b290:wE7n5:lb31<09l1vbiki<1em::570d8ykbb<90;68;7p`ke5394?7|@0k>7co84;515>{ill>96=4>{I;b1>hf?=0<>?5rneg77?6=9rB2m85aa6693754}O1h?0bl9;:60;?xhcm=31<7?tH8c6?kg0<3=956sadd6b>5<6sA3j96`n75846d=zfmo?n7>51zJ:e0=ii>>1;?l4}off0f<728qC5l;4n`57>24d3tdoi9j50;3xLi<1em::573d8ykbb=90;69;7p`ke4394?7|@0k>7co84;505>{ill?96=4>{I;b1>hf?=04}O1h?0bl9;:61;?xhcm<31<7?tH8c6?kg0<3=856sadd7b>5<6sA3j96`n75847d=zfmo>n7>51zJ:e0=ii>>1;>l4}off1f<728qC5l;4n`57>25d3tdoi8j50;3xLi<1em::572d8ykbb>90;6>;7p`ke7394?7|@0k>7co84;575>{ill<96=4>{I;b1>hf?=0<8?5rneg57?6=9rB2m85aa6693154}O1h?0bl9;:66;?xhcm?31<7?tH8c6?kg0<3=?56sadd4b>5<6sA3j96`n75840d=zfmo=n7>51zJ:e0=ii>>1;9l4}off2f<728qC5l;4n`57>22d3tdoi;j50;3xLi<1em::575d8ykbb?90;6?;7p`ke6394?7|@0k>7co84;565>{ill=96=4>{I;b1>hf?=0<9?5rneg47?6=9rB2m85aa6693054}O1h?0bl9;:67;?xhcm>31<7?tH8c6?kg0<3=>56sadd5b>5<6sA3j96`n75841d=zfmo51zJ:e0=ii>>1;8l4}off3f<728qC5l;4n`57>23d3tdoi:j50;3xLi<1em::574d8ykbb090;6<;7p`ke9394?7|@0k>7co84;555>{ill296=4>{I;b1>hf?=0<:?5rneg;7?6=9rB2m85aa6693354}O1h?0bl9;:64;?xhcm131<7?tH8c6?kg0<3==56sadd:b>5<6sA3j96`n75842d=zfmo3n7>51zJ:e0=ii>>1;;l4}off20d3tdoi5j50;3xLb290:wE7n5:lb31<0>l1vbik7f;295~N>i<1em::577d8ykbb190;6=;7p`ke8394?7|@0k>7co84;545>{ill396=4>{I;b1>hf?=0<;?5rneg:7?6=9rB2m85aa669325?3:1=vF6a49me22=?>=0qcjj9983>4}O1h?0bl9;:65;?xhcm031<7?tH8c6?kg0<3=<56sadd;b>5<6sA3j96`n75843d=zfmo2n7>51zJ:e0=ii>>1;:l4}off=f<728qC5l;4n`57>21d3tdoi4j50;3xLi<1em::576d8ykbbi90;62;7p`ke`394?7|@0k>7co84;5;5>{illk96=4>{I;b1>hf?=0<4?5rnegb7?6=9rB2m85aa6693=54}O1h?0bl9;:6:;?xhcmh31<7?tH8c6?kg0<3=356saddcb>5<6sA3j96`n758451zJ:e0=ii>>1;5l4}offef<728qC5l;4n`57>2>d3tdoilj50;3xLi<1em::579d8ykbbj90;63;7p`kec394?7|@0k>7co84;5:5>{illh96=4>{I;b1>hf?=0<5?5rnega7?6=9rB2m85aa6693<5=2wehhl9:182M?f=2dj;948979~jace?3:1=vF6a49me22=?0=0qcjjb983>4}O1h?0bl9;:6;;?xhcmk31<7?tH8c6?kg0<3=256sadd`b>5<6sA3j96`n7584=d=zfmoin7>51zJ:e0=ii>>1;4l4}offff<728qC5l;4n`57>2?d3tdoioj50;3xLi<1em::578d8ykbbk90;6k;7p`keb394?7|@0k>7co84;5b5>{illi96=4>{I;b1>hf?=04}O1h?0bl9;:6c;?xhcmj31<7?tH8c6?kg0<3=j56saddab>5<6sA3j96`n7584ed=zfmohn7>51zJ:e0=ii>>1;ll4}offgf<728qC5l;4n`57>2gd3tdoinj50;3xLi<1em::57`d8ykbbl90;6h;7p`kee394?7|@0k>7co84;5a5>{illn96=4>{I;b1>hf?=04}O1h?0bl9;:6`;?xhcmm31<7?tH8c6?kg0<3=i56saddfb>5<6sA3j96`n7584fd=zfmoon7>51zJ:e0=ii>>1;ol4}off`f<728qC5l;4n`57>2dd3tdoiij50;3xLi<1em::57cd8ykbbm90;6i;7p`ked394?7|@0k>7co84;5`5>{illo96=4>{I;b1>hf?=04}O1h?0bl9;:6a;?xhcml31<7?tH8c6?kg0<3=h56saddgb>5<6sA3j96`n7584gd=zfmonn7>51zJ:e0=ii>>1;nl4}offaf<728qC5l;4n`57>2ed3tdoihj50;3xLi<1em::57bd8ykbbn90;6n;7p`keg394?7|@0k>7co84;5g5>{illl96=4>{I;b1>hf?=04}O1h?0bl9;:6f;?xhcmo31<7?tH8c6?kg0<3=o56sadddb>5<6sA3j96`n7584`d=zfmomn7>51zJ:e0=ii>>1;il4}offbf<728qC5l;4n`57>2bd3tdoikj50;3xLi<1em::57ed8ykba890;6o;7p`kf1394?7|@0k>7co84;5f5>{ilo:96=4>{I;b1>hf?=09:182M?f=2dj;948e79~ja`7?3:1=vF6a49me22=?l=0qcji0983>4}O1h?0bl9;:6g;?xhcn931<7?tH8c6?kg0<3=n56sadg2b>5<6sA3j96`n7584ad=zfml;n7>51zJ:e0=ii>>1;hl4}ofe4f<728qC5l;4n`57>2cd3tdoj=j50;3xLi<1em::57dd8ykba990;6l;7p`kf0394?7|@0k>7co84;5e5>{ilo;96=4>{I;b1>hf?=04}O1h?0bl9;:6d;?xhcn831<7?tH8c6?kg0<3=m56sadg3b>5<6sA3j96`n7584bd=zfml:n7>51zJ:e0=ii>>1;kl4}ofe5f<728qC5l;4n`57>2`d3tdojf;295~N>i<1em::57gd8ykba:90;67co84;:35>{ilo896=4>{I;b1>hf?=0394?:0yK=d3;;|lgb73=83;pD4o:;oc40?>7=2wehk<9:182M?f=2dj;947079~ja`5?3:1=vF6a49me22=09=0qcji2983>4}O1h?0bl9;:92;?xhcn;31<7?tH8c6?kg0<32;56sadg0b>5<6sA3j96`n758;4d=zfml9n7>51zJ:e0=ii>>14=l4}ofe6f<728qC5l;4n`57>=6d3tdoj?j50;3xLi<1em::581d8ykba;90;67co84;:25>{ilo996=4>{I;b1>hf?=03=?5rned07?6=9rB2m85aa669<456=2wehk=9:182M?f=2dj;947179~ja`4?3:1=vF6a49me22=08=0qcji3983>4}O1h?0bl9;:93;?xhcn:31<7?tH8c6?kg0<32:56sadg1b>5<6sA3j96`n758;5d=zfml8n7>51zJ:e0=ii>>14=7d3tdoj>j50;3xLd:m`c5b290:wE7n5:lb31i<1em::580d8ykba<90;67co84;:15>{ilo>96=4>{I;b1>hf?=03>?5rned77?6=9rB2m85aa669<755=2wehk:9:182M?f=2dj;947279~ja`3?3:1=vF6a49me22=0;=0qcji4983>4}O1h?0bl9;:90;?xhcn=31<7?tH8c6?kg0<32956sadg6b>5<6sA3j96`n758;6d=zfml?n7>51zJ:e0=ii>>14?l4}ofe0f<728qC5l;4n`57>=4d3tdoj9j50;3xLi<1em::583d8ykba=90;67co84;:05>{ilo?96=4>{I;b1>hf?=03??5rned67?6=9rB2m85aa669<654=2wehk;9:182M?f=2dj;947379~ja`2?3:1=vF6a49me22=0:=0qcji5983>4}O1h?0bl9;:91;?xhcn<31<7?tH8c6?kg0<32856sadg7b>5<6sA3j96`n758;7d=zfml>n7>51zJ:e0=ii>>14>l4}ofe1f<728qC5l;4n`57>=5d3tdoj8j50;3xLi<1em::582d8ykba>90;6;7p`kf7394?7|@0k>7co84;:75>{ilo<96=4>{I;b1>hf?=038?5rned57?7=9rB2m85aa669<15<,hi<1em::58578ykba>?0;6=7p`kf7594?7|@0k>7co84;:73>{ilo<36=4>{I;b1>hf?=03855rned5=?6=9rB2m85aa669<1?3j2wehk8l:182M?f=2dj;9474b9~ja`1l3:1=vF6a49me22=0=n0qcji6d83>4}O1h?0bl9;:96f?xhcn?l1<7?tH8c6?kg0<32?j6sadg53>5<6sA3j96`n758;15=zfml<=7?51zJ:e0=ii>>148?4$`4f>442l2wehk9=:182M?f=2dj;947539~ja`0;3;1=vF6a49me22=0<90(l8j:0055>{ilo=?6=4>{I;b1>hf?=03995rned41?7=9rB2m85aa669<03<,hi<1em::58458ykba?10;67co84;:6=>{ilo=j6=4>{I;b1>hf?=039l5rned4f?6=9rB2m85aa669<0d2l2wehk9j:182M?f=2dj;9475d9~ja`0n3;1=vF6a49me22=07}O1h?0bl9;:943?xhcn1;1<7?tH8c6?kg0<32==6sadg:1>5<5sA3j96`n758;27=zfml3?7?51zJ:e0=ii>>14;=4}ofe<1<72;qC5l;4n`57>=033tdoj5;50;3xL12909wE7n5:lb31?1vbih77;295~N>i<1em::58758ykba010;6?uG9`78jd1321<37p`kf9;94?7|@0k>7co84;:5=>{ilo2j6=4={I;b1>hf?=03:l5rned;f?6=9rB2m85aa669<3d1l2wehk6j:181M?f=2dj;9476d9~ja`?n3:1=vF6a49me22=0?l0qcji9183>7}O1h?0bl9;:953?xhcn0;1<7?tH8c6?kg0<32<=6sadg;1>5<5sA3j96`n758;37=zfml2?7>51zJ:e0=ii>>14:=4}ofe=1<72;qC5l;4n`57>=133tdoj4;50;3xLi<1em::58658ykba110;6?uG9`78jd1321=37p`kf8;94?7|@0k>7co84;:4=>{ilo3j6=4={I;b1>hf?=03;l5rned:f?6=9rB2m85aa669<2d0l2wehk7j:181M?f=2dj;9477d9~ja`>n3:1=vF6a49me22=0>l0qcjia183>7}O1h?0bl9;:9:3?xhcnh;1<7?tH8c6?kg0<323=6sadgc1>5<5sA3j96`n758;<7=zfmlj?7>51zJ:e0=ii>>145=4}ofee1<72;qC5l;4n`57>=>33tdojl;50;3xLi<1em::58958ykbai10;6?uG9`78jd1321237p`kf`;94?7|@0k>7co84;:;=>{ilokj6=4={I;b1>hf?=034l5rnedbf?6=9rB2m85aa669<=d?l2wehkoj:181M?f=2dj;9478d9~ja`fn3:1=vF6a49me22=01l0qcjib183>7}O1h?0bl9;:9;3?xhcnk;1<7?tH8c6?kg0<322=6sadg`1>5<5sA3j96`n758;=7=zfmli?7>51zJ:e0=ii>>144=4}ofef1<72;qC5l;4n`57>=?33tdojo;50;3xLi<1em::58858ykbaj10;6?uG9`78jd1321337p`kfc;94?7|@0k>7co84;::=>{ilohj6=4={I;b1>hf?=035l5rnedaf?6=9rB2m85aa669<>l2wehklj:181M?f=2dj;9479d9~ja`en3:1=vF6a49me22=00l0qcjic183>7}O1h?0bl9;:9c3?xhcnj;1<7?tH8c6?kg0<32j=6sadga1>5<5sA3j96`n758;e7=zfmlh?7>51zJ:e0=ii>>14l=4}ofeg1<72;qC5l;4n`57>=g33tdojn;50;3xLi<1em::58`58ykbak10;6?uG9`78jd1321k37p`kfb;94?7|@0k>7co84;:b=>{iloij6=4={I;b1>hf?=03ml5rned`f?6=9rB2m85aa669fl2wehkmj:181M?f=2dj;947ad9~ja`dn3:1=vF6a49me22=0hl0qcjid183>4}O1h?0bl9;:9`3?xhcnm;1<7?tH8c6?kg0<32i=6sadgf1>5<6sA3j96`n758;f7=zfmlo?7>51zJ:e0=ii>>14o=4}ofe`1<728qC5l;4n`57>=d33tdoji;50;3xLi<1em::58c58ykbal10;67co84;:a=>{ilonj6=4>{I;b1>hf?=03nl5rnedgf?6=9rB2m85aa669el2wehkjj:182M?f=2dj;947bd9~ja`cn3:1=vF6a49me22=0kl0qcjie183>4}O1h?0bl9;:9a3?xhcnl;1<7?tH8c6?kg0<32h=6sadgg1>5<6sA3j96`n758;g7=zfmln?7>51zJ:e0=ii>>14n=4}ofea1<728qC5l;4n`57>=e33tdojh;50;3xLi<1em::58b58ykbam10;67co84;:`=>{ilooj6=4>{I;b1>hf?=03ol5rnedff?6=9rB2m85aa669dl2wehkkj:182M?f=2dj;947cd9~ja`bn3:1=vF6a49me22=0jl0qcjif183>4}O1h?0bl9;:9f3?xhcno;1<7?tH8c6?kg0<32o=6sadgd1>5<6sA3j96`n758;`7=zfmlm?7>51zJ:e0=ii>>14i=4}ofeb1<728qC5l;4n`57>=b33tdojk;50;3xLi<1em::58e58ykban10;67co84;:g=>{ilolj6=4>{I;b1>hf?=03hl5rnedef?6=9rB2m85aa669cl2wehkhj:182M?f=2dj;947dd9~ja`an3:1=vF6a49me22=0ml0qck?0183>4}O1h?0bl9;:9g3?xhb89;1<7?tH8c6?kg0<32n=6sae121>5<6sA3j96`n758;a7=zfl:;?7>51zJ:e0=ii>>14h=4}og341<728qC5l;4n`57>=c33tdn<=;50;3xL?7;295~N>i<1em::58d58ykc7810;67co84;:f=>{im9:j6=4>{I;b1>hf?=03il5rnd23f?6=9rB2m85aa669<`dbl2wei=>j:182M?f=2dj;947ed9~j`67n3:1=vF6a49me22=0ll0qck?1183>4}O1h?0bl9;:9d3?xhb88;1<7?tH8c6?kg0<32m=6sae131>5<6sA3j96`n758;b7=zfl::?7>51zJ:e0=ii>>14k=4}og351<728qC5l;4n`57>=`33tdn<<;50;3xL>7;295~N>i<1em::58g58ykc7910;67co84;:e=>{im9;j6=4>{I;b1>hf?=03jl5rnd22f?6=9rB2m85aa669al2wei=?j:182M?f=2dj;947fd9~j`66n3:1=vF6a49me22=0ol0qck?2183>4}O1h?0bl9;:823?xhb8;;1<7?tH8c6?kg0<33;=6sae101>5<6sA3j96`n758:47=zfl:9?7>51zJ:e0=ii>>15==4}og361<728qC5l;4n`57><633tdn8?1vbh>=7;295~N>i<1em::59158ykc7:10;67co84;;3=>{im98j6=4>{I;b1>hf?=02n4?:0yK=d3l;|lf47b=83;pD4o:;oc40??7l2wei=4}O1h?0bl9;:833?xhb8:;1<7?tH8c6?kg0<33:=6sae111>5<6sA3j96`n758:57=zfl:8?7>51zJ:e0=ii>>15<=4}og371<728qC5l;4n`57><733tdn<>;50;3xL5:ma551290:wE7n5:lb31<>9?1vbh><7;295~N>i<1em::59058ykc7;10;67co84;;2=>{im99j6=4>{I;b1>hf?=02=l5rnd20f?6=9rB2m85aa669=4d4}O1h?0bl9;:803?xhb8=;1<7?tH8c6?kg0<339=6sae161>5<6sA3j96`n758:67=zfl:??7>51zJ:e0=ii>>15?=4}og301<728qC5l;4n`57><433tdn<9;50;3xL:?1vbh>;7;295~N>i<1em::59358ykc7<10;67co84;;1=>{im9>j6=4>{I;b1>hf?=02>l5rnd27f?6=9rB2m85aa669=7d4}O1h?0bl9;:813?xhb8<;1<7?tH8c6?kg0<338=6sae171>5<6sA3j96`n758:77=zfl:>?7>51zJ:e0=ii>>15>=4}og311<728qC5l;4n`57><533tdn<8;50;3xL;?1vbh>:7;295~N>i<1em::59258ykc7=10;67co84;;0=>{im9?j6=4>{I;b1>hf?=02?l5rnd26f?6=9rB2m85aa669=6d4}O1h?0bl9;:863?xhb8?;1<7?tH8c6?kg0<33?=6sae141>4<6sA3j96`n758:07=#i?o1=?6?;|lf435=83;pD4o:;oc40??3;2wei=8;:182M?f=2dj;946459~j`61=3:1=vF6a49me22=1=?0qck?6783>4}O1h?0bl9;:865?xhb8?=1<7?tH8c6?kg0<33?;6sae14;>5<6sA3j96`n758:0==zfl:=57>51zJ:e0=ii>>15974}og32d<728qC5l;4n`57><2f3tdn<;l50;3xL9d;295~N>i<1em::595f8ykc7>l0;6n7p`j07d94?7|@0k>7co84;;7b>{im9=;6=4>{I;b1>hf?=029=5rnd245?6=9rB2m85aa669=074}O1h?0bl9;:875?xhb8>=1<7?tH8c6?kg0<33>;6sae15;>5<6sA3j96`n758:1==zfl:<57>51zJ:e0=ii>>15874}og33d<728qC5l;4n`57><3f3tdn<:l50;3xL=j1vbh>8d;295~N>i<1em::594f8ykc7?l0;67co84;;6b>{im92;6=4>{I;b1>hf?=02:=5rnd2;5?6=9rB2m85aa669=374}O1h?0bl9;:845?xhb81=1<7?tH8c6?kg0<33=;6sae1:;>5<6sA3j96`n758:2==zfl:357>51zJ:e0=ii>>15;74}og3<0f3tdn<5l50;3xLd290:wE7n5:lb31<>>j1vbh>7d;295~N>i<1em::597f8ykc70l0;67co84;;5b>{im93;6=4>{I;b1>hf?=02;=5rnd2:5?6=9rB2m85aa669=27=3:1=vF6a49me22=1>?0qck?9783>4}O1h?0bl9;:855?xhb80=1<7?tH8c6?kg0<33<;6sae1;;>5<6sA3j96`n758:3==zfl:257>51zJ:e0=ii>>15:74}og3=d<728qC5l;4n`57><1f3tdn<4l50;3xL?j1vbh>6d;295~N>i<1em::596f8ykc71l0;67co84;;4b>{im9k;6=4>{I;b1>hf?=024=5rnd2b5?6=9rB2m85aa669==74}O1h?0bl9;:8:5?xhb8h=1<7?tH8c6?kg0<333;6sae1c;>5<6sA3j96`n758:<==zfl:j57>51zJ:e0=ii>>15574}og3ed<728qC5l;4n`57><>f3tdn0j1vbh>nd;295~N>i<1em::599f8ykc7il0;67co84;;;b>{im9h;6=4>{I;b1>hf?=025=5rnd2a5?6=9rB2m85aa669=<7;2wei=l;:182M?f=2dj;946959~j`6e=3:1=vF6a49me22=10?0qck?b783>4}O1h?0bl9;:8;5?xhb8k=1<7?tH8c6?kg0<332;6sae1`;>5<6sA3j96`n758:===zfl:i57>51zJ:e0=ii>>15474}og3fd<728qC5l;4n`57>1j1vbh>md;295~N>i<1em::598f8ykc7jl0;67co84;;:b>{im9i;6=4>{I;b1>hf?=02m=5rnd2`5?6=9rB2m85aa669=d74}O1h?0bl9;:8c5?xhb8j=1<7?tH8c6?kg0<33j;6sae1a;>5<6sA3j96`n758:e==zfl:h57>51zJ:e0=ii>>15l74}og3gd<728qC5l;4n`57>ij1vbh>ld;295~N>i<1em::59`f8ykc7kl0;67co84;;bb>{im9n;6=4>{I;b1>hf?=02n=5rnd2g5?6=9rB2m85aa669=g74}O1h?0bl9;:8`5?xhb8m=1<7?tH8c6?kg0<33i;6sae1f;>5<6sA3j96`n758:f==zfl:o57>51zJ:e0=ii>>15o74}og3`d<728qC5l;4n`57>jj1vbh>kd;295~N>i<1em::59cf8ykc7ll0;67co84;;ab>{im9o;6=4>{I;b1>hf?=02o=5rnd2f5?6=9rB2m85aa669=f74}O1h?0bl9;:8a5?xhb8l=1<7?tH8c6?kg0<33h;6sae1g;>5<6sA3j96`n758:g==zfl:n57>51zJ:e0=ii>>15n74}og3ad<728qC5l;4n`57>kj1vbh>jd;295~N>i<1em::59bf8ykc7ml0;67co84;;`b>{im9l;6=4>{I;b1>hf?=02h=5rnd2e5?6=9rB2m85aa669=a74}O1h?0bl9;:8f5?xhb8o=1<7?tH8c6?kg0<33o;6sae1d;>5<6sA3j96`n758:`==zfl:m57>51zJ:e0=ii>>15i74}og3bd<728qC5l;4n`57>lj1vbh>id;295~N>i<1em::59ef8ykc7nl0;67co84;;gb>{im8:;6=4>{I;b1>hf?=02i=5rnd335?6=9rB2m85aa669=`7;:182M?f=2dj;946e59~j`77=3:1=vF6a49me22=1l?0qck>0783>4}O1h?0bl9;:8g5?xhb99=1<7?tH8c6?kg0<33n;6sae02;>5<6sA3j96`n758:a==zfl;;57>51zJ:e0=ii>>15h74}og24d<728qC5l;4n`57>mj1vbh??d;295~N>i<1em::59df8ykc68l0;67co84;;fb>{im8;;6=4>{I;b1>hf?=02j=5rnd325?6=9rB2m85aa669=c71783>4}O1h?0bl9;:8d5?xhb98=1<7?tH8c6?kg0<33m;6sae03;>5<6sA3j96`n758:b==zfl;:57>51zJ:e0=ii>>15k74}og25d<728qC5l;4n`57><`f3tdn=nj1vbh?>d;295~N>i<1em::59gf8ykc69l0;67co84;;eb>{im88;6=4>{I;b1>hf?=0j<=5rnd315?6=9rB2m85aa669e57?4?:0yK=d3=;|lf575=83;pD4o:;oc40?g7;2wei<<;:182M?f=2dj;94n059~j`75=3:1=vF6a49me22=i9?0qck>2783>4}O1h?0bl9;:`25?xhb9;=1<7?tH8c6?kg0<3k;;6sae00;>5<6sA3j96`n758b4==zfl;957>51zJ:e0=ii>>1m=74}og26d<728qC5l;4n`57>d6f3tdn=?l50;3xLi<1em::5a1f8ykc6:l0;67co84;c3b>{im89;6=4>{I;b1>hf?=0j==5rnd305?6=9rB2m85aa669e473783>4}O1h?0bl9;:`35?xhb9:=1<7?tH8c6?kg0<3k:;6sae01;>5<6sA3j96`n758b5==zfl;857>51zJ:e0=ii>>1m<74}og27d<728qC5l;4n`57>d7f3tdn=>l50;3xLb:ma45d290:wE7n5:lb31i<1em::5a0f8ykc6;l0;67co84;c2b>{im8>;6=4>{I;b1>hf?=0j>=5rnd375?6=9rB2m85aa669e774783>4}O1h?0bl9;:`05?xhb9==1<7?tH8c6?kg0<3k9;6sae06;>5<6sA3j96`n758b6==zfl;?57>51zJ:e0=ii>>1m?74}og20d<728qC5l;4n`57>d4f3tdn=9l50;3xLi<1em::5a3f8ykc67co84;c1b>{im8?;6=4>{I;b1>hf?=0j?=5rnd365?6=9rB2m85aa669e675783>4}O1h?0bl9;:`15?xhb9<=1<7?tH8c6?kg0<3k8;6sae07;>5<6sA3j96`n758b7==zfl;>57>51zJ:e0=ii>>1m>74}og21d<728qC5l;4n`57>d5f3tdn=8l50;3xLi<1em::5a2f8ykc6=l0;67co84;c0b>{im8<;6=4>{I;b1>hf?=0j8=5rnd355?6=9rB2m85aa669e176783>4}O1h?0bl9;:`65?xhb9?=1<7?tH8c6?kg0<3k?;6sae04;>5<6sA3j96`n758b0==zfl;=57>51zJ:e0=ii>>1m974}og22d<728qC5l;4n`57>d2f3tdn=;l50;3xLi<1em::5a5f8ykc6>l0;6n7p`j17d94?7|@0k>7co84;c7b>{im8=;6=4>{I;b1>hf?=0j9=5rnd345?6=9rB2m85aa669e077783>4}O1h?0bl9;:`75?xhb9>=1<7?tH8c6?kg0<3k>;6sae05;>5<6sA3j96`n758b1==zfl;<57>51zJ:e0=ii>>1m874}og23d<728qC5l;4n`57>d3f3tdn=:l50;3xLi<1em::5a4f8ykc6?l0;67co84;c6b>{im82;6=4>{I;b1>hf?=0j:=5rnd3;5?6=9rB2m85aa669e378783>4}O1h?0bl9;:`45?xhb91=1<7?tH8c6?kg0<3k=;6sae0:;>5<6sA3j96`n758b2==zfl;357>51zJ:e0=ii>>1m;74}og2d0f3tdn=5l50;3xLd290:wE7n5:lb31j1vbh?7d;295~N>i<1em::5a7f8ykc60l0;67co84;c5b>{im83;6=4>{I;b1>hf?=0j;=5rnd3:5?6=9rB2m85aa669e27=3:1=vF6a49me22=i>?0qck>9783>4}O1h?0bl9;:`55?xhb90=1<7?tH8c6?kg0<3k<;6sae0;;>5<6sA3j96`n758b3==zfl;257>51zJ:e0=ii>>1m:74}og2=d<728qC5l;4n`57>d1f3tdn=4l50;3xLi<1em::5a6f8ykc61l0;67co84;c4b>{im8k;6=4>{I;b1>hf?=0j4=5rnd3b5?6=9rB2m85aa669e=7a783>4}O1h?0bl9;:`:5?xhb9h=1<7?tH8c6?kg0<3k3;6sae0c;>5<6sA3j96`n758b<==zfl;j57>51zJ:e0=ii>>1m574}og2ed<728qC5l;4n`57>d>f3tdn=ll50;3xLi<1em::5a9f8ykc6il0:651zJ:e0=ii>>1m5h4}og2f5<728qC5l;4n`57>d?73tdn=o?50;3xLi<1em::5a818ykc6j=0;67co84;c:1>{im8h=6=4>{I;b1>hf?=0j5;5rnd3a3?6=9rB2m85aa669e<112weibb83>4}O1h?0bl9;:`;`?xhb9kn1<7?tH8c6?kg0<3k2h6sae0`f>5<6sA3j96`n758b=`=zfl;ij7>51zJ:e0=ii>>1m4h4}og2g5<728qC5l;4n`57>dg73tdn=n?50;3xLi<1em::5a`18ykc6k=0;67co84;cb1>{im8i=6=4>{I;b1>hf?=0jm;5rnd3`3?6=9rB2m85aa669ed1cb83>4}O1h?0bl9;:`c`?xhb9jn1<7?tH8c6?kg0<3kjh6sae0af>5<6sA3j96`n758be`=zfl;hj7>51zJ:e0=ii>>1mlh4}og2`5<728qC5l;4n`57>dd73tdn=i?50;3xLi<1em::5ac18ykc6l=0;67co84;ca1>{im8n=6=4>{I;b1>hf?=0jn;5rnd3g3?6=9rB2m85aa669eg1db83>4}O1h?0bl9;:```?xhb9mn1<7?tH8c6?kg0<3kih6sae0ff>5<6sA3j96`n758bf`=zfl;oj7>51zJ:e0=ii>>1moh4}og2a5<728qC5l;4n`57>de73tdn=h?50;3xLi<1em::5ab18ykc6m=0;67co84;c`1>{im8o=6=4>{I;b1>hf?=0jo;5rnd3f3?6=9rB2m85aa669ef1eb83>4}O1h?0bl9;:`a`?xhb9ln1<7?tH8c6?kg0<3khh6sae0gf>5<6sA3j96`n758bg`=zfl;nj7>51zJ:e0=ii>>1mnh4}og2b5<628qC5l;4n`57>db73tdn=k?51;3xLf383>4}O1h?0bl9;:`f1?xhb9o91<7?tH8c6?kg0<3ko?6sae0d7>5<6sA3j96`n758b`1=zfl;m97>51zJ:e0=ii>>1mi;4}og2b3<728qC5l;4n`57>db13tdn=k950;3xLi<1em::5ae;8 d0b28i?j6sae0db>5<6sA3j96`n758b`d=zfl;mn7?51zJ:e0=ii>>1mil4$`4f>4e282wei{im8ln6=4>{I;b1>hf?=0jhh5rnd3eb?7=9rB2m85aa669ea`<,hi<1em::5ad38 d0b28i>?6sae321>5<6sA3j96`n758ba7=zfl8;?7?51zJ:e0=ii>>1mh=4$`4f>4e2<2wei?>;:182M?f=2dj;94ne59~j`47=3;1=vF6a49me22=il?0(l8j:0a61>{im;:=6=4>{I;b1>hf?=0ji;5rnd033?7=9rB2m85aa669e`1<,hi<1em::5ad;8 d0b28i>;6sae32b>5<6sA3j96`n758bad=zfl8;n7>51zJ:e0=ii>>1mhl4}og14f<728qC5l;4n`57>dcd3tdn>=j50;3xLi<1em::5add8ykc5990;67co84;ce5>{im;;96=4>{I;b1>hf?=0jj?5rnd027?6=9rB2m85aa669ec54}O1h?0bl9;:`d;?xhb:831<7?tH8c6?kg0<3km56sae33b>5<6sA3j96`n758bbd=zfl8:n7>51zJ:e0=ii>>1mkl4}og15f<728qC5l;4n`57>d`d3tdn>f;295~N>i<1em::5agd8ykc5:90;67co84;`35>{im;896<4>{I;b1>hf?=0i94>:0yK=d3;;|lf673=93;pD4o:;oc40?d7=2wei?<9:082M?f=2dj;94m079~j`45?3;1=vF6a49me22=j9=0qck=2982>4}O1h?0bl9;:c2;?xhb:;31=7?tH8c6?kg0<3h;56sae30b>4<6sA3j96`n758a4d=zfl89n7>51zJ:e0=ii>>1n=l4}og16f<728qC5l;4n`57>g6d3tdn>?j50;3xLi<1em::5b1d8ykc5;90;67co84;`25>{im;996=4>{I;b1>hf?=0i=?5rnd007?6=9rB2m85aa669f45:0yK=d3i<1em::5b078ykc5;?0;67co84;`23>{im;936=4>{I;b1>hf?=0i=55rnd00=?6=9rB2m85aa669f4?4}O1h?0bl9;:c3f?xhb::l1<7?tH8c6?kg0<3h:j6sae363>5<6sA3j96`n758a65=zfl8?=7>51zJ:e0=ii>>1n??4}og107<728qC5l;4n`57>g453tdn>9=50;3xLi<1em::5b378ykc57co84;`13>{im;>36=4>{I;b1>hf?=0i>55rnd07=?6=9rB2m85aa669f7?4}O1h?0bl9;:c0f?xhb:=l1<7?tH8c6?kg0<3h9j6sae373>5<6sA3j96`n758a75=zfl8>=7>51zJ:e0=ii>>1n>?4}og117<728qC5l;4n`57>g553tdn>8=50;3xLi<1em::5b278ykc5=?0;67co84;`03>{im;?36=4>{I;b1>hf?=0i?55rnd06=?6=9rB2m85aa669f6?4}O1h?0bl9;:c1f?xhb:5<6sA3j96`n758a05=zfl8==7>51zJ:e0=ii>>1n9?4}og127<728qC5l;4n`57>g253tdn>;=50;3xLi<1em::5b578ykc5>?0;6=7p`j27594?7|@0k>7co84;`73>{im;<36=4>{I;b1>hf?=0i855rnd05=?6=9rB2m85aa669f1?4}O1h?0bl9;:c6f?xhb:?l1<7?tH8c6?kg0<3h?j6sae353>5<6sA3j96`n758a15=zfl8<=7>51zJ:e0=ii>>1n8?4}og137<728qC5l;4n`57>g353tdn>:=50;3xLi<1em::5b478ykc5??0;67co84;`63>{im;=36=4>{I;b1>hf?=0i955rnd04=?6=9rB2m85aa669f0?4}O1h?0bl9;:c7f?xhb:>l1<7?tH8c6?kg0<3h>j6sae3:3>5<6sA3j96`n758a25=zfl83=7>51zJ:e0=ii>>1n;?4}og1<7<728qC5l;4n`57>g053tdn>5=50;3xL3290:wE7n5:lb31=1vbh<75;295~N>i<1em::5b778ykc50?0;67co84;`53>{im;236=4>{I;b1>hf?=0i:55rnd0;=?6=9rB2m85aa669f3?4}O1h?0bl9;:c4f?xhb:1l1<7?tH8c6?kg0<3h=j6sae3;3>4<6sA3j96`n758a35=zfl82=7?51zJ:e0=ii>>1n:?4$`4f>4b512wei?7=:182M?f=2dj;94m739~j`4>;3:1=vF6a49me22=j>90qck=9583>4}O1h?0bl9;:c57?xhb:0?1<7?tH8c6?kg0<3h<96sae3;5>5<6sA3j96`n758a33=zfl82;7>51zJ:e0=ii>>1n:94}og1==<728qC5l;4n`57>g1?3tdn>4750;3xLi<1em::5b6`8ykc51j0;67co84;`4`>{im;3n6=4>{I;b1>hf?=0i;h5rnd0:b?6=9rB2m85aa669f2`4}O1h?0bl9;:c:7?xhb:h?1<7?tH8c6?kg0<3h396sae3c5>5<6sA3j96`n758a<3=zfl8j;7>51zJ:e0=ii>>1n594}og1e=<728qC5l;4n`57>g>?3tdn>l750;3xLi<1em::5b9`8ykc5ij0;67co84;`;`>{im;kn6=4>{I;b1>hf?=0i4h5rnd0bb?6=9rB2m85aa669f=`92wei?l=:182M?f=2dj;94m939~j`4e;3:1=vF6a49me22=j090qck=b583>4}O1h?0bl9;:c;7?xhb:k?1<7?tH8c6?kg0<3h296sae3`5>5<6sA3j96`n758a=3=zfl8i;7>51zJ:e0=ii>>1n494}og1f=<728qC5l;4n`57>g??3tdn>o750;3xLi<1em::5b8`8ykc5jj0;67co84;`:`>{im;hn6=4>{I;b1>hf?=0i5h5rnd0ab?6=9rB2m85aa669f<`4}O1h?0bl9;:cc7?xhb:j?1<7?tH8c6?kg0<3hj96sae3a5>5<6sA3j96`n758ae3=zfl8h;7>51zJ:e0=ii>>1nl94}og1g=<728qC5l;4n`57>gg?3tdn>n750;3xLi<1em::5b``8ykc5kj0;67co84;`b`>{im;in6=4>{I;b1>hf?=0imh5rnd0`b?6=9rB2m85aa669fd`4}O1h?0bl9;:c`7?!g1m3;on=5rnd0g1?6=9rB2m85aa669fg34}O1h?0bl9;:c`b?xhb:mh1<7?tH8c6?kg0<3hin6sae3f`>4<6sA3j96`n758aff=#i?o1=ih:;|lf6ab=83;pD4o:;oc40?del2wei?jj:082M?f=2dj;94mbd9'e3c=9ml=7p`j2ed94?7|@0k>7co84;`ab>{im;o;6<4>{I;b1>hf?=0io=5+a7g95a`03tdn>h?50;3xL4<6sA3j96`n758ag1=#i?o1=ih6;|lf6`3=83;pD4o:;oc40?dd=2wei?k9:082M?f=2dj;94mc79'e3c=9mlj7p`j2d594?7|@0k>7co84;``3>{im;o36<4>{I;b1>hf?=0io55+a7g95a`e3tdn>h750;3xL4<6sA3j96`n758agf=#i?o1=ihk;|lf6`b=83;pD4o:;oc40?ddl2wei?kj:182M?f=2dj;94mcd9~j`4bn3:1=vF6a49me22=jjl0qck=f183>4}O1h?0bl9;:cf3?xhb:o;1<7?tH8c6?kg0<3ho=6sae3d1>5<6sA3j96`n758a`7=zfl8m?7>51zJ:e0=ii>>1ni=4}og1b1<728qC5l;4n`57>gb33tdn>k;50;3xLi<1em::5be58ykc5n10;67co84;`g=>{im;lj6=4>{I;b1>hf?=0ihl5rnd0ef?6=9rB2m85aa669fad4}O1h?0bl9;:cg3?xhb;9;1<7?tH8c6?kg0<3hn=6sae221>5<6sA3j96`n758aa7=zfl9;?7>51zJ:e0=ii>>1nh=4}og041<728qC5l;4n`57>gc33tdn?=;51;3xLi<1em::5bd58ykc4810:67co84;`f=>{im::j6<4>{I;b1>hf?=0iil5rnd13f?7=9rB2m85aa669f`d:0yK=d3>j:182M?f=2dj;94med9~j`57n3:1=vF6a49me22=jll0qck<1183>4}O1h?0bl9;:cd3?xhb;8;1<7?tH8c6?kg0<3hm=6sae231>5<6sA3j96`n758ab7=zfl9:?7>51zJ:e0=ii>>1nk=4}og051<728qC5l;4n`57>g`33tdn?<;50;3xL7;395~N>i<1em::5bg58 d0b28o:m6sae23;>4<6sA3j96`n758ab==zfl9:57>51zJ:e0=ii>>1nk74}og05d<728qC5l;4n`57>g`f3tdn?d;295~N>i<1em::5bgf8ykc49l0;67co84;`eb>{im:8;6=4>{I;b1>hf?=0h<=5rnd115?6=9rB2m85aa669g57?4>:0yK=d3=;%c5a?7a0k1vbh==3;295~N>i<1em::5c118ykc4:=0;67co84;a31>{im:8=6=4>{I;b1>hf?=0h<;5rnd113?6=9rB2m85aa669g5154?:0yK=d37;|lf77?=83;pD4o:;oc40?e712wei>4}O1h?0bl9;:b2`?xhb;;n1<7?tH8c6?kg0<3i;h6sae20f>5<6sA3j96`n758`4`=zfl99j7>51zJ:e0=ii>>1o=h4}og075<728qC5l;4n`57>f773tdn?>?50;3xL1:ma655290:wE7n5:lb31i<1em::5c018ykc4;=0;67co84;a21>{im:9=6=4>{I;b1>hf?=0h=;5rnd103?6=9rB2m85aa669g41=n:182M?f=2dj;94l1`9~j`54j3:1=vF6a49me22=k8h0qck<3b82>4}O1h?0bl9;:b3`?!g1m3;n5h5rnd10`?7=9rB2m85aa669g4b<,hi<1em::5c0d8ykc4<90;67co84;a15>{im:>96=4>{I;b1>hf?=0h>?5rnd177?6=9rB2m85aa669g75:9:181M?f=2dj;94l279~j`53?3:1>vF6a49me22=k;=0qck<4983>7}O1h?0bl9;:b0;?xhb;=31<75<5sA3j96`n758`6d=zfl9?n7>52zJ:e0=ii>>1o?l4}og00f<72;qC5l;4n`57>f4d3tdn?9j50;0xLi<1em::5c3d8ykc4=90;67co84;a05>{im:?96=4>{I;b1>hf?=0h??5rnd167?6=9rB2m85aa669g65;9:18:M?f=2dj;94l379~j`52?3:15vF6a49me22=k:=0qck<5983><}O1h?0bl9;:b1;?xhb;<31<7?tH8c6?kg0<3i856sae27b>5<6sA3j96`n758`7d=zfl9>n7>51zJ:e0=ii>>1o>l4}og01f<728qC5l;4n`57>f5d3tdn?8j50;3xLi<1em::5c2d8ykc4>90;6;7p`j37394?7|@0k>7co84;a75>{im:<96<46{I;b1>hf?=0h8?5rnd157?6=1rB2m85aa669g1589:182M?f=2dj;94l479~j`51?3;1=vF6a49me22=k==0(l8j:0gfa>{im:<36=4>{I;b1>hf?=0h855rnd15=?6=9rB2m85aa669g1?8l:182M?f=2dj;94l4b9~j`51l3:1=vF6a49me22=k=n0qck<6d83>4}O1h?0bl9;:b6f?xhb;?l1<7?tH8c6?kg0<3i?j6sae253>5<6sA3j96`n758`15=zfl9<=7>51zJ:e0=ii>>1o8?4}og037<728qC5l;4n`57>f353tdn?:=50;3xLi<1em::5c478ykc4??0;67co84;a63>{im:=36=4>{I;b1>hf?=0h955rnd14=?6=9rB2m85aa669g0?9l:182M?f=2dj;94l5b9~j`50l3:1=vF6a49me22=k4}O1h?0bl9;:b7f?xhb;>l1<7?tH8c6?kg0<3i>j6sae2:3>5<6sA3j96`n758`25=zfl93=7>51zJ:e0=ii>>1o;?4}og0<7<728qC5l;4n`57>f053tdn?5=50;3xL3290:wE7n5:lb31=1vbh=75;295~N>i<1em::5c778ykc40?0;67co84;a53>{im:236=4>{I;b1>hf?=0h:55rnd1;=?6=9rB2m85aa669g3?6l:182M?f=2dj;94l6b9~j`5?l3:1=vF6a49me22=k?n0qck<8d83>4}O1h?0bl9;:b4f?xhb;1l1<7?tH8c6?kg0<3i=j6sae2;3>5<6sA3j96`n758`35=zfl92=7>51zJ:e0=ii>>1o:?4}og0=7<728qC5l;4n`57>f153tdn?4=50;3xLi<1em::5c678ykc41?0;67co84;a43>{im:336=4>{I;b1>hf?=0h;55rnd1:=?6=9rB2m85aa669g2?7l:182M?f=2dj;94l7b9~j`5>l3:1=vF6a49me22=k>n0qck<9d83>4}O1h?0bl9;:b5f?xhb;0l1<7?tH8c6?kg0<3i5<6sA3j96`n758`<5=zfl9j=7>51zJ:e0=ii>>1o5?4}og0e7<728qC5l;4n`57>f>53tdn?l=50;3xLi<1em::5c978ykc4i?0;67co84;a;3>{im:k36=4>{I;b1>hf?=0h455rnd1b=?6=9rB2m85aa669g=?ol:182M?f=2dj;94l8b9~j`5fl3:1=vF6a49me22=k1n0qck4}O1h?0bl9;:b:f?xhb;hl1<7?tH8c6?kg0<3i3j6sae2`3>5<6sA3j96`n758`=5=zfl9i=7>51zJ:e0=ii>>1o4?4}og0f7<728qC5l;4n`57>f?53tdn?o=50;3xLi<1em::5c878ykc4j?0;67co84;a:3>{im:h36=4>{I;b1>hf?=0h555rnd1a=?6=9rB2m85aa669gj2wei>ll:182M?f=2dj;94l9b9~j`5el3:1=vF6a49me22=k0n0qck4}O1h?0bl9;:b;f?xhb;kl1<7?tH8c6?kg0<3i2j6sae2a3>5<6sA3j96`n758`e5=zfl9h=7>51zJ:e0=ii>>1ol?4}og0g7<728qC5l;4n`57>fg53tdn?n=50;3xLi<1em::5c`78ykc4k?0;67co84;ab3>{im:i36=4>{I;b1>hf?=0hm55rnd1`=?6=:rB2m85aa669gd?ml:181M?f=2dj;94lab9~j`5dl3:1>vF6a49me22=khn0qck7}O1h?0bl9;:bcf?xhb;jl1<75<5sA3j96`n758`f5=zfl9o=7>51zJ:e0=ii>>1oo?4}og0`7<728qC5l;4n`57>fd53tdn?i=50;3xLi<1em::5cc78ykc4l?0;67co84;aa3>{im:n36=4>{I;b1>hf?=0hn55rnd1g=?6=9rB2m85aa669gg?jl:182M?f=2dj;94lbb9~j`5cl3:1=vF6a49me22=kkn0qck4}O1h?0bl9;:b`f?xhb;ml1=7?tH8c6?kg0<3iij6sae2g3>5<6sA3j96`n758`g5=zfl9n=7>51zJ:e0=ii>>1on?4}og0a7<728qC5l;4n`57>fe53tdn?h=50;3xLi<1em::5cb78ykc4m?0;67co84;a`3>{im:o36=4>{I;b1>hf?=0ho55rnd1f=?6=9rB2m85aa669gf?kl:182M?f=2dj;94lcb9~j`5bl3:1=vF6a49me22=kjn0qck4}O1h?0bl9;:baf?xhb;ll1=7?tH8c6?kg0<3ihj6*n6d82b`0h=:182M?f=2dj;94ld39~j`5a;3:1=vF6a49me22=km90qck4}O1h?0bl9;:bf7?xhb;o?1<7?tH8c6?kg0<3io96sae2d5>5<6sA3j96`n758``3=zfl9m;7>51zJ:e0=ii>>1oi94}og0b=<728qC5l;4n`57>fb?3tdn?k750;3xLi<1em::5ce`8ykc4nj0;67co84;ag`>{im:ln6=4>{I;b1>hf?=0hhh5rnd1eb?6=9rB2m85aa669ga`=:182M?f=2dj;94le39~j`27;3:1=vF6a49me22=kl90qck;0583>4}O1h?0bl9;:bg7?xhb<9?1<7?tH8c6?kg0<3in96sae525>5<6sA3j96`n758`a3=zfl>;;7>51zJ:e0=ii>>1oh94}og74=<728qC5l;4n`57>fc?3tdn8=750;3xLi<1em::5cd`8ykc38j0;67co84;af`>{im=:n6=4>{I;b1>hf?=0hih5rnd63b?7=9rB2m85aa669g``4}O1h?0bl9;:bd7?xhb<8?1<7?tH8c6?kg0<3im96sae535>5<6sA3j96`n758`b3=zfl>:;7>51zJ:e0=ii>>1ok94}og75=<728qC5l;4n`57>f`?3tdn8<750;3xLb;295~N>i<1em::5cg`8ykc39j0;67co84;ae`>{im=;n6=4>{I;b1>hf?=0hjh5rnd62b?6=9rB2m85aa669gc`=4?:0yK=d3?;|lf077=83;pD4o:;oc40?b792wei9<=:182M?f=2dj;94k039~j`25;3:1=vF6a49me22=l990qck;2583>4}O1h?0bl9;:e27?xhb<;?1<7?tH8c6?kg0<3n;96sae505>5<6sA3j96`n758g43=zfl>9;7>51zJ:e0=ii>>1h=94}og76=<728qC5l;4n`57>a6?3tdn8?750;3xLi<1em::5d1`8ykc3:j0;67co84;f3`>{im=8n6=4>{I;b1>hf?=0o4}O1h?0bl9;:e37?xhb<:?1<7?tH8c6?kg0<3n:96sae515>5<6sA3j96`n758g53=zfl>8;7>51zJ:e0=ii>>1h<94}og77=<728qC5l;4n`57>a7?3tdn8>750;3xL9:ma15f290:wE7n5:lb31i<1em::5d0`8ykc3;j0;67co84;f2`>{im=9n6=4>{I;b1>hf?=0o=h5rnd60b?6=9rB2m85aa669`4`4}O1h?0bl9;:e07?xhb<=?1<7?tH8c6?kg0<3n996sae565>5<6sA3j96`n758g63=zfl>?;7>51zJ:e0=ii>>1h?94}og70=<728qC5l;4n`57>a4?3tdn89750;3xLi<1em::5d3`8ykc37co84;f1`>"f>l09<8;4}og70`<728qC5l;4n`57>a4b3tdn89h50;3xLi<1em::5d238ykc3=;0;67co84;f07>{im=??6=4>{I;b1>hf?=0o?95rnd661?6=9rB2m85aa669`634}O1h?0bl9;:e1b?xhb<5<6sA3j96`n758g7f=zfl>>h7>51zJ:e0=ii>>1h>j4}og71`<728qC5l;4n`57>a5b3tdn88h50;3xLi<1em::5d538ykc3>;0;697p`j47194?7|@0k>7co84;f77>{im={I;b1>hf?=0o895rnd651?6=9rB2m85aa669`134}O1h?0bl9;:e6b?xhb5<6sA3j96`n758g0f=zfl>=h7>51zJ:e0=ii>>1h9j4}og72`<728qC5l;4n`57>a2b3tdn8;h50;3xLi<1em::5d438 d0b2;:o<6sae551>5<6sA3j96`n758g17=zfl>51zJ:e0=ii>>1h8=4}og731<728qC5l;4n`57>a333tdn8:;50;3xLi<1em::5d458ykc3?10;67co84;f6=>{im==j6=4>{I;b1>hf?=0o9l5rnd64f?6=9rB2m85aa669`0d4}O1h?0bl9;:e43?xhb<1;1<7?tH8c6?kg0<3n==6sae5:1>5<6sA3j96`n758g27=zfl>3?7>51zJ:e0=ii>>1h;=4}og7<1<728qC5l;4n`57>a033tdn85;50;3xL1290:wE7n5:lb31?1vbh:77;295~N>i<1em::5d758ykc3010;67co84;f5=>{im=2j6=4>{I;b1>hf?=0o:l5rnd6;f?6=9rB2m85aa669`3d4}O1h?0bl9;:e53?xhb<0;1=7?tH8c6?kg0<3n<=6sae5;1>5<6sA3j96`n758g37=zfl>2?7>51zJ:e0=ii>>1h:=4}og7=1<728qC5l;4n`57>a133tdn84;50;3xLi<1em::5d658ykc3110;67co84;f4=>{im=3j6=4>{I;b1>hf?=0o;l5rnd6:f?6=9rB2m85aa669`2dn3:1=vF6a49me22=l>l0qck;a183>4}O1h?0bl9;:e:3?xhb5<6sA3j96`n758g<7=zfl>j?7>51zJ:e0=ii>>1h5=4}og7e1<728qC5l;4n`57>a>33tdn8l;50;3xLi<1em::5d958ykc3i10;67co84;f;=>{im=kj6=4>{I;b1>hf?=0o4l5rnd6bf?6=9rB2m85aa669`=d4}O1h?0bl9;:e;3?xhb5<6sA3j96`n758g=7=zfl>i?7>51zJ:e0=ii>>1h4=4}og7f1<728qC5l;4n`57>a?33tdn8o;50;3xLi<1em::5d858ykc3j10;67co84;f:=>{im=hj6=4>{I;b1>hf?=0o5l5rnd6af?6=9rB2m85aa669`l2wei9lj:182M?f=2dj;94k9d9~j`2en3:1=vF6a49me22=l0l0qck;c183>4}O1h?0bl9;:ec3?xhb5<6sA3j96`n758ge7=zfl>h?7>51zJ:e0=ii>>1hl=4}og7g1<728qC5l;4n`57>ag33tdn8n;50;3xLi<1em::5d`58ykc3k10;67co84;fb=>{im=ij6=4>{I;b1>hf?=0oml5rnd6`f?6=9rB2m85aa669`dd4}O1h?0bl9;:e`3?!g1m38?i>5rnd6g5?6=9rB2m85aa669`g74}O1h?0bl9;:e`5?xhb5<6sA3j96`n758gf==zfl>o57?51zJ:e0=ii>>1ho74$`4f>72b<2wei9jn:182M?f=2dj;94kb`9~j`2cj3:1=vF6a49me22=lkh0qck;db83>4}O1h?0bl9;:e``?xhb5<6sA3j96`n758gf`=zfl>oj7>51zJ:e0=ii>>1hoh4}og7a5<728qC5l;4n`57>ae73tdn8h?50;3xLi<1em::5db18ykc3m=0;67co84;f`1>{im=o=6=4>{I;b1>hf?=0oo;5rnd6f3?6=9rB2m85aa669`f14}O1h?0bl9;:ea`?xhb5<6sA3j96`n758gg`=zfl>nj7>51zJ:e0=ii>>1hnh4}og7b5<728qC5l;4n`57>ab73tdn8k?50;3xLi<1em::5de18ykc3n=0;67co84;fg1>{im=l=6=4>{I;b1>hf?=0oh;5rnd6e3?6=9rB2m85aa669`a14}O1h?0bl9;:ef`?xhb5<6sA3j96`n758g``=zfl>mj7>51zJ:e0=ii>>1hih4}og645<728qC5l;4n`57>ac73tdn9=?50;3xLi<1em::5dd18ykc28=0;67co84;ff1>{im<:=6=4>{I;b1>hf?=0oi;5rnd733?6=9rB2m85aa669``1<54?:0yK=d3n:182M?f=2dj;94ke`9~j`37j3:1=vF6a49me22=llh0qck:0b83>4}O1h?0bl9;:eg`?xhb=9n1<7?tH8c6?kg0<3nnh6sae42f>5<6sA3j96`n758ga`=zfl?;j7>51zJ:e0=ii>>1hhh4}og655<728qC5l;4n`57>a`73tdn93;295~N>i<1em::5dg18ykc29=0;67co84;fe1>{im<;=6=4>{I;b1>hf?=0oj;5rnd723?6=9rB2m85aa669`c1=54?:0yK=d34}O1h?0bl9;:ed`?xhb=8n1<7?tH8c6?kg0<3nmh6sae43f>5<6sA3j96`n758gb`=zfl?:j7>51zJ:e0=ii>>1hkh4}og665<728qC5l;4n`57>`673tdn9??50;3xLi<1em::5e118ykc2:=0;67co84;g31>{im<8=6=4>{I;b1>hf?=0n<;5rnd713?6=9rB2m85aa669a51>54?:0yK=d37;|lf17?=83;pD4o:;oc40?c712wei84}O1h?0bl9;:d2`?xhb=;n1<7?tH8c6?kg0<3o;h6sae40f>5<6sA3j96`n758f4`=zfl?9j7>51zJ:e0=ii>>1i=h4}og675<728qC5l;4n`57>`773tdn9>?50;3xL1:ma055290:wE7n5:lb31i<1em::5e018ykc2;=0;67co84;g21>{im<9=6=4>{I;b1>hf?=0n=;5rnd703?6=9rB2m85aa669a41?54?:0yK=d34}O1h?0bl9;:d3`?xhb=:n1<7?tH8c6?kg0<3o:h6sae41f>5<6sA3j96`n758f5`=zfl?8j7>51zJ:e0=ii>>1i`473tdn99?51;3xL4}O1h?0bl9;:d01?xhb==91<7?tH8c6?kg0<3o9?6sae467>5<6sA3j96`n758f61=zfl??97>51zJ:e0=ii>>1i?;4}og603<628qC5l;4n`57>`413-k=i7<:4c9~j`33?3:1=vF6a49me22=m;=0qck:4982>4}O1h?0bl9;:d0;?!g1m38>8n5rnd77=?6=9rB2m85aa669a7?8l4>:0yK=d3i<1em::5e3`8ykc251zJ:e0=ii>>1i?j4}og60`<628qC5l;4n`57>`4b3-k=i7<:4g9~j`33n3:1=vF6a49me22=m;l0qck:5182>4}O1h?0bl9;:d13?!g1m38>9<5rnd765?6=9rB2m85aa669a679?4>:0yK=d3i<1em::5e218ykc2==0:697>51zJ:e0=ii>>1i>;4}og613<628qC5l;4n`57>`513-k=i7<:559~j`32?3:1=vF6a49me22=m:=0qck:5982>4}O1h?0bl9;:d1;?!g1m38>985rnd76=?6=9rB2m85aa669a6?9l4>:0yK=d3i<1em::5e2`8ykc2=j0:6h7>51zJ:e0=ii>>1i>j4}og61`<628qC5l;4n`57>`5b3-k=i7<:599~j`32n3:1=vF6a49me22=m:l0qck:6182>4}O1h?0bl9;:d63?!g1m38>945rnd755?6=9rB2m85aa669a17:?4>:0yK=d3i<1em::5e518ykc2>=0:6?7)o9e;0545=zfl?=97>51zJ:e0=ii>>1i9;4}og623<728qC5l;4n`57>`213tdn9;950;3xLi<1em::5e5;8ykc2>h0;6j7p`j57`94?7|@0k>7co84;g7f>{im<{I;b1>hf?=0n8n5rnd75`?6=9rB2m85aa669a1b:h4?:0yK=d34}O1h?0bl9;:d71?xhb=>91<7?tH8c6?kg0<3o>?6sae457>5<6sA3j96`n758f11=zfl?<97>51zJ:e0=ii>>1i8;4}og633<728qC5l;4n`57>`313tdn9:950;3xLi<1em::5e4;8ykc2?h0;67co84;g6f>{im<=h6=4>{I;b1>hf?=0n9n5rnd74`?6=9rB2m85aa669a0b;h4?:0yK=d34}O1h?0bl9;:d41?xhb=191<7?tH8c6?kg0<3o=?6sae4:7>5<6sA3j96`n758f21=zfl?397>51zJ:e0=ii>>1i;;4}og6<3<728qC5l;4n`57>`013tdn95950;3xL?290:wE7n5:lb3111vbh;79;295~N>i<1em::5e7;8ykc20h0;67co84;g5f>{im<2h6=4>{I;b1>hf?=0n:n5rnd7;`?6=9rB2m85aa669a3b4h4?:0yK=d393:1=vF6a49me22=m>;0qck:9383>4}O1h?0bl9;:d51?xhb=091<7?tH8c6?kg0<3o5<6sA3j96`n758f31=zfl?297>51zJ:e0=ii>>1i:;4}og6=3<728qC5l;4n`57>`113tdn94950;3xLi<1em::5e6;8ykc21h0;67co84;g4f>{im<3h6=4>{I;b1>hf?=0n;n5rnd7:`?6=9rB2m85aa669a2b5h4?:0yK=d34}O1h?0bl9;:d:1?xhb=h91<7?tH8c6?kg0<3o3?6sae4c7>5<6sA3j96`n758f<1=zfl?j97>51zJ:e0=ii>>1i5;4}og6e3<728qC5l;4n`57>`>13tdn9l950;3xLi<1em::5e9;8ykc2ih0;67co84;g;f>{im{I;b1>hf?=0n4n5rnd7b`?6=9rB2m85aa669a=bmh4?:0yK=d34}O1h?0bl9;:d;1?xhb=k91<7?tH8c6?kg0<3o2?6sae4`7>5<6sA3j96`n758f=1=zfl?i97>51zJ:e0=ii>>1i4;4}og6f3<728qC5l;4n`57>`?13tdn9o950;3xLi<1em::5e8;8ykc2jh0;67co84;g:f>{im{I;b1>hf?=0n5n5rnd7a`?6=9rB2m85aa669anh4?:0yK=d3n2wei8m?:182M?f=2dj;94ja19~j`3d93:1=vF6a49me22=mh;0qck:c383>4}O1h?0bl9;:dc1?xhb=j91<7?tH8c6?kg0<3oj?6sae4a7>4<6sA3j96`n758fe1=#i?o1>;m8;|lf1f3=83;pD4o:;oc40?cf=2wei8m9:082M?f=2dj;94ja79'e3c=:?i=7p`j5b594?7|@0k>7co84;gb3>{im{I;b1>hf?=0nm55+a7g963e>3tdn9n750;3xL4<6sA3j96`n758fef=#i?o1>;mm;|lf1fb=83;pD4o:;oc40?cfl2wei8mj:082M?f=2dj;94jad9'e3c=:?ih7p`j5bd94?7|@0k>7co84;gbb>{im{I;b1>hf?=0nn=5+a7g963ec3tdn9i?50;3xL4<6sA3j96`n758ff1=#i?o1>;mi;|lf1a3=83;pD4o:;oc40?ce=2wei8j9:082M?f=2dj;94jb79'e3c=:?n;7p`j5e594?7|@0k>7co84;ga3>{im{I;b1>hf?=0nn55+a7g963e?3tdn9i750;3xLi<1em::5ec`8 d0b2;5<6sA3j96`n758fff=zfl?oh7?51zJ:e0=ii>>1ioj4$`4f>70c<2wei8jj:182M?f=2dj;94jbd9~j`3cn3;1=vF6a49me22=mkl0(l8j:34g3>{im{I;b1>hf?=0no=5rnd7f5?7=9rB2m85aa669af7<,hi<1em::5eb18 d0b2;5<6sA3j96`n758fg1=zfl?n97?51zJ:e0=ii>>1in;4$`4f>70ci2wei8k9:182M?f=2dj;94jc79~j`3b?3;1=vF6a49me22=mj=0(l8j:34gf>{im{I;b1>hf?=0no55rnd7f=?7=9rB2m85aa669af?<,hi<1em::5eb`8 d0b2;5<6sA3j96`n758fgf=zfl?nh7?51zJ:e0=ii>>1inj4$`4f>70cm2wei8kj:182M?f=2dj;94jcd9~j`3bn3;1=vF6a49me22=mjl0(l8j:34g2>{im{I;b1>hf?=0nh=5rnd7e5?6=9rB2m85aa669aa7j?4>:0yK=d3i<1em::5ee18ykc2n=0:651zJ:e0=ii>>1ii;4}og6b3<628qC5l;4n`57>`b13-k=i7<9e49~j`3a?3:1=vF6a49me22=mm=0qck:f982>4}O1h?0bl9;:df;?!g1m38=i;5rnd7e=?6=9rB2m85aa669aa?jl4>:0yK=d31vbh;ib;295~N>i<1em::5ee`8ykc2nj0:651zJ:e0=ii>>1iij4}og6b`<628qC5l;4n`57>`bb3-k=i7<9e89~j`3an3:1=vF6a49me22=mml0qck90182>4}O1h?0bl9;:dg3?!g1m38=il5rnd435?6=9rB2m85aa669a`7:0yK=d3i<1em::5ed18ykc18=0:651zJ:e0=ii>>1ih;4}og543<628qC5l;4n`57>`c13-k=i7<9e59~j`07?3:1=vF6a49me22=ml=0qck90983>4}O1h?0bl9;:dg;?xhb>931<7?tH8c6?kg0<3on56sae72b>5<6sA3j96`n758fad=zfl<;n7>51zJ:e0=ii>>1ihl4}og54f<728qC5l;4n`57>`cd3tdn:=j50;3xLi<1em::5edd8ykc1990;67co84;ge5>{im?;96=4>{I;b1>hf?=0nj?5rnd427?6=9rB2m85aa669ac54}O1h?0bl9;:dd;?xhb>831<7?tH8c6?kg0<3om56sae73b>5<6sA3j96`n758fbd=zfl<:n7>51zJ:e0=ii>>1ikl4}og55f<728qC5l;4n`57>``d3tdn:f;295~N>i<1em::5egd8ykc1:90;67co84;d35>{im?896=4>{I;b1>hf?=0m94?:0yK=d3;;|lf273=83;pD4o:;oc40?`7=2wei;<9:182M?f=2dj;94i079~j`05?3:1=vF6a49me22=n9=0qck92983>4}O1h?0bl9;:g2;?xhb>;31<7?tH8c6?kg0<3l;56sae70b>5<6sA3j96`n758e4d=zfl<9n7>51zJ:e0=ii>>1j=l4}og56f<728qC5l;4n`57>c6d3tdn:?j50;3xLi<1em::5f1d8ykc1;90;67co84;d25>{im?996=4>{I;b1>hf?=0m=?5rnd407?6=9rB2m85aa669b454}O1h?0bl9;:g3;?xhb>:31<7?tH8c6?kg0<3l:56sae71b>5<6sA3j96`n758e5d=zfl<8n7?51zJ:e0=ii>>1j701=2wei;=l:182M?f=2dj;94i1b9~j`04l3:1=vF6a49me22=n8n0qck93d83>4}O1h?0bl9;:g3f?xhb>:l1<7?tH8c6?kg0<3l:j6sae763>5<6sA3j96`n758e65=zfl51zJ:e0=ii>>1j??4}og507<728qC5l;4n`57>c453tdn:9=50;3xLi<1em::5f378ykc17co84;d13>{im?>36=4>{I;b1>hf?=0m>55rnd47=?6=9rB2m85aa669b7?4}O1h?0bl9;:g0f?xhb>=l1<7?tH8c6?kg0<3l9j6sae773>5<6sA3j96`n758e75=zfl<>=7>51zJ:e0=ii>>1j>?4}og517<728qC5l;4n`57>c553tdn:8=50;3xLi<1em::5f278ykc1=?0;67co84;d03>{im??36=4>{I;b1>hf?=0m?55rnd46=?6=9rB2m85aa669b6?:0yK=d3i<1em::5f2`8ykc1=j0;67co84;d0`>{im??n6=4>{I;b1>hf?=0m?h5rnd46b?6=9rB2m85aa669b6`4}O1h?0bl9;:g67?xhb>??1<7?tH8c6?kg0<3l?96sae745>5<6sA3j96`n758e03=zfl<=;7>51zJ:e0=ii>>1j994}og52=<728qC5l;4n`57>c2?3tdn:;750;3xLi<1em::5f5`8ykc1>j0;6h7p`j67f94?7|@0k>7co84;d7`>{im?{I;b1>hf?=0m8h5rnd45b?6=9rB2m85aa669b1`7co84;d67>{im?=?6=4>{I;b1>hf?=0m995rnd441?6=9rB2m85aa669b034}O1h?0bl9;:g7b?xhb>>h1<7?tH8c6?kg0<3l>n6sae75`>5<6sA3j96`n758e1f=zfl<51zJ:e0=ii>>1j8j4}og53`<728qC5l;4n`57>c3b3tdn::h50;3xL7290:wE7n5:lb3191vbh871;295~N>i<1em::5f738ykc10;0;67co84;d57>{im?2?6=4>{I;b1>hf?=0m:95rnd4;1?6=9rB2m85aa669b337co84;d5=>{im?2j6=4>{I;b1>hf?=0m:l5rnd4;f?6=9rB2m85aa669b3d4}O1h?0bl9;:g53?xhb>0;1<7?tH8c6?kg0<3l<=6sae7;1>5<6sA3j96`n758e37=zfl<2?7>51zJ:e0=ii>>1j:=4}og5=1<728qC5l;4n`57>c133tdn:4;50;3xLi<1em::5f658ykc1110;67co84;d4=>{im?3j6=4>{I;b1>hf?=0m;l5rnd4:f?6=9rB2m85aa669b2dn3:1=vF6a49me22=n>l0qck9a183>4}O1h?0bl9;:g:3?xhb>h;1<7?tH8c6?kg0<3l3=6sae7c1>5<6sA3j96`n758e<7=zfl51zJ:e0=ii>>1j5=4}og5e1<628qC5l;4n`57>c>33tdn:l;50;3xLi<1em::5f958ykc1i10;67co84;d;=>{im?kj6=4>{I;b1>hf?=0m4l5rnd4bf?7=9rB2m85aa669b=d4}O1h?0bl9;:g;3?xhb>k;1<7?tH8c6?kg0<3l2=6sae7`1>5<6sA3j96`n758e=7=zfl51zJ:e0=ii>>1j4=4}og5f1<728qC5l;4n`57>c?33tdn:o;50;3xLi<1em::5f858ykc1j10;67co84;d:=>{im?hj6=4>{I;b1>hf?=0m5l5rnd4af?6=9rB2m85aa669bl2wei;lj:182M?f=2dj;94i9d9~j`0en3:1=vF6a49me22=n0l0qck9c182>4}O1h?0bl9;:gc3?!g1m38>mn5rnd4`5?6=9rB2m85aa669bd7:0yK=d3i<1em::5f`18ykc1k=0;67co84;db1>{im?i=6=4>{I;b1>hf?=0mm;5rnd4`3?6=9rB2m85aa669bd14}O1h?0bl9;:gc`?xhb>jn1<7?tH8c6?kg0<3ljh6sae7af>5<6sA3j96`n758ee`=zfl51zJ:e0=ii>>1jlh4}og5`5<728qC5l;4n`57>cd73tdn:i?50;3xLi<1em::5fc18ykc1l=0;67co84;da1>{im?n=6=4>{I;b1>hf?=0mn;5rnd4g3?6=9rB2m85aa669bg14}O1h?0bl9;:g``?xhb>mn1<7?tH8c6?kg0<3lih6sae7ff>5<6sA3j96`n758ef`=zfl51zJ:e0=ii>>1joh4}og5a5<728qC5l;4n`57>ce73tdn:h?50;3xLi<1em::5fb18ykc1m=0;67co84;d`1>{im?o=6=4>{I;b1>hf?=0mo;5rnd4f3?6=9rB2m85aa669bf14}O1h?0bl9;:ga`?xhb>ln1<7?tH8c6?kg0<3lhh6sae7gf>5<6sA3j96`n758eg`=zfl51zJ:e0=ii>>1jnh4}og5b5<728qC5l;4n`57>cb73tdn:k?50;3xLi<1em::5fe18ykc1n=0;67co84;dg1>{im?l=6=4>{I;b1>hf?=0mh;5rnd4e3?6=9rB2m85aa669ba14}O1h?0bl9;:gf`?xhb>on1<7?tH8c6?kg0<3loh6sae7df>5<6sA3j96`n758e``=zfl51zJ:e0=ii>>1jih4}og445<728qC5l;4n`57>cc73tdn;=?50;3xLi<1em::5fd18ykc08=0;67co84;df1>{im>:=6=4>{I;b1>hf?=0mi;5rnd533?6=9rB2m85aa669b`1n:182M?f=2dj;94ie`9~j`17j3:1=vF6a49me22=nlh0qck80b83>4}O1h?0bl9;:gg`?xhb?9n1<7?tH8c6?kg0<3lnh6sae62f>5<6sA3j96`n758ea`=zfl=;j7>51zJ:e0=ii>>1jhh4}og455<728qC5l;4n`57>c`73tdn;3;295~N>i<1em::5fg18ykc09=0;67co84;de1>{im>;=6=4>{I;b1>hf?=0mj;5rnd523?6=9rB2m85aa669bc14}O1h?0bl9;:gd`?xhb?8n1<7?tH8c6?kg0<3lmh6sae63f>5<6sA3j96`n758eb`=zfl=:j7>51zJ:e0=ii>>1jkh4}og465<728qC5l;4n`57>46782wei:<>:182M?f=2dj;94>0138ykc0:;0;66sae600>5<6sA3j96`n758245594?:0yK=d3?4:ma242290:wE7n5:lb31<689?0qck82783>4}O1h?0bl9;:0232>{im>8<6=4>{I;b1>hf?=0:<=94}og46=<728qC5l;4n`57>46702wei:<6:182M?f=2dj;94>01;8ykc0:h0;65<6sA3j96`n758245dn4?:0yK=d3?c:ma24c290:wE7n5:lb31<689n0qck82d83>4}O1h?0bl9;:023a>{im>8m6=4>{I;b1>hf?=0:<=h4}og475<728qC5l;4n`57>46682wei:=>:182M?f=2dj;94>0038ykc0;;0;66sae610>5<6sA3j96`n7582445>4:ma252290:wE7n5:lb31<688?0qck83783>4}O1h?0bl9;:0222>{im>9<6=4>{I;b1>hf?=0:<<94}og47=<728qC5l;4n`57>46602wei:=6:182M?f=2dj;94>00;8ykc0;h0;65<6sA3j96`n758244d:0yK=d3>c:ma25c280:wE7n5:lb31<688n0qck83d83>4}O1h?0bl9;:022a>{im>9m6=4>{I;b1>hf?=0:<46582wei::>:182M?f=2dj;94>0338ykc0<;0;66sae660>5<6sA3j96`n7582475=4:ma222290:wE7n5:lb31<68;?0qck84783>4}O1h?0bl9;:0212>{im>><6=4>{I;b1>hf?=0:46502wei::6:182M?f=2dj;94>03;8ykc05<6sA3j96`n758247d:0yK=d3=c:&b2`<5=9o0qck84e83>4}O1h?0bl9;:021`>{im>>n6=4>{I;b1>hf?=0:465n2wei:;?:082M?f=2dj;94>0228 d0b2;?::6sae672>5<6sA3j96`n7582467<2:ma234290:wE7n5:lb31<68:90qck85582>4}O1h?0bl9;:0200>"f>l099464=2wei:;9:182M?f=2dj;94>0248ykc0=>0;65<6sA3j96`n758246>:0yK=d3<9:ma23f290:wE7n5:lb31<68:k0qck85c83>4}O1h?0bl9;:020f>{im>?h6=4>{I;b1>hf?=0:<>m4}og41a<728qC5l;4n`57>464l2wei:;j:182M?f=2dj;94>02g8ykc0=o0;65<6sA3j96`n7582416;1:ma205290:wE7n5:lb31<68=80qck86283>4}O1h?0bl9;:0277>{im>{I;b1>hf?=0:<9:4}og420<728qC5l;4n`57>463=2wei:89:182M?f=2dj;94>0548ykc0>>0;65<6sA3j96`n758241>;9:ma20f290:wE7n5:lb31<68=k0qck86c83>4}O1h?0bl9;:027f>{im>{I;b1>hf?=0:<9m4}og42a<728qC5l;4n`57>463l2wei:8j:082M?f=2dj;94>05g8ykc0>o0:64<6sA3j96`n7582406:0yK=d3:1:ma215280:wE7n5:lb31<68<80qck87282>4}O1h?0bl9;:0267>{im>=?6<4>{I;b1>hf?=0:<8:4}og430<628qC5l;4n`57>462=2wei:99:082M?f=2dj;94>0448ykc0?>0:6;6sae65;>4<6sA3j96`n758240>:0yK=d3:9:ma21f280:wE7n5:lb31<684}O1h?0bl9;:026f>{im>=h6<4>{I;b1>hf?=0:<8m4}og43a<728qC5l;4n`57>462l2wei:9j:182M?f=2dj;94>04g8ykc0?o0;6j6sae6:3>5<6sA3j96`n758243691:ma2>5290:wE7n5:lb31<68?80qck88283>4}O1h?0bl9;:0257>{im>2?6=4>{I;b1>hf?=0:<;:4}og4<0<728qC5l;4n`57>461=2wei:69:182M?f=2dj;94>0748ykc00>0;65<6sA3j96`n758243>99:ma2>f290:wE7n5:lb31<68?k0qck88c83>4}O1h?0bl9;:025f>{im>2h6=4>{I;b1>hf?=0:<;m4}og4461l2wei:6j:182M?f=2dj;94>07g8ykc00o0;64<6sA3j96`n7582426<,h;0qck89383>4}O1h?0bl9;:0246>{im>386=4>{I;b1>hf?=0:<:=4}og4=1<728qC5l;4n`57>460<2wei:7::182M?f=2dj;94>0678ykc01?0;65<6sA3j96`n758242188:ma2?>290:wE7n5:lb31<68>30qck89`83>4}O1h?0bl9;:024e>{im>3i6=4>{I;b1>hf?=0:<:l4}og4=f<728qC5l;4n`57>460k2wei:7k:182M?f=2dj;94>06f8ykc01l0;65<6sA3j96`n758242`70:ma2g6290:wE7n5:lb31<681;0qck8a383>4}O1h?0bl9;:02;6>{im>k86=4>{I;b1>hf?=0:<5=4}og4e1<728qC5l;4n`57>46?<2wei:o::182M?f=2dj;94>0978ykc0i?0;65<6sA3j96`n75824=178:ma2g>290:wE7n5:lb31<68130qck8a`83>4}O1h?0bl9;:02;e>{im>ki6=4>{I;b1>hf?=0:<5l4}og4ef<628qC5l;4n`57>46?k2wei:ok:182M?f=2dj;94>09f8ykc0il0;65<6sA3j96`n75824=`60:ma2d6290:wE7n5:lb31<680;0qck8b383>4}O1h?0bl9;:02:6>{im>h86<4>{I;b1>hf?=0:<4=4}og4f1<728qC5l;4n`57>46><2wei:l::082M?f=2dj;94>0878 d0b2;?im6sae6`5>4<6sA3j96`n75824<067:ma2d?290:wE7n5:lb31<68020qck8b883>4}O1h?0bl9;:02:=>{im>hj6=4>{I;b1>hf?=0:<4o4}og4fg<728qC5l;4n`57>46>j2wei:ll:182M?f=2dj;94>08a8ykc0jm0:65<6sA3j96`n75824:0yK=d36f:&b2`<5=jl0qck8c183>4}O1h?0bl9;:02b4>{im>i:6=4>{I;b1>hf?=0:46f:2wei:m<:182M?f=2dj;94>0`18ykc0k=0;65<6sA3j96`n75824d3n6:ma2e0290:wE7n5:lb31<68h=0qck8c983>4}O1h?0bl9;:02b<>{im>i26=4>{I;b1>hf?=0:46fi2wei:mm:182M?f=2dj;94>0``8ykc0kj0;65<6sA3j96`n75824dbne:ma2ea290:wE7n5:lb31<68hl0qck8d183>4}O1h?0bl9;:02a4>{im>n:6=4>{I;b1>hf?=0:46e:2wei:j<:182M?f=2dj;94>0c18ykc0l=0;65<6sA3j96`n75824g3m6:ma2b0280:wE7n5:lb31<68k=0qck8d983>4}O1h?0bl9;:02a<>{im>n26=4>{I;b1>hf?=0:46ei2wei:jm:182M?f=2dj;94>0c`8ykc0lj0;65<6sA3j96`n75824gbme:ma2ba290:wE7n5:lb31<68kl0qck8e183>4}O1h?0bl9;:02`4>{im>o:6=4>{I;b1>hf?=0:46d:2wei:k<:182M?f=2dj;94>0b18ykc0m=0;65<6sA3j96`n75824f3l6:ma2c0290:wE7n5:lb31<68j=0qck8e983>4}O1h?0bl9;:02`<>{im>o26=4>{I;b1>hf?=0:46di2wei:km:182M?f=2dj;94>0b`8ykc0mj0;65<6sA3j96`n75824fble:ma2ca290:wE7n5:lb31<68jl0qck8f183>4}O1h?0bl9;:02g4>{im>l:6=4>{I;b1>hf?=0:46c:2wei:h<:182M?f=2dj;94>0e18ykc0n=0;65<6sA3j96`n75824a3k6:ma2`0290:wE7n5:lb31<68m=0qck8f983>4}O1h?0bl9;:02g<>{im>l26=4>{I;b1>hf?=0:46ci2wei:hm:182M?f=2dj;94>0e`8ykc0nj0;65<6sA3j96`n75824abke:ma2`a290:wE7n5:lb31<68ml0qck70183>4}O1h?0bl9;:02f4>{im1::6=4>{I;b1>hf?=0:46b:2wei5><:182M?f=2dj;94>0d18ykc?8=0;65<6sA3j96`n75824`3j6:ma=60280:wE7n5:lb31<68l=0qck70983>4}O1h?0bl9;:02f<>{im1:26=4>{I;b1>hf?=0:46bi2wei5>m:182M?f=2dj;94>0d`8ykc?8j0;65<6sA3j96`n75824`bje:ma=6a290:wE7n5:lb31<68ll0qck71183>4}O1h?0bl9;:02e4>{im1;:6=4>{I;b1>hf?=0:46a:2wei5?<:182M?f=2dj;94>0g18ykc?9=0;65<6sA3j96`n75824c3:0yK=d3i6:ma=70290:wE7n5:lb31<68o=0qck71983>4}O1h?0bl9;:02e<>{im1;26=4>{I;b1>hf?=0:46ai2wei5?m:182M?f=2dj;94>0g`8ykc?9j0;65<6sA3j96`n75824cbie:ma=7a290:wE7n5:lb31<68ol0qck72183>4}O1h?0bl9;:0334>{im18:6=4>{I;b1>hf?=0:==?4}og;67<728qC5l;4n`57>477:2wei5<<:182M?f=2dj;94>1118ykc?:=0;65<6sA3j96`n7582553;4?:0yK=d34}O1h?0bl9;:033<>{im1826=4>{I;b1>hf?=0:==74}og;6d<728qC5l;4n`57>477i2wei511`8ykc?:j0;65<6sA3j96`n758255bh4?:0yK=d34}O1h?0bl9;:0324>{im19:6=4>{I;b1>hf?=0:=476:2wei5=<:182M?f=2dj;94>1018ykc?;=0;65<6sA3j96`n75825436:ma=50290:wE7n5:lb31<698=0qck73983>4}O1h?0bl9;:032<>{im1926=4>{I;b1>hf?=0:=<74}og;7d<728qC5l;4n`57>476i2wei5=m:182M?f=2dj;94>10`8ykc?;j0;65<6sA3j96`n758254be:ma=5a290:wE7n5:lb31<698l0qck74182>4}O1h?0bl9;:0314>{im1>:6=4>{I;b1>hf?=0:=??4}og;07<728qC5l;4n`57>475:2wei5:<:182M?f=2dj;94>1318ykc?<=0;65<6sA3j96`n75825734}O1h?0bl9;:031<>{im1>26=4>{I;b1>hf?=0:=?74}og;0d<728qC5l;4n`57>475i2wei5:m:182M?f=2dj;94>13`8ykc?5<6sA3j96`n758257b4}O1h?0bl9;:0304>{im1?:6=4>{I;b1>hf?=0:=>?4}og;17<728qC5l;4n`57>474:2wei5;<:182M?f=2dj;94>1218ykc?==0;65<6sA3j96`n75825634}O1h?0bl9;:030<>{im1?26=4>{I;b1>hf?=0:=>74}og;1d<728qC5l;4n`57>474i2wei5;m:182M?f=2dj;94>12`8ykc?=j0;65<6sA3j96`n758256b4}O1h?0bl9;:0374>{im1<:6=4>{I;b1>hf?=0:=9?4}og;27<728qC5l;4n`57>473:2wei58<:182M?f=2dj;94>1518ykc?>=0;65<6sA3j96`n75825134}O1h?0bl9;:037<>{im1<26=4>{I;b1>hf?=0:=974}og;2d<628qC5l;4n`57>473i2wei58m:082M?f=2dj;94>15`8ykc?>j0:64<6sA3j96`n758251b:0yK=d34}O1h?0bl9;:0364>{im1=:6<4>{I;b1>hf?=0:=8?4}og;37<628qC5l;4n`57>472:2wei59<:082M?f=2dj;94>1418ykc??=0:686sae956>5<6sA3j96`n75825034}O1h?0bl9;:036<>{im1=26<4>{I;b1>hf?=0:=874}og;3d<628qC5l;4n`57>472i2wei59m:082M?f=2dj;94>14`8ykc??j0:6o6sae95g>4<6sA3j96`n758250b:0yK=d34}O1h?0bl9;:0354>{im12:6<4>{I;b1>hf?=0:=;?4}og;<7<728qC5l;4n`57>471:2wei56<:182M?f=2dj;94>1718ykc?0=0:64<6sA3j96`n7582533:0yK=d30280:wE7n5:lb31<69?=0qck78982>4}O1h?0bl9;:035<>{im1226<4>{I;b1>hf?=0:=;74}og;471i2wei56m:082M?f=2dj;94>17`8ykc?0j0:64<6sA3j96`n758253b:0yK=d3a290:wE7n5:lb31<69?l0qck79183>4}O1h?0bl9;:0344>{im13:6=4>{I;b1>hf?=0:=:?4}og;=7<728qC5l;4n`57>470:2wei57<:182M?f=2dj;94>1618ykc?1=0;65<6sA3j96`n7582523=0qck79983>4}O1h?0bl9;:034<>{im1326=4>{I;b1>hf?=0:=:74}og;=d<728qC5l;4n`57>470i2wei57m:182M?f=2dj;94>16`8ykc?1j0;64<6sA3j96`n758252b<,ho0qck79g83>4}O1h?0bl9;:034b>{im1k;6=4>{I;b1>hf?=0:=5>4}og;e4<728qC5l;4n`57>47?92wei5o=:182M?f=2dj;94>1908ykc?i:0;65<6sA3j96`n75825=24}O1h?0bl9;:03;3>{im1k36=4>{I;b1>hf?=0:=564}og;e<<728qC5l;4n`57>47?12wei5on:182M?f=2dj;94>19c8ykc?ik0;65<6sA3j96`n75825=e:0yK=d34}O1h?0bl9;:03;a>{im1km6=4>{I;b1>hf?=0:=5h4}og;f5<728qC5l;4n`57>47>82wei5l>:182M?f=2dj;94>1838ykc?j;0;66sae9`0>5<6sA3j96`n75825<54}O1h?0bl9;:03:2>{im1h<6=4>{I;b1>hf?=0:=494}og;f=<728qC5l;4n`57>47>02wei5l6:182M?f=2dj;94>18;8ykc?jh0;65<6sA3j96`n75825{im1hn6=4>{I;b1>hf?=0:=4k4}og;fc<728qC5l;4n`57>47>n2wei5m?:082M?f=2dj;94>1`28ykc?k80:6:0yK=d3{im1i?6<4>{I;b1>hf?=0:=l:4}og;g0<628qC5l;4n`57>47f=2.j:h4=73c8ykc?k?0;65<6sA3j96`n75825d1:0yK=d34}O1h?0bl9;:03b=>"f>l09;>m4}og;gd<728qC5l;4n`57>47fi2wei5mm:082M?f=2dj;94>1``8 d0b2;=?<6sae9a`>5<6sA3j96`n75825de:0yK=d34}O1h?0bl9;:03ba>{im1im6=4>{I;b1>hf?=0:=lh4}og;`5<728qC5l;4n`57>47e82wei5j>:182M?f=2dj;94>1c38ykc?l;0;66sae9f0>4<6sA3j96`n75825g5<,h0qck7d483>4}O1h?0bl9;:03a1>{im1n=6=4>{I;b1>hf?=0:=o84}og;`2<628qC5l;4n`57>47e?2.j:h4=7738ykc?l10;65<6sA3j96`n75825g?4}O1h?0bl9;:03ag>{im1no6=4>{I;b1>hf?=0:=oj4}og;``<728qC5l;4n`57>47em2wei5ji:182M?f=2dj;94>1cd8ykc?m90;65<6sA3j96`n75825f74}O1h?0bl9;:03`0>{im1o>6=4>{I;b1>hf?=0:=n;4}og;a3<728qC5l;4n`57>47d>2wei5k8:182M?f=2dj;94>1b58ykc?m10;65<6sA3j96`n75825f?{im1oh6=4>{I;b1>hf?=0:=nm4}og;aa<628qC5l;4n`57>47dl2.j:h4=90c8ykc?ml0;64<6sA3j96`n75825f`<,hb:ma=`7290:wE7n5:lb31<69m:0qck7f082>4}O1h?0bl9;:03g5>"f>l09547c:2wei5h<:182M?f=2dj;94>1e18ykc?n=0:64}O1h?0bl9;:03g3>"f>l095??4}og;b=<728qC5l;4n`57>47c02wei5h6:082M?f=2dj;94>1e;8 d0b2;39>6sae9db>5<6sA3j96`n75825ag{im1lo6=4>{I;b1>hf?=0:=ij4n8;6>5=zfl2mi7?51zJ:e0=ii>>1=i<1em::510fe?xhb19:1<7?tH8c6?kg0<3;:i=5rnd;35?6=9rB2m85aa66954c63tdn5=<51;3xLe39'e3c=:0;i7p`j91194?7|@0k>7co84;32a6=zfl3;87?51zJ:e0=ii>>1=9j1vbh7?5;295~N>i<1em::510g6?xhb19<1=7?tH8c6?kg0<3;:i;5+a7g96=3a3tdn5=950;3xLe69~j`?703;1=vF6a49me22=98o37)o9e;0;25=zfl3;57>51zJ:e0=ii>>1=7co84;32a`=zfl3;j7>51zJ:e0=ii>>1=1;295~N>i<1em::510d2?xhb1881<7?tH8c6?kg0<3;:j?5rnd;27?6=9rB2m85aa66954`43tdn5<:50;3xLf59~j`?6=3:1=vF6a49me22=98l>7p`j90494?7|@0k>7co84;32b3=zfl3:;7>51zJ:e0=ii>>1==83;pD4o:;oc40?76n11vbh7>9;395~N>i<1em::510d:?!g1m382=i5rnd;2e?6=9rB2m85aa66954`f3tdn5fc9~j`?6k3;1=vF6a49me22=98lh7)o9e;0;03=zfl3:h7>51zJ:e0=ii>>1=7co84;3147=#i?o1>5:6;|lf=75=83;pD4o:;oc40?758:1vbh7=4;395~N>i<1em::51327?!g1m3838l5rnd;11?6=9rB2m85aa66957623tdn5?851;3xLi7p`j93594?7|@0k>7co84;3142=zfl3947?51zJ:e0=ii>>1=?>7;%c5a?4?i<1em::5132:?xhb1;k1=7?tH8c6?kg0<3;951zJ:e0=ii>>1=?>k;|lf=7c=83;pD4o:;oc40?758l1vbh7=f;395~N>i<1em::5132e?!g1m383885rnd;04?6=9rB2m85aa66957773tdn5>?50;3xL51zJ:e0=ii>>1=??<;|lf=62=93;pD4o:;oc40?759=1/m;k5297e?xhb1:?1<7?tH8c6?kg0<3;9=85rnd;02?7=9rB2m85aa66957713-k=i7<7619~j`?4?3:1=vF6a49me22=9;;<7p`j92:95?7|@0k>7co84;315==#i?o1>58>;|lf=6?=83;pD4o:;oc40?75901vbh7i<1em::5133b?!g1m383:?5rnd;0f?6=9rB2m85aa669577e3tdn5>m51;3xL7co84;315a=zfl38i7?51zJ:e0=ii>>1=??j;%c5a?4?>=1vbh7i<1em::5133e?xhb1=:1=7?tH8c6?kg0<3;9>=5+a7g96=023tdn59?50;3xL51zJ:e0=ii>>1=?<<;|lf=12=93;pD4o:;oc40?75:=1/m;k5297a?xhb1=?1<7?tH8c6?kg0<3;9>85rnd;72?7=9rB2m85aa66957413-k=i7<75e9~j`?3?3:1=vF6a49me22=9;8<7p`j95:94?7|@0k>7co84;316==zfl3?57?51zJ:e0=ii>>1=?<6;%c5a?4?l?1vbh7;a;295~N>i<1em::5130b?xhb1=h1=7?tH8c6?kg0<3;9>o5+a7g96=b03tdn59m50;3xL51zJ:e0=ii>>1=?7co84;3176=#i?o1>5jm;|lf=02=83;pD4o:;oc40?75;=1vbh7:5;395~N>i<1em::51316?!g1m383hn5rnd;62?6=9rB2m85aa66957513tdn58951;3xL7co84;317==zfl3>57>51zJ:e0=ii>>1=?=6;|lf=0g=93;pD4o:;oc40?75;h1/m;k529ff?xhb17co84;317c=zfl3=<7?51zJ:e0=ii>>1=?:?;|lf=37=93;pD4o:;oc40?75<81vbh792;395~N>i<1em::51361?xhb1?91=7?tH8c6?kg0<3;98>5rnd;50?7=9rB2m85aa66957233tdn5;;51;3xL3;1=vF6a49me22=9;>=7p`j97595?7|@0k>7co84;3102=zfl3=47?51zJ:e0=ii>>1=?:7;|lf=3?=93;pD4o:;oc40?75<01vbh79a;395~N>i<1em::5136b?xhb1?h1=7?tH8c6?kg0<3;98o5rnd;5g?7=9rB2m85aa669572d3tdn5;j51;3xLn7p`j97d95?7|@0k>7co84;310c=zfl3<<7?51zJ:e0=ii>>1=?;?;|lf=27=93;pD4o:;oc40?75=81vbh782;395~N>i<1em::51371?xhb1>91=7?tH8c6?kg0<3;99>5rnd;40?6=9rB2m85aa66957333tdn5:;50;3xL3:1=vF6a49me22=9;?=7p`j96594?7|@0k>7co84;3112=zfl3<47>51zJ:e0=ii>>1=?;7;|lf=2?=93;pD4o:;oc40?75=01vbh78a;295~N>i<1em::5137b?xhb1>h1<7?tH8c6?kg0<3;99o5rnd;4g?6=9rB2m85aa669573d3tdn5:j50;3xL7co84;311c=zfl33<7>51zJ:e0=ii>>1=?8?;|lf==7=83;pD4o:;oc40?75>81vbh772;295~N>i<1em::51341?xhb1191<7?tH8c6?kg0<3;9:>5rnd;;0?6=9rB2m85aa66957033tdn55;50;3xL3:1=vF6a49me22=9;<=7p`j99594?7|@0k>7co84;3122=zfl3347>51zJ:e0=ii>>1=?87;|lf==?=83;pD4o:;oc40?75>01vbh77a;295~N>i<1em::5134b?xhb11h1<7?tH8c6?kg0<3;9:o5rnd;;g?6=9rB2m85aa669570d3tdn55j50;3xL7co84;312c=zfl32<7>51zJ:e0=ii>>1=?9?;|lf=<7=83;pD4o:;oc40?75?81vbh762;295~N>i<1em::51351?xhb1091<7?tH8c6?kg0<3;9;>5rnd;:0?6=9rB2m85aa66957133tdn54;50;3xL>3:1=vF6a49me22=9;==7p`j98595?7|@0k>7co84;3132=#i?o1>4>8;|lf=<>=83;pD4o:;oc40?75?11vbh769;295~N>i<1em::5135:?xhb10k1<7?tH8c6?kg0<3;9;l5rnd;:f?6=9rB2m85aa669571e3tdn54m50;3xLl3:1=vF6a49me22=9;=o7p`j98g94?7|@0k>7co84;313`=zfl32j7>51zJ:e0=ii>>1=?9i;|lf=d6=83;pD4o:;oc40?75091vbh7n1;295~N>i<1em::513:2?xhb1h81<7?tH8c6?kg0<3;94?5rnd;b7?7=9rB2m85aa66957>43tdn5l:51;3xL7co84;31<0=zfl3j:7?51zJ:e0=ii>>1=?69;|lf=d1=93;pD4o:;oc40?750>1/m;k529ag?xhb1h21<7?tH8c6?kg0<3;9455rnd;b=?6=9rB2m85aa66957>>3tdn5lo50;3xL7co84;31>1=?6k;|lf=dc=93;pD4o:;oc40?750l1vbh7nf;395~N>i<1em::513:e?xhb1k:1=7?tH8c6?kg0<3;95=5rnd;a5?7=9rB2m85aa66957?63tdn5o<51;3xL7co84;31=1=zfl3i97?51zJ:e0=ii>>1=?7:;|lf=g0=83;pD4o:;oc40?751?1vbh7m7;295~N>i<1em::513;4?xhb1k21<7?tH8c6?kg0<3;9555rnd;a=?6=9rB2m85aa66957?>3tdn5oo50;3xL7co84;31=f=zfl3ih7>51zJ:e0=ii>>1=?7k;|lf=gc=83;pD4o:;oc40?751l1vbh7mf;295~N>i<1em::513;e?xhb1j:1<7?tH8c6?kg0<3;9m=5rnd;`5?6=9rB2m85aa66957g63tdn5n<50;3xL51zJ:e0=ii>>1=?o;;|lf=f3=83;pD4o:;oc40?75i<1vbh7l6;295~N>i<1em::513c5?xhb1j=1<7?tH8c6?kg0<3;9m:5rnd;`7co84;31eg=zfl3ho7>51zJ:e0=ii>>1=?ol;|lf=fb=83;pD4o:;oc40?75im1vbh7le;295~N>i<1em::513cf?xhb1jl1<7?tH8c6?kg0<3;9mk5rnd;g4?6=9rB2m85aa66957d73tdn5i?50;3xL7co84;31f6=zfl3o87>51zJ:e0=ii>>1=?l;;|lf=a3=83;pD4o:;oc40?75j<1vbh7k6;295~N>i<1em::513`5?xhb1m=1<7?tH8c6?kg0<3;9n:5rnd;g7co84;31fg=zfl3oo7?51zJ:e0=ii>>1=?ll;|lf=ab=93;pD4o:;oc40?75jm1vbh7ke;395~N>i<1em::513`f?xhb1ml1<7?tH8c6?kg0<3;9nk5rnd;f4?7=9rB2m85aa66957e73tdn5h?50;3xL7co84;31g6=zfl3n87>51zJ:e0=ii>>1=?m;;|lf=`3=83;pD4o:;oc40?75k<1vbh7j6;295~N>i<1em::513a5?xhb1l=1<7?tH8c6?kg0<3;9o:5rnd;f7co84;31gg=zfl3no7>51zJ:e0=ii>>1=?ml;|lf=`b=83;pD4o:;oc40?75km1vbh7je;295~N>i<1em::513af?xhb1ll1<7?tH8c6?kg0<3;9ok5rnd;e4?6=9rB2m85aa66957b73tdn5k?50;3xL51zJ:e0=ii>>1=?j<;|lf=c2=83;pD4o:;oc40?75l=1vbh7i5;295~N>i<1em::513f6?xhb1o<1<7?tH8c6?kg0<3;9h;5rnd;e3?6=9rB2m85aa66957b03tdn5k650;3xL7co84;31`d=zfl3mn7>51zJ:e0=ii>>1=?jm;|lf=ce=83;pD4o:;oc40?75lj1vbh7id;295~N>i<1em::513fg?xhb1oo1<7?tH8c6?kg0<3;9hh5rnd;eb?6=9rB2m85aa66957ba3tdnm=>50;3xL7co84;31a7=zflk;?7>51zJ:e0=ii>>1=?k<;|lfe52=83;pD4o:;oc40?75m=1vbho?5;295~N>i<1em::513g6?xhbi9<1<7?tH8c6?kg0<3;9i;5rndc33?6=9rB2m85aa66957c03tdnm=650;3xL7co84;31ad=zflk;n7>51zJ:e0=ii>>1=?km;|lfe5e=83;pD4o:;oc40?75mj1vbho?d;295~N>i<1em::513gg?xhbi9o1<7?tH8c6?kg0<3;9ih5rndc3b?6=9rB2m85aa66957ca3tdnm<>50;3xL7co84;31b7=zflk:?7>51zJ:e0=ii>>1=?h<;|lfe42=83;pD4o:;oc40?75n=1vbho>5;295~N>i<1em::513d6?xhbi8<1<7?tH8c6?kg0<3;9j;5rndc23?6=9rB2m85aa66957`03tdnm<650;3xL7co84;31bd=zflk:n7>51zJ:e0=ii>>1=?hm;|lfe4e=83;pD4o:;oc40?75nj1vbho>d;295~N>i<1em::513dg?xhbi8o1<7?tH8c6?kg0<3;9jh5rndc2b?6=9rB2m85aa66957`a3tdnm?>50;3xL7co84;3047=zflk9?7>51zJ:e0=ii>>1=>><;|lfe72=83;pD4o:;oc40?748=1vbho=5;295~N>i<1em::51226?xhbi;<1<7?tH8c6?kg0<3;8<;5rndc13?6=9rB2m85aa66956603tdnm?650;3xL7co84;304d=zflk9n7>51zJ:e0=ii>>1=>>m;|lfe7e=83;pD4o:;oc40?748j1vbho=d;295~N>i<1em::5122g?xhbi;o1<7?tH8c6?kg0<3;8>50;3xL7co84;3057=zflk8?7>51zJ:e0=ii>>1=>?<;|lfe62=83;pD4o:;oc40?749=1vbho<5;295~N>i<1em::51236?xhbi:<1<7?tH8c6?kg0<3;8=;5rndc03?6=9rB2m85aa66956703tdnm>650;3xL>1=>?n;%c5a?271j1vbhoi<1em::5123a?!g1m3>;5n5rndc0g?7=9rB2m85aa669567d3-k=i7:?9b9~j`g4l3;1=vF6a49me22=9:;o7)o9e;63=f=zflk8i7?51zJ:e0=ii>>1=>?j;%c5a?271j1vbhoi<1em::5123e?!g1m3>;5n5rndc74?7=9rB2m85aa66956473-k=i7:?9b9~j`g393;1=vF6a49me22=9:8:7)o9e;63=f=zflk?>7?51zJ:e0=ii>>1=><=;%c5a?271j1vbho;3;395~N>i<1em::51200?!g1m3>;5n5rndc70?7=9rB2m85aa66956433-k=i7:?9b9~j`g3=3;1=vF6a49me22=9:8>7)o9e;63=f=zflk?:7?51zJ:e0=ii>>1=><9;%c5a?271j1vbho;7;395~N>i<1em::51204?!g1m3>;5n5rndc7>1=>i<1em::5120a?!g1m3>;5n5rndc7g?7=9rB2m85aa669564d3-k=i7:?9b9~j`g3l3;1=vF6a49me22=9:8o7)o9e;63=f=zflk?i7?51zJ:e0=ii>>1=>i<1em::5120e?!g1m3>;5n5rndc64?7=9rB2m85aa66956573-k=i7:?9b9~j`g293;1=vF6a49me22=9:9:7)o9e;63=f=zflk>>7?51zJ:e0=ii>>1=>==;%c5a?271j1vbho:3;395~N>i<1em::51210?!g1m3>;5n5rndc60?7=9rB2m85aa66956533-k=i7:?9b9~j`g2=3;1=vF6a49me22=9:9>7)o9e;63=f=zflk>:7?51zJ:e0=ii>>1=>=9;%c5a?271j1vbho:7;395~N>i<1em::51214?!g1m3>;5n5rndc67co84;307g=zflk>o7>51zJ:e0=ii>>1=>=l;|lfe0b=83;pD4o:;oc40?74;m1vbho:e;295~N>i<1em::5121f?xhbi97p`ja7194?7|@0k>7co84;3006=zflk=87>51zJ:e0=ii>>1=>:;;|lfe33=83;pD4o:;oc40?74<<1vbho96;295~N>i<1em::51265?xhbi?=1<7?tH8c6?kg0<3;88:5rndc5j7p`ja7`94?7|@0k>7co84;300g=zflk=o7>51zJ:e0=ii>>1=>:l;|lfe3b=83;pD4o:;oc40?74i<1em::5126f?xhbi?l1<7?tH8c6?kg0<3;88k5rndc44?6=9rB2m85aa66956373tdnm:?50;3xL7co84;3016=zflk<87>51zJ:e0=ii>>1=>;;;|lfe23=83;pD4o:;oc40?74=<1vbho86;295~N>i<1em::51275?xhbi>=1<7?tH8c6?kg0<3;89:5rndc47co84;301g=zflk51zJ:e0=ii>>1=>;l;|lfe2b=83;pD4o:;oc40?74=m1vbho8e;295~N>i<1em::5127f?xhbi>l1<7?tH8c6?kg0<3;89k5rndc;4?6=9rB2m85aa66956073tdnm5?50;3xL7co84;3026=zflk387>51zJ:e0=ii>>1=>8;;|lfe=3=83;pD4o:;oc40?74><1vbho76;295~N>i<1em::51245?xhbi1=1<7?tH8c6?kg0<3;8::5rndc;7co84;302g=zflk3o7>51zJ:e0=ii>>1=>8l;|lfe=b=83;pD4o:;oc40?74>m1vbho7e;295~N>i<1em::5124f?xhbi1l1<7?tH8c6?kg0<3;8:k5rndc:4?6=9rB2m85aa66956173tdnm4?50;3xL:3:1=vF6a49me22=9:=97p`ja8194?7|@0k>7co84;3036=zflk287>51zJ:e0=ii>>1=>9;;|lfe<3=83;pD4o:;oc40?74?<1vbho66;295~N>i<1em::51255?xhbi0=1<7?tH8c6?kg0<3;8;:5rndc:i3:1=vF6a49me22=9:=j7p`ja8`94?7|@0k>7co84;303g=zflk2o7>51zJ:e0=ii>>1=>9l;|lfei<1em::5125f?xhbi0l1<7?tH8c6?kg0<3;8;k5rndcb4?6=9rB2m85aa66956>73tdnml?50;3xL7co84;30<6=zflkj87>51zJ:e0=ii>>1=>6;;|lfed3=83;pD4o:;oc40?740<1vbhon6;295~N>i<1em::512:5?xhbih=1<7?tH8c6?kg0<3;84:5rndcb?3tdnml750;3xL7co84;3051zJ:e0=ii>>1=>6l;|lfedb=83;pD4o:;oc40?740m1vbhone;295~N>i<1em::512:f?xhbihl1<7?tH8c6?kg0<3;84k5rndca4?6=9rB2m85aa66956?73tdnmo?50;3xL7co84;30=6=zflki87>51zJ:e0=ii>>1=>7;;|lfeg3=83;pD4o:;oc40?741<1vbhom6;295~N>i<1em::512;5?xhbik=1<7?tH8c6?kg0<3;85:5rndca7co84;30=g=zflkio7>51zJ:e0=ii>>1=>7l;|lfegb=83;pD4o:;oc40?741m1vbhome;295~N>i<1em::512;f?xhbikl1<7?tH8c6?kg0<3;85k5rndc`4?6=9rB2m85aa66956g73tdnmn?50;3xL7co84;30e6=zflkh87>51zJ:e0=ii>>1=>o;;|lfef3=83;pD4o:;oc40?74i<1vbhol6;295~N>i<1em::512c5?xhbij=1<7?tH8c6?kg0<3;8m:5rndc`7co84;30eg=zflkho7>51zJ:e0=ii>>1=>ol;|lfefb=83;pD4o:;oc40?74im1vbhole;295~N>i<1em::512cf?xhbijl1<7?tH8c6?kg0<3;8mk5rndcg4?6=9rB2m85aa66956d73tdnmi?50;3xL7co84;30f6=zflko87>51zJ:e0=ii>>1=>l;;|lfea3=83;pD4o:;oc40?74j<1vbhok6;295~N>i<1em::512`5?xhbim=1<7?tH8c6?kg0<3;8n:5rndcg7co84;30fg=zflkoo7>51zJ:e0=ii>>1=>ll;|lfeab=83;pD4o:;oc40?74jm1vbhoke;295~N>i<1em::512`f?xhbiml1<7?tH8c6?kg0<3;8nk5rndcf4?6=9rB2m85aa66956e73tdnmh?50;3xL7co84;30g6=zflkn87>51zJ:e0=ii>>1=>m;;|lfe`3=83;pD4o:;oc40?74k<1vbhoj6;295~N>i<1em::512a5?xhbil=1<7?tH8c6?kg0<3;8o:5rndcf7co84;30gg=zflkno7?51zJ:e0=ii>>1=>ml;|lfe`b=83;pD4o:;oc40?74km1vbhoje;295~N>i<1em::512af?xhbill1<7?tH8c6?kg0<3;8ok5rndce4?6=9rB2m85aa66956b73tdnmk?50;3xL7co84;30`6=zflkm87>51zJ:e0=ii>>1=>j;;|lfec3=83;pD4o:;oc40?74l<1vbhoi6;295~N>i<1em::512f5?xhbio=1<7?tH8c6?kg0<3;8h:5rndce7co84;30`g=zflkmo7>51zJ:e0=ii>>1=>jl;|lfecb=83;pD4o:;oc40?74lm1vbhoie;295~N>i<1em::512ff?xhbiol1<7?tH8c6?kg0<3;8hk5rnd`34?6=9rB2m85aa66956c73tdnn=?50;3xL7co84;30a6=zflh;87>51zJ:e0=ii>>1=>k;;|lff53=83;pD4o:;oc40?74m<1vbhl?6;295~N>i<1em::512g5?xhbj9=1<7?tH8c6?kg0<3;8i:5rnd`37co84;30ag=zflh;o7>51zJ:e0=ii>>1=>kl;|lff5b=83;pD4o:;oc40?74mm1vbhl?e;295~N>i<1em::512gf?xhbj9l1<7?tH8c6?kg0<3;8ik5rnd`24?6=9rB2m85aa66956`73tdnn7co84;30b6=zflh:87>51zJ:e0=ii>>1=>h;;|lff43=83;pD4o:;oc40?74n<1vbhl>6;295~N>i<1em::512d5?xhbj8=1<7?tH8c6?kg0<3;8j:5rnd`27co84;30bg=zflh:o7>51zJ:e0=ii>>1=>hl;|lff4b=83;pD4o:;oc40?74nm1vbhl>e;295~N>i<1em::512df?xhbj8l1<7?tH8c6?kg0<3;8jk5rnd`14?6=9rB2m85aa66951673tdnn??50;3xL7co84;3746=zflh987>51zJ:e0=ii>>1=9>;;|lff73=83;pD4o:;oc40?738<1vbhl=6;295~N>i<1em::51525?xhbj;=1<7?tH8c6?kg0<3;?<:5rnd`17co84;374g=zflh9o7>51zJ:e0=ii>>1=9>l;|lff7b=83;pD4o:;oc40?738m1vbhl=e;295~N>i<1em::5152f?xhbj;l1<7?tH8c6?kg0<3;??50;3xL7co84;3756=zflh887>51zJ:e0=ii>>1=9?;;|lff63=83;pD4o:;oc40?739<1vbhl<6;295~N>i<1em::51535?xhbj:=1<7?tH8c6?kg0<3;?=:5rnd`0750;3xL7co84;375g=zflh8o7>51zJ:e0=ii>>1=9?l;|lff6b=83;pD4o:;oc40?739m1vbhli<1em::5153f?xhbj:l1<7?tH8c6?kg0<3;?=k5rnd`74?6=9rB2m85aa66951473tdnn9?50;3xL7co84;3766=zflh?87>51zJ:e0=ii>>1=9<;;|lff13=83;pD4o:;oc40?73:<1vbhl;6;295~N>i<1em::51505?xhbj==1<7?tH8c6?kg0<3;?>:5rnd`77co84;376g=zflh?o7>51zJ:e0=ii>>1=9i<1em::5150f?xhbj=l1<7?tH8c6?kg0<3;?>k5rnd`64?6=9rB2m85aa66951573tdnn8?50;3xL7co84;3776=zflh>87>51zJ:e0=ii>>1=9=;;|lff03=83;pD4o:;oc40?73;<1vbhl:6;295~N>i<1em::51515?xhbj<=1<7?tH8c6?kg0<3;??:5rnd`67co84;377g=zflh>o7>51zJ:e0=ii>>1=9=l;|lff0b=83;pD4o:;oc40?73;m1vbhl:e;295~N>i<1em::5151f?xhbj97p`jb7194?7|@0k>7co84;3706=zflh=87>51zJ:e0=ii>>1=9:;;|lff33=83;pD4o:;oc40?73<<1vbhl96;295~N>i<1em::51565?xhbj?=1<7?tH8c6?kg0<3;?8:5rnd`5?m6saec4a>5<6sA3j96`n758201d4}O1h?0bl9;:067a>{imk{I;b1>hf?=0:89h4}oga35<728qC5l;4n`57>42282weio9>:182M?f=2dj;94>4438ykce?;0;6>>6saec50>5<6sA3j96`n75820054}O1h?0bl9;:0662>{imk=<6=4>{I;b1>hf?=0:8894}oga3=<728qC5l;4n`57>42202weio96:182M?f=2dj;94>44;8ykce?h0;6>m6saec5a>5<6sA3j96`n758200d4}O1h?0bl9;:066a>{imk=m6=4>{I;b1>hf?=0:88h4}oga<5<728qC5l;4n`57>42182weio6>:182M?f=2dj;94>4738ykce0;0;6=>6saec:0>5<6sA3j96`n75820352290:wE7n5:lb31<64}O1h?0bl9;:0652>{imk2<6=4>{I;b1>hf?=0:8;94}oga<=<728qC5l;4n`57>42102weio66:182M?f=2dj;94>47;8ykce0h0;6=m6saec:a>5<6sA3j96`n758203dc290:wE7n5:lb31<64}O1h?0bl9;:065a>{imk2m6=4>{I;b1>hf?=0:8;h4}oga=5<728qC5l;4n`57>42082weio7>:182M?f=2dj;94>4638ykce1;0;6<>6saec;0>5<6sA3j96`n7582025?0qckm9783>4}O1h?0bl9;:0642>{imk3<6=4>{I;b1>hf?=0:8:94}oga==<728qC5l;4n`57>42002weio76:182M?f=2dj;94>46;8ykce1h0;65<6sA3j96`n758202dn0qckm9d83>4}O1h?0bl9;:064a>{imk3m6=4>{I;b1>hf?=0:8:h4}ogae5<728qC5l;4n`57>42?82weioo>:182M?f=2dj;94>4938ykcei;0;63>6saecc0>5<6sA3j96`n75820=54}O1h?0bl9;:06;2>{imkk<6=4>{I;b1>hf?=0:8594}ogae=<728qC5l;4n`57>42?02weioo6:182M?f=2dj;94>49;8ykceih0;63m6saecca>5<6sA3j96`n75820=d4}O1h?0bl9;:06;a>{imkkm6=4>{I;b1>hf?=0:85h4}ogaf5<728qC5l;4n`57>42>82weiol>:182M?f=2dj;94>4838ykcej;0;62>6saec`0>5<6sA3j96`n75820<54}O1h?0bl9;:06:2>{imkh<6=4>{I;b1>hf?=0:8494}ogaf=<728qC5l;4n`57>42>02weiol6:182M?f=2dj;94>48;8ykcejh0;62m6saec`a>5<6sA3j96`n75820{imkhn6=4>{I;b1>hf?=0:84k4}ogafc<628qC5l;4n`57>42>n2.j:h4=c6c8ykcek90;6j<6saeca2>4<6sA3j96`n75820d7<,h4}O1h?0bl9;:06b7>"f>l09o:m4}ogag1<728qC5l;4n`57>42f<2weiom::082M?f=2dj;94>4`78 d0b2;i5<6sA3j96`n75820d0:0yK=d3o0qckmc983>4}O1h?0bl9;:06b<>{imki26<4>{I;b1>hf?=0:8l74$`4f>7e0n2weiomn:182M?f=2dj;94>4`c8ykcekk0:6jn6*n6d81g=6{imkin6=4>{I;b1>hf?=0:8lk4}ogagc<628qC5l;4n`57>42fn2.j:h4=c908ykcel90;6i<6saecf2>4<6sA3j96`n75820g7<,h4}O1h?0bl9;:06a7>"f>l09o5:4}oga`1<728qC5l;4n`57>42e<2weioj::082M?f=2dj;94>4c78 d0b2;i396saecf5>5<6sA3j96`n75820g0:0yK=d34}O1h?0bl9;:06a<>{imkn26<4>{I;b1>hf?=0:8o74$`4f>7e??2weiojn:182M?f=2dj;94>4cc8ykcelk0:6in6*n6d81g=>{imknn6=4>{I;b1>hf?=0:8ok4}oga`c<628qC5l;4n`57>42en2.j:h4=c9c8ykcem90;6h<6saecg2>4<6sA3j96`n75820f7<,h4}O1h?0bl9;:06`7>"f>l09o5m4}ogaa1<728qC5l;4n`57>42d<2weiok::082M?f=2dj;94>4b78 d0b2;i3h6saecg5>5<6sA3j96`n75820f0:0yK=d34}O1h?0bl9;:06`<>{imko26<4>{I;b1>hf?=0:8n74$`4f>7e?n2weiokn:182M?f=2dj;94>4bc8ykcemk0:6hn6*n6d81g<7{imkon6=4>{I;b1>hf?=0:8nk4}ogaac<628qC5l;4n`57>42dn2.j:h4=c818ykcen90;6o<6saecd2>4<6sA3j96`n75820a7<,h4}O1h?0bl9;:06g7>"f>l09o4;4}ogab1<728qC5l;4n`57>42c<2weioh::082M?f=2dj;94>4e78 d0b2;i2:6saecd5>5<6sA3j96`n75820a0:0yK=d34}O1h?0bl9;:06g<>{imkl26<4>{I;b1>hf?=0:8i74$`4f>7e>82weiohn:182M?f=2dj;94>4ec8ykcenk0;6on6saecd`>5<6sA3j96`n75820ae4}O1h?0bl9;:06gb>{imj:;6=4>{I;b1>hf?=0:8h>4}og`44<728qC5l;4n`57>42b92wein>=:182M?f=2dj;94>4d08ykcd8:0;6n?6saeb27>5<6sA3j96`n75820`24}O1h?0bl9;:06f3>{imj:36=4>{I;b1>hf?=0:8h64}og`4<<728qC5l;4n`57>42b12wein>n:182M?f=2dj;94>4dc8ykcd8k0;6nn6saeb2`>5<6sA3j96`n75820`e4}O1h?0bl9;:06fb>{imj;;6=4>{I;b1>hf?=0:8k>4}og`54<728qC5l;4n`57>42a92wein?=:182M?f=2dj;94>4g08ykcd9:0;6m?6saeb37>5<6sA3j96`n75820c24}O1h?0bl9;:06e3>{imj;36=4>{I;b1>hf?=0:8k64}og`5<<728qC5l;4n`57>42a12wein?n:182M?f=2dj;94>4gc8ykcd9k0;6mn6saeb3`>5<6sA3j96`n75820ce4}O1h?0bl9;:06eb>{imj8;6=4>{I;b1>hf?=0:9=>4}og`64<728qC5l;4n`57>43792wein<=:182M?f=2dj;94>5108ykcd::0;65<6sA3j96`n758215284?:0yK=d34}O1h?0bl9;:0733>{imj836=4>{I;b1>hf?=0:9=64}og`6<<728qC5l;4n`57>43712wein51c8ykcd:k0;65<6sA3j96`n758215ei4?:0yK=d34}O1h?0bl9;:073b>{imj9;6=4>{I;b1>hf?=0:9<>4}og`74<728qC5l;4n`57>43692wein==:182M?f=2dj;94>5008ykcd;:0;65<6sA3j96`n75821425:maf51290:wE7n5:lb31<6=8<0qckl3683>4}O1h?0bl9;:0723>{imj936=4>{I;b1>hf?=0:9<64}og`7<<728qC5l;4n`57>43612wein=n:182M?f=2dj;94>50c8ykcd;k0;65<6sA3j96`n758214ed:maf5b290:wE7n5:lb31<6=8o0qckl3g82>4}O1h?0bl9;:072b>{imj>;6=4>{I;b1>hf?=0:9?>4}og`04<628qC5l;4n`57>43592wein:=:182M?f=2dj;94>5308ykcd<:0;65<6sA3j96`n75821724}O1h?0bl9;:0713>{imj>36=4>{I;b1>hf?=0:9?64}og`0<<728qC5l;4n`57>43512wein:n:182M?f=2dj;94>53c8ykcd5<6sA3j96`n758217e4}O1h?0bl9;:071b>{imj?;6=4>{I;b1>hf?=0:9>>4}og`14<728qC5l;4n`57>43492wein;=:182M?f=2dj;94>5208ykcd=:0;65<6sA3j96`n75821624}O1h?0bl9;:0703>{imj?36=4>{I;b1>hf?=0:9>64}og`1<<728qC5l;4n`57>43412wein;n:182M?f=2dj;94>52c8ykcd=k0;65<6sA3j96`n758216e4}O1h?0bl9;:070b>{imj<;6=4>{I;b1>hf?=0:99>4}og`24<728qC5l;4n`57>43392wein8=:182M?f=2dj;94>5508ykcd>:0;65<6sA3j96`n75821124}O1h?0bl9;:0773>{imj<36=4>{I;b1>hf?=0:9964}og`2<<728qC5l;4n`57>43312wein8n:182M?f=2dj;94>55c8ykcd>k0;65<6sA3j96`n758211e4}O1h?0bl9;:077b>{imj=;6=4>{I;b1>hf?=0:98>4}og`34<728qC5l;4n`57>43292wein9=:182M?f=2dj;94>5408ykcd?:0;6?6saeb57>4<6sA3j96`n7582102:0yK=d34}O1h?0bl9;:0763>{imj=36<4>{I;b1>hf?=0:9864}og`3<<628qC5l;4n`57>43212wein9n:082M?f=2dj;94>54c8ykcd?k0:6n6saeb5`>4<6sA3j96`n758210e:0yK=d34}O1h?0bl9;:076b>{imj2;6<4>{I;b1>hf?=0:9;>4}og`<4<628qC5l;4n`57>43192wein6=:082M?f=2dj;94>5708ykcd0:0:64<6sA3j96`n7582132:0yK=d31280:wE7n5:lb31<6=?<0qckl8682>4}O1h?0bl9;:0753>{imj236<4>{I;b1>hf?=0:9;64}og`<<<628qC5l;4n`57>43112wein6n:082M?f=2dj;94>57c8ykcd0k0:64<6sA3j96`n758213e:0yK=d3b280:wE7n5:lb31<6=?o0qckl8g82>4}O1h?0bl9;:075b>{imj3;6<4>{I;b1>hf?=0:9:>4}og`=4<628qC5l;4n`57>43092wein7=:082M?f=2dj;94>5608ykcd1:0;65<6sA3j96`n7582122:0yK=d34}O1h?0bl9;:0742>{imj3<6<4>{I;b1>hf?=0:9:94$`4f>7ea92wein77:182M?f=2dj;94>56:8ykcd100:6h0(l8j:3ae7>{imj3h6=4>{I;b1>hf?=0:9:m4}og`=a<628qC5l;4n`57>430l2.j:h4=cg68ykcd1l0;64<6sA3j96`n758212`<,h4}O1h?0bl9;:07;5>"f>l09ok84}og`e7<728qC5l;4n`57>43?:2weino<:082M?f=2dj;94>5918 d0b2;im;6saebc7>5<6sA3j96`n75821=2:0yK=d34}O1h?0bl9;:07;2>{imjk<6=4>{I;b1>hf?=0:9594}og`e=<728qC5l;4n`57>43?02weino6:182M?f=2dj;94>59;8ykcdih0;65<6sA3j96`n75821=d4}O1h?0bl9;:07;a>{imjkm6=4>{I;b1>hf?=0:95h4}og`f5<728qC5l;4n`57>43>82weinl>:182M?f=2dj;94>5838ykcdj;0;66saeb`0>5<6sA3j96`n75821<54}O1h?0bl9;:07:2>{imjh<6=4>{I;b1>hf?=0:9494}og`f=<728qC5l;4n`57>43>02weinl6:182M?f=2dj;94>58;8ykcdjh0;65<6sA3j96`n758214}O1h?0bl9;:07:a>{imjhm6<4>{I;b1>hf?=0:94h4}og`g5<628qC5l;4n`57>43f82weinm>:082M?f=2dj;94>5`38ykcdk;0:66saeba0>4<6sA3j96`n75821d5:0yK=d34}O1h?0bl9;:07b2>{imji<6=4>{I;b1>hf?=0:9l94}og`g=<728qC5l;4n`57>43f02weinm6:182M?f=2dj;94>5`;8ykcdkh0;65<6sA3j96`n75821dd4}O1h?0bl9;:07ba>{imjim6=4>{I;b1>hf?=0:9lh4}og``5<728qC5l;4n`57>43e82weinj>:182M?f=2dj;94>5c38ykcdl;0;66saebf0>5<6sA3j96`n75821g54}O1h?0bl9;:07a2>{imjn<6=4>{I;b1>hf?=0:9o94}og``=<728qC5l;4n`57>43e02weinj6:182M?f=2dj;94>5c;8ykcdlh0;65<6sA3j96`n75821gd4}O1h?0bl9;:07aa>{imjnm6=4>{I;b1>hf?=0:9oh4}og`a5<728qC5l;4n`57>43d82weink>:182M?f=2dj;94>5b38ykcdm;0;66saebg0>5<6sA3j96`n75821f54}O1h?0bl9;:07`2>{imjo<6=4>{I;b1>hf?=0:9n94}og`a=<728qC5l;4n`57>43d02weink6:182M?f=2dj;94>5b;8ykcdmh0;65<6sA3j96`n75821fd4}O1h?0bl9;:07`a>{imjom6<4>{I;b1>hf?=0:9nh4}og`b5<728qC5l;4n`57>43c82weinh>:182M?f=2dj;94>5e38ykcdn;0;66saebd0>5<6sA3j96`n75821a54}O1h?0bl9;:07g2>{imjl<6=4>{I;b1>hf?=0:9i94}og`b=<728qC5l;4n`57>43c02weinh6:182M?f=2dj;94>5e;8ykcdnh0;65<6sA3j96`n75821ad4}O1h?0bl9;:07ga>{imjlm6=4>{I;b1>hf?=0:9ih4}ogg45<728qC5l;4n`57>43b82weii>>:182M?f=2dj;94>5d38ykcc8;0;66saee20>5<6sA3j96`n75821`54}O1h?0bl9;:07f2>{imm:<6=4>{I;b1>hf?=0:9h94}ogg4=<728qC5l;4n`57>43b02weii>6:182M?f=2dj;94>5d;8ykcc8h0;65<6sA3j96`n75821`d4}O1h?0bl9;:07fa>{imm:m6=4>{I;b1>hf?=0:9hh4}ogg55<728qC5l;4n`57>43a82weii?>:182M?f=2dj;94>5g38ykcc9;0;66saee30>5<6sA3j96`n75821c54}O1h?0bl9;:07e2>{imm;<6=4>{I;b1>hf?=0:9k94}ogg5=<728qC5l;4n`57>43a02weii?6:182M?f=2dj;94>5g;8ykcc9h0;65<6sA3j96`n75821cd4}O1h?0bl9;:07ea>{imm;m6=4>{I;b1>hf?=0:9kh4}ogg65<728qC5l;4n`57>40782weii<>:182M?f=2dj;94>6138ykcc:;0;66saee00>5<6sA3j96`n758225594?:0yK=d39?0qckk2783>4}O1h?0bl9;:0432>{imm8<6=4>{I;b1>hf?=0::=94}ogg6=<728qC5l;4n`57>40702weii<6:182M?f=2dj;94>61;8ykcc:h0;65<6sA3j96`n758225dn4?:0yK=d39n0qckk2d83>4}O1h?0bl9;:043a>{imm8m6=4>{I;b1>hf?=0::=h4}ogg75<728qC5l;4n`57>40682weii=>:182M?f=2dj;94>6038ykcc;;0;66saee10>5<6sA3j96`n75822454:maa52290:wE7n5:lb31<6>8?0qckk3783>4}O1h?0bl9;:0422>{imm9<6=4>{I;b1>hf?=0::<94}ogg7=<728qC5l;4n`57>40602weii=6:182M?f=2dj;94>60;8ykcc;h0;65<6sA3j96`n758224dc:maa5c280:wE7n5:lb31<6>8n0qckk3d83>4}O1h?0bl9;:042a>{imm9m6=4>{I;b1>hf?=0::40582.j:h4=d228ykcc<80;65<6sA3j96`n75822744?:0yK=d3;>0qckk4483>4}O1h?0bl9;:0411>{imm>=6=4>{I;b1>hf?=0::?84}ogg02<728qC5l;4n`57>405?2weii:7:182M?f=2dj;94>63:8ykcc<00;65<6sA3j96`n758227g;i0qckk4e83>4}O1h?0bl9;:041`>{imm>n6=4>{I;b1>hf?=0::?k4}ogg0c<728qC5l;4n`57>405n2weii;?:182M?f=2dj;94>6228ykcc=80;65<6sA3j96`n75822644?:0yK=d3:>0qckk5483>4}O1h?0bl9;:0401>{imm?=6=4>{I;b1>hf?=0::>84}ogg12<728qC5l;4n`57>404?2weii;7:182M?f=2dj;94>62:8ykcc=00;65<6sA3j96`n758226g:i0qckk5e83>4}O1h?0bl9;:040`>{imm?n6=4>{I;b1>hf?=0::>k4}ogg1c<728qC5l;4n`57>404n2weii8?:182M?f=2dj;94>6528ykcc>80;65<6sA3j96`n75822144?:0yK=d3=>0qckk6483>4}O1h?0bl9;:0471>{imm<=6=4>{I;b1>hf?=0::984}ogg22<728qC5l;4n`57>403?2weii87:182M?f=2dj;94>65:8ykcc>00;65<6sA3j96`n758221g=i0qckk6e83>4}O1h?0bl9;:047`>{imm{I;b1>hf?=0::9k4}ogg2c<728qC5l;4n`57>403n2weii9?:182M?f=2dj;94>6428ykcc?80;6=6saee51>5<6sA3j96`n75822044?:0yK=d3<>0qckk7483>4}O1h?0bl9;:0461>{imm==6=4>{I;b1>hf?=0::884}ogg32<728qC5l;4n`57>402?2weii97:182M?f=2dj;94>64:8ykcc?00;656saee5b>5<6sA3j96`n758220g4}O1h?0bl9;:046`>{imm=n6=4>{I;b1>hf?=0::8k4}ogg3c<728qC5l;4n`57>402n2weii6?:182M?f=2dj;94>6728ykcc080;65<6sA3j96`n75822344?:0yK=d33290:wE7n5:lb31<6>?>0qckk8483>4}O1h?0bl9;:0451>{imm2=6=4>{I;b1>hf?=0::;84}ogg<2<728qC5l;4n`57>401?2weii67:182M?f=2dj;94>67:8ykcc000;65<6sA3j96`n758223gd290:wE7n5:lb31<6>?i0qckk8e83>4}O1h?0bl9;:045`>{imm2n6=4>{I;b1>hf?=0::;k4}ogg401n2weii7?:182M?f=2dj;94>6628ykcc180;65<6sA3j96`n75822244?:0yK=d3>>0qckk9483>4}O1h?0bl9;:0441>{imm3=6=4>{I;b1>hf?=0:::84}ogg=2<728qC5l;4n`57>400?2weii77:182M?f=2dj;94>66:8ykcc100;65<6sA3j96`n758222g>i0qckk9e83>4}O1h?0bl9;:044`>{imm3n6=4>{I;b1>hf?=0:::k4}ogg=c<728qC5l;4n`57>400n2weiio?:182M?f=2dj;94>6928ykcci80;65<6sA3j96`n75822=44?:0yK=d31>0qckka483>4}O1h?0bl9;:04;1>{immk=6=4>{I;b1>hf?=0::584}ogge2<728qC5l;4n`57>40??2weiio7:182M?f=2dj;94>69:8ykcci00;65<6sA3j96`n75822=g1i0qckkae83>4}O1h?0bl9;:04;`>{immkn6=4>{I;b1>hf?=0::5k4}oggec<728qC5l;4n`57>40?n2weiil?:182M?f=2dj;94>6828ykccj80;65<6sA3j96`n75822<44?:0yK=d30>0qckkb483>4}O1h?0bl9;:04:1>{immh=6=4>{I;b1>hf?=0::484}oggf2<728qC5l;4n`57>40>?2weiil7:182M?f=2dj;94>68:8ykccj00;65<6sA3j96`n758220i0qckkbe83>4}O1h?0bl9;:04:`>{immhn6=4>{I;b1>hf?=0::4k4}oggfc<728qC5l;4n`57>40>n2weiim?:182M?f=2dj;94>6`28ykcck80;65<6sA3j96`n75822d44?:0yK=d3h>0qckkc483>4}O1h?0bl9;:04b1>{immi=6=4>{I;b1>hf?=0::l84}oggg2<728qC5l;4n`57>40f?2weiim7:182M?f=2dj;94>6`:8ykcck00;65<6sA3j96`n75822dghi0qckkce83>4}O1h?0bl9;:04b`>{immin6=4>{I;b1>hf?=0::lk4}ogggc<728qC5l;4n`57>40fn2weiij?:182M?f=2dj;94>6c28ykccl80;65<6sA3j96`n75822g44?:0yK=d3k>0qckkd483>4}O1h?0bl9;:04a1>{immn=6=4>{I;b1>hf?=0::o84}ogg`2<728qC5l;4n`57>40e?2weiij7:182M?f=2dj;94>6c:8ykccl00;65<6sA3j96`n75822ggki0qckkde83>4}O1h?0bl9;:04a`>{immnn6=4>{I;b1>hf?=0::ok4}ogg`c<728qC5l;4n`57>40en2weiik?:182M?f=2dj;94>6b28ykccm80;65<6sA3j96`n75822f44?:0yK=d3j>0qckke483>4}O1h?0bl9;:04`1>{immo=6=4>{I;b1>hf?=0::n84}ogga2<728qC5l;4n`57>40d?2weiik7:182M?f=2dj;94>6b:8ykccm00;65<6sA3j96`n75822fgji0qckkee83>4}O1h?0bl9;:04``>{immon6=4>{I;b1>hf?=0::nk4}oggac<728qC5l;4n`57>40dn2weiih?:182M?f=2dj;94>6e28ykccn80;65<6sA3j96`n75822a44?:0yK=d3m>0qckkf483>4}O1h?0bl9;:04g1>{imml=6=4>{I;b1>hf?=0::i84}oggb2<728qC5l;4n`57>40c?2weiih7:182M?f=2dj;94>6e:8ykccn00;65<6sA3j96`n75822agmi0qckkfe83>4}O1h?0bl9;:04g`>{immln6=4>{I;b1>hf?=0::ik4}oggbc<728qC5l;4n`57>40cn2weih>?:182M?f=2dj;94>6d28ykcb880;65<6sA3j96`n75822`44?:0yK=d3l>0qckj0483>4}O1h?0bl9;:04f1>{iml:=6=4>{I;b1>hf?=0::h84}ogf42<728qC5l;4n`57>40b?2weih>7:182M?f=2dj;94>6d:8ykcb800;65<6sA3j96`n75822`gli0qckj0e83>4}O1h?0bl9;:04f`>{iml:n6=4>{I;b1>hf?=0::hk4}ogf4c<728qC5l;4n`57>40bn2weih??:182M?f=2dj;94>6g28ykcb980;65<6sA3j96`n75822c44?:0yK=d3o>0qckj1483>4}O1h?0bl9;:04e1>{iml;=6=4>{I;b1>hf?=0::k84}ogf52<728qC5l;4n`57>40a?2weih?7:182M?f=2dj;94>6g:8ykcb900;65<6sA3j96`n75822cgoi0qckj1e83>4}O1h?0bl9;:04e`>{iml;n6=4>{I;b1>hf?=0::kk4}ogf5c<728qC5l;4n`57>40an2weih7128ykcb:80;65<6sA3j96`n7582354>4?:0yK=d30qckj2483>4}O1h?0bl9;:0531>{iml8=6=4>{I;b1>hf?=0:;=84}ogf62<728qC5l;4n`57>417?2weih<7:182M?f=2dj;94>71:8ykcb:00;65<6sA3j96`n758235go4?:0yK=d34}O1h?0bl9;:053`>{iml8n6=4>{I;b1>hf?=0:;=k4}ogf6c<728qC5l;4n`57>417n2weih=?:182M?f=2dj;94>7028ykcb;80;65<6sA3j96`n75823444?:0yK=d33:ma`53290:wE7n5:lb31<6?8>0qckj3483>4}O1h?0bl9;:0521>{iml9=6=4>{I;b1>hf?=0:;<84}ogf72<628qC5l;4n`57>416?2weih=7:082M?f=2dj;94>70:8ykcb;00;65<6sA3j96`n758234gb:ma`5d290:wE7n5:lb31<6?8i0qckj3e83>4}O1h?0bl9;:052`>{iml9n6=4>{I;b1>hf?=0:;416n2weih:?:182M?f=2dj;94>7328ykcb<80;65<6sA3j96`n75823744?:0yK=d30qckj4483>4}O1h?0bl9;:0511>{iml>=6=4>{I;b1>hf?=0:;?84}ogf02<728qC5l;4n`57>415?2weih:7:182M?f=2dj;94>73:8ykcb<00;65<6sA3j96`n758237g4}O1h?0bl9;:051`>{iml>n6=4>{I;b1>hf?=0:;?k4}ogf0c<728qC5l;4n`57>415n2weih;?:182M?f=2dj;94>7228ykcb=80;65<6sA3j96`n75823644?:0yK=d30qckj5483>4}O1h?0bl9;:0501>{iml?=6=4>{I;b1>hf?=0:;>84}ogf12<728qC5l;4n`57>414?2weih;7:182M?f=2dj;94>72:8ykcb=00;65<6sA3j96`n758236g4}O1h?0bl9;:050`>{iml?n6=4>{I;b1>hf?=0:;>k4}ogf1c<728qC5l;4n`57>414n2weih8?:182M?f=2dj;94>7528ykcb>80;65<6sA3j96`n75823144?:0yK=d30qckj6483>4}O1h?0bl9;:0571>{iml<=6=4>{I;b1>hf?=0:;984}ogf22<728qC5l;4n`57>413?2weih87:182M?f=2dj;94>75:8ykcb>00;65<6sA3j96`n758231g4}O1h?0bl9;:057`>{iml{I;b1>hf?=0:;9k4}ogf2c<728qC5l;4n`57>413n2weih9?:182M?f=2dj;94>7428ykcb?80;6=6saed51>5<6sA3j96`n75823044?:0yK=d30qckj7483>4}O1h?0bl9;:0561>{iml==6=4>{I;b1>hf?=0:;884}ogf32<728qC5l;4n`57>412?2weih97:182M?f=2dj;94>74:8ykcb?00;656saed5b>5<6sA3j96`n758230g4}O1h?0bl9;:056`>{iml=n6=4>{I;b1>hf?=0:;8k4}ogf3c<728qC5l;4n`57>412n2weih6?:182M?f=2dj;94>7728ykcb080;65<6sA3j96`n75823344?:0yK=d33290:wE7n5:lb31<6??>0qckj8482>4}O1h?0bl9;:0551>{iml2=6=4>{I;b1>hf?=0:;;84}ogf<2<728qC5l;4n`57>411?2weih67:182M?f=2dj;94>77:8ykcb000;65<6sA3j96`n758233gd290:wE7n5:lb31<6??i0qckj8e83>4}O1h?0bl9;:055`>{iml2n6=4>{I;b1>hf?=0:;;k4}ogf411n2weih7?:182M?f=2dj;94>7628ykcb180;65<6sA3j96`n75823244?:0yK=d3>0qckj9483>4}O1h?0bl9;:0541>{iml3=6=4>{I;b1>hf?=0:;:84}ogf=2<728qC5l;4n`57>410?2weih77:182M?f=2dj;94>76:8ykcb100;65<6sA3j96`n758232gi0qckj9e83>4}O1h?0bl9;:054`>{iml3n6=4>{I;b1>hf?=0:;:k4}ogf=c<728qC5l;4n`57>410n2weiho?:182M?f=2dj;94>7928ykcbi80;65<6sA3j96`n75823=44?:0yK=d30qckja483>4}O1h?0bl9;:05;1>{imlk=6=4>{I;b1>hf?=0:;584}ogfe2<728qC5l;4n`57>41??2weiho7:182M?f=2dj;94>79:8ykcbi00;65<6sA3j96`n75823=g4}O1h?0bl9;:05;`>{imlkn6=4>{I;b1>hf?=0:;5k4}ogfec<728qC5l;4n`57>41?n2weihl?:182M?f=2dj;94>7828ykcbj80;65<6sA3j96`n75823<44?:0yK=d30qckjb483>4}O1h?0bl9;:05:1>{imlh=6=4>{I;b1>hf?=0:;484}ogff2<728qC5l;4n`57>41>?2weihl7:182M?f=2dj;94>78:8ykcbj00;65<6sA3j96`n758234}O1h?0bl9;:05:`>{imlhn6=4>{I;b1>hf?=0:;4k4}ogffc<728qC5l;4n`57>41>n2weihm?:182M?f=2dj;94>7`28ykcbk80;64<6sA3j96`n75823d4<,h4}O1h?0bl9;:05b0>{imli>6=4>{I;b1>hf?=0:;l;4}ogfg3<728qC5l;4n`57>41f>2weihm8:182M?f=2dj;94>7`58ykcbk10;65<6sA3j96`n75823d?4}O1h?0bl9;:05bg>{imlio6=4>{I;b1>hf?=0:;lj4}ogfg`<728qC5l;4n`57>41fm2weihmi:182M?f=2dj;94>7`d8ykcbl90;65<6sA3j96`n75823g74}O1h?0bl9;:05a0>{imln>6=4>{I;b1>hf?=0:;o;4}ogf`3<728qC5l;4n`57>41e>2weihj8:182M?f=2dj;94>7c58ykcbl10;65<6sA3j96`n75823g?4}O1h?0bl9;:05ag>{imlno6=4>{I;b1>hf?=0:;oj4}ogf``<728qC5l;4n`57>41em2weihji:182M?f=2dj;94>7cd8ykcbm90;65<6sA3j96`n75823f74}O1h?0bl9;:05`0>{imlo>6=4>{I;b1>hf?=0:;n;4}ogfa3<728qC5l;4n`57>41d>2weihk8:182M?f=2dj;94>7b58ykcbm10;65<6sA3j96`n75823f?4}O1h?0bl9;:05`g>{imloo6<4>{I;b1>hf?=0:;nj4$`4f>67b<2weihkj:182M?f=2dj;94>7bg8ykcbmo0;65<6sA3j96`n75823a64}O1h?0bl9;:05g7>{imll?6=4>{I;b1>hf?=0:;i:4}ogfb0<728qC5l;4n`57>41c=2weihh9:182M?f=2dj;94>7e48ykcbn>0;65<6sA3j96`n75823a>4}O1h?0bl9;:05gf>{imllh6=4>{I;b1>hf?=0:;im4}ogfba<728qC5l;4n`57>41cl2weihhj:182M?f=2dj;94>7eg8ykcbno0;65<6sA3j96`n75823`64}O1h?0bl9;:05f7>{imo:?6=4>{I;b1>hf?=0:;h:4}oge40<728qC5l;4n`57>41b=2weik>9:182M?f=2dj;94>7d48ykca8>0;65<6sA3j96`n75823`>4}O1h?0bl9;:05ff>{imo:h6=4>{I;b1>hf?=0:;hm4}oge4a<728qC5l;4n`57>41bl2weik>j:182M?f=2dj;94>7dg8ykca8o0;65<6sA3j96`n75823c64}O1h?0bl9;:05e7>{imo;?6=4>{I;b1>hf?=0:;k:4}oge50<728qC5l;4n`57>41a=2weik?9:182M?f=2dj;94>7g48ykca9>0;65<6sA3j96`n75823c>4}O1h?0bl9;:05ef>{imo;h6=4>{I;b1>hf?=0:;km4}oge5a<728qC5l;4n`57>41al2weik?j:182M?f=2dj;94>7gg8ykca9o0;65<6sA3j96`n7582<56<4?:0yK=d34}O1h?0bl9;:0:37>{imo8?6=4>{I;b1>hf?=0:4=:4}oge60<728qC5l;4n`57>4>7=2weik<9:182M?f=2dj;94>8148ykca:>0:654?:0yK=d3280:wE7n5:lb31<60930(l8j:22g=>{imo8j6=4>{I;b1>hf?=0:4=o4}oge6g<628qC5l;4n`57>4>7j2.j:h4<0ec8ykca:j0;64<6sA3j96`n7582<5b<,h>kb:mac4b290:wE7n5:lb31<609o0qcki2g82>4}O1h?0bl9;:0:3b>"f>l084>682weik=>:082M?f=2dj;94>8038 d0b2::oh6saeg11>5<6sA3j96`n7582<444>:0yK=d33:&b2`<48mo0qcki3583>4}O1h?0bl9;:0:20>{imo9>6<4>{I;b1>hf?=0:4<;4$`4f>66cn2weik=9:182M?f=2dj;94>8048ykca;>0:68:mac5>280:wE7n5:lb31<60830(l8j:22g3>{imo9j6=4>{I;b1>hf?=0:44>6j2weik=l:082M?f=2dj;94>80a8 d0b2::m<6saeg1g>5<6sA3j96`n7582<4b:0yK=d3e:&b2`<48o;0qcki3g83>4}O1h?0bl9;:0:2b>{imo>;6<4>{I;b1>hf?=0:4?>4$`4f>66a:2weik:>:182M?f=2dj;94>8338ykca<;0:66*n6d804c54?:0yK=d30(l8j:22e0>{imo>>6=4>{I;b1>hf?=0:4?;4}oge03<628qC5l;4n`57>4>5>2.j:h4<0g78ykca<>0;64<6sA3j96`n7582<7><,h>i6:mac2>290:wE7n5:lb31<60;30qcki4`82>4}O1h?0bl9;:0:1e>"f>l084>5j2weik:l:082M?f=2dj;94>83a8 d0b2::m46saeg6g>5<6sA3j96`n7582<7b:0yK=d34}O1h?0bl9;:0:1b>{imo?;6<4>{I;b1>hf?=0:4>>4$`4f>66c>2weik;>:182M?f=2dj;94>8238ykca=;0;66saeg70>5<6sA3j96`n7582<654}O1h?0bl9;:0:02>{imo?<6=4>{I;b1>hf?=0:4>94}oge1=<728qC5l;4n`57>4>402weik;6:182M?f=2dj;94>82;8ykca=h0;65<6sA3j96`n7582<6d4}O1h?0bl9;:0:0a>{imo?m6=4>{I;b1>hf?=0:4>h4}oge25<728qC5l;4n`57>4>382weik8>:182M?f=2dj;94>8538ykca>;0;66saeg40>5<6sA3j96`n7582<154}O1h?0bl9;:0:72>{imo<<6=4>{I;b1>hf?=0:4994}oge2=<728qC5l;4n`57>4>302weik86:182M?f=2dj;94>85;8ykca>h0;65<6sA3j96`n7582<1d4}O1h?0bl9;:0:7a>{imo{I;b1>hf?=0:49h4}oge35<728qC5l;4n`57>4>282weik9>:182M?f=2dj;94>8438ykca?;0;6>6saeg50>5<6sA3j96`n7582<054}O1h?0bl9;:0:62>{imo=<6=4>{I;b1>hf?=0:4894}oge3=<728qC5l;4n`57>4>202weik96:182M?f=2dj;94>84;8ykca?h0;6m6saeg5a>5<6sA3j96`n7582<0d4}O1h?0bl9;:0:6a>{imo=m6=4>{I;b1>hf?=0:48h4}oge<5<728qC5l;4n`57>4>182weik6>:182M?f=2dj;94>8738ykca0;0;66saeg:0>5<6sA3j96`n7582<352290:wE7n5:lb31<60??0qcki8783>4}O1h?0bl9;:0:52>{imo2<6=4>{I;b1>hf?=0:4;94}oge<=<628qC5l;4n`57>4>102weik66:082M?f=2dj;94>87;8ykca0h0:64<6sA3j96`n7582<3d:0yK=d3c280:wE7n5:lb31<60?n0qcki8d82>4}O1h?0bl9;:0:5a>{imo2m6<4>{I;b1>hf?=0:4;h4}oge=5<628qC5l;4n`57>4>082weik7>:082M?f=2dj;94>8638ykca1;0:66saeg;0>5<6sA3j96`n7582<25?0qcki9782>4}O1h?0bl9;:0:42>{imo3<6<4>{I;b1>hf?=0:4:94}oge==<628qC5l;4n`57>4>002weik76:082M?f=2dj;94>86;8ykca1h0:64<6sA3j96`n7582<2d:0yK=d3n0qcki9d82>4}O1h?0bl9;:0:4a>{imo3m6=4>{I;b1>hf?=0:4:h4}ogee5<628qC5l;4n`57>4>?82.j:h4<15:8ykcai80;65<6sA3j96`n7582<=44?:0yK=d30qckia483>4}O1h?0bl9;:0:;1>{imok=6=4>{I;b1>hf?=0:4584}ogee2<728qC5l;4n`57>4>??2weiko7:182M?f=2dj;94>89:8ykcai00;65<6sA3j96`n7582<=g4}O1h?0bl9;:0:;`>{imokn6=4>{I;b1>hf?=0:45k4}ogeec<728qC5l;4n`57>4>?n2weikl?:182M?f=2dj;94>8828ykcaj80;65<6sA3j96`n7582<<44?:0yK=d30qckib483>4}O1h?0bl9;:0::1>{imoh=6=4>{I;b1>hf?=0:4484}ogef2<728qC5l;4n`57>4>>?2weikl7:182M?f=2dj;94>88:8ykcaj00;65<6sA3j96`n7582<4}O1h?0bl9;:0::`>{imohn6=4>{I;b1>hf?=0:44k4}ogefc<728qC5l;4n`57>4>>n2weikm?:082M?f=2dj;94>8`28ykcak80;65<6sA3j96`n75824?:0yK=d30qckic483>4}O1h?0bl9;:0:b1>{imoi=6=4>{I;b1>hf?=0:4l84}ogeg2<728qC5l;4n`57>4>f?2weikm7:182M?f=2dj;94>8`:8ykcak00;65<6sA3j96`n75824}O1h?0bl9;:0:b`>{imoin6=4>{I;b1>hf?=0:4lk4}ogegc<728qC5l;4n`57>4>fn2weikj?:182M?f=2dj;94>8c28ykcal80;65<6sA3j96`n75824?:0yK=d30qckid483>4}O1h?0bl9;:0:a1>{imon=6=4>{I;b1>hf?=0:4o84}oge`2<728qC5l;4n`57>4>e?2weikj7:182M?f=2dj;94>8c:8ykcal00;65<6sA3j96`n75824}O1h?0bl9;:0:a`>{imonn6=4>{I;b1>hf?=0:4ok4}oge`c<728qC5l;4n`57>4>en2weikk?:182M?f=2dj;94>8b28ykcam80;65<6sA3j96`n75824?:0yK=d30qckie483>4}O1h?0bl9;:0:`1>{imoo=6=4>{I;b1>hf?=0:4n84}ogea2<728qC5l;4n`57>4>d?2weikk7:182M?f=2dj;94>8b:8ykcam00;65<6sA3j96`n75824}O1h?0bl9;:0:``>{imoon6=4>{I;b1>hf?=0:4nk4}ogeac<728qC5l;4n`57>4>dn2weikh?:182M?f=2dj;94>8e28ykcan80;65<6sA3j96`n75824?:0yK=d30qckif483>4}O1h?0bl9;:0:g1>{imol=6=4>{I;b1>hf?=0:4i84}ogeb2<728qC5l;4n`57>4>c?2weikh7:182M?f=2dj;94>8e:8ykcan00;65<6sA3j96`n75824}O1h?0bl9;:0:g`>{imoln6=4>{I;b1>hf?=0:4ik4}ogebc<728qC5l;4n`57>4>cn2wej=>?:182M?f=2dj;94>8d28yk`7880;65<6sA3j96`n7582<`44?:0yK=d30qch?0483>4}O1h?0bl9;:0:f1>{in9:=6=4>{I;b1>hf?=0:4h84}od342<728qC5l;4n`57>4>b?2wej=>7:182M?f=2dj;94>8d:8yk`7800;65<6sA3j96`n7582<`g4}O1h?0bl9;:0:f`>{in9:n6=4>{I;b1>hf?=0:4hk4}od34c<728qC5l;4n`57>4>bn2wej=??:182M?f=2dj;94>8g28yk`7980;65<6sA3j96`n75824?:0yK=d30qch?1483>4}O1h?0bl9;:0:e1>{in9;=6=4>{I;b1>hf?=0:4k84}od352<628qC5l;4n`57>4>a?2wej=?7:182M?f=2dj;94>8g:8yk`7900;65<6sA3j96`n75824}O1h?0bl9;:0:e`>{in9;n6=4>{I;b1>hf?=0:4kk4}od35c<728qC5l;4n`57>4>an2wej=9128yk`7:80;65<6sA3j96`n7582=54>4?:0yK=d30qch?2483>4}O1h?0bl9;:0;31>{in98=6<4>{I;b1>hf?=0:5=84$`4f>644n2wej=<8:182M?f=2dj;94>9158yk`7:10;65<6sA3j96`n7582=5?l4?:0yK=d34}O1h?0bl9;:0;3g>{in98o6=4>{I;b1>hf?=0:5=j4}od36`<728qC5l;4n`57>4?7m2wej=91d8yk`7;90;65<6sA3j96`n7582=472:mb554290:wE7n5:lb31<61890qch?3583>4}O1h?0bl9;:0;20>{in99>6=4>{I;b1>hf?=0:5<;4}od373<728qC5l;4n`57>4?6>2wej==8:182M?f=2dj;94>9058yk`7;10;65<6sA3j96`n7582=4?a:mb55e290:wE7n5:lb31<618h0qch?3b83>4}O1h?0bl9;:0;2g>{in99o6=4>{I;b1>hf?=0:54?6m2wej==i:182M?f=2dj;94>90d8yk`7<90;65<6sA3j96`n7582=774}O1h?0bl9;:0;10>{in9>>6=4>{I;b1>hf?=0:5?;4}od303<628qC5l;4n`57>4?5>2wej=:8:182M?f=2dj;94>9358yk`7<10;65<6sA3j96`n7582=7?4}O1h?0bl9;:0;1g>{in9>o6=4>{I;b1>hf?=0:5?j4}od30`<728qC5l;4n`57>4?5m2wej=:i:182M?f=2dj;94>93d8yk`7=90;65<6sA3j96`n7582=674}O1h?0bl9;:0;00>{in9?>6=4>{I;b1>hf?=0:5>;4}od313<728qC5l;4n`57>4?4>2wej=;8:182M?f=2dj;94>9258yk`7=10;65<6sA3j96`n7582=6?4}O1h?0bl9;:0;0g>{in9?o6=4>{I;b1>hf?=0:5>j4}od31`<728qC5l;4n`57>4?4m2wej=;i:182M?f=2dj;94>92d8yk`7>90;65<6sA3j96`n7582=174}O1h?0bl9;:0;70>{in9<>6=4>{I;b1>hf?=0:59;4}od323<728qC5l;4n`57>4?3>2wej=88:182M?f=2dj;94>9558yk`7>10;65<6sA3j96`n7582=1?4}O1h?0bl9;:0;7g>{in9{I;b1>hf?=0:59j4}od32`<728qC5l;4n`57>4?3m2wej=8i:182M?f=2dj;94>95d8yk`7?90;6<6saf152>5<6sA3j96`n7582=074}O1h?0bl9;:0;60>{in9=>6=4>{I;b1>hf?=0:58;4}od333<728qC5l;4n`57>4?2>2wej=98:182M?f=2dj;94>9458yk`7?10;646saf15:>5<6sA3j96`n7582=0?4}O1h?0bl9;:0;6g>{in9=o6=4>{I;b1>hf?=0:58j4}od33`<728qC5l;4n`57>4?2m2wej=9i:182M?f=2dj;94>94d8yk`7090;65<6sA3j96`n7582=374290:wE7n5:lb31<61?90qch?8582>4}O1h?0bl9;:0;50>"f>l08>lk4}od3<0<728qC5l;4n`57>4?1=2wej=69:182M?f=2dj;94>9748yk`70>0;64<6sA3j96`n7582=3>f290:wE7n5:lb31<61?k0qch?8c83>4}O1h?0bl9;:0;5f>{in92h6=4>{I;b1>hf?=0:5;m4}od34?1l2wej=6j:182M?f=2dj;94>97g8yk`70o0;65<6sA3j96`n7582=2680qch?9283>4}O1h?0bl9;:0;47>{in93?6=4>{I;b1>hf?=0:5::4}od3=0<728qC5l;4n`57>4?0=2wej=79:182M?f=2dj;94>9648yk`71>0;65<6sA3j96`n7582=2>k0qch?9c83>4}O1h?0bl9;:0;4f>{in93h6=4>{I;b1>hf?=0:5:m4}od3=a<728qC5l;4n`57>4?0l2wej=7j:182M?f=2dj;94>96g8yk`71o0;65<6sA3j96`n7582==64}O1h?0bl9;:0;;7>{in9k?6=4>{I;b1>hf?=0:55:4}od3e0<728qC5l;4n`57>4??=2wej=o9:082M?f=2dj;94>9948 d0b2:9956saf1c4>5<6sA3j96`n7582==1290:wE7n5:lb31<61130qch?a`83>4}O1h?0bl9;:0;;e>{in9ki6=4>{I;b1>hf?=0:55l4}od3ef<728qC5l;4n`57>4??k2wej=ok:182M?f=2dj;94>99f8yk`7il0;65<6sA3j96`n7582==`4}O1h?0bl9;:0;:6>{in9h86=4>{I;b1>hf?=0:54=4}od3f1<728qC5l;4n`57>4?><2wej=l::182M?f=2dj;94>9878yk`7j?0;65<6sA3j96`n7582=<1290:wE7n5:lb31<61030qch?b`83>4}O1h?0bl9;:0;:e>{in9hi6=4>{I;b1>hf?=0:54l4}od3ff<728qC5l;4n`57>4?>k2wej=lk:182M?f=2dj;94>98f8yk`7jl0;65<6sA3j96`n7582=<`4}O1h?0bl9;:0;b6>{in9i86=4>{I;b1>hf?=0:5l=4}od3g1<728qC5l;4n`57>4?f<2wej=m::182M?f=2dj;94>9`78yk`7k?0:65<6sA3j96`n7582=d1290:wE7n5:lb31<61h30qch?c`83>4}O1h?0bl9;:0;be>{in9ii6=4>{I;b1>hf?=0:5ll4}od3gf<728qC5l;4n`57>4?fk2wej=mk:182M?f=2dj;94>9`f8yk`7kl0;65<6sA3j96`n7582=d`4}O1h?0bl9;:0;a6>{in9n86=4>{I;b1>hf?=0:5o=4}od3`1<728qC5l;4n`57>4?e<2wej=j::182M?f=2dj;94>9c78yk`7l?0;65<6sA3j96`n7582=g1290:wE7n5:lb31<61k30qch?d`83>4}O1h?0bl9;:0;ae>{in9ni6=4>{I;b1>hf?=0:5ol4}od3`f<728qC5l;4n`57>4?ek2wej=jk:182M?f=2dj;94>9cf8yk`7ll0;65<6sA3j96`n7582=g`4}O1h?0bl9;:0;`6>{in9o86=4>{I;b1>hf?=0:5n=4}od3a1<728qC5l;4n`57>4?d<2wej=k::182M?f=2dj;94>9b78yk`7m?0;65<6sA3j96`n7582=f1290:wE7n5:lb31<61j30qch?e`83>4}O1h?0bl9;:0;`e>{in9oi6=4>{I;b1>hf?=0:5nl4}od3af<728qC5l;4n`57>4?dk2wej=kk:182M?f=2dj;94>9bf8yk`7ml0;65<6sA3j96`n7582=f`4}O1h?0bl9;:0;g6>{in9l86=4>{I;b1>hf?=0:5i=4}od3b1<728qC5l;4n`57>4?c<2wej=h::182M?f=2dj;94>9e78yk`7n?0;65<6sA3j96`n7582=a1290:wE7n5:lb31<61m30qch?f`83>4}O1h?0bl9;:0;ge>{in9li6=4>{I;b1>hf?=0:5il4}od3bf<728qC5l;4n`57>4?ck2wej=hk:182M?f=2dj;94>9ef8yk`7nl0;65<6sA3j96`n7582=a`0383>4}O1h?0bl9;:0;f6>{in8:86=4>{I;b1>hf?=0:5h=4}od241<728qC5l;4n`57>4?b<2wej<>::182M?f=2dj;94>9d78yk`68?0;65<6sA3j96`n7582=`1290:wE7n5:lb31<61l30qch>0`83>4}O1h?0bl9;:0;fe>{in8:i6=4>{I;b1>hf?=0:5hl4}od24f<728qC5l;4n`57>4?bk2wej<>k:182M?f=2dj;94>9df8yk`68l0;65<6sA3j96`n7582=``1383>4}O1h?0bl9;:0;e6>{in8;86=4>{I;b1>hf?=0:5k=4}od251<728qC5l;4n`57>4?a<2wej9g78yk`69?0;65<6sA3j96`n7582=c1290:wE7n5:lb31<61o30qch>1`83>4}O1h?0bl9;:0;ee>{in8;i6=4>{I;b1>hf?=0:5kl4}od25f<728qC5l;4n`57>4?ak2wej9gf8yk`69l0;65<6sA3j96`n7582=c`=4?:0yK=d32383>4}O1h?0bl9;:0c36>{in8886=4>{I;b1>hf?=0:m==4}od261<728qC5l;4n`57>4g7<2wej<<::182M?f=2dj;94>a178yk`6:?0;65<6sA3j96`n7582e5154?:0yK=d3290:wE7n5:lb31<6i930qch>2`83>4}O1h?0bl9;:0c3e>{in88i6=4>{I;b1>hf?=0:m=l4}od26f<728qC5l;4n`57>4g7k2wej<a1f8yk`6:l0;65<6sA3j96`n7582e5`0:mb456290:wE7n5:lb31<6i8;0qch>3383>4}O1h?0bl9;:0c26>{in8986=4>{I;b1>hf?=0:m<=4}od271<728qC5l;4n`57>4g6<2wej<=::182M?f=2dj;94>a078yk`6;?0;65<6sA3j96`n7582e418:mb45>290:wE7n5:lb31<6i830qch>3`83>4}O1h?0bl9;:0c2e>{in89i6=4>{I;b1>hf?=0:m4g6k2wej<=k:182M?f=2dj;94>a0f8yk`6;l0;65<6sA3j96`n7582e4`4383>4}O1h?0bl9;:0c16>{in8>86=4>{I;b1>hf?=0:m?=4}od201<728qC5l;4n`57>4g5<2wej<:::182M?f=2dj;94>a378yk`65<6sA3j96`n7582e71280:wE7n5:lb31<6i;30(l8j:242g>{in8>j6=4>{I;b1>hf?=0:m?o4}od20g<628qC5l;4n`57>4g5j2.j:h4<60f8yk`64<6sA3j96`n7582e7b<,h8>e:mb42b290:wE7n5:lb31<6i;o0qch>4g82>4}O1h?0bl9;:0c1b>"f>l08:4g482wej<;>:082M?f=2dj;94>a238 d0b2:<9<6saf071>5<6sA3j96`n7582e644>:0yK=d3;;0qch>5583>4}O1h?0bl9;:0c00>{in8?>6<4>{I;b1>hf?=0:m>;4$`4f>605:2wej<;9:182M?f=2dj;94>a248yk`6=>0:6280:wE7n5:lb31<6i:30(l8j:2410>{in8?j6=4>{I;b1>hf?=0:m>o4}od21g<628qC5l;4n`57>4g4j2.j:h4<6378yk`6=j0;64<6sA3j96`n7582e6b<,h8=6:mb43b290:wE7n5:lb31<6i:o0qch>5g82>4}O1h?0bl9;:0c0b>"f>l08:?94}od225<728qC5l;4n`57>4g382wej<8>:082M?f=2dj;94>a538 d0b2:<:j6saf041>5<6sA3j96`n7582e144?:0yK=d30qch>6483>4}O1h?0bl9;:0c71>{in8<=6=4>{I;b1>hf?=0:m984}od222<728qC5l;4n`57>4g3?2wej<87:182M?f=2dj;94>a5:8yk`6>00;64<6sA3j96`n7582e1g<,h7>7:mb40e290:wE7n5:lb31<6i=h0qch>6b82>4}O1h?0bl9;:0c7g>"f>l085<64}od22a<728qC5l;4n`57>4g3l2wej<8j:082M?f=2dj;94>a5g8 d0b2:3:56saf04e>5<6sA3j96`n7582e1`7383>4}O1h?0bl9;:0c66>{in8=86=4>{I;b1>hf?=0:m8=4}od231<628qC5l;4n`57>4g2<2.j:h4<9038yk`6?<0;696saf055>5<6sA3j96`n7582e00:0yK=d37983>4}O1h?0bl9;:0c6<>{in8=26<4>{I;b1>hf?=0:m874$`4f>6?6l2wej<9n:182M?f=2dj;94>a4c8yk`6?k0:6n6*n6d80=4c{in8=n6=4>{I;b1>hf?=0:m8k4}od23c<628qC5l;4n`57>4g2n2.j:h4<9328yk`6090;64<6sA3j96`n7582e37<,h7=1:mb4>5290:wE7n5:lb31<6i?80qch>8282>4}O1h?0bl9;:0c57>"f>l085?<4}od2<1<728qC5l;4n`57>4g1<2wej<6::082M?f=2dj;94>a778 d0b2:3:m6saf0:5>5<6sA3j96`n7582e30?290:wE7n5:lb31<6i?20qch>8883>4}O1h?0bl9;:0c5=>{in82j6=4>{I;b1>hf?=0:m;o4}od24g1j2wej<6l:082M?f=2dj;94>a7a8 d0b2:39<6saf0:g>5<6sA3j96`n7582e3b:0yK=d38g83>4}O1h?0bl9;:0c5b>{in83;6<4>{I;b1>hf?=0:m:>4$`4f>6?5:2wej<7>:182M?f=2dj;94>a638yk`61;0;66saf0;0>5<6sA3j96`n7582e25?0qch>9782>4}O1h?0bl9;:0c42>"f>l0854g0?2wej<77:182M?f=2dj;94>a6:8yk`6100;65<6sA3j96`n7582e2gi0qch>9e83>4}O1h?0bl9;:0c4`>{in83n6=4>{I;b1>hf?=0:m:k4}od2=c<728qC5l;4n`57>4g0n2weja928yk`6i80;65<6sA3j96`n7582e=44?:0yK=d30qch>a483>4}O1h?0bl9;:0c;1>{in8k=6=4>{I;b1>hf?=0:m584}od2e2<728qC5l;4n`57>4g??2weja9:8yk`6i00;65<6sA3j96`n7582e=gae83>4}O1h?0bl9;:0c;`>{in8kn6=4>{I;b1>hf?=0:m5k4}od2ec<728qC5l;4n`57>4g?n2weja828yk`6j80;65<6sA3j96`n7582e<44?:0yK=d30qch>b483>4}O1h?0bl9;:0c:1>{in8h=6=4>{I;b1>hf?=0:m484}od2f2<728qC5l;4n`57>4g>?2weja8:8yk`6j00;65<6sA3j96`n7582ebe83>4}O1h?0bl9;:0c:`>{in8hn6=4>{I;b1>hf?=0:m4k4}od2fc<728qC5l;4n`57>4g>n2weja`28yk`6k80;65<6sA3j96`n7582ed44?:0yK=d30qch>c483>4}O1h?0bl9;:0cb1>{in8i=6=4>{I;b1>hf?=0:ml84}od2g2<728qC5l;4n`57>4gf?2weja`:8yk`6k00;65<6sA3j96`n7582edgce83>4}O1h?0bl9;:0cb`>{in8in6=4>{I;b1>hf?=0:mlk4}od2gc<728qC5l;4n`57>4gfn2wejac28yk`6l80;65<6sA3j96`n7582eg44?:0yK=d30qch>d483>4}O1h?0bl9;:0ca1>{in8n=6=4>{I;b1>hf?=0:mo84}od2`2<728qC5l;4n`57>4ge?2wejac:8yk`6l00;65<6sA3j96`n7582eggde83>4}O1h?0bl9;:0ca`>{in8nn6=4>{I;b1>hf?=0:mok4}od2`c<728qC5l;4n`57>4gen2wejab28yk`6m80;65<6sA3j96`n7582ef44?:0yK=d30qch>e483>4}O1h?0bl9;:0c`1>{in8o=6=4>{I;b1>hf?=0:mn84}od2a2<728qC5l;4n`57>4gd?2wejab:8yk`6m00;65<6sA3j96`n7582efgee83>4}O1h?0bl9;:0c``>{in8on6=4>{I;b1>hf?=0:mnk4}od2ac<728qC5l;4n`57>4gdn2wejae28yk`6n80;65<6sA3j96`n7582ea44?:0yK=d30qch>f483>4}O1h?0bl9;:0cg1>{in8l=6=4>{I;b1>hf?=0:mi84}od2b2<728qC5l;4n`57>4gc?2wejae:8yk`6n00;65<6sA3j96`n7582eagfe83>4}O1h?0bl9;:0cg`>{in8ln6=4>{I;b1>hf?=0:mik4}od2bc<728qC5l;4n`57>4gcn2wej?>?:182M?f=2dj;94>ad28yk`5880;65<6sA3j96`n7582e`44?:0yK=d30qch=0483>4}O1h?0bl9;:0cf1>{in;:=6=4>{I;b1>hf?=0:mh84}od142<728qC5l;4n`57>4gb?2wej?>7:182M?f=2dj;94>ad:8yk`5800;65<6sA3j96`n7582e`g4}O1h?0bl9;:0cf`>{in;:n6=4>{I;b1>hf?=0:mhk4}od14c<728qC5l;4n`57>4gbn2wej???:182M?f=2dj;94>ag28yk`5980;65<6sA3j96`n7582ec44?:0yK=d30qch=1483>4}O1h?0bl9;:0ce1>{in;;=6=4>{I;b1>hf?=0:mk84}od152<728qC5l;4n`57>4ga?2wej??7:182M?f=2dj;94>ag:8yk`5900;65<6sA3j96`n7582ecg4}O1h?0bl9;:0ce`>{in;;n6=4>{I;b1>hf?=0:mkk4}od15c<728qC5l;4n`57>4gan2wej?b128yk`5:80;65<6sA3j96`n7582f54>4?:0yK=d30qch=2483>4}O1h?0bl9;:0`31>{in;8=6=4>{I;b1>hf?=0:n=84}od162<728qC5l;4n`57>4d7?2wej?<7:182M?f=2dj;94>b1:8yk`5:00;65<6sA3j96`n7582f5go4?:0yK=d34}O1h?0bl9;:0`3`>{in;8n6=4>{I;b1>hf?=0:n=k4}od16c<728qC5l;4n`57>4d7n2wej?=?:182M?f=2dj;94>b028yk`5;80;65<6sA3j96`n7582f444?:0yK=d33:mb753290:wE7n5:lb31<6j8>0qch=3483>4}O1h?0bl9;:0`21>{in;9=6=4>{I;b1>hf?=0:n<84}od172<728qC5l;4n`57>4d6?2wej?=7:182M?f=2dj;94>b0:8yk`5;00;65<6sA3j96`n7582f4gb:mb75d290:wE7n5:lb31<6j8i0qch=3e83>4}O1h?0bl9;:0`2`>{in;9n6=4>{I;b1>hf?=0:n4d6n2wej?:?:182M?f=2dj;94>b328yk`5<80;65<6sA3j96`n7582f744?:0yK=d30qch=4483>4}O1h?0bl9;:0`11>{in;>=6=4>{I;b1>hf?=0:n?84}od102<728qC5l;4n`57>4d5?2wej?:7:182M?f=2dj;94>b3:8yk`5<00;65<6sA3j96`n7582f7g4}O1h?0bl9;:0`1`>{in;>n6=4>{I;b1>hf?=0:n?k4}od10c<728qC5l;4n`57>4d5n2wej?;?:182M?f=2dj;94>b228yk`5=80;65<6sA3j96`n7582f644?:0yK=d30qch=5483>4}O1h?0bl9;:0`01>{in;?=6=4>{I;b1>hf?=0:n>84}od112<728qC5l;4n`57>4d4?2wej?;7:182M?f=2dj;94>b2:8yk`5=00;65<6sA3j96`n7582f6g4}O1h?0bl9;:0`0`>{in;?n6=4>{I;b1>hf?=0:n>k4}od11c<728qC5l;4n`57>4d4n2wej?8?:182M?f=2dj;94>b528yk`5>80;65<6sA3j96`n7582f144?:0yK=d30qch=6483>4}O1h?0bl9;:0`71>{in;<=6=4>{I;b1>hf?=0:n984}od122<728qC5l;4n`57>4d3?2wej?87:182M?f=2dj;94>b5:8yk`5>00;65<6sA3j96`n7582f1g4}O1h?0bl9;:0`7`>{in;{I;b1>hf?=0:n9k4}od12c<728qC5l;4n`57>4d3n2wej?9?:182M?f=2dj;94>b428yk`5?80;6=6saf351>5<6sA3j96`n7582f044?:0yK=d30qch=7483>4}O1h?0bl9;:0`61>{in;==6=4>{I;b1>hf?=0:n884}od132<728qC5l;4n`57>4d2?2wej?97:182M?f=2dj;94>b4:8yk`5?00;656saf35b>5<6sA3j96`n7582f0g4}O1h?0bl9;:0`6`>{in;=n6=4>{I;b1>hf?=0:n8k4}od13c<728qC5l;4n`57>4d2n2wej?6?:182M?f=2dj;94>b728yk`5080;65<6sA3j96`n7582f344?:0yK=d33290:wE7n5:lb31<6j?>0qch=8483>4}O1h?0bl9;:0`51>{in;2=6=4>{I;b1>hf?=0:n;84}od1<2<728qC5l;4n`57>4d1?2wej?67:182M?f=2dj;94>b7:8yk`5000;65<6sA3j96`n7582f3gd290:wE7n5:lb31<6j?i0qch=8e83>4}O1h?0bl9;:0`5`>{in;2n6=4>{I;b1>hf?=0:n;k4}od14d1n2wej?7?:182M?f=2dj;94>b628yk`5180;65<6sA3j96`n7582f244?:0yK=d3>0qch=9483>4}O1h?0bl9;:0`41>{in;3=6=4>{I;b1>hf?=0:n:84}od1=2<728qC5l;4n`57>4d0?2wej?77:182M?f=2dj;94>b6:8yk`5100;65<6sA3j96`n7582f2gi0qch=9e83>4}O1h?0bl9;:0`4`>{in;3n6=4>{I;b1>hf?=0:n:k4}od1=c<728qC5l;4n`57>4d0n2wej?o?:182M?f=2dj;94>b928yk`5i80;65<6sA3j96`n7582f=44?:0yK=d30qch=a483>4}O1h?0bl9;:0`;1>{in;k=6=4>{I;b1>hf?=0:n584}od1e2<728qC5l;4n`57>4d??2wej?o7:182M?f=2dj;94>b9:8yk`5i00;65<6sA3j96`n7582f=g4}O1h?0bl9;:0`;`>{in;kn6=4>{I;b1>hf?=0:n5k4}od1ec<728qC5l;4n`57>4d?n2wej?l?:182M?f=2dj;94>b828yk`5j80;65<6sA3j96`n7582f<44?:0yK=d30qch=b483>4}O1h?0bl9;:0`:1>{in;h=6=4>{I;b1>hf?=0:n484}od1f2<728qC5l;4n`57>4d>?2wej?l7:082M?f=2dj;94>b8:8 d0b2:3:n6saf3`:>5<6sA3j96`n7582f4}O1h?0bl9;:0`:g>{in;ho6=4>{I;b1>hf?=0:n4j4}od1f`<728qC5l;4n`57>4d>m2wej?li:182M?f=2dj;94>b8d8yk`5k90;65<6sA3j96`n7582fd74}O1h?0bl9;:0`b0>{in;i>6=4>{I;b1>hf?=0:nl;4}od1g3<728qC5l;4n`57>4df>2wej?m8:182M?f=2dj;94>b`58yk`5k10;65<6sA3j96`n7582fd?4}O1h?0bl9;:0`bg>{in;io6=4>{I;b1>hf?=0:nlj4}od1g`<728qC5l;4n`57>4dfm2wej?mi:182M?f=2dj;94>b`d8yk`5l90;65<6sA3j96`n7582fg74}O1h?0bl9;:0`a0>{in;n>6=4>{I;b1>hf?=0:no;4}od1`3<728qC5l;4n`57>4de>2wej?j8:182M?f=2dj;94>bc58yk`5l10;65<6sA3j96`n7582fg?4}O1h?0bl9;:0`ag>{in;no6=4>{I;b1>hf?=0:noj4}od1``<728qC5l;4n`57>4dem2wej?ji:182M?f=2dj;94>bcd8yk`5m90;64<6sA3j96`n7582ff7:0yK=d34}O1h?0bl9;:0``0>{in;o>6<4>{I;b1>hf?=0:nn;4}od1a3<628qC5l;4n`57>4dd>2wej?k8:082M?f=2dj;94>bb58yk`5m10:64<6sA3j96`n7582ff?:0yK=d34}O1h?0bl9;:0``g>{in;oo6<4>{I;b1>hf?=0:nnj4}od1a`<728qC5l;4n`57>4ddm2wej?ki:182M?f=2dj;94>bbd8yk`5n90;65<6sA3j96`n7582fa74}O1h?0bl9;:0`g0>{in;l>6=4>{I;b1>hf?=0:ni;4}od1b3<728qC5l;4n`57>4dc>2wej?h8:182M?f=2dj;94>be58yk`5n10;65<6sA3j96`n7582fa?4}O1h?0bl9;:0`gg>{in;lo6=4>{I;b1>hf?=0:nij4}od1b`<728qC5l;4n`57>4dcm2wej?hi:182M?f=2dj;94>bed8yk`4890;65<6sA3j96`n7582f`74}O1h?0bl9;:0`f0>{in::>6=4>{I;b1>hf?=0:nh;4}od043<728qC5l;4n`57>4db>2wej>>8:182M?f=2dj;94>bd58yk`4810;65<6sA3j96`n7582f`?4}O1h?0bl9;:0`fg>{in::o6=4>{I;b1>hf?=0:nhj4}od04`<728qC5l;4n`57>4dbm2wej>>i:182M?f=2dj;94>bdd8yk`4990;65<6sA3j96`n7582fc74}O1h?0bl9;:0`e0>{in:;>6=4>{I;b1>hf?=0:nk;4}od053<728qC5l;4n`57>4da>2wej>?8:182M?f=2dj;94>bg58yk`4910;65<6sA3j96`n7582fc?4}O1h?0bl9;:0`eg>{in:;o6=4>{I;b1>hf?=0:nkj4}od05`<728qC5l;4n`57>4dam2wej>?i:182M?f=2dj;94>bgd8yk`4:90;65<6sA3j96`n7582g57?4?:0yK=d34}O1h?0bl9;:0a30>{in:8>6=4>{I;b1>hf?=0:o=;4}od063<728qC5l;4n`57>4e7>2wej><8:182M?f=2dj;94>c158yk`4:10;65<6sA3j96`n7582g5?l4?:0yK=d34}O1h?0bl9;:0a3g>{in:8o6=4>{I;b1>hf?=0:o=j4}od06`<728qC5l;4n`57>4e7m2wej>c1d8yk`4;90;65<6sA3j96`n7582g472:mb654290:wE7n5:lb31<6k890qch<3583>4}O1h?0bl9;:0a20>{in:9>6=4>{I;b1>hf?=0:o<;4}od073<728qC5l;4n`57>4e6>2wej>=8:182M?f=2dj;94>c058yk`4;10;65<6sA3j96`n7582g4?a:mb65e290:wE7n5:lb31<6k8h0qch<3b83>4}O1h?0bl9;:0a2g>{in:9o6=4>{I;b1>hf?=0:o4e6m2wej>=i:182M?f=2dj;94>c0d8yk`4<90;65<6sA3j96`n7582g774}O1h?0bl9;:0a10>{in:>>6=4>{I;b1>hf?=0:o?;4}od003<728qC5l;4n`57>4e5>2wej>:8:182M?f=2dj;94>c358yk`4<10;65<6sA3j96`n7582g7?{in:>h6=4>{I;b1>hf?=0:o?m4}od00a<728qC5l;4n`57>4e5l2wej>:j:082M?f=2dj;94>c3g8 d0b2:6saf26e>5<6sA3j96`n7582g7`{in:?96=4>{I;b1>hf?=0:o><4}od016<728qC5l;4n`57>4e4;2wej>;;:082M?f=2dj;94>c268 d0b2:5<6sA3j96`n7582g63{in:?36=4>{I;b1>hf?=0:o>64}od01<<728qC5l;4n`57>4e412wej>;n:082M?f=2dj;94>c2c8 d0b2:5<6sA3j96`n7582g6d{in:?n6=4>{I;b1>hf?=0:o>k4}od01c<728qC5l;4n`57>4e4n2wej>8?:082M?f=2dj;94>c528 d0b2:5<6sA3j96`n7582g174}O1h?0bl9;:0a70>{in:<>6=4>{I;b1>hf?=0:o9;4}od023<728qC5l;4n`57>4e3>2wej>88:182M?f=2dj;94>c558yk`4>10;65<6sA3j96`n7582g1?4}O1h?0bl9;:0a7g>{in:{I;b1>hf?=0:o9j4}od02`<728qC5l;4n`57>4e3m2wej>8i:182M?f=2dj;94>c5d8yk`4?90;6<6saf252>5<6sA3j96`n7582g074}O1h?0bl9;:0a60>{in:=>6=4>{I;b1>hf?=0:o8;4}od033<728qC5l;4n`57>4e2>2wej>98:182M?f=2dj;94>c458yk`4?10;646saf25:>5<6sA3j96`n7582g0?4}O1h?0bl9;:0a6g>{in:=o6=4>{I;b1>hf?=0:o8j4}od03`<728qC5l;4n`57>4e2m2wej>9i:182M?f=2dj;94>c4d8yk`4090;65<6sA3j96`n7582g374290:wE7n5:lb31<6k?90qch<8583>4}O1h?0bl9;:0a50>{in:2>6=4>{I;b1>hf?=0:o;;4}od0<3<728qC5l;4n`57>4e1>2wej>68:182M?f=2dj;94>c758yk`4010;65<6sA3j96`n7582g3?e290:wE7n5:lb31<6k?h0qch<8b83>4}O1h?0bl9;:0a5g>{in:2o6=4>{I;b1>hf?=0:o;j4}od0<`<728qC5l;4n`57>4e1m2wej>6i:182M?f=2dj;94>c7d8yk`4190;65<6sA3j96`n7582g2790qch<9582>4}O1h?0bl9;:0a40>"f>l08;8m4}od0=0<728qC5l;4n`57>4e0=2wej>79:182M?f=2dj;94>c648yk`41>0;65<6sA3j96`n7582g2>k0qch<9c83>4}O1h?0bl9;:0a4f>{in:3h6=4>{I;b1>hf?=0:o:m4}od0=a<728qC5l;4n`57>4e0l2wej>7j:182M?f=2dj;94>c6g8yk`41o0;65<6sA3j96`n7582g=64}O1h?0bl9;:0a;7>{in:k?6<4>{I;b1>hf?=0:o5:4$`4f>61?l2wej>o::182M?f=2dj;94>c978yk`4i?0;64<6sA3j96`n7582g=1<,h965:mb6g?290:wE7n5:lb31<6k120qch4}O1h?0bl9;:0a;=>{in:kj6=4>{I;b1>hf?=0:o5o4}od0eg<728qC5l;4n`57>4e?j2wej>ol:182M?f=2dj;94>c9a8yk`4im0;65<6sA3j96`n7582g=c4}O1h?0bl9;:0a:5>{in:h96=4>{I;b1>hf?=0:o4<4}od0f6<728qC5l;4n`57>4e>;2wej>l;:182M?f=2dj;94>c868yk`4j<0;65<6sA3j96`n7582g<04}O1h?0bl9;:0a:=>{in:hj6=4>{I;b1>hf?=0:o4o4}od0fg<728qC5l;4n`57>4e>j2wej>ll:182M?f=2dj;94>c8a8yk`4jm0;65<6sA3j96`n7582g4}O1h?0bl9;:0ab5>{in:i96=4>{I;b1>hf?=0:ol<4}od0g6<728qC5l;4n`57>4ef;2wej>m;:182M?f=2dj;94>c`68yk`4k<0;65<6sA3j96`n7582gd04}O1h?0bl9;:0ab=>{in:ij6=4>{I;b1>hf?=0:olo4}od0gg<728qC5l;4n`57>4efj2wej>ml:182M?f=2dj;94>c`a8yk`4km0;65<6sA3j96`n7582gdc4}O1h?0bl9;:0aa5>{in:n96=4>{I;b1>hf?=0:oo<4}od0`6<728qC5l;4n`57>4ee;2wej>j;:182M?f=2dj;94>cc68yk`4l<0;65<6sA3j96`n7582gg04}O1h?0bl9;:0aa=>{in:nj6=4>{I;b1>hf?=0:ooo4}od0`g<728qC5l;4n`57>4eej2wej>jl:182M?f=2dj;94>cca8yk`4lm0;65<6sA3j96`n7582ggc4}O1h?0bl9;:0a`5>{in:o96=4>{I;b1>hf?=0:on<4}od0a6<728qC5l;4n`57>4ed;2wej>k;:182M?f=2dj;94>cb68yk`4m<0;65<6sA3j96`n7582gf04}O1h?0bl9;:0a`=>{in:oj6=4>{I;b1>hf?=0:ono4}od0ag<728qC5l;4n`57>4edj2wej>kl:182M?f=2dj;94>cba8yk`4mm0;65<6sA3j96`n7582gfc4}O1h?0bl9;:0ag5>{in:l96=4>{I;b1>hf?=0:oi<4}od0b6<728qC5l;4n`57>4ec;2wej>h;:182M?f=2dj;94>ce68yk`4n<0;65<6sA3j96`n7582ga04}O1h?0bl9;:0ag=>{in:lj6=4>{I;b1>hf?=0:oio4}od0bg<728qC5l;4n`57>4ecj2wej>hl:182M?f=2dj;94>cea8yk`4nm0;65<6sA3j96`n7582gac4}O1h?0bl9;:0af5>{in=:96=4>{I;b1>hf?=0:oh<4}od746<728qC5l;4n`57>4eb;2wej9>;:182M?f=2dj;94>cd68yk`38<0;65<6sA3j96`n7582g`04}O1h?0bl9;:0af=>{in=:j6=4>{I;b1>hf?=0:oho4}od74g<728qC5l;4n`57>4ebj2wej9>l:182M?f=2dj;94>cda8yk`38m0;65<6sA3j96`n7582g`c4}O1h?0bl9;:0ae5>{in=;96=4>{I;b1>hf?=0:ok<4}od756<728qC5l;4n`57>4ea;2wej9?;:182M?f=2dj;94>cg68yk`39<0;65<6sA3j96`n7582gc04}O1h?0bl9;:0ae=>{in=;j6=4>{I;b1>hf?=0:oko4}od75g<728qC5l;4n`57>4eaj2wej9?l:182M?f=2dj;94>cga8yk`39m0;65<6sA3j96`n7582gcc4}O1h?0bl9;:0f35>{in=896=4>{I;b1>hf?=0:h=<4}od766<728qC5l;4n`57>4b7;2wej9<;:182M?f=2dj;94>d168yk`3:<0;65<6sA3j96`n7582`50:4?:0yK=d34}O1h?0bl9;:0f3=>{in=8j6=4>{I;b1>hf?=0:h=o4}od76g<728qC5l;4n`57>4b7j2wej9d1a8yk`3:m0;65<6sA3j96`n7582`5ck4?:0yK=d34}O1h?0bl9;:0f25>{in=996=4>{I;b1>hf?=0:h<<4}od776<728qC5l;4n`57>4b6;2wej9=;:182M?f=2dj;94>d068yk`3;<0;65<6sA3j96`n7582`407:mb15?290:wE7n5:lb31<6l820qch;3883>4}O1h?0bl9;:0f2=>{in=9j6=4>{I;b1>hf?=0:h4b6j2wej9=l:182M?f=2dj;94>d0a8yk`3;m0;65<6sA3j96`n7582`4cf:mb127290:wE7n5:lb31<6l;:0qch;4083>4}O1h?0bl9;:0f15>{in=>96=4>{I;b1>hf?=0:h?<4}od706<728qC5l;4n`57>4b5;2wej9:;:182M?f=2dj;94>d368yk`3<<0:65<6sA3j96`n7582`704}O1h?0bl9;:0f1=>"f>l084;;4}od70d<728qC5l;4n`57>4b5i2wej9:m:182M?f=2dj;94>d3`8yk`35<6sA3j96`n7582`7b4}O1h?0bl9;:0f04>{in=?:6=4>{I;b1>hf?=0:h>?4}od717<728qC5l;4n`57>4b4:2wej9;<:182M?f=2dj;94>d218yk`3==0;65<6sA3j96`n7582`634}O1h?0bl9;:0f0<>{in=?26=4>{I;b1>hf?=0:h>74}od71d<728qC5l;4n`57>4b4i2wej9;m:182M?f=2dj;94>d2`8yk`3=j0;65<6sA3j96`n7582`6b4}O1h?0bl9;:0f74>{in=<:6=4>{I;b1>hf?=0:h9?4}od727<728qC5l;4n`57>4b3:2wej98<:182M?f=2dj;94>d518yk`3>=0;65<6sA3j96`n7582`134}O1h?0bl9;:0f7<>{in=<26=4>{I;b1>hf?=0:h974}od72d<728qC5l;4n`57>4b3i2wej98m:182M?f=2dj;94>d5`8yk`3>j0;65<6sA3j96`n7582`1b4}O1h?0bl9;:0f64>{in==:6=4>{I;b1>hf?=0:h8?4}od737<728qC5l;4n`57>4b2:2wej99<:182M?f=2dj;94>d418yk`3?=0;686saf556>5<6sA3j96`n7582`034}O1h?0bl9;:0f6<>{in==26=4>{I;b1>hf?=0:h874}od73d<728qC5l;4n`57>4b2i2wej99m:182M?f=2dj;94>d4`8yk`3?j0;6o6saf55g>5<6sA3j96`n7582`0b4}O1h?0bl9;:0f54>{in=2:6=4>{I;b1>hf?=0:h;?4}od7<7<728qC5l;4n`57>4b1:2wej96<:182M?f=2dj;94>d718yk`30=0;65<6sA3j96`n7582`330290:wE7n5:lb31<6l?=0qch;8983>4}O1h?0bl9;:0f5<>{in=226=4>{I;b1>hf?=0:h;74}od74b1i2wej96m:182M?f=2dj;94>d7`8yk`30j0;65<6sA3j96`n7582`3ba290:wE7n5:lb31<6l?l0qch;9183>4}O1h?0bl9;:0f44>{in=3:6=4>{I;b1>hf?=0:h:?4}od7=7<728qC5l;4n`57>4b0:2wej97<:182M?f=2dj;94>d618yk`31=0;65<6sA3j96`n7582`23=0qch;9983>4}O1h?0bl9;:0f4<>{in=326=4>{I;b1>hf?=0:h:74}od7=d<728qC5l;4n`57>4b0i2wej97m:182M?f=2dj;94>d6`8yk`31j0;65<6sA3j96`n7582`2bl0qch;a183>4}O1h?0bl9;:0f;4>{in=k:6=4>{I;b1>hf?=0:h5?4}od7e7<728qC5l;4n`57>4b?:2wej9o<:182M?f=2dj;94>d918yk`3i=0;65<6sA3j96`n7582`=34}O1h?0bl9;:0f;<>{in=k26=4>{I;b1>hf?=0:h574}od7ed<728qC5l;4n`57>4b?i2wej9om:182M?f=2dj;94>d9`8yk`3ij0;65<6sA3j96`n7582`=b4}O1h?0bl9;:0f:4>{in=h:6=4>{I;b1>hf?=0:h4?4}od7f7<728qC5l;4n`57>4b>:2wej9l<:182M?f=2dj;94>d818yk`3j=0:65<6sA3j96`n7582`<34}O1h?0bl9;:0f:<>{in=h26=4>{I;b1>hf?=0:h474}od7fd<628qC5l;4n`57>4b>i2wej9lm:082M?f=2dj;94>d8`8yk`3jj0:64<6sA3j96`n7582`:0yK=d34}O1h?0bl9;:0fb4>{in=i:6<4>{I;b1>hf?=0:hl?4}od7g7<628qC5l;4n`57>4bf:2wej9m<:082M?f=2dj;94>d`18yk`3k=0:64<6sA3j96`n7582`d34}O1h?0bl9;:0fb<>{in=i26=4>{I;b1>hf?=0:hl74}od7gd<728qC5l;4n`57>4bfi2wej9mm:182M?f=2dj;94>d``8yk`3kj0;65<6sA3j96`n7582`db4}O1h?0bl9;:0fa4>{in=n:6=4>{I;b1>hf?=0:ho?4}od7`7<728qC5l;4n`57>4be:2wej9j<:182M?f=2dj;94>dc18yk`3l=0;65<6sA3j96`n7582`g34}O1h?0bl9;:0fa<>{in=n26=4>{I;b1>hf?=0:ho74}od7`d<728qC5l;4n`57>4bei2wej9jm:182M?f=2dj;94>dc`8yk`3lj0;65<6sA3j96`n7582`gb4}O1h?0bl9;:0f`4>{in=o:6=4>{I;b1>hf?=0:hn?4}od7a7<728qC5l;4n`57>4bd:2wej9k<:182M?f=2dj;94>db18yk`3m=0;65<6sA3j96`n7582`f34}O1h?0bl9;:0f`<>{in=o26=4>{I;b1>hf?=0:hn74}od7ad<728qC5l;4n`57>4bdi2wej9km:182M?f=2dj;94>db`8yk`3mj0;65<6sA3j96`n7582`fb4}O1h?0bl9;:0fg4>{in=l:6=4>{I;b1>hf?=0:hi?4}od7b7<728qC5l;4n`57>4bc:2wej9h<:182M?f=2dj;94>de18yk`3n=0;65<6sA3j96`n7582`a34}O1h?0bl9;:0fg<>{in=l26=4>{I;b1>hf?=0:hi74}od7bd<728qC5l;4n`57>4bci2wej9hm:182M?f=2dj;94>de`8yk`3nj0;65<6sA3j96`n7582`ab4}O1h?0bl9;:0ff4>{in<::6=4>{I;b1>hf?=0:hh?4}od647<728qC5l;4n`57>4bb:2wej8><:182M?f=2dj;94>dd18yk`28=0;65<6sA3j96`n7582``3<;4?:0yK=d34}O1h?0bl9;:0ff<>{in<:26=4>{I;b1>hf?=0:hh74}od64d<728qC5l;4n`57>4bbi2wej8>m:182M?f=2dj;94>dd`8yk`28j0;65<6sA3j96`n7582``b4}O1h?0bl9;:0fe4>{in<;:6<4>{I;b1>hf?=0:hk?4}od657<628qC5l;4n`57>4ba:2wej8?<:182M?f=2dj;94>dg18yk`29=0;65<6sA3j96`n7582`c3=;4?:0yK=d34}O1h?0bl9;:0fe<>{in<;26=4>{I;b1>hf?=0:hk74}od65d<728qC5l;4n`57>4bai2wej8?m:182M?f=2dj;94>dg`8yk`29j0;65<6sA3j96`n7582`cb=h4?:0yK=d34}O1h?0bl9;:0g34>{in<8:6=4>{I;b1>hf?=0:i=?4}od667<728qC5l;4n`57>4c7:2wej8<<:182M?f=2dj;94>e118yk`2:=0;65<6sA3j96`n7582a53>;4?:0yK=d34}O1h?0bl9;:0g3<>{in<826=4>{I;b1>hf?=0:i=74}od66d<728qC5l;4n`57>4c7i2wej8e1`8yk`2:j0;65<6sA3j96`n7582a5b>h4?:0yK=d34}O1h?0bl9;:0g24>{in<9:6=4>{I;b1>hf?=0:i4c6:2wej8=<:182M?f=2dj;94>e018yk`2;=0;65<6sA3j96`n7582a43?;4?:0yK=d36:mb050290:wE7n5:lb31<6m8=0qch:3983>4}O1h?0bl9;:0g2<>{in<926=4>{I;b1>hf?=0:i<74}od67d<728qC5l;4n`57>4c6i2wej8=m:182M?f=2dj;94>e0`8yk`2;j0;65<6sA3j96`n7582a4b?h4?:0yK=d3e:mb05a290:wE7n5:lb31<6m8l0qch:4183>4}O1h?0bl9;:0g14>{in<>:6=4>{I;b1>hf?=0:i??4}od607<728qC5l;4n`57>4c5:2wej8:<:182M?f=2dj;94>e318yk`2<=0;65<6sA3j96`n7582a738;4?:0yK=d34}O1h?0bl9;:0g1<>{in<>26=4>{I;b1>hf?=0:i?74}od60d<728qC5l;4n`57>4c5i2wej8:m:182M?f=2dj;94>e3`8yk`25<6sA3j96`n7582a7b8h4?:0yK=d34}O1h?0bl9;:0g04>{in{I;b1>hf?=0:i>?4}od617<728qC5l;4n`57>4c4:2wej8;<:182M?f=2dj;94>e218yk`2==0;65<6sA3j96`n7582a639;4?:0yK=d34}O1h?0bl9;:0g0<>{in{I;b1>hf?=0:i>74}od61d<728qC5l;4n`57>4c4i2wej8;m:182M?f=2dj;94>e2`8yk`2=j0;65<6sA3j96`n7582a6b9h4?:0yK=d34}O1h?0bl9;:0g74>{in<<:6=4>{I;b1>hf?=0:i9?4}od627<728qC5l;4n`57>4c3:2wej88<:182M?f=2dj;94>e518yk`2>=0;65<6sA3j96`n7582a13:;4?:0yK=d34}O1h?0bl9;:0g7<>{in<<26=4>{I;b1>hf?=0:i974}od62d<728qC5l;4n`57>4c3i2wej88m:182M?f=2dj;94>e5`8yk`2>j0;65<6sA3j96`n7582a1b:h4?:0yK=d34}O1h?0bl9;:0g64>{in<=:6=4>{I;b1>hf?=0:i8?4}od637<728qC5l;4n`57>4c2:2wej89<:182M?f=2dj;94>e418yk`2?=0;686saf456>5<6sA3j96`n7582a03;;4?:0yK=d34}O1h?0bl9;:0g6<>{in<=26=4>{I;b1>hf?=0:i874}od63d<728qC5l;4n`57>4c2i2wej89m:182M?f=2dj;94>e4`8yk`2?j0;6o6saf45g>5<6sA3j96`n7582a0b;h4?:0yK=d34}O1h?0bl9;:0g54>{in<2:6=4>{I;b1>hf?=0:i;?4}od6<7<728qC5l;4n`57>4c1:2wej86<:182M?f=2dj;94>e718yk`20=0;65<6sA3j96`n7582a334;4?:0yK=d30290:wE7n5:lb31<6m?=0qch:8983>4}O1h?0bl9;:0g5<>{in<226=4>{I;b1>hf?=0:i;74}od64c1i2wej86m:182M?f=2dj;94>e7`8yk`20j0;65<6sA3j96`n7582a3b4h4?:0yK=d3a290:wE7n5:lb31<6m?l0qch:9183>4}O1h?0bl9;:0g44>{in<3:6=4>{I;b1>hf?=0:i:?4}od6=7<728qC5l;4n`57>4c0:2wej87<:182M?f=2dj;94>e618yk`21=0;65<6sA3j96`n7582a235;4?:0yK=d3=0qch:9983>4}O1h?0bl9;:0g4<>{in<326=4>{I;b1>hf?=0:i:74}od6=d<728qC5l;4n`57>4c0i2wej87m:182M?f=2dj;94>e6`8yk`21j0;65<6sA3j96`n7582a2b5h4?:0yK=d3l0qch:a183>4}O1h?0bl9;:0g;4>{in{I;b1>hf?=0:i5?4}od6e7<728qC5l;4n`57>4c?:2wej8o<:182M?f=2dj;94>e918yk`2i=0;65<6sA3j96`n7582a=3m;4?:0yK=d34}O1h?0bl9;:0g;<>{in{I;b1>hf?=0:i574}od6ed<728qC5l;4n`57>4c?i2wej8om:182M?f=2dj;94>e9`8yk`2ij0;65<6sA3j96`n7582a=bmh4?:0yK=d34}O1h?0bl9;:0g:4>{in{I;b1>hf?=0:i4?4}od6f7<728qC5l;4n`57>4c>:2wej8l<:182M?f=2dj;94>e818yk`2j=0;65<6sA3j96`n7582a<3n;4?:0yK=d34}O1h?0bl9;:0g:<>{in{I;b1>hf?=0:i474}od6fd<728qC5l;4n`57>4c>i2wej8lm:182M?f=2dj;94>e8`8yk`2jj0;65<6sA3j96`n7582anh4?:0yK=d34}O1h?0bl9;:0gb4>{in{I;b1>hf?=0:il?4}od6g7<728qC5l;4n`57>4cf:2wej8m<:182M?f=2dj;94>e`18yk`2k=0;65<6sA3j96`n7582ad3o;4?:0yK=d34}O1h?0bl9;:0gb<>{in{I;b1>hf?=0:il74}od6gd<728qC5l;4n`57>4cfi2wej8mm:182M?f=2dj;94>e``8yk`2kj0;65<6sA3j96`n7582adboh4?:0yK=d34}O1h?0bl9;:0ga4>{in{I;b1>hf?=0:io?4}od6`7<728qC5l;4n`57>4ce:2wej8j<:182M?f=2dj;94>ec18yk`2l=0;65<6sA3j96`n7582ag3h;4?:0yK=d34}O1h?0bl9;:0ga<>{in{I;b1>hf?=0:io74}od6`d<728qC5l;4n`57>4cei2wej8jm:182M?f=2dj;94>ec`8yk`2lj0;65<6sA3j96`n7582agbhh4?:0yK=d34}O1h?0bl9;:0g`4>{in{I;b1>hf?=0:in?4}od6a7<728qC5l;4n`57>4cd:2wej8k<:182M?f=2dj;94>eb18yk`2m=0;65<6sA3j96`n7582af3i;4?:0yK=d34}O1h?0bl9;:0g`<>{in{I;b1>hf?=0:in74}od6ad<728qC5l;4n`57>4cdi2wej8km:182M?f=2dj;94>eb`8yk`2mj0;65<6sA3j96`n7582afbih4?:0yK=d34}O1h?0bl9;:0gg4>{in{I;b1>hf?=0:ii?4}od6b7<728qC5l;4n`57>4cc:2wej8h<:182M?f=2dj;94>ee18yk`2n=0;65<6sA3j96`n7582aa3j;4?:0yK=d34}O1h?0bl9;:0gg<>{in{I;b1>hf?=0:ii74}od6bd<728qC5l;4n`57>4cci2wej8hm:182M?f=2dj;94>ee`8yk`2nj0;65<6sA3j96`n7582aabjh4?:0yK=d34}O1h?0bl9;:0gf4>{in?::6=4>{I;b1>hf?=0:ih?4}od547<728qC5l;4n`57>4cb:2wej;><:182M?f=2dj;94>ed18yk`18=0;65<6sA3j96`n7582a`34}O1h?0bl9;:0gf<>{in?:26=4>{I;b1>hf?=0:ih74}od54d<728qC5l;4n`57>4cbi2wej;>m:182M?f=2dj;94>ed`8yk`18j0;65<6sA3j96`n7582a`b4}O1h?0bl9;:0ge4>{in?;:6=4>{I;b1>hf?=0:ik?4}od557<728qC5l;4n`57>4ca:2wej;?<:182M?f=2dj;94>eg18yk`19=0;65<6sA3j96`n7582ac34}O1h?0bl9;:0ge<>{in?;26=4>{I;b1>hf?=0:ik74}od55d<728qC5l;4n`57>4cai2wej;?m:182M?f=2dj;94>eg`8yk`19j0;65<6sA3j96`n7582acb4}O1h?0bl9;:0d34>{in?8:6=4>{I;b1>hf?=0:j=?4}od567<728qC5l;4n`57>4`7:2wej;<<:182M?f=2dj;94>f118yk`1:=0;65<6sA3j96`n7582b53;4?:0yK=d34}O1h?0bl9;:0d3<>{in?826=4>{I;b1>hf?=0:j=74}od56d<728qC5l;4n`57>4`7i2wej;f1`8yk`1:j0;65<6sA3j96`n7582b5bh4?:0yK=d34}O1h?0bl9;:0d24>{in?9:6=4>{I;b1>hf?=0:j4`6:2wej;=<:182M?f=2dj;94>f018yk`1;=0;65<6sA3j96`n7582b436:mb350290:wE7n5:lb31<6n8=0qch93983>4}O1h?0bl9;:0d2<>{in?926=4>{I;b1>hf?=0:j<74}od57d<728qC5l;4n`57>4`6i2wej;=m:182M?f=2dj;94>f0`8yk`1;j0;65<6sA3j96`n7582b4be:mb35a290:wE7n5:lb31<6n8l0qch94183>4}O1h?0bl9;:0d14>{in?>:6=4>{I;b1>hf?=0:j??4}od507<728qC5l;4n`57>4`5:2wej;:<:182M?f=2dj;94>f318yk`1<=0;65<6sA3j96`n7582b73:0yK=d34}O1h?0bl9;:0d13>{in?>36=4>{I;b1>hf?=0:j?64}od50<<728qC5l;4n`57>4`512wej;:n:182M?f=2dj;94>f3c8yk`14<6sA3j96`n7582b7e<,hl9a:mb32c290:wE7n5:lb31<6n;n0qch94d82>4}O1h?0bl9;:0d1a>"f>l08n;h4}od50c<728qC5l;4n`57>4`5n2wej;;?:082M?f=2dj;94>f228 d0b2:h<86saf772>4<6sA3j96`n7582b67<,hl88:mb335290:wE7n5:lb31<6n:80qch95282>4}O1h?0bl9;:0d07>"f>l08n:k4}od511<628qC5l;4n`57>4`4<2.j:h45<6sA3j96`n7582b604}O1h?0bl9;:0d0=>{in??j6=4>{I;b1>hf?=0:j>o4}od51g<728qC5l;4n`57>4`4j2wej;;l:182M?f=2dj;94>f2a8yk`1=m0;65<6sA3j96`n7582b6c4}O1h?0bl9;:0d75>{in?<96=4>{I;b1>hf?=0:j9<4}od526<728qC5l;4n`57>4`3;2wej;8;:182M?f=2dj;94>f568yk`1><0;65<6sA3j96`n7582b104}O1h?0bl9;:0d7=>{in?{I;b1>hf?=0:j9o4}od52g<728qC5l;4n`57>4`3j2wej;8l:182M?f=2dj;94>f5a8yk`1>m0;65<6sA3j96`n7582b1c4}O1h?0bl9;:0d65>{in?=96=4>{I;b1>hf?=0:j8<4}od536<728qC5l;4n`57>4`2;2wej;9;:182M?f=2dj;94>f468yk`1?<0;696saf755>5<6sA3j96`n7582b004}O1h?0bl9;:0d6=>{in?=j6=4>{I;b1>hf?=0:j8o4}od53g<728qC5l;4n`57>4`2j2wej;9l:182M?f=2dj;94>f4a8yk`1?m0;6h6saf75f>5<6sA3j96`n7582b0c7290:wE7n5:lb31<6n?:0qch98083>4}O1h?0bl9;:0d55>{in?296=4>{I;b1>hf?=0:j;<4}od5<6<728qC5l;4n`57>4`1;2wej;6;:182M?f=2dj;94>f768yk`10<0;65<6sA3j96`n7582b30?290:wE7n5:lb31<6n?20qch98883>4}O1h?0bl9;:0d5=>{in?2j6=4>{I;b1>hf?=0:j;o4}od54`1j2wej;6l:182M?f=2dj;94>f7a8yk`10m0;65<6sA3j96`n7582b3c:0qch99083>4}O1h?0bl9;:0d45>{in?396=4>{I;b1>hf?=0:j:<4}od5=6<728qC5l;4n`57>4`0;2wej;7;:182M?f=2dj;94>f668yk`11<0;65<6sA3j96`n7582b2020qch99883>4}O1h?0bl9;:0d4=>{in?3j6=4>{I;b1>hf?=0:j:o4}od5=g<728qC5l;4n`57>4`0j2wej;7l:182M?f=2dj;94>f6a8yk`11m0;65<6sA3j96`n7582b2c4}O1h?0bl9;:0d;5>{in?k96=4>{I;b1>hf?=0:j5<4}od5e6<728qC5l;4n`57>4`?;2wej;o;:182M?f=2dj;94>f968yk`1i<0;65<6sA3j96`n7582b=04}O1h?0bl9;:0d;=>{in?kj6=4>{I;b1>hf?=0:j5o4}od5eg<728qC5l;4n`57>4`?j2wej;ol:182M?f=2dj;94>f9a8yk`1im0;65<6sA3j96`n7582b=c4}O1h?0bl9;:0d:5>{in?h96=4>{I;b1>hf?=0:j4<4}od5f6<728qC5l;4n`57>4`>;2wej;l;:182M?f=2dj;94>f868yk`1j<0;65<6sA3j96`n7582b<04}O1h?0bl9;:0d:=>{in?hj6=4>{I;b1>hf?=0:j4o4}od5fg<728qC5l;4n`57>4`>j2wej;ll:182M?f=2dj;94>f8a8yk`1jm0;65<6sA3j96`n7582b4}O1h?0bl9;:0db5>{in?i96<4>{I;b1>hf?=0:jl<4$`4f>6ea=2wej;m<:182M?f=2dj;94>f`18yk`1k=0;65<6sA3j96`n7582bd3:0yK=d34}O1h?0bl9;:0db3>{in?i36=4>{I;b1>hf?=0:jl64}od5g<<728qC5l;4n`57>4`f12wej;mn:182M?f=2dj;94>f`c8yk`1kk0:64}O1h?0bl9;:0dba>{in?im6<4>{I;b1>hf?=0:jlh4$`4f>6b6=2wej;j?:182M?f=2dj;94>fc28yk`1l80;65<6sA3j96`n7582bg44?:0yK=d30(l8j:2f15>{in?n>6=4>{I;b1>hf?=0:jo;4}od5`3<728qC5l;4n`57>4`e>2wej;j8:182M?f=2dj;94>fc58yk`1l10;65<6sA3j96`n7582bg?4}O1h?0bl9;:0dag>"f>l08h>?4}od5`a<628qC5l;4n`57>4`el2.j:h45<6sA3j96`n7582bg`4}O1h?0bl9;:0d`6>{in?o86=4>{I;b1>hf?=0:jn=4}od5a1<728qC5l;4n`57>4`d<2wej;k::182M?f=2dj;94>fb78yk`1m?0;65<6sA3j96`n7582bf1290:wE7n5:lb31<6nj30qch9e`82>4}O1h?0bl9;:0d`e>"f>l08h974}od5ag<728qC5l;4n`57>4`dj2wej;kl:082M?f=2dj;94>fba8 d0b2:n?i6saf7gg>5<6sA3j96`n7582bfb4}O1h?0bl9;:0dg4>"f>l08h8;4}od5b4<728qC5l;4n`57>4`c92wej;h=:182M?f=2dj;94>fe08yk`1n:0;65<6sA3j96`n7582ba2{in?l<6=4>{I;b1>hf?=0:ji94}od5b=<728qC5l;4n`57>4`c02wej;h6:082M?f=2dj;94>fe;8 d0b2:n=:6saf7db>4<6sA3j96`n7582bag<,hj9a:mb3`e290:wE7n5:lb31<6nmh0qch9fb83>4}O1h?0bl9;:0dgg>{in?lo6=4>{I;b1>hf?=0:jij4}od5b`<628qC5l;4n`57>4`cm2.j:h45<6sA3j96`n7582b`64}O1h?0bl9;:0df7>{in>:?6=4>{I;b1>hf?=0:jh:4}od440<728qC5l;4n`57>4`b=2wej:>9:082M?f=2dj;94>fd48 d0b2:n5<6sA3j96`n7582b`1:0yK=d30qch80883>4}O1h?0bl9;:0df=>{in>:j6=4>{I;b1>hf?=0:jho4}od44g<728qC5l;4n`57>4`bj2wej:>l:182M?f=2dj;94>fda8yk`08m0:64}O1h?0bl9;:0de4>{in>;:6=4>{I;b1>hf?=0:jk?4}od457<628qC5l;4n`57>4`a:2.j:h44<6sA3j96`n7582bc2<,hj6b:mb272290:wE7n5:lb31<6no?0qch81783>4}O1h?0bl9;:0de2>{in>;<6=4>{I;b1>hf?=0:jk94}od45=<728qC5l;4n`57>4`a02wej:?6:182M?f=2dj;94>fg;8yk`09h0;65<6sA3j96`n7582bcd4}O1h?0bl9;:0dea>"f>l08hl64}od45c<728qC5l;4n`57>4`an2wej:5<6sA3j96`n7581457?4?:0yK=d3?2:mb244290:wE7n5:lb31<58990qch82583>4}O1h?0bl9;:3230>{in>8>6=4>{I;b1>hf?=09<=;4}od463<728qC5l;4n`57>767>2wej:<8:182M?f=2dj;94=0158yk`0:10;65<6sA3j96`n758145?l4?:0yK=d3?a:mb24e280:wE7n5:lb31<589h0(l8j:2f`5>{in>8h6<4>{I;b1>hf?=09<=m4$`4f>6bd=2wej:5<6sA3j96`n758145`>0:mb256290:wE7n5:lb31<588;0qch83383>4}O1h?0bl9;:3226>{in>986=4>{I;b1>hf?=09<<=4}od471<728qC5l;4n`57>766<2wej:=::182M?f=2dj;94=0078yk`0;?0:6>7:mb25?280:wE7n5:lb31<58820(l8j:2fge>{in>926=4>{I;b1>hf?=09<<74}od47d<728qC5l;4n`57>766i2wej:=m:182M?f=2dj;94=00`8yk`0;j0;65<6sA3j96`n758144b>e:mb25a290:wE7n5:lb31<588l0qch84183>4}O1h?0bl9;:3214>{in>>:6=4>{I;b1>hf?=09765:2.j:h44<6sA3j96`n7581472<,hjjf:mb222290:wE7n5:lb31<58;?0qch84783>4}O1h?0bl9;:3212>{in>><6=4>{I;b1>hf?=0976502wej::6:182M?f=2dj;94=03;8yk`05<6sA3j96`n758147d=c:mb22c290:wE7n5:lb31<58;n0qch84d83>4}O1h?0bl9;:321a>{in>>m6<4>{I;b1>hf?=096c782wej:;?:082M?f=2dj;94=0228 d0b2:o;86saf672>5<6sA3j96`n7581467<2:mb234290:wE7n5:lb31<58:90qch85583>4}O1h?0bl9;:3200>{in>?>6=4>{I;b1>hf?=09<>;4}od413<728qC5l;4n`57>764>2wej:;8:182M?f=2dj;94=0258yk`0=10;65<6sA3j96`n758146?:0yK=d34}O1h?0bl9;:320g>{in>?o6=4>{I;b1>hf?=09<>j4}od41`<628qC5l;4n`57>764m2.j:h4;0:mb206290:wE7n5:lb31<58=;0qch86383>4}O1h?0bl9;:3276>{in><86=4>{I;b1>hf?=09<9=4}od421<728qC5l;4n`57>763<2wej:8::182M?f=2dj;94=0578yk`0>?0;65<6sA3j96`n7581411:0yK=d3;8:&b2`<4m;?0qch86883>4}O1h?0bl9;:327=>{in>{I;b1>hf?=09<9o4$`4f>6c512wej:8m:082M?f=2dj;94=05`8 d0b2:o9o6saf64`>5<6sA3j96`n758141e;d:mb20b290:wE7n5:lb31<58=o0qch86g83>4}O1h?0bl9;:327b>{in>=;6<4>{I;b1>hf?=09<8>4$`4f>6c4;2wej:9>:182M?f=2dj;94=0438yk`0?;0:6>6*n6d80a6d4?:0yK=d3:3:mb213290:wE7n5:lb31<58<>0qch87483>4}O1h?0bl9;:3261>{in>==6=4>{I;b1>hf?=09<884}od432<728qC5l;4n`57>762?2wej:97:182M?f=2dj;94=04:8yk`0?00;656saf65b>5<6sA3j96`n758140g:b:mb21d280:wE7n5:lb31<58{in>=o6=4>{I;b1>hf?=09<8j4}od43`<628qC5l;4n`57>762m2.j:h4j6saf6:3>5<6sA3j96`n758143691:mb2>5290:wE7n5:lb31<58?80qch88283>4}O1h?0bl9;:3257>{in>2?6=4>{I;b1>hf?=09<;:4}od4<0<728qC5l;4n`57>761=2wej:69:182M?f=2dj;94=0748yk`00>0;65<6sA3j96`n758143>:0yK=d399:&b2`<4m?;0qch88`82>4}O1h?0bl9;:325e>"f>l08i;;4}od4761j2wej:6l:182M?f=2dj;94=07a8yk`00m0;65<6sA3j96`n758143c9f:mb2?7290:wE7n5:lb31<58>:0qch89083>4}O1h?0bl9;:3245>{in>396=4>{I;b1>hf?=09<:<4}od4=6<728qC5l;4n`57>760;2wej:7;:082M?f=2dj;94=0668 d0b2:o<>6saf6;6>4<6sA3j96`n7581423<,hk8a:mb2?1290:wE7n5:lb31<58><0qch89683>4}O1h?0bl9;:3243>{in>336=4>{I;b1>hf?=09<:64}od4=<<728qC5l;4n`57>76012wej:7n:182M?f=2dj;94=06c8yk`01k0;65<6sA3j96`n758142e8d:mb2?b290:wE7n5:lb31<58>o0qch89g82>4}O1h?0bl9;:324b>"f>l08i594}od4e5<628qC5l;4n`57>76?82.j:h45<6sA3j96`n75814=44?:0yK=d373:mb2g3290:wE7n5:lb31<581>0qch8a483>4}O1h?0bl9;:32;1>{in>k=6=4>{I;b1>hf?=09<584}od4e2<728qC5l;4n`57>76??2wej:o7:182M?f=2dj;94=09:8yk`0i00;64<6sA3j96`n75814=g<,hk6c:mb2ge280:wE7n5:lb31<581h0(l8j:2gb0>{in>kh6=4>{I;b1>hf?=09<5m4}od4ea<728qC5l;4n`57>76?l2wej:oj:182M?f=2dj;94=09g8yk`0io0;65<6sA3j96`n75814<661:mb2d5290:wE7n5:lb31<58080qch8b283>4}O1h?0bl9;:32:7>{in>h?6=4>{I;b1>hf?=09<4:4}od4f0<728qC5l;4n`57>76>=2wej:l9:082M?f=2dj;94=0848 d0b2:oi56saf6`4>5<6sA3j96`n75814<168:mb2d>290:wE7n5:lb31<58030qch8b`83>4}O1h?0bl9;:32:e>{in>hi6=4>{I;b1>hf?=09<4l4}od4ff<728qC5l;4n`57>76>k2wej:lk:182M?f=2dj;94=08f8yk`0jl0;64<6sA3j96`n75814<`<,hkl5:mb2e7290:wE7n5:lb31<58h:0qch8c083>4}O1h?0bl9;:32b5>{in>i96<4>{I;b1>hf?=096cdm2wej:m<:182M?f=2dj;94=0`18yk`0k=0;65<6sA3j96`n75814d3n6:mb2e0290:wE7n5:lb31<58h=0qch8c983>4}O1h?0bl9;:32b<>{in>i26=4>{I;b1>hf?=0976fi2wej:mm:082M?f=2dj;94=0``8 d0b2:oo56saf6a`>4<6sA3j96`n75814de<,hkj1:mb2ec290:wE7n5:lb31<58hn0qch8cd83>4}O1h?0bl9;:32ba>{in>im6=4>{I;b1>hf?=0976e82wej:j>:182M?f=2dj;94=0c38yk`0l;0;66saf6f0>5<6sA3j96`n75814g5m4:mb2b2290:wE7n5:lb31<58k?0qch8d782>4}O1h?0bl9;:32a2>"f>l08ihk4}od4`2<628qC5l;4n`57>76e?2.j:h45<6sA3j96`n75814g?ma:mb2be290:wE7n5:lb31<58kh0qch8db83>4}O1h?0bl9;:32ag>{in>no6=4>{I;b1>hf?=0976em2wej:ji:182M?f=2dj;94=0cd8yk`0m90;65<6sA3j96`n75814f7l2:mb2c4280:wE7n5:lb31<58j90(l8j:2d3<>{in>o?6=4>{I;b1>hf?=0976d=2wej:k9:182M?f=2dj;94=0b48yk`0m>0;64<6sA3j96`n75814f><,hh>0:mb2c>290:wE7n5:lb31<58j30qch8e`83>4}O1h?0bl9;:32`e>{in>oi6<4>{I;b1>hf?=096`6>2wej:kl:182M?f=2dj;94=0ba8yk`0mm0;65<6sA3j96`n75814fclf:mb2`7290:wE7n5:lb31<58m:0qch8f083>4}O1h?0bl9;:32g5>{in>l96<4>{I;b1>hf?=096`6n2wej:h<:082M?f=2dj;94=0e18 d0b2:l9;6saf6d7>5<6sA3j96`n75814a2k5:mb2`1290:wE7n5:lb31<58m<0qch8f683>4}O1h?0bl9;:32g3>{in>l36=4>{I;b1>hf?=0976c12wej:hn:182M?f=2dj;94=0ec8yk`0nk0;65<6sA3j96`n75814ae:0yK=d3kd:&b2`<4n:=0qch8fd82>4}O1h?0bl9;:32ga>"f>l08j>m4}od4bc<728qC5l;4n`57>76cn2wej5>?:182M?f=2dj;94=0d28yk`?880;65<6sA3j96`n75814`44?:0yK=d3j3:mb=63290:wE7n5:lb31<58l>0qch70483>4}O1h?0bl9;:32f1>{in1:=6=4>{I;b1>hf?=0976b?2wej5>7:182M?f=2dj;94=0d:8yk`?800;65<6sA3j96`n75814`g:0yK=d3jb:&b2`<4n<:0qch70b83>4}O1h?0bl9;:32fg>{in1:o6=4>{I;b1>hf?=0976bm2wej5>i:182M?f=2dj;94=0dd8yk`?990:6i1:mb=75290:wE7n5:lb31<58o80qch71282>4}O1h?0bl9;:32e7>"f>l08j8k4}od;51<728qC5l;4n`57>76a<2wej5?::182M?f=2dj;94=0g78yk`?9?0;65<6sA3j96`n75814c1i8:mb=7>290:wE7n5:lb31<58o30qch71`83>4}O1h?0bl9;:32ee>{in1;i6=4>{I;b1>hf?=0976ak2.j:h45<6sA3j96`n75814ccif:mb=47290:wE7n5:lb31<599:0qch72082>4}O1h?0bl9;:3335>"f>l08j::4}od;67<728qC5l;4n`57>777:2wej5<<:182M?f=2dj;94=1118yk`?:=0:684?:0yK=d34}O1h?0bl9;:3333>{in1836=4>{I;b1>hf?=09==64}od;6<<728qC5l;4n`57>77712wej55<6sA3j96`n758155ei4>:0yK=d34}O1h?0bl9;:333a>{in18m6=4>{I;b1>hf?=09==h4}od;75<728qC5l;4n`57>77682wej5=>:182M?f=2dj;94=1038yk`?;;0:66*n6d80b<64?:0yK=d33:mb=53290:wE7n5:lb31<598>0qch73482>4}O1h?0bl9;:3321>"f>l08j484}od;73<728qC5l;4n`57>776>2wej5=8:182M?f=2dj;94=1058yk`?;10;65<6sA3j96`n758154?a:mb=5e290:wE7n5:lb31<598h0qch73b83>4}O1h?0bl9;:332g>{in19o6=4>{I;b1>hf?=09=776m2wej5=i:082M?f=2dj;94=10d8 d0b2:ljh6saf963>5<6sA3j96`n7581576:0yK=d34}O1h?0bl9;:3316>{in1>86<4>{I;b1>hf?=09=?=4$`4f>6`em2wej5:;:182M?f=2dj;94=1368yk`?<<0;65<6sA3j96`n75815704}O1h?0bl9;:331=>{in1>j6=4>{I;b1>hf?=09=?o4}od;0g<728qC5l;4n`57>775j2wej5:l:182M?f=2dj;94=13a8yk`?:0yK=d34}O1h?0bl9;:331b>"f>l08ji64}od;15<728qC5l;4n`57>77482wej5;>:082M?f=2dj;94=1238 d0b2:loi6saf971>5<6sA3j96`n75815644?:0yK=d30qch75483>4}O1h?0bl9;:3301>{in1?=6=4>{I;b1>hf?=09=>84}od;12<728qC5l;4n`57>774?2wej5;7:182M?f=2dj;94=12:8yk`?=00:6:0yK=d34}O1h?0bl9;:330f>{in1?h6=4>{I;b1>hf?=09=>m4}od;1a<728qC5l;4n`57>774l2wej5;j:182M?f=2dj;94=12g8yk`?=o0;65<6sA3j96`n75815164}O1h?0bl9;:3377>{in1{I;b1>hf?=09=9:4}od;20<728qC5l;4n`57>773=2wej589:082M?f=2dj;94=1548 d0b2=:;n6saf944>4<6sA3j96`n7581511<,h>0:mb=0?290:wE7n5:lb31<59=20qch76883>4}O1h?0bl9;:337=>{in1{I;b1>hf?=09=9o4}od;2g<728qC5l;4n`57>773j2wej58l:182M?f=2dj;94=15a8yk`?>m0;65<6sA3j96`n758151c4}O1h?0bl9;:3365>{in1=96=4>{I;b1>hf?=09=8<4}od;36<728qC5l;4n`57>772;2wej59;:182M?f=2dj;94=1468yk`??<0;696saf955>5<6sA3j96`n75815004}O1h?0bl9;:336=>{in1=j6=4>{I;b1>hf?=09=8o4}od;3g<728qC5l;4n`57>772j2wej59l:182M?f=2dj;94=14a8yk`??m0;6h6saf95f>5<6sA3j96`n758150c7290:wE7n5:lb31<59?:0qch78083>4}O1h?0bl9;:3355>{in1296=4>{I;b1>hf?=09=;<4}od;<6<728qC5l;4n`57>771;2wej56;:182M?f=2dj;94=1768yk`?0<0;65<6sA3j96`n7581530?290:wE7n5:lb31<59?20qch78883>4}O1h?0bl9;:335=>{in12j6=4>{I;b1>hf?=09=;o4}od;771j2wej56l:182M?f=2dj;94=17a8yk`?0m0;65<6sA3j96`n758153c:0qch79083>4}O1h?0bl9;:3345>{in1396=4>{I;b1>hf?=09=:<4}od;=6<728qC5l;4n`57>770;2wej57;:182M?f=2dj;94=1668yk`?1<0;65<6sA3j96`n758152020qch79883>4}O1h?0bl9;:334=>{in13j6=4>{I;b1>hf?=09=:o4}od;=g<728qC5l;4n`57>770j2wej57l:182M?f=2dj;94=16a8yk`?1m0;65<6sA3j96`n758152c4}O1h?0bl9;:33;5>{in1k96=4>{I;b1>hf?=09=5<4}od;e6<728qC5l;4n`57>77?;2wej5o;:182M?f=2dj;94=1968yk`?i<0;65<6sA3j96`n75815=04}O1h?0bl9;:33;=>{in1kj6=4>{I;b1>hf?=09=5o4}od;eg<728qC5l;4n`57>77?j2wej5ol:182M?f=2dj;94=19a8yk`?im0;65<6sA3j96`n75815=c4}O1h?0bl9;:33:5>{in1h96=4>{I;b1>hf?=09=4<4}od;f6<728qC5l;4n`57>77>;2wej5l;:182M?f=2dj;94=1868yk`?j<0;65<6sA3j96`n75815<04}O1h?0bl9;:33:=>{in1hj6<4>{I;b1>hf?=09=4o4}od;fg<628qC5l;4n`57>77>j2wej5ll:082M?f=2dj;94=18a8yk`?jm0:64<6sA3j96`n75815:0yK=d34}O1h?0bl9;:33b5>{in1i96<4>{I;b1>hf?=09=l<4}od;g6<628qC5l;4n`57>77f;2wej5m;:082M?f=2dj;94=1`68yk`?k<0;64<6sA3j96`n75815d0:0yK=d34}O1h?0bl9;:33b=>{in1ij6<4>{I;b1>hf?=09=lo4}od;gg<628qC5l;4n`57>77fj2wej5ml:082M?f=2dj;94=1`a8yk`?km0;64<6sA3j96`n75815dc:0yK=d34}O1h?0bl9;:33a5>{in1n96=4>{I;b1>hf?=09=o<4}od;`6<728qC5l;4n`57>77e;2wej5j;:182M?f=2dj;94=1c68yk`?l<0;64<6sA3j96`n75815g0:0yK=d34}O1h?0bl9;:33a=>{in1nj6=4>{I;b1>hf?=09=oo4}od;`g<728qC5l;4n`57>77ej2wej5jl:182M?f=2dj;94=1ca8yk`?lm0:64}O1h?0bl9;:33`4>{in1o:6=4>{I;b1>hf?=09=n?4}od;a7<728qC5l;4n`57>77d:2wej5k<:182M?f=2dj;94=1b18yk`?m=0;65<6sA3j96`n75815f34}O1h?0bl9;:33`<>{in1o26=4>{I;b1>hf?=09=n74}od;ad<628qC5l;4n`57>77di2wej5km:182M?f=2dj;94=1b`8yk`?mj0:65<6sA3j96`n75815fb4}O1h?0bl9;:33g4>{in1l:6=4>{I;b1>hf?=09=i?4}od;b7<728qC5l;4n`57>77c:2wej5h<:182M?f=2dj;94=1e18yk`?n=0;65<6sA3j96`n75815a34}O1h?0bl9;:33g<>{in1l26=4>{I;b1>hf?=09=i74}od;bd<728qC5l;4n`57>77ci2wej5hm:182M?f=2dj;94=1e`8yk`?nj0;65<6sA3j96`n75815ab4}O1h?0bl9;:33f4>{in0::6=4>{I;b1>hf?=09=h?4}od:47<728qC5l;4n`57>77b:2wej4><:182M?f=2dj;94=1d18yk`>8=0;65<6sA3j96`n75815`34}O1h?0bl9;:33f<>{in0:26=4>{I;b1>hf?=09=h74}od:4d<728qC5l;4n`57>77bi2wej4>m:182M?f=2dj;94=1d`8yk`>8j0;65<6sA3j96`n75815`b4}O1h?0bl9;:33e4>{in0;:6=4>{I;b1>hf?=09=k?4}od:57<728qC5l;4n`57>77a:2wej4?<:182M?f=2dj;94=1g18yk`>9=0;65<6sA3j96`n75815c34}O1h?0bl9;:33e<>{in0;26=4>{I;b1>hf?=09=k74}od:5d<728qC5l;4n`57>77ai2wej4?m:182M?f=2dj;94=1g`8yk`>9j0;65<6sA3j96`n75815cb4}O1h?0bl9;:3034>{in08:6=4>{I;b1>hf?=09>=?4}od:67<728qC5l;4n`57>747:2wej4<<:182M?f=2dj;94=2118yk`>:=0;65<6sA3j96`n7581653;4?:0yK=d34}O1h?0bl9;:303<>{in0826=4>{I;b1>hf?=09>=74}od:6d<728qC5l;4n`57>747i2wej4:j0;65<6sA3j96`n758165bh4?:0yK=d34}O1h?0bl9;:3024>{in09:6=4>{I;b1>hf?=09>746:2wej4=<:182M?f=2dj;94=2018yk`>;=0;65<6sA3j96`n75816436:mb<50290:wE7n5:lb31<5:8=0qch63983>4}O1h?0bl9;:302<>{in0926=4>{I;b1>hf?=09><74}od:7d<728qC5l;4n`57>746i2wej4=m:182M?f=2dj;94=20`8yk`>;j0;65<6sA3j96`n758164be:mb<5a290:wE7n5:lb31<5:8l0qch64183>4}O1h?0bl9;:3014>{in0>:6=4>{I;b1>hf?=09>??4}od:07<728qC5l;4n`57>745:2wej4:<:182M?f=2dj;94=2318yk`><=0;65<6sA3j96`n75816734}O1h?0bl9;:301<>{in0>26=4>{I;b1>hf?=09>?74}od:0d<728qC5l;4n`57>745i2wej4:m:182M?f=2dj;94=23`8yk`>5<6sA3j96`n758167b4}O1h?0bl9;:3004>{in0?:6=4>{I;b1>hf?=09>>?4}od:17<728qC5l;4n`57>744:2wej4;<:182M?f=2dj;94=2218yk`>==0;65<6sA3j96`n75816634}O1h?0bl9;:300<>{in0?26=4>{I;b1>hf?=09>>74}od:1d<728qC5l;4n`57>744i2wej4;m:182M?f=2dj;94=22`8yk`>=j0;65<6sA3j96`n758166b4}O1h?0bl9;:3074>{in0<:6=4>{I;b1>hf?=09>9?4}od:27<728qC5l;4n`57>743:2wej48<:182M?f=2dj;94=2518yk`>>=0;65<6sA3j96`n75816134}O1h?0bl9;:307<>{in0<26=4>{I;b1>hf?=09>974}od:2d<728qC5l;4n`57>743i2wej48m:182M?f=2dj;94=25`8yk`>>j0;65<6sA3j96`n758161b4}O1h?0bl9;:3064>{in0=:6=4>{I;b1>hf?=09>8?4}od:37<728qC5l;4n`57>742:2wej49<:182M?f=2dj;94=2418yk`>?=0;686saf856>5<6sA3j96`n75816034}O1h?0bl9;:306<>{in0=26<4>{I;b1>hf?=09>874}od:3d<628qC5l;4n`57>742i2wej49m:182M?f=2dj;94=24`8yk`>?j0:6o6saf85g>5<6sA3j96`n758160b4}O1h?0bl9;:3054>{in02:6=4>{I;b1>hf?=09>;?4}od:<7<728qC5l;4n`57>741:2wej46<:182M?f=2dj;94=2718yk`>0=0;65<6sA3j96`n75816330290:wE7n5:lb31<5:?=0qch68983>4}O1h?0bl9;:305<>{in0226=4>{I;b1>hf?=09>;74}od:741i2wej46m:182M?f=2dj;94=27`8yk`>0j0;65<6sA3j96`n758163ba290:wE7n5:lb31<5:?l0qch69183>4}O1h?0bl9;:3044>{in03:6=4>{I;b1>hf?=09>:?4}od:=7<728qC5l;4n`57>740:2wej47<:182M?f=2dj;94=2618yk`>1=0;65<6sA3j96`n7581623=0qch69983>4}O1h?0bl9;:304<>{in0326=4>{I;b1>hf?=09>:74}od:=d<728qC5l;4n`57>740i2wej47m:182M?f=2dj;94=26`8yk`>1j0;65<6sA3j96`n758162bl0qch6a183>4}O1h?0bl9;:30;4>{in0k:6=4>{I;b1>hf?=09>5?4}od:e7<728qC5l;4n`57>74?:2wej4o<:182M?f=2dj;94=2918yk`>i=0;65<6sA3j96`n75816=34}O1h?0bl9;:30;<>{in0k26=4>{I;b1>hf?=09>574}od:ed<728qC5l;4n`57>74?i2wej4om:182M?f=2dj;94=29`8yk`>ij0;65<6sA3j96`n75816=b{in0h;6=4>{I;b1>hf?=09>4>4}od:f4<728qC5l;4n`57>74>92wej4l=:182M?f=2dj;94=2808yk`>j:0;65<6sA3j96`n75816<24}O1h?0bl9;:30:3>{in0h36=4>{I;b1>hf?=09>464}od:f<<728qC5l;4n`57>74>12wej4ln:182M?f=2dj;94=28c8yk`>jk0;65<6sA3j96`n758164}O1h?0bl9;:30:b>{in0i;6=4>{I;b1>hf?=09>l>4}od:g4<728qC5l;4n`57>74f92wej4m=:182M?f=2dj;94=2`08yk`>k:0;65<6sA3j96`n75816d24}O1h?0bl9;:30b3>{in0i36=4>{I;b1>hf?=09>l64}od:g<<728qC5l;4n`57>74f12wej4mn:182M?f=2dj;94=2`c8yk`>kk0;65<6sA3j96`n75816de4}O1h?0bl9;:30bb>{in0n;6=4>{I;b1>hf?=09>o>4}od:`4<728qC5l;4n`57>74e92wej4j=:182M?f=2dj;94=2c08yk`>l:0;65<6sA3j96`n75816g24}O1h?0bl9;:30a3>{in0n36=4>{I;b1>hf?=09>o64}od:`<<728qC5l;4n`57>74e12wej4jn:182M?f=2dj;94=2cc8yk`>lk0;65<6sA3j96`n75816ge4}O1h?0bl9;:30ab>{in0o;6=4>{I;b1>hf?=09>n>4}od:a4<728qC5l;4n`57>74d92wej4k=:182M?f=2dj;94=2b08yk`>m:0;65<6sA3j96`n75816f24}O1h?0bl9;:30`3>{in0o36=4>{I;b1>hf?=09>n64}od:a<<728qC5l;4n`57>74d12wej4kn:182M?f=2dj;94=2bc8yk`>mk0;65<6sA3j96`n75816fe4}O1h?0bl9;:30`b>{in0l;6=4>{I;b1>hf?=09>i>4}od:b4<728qC5l;4n`57>74c92wej4h=:182M?f=2dj;94=2e08yk`>n:0;65<6sA3j96`n75816a24}O1h?0bl9;:30g3>{in0l36=4>{I;b1>hf?=09>i64}od:b<<728qC5l;4n`57>74c12wej4hn:182M?f=2dj;94=2ec8yk`>nk0;65<6sA3j96`n75816ae4}O1h?0bl9;:30gb>{inh:;6=4>{I;b1>hf?=09>h>4}odb44<728qC5l;4n`57>74b92wejl>=:182M?f=2dj;94=2d08yk`f8:0;64<6sA3j96`n75816`24}O1h?0bl9;:30f3>{inh:36=4>{I;b1>hf?=09>h64}odb4<<728qC5l;4n`57>74b12wejl>n:182M?f=2dj;94=2dc8yk`f8k0;65<6sA3j96`n75816`e4}O1h?0bl9;:30fb>{inh;;6=4>{I;b1>hf?=09>k>4}odb54<728qC5l;4n`57>74a92wejl?=:182M?f=2dj;94=2g08yk`f9:0;65<6sA3j96`n75816c24}O1h?0bl9;:30e3>{inh;36=4>{I;b1>hf?=09>k64}odb5<<728qC5l;4n`57>74a12wejl?n:182M?f=2dj;94=2gc8yk`f9k0;65<6sA3j96`n75816ce4}O1h?0bl9;:30eb>{inh8;6=4>{I;b1>hf?=09?=>4}odb64<728qC5l;4n`57>75792wejl<=:182M?f=2dj;94=3108yk`f::0;65<6sA3j96`n758175284?:0yK=d34}O1h?0bl9;:3133>{inh836=4>{I;b1>hf?=09?=64}odb6<<728qC5l;4n`57>75712wejl5<6sA3j96`n758175ei4?:0yK=d34}O1h?0bl9;:313b>{inh9;6=4>{I;b1>hf?=09?<>4}odb74<728qC5l;4n`57>75692wejl==:182M?f=2dj;94=3008yk`f;:0;65<6sA3j96`n75817425:mbd51290:wE7n5:lb31<5;8<0qchn3683>4}O1h?0bl9;:3123>{inh936=4>{I;b1>hf?=09?<64}odb7<<728qC5l;4n`57>75612wejl=n:182M?f=2dj;94=30c8yk`f;k0;65<6sA3j96`n758174ed:mbd5b290:wE7n5:lb31<5;8o0qchn3g83>4}O1h?0bl9;:312b>{inh>;6=4>{I;b1>hf?=09??>4}odb04<728qC5l;4n`57>75592wejl:=:182M?f=2dj;94=3308yk`f<:0;65<6sA3j96`n75817724}O1h?0bl9;:3113>{inh>36=4>{I;b1>hf?=09??64}odb0<<728qC5l;4n`57>75512wejl:n:182M?f=2dj;94=33c8yk`f5<6sA3j96`n758177e4}O1h?0bl9;:311b>{inh?;6=4>{I;b1>hf?=09?>>4}odb14<728qC5l;4n`57>75492wejl;=:182M?f=2dj;94=3208yk`f=:0;65<6sA3j96`n75817624}O1h?0bl9;:3103>{inh?36=4>{I;b1>hf?=09?>64}odb1<<728qC5l;4n`57>75412wejl;n:182M?f=2dj;94=32c8yk`f=k0;65<6sA3j96`n758176e4}O1h?0bl9;:310b>{inh<;6=4>{I;b1>hf?=09?9>4}odb24<728qC5l;4n`57>75392wejl8=:182M?f=2dj;94=3508yk`f>:0;65<6sA3j96`n75817124}O1h?0bl9;:3173>{inh<36=4>{I;b1>hf?=09?964}odb2<<728qC5l;4n`57>75312wejl8n:182M?f=2dj;94=35c8yk`f>k0;65<6sA3j96`n758171e4}O1h?0bl9;:317b>{inh=;6=4>{I;b1>hf?=09?8>4}odb34<728qC5l;4n`57>75292wejl9=:182M?f=2dj;94=3408yk`f?:0;6?6saf`57>5<6sA3j96`n75817024}O1h?0bl9;:3163>{inh=36=4>{I;b1>hf?=09?864}odb3<<728qC5l;4n`57>75212wejl9n:182M?f=2dj;94=34c8yk`f?k0;6n6saf`5`>5<6sA3j96`n758170e4}O1h?0bl9;:316b>{inh2;6=4>{I;b1>hf?=09?;>4}odb<4<728qC5l;4n`57>75192wejl6=:182M?f=2dj;94=3708yk`f0:0;65<6sA3j96`n75817321290:wE7n5:lb31<5;?<0qchn8683>4}O1h?0bl9;:3153>{inh236=4>{I;b1>hf?=09?;64}odb<<<728qC5l;4n`57>75112wejl6n:182M?f=2dj;94=37c8yk`f0k0;65<6sA3j96`n758173eb290:wE7n5:lb31<5;?o0qchn8g83>4}O1h?0bl9;:315b>{inh3;6=4>{I;b1>hf?=09?:>4}odb=4<728qC5l;4n`57>75092wejl7=:182M?f=2dj;94=3608yk`f1:0;65<6sA3j96`n7581722<0qchn9683>4}O1h?0bl9;:3143>{inh336=4>{I;b1>hf?=09?:64}odb=<<728qC5l;4n`57>75012wejl7n:182M?f=2dj;94=36c8yk`f1k0;65<6sA3j96`n758172eo0qchn9g83>4}O1h?0bl9;:314b>{inhk;6=4>{I;b1>hf?=09?5>4}odbe4<728qC5l;4n`57>75?92wejlo=:182M?f=2dj;94=3908yk`fi:0;65<6sA3j96`n75817=24}O1h?0bl9;:31;3>{inhk36=4>{I;b1>hf?=09?564}odbe<<728qC5l;4n`57>75?12wejlon:182M?f=2dj;94=39c8yk`fik0;65<6sA3j96`n75817=e4}O1h?0bl9;:31;b>{inhh;6=4>{I;b1>hf?=09?4>4}odbf4<728qC5l;4n`57>75>92wejll=:182M?f=2dj;94=3808yk`fj:0;65<6sA3j96`n75817<24}O1h?0bl9;:31:3>{inhh36=4>{I;b1>hf?=09?464}odbf<<728qC5l;4n`57>75>12wejlln:182M?f=2dj;94=38c8yk`fjk0:64<6sgk<87<<9e9~jcgem3;1=v`n75817:0yme22=::3m7p`iab295?7|fh=?6?=n0:mbde6280:wco84;00e4=zfokh>7?51zlb31<5;h80qchnc282>4}ii>>1>>o<;|leef2=93;pbl9;:31b0>{inhi>6<4>{oc40?44i<1vbkol6;395~hf?=09?l84}odbg2<628qem::522c4?xhaij21=7?tn`57>75f02wejlm6:082kg0<388m45rngc`e?7=9rdj;94=3`c8yk`fkk0:64<6sgk<87<:0yme22=::km7p`iae295?7|fh=?6?=m0:mbdb6280:wco84;00f4=zfoko>7?51zlb31<5;k80qchnd282>4}ii>>1>>l<;|leea2=93;pbl9;:31a0>{inhn>6<4>{oc40?44j<1vbkok6;395~hf?=09?o84}odb`2<628qem::522`4?xhaim21=7?tn`57>75e02wejlj6:082kg0<388n45rngcge?7=9rdj;94=3cc8yk`flk0:64<6sgk<87<:0yme22=::hm7p`iad295?7|fh=?6?=l0:mbdc6280:wco84;00g4=zfokn>7?51zlb31<5;j80qchne282>4}ii>>1>>m<;|lee`2=93;pbl9;:31`0>{inho>6<4>{oc40?44k<1vbkoj6;395~hf?=09?n84}odba2<628qem::522a4?xhail21=7?tn`57>75d02wejlk6:082kg0<388o45rngcfe?7=9rdj;94=3bc8yk`fmk0:64<6sgk<87<:0yme22=::im7p`iag295?7|fh=?6?=k0:mbd`6280:wco84;00`4=zfokm>7?51zlb31<5;m80qchnf282>4}ii>>1>>j<;|leec2=93;pbl9;:31g0>{inhl>6<4>{oc40?44l<1vbkoi6;395~hf?=09?i84}odbb2<628qem::522f4?xhaio21=7?tn`57>75c02wejlh6:082kg0<388h45rngcee?7=9rdj;94=3ec8yk`fnk0:64<6sgk<87<:0yme22=::nm7p`ib1295?7|fh=?6?=j0:mbg66280:wco84;00a4=zfoh;>7?51zlb31<5;l80qchm0282>4}ii>>1>>k<;|lef52=93;pbl9;:31f0>{ink:>6<4>{oc40?44m<1vbkl?6;395~hf?=09?h84}oda42<628qem::522g4?xhaj921=7?tn`57>75b02wejo>6:082kg0<388i45rng`3e?7=9rdj;94=3dc8yk`e8k0:64<6sgk<87<:0yme22=::om7p`ib0295?7|fh=?6?=i0:mbg76280:wco84;00b4=zfoh:>7?51zlb31<5;o80qchm1282>4}ii>>1>>h<;|lef42=93;pbl9;:31e0>{ink;>6<4>{oc40?44n<1vbkl>6;395~hf?=09?k84}oda52<628qem::522d4?xhaj821=7?tn`57>75a02wejo?6:082kg0<388j45rng`2e?7=9rdj;94=3gc8yk`e9k0:64<6sgk<87<:0yme22=::lm7p`ib3295?7|fh=?6?:?0:mbg46280:wco84;0744=zfoh9>7?51zlb31<5<980qchm2282>4}ii>>1>9><;|lef72=93;pbl9;:3630>{ink8>6<4>{oc40?438<1vbkl=6;395~hf?=098=84}oda62<628qem::52524?xhaj;21=7?tn`57>72702wejo<6:082kg0<38?<45rng`1e?7=9rdj;94=41c8yk`e:k0:6;o6safc0g>4<6sgk<87<;0e9~jcd5m3;1=v`n758105ck4>:0yme22=:=:m7p`ib2295?7|fh=?6?:>0:mbg56280:wco84;0754=zfoh8>7?51zlb31<5<880qchm3282>4}ii>>1>9?<;|lef62=93;pbl9;:3620>{ink9>6<4>{oc40?439<1vbkl<6;395~hf?=098<84}oda72<628qem::52534?xhaj:21=7?tn`57>72602wejo=6:082kg0<38?=45rng`0e?7=9rdj;94=40c8yk`e;k0:6m51;3xjd132;>:o6safc1g>4<6sgk<87<;1e9~jcd4m3;1=v`n758104c:0yme22=:=;m7p`ib5295?7|fh=?6?:=0:mbg26280:wco84;0764=zfoh?>7?51zlb31<5<;80qchm4282>4}ii>>1>9<<;|lef12=93;pbl9;:3610>{ink>>6<4>{oc40?43:<1vbkl;6;395~hf?=098?84}oda02<628qem::52504?xhaj=21=7?tn`57>72502wejo:6:082kg0<38?>45rng`7e?7=9rdj;94=43c8yk`e9o6safc6g>4<6sgk<87<;2e9~jcd3m3;1=v`n758107c:0yme22=:=8m7p`ib4295?7|fh=?6?:<0:mbg36280:wco84;0774=zfoh>>7?51zlb31<5<:80qchm5282>4}ii>>1>9=<;|lef02=93;pbl9;:3600>{ink?>6<4>{oc40?43;<1vbkl:6;395~hf?=098>84}oda12<628qem::52514?xhaj<21=7?tn`57>72402wejo;6:082kg0<38??45rng`6e?7=9rdj;94=42c8yk`e=k0:68o6safc7g>4<6sgk<87<;3e9~jcd2m3;1=v`n758106c:0yme22=:=9m7p`ib7295?7|fh=?6?:;0:mbg06280:wco84;0704=zfoh=>7?51zlb31<5<=80qchm6282>4}ii>>1>9:<;|lef32=93;pbl9;:3670>{ink<>6<4>{oc40?43<<1vbkl96;395~hf?=098984}oda22<628qem::52564?xhaj?21=7?tn`57>72302wejo86:082kg0<38?845rng`5e?7=9rdj;94=45c8yk`e>k0:6?o6safc4g>4<6sgk<87<;4e9~jcd1m3;1=v`n758101c:0yme22=:=>m7p`ib6295?7|fh=?6?::0:mbg16280:wco84;0714=zfoh<>7?51zlb31<5<<80qchm7282>4}ii>>1>9;<;|lef22=93;pbl9;:3660>{ink=>6<4>{oc40?43=<1vbkl86;395~hf?=098884}oda32<628qem::52574?xhaj>21=7?tn`57>72202wejo96:082kg0<38?945rng`4e?7=9rdj;94=44c8yk`e?k0:6>o6safc5g>4<6sgk<87<;5e9~jcd0m3;1=v`n758100c:0yme22=:=?m7p`ib9295?7|fh=?6?:90:mbg>6280:wco84;0724=zfoh3>7?51zlb31<54}ii>>1>98<;|lef=2=93;pbl9;:3650>{ink2>6<4>{oc40?43><1vb;m;c;295~hf?=098;84}o4`3a<728qem::52544?xh1kho1<7?tn`57>72102we:nji:182kg0<38?:45rn7f24?6=9rdj;94=47c8yk0c<80;6=o6sa6ec0>5<6sgk<87<;6e9~jf13<3:1=v`n758103c6<5rnb553?6=9rdj;94=47d8j4n8;6>4=zfj=jh7>51zlb31<5<>;0b47::09~jf1c83:1=v`n75810246<5rnb5e7?6=9rdj;94=4618j6;295~hf?=098::4n8;6>4=zfj2857>51zlb31<5<>?0b47::09~jf>2k3:1=v`n75810206<5rnb:4b?6=9rdj;94=4658j4=zfj2h97>51zlb31<5<>30b47::09~jf>b03:1=v`n758102g6<5rnb;3f?6=9rdj;94=46`8j4=zfj3>=7>51zlb31<5<>n0b47::09~jf?0<3:1=v`n758102c6<5rnb;:3?6=9rdj;94=46d8j4n8;6>4=zfj3oh7>51zlb31<5<1;0b47::09~jfg783:1=v`n75810=46<5rnbc17?6=9rdj;94=4918j4=zfjk=57>51zlb31<5<1?0b47::09~jfg?k3:1=v`n75810=06<5rnbcbb?6=9rdj;94=4958j4=zfjkm97>51zlb31<5<130b47::09~jfd603:1=v`n75810=g6<5rnb`0f?6=9rdj;94=49`8j4=zfjh3=7>51zlb31<5<1n0b47::09~jfdf<3:1=v`n75810=c6<5rnb``3?6=9rdj;94=49d8j4n8;6>4=zfji;h7>51zlb31<5<0;0b47::09~jfe483:1=v`n75810<46<5rnba67?6=9rdj;94=4818j4=zfji257>51zlb31<5<0?0b47::09~ysR4n90;6<4>:3062~U5;o0:>5?52;30752>j3lh;55+9`:9=56<,1lo6484H2d;?M20j2B8j45m13:a>5<4?38:?44=20ax^76a2:q=:78::759yM5a92.25l466:l0b6<59>k0b4;i:3062>hf?=0;7&o?1;29 <6520l27c7?1;:8?.g7:3:1(4>=:8d:?k?793=07&o?3;29 <6520l27c7?1;48?.?a<3:1(4>=:8d:?k?793?07&7i5;29 <6520l27c7?1;68?.?a>3:1(4>=:8d:?k?793907&7i7;29 <6520l27c7?1;08?.?a03:1(4>=:8d:?k?793;07&7ia;29 <6520l27c7?1;28?.?1=3:17&7i3;29 <6520l27c7?1;d8?.?aj3:1(4>=:8d:?k?793o07&7ic;29 <6520l27c7?1;f8?.?al3:1(4>=:8d:?k?793i07&7ie;29 <6520l27c7?1;`8?.?an3:1(4>=:8d:?k?793k07&o?0;29 <6520l27c7?1;;8?l?1>3:17d<=5;29?l?5>3:17b7n2;29?j?113:17b798;29?.?e13:1(4>=:8`;?k?793:07&7m7;29 <6520h37c7?1;38?.?e>3:1(4>=:8`;?k?793807&7m5;29 <6520h37c7?1;18?.?e<3:1(4>=:8`;?k?793>07&7m3;29 <6520h37c7?1;78?.?d:3:1(4>=:8`;?k?793<07&7l1;29 <6520h37c7?1;58?.?d83:1(4>=:8`;?k?793207&7mf;29 <6520h37c7?1;;8?.?em3:1(4>=:8`;?k?793k07&7md;29 <6520h37c7?1;`8?.?ek3:1(4>=:8`;?k?793i07&7mb;29 <6520h37c7?1;f8?.?ei3:1(4>=:8`;?k?793o07&7m2;29 <6520h37c7?1;d8?.g6l3:1(4>=:`3`?k?793:07&o>b;29 <652h;h7c7?1;38?.g6i3:1(4>=:`3`?k?793807&o>9;29 <652h;h7c7?1;18?.g603:1(4>=:`3`?k?793>07&o>7;29 <652h;h7c7?1;78?.g5>3:1(4>=:`3`?k?793<07&o=5;29 <652h;h7c7?1;58?.g5<3:1(4>=:`3`?k?793207&o=3;29 <652h;h7c7?1;;8?.g5:3:1(4>=:`3`?k?793k07&o=1;29 <652h;h7c7?1;`8?.g583:1(4>=:`3`?k?793i07&o>f;29 <652h;h7c7?1;f8?.g6m3:1(4>=:`3`?k?793o07&o>6;29 <652h;h7c7?1;d8?g4?km0:6;4?:1y'7c4=;m>0(48l:35;3>">i:0j:<5+9859=<0<,=;86>5G3dd8jd13281b>9j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::52:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<43`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th?>l651;494?6|,:l96>mj;%;5g?27001/5l=5a738 3;18L6ca3gk<87:4i36g>5<6=44i31b>5<6=44i33`>5<79:&:e681/549519d8 1742:1C?hh4n`57>0=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj=8i87?56;294~"4n;08oh5+97a905>>3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm43`e>4<1290;w)=i2;1`a>">>j0?<574$8c0>d063-32;7?7f:&756<43A9nj6`n7584?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:j81=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<320e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo:=c282>3<729q/?k<53bg8 <0d2=:356*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:89j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f14d<3;1:7>50z&0b7<4kl1/5;m541::?!?f;3k==6*696825<7s-9m>7=le:&:2f<38130(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94m;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`76f0=93<1<7>t$2d1>6eb3-3=o7:?889'=d5=i?;0(478:0:e?!26;390D>ki;oc40?eo6=44i306>5<5<5<9o:4>:783>5}#;o81?nk4$84`>16?12.2m>4n609'=<1=91l0(9?<:29K7``3:18g9'045=;2B8ik5aa669a>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e<;ki6<49:183!5a:39hi6*66b874=?<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0m7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2`a95?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;33?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:hn1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2`g95?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;31?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:hl1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;87d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2c295?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;37?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:k;1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;>7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2c095?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;35?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:k91=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;<7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2c795?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;3;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:k<1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;27d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2c595?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;3b?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:k21=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;i7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2c;95?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;3`?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:kk1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;o7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2c`95?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;3f?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:ki1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;m7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2cf95?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;03?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:ko1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<38:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2b295?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;01?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:j;1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3887d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2b:95?0=83:p(>h=:2f7?!?1k3>;445+9`19e37<,03<6479;%627?5<@:om7co84;07?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:?21=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<38>7d<;d;29L13032c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<5>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?5hn:085>5<7s-9m>7=le:&:2f<4;m?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94=7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<502c98i4?::k160<722c9?l4?:I663>=n19?1<75f20a94?=h19n1<75rb3;34?7=>3:1:68;%;b7?g192.25:46979'045=;2B8ik5aa6696<=n:=n1<75f23794?=n::k1<7F;5698m<622900e??l:188k<6c2900qo<60082>3<729q/?k<53e68 <0d2;=3;6*6a28b24=#10=15484$530>6=O;ll0bl9;:3c8m72c2900e?<::188m75f290C8894;h;31?6=3`8:o7>5;n;3`?6=3th94hj51;494?6|,:l96>j;;%;5g?400>1/5l=5a738 3;18L6ca3gk<875;h00e?6=@=?<76g60483>>o59j0;66a60e83>>{e:1on6<49:183!5a:39o86*66b813=1<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=09o6g=4e83>>o5:<0;66g=3`83>M22?21b5=;50;9j64e=831d5=j50;9~f7>a:3;1:7>50z&0b7<4l=1/5;m526:4?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;J712=6=44i33`>5<81/54959848 1742:1C?hh4n`57>7co6=44i306>5<c;29?j?7l3:17pl=8g695?0=83:p(>h=:2f7?!?1k38<4:5+9`19e37<,03<6479;%627?5<@:om7co84;0e?l43l3:17d<=5;29?l44i3:1D9;8;:k:40<722c9=n4?::m:4a<722wi>5o6:085>5<7s-9m>7=le:&:2f<50190(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94<0:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:0>96F9j50;9j673=831b>>o50;9j=53=831b>2<7)7n3;c55>">1>025;5+40197>N4mo1em::5339j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f155=3;1:7>50z&0b7<4l=1/5;m54233?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5359j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>7m3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6?f;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5379j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>6i3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696821b>9j50;9j673=831b>>o50;9j=53=831b>6=5;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5399j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>503;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6=9;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::53`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>5i3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6=b;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::53b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>5k3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6=d;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::53d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>683;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6>1;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5419j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>6:3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6>3;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5439j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>6<3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6>5;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5459j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>6>3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6>7;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5479j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>603;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696821b>9j50;9j673=831b>>o50;9j=53=831b>6>9;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5499j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>6j3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6>c;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::54`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>6l3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6>e;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::54b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>6n3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6=0;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::54d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>593;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6=2;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5519j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>5;3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6=4;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5539j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>5>3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6=7;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5559j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f607=3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8>0;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5579j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f606=3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696821b>9j50;9j673=831b>>o50;9j=53=831b>8>6;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5599j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f606?3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8>8;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::55`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60613;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8?6;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::55b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f607?3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8?8;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::55d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60713;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8?a;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5619j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f607j3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*6968281b>9j50;9j673=831b>>o50;9j=53=831b>8?c;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5639j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f607l3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*69682:1b>9j50;9j673=831b>>o50;9j=53=831b>8?e;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5659j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f607n3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*69682<1b>9j50;9j673=831b>>o50;9j=53=831b>8>1;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5679j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f606:3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*69682>1b>9j50;9j673=831b>>o50;9j=53=831b>8>3;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5699j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f606<3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*6968201b>9j50;9j673=831b>>o50;9j=53=831b>8?4;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::56`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f604m3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6Fk1b>9j50;9j673=831b>>o50;9j=53=831b>87)7n3;c55>">1>025;5+40197>N4mo1em::56b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f603<3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6Fm1b>9j50;9j673=831b>>o50;9j=53=831b>8;5;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::56d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f603>3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6Fo1b>9j50;9j673=831b>>o50;9j=53=831b>8;7;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5719j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60303;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8;9;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5739j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f603i3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8;b;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5759j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60383;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8;1;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5779j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f603:3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F1b>9j50;9j673=831b>>o50;9j=53=831b>8;3;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5799j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60103;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>6;f;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::57`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60503;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8>a;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::57b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f606j3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8=0;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::57d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60593;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8=2;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5819j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f605;3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8=4;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5839j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f605=3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8=6;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5859j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f605?3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8>c;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5879j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f606l3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F1b>9j50;9j673=831b>>o50;9j=53=831b>8>e;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5899j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f606n3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>8;c;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::58`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f603l3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8;e;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::58b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f603n3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8:0;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::58d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f162i3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9me;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5919j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61en3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9la;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5939j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61c=3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9k8;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5959j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61c13;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9ka;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5979j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61cj3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696821b>9j50;9j673=831b>>o50;9j=53=831b>9kc;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5999j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61cl3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9l0;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::59`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61d93;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9l2;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::59b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61d;3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9l4;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::59d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61d=3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9l6;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5a19j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61d?3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9l8;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5a39j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61d13;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9lb;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5a59j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61dk3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9ld;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5a79j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61dm3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696821b>9j50;9j673=831b>>o50;9j=53=831b>9lf;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5a99j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61c83;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9k1;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5a`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61c:3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9k3;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5ab9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61c<3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>9k6;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5ad9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61c?3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8=9;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5b19j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f605i3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8=b;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5b39j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f605k3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8=d;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5b59j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f605m3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8=f;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5b79j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6?6j3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F1b>9j50;9j673=831b>>o50;9j=53=831b>7>c;392?6=8r.8j?47)7n3;c55>">1>025;5+401910=O;ll0bl9;:c:8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>9m0:6;4?:1y'7c4=;m>0(48l:21g1>">i:0j:<5+9859=<0<,=;868;4H2ge?kg0<3h27d<;d;29?l45=3:17d<c;29?j?7l3:17pl<90g95?0=83:p(>h=:2f7?!?1k398h85+9`19e37<,03<6479;%627?323A9nj6`n758ae>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;0;m6<49:183!5a:39o86*66b807a3<,0k86l8>;%;:3??>>2.?=>4:5:J0ac=ii>>1no5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2;14?7=>3:1j:;%;b7?g192.25:46979'045==<1C?hh4n`57>geo6=44i306>5<5<5<<4>:783>5}#;o81?i:4$84`>65c=2.2m>4n609'=<1=10<0(9?<:478L6ca3gk<87lk;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0=74=93<1<7>t$2d1>6b33-3=o7=7E=jf:lb315<7s-9m>7=k4:&:2f<4;m?0(4o<:`42?!?>?332:6*;12861>N4mo1em::5bg9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60293;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8:2;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5c09j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f602;3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8:6;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5c29j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f602?3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>890;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5c49j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60193;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>892;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5c69j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f601;3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>894;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5c89j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f601=3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>896;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5cc9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f601?3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8:8;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5ce9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f60213;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8:a;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5cg9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f602j3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8:c;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5d09j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f602l3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>8:e;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5d29j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f602n3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>6;e;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::5d49j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f61el3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F9j50;9j673=831b>>o50;9j=53=831b>onb;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::5d69j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6gfm3;1:7>50z&0b7<4kl1/5;m538;e?!?f;3k==6*696829j50;9j673=831b>>o50;9j=53=831b>2<7)7n3;c55>">1>0:4k5+401910=O;ll0bl9;:e;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>9;0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132mk0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=61282>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:e`8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>9=0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132mi0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=61482>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:ef8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>9?0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132mo0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=61682>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:ed8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>910:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132l:0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=61882>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:d38m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>980:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132l80e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=93182>3<729q/?k<53e68 <0d2:9o96*6a28b24=#10=15484$530>6=O;ll0bl9;:d18m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg51;80:6;4?:1y'7c4=;m>0(48l:21g1>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132l>0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=93782>3<729q/?k<53e68 <0d2:9o96*6a28b24=#10=15484$530>6=O;ll0bl9;:d78m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg51;>0:6;4?:1y'7c4=;m>0(48l:21g1>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132l<0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=93982>3<729q/?k<53e68 <0d2:9o96*6a28b24=#10=15484$530>6=O;ll0bl9;:d58m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg51;00:6;4?:1y'7c4=;m>0(48l:21g1>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132l20e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=93`82>3<729q/?k<53e68 <0d2:9o96*6a28b24=#10=15484$530>6=O;ll0bl9;:d;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg51;k0:6;4?:1y'7c4=;m>0(48l:21g1>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132lk0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=93b82>3<729q/?k<53e68 <0d2:9o96*6a28b24=#10=15484$530>6=O;ll0bl9;:d`8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg51;m0:6;4?:1y'7c4=;m>0(48l:21g1>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132li0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=93382>3<729q/?k<53e68 <0d2:9o96*6a28b24=#10=15484$530>6=O;ll0bl9;:df8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg51;:0:6;4?:1y'7c4=;m>0(48l:21g1>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132lo0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=93582>3<729q/?k<53e68 <0d2:9o96*6a28b24=#10=15484$530>6=O;ll0bl9;:dd8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg51;<0:6;4?:1y'7c4=;m>0(48l:21g1>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132o:0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=7fc82>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:g38m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5?nj0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132o80e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=7fe82>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:g18m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5?nl0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132o>0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=7fg82>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:g78m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>890:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132o<0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=60082>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:g58m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>::0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132o20e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=62582>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:g;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>:m0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132ok0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=62d82>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:g`8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>:o0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132oi0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=63182>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:gf8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>;80:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd132oo0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=63382>3<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:gd8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>;:0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328:;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<92695?0=83:p(>h=:2af?!?1k398h85+9`19e37<,03<6<6i;%627?5<@:om7co84;335>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;08>6<49:183!5a:39hi6*66b807a3<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:3:1j:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669555o6=44i306>5<5<5<:4>:783>5}#;o81?nk4$84`>65c=2.2m>4n609'=<1=91l0(9?<:29K7``;;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0=7>=93<1<7>t$2d1>6eb3-3=o7=ki;oc40?77=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?4<6:085>5<7s-9m>7=le:&:2f<4;m?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>079j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6?5i3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696823<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:02;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd41;i1=7850;2x 6`52:in7)79c;10`0=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;;56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm39f4>4<1290;w)=i2;1`a>">>j08?i;4$8c0>d063-32;7?7f:&756<43A9nj6`n75824d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:2no7?56;294~"4n;08oh5+97a976b23-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1==l4i36g>5<6=44i31b>5<6=44i33`>5<=k5:&:e681/549519d8 1742:1C?hh4n`57>46d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th84k<51;494?6|,:l96>mj;%;5g?54l<1/5l=5a738 3;18L6ca3gk<87??d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<68l1b>9j50;9j673=831b>>o50;9j=53=831b>6i4;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::511d8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5?n<0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328;;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<8g495?0=83:p(>h=:2af?!?1k398h85+9`19e37<,03<6<6i;%627?5<@:om7co84;325>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;1l<6<49:183!5a:39hi6*66b807a3<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:=?5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2:e3:1j:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669545o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>65c=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0<`c=93<1<7>t$2d1>6eb3-3=o7=ki;oc40?76=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?5ki:085>5<7s-9m>7=le:&:2f<4;m?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>179j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>a83;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696823<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:03;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd40;o1=7850;2x 6`52:in7)79c;10`0=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;:56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm390e>4<1290;w)=i2;1`a>">>j08?i;4$8c0>d063-32;7?7f:&756<43A9nj6`n75825d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:28m7?56;294~"4n;08oh5+97a976b23-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=5<6=44i31b>5<6=44i33`>5<=k5:&:e681/549519d8 1742:1C?hh4n`57>47d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th849651;494?6|,:l96>mj;%;5g?54l<1/5l=5a738 3;18L6ca3gk<87?>d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5dm2.2:n4<3e78 "39:087E=jf:lb31<69l1b>9j50;9j673=831b>>o50;9j=53=831b>6;a;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::510d8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5?">i:0j:<5+98595=`<,=;86>5G3dd8jd13288;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<85a95?0=83:p(>h=:2af?!?1k398h85+9`19e37<,03<6<6i;%627?5<@:om7co84;315>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;1>o6<49:183!5a:39hi6*66b807a3<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:>?5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2:04?7=>3:1j:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669575o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>65c=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0<64=93<1<7>t$2d1>6eb3-3=o7=ki;oc40?75=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?5=<:085>5<7s-9m>7=le:&:2f<4;m?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>279j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>4<3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696823<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:00;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd40:<1=7850;2x 6`52:in7)79c;10`0=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;956g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm3914>4<1290;w)=i2;1`a>">>j08?i;4$8c0>d063-32;7?7f:&756<43A9nj6`n75826d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:2847?56;294~"4n;08oh5+97a976b23-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=?l4i36g>5<6=44i31b>5<6=44i33`>5<=k5:&:e681/549519d8 1742:1C?hh4n`57>44d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th84>l51;494?6|,:l96>mj;%;5g?54l<1/5l=5a738 3;18L6ca3gk<87?=d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<6:l1b>9j50;9j673=831b>>o50;9j=53=831b>67)7n3;c55>">1>0:4k5+40197>N4mo1em::513d8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5?;l0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd13289;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<82d95?0=83:p(>h=:2af?!?1k398h85+9`19e37<,03<6<6i;%627?5<@:om7co84;305>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;1>;6<49:183!5a:39hi6*66b807a3<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:??5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2:75?7=>3:1j:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669565o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>65c=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0<15=93<1<7>t$2d1>6eb3-3=o7=ki;oc40?74=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?5:;:085>5<7s-9m>7=le:&:2f<4;m?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>379j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>3>3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696823<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:01;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd41:?1=7850;2x 6`52:n?7)79c;10`0=#1h91m;?4$8;4>:?7=4H2ge?kg0<3;856g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm39f;>4<1290;w)=i2;1`a>">>j08?i;4$8c0>d063-32;7?7f:&756<43A9nj6`n75827d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:2n>7?56;294~"4n;08oh5+97a976b23-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=>l4i36g>5<6=44i31b>5<6=44i33`>5<=k5:&:e681/549519d8 1742:1C?hh4n`57>45d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th84h651;494?6|,:l96>mj;%;5g?54l<1/5l=5a738 3;18L6ca3gk<87?84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5dm2.2:n4<3e78 "39:087E=jf:lb31<6;l1b>9j50;9j673=831b>>o50;9j=53=831b>6ja;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::512d8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5?mk0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328>;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<8e;95?0=83:p(>h=:2af?!?1k398h85+9`19e37<,03<6<6i;%627?5<@:om7co84;375>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;1nj6<49:183!5a:39hi6*66b807a3<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:8?5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2:gf?7=>3:1j:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669515o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>65c=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0t$2d1>6eb3-3=o7=ki;oc40?73=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?5jj:085>5<7s-9m>7=le:&:2f<4;m?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>479j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>cn3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696823<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:06;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd40l;1=7850;2x 6`52:in7)79c;10`0=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;?56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm39g0>4<1290;w)=i2;1`a>">>j08?i;4$8c0>d063-32;7?7f:&756<43A9nj6`n75820d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:2n87?56;294~"4n;08oh5+97a976b23-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=9l4i36g>5<6=44i31b>5<6=44i33`>5<=k5:&:e681/549519d8 1742:1C?hh4n`57>42d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th84h851;494?6|,:l96>mj;%;5g?54l<1/5l=5a738 3;18L6ca3gk<87?;d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<69j50;9j673=831b>>o50;9j=53=831b>2<7)7n3;c55>">1>025;5+40197>N4mo1em::515d8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4>;:0:6;4?:1y'7c4=;m>0(48l:35;3>">i:0j:<5+9859=<0<,=;86>5G3dd8jd1328?;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=85295?0=83:p(>h=:2af?!?1k38<4:5+9`19e37<,03<6<6i;%627?5<@:om7co84;365>o5>o5;h0;66g60483>>o59j0;6E::7:9l=5b=831vn>7?2;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::51408m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5>8:0:6;4?:1y'7c4=;jo0(48l:21g1>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328?87d<;d;29?l45=3:17d<c;29?j?7l3:17pl<91:95?0=83:p(>h=:2af?!?1k398h85+9`19e37<,03<6<6i;%627?5<@:om7co84;360>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;0:26<49:183!5a:39hi6*66b807a3<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:985f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2;3e?7=>3:1j:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669500o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>65c=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0=5e=93<1<7>t$2d1>6eb3-3=o7=ki;oc40?7202c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?4>k:085>5<7s-9m>7=le:&:2f<4;m?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>589j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6?7m3;1:7>50z&0b7<4kl1/5;m532f6?!?f;3k==6*696823<729q/?k<53bg8 <0d2:9o96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:07a?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd419>1=7850;2x 6`52:in7)79c;10`0=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;>o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm3826>4<1290;w)=i2;1`a>">>j08?i;4$8c0>d063-32;7?7f:&756<43A9nj6`n75821a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:3;:7?56;294~"4n;08oh5+97a976b23-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=8k4i36g>5<6=44i31b>5<6=44i33`>5<=k5:&:e681/549519d8 1742:1C?hh4n`57>43a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th?>h751;494?6|,:l96>mj;%;5g?27001/5l=5a738 3;18L6ca3gk<87?90:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<6>81b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::51708m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg24890:6;4?:1y'7c4=;jo0(48l:52;=>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328<87d<;d;29?l45=3:17d<c;29?j?7l3:17pl;31195?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;350>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e<::?6<49:183!5a:39hi6*66b874=?<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0::85f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb5131?7=>3:18g9'045=;2B8ik5aa669530o6=44i306>5<5<5<8<;4>:783>5}#;o81?nk4$84`>16?12.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`7751=93<1<7>t$2d1>6eb3-3=o7:?889'=d5=i?;0(478:0:e?!26;390D>ki;oc40?7102c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi8>>7:085>5<7s-9m>7=le:&:2f<38130(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>689j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f14bj3;1:7>50z&0b7<4kl1/5;m541::?!?f;3k==6*696823<729q/?k<53bg8 <0d2=:356*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:04a?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:ln1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;=o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm43gf>4<1290;w)=i2;1`a>">>j0?<574$8c0>d063-32;7?7f:&756<43A9nj6`n75822a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj=8nj7?56;294~"4n;08oh5+97a905>>3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=;k4i36g>5<6=44i31b>5<6=44i33`>5<79:&:e681/549519d8 1742:1C?hh4n`57>40a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th?>k?51;494?6|,:l96>mj;%;5g?27001/5l=5a738 3;18L6ca3gk<87?80:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<6?81b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::51608m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg25n=0:6;4?:1y'7c4=;jo0(48l:52;=>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328=87d<;d;29?l45=3:17d<c;29?j?7l3:17pl;2g495?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;340>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e<;l<6<49:183!5a:39hi6*66b874=?<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:;85f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb50e3:18g9'045=;2B8ik5aa669520o6=44i306>5<5<5<9j44>:783>5}#;o81?nk4$84`>16?12.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`76cg=93<1<7>t$2d1>6eb3-3=o7:?889'=d5=i?;0(478:0:e?!26;390D>ki;oc40?7002c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi8?hm:085>5<7s-9m>7=le:&:2f<38130(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>789j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f14ak3;1:7>50z&0b7<4kl1/5;m541::?!?f;3k==6*69682k0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo:=fe82>3<729q/?k<53bg8 <0d2=:356*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:05a?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd3:oo1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm43de>4<1290;w)=i2;1`a>">>j0?<574$8c0>d063-32;7?7f:&756<43A9nj6`n75823a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj=9;=7?56;294~"4n;08oh5+97a905>>3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=:k4i36g>5<6=44i31b>5<6=44i33`>5<79:&:e681/549519d8 1742:1C?hh4n`57>41a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th?mj;%;5g?27001/5l=5a738 3;18L6ca3gk<87?70:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<6081b>9j50;9j673=831b>>o50;9j=53=831b>m5;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::51908m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg27j?0:6;4?:1y'7c4=;jo0(48l:52;=>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328287d<;d;29?l45=3:17d<c;29?j?7l3:17pl;0c595?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;3;0>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e<9h36<49:183!5a:39hi6*66b874=?<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:485f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb52a=?7=>3:18g9'045=;2B8ik5aa6695=0o6=44i306>5<5<5<;nl4>:783>5}#;o81?nk4$84`>16?12.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`74d>=93<1<7>t$2d1>6eb3-3=o7:?889'=d5=i?;0(478:0:e?!26;390D>ki;oc40?7?02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi8=o6:085>5<7s-9m>7=le:&:2f<38130(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>889j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f16fi3;1:7>50z&0b7<4kl1/5;m541::?!?f;3k==6*696823<729q/?k<53bg8 <0d2=:356*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:0:a?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd38hi1=7850;2x 6`52:in7)79c;63<<=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;3o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm41cg>4<1290;w)=i2;1`a>">>j0?<574$8c0>d063-32;7?7f:&756<43A9nj6`n7582>3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=5k4i36g>5<6=44i31b>5<6=44i33`>5<79:&:e681/549519d8 1742:1C?hh4n`57>4>a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th?mj;%;5g?27001/5l=5a738 3;18L6ca3gk<87?60:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<6181b>9j50;9j673=831b>>o50;9j=53=831b>m3;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::51808m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg26l=0:6;4?:1y'7c4=;jo0(48l:52;=>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328387d<;d;29?l45=3:17d<c;29?j?7l3:17pl;27f95?0=83:p(>h=:2af?!?1k3>;445+9`19e37<,03<6<6i;%627?5<@:om7co84;3:0>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:12?6<49:183!5a:39hi6*66b81<=5<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:585f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2cbe?7=>3:18g9'045=;2B8ik5aa6695<0o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4>2k2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=@=?<76a60e83>>{e:>;%;:3??>>2.?=>4<;I1fb>hf?=0:555f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0::g?7=;3:14>2k2B8ik5aa66955<>1=4o4i37b>5<5<=86=44}c3;ff<62:0;6=u+9`19e30<,0>1=4l4i543>5<5<:283>5}#1h918;64$2d1>=323-3=o7?75b9K7``5;n::4?6=3th:45651;694?6|,0k86l8=;%;5g?7?=j1C?hh4n`57>4?c3`8>m7>5;h017?6=3`3>57>5;n547?6=3th:4n951;194?6|,0k86l89;%;5g?7?=j1C?hh4n`57>4?b3`>=<7>5;h017?6=3f=5;|`2t$8c0>10?3-9m>76:5:&:2f<60ki;oc40?7>n2c8jh4?::k0bc<722e35=4?::a5=?4280?6=4?{%;b7?g1:2.2:n4>84a8L6ca3gk<87?n0:k11d<722c9>>4?::k:1<<722e<;>4?::a5=b528086=4?{%;b7?g1>2.2:n4>84a8L6ca3gk<87?n1:k725<722c9>>4?::m436<722wi=5l::080>5<7s-3j?7:98:&0b7a39j7cc=831b?kh50;9l<<6=831vn<665;390?6=8r.2m>4n639'=3e=91?h7E=jf:lb31<6i:1b>8o50;9j675=831b58750;9l325=831vn<6k5;397?6=8r.2m>4n679'=3e=91?h7E=jf:lb31<6i=1b8;>50;9j675=831d;:=50;9~f4>e>3;1?7>50z&:e6<3>11/?k<58478 <0d282>o6Fhj:188m6`a2900c57?:188yg7?1?0:694?:1y'=d5=i?80(48l:0:6g>N4mo1em::51`48m73f2900e?<<:188m<3>2900c:9<:188yg7?l?0:6>4?:1y'=d5=i?<0(48l:0:6g>N4mo1em::51`58m1072900e?<<:188k2142900qo?7b682>6<729q/5l=547:8 6`521?>7)79c;3;1f=O;ll0bl9;:0c;?l5am3:17d=if;29?j>>83:17pl>88595?2=83:p(4o<:`41?!?1k3;39n5G3dd8jd1328k27d<:a;29?l45;3:17d7:9;29?j10;3:17pl>8e595?5=83:p(4o<:`45?!?1k3;39n5G3dd8jd1328kj7d:90;29?l45;3:17b983;29?xd60k21=7=50;2x ">>j0:48m4H2ge?kg0<3;jn6g>o4no0;66a79183>>{e91336<4;:183!?f;3k=>6*66b82<0e<@:om7co84;3bg>o5=h0;66g=2283>>o>=00;66a87283>>{e91n36<4<:183!?f;3k=:6*66b82<0e<@:om7co84;3b`>o3>90;66g=2283>>i0?:0;66sm19`:>4<4290;w)7n3;65<>"4n;03985+97a95=3d3A9nj6`n7582e`=n;oo1<75f3gd94?=h00:1<75rb0::=?7=<3:1hf?=0:mk5f24c94?=n:;91<75f94;94?=h?>91<75rb0:g=?7=;3:1hf?=0:n=5f47294?=n:;91<75`76194?=zj82im7?53;294~">i:0?:55+3g09<03<,0>1=o?4i2df>5<5<:583>5}#1h91m;<4$84`>4>2k2B8ik5aa6695g45<5<:283>5}#1h91m;84$84`>4>2k2B8ik5aa6695g55<=86=44}c3;fg<62:0;6=u+9`1903><,:l965;:;%;5g?7?=j1C?hh4n`57>4d33`9mi7>5;h1eb?6=3f22<7>5;|`2<1<7>t$8c0>d053-3=o7?75b9K7``5;h;6=?6=3f=5;|`2t$8c0>d013-3=o7?75b9K7``5;n547?6=3th:44j51;194?6|,0k86987;%1e6?>2=2.2:n4>84a8L6ca3gk<87?m7:k0b`<722c8jk4?::m;=5<722wi=59j:087>5<7s-3j?7o92:&:2f<60ki;oc40?7e02c99l4?::k166<722c2944?::m436<722wi=5lk:080>5<7s-3j?7o96:&:2f<60ki;oc40?7e12c?:=4?::k166<722e<;>4?::a5=?b28086=4?{%;b7?2102.8j?47549'=3e=91?h7E=jf:lb31<6jh1b?kk50;9j7c`=831d44>50;9~f4>0n3;187>50z&:e6;1/5;m5197`?M5bn2dj;94>bc9j60g=831b>?=50;9j=0?=831d;:=50;9~f4>em3;1?7>50z&:e6?1/5;m5197`?M5bn2dj;94>bb9j036=831b>?=50;9l325=831vn<66f;397?6=8r.2m>4;699'7c4=0>20(48l:0:6g>N4mo1em::51cf8m6`b2900e>hi:188k=?72900qo?78182>1<729q/5l=5a708 <0d282>o6F6<729q/5l=5a748 <0d282>o6F4?:1y'=d5=h=:976?!?1k3;39n5G3dd8jd1328i;7d=ie;29?l5an3:17b660;29?xd601;1=7:50;2x 8`395?5=83:p(4o<:54;?!5a:32>96*66b82<0e<@:om7co84;3`7>o4nl0;66g>i?190;66sm19:1>4<3290;w)7n3;c56>">>j0:48m4H2ge?kg0<3;h86g=5`83>>o5::0;66g65883>>i0?:0;66sm19a2>4<4290;w)7n3;c52>">>j0:48m4H2ge?kg0<3;h96g;6183>>o5::0;66a87283>>{e91k96<4<:183!?f;3>=46*hf?=0:o;5f3gg94?=n;ol1<75`88294?=zj823?7?54;294~">i:0j:?5+97a95=3d3A9nj6`n7582g2=n:7?53;294~">i:0j:;5+97a95=3d3A9nj6`n7582g==n91<75rb0:b7?7=;3:14>2k2B8ik5aa6695f?5<>1=no4i37b>5<5<=86=44}c3;g6<62:0;6=u+9`19e30<,0>1=nl4i543>5<5<:283>5}#1h918;64$2d1>=1?3-3=o7?75b9K7``5;n::4?6=3th:45;51;694?6|,0k86l8=;%;5g?7?=j1C?hh4n`57>4ec3`8>m7>5;h017?6=3`3>57>5;n547?6=3th:4n:51;194?6|,0k86l89;%;5g?7?=j1C?hh4n`57>4eb3`>=<7>5;h017?6=3f=5;|`2t$8c0>10?3-9m>7688:&:2f<60ki;oc40?7dn2c8jh4?::k0bc<722e35=4?::a5=>1280?6=4?{%;b7?g1:2.2:n4>84a8L6ca3gk<87?k0:k11d<722c9>>4?::k:1<<722e<;>4?::a5=e228086=4?{%;b7?g1>2.2:n4>84a8L6ca3gk<87?k1:k725<722c9>>4?::m436<722wi=5o9:080>5<7s-3j?7:98:&0b7d39j7cc=831b?kh50;9l<<6=831vn<677;390?6=8r.2m>4n639'=3e=91?h7E=jf:lb31<6l:1b>8o50;9j675=831b58750;9l325=831vn<6l6;397?6=8r.2m>4n679'=3e=91?h7E=jf:lb31<6l=1b8;>50;9j675=831d;:=50;9~f4>f03;1?7>50z&:e6<3>11/?k<58478 <0d282>o6Fhj:188m6`a2900c57?:188yg7?000:694?:1y'=d5=i?80(48l:0:6g>N4mo1em::51e48m73f2900e?<<:188m<3>2900c:9<:188yg7?k10:6>4?:1y'=d5=i?<0(48l:0:6g>N4mo1em::51e58m1072900e?<<:188k2142900qo?7a882>6<729q/5l=547:8 6`521=37)79c;3;1f=O;ll0bl9;:0f;?l5am3:17d=if;29?j>>83:17pl>89c95?2=83:p(4o<:`41?!?1k3;39n5G3dd8jd1328n27d<:a;29?l45;3:17d7:9;29?j10;3:17pl>8b;95?5=83:p(4o<:`45?!?1k3;39n5G3dd8jd1328nj7d:90;29?l45;3:17b983;29?xd60hk1=7=50;2x ">>j0:48m4H2ge?kg0<3;on6g>o4no0;66a79183>>{e912i6<4;:183!?f;3k=>6*66b82<0e<@:om7co84;3gg>o5=h0;66g=2283>>o>=00;66a87283>>{e91ij6<4<:183!?f;3k=:6*66b82<0e<@:om7co84;3g`>o3>90;66g=2283>>i0?:0;66sm19ca>4<4290;w)7n3;65<>"4n;03985+97a95=3d3A9nj6`n7582``=n;oo1<75f3gd94?=h00:1<75rb0:;g?7=<3:1hf?=0:hk5f24c94?=n:;91<75f94;94?=h?>91<75rb0:`f?7=;3:1hf?=0:i=5f47294?=n:;91<75`76194?=zj82jo7?53;294~">i:0?:55+3g09<03<,0>1=h?4i2df>5<5<:583>5}#1h91m;<4$84`>4>2k2B8ik5aa6695`45<5<:283>5}#1h91m;84$84`>4>2k2B8ik5aa6695`55<=86=44}c3;ea<62:0;6=u+9`1903><,:l965;:;%;5g?7?=j1C?hh4n`57>4c33`9mi7>5;h1eb?6=3f22<7>5;|`2<=c=93>1<7>t$8c0>d053-3=o7?75b9K7``5;h;6=?6=3f=5;|`2t$8c0>d013-3=o7?75b9K7``5;n547?6=3th:4lk51;194?6|,0k86987;%1e6?>2=2.2:n4>84a8L6ca3gk<87?j7:k0b`<722c8jk4?::m;=5<722wi=56i:087>5<7s-3j?7o92:&:2f<60ki;oc40?7b02c99l4?::k166<722c2944?::m436<722wi=5mj:080>5<7s-3j?7o96:&:2f<60ki;oc40?7b12c?:=4?::k166<722e<;>4?::a5=ga28086=4?{%;b7?2102.8j?47549'=3e=91?h7E=jf:lb31<6mh1b?kk50;9j7c`=831d44>50;9~f4>>83;187>50z&:e6;1/5;m5197`?M5bn2dj;94>ec9j60g=831b>?=50;9j=0?=831d;:=50;9~f4>dn3;1?7>50z&:e6?1/5;m5197`?M5bn2dj;94>eb9j036=831b>?=50;9l325=831vn<6m0;397?6=8r.2m>4;699'7c4=0N4mo1em::51df8m6`b2900e>hi:188k=?72900qo?79082>1<729q/5l=5a708 <0d282>o6F6<729q/5l=5a748 <0d282>o6F4?:1y'=d5=h=:976?!?1k3;39n5G3dd8jd1328l;7d=ie;29?l5an3:17b660;29?xd60081=7:50;2x 8c195?5=83:p(4o<:54;?!5a:32>96*66b82<0e<@:om7co84;3e7>o4nl0;66g>i?190;66sm19;7>4<3290;w)7n3;c56>">>j0:48m4H2ge?kg0<3;m86g=5`83>>o5::0;66g65883>>i0?:0;66sm19f0>4<4290;w)7n3;c52>">>j0:48m4H2ge?kg0<3;m96g;6183>>o5::0;66a87283>>{e91h?6<4<:183!?f;3>=46*hf?=0:j;5f3gg94?=n;ol1<75`88294?=zj82o87?53;294~">i:0j:;5+97a95=3d3A9nj6`n7582b2=n91<75rb2;gf?7=?:0;6=u+9`1902e<,0
    76f:l2<`<63g8;n7>4n824>4=#:8:1>kl4n82;>5=O;ll0V?>i:4y52?k15?49b;me22=9o20e??i:188m7472900e?<>:188m7422900e4<<:188m<432900e4<::188m470290/5=<513g8j<662910e>:098m472290/5=<513g8j<662;10e>:298m474290/5=<513g8j<662=10e>:498m476290/5=<513g8j<662?10e>:698m443290/5=<513g8j<662110e<<>:18'=54=9;o0b4>>:898m447290/5=<513g8j<662h10e>:c98m47d290/5=<513g8j<662j10e>:e98m47>290/5=<513g8j<662l10e>:g98m46a290/5=<513g8j<6628:07d??b;29 <65288n7c7?1;32?>o61j0;6)7?2;3bb>h>880;76g>9c83>!?7:3;jj6`60082?>o6100;6)7?2;3bb>h>880976g>9983>!?7:3;jj6`60080?>o61>0;6)7?2;3bb>h>880?76g>9783>!?7:3;jj6`60086?>o61<0;6)7?2;3bb>h>880=76g>9583>!?7:3;jj6`60084?>o6i?0;6)7?2;3bb>h>880376g>a483>!?7:3;jj6`6008:?>o6i=0;6)7?2;3bb>h>880j76g>a383>!?7:3;jj6`6008a?>o6i80;6)7?2;3bb>h>880h76g>9g83>!?7:3;jj6`6008g?>o61l0;6)7?2;3bb>h>880n76g>9e83>!?7:3;jj6`6008e?>o61:0;6)7?2;3bb>h>880:<65f18394?">8;0:mk5a913954=5<5<5<5<5<5<5<5<5<5<5<5<#19814k=4n822>0=5<#19814k=4n822>2=5<#19814k=4n822><=5<#19814k=4n822>g=5<#19814k=4n822>a=5<#19814k=4n822>c=4;n::g?6=,0:965h<;o;35?7632e35o4?:%;36?>a;2d2<<4>2:9l<>:068?j>>03:1(4>=:9d0?k?793;>76a79683>!?7:32m?6`600822>=h00<1<7*6038;b6=i19;1=:54o9;6>5<#19814k=4n822>4><3f2287>5$821>=`43g3;=7?6;:m;`5<72-3;>76i3:l:44<6i21d4n750;&:47i?j<0;6)7?2;:e7>h>880:i65`8c394?">8;03j>5a91395c=4;n::a?6=,0:965h<;o;35?4632e35>4?:%;36?>a;2d2<<4=2:9l<<4=83.2>:198k4ce290/5=<51gf8j<662810c>:398k4c>290/5=<51gf8j<662:10c>:598k4c0290/5=<51gf8j<662<10c>:798k4c2290/5=<51gf8j<662>10c>:998k4`4290/5=<51gf8j<662010c>:`98k4`6290/5=<51gf8j<662k10c>:b98k4ca290/5=<51gf8j<662m10c>:d98k4cc290/5=<51gf8j<662o10c>:028?j7b;3:1(4>=:0dg?k?793;:76sm3`34>4<0;3:1"59909=l5a91:94>N4mo1Q>=h55z4;>3?=>h02>78m:|lb31<6n01b>??50;9j673=831b5?=50;9j=72=831b5?;50;9j541=83.22d9m=57=821b=<850;&:47<6:l1e5=?51:9j543=83.22d9m=57=:21b=<:50;&:47<6:l1e5=?53:9j545=83.22d9m=57=<21b=<<50;&:47<6:l1e5=?55:9j547=83.22d9m=57=>21b=<>50;&:47<6:l1e5=?57:9j572=83.22d9m=57=021b=??50;&:47<6:l1e5=?59:9j576=83.22d9m=57=i21b=2d9m=57=k21b=2d9m=57=m21b=<650;&:47<6:l1e5=?5f:9j55`=83.22d9m=57=9910e<>m:18'=54=9;o0b4>>:038?l7>k3:17d?6b;29?l7>13:17d?68;29?l7>?3:17d?66;29?l7>=3:17d?64;29?l7f>3:17d?n5;29?l7f<3:17d?n2;29?l7f93:17d?6f;29?l7>m3:17d?6d;29?l7>;3:17d?61;29?l7d>3:1(4>=:0f4?k?793:07d?l5;29 <6528n<7c7?1;38?l7d<3:1(4>=:0f4?k?793807d?l3;29 <6528n<7c7?1;18?l7d:3:1(4>=:0f4?k?793>07d?l1;29 <6528n<7c7?1;78?l7d83:1(4>=:0f4?k?793<07d?mf;29 <6528n<7c7?1;58?l7dm3:1(4>=:0f4?k?793207d?ld;29 <6528n<7c7?1;;8?l7dk3:1(4>=:0f4?k?793k07d?lb;29 <6528n<7c7?1;`8?l7di3:1(4>=:0f4?k?793i07d?l9;29 <6528n<7c7?1;f8?l7d03:1(4>=:0f4?k?793o07d?l7;29 <6528n<7c7?1;d8?l7em3:1(4>=:0f4?k?793;;76g>be83>!?7:3;o;6`600825>=h0hl1<75`8`g94?=h0hn1<75`8`a94?=h0hh1<75`8`c94?=h0h21<75`8`594?=h0h<1<75`8`794?=h0h>1<75`8`194?=h0h81<75`8`394?=h0h:1<75`88d94?=h00n1<75`88a94?=h00h1<75`88c94?=h0031<75`88:94?">8;03j>5a913950=a;2d2<<4>8:9l<<2=83.2>:0c8?j>d13:1(4>=:9d0?k?793;i76a7c583>!?7:32m?6`60082g>=h0kh1<7*6038;b6=i19;1=i54o9`6>5<#19814k=4n822>4c<3f2i=7>5$821>=`43g3;=7?i;:m;e<<72-3;>76i3:l:44<5821d44k50;&:47i6mj0;66a>ec83>>i6mh0;66a>e883>>i6m10;66a>e683>>i6m?0;66a>e483>>i6n=0;66a>f283>>i6n;0;66a>f083>>i6n90;66a>eg83>>i6ml0;66a>ee83>>i6m=0;66a>e283>>{e;h<36<483;294~">i:0?;n5+97a975=i19=1=6*=1181bg=i1921<6Ff`9j64`=831b>?>50;9j677=831b>?;50;9j=75=831b5?:50;9j=73=831b=<950;&:47<6:l1e5=?50:9j540=83.22d9m=57=921b=<;50;&:47<6:l1e5=?52:9j542=83.22d9m=57=;21b=<=50;&:47<6:l1e5=?54:9j544=83.22d9m=57==21b=2d9m=57=?21b=?:50;&:47<6:l1e5=?58:9j577=83.22d9m=57=121b=?>50;&:47<6:l1e5=?5a:9j54c=83.22d9m=57=j21b=2d9m=57=l21b=<750;&:47<6:l1e5=?5e:9j54>=83.22d9m=57=n21b==h50;&:47<6:l1e5=?51198m46e290/5=<513g8j<6628;07d?6c;29 <6528km7c7?1;28?l7>j3:1(4>=:0ce?k?793;07d?69;29 <6528km7c7?1;08?l7>03:1(4>=:0ce?k?793907d?67;29 <6528km7c7?1;68?l7>>3:1(4>=:0ce?k?793?07d?65;29 <6528km7c7?1;48?l7><3:1(4>=:0ce?k?793=07d?n6;29 <6528km7c7?1;:8?l7f=3:1(4>=:0ce?k?793307d?n4;29 <6528km7c7?1;c8?l7f:3:1(4>=:0ce?k?793h07d?n1;29 <6528km7c7?1;a8?l7>n3:1(4>=:0ce?k?793n07d?6e;29 <6528km7c7?1;g8?l7>l3:1(4>=:0ce?k?793l07d?63;29 <6528km7c7?1;33?>o6180;6)7?2;3bb>h>880:=65f1b494?=n9j?1<75f1b694?=n9j91<75f1b094?=n9j;1<75f1b294?=n9kl1<75f1bg94?=n9jn1<75f1ba94?=n9jh1<75f1bc94?=n9j31<75f1b:94?=n9j=1<75f1cg94?=n9kn1<75`8`d94?=h0ho1<75`8`f94?=h0hi1<75`8``94?=h0hk1<75`8`:94?=h0h=1<75`8`494?=h0h?1<75`8`694?=h0h91<75`8`094?=h0h;1<75`8`294?=h00l1<75`88f94?=h00i1<75`88`94?=h00k1<75`88;94?=h0021<7*6038;b6=i19;1=854o9;4>5<#19814k=4n822>40<3f22:7>5$821>=`43g3;=7?8;:m;=0<72-3;>76i3:l:44<6021d44:50;&:47i?k=0;6)7?2;:e7>h>880:o65`8c`94?">8;03j>5a91395a=6=4+9109a;2d2<<4=0:9l<>:308?j>>:3:1(4>=:9d0?k?7938876a>eb83>>i6mk0;66a>e`83>>i6m00;66a>e983>>i6m>0;66a>e783>>i6m<0;66a>f583>>i6n:0;66a>f383>>i6n80;66a>f183>>i6mo0;66a>ed83>>i6mm0;66a>e583>>i6m:0;66sm29fe>4<1290;w)=i2;1g0>">>j09;594$8c0>d063-32;7766:&756<43A9nj6`n7582bg=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;38o7?56;294~"4n;08h95+97a962>03-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1=km4i36g>5:?7=4H2ge?kg0<3;mh6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm281f>4<1290;w)=i2;1g0>">>j09;594$8c0>d063-32;7766:&756<43A9nj6`n7582b`=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;2n<7?56;294~"4n;08h95+97a962>03-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1=kh4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>7673`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th94h<51;494?6|,:l96>j;;%;5g?400>1/5l=5a738 3;18L6ca3gk<8784?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<58;1b>9j50;9j673=831b>>o50;9j=53=831b>2<7)7n3;c55>">1>025;5+40197>N4mo1em::52118m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4?m=0:6;4?:1y'7c4=;m>0(48l:35;3>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132;:?7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=8d795?0=83:p(>h=:2f7?!?1k38<4:5+9`19e37<,03<6479;%627?5<@:om7co84;031>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:1o<6<49:183!5a:39o86*66b813=1<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=09<;5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3:4a?7=>3:1:68;%;b7?g192.25:46979'045=;2B8ik5aa669651o6=4G4458?l45=3:17d<c;29L13032e2"39:087E=jf:lb31<5811b>9j50;9j673=831b>>o50;9j=53=831b>81/54959848 1742:1C?hh4n`57>76>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5H574?>i>8m0;66sm296e>4<1290;w)=i2;1g0>">>j09;594$8c0>d063-32;7766:&756<43A9nj6`n75814d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;2><7?56;294~"4n;08h95+97a962>03-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1>=l4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>76d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th948=51;494?6|,:l96>j;;%;5g?400>1/5l=5a738 3;18L6ca3gk<8784?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<58l1b>9j50;9j673=831b>>o50;9j=53=831b>2<7)7n3;c55>">1>025;5+40197>N4mo1em::521d8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4?=?0:6;4?:1y'7c4=;m>0(48l:35;3>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132;;;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=84;95?0=83:p(>h=:2f7?!?1k38<4:5+9`19e37<,03<6479;%627?5<@:om7co84;025>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:1?<6<49:183!5a:39o86*66b813=1<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=09=?5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3:63:1:68;%;b7?g192.25:46979'045=;2B8ik5aa669645o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>71??2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1<3d=93<1<7>t$2d1>6b33-3=o7<8869'=d5=i?;0(478:8;5?!26;390D>ki;oc40?46=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>58l:085>5<7s-9m>7=k4:&:2f<5?1=0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94=179j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7>1l3;1:7>50z&0b7<4l=1/5;m526:4?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;=3;6*6a28b24=#10=15484$530>6=O;ll0bl9;:33;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd50?l1=7850;2x 6`52:n?7)79c;04<2=#1h91m;?4$8;4>:?7=4H2ge?kg0<38:56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2953>4<1290;w)=i2;1g0>">>j09;594$8c0>d063-32;7766:&756<43A9nj6`n75815d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;2<=7?56;294~"4n;08h95+97a962>03-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>77d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th94;951;494?6|,:l96>j;;%;5g?400>1/5l=5a738 3;18L6ca3gk<87<>d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<59l1b>9j50;9j673=831b>>o50;9j=53=831b>2<7)7n3;c55>">1>025;5+40197>N4mo1em::520d8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4>:10:6;4?:1y'7c4=;m>0(48l:35;3>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132;8;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=93;95?0=83:p(>h=:2f7?!?1k38<4:5+9`19e37<,03<6479;%627?5<@:om7co84;015>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:08j6<49:183!5a:39o86*66b813=1<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=09>?5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3;1f?7=>3:1:68;%;b7?g192.25:46979'045=;2B8ik5aa669675o6=44i306>5<5<5<n4>:783>5}#;o81?i:4$84`>71??2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1=75=93<1<7>t$2d1>6b33-3=o7<8869'=d5=i?;0(478:8;5?!26;390D>ki;oc40?45=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>4<;:085>5<7s-9m>7=k4:&:2f<5?1=0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94=279j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7?5=3;1:7>50z&0b7<4l=1/5;m526:4?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;=3;6*6a28b24=#10=15484$530>6=O;ll0bl9;:30;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd51;=1=7850;2x 6`52:n?7)79c;04<2=#1h91m;?4$8;4>:?7=4H2ge?kg0<38956g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm19d`>4<1290;w)=i2;1g0>">>j0:48m4$8c0>d063-32;7766:&756<43A9nj6`n75816d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj82mh7?56;294~"4n;08h95+97a95=3d3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1>?l4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>74d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:5<=51;494?6|,:l96>j;;%;5g?7?=j1/5l=5a738 3;18L6ca3gk<87<=d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e284a8 "39:087E=jf:lb31<5:l1b>9j50;9j673=831b>>o50;9j=53=831b>6;392?6=8r.8j?4">1>025;5+40197>N4mo1em::523d8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7>9>0:6;4?:1y'7c4=;m>0(48l:0:6g>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132;9;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>90;95?0=83:p(>h=:2f7?!?1k3;39n5+9`19e37<,03<6479;%627?5<@:om7co84;005>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e90;j6<49:183!5a:39o86*66b82<0e<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=09??5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0;2f?7=>3:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4>2k2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2t$2d1>6b33-3=o7?75b9'=d5=i?;0(478:8;5?!26;390D>ki;oc40?44=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=4>?:085>5<7s-9m>7=k4:&:2f<60?332:6*;12861>N4mo1em::52248m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7>880:6;4?:1y'7c4=;m>0(48l:0:6g>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132;9<7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>91095?0=83:p(>h=:2f7?!?1k3;39n5+9`19e37<,03<6479;%627?5<@:om7co84;00<>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e90:86<49:183!5a:39o86*66b82<0e<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=09?45f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0;30?7=>3:175f3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:5=;51;494?6|,:l96>j;;%;5g?7?=j1/5l=5a738 3;76?M5bn2dj;94=3c9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4?7>3;1:7>50z&0b7<4l=1/5;m5197`?!?f;3k==6*6968:=3=#<891985G3dd8jd132;9h7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>91c95?0=83:p(>h=:2f7?!?1k3;39n5+9`19e37<,03<6479;%627?323A9nj6`n75817a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj83;;7?56;294~"4n;08h95+97a95=3d3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1>>k4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>75a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:5=l51;494?6|,:l96>j;;%;5g?7?=j1/5l=5a738 3;18L6ca3gk<87<;0:k10a<722c9>84?::k17d<722c2<84?::k15f<722e284a8 "39:087E=jf:lb31<5<81b>9j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::52508m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7>8l0:6;4?:1y'7c4=;m>0(48l:0:6g>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132;>87d<;d;29?l45=3:17d<c;29?j?7l3:17pl>90395?0=83:p(>h=:2f7?!?1k3;39n5+9`19e37<,03<6479;%627?5<@:om7co84;070>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e90:m6<49:183!5a:39o86*66b82<0e<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=09885f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0;24?7=>3:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4>2k2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2=42=93<1<7>t$2d1>6b33-3=o7?75b9'=d5=i?;0(478:8;5?!26;390D>ki;oc40?4302c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=4?::085>5<7s-9m>7=k4:&:2f<60?332:6*;1280?M5bn2dj;94=489j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6g4=3;1:7>50z&0b7<4kl1/5;m538;e?!?f;3k==6*696823<729q/?k<53bg8 <0d2:32j6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:36a?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4i:91=7850;2x 6`52:in7)79c;1:=c=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<38?o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm3`11>4<1290;w)=i2;1`a>">>j0854h4$8c0>d063-32;7?7f:&756<43A9nj6`n75810a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:k8=7?56;294~"4n;08oh5+97a97>1>9k4i36g>5<6=44i31b>5<6=44i33`>5<76f:&:e681/549519d8 1742:1C?hh4n`57>72a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8m?h51;494?6|,:l96>mj;%;5g?5>1o1/5l=5a738 3;18L6ca3gk<87<:0:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<5=81b>9j50;9j673=831b>>o50;9j=53=831b>o=d;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::52408m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5f:j0:6;4?:1y'7c4=;jo0(48l:2;:b>">i:0j:<5+98595=`<,=;86>5G3dd8jd132;?87d<;d;29?l45=3:17d<c;29?j?7l3:17plh=:2af?!?1k3925k5+9`19e37<,03<6<6i;%627?5<@:om7co84;060>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;h8j6<49:183!5a:39hi6*66b80=<`<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=09985f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2c1=?7=>3:18g9'045=;2B8ik5aa669600o6=44i306>5<5<5<54>:783>5}#;o81?nk4$84`>6?>n2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0e71=93<1<7>t$2d1>6eb3-3=o7=69g9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?4202c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?l98:085>5<7s-9m>7=le:&:2f<410l0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94=589j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6g0>3;1:7>50z&0b7<4kl1/5;m538;e?!?f;3k==6*696823<729q/?k<53bg8 <0d2:32j6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:37a?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4i>>1=7850;2x 6`52:in7)79c;1:=c=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<38>o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm3`50>4<1290;w)=i2;1`a>">>j0854h4$8c0>d063-32;7?7f:&756<43A9nj6`n75811a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:k<>7?56;294~"4n;08oh5+97a97>1>8k4i36g>5<6=44i31b>5<6=44i33`>5<76f:&:e681/549519d8 1742:1C?hh4n`57>73a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8m:>51;494?6|,:l96>mj;%;5g?5>1o1/5l=5a738 3;18L6ca3gk<87<90:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<5>81b>9j50;9j673=831b>>o50;9j=53=831b>o9e;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::52708m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5f>m0:6;4?:1y'7c4=;jo0(48l:2;:b>">i:0j:<5+98595=`<,=;86>5G3dd8jd132;<87d<;d;29?l45=3:17d<c;29?j?7l3:17plh=:2af?!?1k3925k5+9`19e37<,03<6<6i;%627?5<@:om7co84;050>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;h;%;:3?7?n2.?=>4<;I1fb>hf?=09:85f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2c5e?7=>3:18g9'045=;2B8ik5aa669630o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>6?>n2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1<`>=93<1<7>t$2d1>6b33-3=o7<8869'=d5=i?;0(478:8;5?!26;390D>ki;oc40?4102c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>5;>:085>5<7s-9m>7=k4:&:2f<5?1=0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94=689j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f71fn3;187>50z&:e6;1/5;m526:4?M5bn2dj;94=6`9j60g=831b>?=50;9j=0?=831d;:=50;9~f71di3;1?7>50z&:e6?1/5;m526:4?M5bn2dj;94=6c9j036=831b>?=50;9l325=831vn?9m1;390?6=8r.2m>4n639'=3e=:>2<7E=jf:lb31<5>j1b>8o50;9j675=831b58750;9l325=831vn?9lb;397?6=8r.2m>4n679'=3e=:>2<7E=jf:lb31<5>m1b8;>50;9j675=831d;:=50;9~f71e;3;187>50z&:e6;1/5;m526:4?M5bn2dj;94=6d9j60g=831b>?=50;9j=0?=831d;:=50;9~f71dk3;1?7>50z&:e6?1/5;m526:4?M5bn2dj;94=6g9j036=831b>?=50;9l325=831vn?9m5;390?6=8r.2m>4n639'=3e=:>2<7E=jf:lb31<5?91b>8o50;9j675=831b58750;9l325=831vn?9ld;397?6=8r.2m>4n679'=3e=:>2<7E=jf:lb31<5?81b8;>50;9j675=831d;:=50;9~f71em3;1?7>50z&:e6<3>11/?k<584;8 <0d2;=3;6F80e>hj:188m6`a2900c57?:188yg40j>0:694?:1y'=d5=i?80(48l:35;3>N4mo1em::52618m73f2900e?<<:188m<3>2900c:9<:188yg40kl0:6>4?:1y'=d5=i?<0(48l:35;3>N4mo1em::52668m1072900e?<<:188k2142900qo<8bg82>6<729q/5l=547:8 6`521?27)79c;04<2=O;ll0bl9;:356?l5am3:17d=if;29?j>>83:17pl=7c:95?2=83:p(4o<:`41?!?1k38<4:5G3dd8jd132;==7d<:a;29?l45;3:17d7:9;29?j10;3:17pl=7bd95?5=83:p(4o<:`45?!?1k38<4:5G3dd8jd132;=<7d:90;29?l45;3:17b983;29?xd5?j:1=7=50;2x ">>j09;594H2ge?kg0<38<46g>o4no0;66a79183>>{e:>h26<4;:183!?f;3k=>6*66b813=1<@:om7co84;04=>o5=h0;66g=2283>>o>=00;66a87283>>{e:>n;6<4<:183!?f;3k=:6*66b813=1<@:om7co84;04e>o3>90;66g=2283>>i0?:0;66sm26a2>4<4290;w)7n3;65<>"4n;03945+97a962>03A9nj6`n75813g=n;oo1<75f3gd94?=h00:1<75rb35ae?7=<3:1:68;I1fb>hf?=09;n5f24c94?=n:;91<75f94;94?=h?>91<75rb35g5?7=;3:1:68;I1fb>hf?=09;i5f47294?=n:;91<75`76194?=zj;=h>7?53;294~">i:0?:55+3g09<0?<,0>1>:k4i2df>5<5<:583>5}#1h91m;<4$84`>71??2B8ik5aa66962`5<5<:283>5}#1h91m;84$84`>71??2B8ik5aa6696=65<=86=44}c04ff<62:0;6=u+9`1903><,:l965;6;%;5g?400>1C?hh4n`57>7>63`9mi7>5;h1eb?6=3f22<7>5;|`13d?=93>1<7>t$8c0>d053-3=o7<8869K7``5;h;6=?6=3f=5;|`13f2=9391<7>t$8c0>d013-3=o7<8869K7``5;n547?6=3th9;oj51;194?6|,0k86987;%1e6?>212.2:n4=7958L6ca3gk<87<74:k0b`<722c8jk4?::m;=5<722wi>:on:087>5<7s-3j?7o92:&:2f<5?1=0D>ki;oc40?4?=2c99l4?::k166<722c2944?::m436<722wi>:m::080>5<7s-3j?7o96:&:2f<5?1=0D>ki;oc40?4?>2c?:=4?::k166<722e<;>4?::a62ge280?6=4?{%;b7?g1:2.2:n4=7958L6ca3gk<87<77:k11d<722c9>>4?::k:1<<722e<;>4?::a62e128086=4?{%;b7?g1>2.2:n4=7958L6ca3gk<87<78:k725<722c9>>4?::m436<722wi>:ok:087>5<7s-3j?7o92:&:2f<5?1=0D>ki;oc40?4?12c99l4?::k166<722c2944?::m436<722wi>:m8:080>5<7s-3j?7o96:&:2f<5?1=0D>ki;oc40?4?i2c?:=4?::k166<722e<;>4?::a62e?28086=4?{%;b7?g1>2.2:n4=7958L6ca3gk<87<7b:k725<722c9>>4?::m436<722wi?>k<:080>5<7s-3j?7:98:&0b7=k6;390?6=8r.2m>4n639'=3e=;:n>7E=jf:lb31<50m1b>8o50;9j675=831b58750;9l325=831vn>=i1;397?6=8r.2m>4n679'=3e=;:n>7E=jf:lb31<50l1b8;>50;9j675=831d;:=50;9~f65b03;1?7>50z&:e6<3>11/?k<584;8 <0d2:9o96Fhj:188m6`a2900c57?:188yg54lh0:694?:1y'=d5=i?80(48l:21g1>N4mo1em::52828m73f2900e?<<:188m<3>2900c:9<:188yg54n?0:6>4?:1y'=d5=i?<0(48l:21g1>N4mo1em::52838m1072900e?<<:188k2142900qo=6<729q/5l=547:8 6`521?27)79c;10`0=O;ll0bl9;:3;1?l5am3:17d=if;29?j>>83:17pl<3e`95?2=83:p(4o<:`41?!?1k398h85G3dd8jd132;387d<:a;29?l45;3:17d7:9;29?j10;3:17pl<3g595?5=83:p(4o<:`45?!?1k398h85G3dd8jd132;3?7d:90;29?l45;3:17b983;29?xd4;lk1=7=50;2x ">>j08?i;4H2ge?kg0<38296g>o4no0;66a79183>>{e;:nh6<4;:183!?f;3k=>6*66b807a3<@:om7co84;0:2>o5=h0;66g=2283>>o>=00;66a87283>>{e;:l36<4<:183!?f;3k=:6*66b807a3<@:om7co84;0:3>o3>90;66g=2283>>i0?:0;66sm32ga>4<4290;w)7n3;65<>"4n;03945+97a976b23A9nj6`n7581===n;oo1<75f3gd94?=h00:1<75rb21g`?7=<3:1j:;I1fb>hf?=09545f24c94?=n:;91<75f94;94?=h?>91<75rb21e=?7=;3:1j:;I1fb>hf?=095l5f47294?=n:;91<75`76194?=zj:9no7?53;294~">i:0?:55+3g09<0?<,0
    =k5:J0ac=ii>>1>4l4i2df>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa66965<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa66965<=86=44}c10aa<62:0;6=u+9`1903><,:l965;6;%;5g?54l<1C?hh4n`57>7?b3`9mi7>5;h1eb?6=3f22<7>5;|`07a`=93>1<7>t$8c0>d053-3=o7=5;h;6=?6=3f=5;|`07cd=9391<7>t$8c0>d013-3=o7=5;n547?6=3th8?hk51;194?6|,0k86987;%1e6?>212.2:n4<3e78L6ca3gk<87k?:087>5<7s-3j?7o92:&:2f<4;m?0D>ki;oc40?4f:2c99l4?::k166<722c2944?::m436<722wi?>hl:080>5<7s-3j?7o96:&:2f<4;m?0D>ki;oc40?4f;2c?:=4?::k166<722e<;>4?::a76ca28086=4?{%;b7?2102.8j?47589'=3e=;:n>7E=jf:lb31<5i=1b?kk50;9j7c`=831d44>50;9~f65b93;187>50z&:e6;1/5;m532f6?M5bn2dj;94=a49j60g=831b>?=50;9j=0?=831d;:=50;9~f65al3;1?7>50z&:e6?1/5;m532f6?M5bn2dj;94=a79j036=831b>?=50;9l325=831vn>=i0;397?6=8r.2m>4;699'7c4=0<30(48l:21g1>N4mo1em::52`58m6`b2900e>hi:188k=?72900qo=1<729q/5l=5a708 <0d2:9o96F6<729q/5l=5a748 <0d2:9o96F4?:1y'=d5=h=:97:?!?1k398h85G3dd8jd132;kj7d=ie;29?l5an3:17b660;29?xd4;m=1=7:50;2x 56*66b807a3<@:om7co84;0b`>o4nl0;66g>i?190;66sm32f;>4<3290;w)7n3;c56>">>j08?i;4H2ge?kg0<38ji6g=5`83>>o5::0;66g65883>>i0?:0;66sm32d0>4<4290;w)7n3;c52>">>j08?i;4H2ge?kg0<38jj6g;6183>>o5::0;66a87283>>{e;:o=6<4<:183!?f;3>=46*j:;I1fb>hf?=09n=5f3gg94?=n;ol1<75`88294?=zj:9o57?54;294~">i:0j:?5+97a976b23A9nj6`n7581f4=n:i:0j:;5+97a976b23A9nj6`n7581f7=n91<75rb21f3?7=;3:165c=2B8ik5aa6696g55<=k5:J0ac=ii>>1>o:4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696g35<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696g05<=86=44}c17<2<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>o94i37b>5<5<=86=44}c17g3<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>o64i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696g?5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696gg5<=86=44}c17=1<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>ol4i37b>5<5<=86=44}c17`1<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>om4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696gb5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696gc5<=86=44}c17=3<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>oh4i37b>5<5<=86=44}c17`3<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>n>4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696f75<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696f45<=86=44}c17==<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>n=4i37b>5<5<=86=44}c17`=<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>n:4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696f35<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696f05<=86=44}c17=d<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>n94i37b>5<5<=86=44}c17`d<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>n64i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696f?5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696fg5<=86=44}c173`<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>nl4i37b>5<5<=86=44}c17fa<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>nm4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696fb5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696fc5<=86=44}c17<5<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>nh4i37b>5<5<=86=44}c17fc<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>i>4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696a75<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696a45<=86=44}c17<7<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>i=4i37b>5<5<=86=44}c17g4<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>i:4i543>5<5<4>:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696a35<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696a05<=86=44}c17<1<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>i94i37b>5<5<=86=44}c17g6<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>i64i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696a?5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696ag5<=86=44}c17<3<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>il4i37b>5<5<=86=44}c17g0<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>im4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696ab5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696ac5<=86=44}c17<<<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>ih4i37b>5<5<=86=44}c17g=<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>h>4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696`75<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696`45<=86=44}c17=k5:J0ac=ii>>1>h=4i37b>5<5<=86=44}c17gd<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>h:4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696`35<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696`05<=86=44}c17=k5:J0ac=ii>>1>h94i37b>5<5<=86=44}c17gf<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>h64i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696`?5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696`g5<=86=44}c17=k5:J0ac=ii>>1>hl4i37b>5<5<=86=44}c17g`<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>hm4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696`b5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696`c5<=86=44}c17=4<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>hh4i37b>5<5<=86=44}c17`5<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>k>4i543>5<5<4>:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696c75<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696c45<=86=44}c17`6<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>k=4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696c25<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696c35<=86=44}c170=<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>k84i37b>5<5<=86=44}c171<<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>k94i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696c>5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696c?5<=86=44}c170f<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>ko4i37b>5<5<=86=44}c171g<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>kl4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6696ce5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6696cb5<=86=44}c1715<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1>kk4i37b>5<5<=86=44}c171a<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1>kh4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6697565<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6697575<=86=44}c1711<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1?=<4i37b>5<5<=86=44}c171c<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1?==4i543>5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6697525<=86=44}c10bc<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1?=;4i37b>5<5<=86=44}c175d<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1?=84i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6697515<5<=4>:283>5}#1h91m;84$84`>65c=2B8ik5aa66975>5<=86=44}c174=<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1?=74i37b>5<5<=86=44}c1764<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1?=o4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa66975d5<5<?4>:283>5}#1h91m;84$84`>65c=2B8ik5aa66975e5<=86=44}c174f<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1?=j4i37b>5<5<=86=44}c1766<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1?=k4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa66975`5<5<94>:283>5}#1h91m;84$84`>65c=2B8ik5aa6697465<=86=44}c1755<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1?5<5<=86=44}c1760<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1?<<4i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6697455<5<;4>:283>5}#1h91m;84$84`>65c=2B8ik5aa6697425<=86=44}c1751<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1?<;4i37b>5<5<=86=44}c1762<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1?<84i543>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6697415<5<54>:283>5}#1h91m;84$84`>65c=2B8ik5aa66974>5<=86=44}c1745<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1?<74i37b>5<5<=86=44}c175g<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1?5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa66974d5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa66974e5<=86=44}c1741<62=0;6=u+9`19e34<,0
    =k5:J0ac=ii>>1?5<5<=86=44}c175a<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>1?5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa66974`5<=86=44}c177=<62:0;6=u+9`1903><,:l965;6;%;5g?54l<1C?hh4n`57>6473`9mi7>5;h1eb?6=3f22<7>5;|`007b=93>1<7>t$8c0>d053-3=o7=<>;h06e?6=3`89?7>5;h;6=?6=3f=5;|`006b=9391<7>t$8c0>d013-3=o7=<=;h654?6=3`89?7>5;n547?6=3th88>751;194?6|,0k86987;%1e6?>212.2:n4<3e78L6ca3gk<87==3:k0b`<722c8jk4?::m;=5<722wi?95<7s-3j?7o92:&:2f<4;m?0D>ki;oc40?55<2c99l4?::k166<722c2944?::m436<722wi?9=j:080>5<7s-3j?7o96:&:2f<4;m?0D>ki;oc40?55=2c?:=4?::k166<722e<;>4?::a715f28086=4?{%;b7?2102.8j?47589'=3e=;:n>7E=jf:lb31<4:?1b?kk50;9j7c`=831d44>50;9~f625n3;187>50z&:e6;1/5;m532f6?M5bn2dj;94<269j60g=831b>?=50;9j=0?=831d;:=50;9~f624n3;1?7>50z&:e6?1/5;m532f6?M5bn2dj;94<299j036=831b>?=50;9l325=831vn>:4;699'7c4=0<30(48l:21g1>N4mo1em::533;8m6`b2900e>hi:188k=?72900qo=;3182>1<729q/5l=5a708 <0d2:9o96F6<729q/5l=5a748 <0d2:9o96FN4mo1em::533a8m73f2900e?<<:188m<3>2900c:9<:188yg53<80:6>4?:1y'=d5=i?<0(48l:21g1>N4mo1em::533f8m1072900e?<<:188k2142900qo=;3382>1<729q/5l=5a708 <0d2:9o96F6<729q/5l=5a748 <0d2:9o96FN4mo1em::53228m73f2900e?<<:188m<3>2900c:9<:188yg53<:0:6>4?:1y'=d5=i?<0(48l:21g1>N4mo1em::53238m1072900e?<<:188k2142900qo=;3782>1<729q/5l=5a708 <0d2:9o96F6<729q/5l=5a748 <0d2:9o96F4?:1y'=d5=i?<0(48l:21g1>N4mo1em::53268m1072900e?<<:188k2142900qo=;6e82>6<729q/5l=547:8 6`521?27)79c;10`0=O;ll0bl9;:216?l5am3:17d=if;29?j>>83:17pl<47095?2=83:p(4o<:`41?!?1k398h85G3dd8jd132:9=7d<:a;29?l45;3:17d7:9;29?j10;3:17pl<46095?5=83:p(4o<:`45?!?1k398h85G3dd8jd132:9<7d:90;29?l45;3:17b983;29?xd4">>j08?i;4H2ge?kg0<39846g>o4no0;66a79183>>{e;=<86<4;:183!?f;3k=>6*66b807a3<@:om7co84;10=>o5=h0;66g=2283>>o>=00;66a87283>>{e;==86<4<:183!?f;3k=:6*66b807a3<@:om7co84;10e>o3>90;66g=2283>>i0?:0;66sm354e>4<4290;w)7n3;65<>"4n;03945+97a976b23A9nj6`n75807g=n;oo1<75f3gd94?=h00:1<75rb2650?7=<3:1j:;I1fb>hf?=08?n5f24c94?=n:;91<75f94;94?=h?>91<75rb2640?7=;3:1j:;I1fb>hf?=08?i5f47294?=n:;91<75`76194?=zj:><<7?53;294~">i:0?:55+3g09<0?<,0
    =k5:J0ac=ii>>1?>k4i2df>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa66976`5<5<:283>5}#1h91m;84$84`>65c=2B8ik5aa6697165<=86=44}c1734<62:0;6=u+9`1903><,:l965;6;%;5g?54l<1C?hh4n`57>6263`9mi7>5;h1eb?6=3f22<7>5;|`0030=93>1<7>t$8c0>d053-3=o7=:=;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0020=9391<7>t$8c0>d013-3=o7=:<;h654?6=3`89?7>5;n547?6=3th88;951;694?6|,0k86l8=;%;5g?54l<1C?hh4n`57>6233`8>m7>5;h017?6=3`3>57>5;n547?6=3th88:951;194?6|,0k86l89;%;5g?54l<1C?hh4n`57>6223`>=<7>5;h017?6=3f=5;|`003?=93>1<7>t$8c0>d053-3=o7=:9;h06e?6=3`89?7>5;h;6=?6=3f=5;|`002>=9391<7>t$8c0>d013-3=o7=:8;h654?6=3`89?7>5;n547?6=3th88;l51;694?6|,0k86l8=;%;5g?54l<1C?hh4n`57>62?3`8>m7>5;h017?6=3`3>57>5;n547?6=3th88:751;194?6|,0k86l89;%;5g?54l<1C?hh4n`57>62>3`>=<7>5;h017?6=3f=5;|`002g=9391<7>t$8c0>d013-3=o7=:n;h654?6=3`89?7>5;n547?6=3th89ij51;694?6|,0k86l8=;%;5g?54l<1C?hh4n`57>62e3`8>m7>5;h017?6=3`3>57>5;n547?6=3th89k851;194?6|,0k86l89;%;5g?54l<1C?hh4n`57>62d3`>=<7>5;h017?6=3f=5;|`01a`=93>1<7>t$8c0>d053-3=o7=:k;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01c1=9391<7>t$8c0>d013-3=o7=:j;h654?6=3`89?7>5;n547?6=3th89h>51;694?6|,0k86l8=;%;5g?54l<1C?hh4n`57>62a3`8>m7>5;h017?6=3`3>57>5;n547?6=3th89k651;194?6|,0k86l89;%;5g?54l<1C?hh4n`57>6373`>=<7>5;h017?6=3f=5;|`01`7=93>1<7>t$8c0>d053-3=o7=;>;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01c?=9391<7>t$8c0>d013-3=o7=;=;h654?6=3`89?7>5;n547?6=3th89h<51;694?6|,0k86l8=;%;5g?54l<1C?hh4n`57>6343`8>m7>5;h017?6=3`3>57>5;n547?6=3th89ko51;194?6|,0k86l89;%;5g?54l<1C?hh4n`57>6333`>=<7>5;h017?6=3f=5;|`01`5=93>1<7>t$8c0>d053-3=o7=;:;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01cd=9391<7>t$8c0>d013-3=o7=;9;h654?6=3`89?7>5;n547?6=3th89h:51;694?6|,0k86l8=;%;5g?54l<1C?hh4n`57>6303`8>m7>5;h017?6=3`3>57>5;n547?6=3th89km51;194?6|,0k86l89;%;5g?54l<1C?hh4n`57>63?3`>=<7>5;h017?6=3f=5;|`01`3=93>1<7>t$8c0>d053-3=o7=;6;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01cb=9391<7>t$8c0>d013-3=o7=;n;h654?6=3`89?7>5;n547?6=3th89kk51;194?6|,0k86l89;%;5g?54l<1C?hh4n`57>63e3`>=<7>5;h017?6=3f=5;|`011g=93?1<7>t$8c0>10?3-9m>79n1:&:2f<4;m?0D>ki;oc40?52k2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a705f280?6=4?{%;b7?g1:2.2:n4<3e78L6ca3gk<87=:d:k11d<722c9>>4?::k:1<<722e<;>4?::a7036280>6=4?{%;b7?2102.8j?48a09'=3e=;:n>7E=jf:lb31<4=l1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f63393;187>50z&:e6;1/5;m532f6?M5bn2dj;94<5g9j60g=831b>?=50;9j=0?=831d;:=50;9~f632:3;197>50z&:e6<3>11/?k<57`38 <0d2:9o96Fhj:188m6`a2900e9>?:188m1662900c57?:188yg52<;0:694?:1y'=d5=i?80(48l:21g1>N4mo1em::53738m73f2900e?<<:188m<3>2900c:9<:188yg52=:0:684?:1y'=d5=h=:6c2?!?1k398h85G3dd8jd132:<97d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd4==91=7:50;2x 1=7;50;2x ">>j08?i;4H2ge?kg0<39=86g>o4no0;66g;0183>>o3880;66a79183>>{e;<>?6<4;:183!?f;3k=>6*66b807a3<@:om7co84;151>o5=h0;66g=2283>>o>=00;66a87283>>{e;6<4::183!?f;3>=46*j:;I1fb>hf?=08:;5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:??97?54;294~">i:0j:?5+97a976b23A9nj6`n758022=n::7?55;294~">i:0?:55+3g093d7<,0
    =k5:J0ac=ii>>1?;64i2df>5<5<5<8;4>:583>5}#1h91m;<4$84`>65c=2B8ik5aa66973?5<5<9:4>:483>5}#1h918;64$2d1>2g63-3=o7=8n;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th899951;694?6|,0k86l8=;%;5g?54l<1C?hh4n`57>60e3`8>m7>5;h017?6=3`3>57>5;n547?6=3th898651;794?6|,0k86987;%1e6?1f92.2:n4<3e78L6ca3gk<87=9c:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wi?8:7:087>5<7s-3j?7o92:&:2f<4;m?0D>ki;oc40?51l2c99l4?::k166<722c2944?::m436<722wi?8;6:086>5<7s-3j?7:98:&0b7<0i81/5;m532f6?M5bn2dj;94<6d9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>;;9;390?6=8r.2m>4n639'=3e=;:n>7E=jf:lb31<4>o1b>8o50;9j675=831b58750;9l325=831vn>;;b;391?6=8r.2m>4;699'7c4=?h;0(48l:21g1>N4mo1em::53628m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=:3c82>1<729q/5l=5a708 <0d2:9o96F;0e?;n:188m7442900e4;6:188k2142900qo=:4b82>0<729q/5l=547:8 6`52>k:7)79c;10`0=O;ll0bl9;:251?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<52a95?2=83:p(4o<:`41?!?1k398h85G3dd8jd132:=87d<:a;29?l45;3:17d7:9;29?j10;3:17pl<55f95?3=83:p(4o<:54;?!5a:3=j=6*66b807a3<@:om7co84;140>o4nl0;66g>o3890;66g;0083>>i?190;66sm341g>4<3290;w)7n3;c56>">>j08?i;4H2ge?kg0<39<96g=5`83>>o5::0;66g65883>>i0?:0;66sm346f>4<2290;w)7n3;65<>"4n;0j:;I1fb>hf?=08;:5f24c94?=n:;91<75f94;94?=h?>91<75rb277b?7==3:165c=2B8ik5aa66972>5<5<=k5:J0ac=ii>>1?:74i37b>5<5<=86=44}c1615<62<0;6=u+9`1903><,:l96:o>;%;5g?54l<1C?hh4n`57>61f3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0116=93>1<7>t$8c0>d053-3=o7=9m;h06e?6=3`89?7>5;h;6=?6=3f=5;|`010g=93>1<7>t$8c0>d053-3=o7=9l;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0133=93>1<7>t$8c0>d053-3=o7=9k;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0126=93>1<7>t$8c0>d053-3=o7=9j;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0125=93>1<7>t$8c0>d053-3=o7=9i;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0122=93>1<7>t$8c0>d053-3=o7=6?;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0123=93>1<7>t$8c0>d053-3=o7=6>;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0120=93>1<7>t$8c0>d053-3=o7=6=;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0121=93>1<7>t$8c0>d053-3=o7=6<;h06e?6=3`89?7>5;h;6=?6=3f=5;|`012>=93>1<7>t$8c0>d053-3=o7=6;;h06e?6=3`89?7>5;h;6=?6=3f=5;|`012?=93>1<7>t$8c0>d053-3=o7=6:;h06e?6=3`89?7>5;h;6=?6=3f=5;|`010d=93>1<7>t$8c0>d053-3=o7=69;h06e?6=3`89?7>5;h;6=?6=3f=5;|`010e=93>1<7>t$8c0>d053-3=o7=68;h06e?6=3`89?7>5;h;6=?6=3f=5;|`010b=93>1<7>t$8c0>d053-3=o7=67;h06e?6=3`89?7>5;h;6=?6=3f=5;|`010c=93>1<7>t$8c0>d053-3=o7=66;h06e?6=3`89?7>5;h;6=?6=3f=5;|`010`=93>1<7>t$8c0>d053-3=o7=6n;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0136=93>1<7>t$8c0>d053-3=o7=6m;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0137=93>1<7>t$8c0>d053-3=o7=6l;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0134=93>1<7>t$8c0>d053-3=o7=6k;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0135=93>1<7>t$8c0>d053-3=o7=6j;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0132=93>1<7>t$8c0>d053-3=o7=6i;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0130=93>1<7>t$8c0>d053-3=o7=7?;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0131=93>1<7>t$8c0>d053-3=o7=7>;h06e?6=3`89?7>5;h;6=?6=3f=5;|`013>=93>1<7>t$8c0>d053-3=o7=7=;h06e?6=3`89?7>5;h;6=?6=3f=5;|`013?=93>1<7>t$8c0>d053-3=o7=7<;h06e?6=3`89?7>5;h;6=?6=3f=5;|`013g=93>1<7>t$8c0>d053-3=o7=7;;h06e?6=3`89?7>5;h;6=?6=3f=5;|`013d=93>1<7>t$8c0>d053-3=o7=7:;h06e?6=3`89?7>5;h;6=?6=3f=5;|`013e=93>1<7>t$8c0>d053-3=o7=79;h06e?6=3`89?7>5;h;6=?6=3f=5;|`013b=93>1<7>t$8c0>d053-3=o7=78;h06e?6=3`89?7>5;h;6=?6=3f=5;|`013c=93>1<7>t$8c0>d053-3=o7=77;h06e?6=3`89?7>5;h;6=?6=3f=5;|`013`=93>1<7>t$8c0>d053-3=o7=76;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0127=93>1<7>t$8c0>d053-3=o7=7n;h06e?6=3`89?7>5;h;6=?6=3f=5;|`0124=93>1<7>t$8c0>d053-3=o7=7m;h06e?6=3`89?7>5;h;6=?6=3f=5;|`011<7>t$8c0>d053-3=o7=7l;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d3=93>1<7>t$8c0>d053-3=o7=7k;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g6=93>1<7>t$8c0>d053-3=o7=7j;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g5=93>1<7>t$8c0>d053-3=o7=7i;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g2=93>1<7>t$8c0>d053-3=o7=o?;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g3=93>1<7>t$8c0>d053-3=o7=o>;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g0=93>1<7>t$8c0>d053-3=o7=o=;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g1=93>1<7>t$8c0>d053-3=o7=o<;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g>=93>1<7>t$8c0>d053-3=o7=o;;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g?=93>1<7>t$8c0>d053-3=o7=o:;h06e?6=3`89?7>5;h;6=?6=3f=5;|`011<7>t$8c0>d053-3=o7=o9;h06e?6=3`89?7>5;h;6=?6=3f=5;|`011<7>t$8c0>d053-3=o7=o8;h06e?6=3`89?7>5;h;6=?6=3f=5;|`011<7>t$8c0>d053-3=o7=o7;h06e?6=3`89?7>5;h;6=?6=3f=5;|`011<7>t$8c0>d053-3=o7=o6;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01<`=93>1<7>t$8c0>d053-3=o7=on;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d6=93>1<7>t$8c0>d053-3=o7=om;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d7=93>1<7>t$8c0>d053-3=o7=ol;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d4=93>1<7>t$8c0>d053-3=o7=ok;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d5=93>1<7>t$8c0>d053-3=o7=oj;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d2=93>1<7>t$8c0>d053-3=o7=oi;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d0=93>1<7>t$8c0>d053-3=o7=l?;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d1=93>1<7>t$8c0>d053-3=o7=l>;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d>=93>1<7>t$8c0>d053-3=o7=l=;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d?=93>1<7>t$8c0>d053-3=o7=l<;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01dg=93>1<7>t$8c0>d053-3=o7=l;;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01dd=93>1<7>t$8c0>d053-3=o7=l:;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01de=93>1<7>t$8c0>d053-3=o7=l9;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01db=93>1<7>t$8c0>d053-3=o7=l8;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01dc=93>1<7>t$8c0>d053-3=o7=l7;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01d`=93>1<7>t$8c0>d053-3=o7=l6;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g7=93>1<7>t$8c0>d053-3=o7=ln;h06e?6=3`89?7>5;h;6=?6=3f=5;|`01g4=93>1<7>t$8c0>d053-3=o7=lm;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<4b=93>1<7>t$8c0>d053-3=o7<8869K7``ll;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<64=9391<7>t$8c0>d013-3=o7<8869K7``lk;h654?6=3`89?7>5;n547?6=3th941C?hh4n`57>6db3`8>m7>5;h017?6=3`3>57>5;n547?6=3th94>=51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6da3`>=<7>5;h017?6=3f=5;|`1<76=93>1<7>t$8c0>d053-3=o7<8869K7``m?;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<62=9391<7>t$8c0>d013-3=o7<8869K7``m>;h654?6=3`89?7>5;n547?6=3th94?<51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6e53`8>m7>5;h017?6=3`3>57>5;n547?6=3th94>;51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6e43`>=<7>5;h017?6=3f=5;|`1<72=93>1<7>t$8c0>d053-3=o7<8869K7``m;;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<60=9391<7>t$8c0>d013-3=o7<8869K7``m:;h654?6=3`89?7>5;n547?6=3th94?851;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6e13`8>m7>5;h017?6=3`3>57>5;n547?6=3th94>951;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6e03`>=<7>5;h017?6=3f=5;|`1<7>=93>1<7>t$8c0>d053-3=o7<8869K7``m7;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<6>=9391<7>t$8c0>d013-3=o7<8869K7``m6;h654?6=3`89?7>5;n547?6=3th94?o51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6ef3`8>m7>5;h017?6=3`3>57>5;n547?6=3th94>751;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6ee3`>=<7>5;h017?6=3f=5;|`1<7e=93>1<7>t$8c0>d053-3=o7<8869K7``ml;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<6g=9391<7>t$8c0>d013-3=o7<8869K7``mk;h654?6=3`89?7>5;n547?6=3th94>l51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6eb3`>=<7>5;h017?6=3f=5;|`13a5=93>1<7>t$8c0>d053-3=o7<8869K7``mi;h06e?6=3`89?7>5;h;6=?6=3f=5;|`13`g=9391<7>t$8c0>d013-3=o7<8869K7``j?;h654?6=3`89?7>5;n547?6=3th9;i:51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6b63`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;hj51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6b53`>=<7>5;h017?6=3f=5;|`13a0=93>1<7>t$8c0>d053-3=o7<8869K7``j<;h06e?6=3`89?7>5;h;6=?6=3f=5;|`13`c=9391<7>t$8c0>d013-3=o7<8869K7``j;;h654?6=3`89?7>5;n547?6=3th9;i651;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6b23`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;hh51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6b13`>=<7>5;h017?6=3f=5;|`13ag=93>1<7>t$8c0>d053-3=o7<8869K7``j8;h06e?6=3`89?7>5;h;6=?6=3f=5;|`13c6=9391<7>t$8c0>d013-3=o7<8869K7``j7;h654?6=3`89?7>5;n547?6=3th9;im51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6b>3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;k?51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6bf3`>=<7>5;h017?6=3f=5;|`13ac=93>1<7>t$8c0>d053-3=o7<8869K7``jm;h06e?6=3`89?7>5;h;6=?6=3f=5;|`13c4=9391<7>t$8c0>d013-3=o7<8869K7``jl;h654?6=3`89?7>5;n547?6=3th9;h>51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6bc3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;k=51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6bb3`>=<7>5;h017?6=3f=5;|`13`4=93>1<7>t$8c0>d053-3=o7<8869K7``ji;h06e?6=3`89?7>5;h;6=?6=3f=5;|`13c2=9391<7>t$8c0>d013-3=o7<8869K7``k?;h654?6=3`89?7>5;n547?6=3th9;h:51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6c63`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;k;51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6c53`>=<7>5;h017?6=3f=5;|`13`d=9391<7>t$8c0>d013-3=o7<8869K7``k<;h654?6=3`89?7>5;n547?6=3th9;k851;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6c33`8>m7>5;h017?6=3`3>57>5;n547?6=3th94=j51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6c23`>=<7>5;h017?6=3f=5;|`13c?=93>1<7>t$8c0>d053-3=o7<8869K7``k9;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<47=9391<7>t$8c0>d013-3=o7<8869K7``k8;h654?6=3`89?7>5;n547?6=3th9;kl51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6c?3`8>m7>5;h017?6=3`3>57>5;n547?6=3th94<<51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6c>3`>=<7>5;h017?6=3f=5;|`13cb=93>1<7>t$8c0>d053-3=o7<8869K7``kn;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<45=9391<7>t$8c0>d013-3=o7<8869K7``km;h654?6=3`89?7>5;n547?6=3th9;kh51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6cd3`8>m7>5;h017?6=3`3>57>5;n547?6=3th94<:51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6cc3`>=<7>5;h017?6=3f=5;|`1<57=93>1<7>t$8c0>d053-3=o7<8869K7``kj;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<43=9391<7>t$8c0>d013-3=o7<8869K7``ki;h654?6=3`89?7>5;n547?6=3th94==51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6`73`8>m7>5;h017?6=3`3>57>5;n547?6=3th94<851;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6`63`>=<7>5;h017?6=3f=5;|`1<53=93>1<7>t$8c0>d053-3=o7<8869K7``h=;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<41=9391<7>t$8c0>d013-3=o7<8869K7``h<;h654?6=3`89?7>5;n547?6=3th94=951;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6`33`8>m7>5;h017?6=3`3>57>5;n547?6=3th94<651;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6`23`>=<7>5;h017?6=3f=5;|`1<5?=93>1<7>t$8c0>d053-3=o7<8869K7``h9;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1<4?=9391<7>t$8c0>d013-3=o7<8869K7``h8;h654?6=3`89?7>5;n547?6=3th9;k951;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6`?3`8>m7>5;h017?6=3`3>57>5;n547?6=3th94=k51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6`>3`>=<7>5;h017?6=3f=5;|`1<5`=9391<7>t$8c0>d013-3=o7<8869K7``hn;h654?6=3`89?7>5;n547?6=3th9;4>51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6`e3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;l<51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>6`d3`>=<7>5;h017?6=3f=5;|`13<7=93>1<7>t$8c0>d053-3=o7<8869K7``hk;h06e?6=3`89?7>5;h;6=?6=3f=5;|`13d5=9391<7>t$8c0>d013-3=o7<8869K7``hj;h654?6=3`89?7>5;n547?6=3th9;4=51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>6`a3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;l:51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>1673`>=<7>5;h017?6=3f=5;|`13<2=93>1<7>t$8c0>d053-3=o7<8869K7``>;h06e?6=3`89?7>5;h;6=?6=3f=5;|`13d3=9391<7>t$8c0>d013-3=o7<8869K7``=;h654?6=3`89?7>5;n547?6=3th9;4851;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>1643`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;l851;194?6|,0k86l89;%;5g?400>1C?hh4n`57>1633`>=<7>5;h017?6=3f=5;|`13=>=93>1<7>t$8c0>d053-3=o7<8869K7``:;h06e?6=3`89?7>5;h;6=?6=3f=5;|`13t$8c0>d013-3=o7<8869K7``9;h654?6=3`89?7>5;n547?6=3th9;5o51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>1603`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;4j51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>16?3`>=<7>5;h017?6=3f=5;|`13=e=93>1<7>t$8c0>d053-3=o7<8869K7``6;h06e?6=3`89?7>5;h;6=?6=3f=5;|`13t$8c0>d013-3=o7<8869K7``n;h654?6=3`89?7>5;n547?6=3th9;5k51;694?6|,0k86l8=;%;5g?400>1C?hh4n`57>16e3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9;4h51;194?6|,0k86l89;%;5g?400>1C?hh4n`57>16d3`>=<7>5;h017?6=3f=5;|`13d6=9391<7>t$8c0>d013-3=o7<8869K7``k;h654?6=3`89?7>5;n547?6=3th85oj51;194?6|,0k86987;%1e6?>212.2:n4<98d8L6ca3gk<87:?e:k0b`<722c8jk4?::m;=5<722wi?4oi:087>5<7s-3j?7o92:&:2f<410l0D>ki;oc40?27n2c99l4?::k166<722c2944?::m436<722wi?4ml:080>5<7s-3j?7o96:&:2f<410l0D>ki;oc40?2682c?:=4?::k166<722e<;>4?::a750;9~f6?e83;187>50z&:e6;1/5;m538;e?M5bn2dj;94;139j60g=831b>?=50;9j=0?=831d;:=50;9~f6?dl3;1?7>50z&:e6?1/5;m538;e?M5bn2dj;94;129j036=831b>?=50;9l325=831vn>7mf;397?6=8r.2m>4;699'7c4=0<30(48l:2;:b>N4mo1em::54068m6`b2900e>hi:188k=?72900qo=6b082>1<729q/5l=5a708 <0d2:32j6F6<729q/5l=5a748 <0d2:32j6Fk90:6>4?:1y'=d5=h=:97:?!?1k3925k5G3dd8jd132=;<7d=ie;29?l5an3:17b660;29?xd41k81=7:50;2x 56*66b80=<`<@:om7co84;62e>o4nl0;66g>i?190;66sm38`0>4<3290;w)7n3;c56>">>j0854h4H2ge?kg0<3>:n6g=5`83>>o5::0;66g65883>>i0?:0;66sm38f3>4<4290;w)7n3;c52>">>j0854h4H2ge?kg0<3>:o6g;6183>>o5::0;66a87283>>{e;0i96<4<:183!?f;3>=46*hf?=0?=i5f3gg94?=n;ol1<75`88294?=zj:3i87?54;294~">i:0j:?5+97a97i:0j:;5+97a9791<75rb2;`7?7=;3:16?>n2B8ik5aa6690765<76f:J0ac=ii>>18??4i37b>5<5<=86=44}c1:`7<62:0;6=u+9`19e30<,0
    76f:J0ac=ii>>18?<4i543>5<5<:283>5}#1h918;64$2d1>=3>3-3=o7=69g9K7``5;n::4?6=3th85o851;694?6|,0k86l8=;%;5g?5>1o1C?hh4n`57>1433`8>m7>5;h017?6=3`3>57>5;n547?6=3th85i=51;194?6|,0k86l89;%;5g?5>1o1C?hh4n`57>1423`>=<7>5;h017?6=3f=5;|`0=f3=9391<7>t$8c0>10?3-9m>76:9:&:2f<410l0D>ki;oc40?25>2c8jh4?::k0bc<722e35=4?::a7>4?::k:1<<722e<;>4?::a72.2:n4<98d8L6ca3gk<87:=8:k725<722c9>>4?::m436<722wi?4m9:080>5<7s-3j?7:98:&0b77m8;390?6=8r.2m>4n639'=3e=;03m7E=jf:lb31<3:h1b>8o50;9j675=831b58750;9l325=831vn>7k5;397?6=8r.2m>4n679'=3e=;03m7E=jf:lb31<3:k1b8;>50;9j675=831d;:=50;9~f6?d?3;1?7>50z&:e6<3>11/?k<584;8 <0d2:32j6Fhj:188m6`a2900c57?:188yg5>j00:694?:1y'=d5=i?80(48l:2;:b>N4mo1em::543f8m73f2900e?<<:188m<3>2900c:9<:188yg5>l?0:6>4?:1y'=d5=i?<0(48l:2;:b>N4mo1em::543g8m1072900e?<<:188k2142900qo=6c982>6<729q/5l=547:8 6`521?27)79c;1:=c=O;ll0bl9;:50e?l5am3:17d=if;29?j>>83:17pl<9cc95?2=83:p(4o<:`41?!?1k3925k5G3dd8jd132=9;7d<:a;29?l45;3:17d7:9;29?j10;3:17pl<9e595?5=83:p(4o<:`45?!?1k3925k5G3dd8jd132=9:7d:90;29?l45;3:17b983;29?xd41j31=7=50;2x ">>j0854h4H2ge?kg0<3>8>6g>o4no0;66a79183>>{e;0hi6<4;:183!?f;3k=>6*66b80=<`<@:om7co84;607>o5=h0;66g=2283>>o>=00;66a87283>>{e;0n36<4<:183!?f;3k=:6*66b80=<`<@:om7co84;600>o3>90;66g=2283>>i0?:0;66sm38ab>4<4290;w)7n3;65<>"4n;03945+97a97hf?=0??;5f24c94?=n:;91<75f94;94?=h?>91<75rb2;g=?7=;3:1hf?=0??:5f47294?=n:;91<75`76194?=zj:3hn7?53;294~">i:0?:55+3g09<0?<,0
    76f:J0ac=ii>>18>64i2df>5<5<:283>5}#1h91m;84$84`>6?>n2B8ik5aa66906?5<=86=44}c1:b<<62:0;6=u+9`1903><,:l965;6;%;5g?5>1o1C?hh4n`57>15f3`9mi7>5;h1eb?6=3f22<7>5;|`0=`d=93>1<7>t$8c0>d053-3=o7=69g9K7``5;h;6=?6=3f=5;|`0e5>=9391<7>t$8c0>d013-3=o7=69g9K7``5;n547?6=3th85ko51;194?6|,0k86987;%1e6?>212.2:n4<98d8L6ca3gk<87:5<7s-3j?7o92:&:2f<410l0D>ki;oc40?24m2c99l4?::k166<722c2944?::m436<722wi?l>6:080>5<7s-3j?7o96:&:2f<410l0D>ki;oc40?24n2c?:=4?::k166<722e<;>4?::a7<`e28086=4?{%;b7?2102.8j?47589'=3e=;03m7E=jf:lb31<3<91b?kk50;9j7c`=831d44>50;9~f6?bl3;187>50z&:e6;1/5;m538;e?M5bn2dj;94;409j60g=831b>?=50;9j=0?=831d;:=50;9~f6g7i3;1?7>50z&:e6?1/5;m538;e?M5bn2dj;94;439j036=831b>?=50;9l325=831vn>7ic;397?6=8r.2m>4;699'7c4=0<30(48l:2;:b>N4mo1em::54518m6`b2900e>hi:188k=?72900qo=6ed82>1<729q/5l=5a708 <0d2:32j6F0e?;n:188m7442900e4;6:188k2142900qo=n0c82>6<729q/5l=5a748 <0d2:32j6Fnm0:6>4?:1y'=d5=h=:97:?!?1k3925k5G3dd8jd132=>=7d=ie;29?l5an3:17b660;29?xd41ll1=7:50;2x 56*66b80=<`<@:om7co84;67=>o4nl0;66g>i?190;66sm38d3>4<3290;w)7n3;c56>">>j0854h4H2ge?kg0<3>?m6g=5`83>>o5::0;66g65883>>i0?:0;66sm3`2g>4<4290;w)7n3;c52>">>j0854h4H2ge?kg0<3>?n6g;6183>>o5::0;66a87283>>{e;0lm6<4<:183!?f;3>=46*hf?=0?8n5f3gg94?=n;ol1<75`88294?=zj:3m=7?54;294~">i:0j:?5+97a97i:0j:;5+97a9791<75rb2c34?7=;3:16?>n2B8ik5aa66901`5<76f:J0ac=ii>>188>4i37b>5<5<=86=44}c1b4c<62:0;6=u+9`19e30<,0
    76f:J0ac=ii>>188?4i543>5<5<:283>5}#1h918;64$2d1>=3>3-3=o7=69g9K7``5;n::4?6=3th85k=51;694?6|,0k86l8=;%;5g?5>1o1C?hh4n`57>1343`8>m7>5;h017?6=3`3>57>5;n547?6=3th8m<>51;194?6|,0k86l89;%;5g?5>1o1C?hh4n`57>1333`>=<7>5;h017?6=3f=5;|`0e54=9391<7>t$8c0>10?3-9m>76:9:&:2f<410l0D>ki;oc40?22=2c8jh4?::k0bc<722e35=4?::a7<`3280?6=4?{%;b7?g1:2.2:n4<98d8L6ca3gk<87::6:k11d<722c9>>4?::k:1<<722e<;>4?::a7d7628086=4?{%;b7?g1>2.2:n4<98d8L6ca3gk<87::7:k725<722c9>>4?::m436<722wi?l><:080>5<7s-3j?7:98:&0b77i5;390?6=8r.2m>4n639'=3e=;03m7E=jf:lb31<3=01b>8o50;9j675=831b58750;9l325=831vn>o>2;397?6=8r.2m>4n679'=3e=;03m7E=jf:lb31<3=h1b8;>50;9j675=831d;:=50;9~f6g7<3;1?7>50z&:e6<3>11/?k<584;8 <0d2:32j6Fhj:188m6`a2900c57?:188yg5>n?0:694?:1y'=d5=i?80(48l:2;:b>N4mo1em::544a8m73f2900e?<<:188m<3>2900c:9<:188yg5f9:0:6>4?:1y'=d5=i?<0(48l:2;:b>N4mo1em::544f8m1072900e?<<:188k2142900qo=n0482>6<729q/5l=547:8 6`521?27)79c;1:=c=O;ll0bl9;:57f?l5am3:17d=if;29?j>>83:17pl<9g595?2=83:p(4o<:`41?!?1k3925k5G3dd8jd132=?m7d<:a;29?l45;3:17d7:9;29?j10;3:17pl">>j0854h4H2ge?kg0<3>==6g>o4no0;66a79183>>{e;0l36<4;:183!?f;3k=>6*66b80=<`<@:om7co84;656>o5=h0;66g=2283>>o>=00;66a87283>>{e;h;>6<4<:183!?f;3k=:6*66b80=<`<@:om7co84;657>o3>90;66g=2283>>i0?:0;66sm3`24>4<4290;w)7n3;65<>"4n;03945+97a97hf?=0?:85f47294?=n:;91<75`76194?=zj:>mm7?53;294~">i:0?:55+3g09<0?<,0
    =k5:J0ac=ii>>18;84i2df>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6690315<5<=l4>:283>5}#1h91m;84$84`>65c=2B8ik5aa66903>5<=86=44}c1640<62:0;6=u+9`1903><,:l965;6;%;5g?54l<1C?hh4n`57>10>3`9mi7>5;h1eb?6=3f22<7>5;|`00`0=93>1<7>t$8c0>d053-3=o7=5;h;6=?6=3f=5;|`0173=9391<7>t$8c0>d013-3=o7=5;n547?6=3th89<>51;194?6|,0k86987;%1e6?>212.2:n4<3e78L6ca3gk<87:9c:k0b`<722c8jk4?::m;=5<722wi?9h>:087>5<7s-3j?7o92:&:2f<4;m?0D>ki;oc40?21l2c99l4?::k166<722c2944?::m436<722wi?8=?:080>5<7s-3j?7o96:&:2f<4;m?0D>ki;oc40?21m2c?:=4?::k166<722e<;>4?::a707428086=4?{%;b7?2102.8j?47589'=3e=;:n>7E=jf:lb31<3>o1b?kk50;9j7c`=831d44>50;9~f62a;3;187>50z&:e6;1/5;m532f6?M5bn2dj;94;719j60g=831b>?=50;9j=0?=831d;:=50;9~f634;3;1?7>50z&:e6?1/5;m532f6?M5bn2dj;94;709j036=831b>?=50;9l325=831vn>;>4;397?6=8r.2m>4;699'7c4=0<30(48l:21g1>N4mo1em::54608m6`b2900e>hi:188k=?72900qo=;f582>1<729q/5l=5a708 <0d2:9o96F90e?;n:188m7442900e4;6:188k2142900qo=:3582>6<729q/5l=5a748 <0d2:9o96F>0e98?:188m7442900c:9<:188yg529<0:6>4?:1y'=d5=h=:97:?!?1k398h85G3dd8jd132==>7d=ie;29?l5an3:17b660;29?xd456*66b807a3<@:om7co84;64<>o4nl0;66g>i?190;66sm35d5>4<3290;w)7n3;c56>">>j08?i;4H2ge?kg0<3><56g=5`83>>o5::0;66g65883>>i0?:0;66sm3415>4<4290;w)7n3;c52>">>j08?i;4H2ge?kg0<3>>o5::0;66a87283>>{e;<;<6<4<:183!?f;3>=46*j:;I1fb>hf?=0?;o5f3gg94?=n;ol1<75`88294?=zj:>m;7?54;294~">i:0j:?5+97a976b23A9nj6`n75873f=n:i:0j:;5+97a976b23A9nj6`n75873a=n91<75rb27265c=2B8ik5aa66902c5<=k5:J0ac=ii>>18:h4i37b>5<5<=86=44}c167=<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>185>4i543>5<5<=44>:283>5}#1h918;64$2d1>=3>3-3=o7=;h1ea?6=3`9mj7>5;n::4?6=3th88k751;694?6|,0k86l8=;%;5g?54l<1C?hh4n`57>1>53`8>m7>5;h017?6=3`3>57>5;n547?6=3th89>751;194?6|,0k86l89;%;5g?54l<1C?hh4n`57>1>43`>=<7>5;h017?6=3f=5;|`00cd=9391<7>t$8c0>10?3-9m>76:9:&:2f<4;m?0D>ki;oc40?2?<2c8jh4?::k0bc<722e35=4?::a71bd280?6=4?{%;b7?g1:2.2:n4<3e78L6ca3gk<87:75:k11d<722c9>>4?::k:1<<722e<;>4?::a707e28086=4?{%;b7?g1>2.2:n4<3e78L6ca3gk<87:76:k725<722c9>>4?::m436<722wi?9hl:080>5<7s-3j?7:98:&0b7:kd;390?6=8r.2m>4n639'=3e=;:n>7E=jf:lb31<3011b>8o50;9j675=831b58750;9l325=831vn>;>c;397?6=8r.2m>4n679'=3e=;:n>7E=jf:lb31<3001b8;>50;9j675=831d;:=50;9~f62al3;1?7>50z&:e6<3>11/?k<584;8 <0d2:9o96Fhj:188m6`a2900c57?:188yg53ll0:694?:1y'=d5=i?80(48l:21g1>N4mo1em::549`8m73f2900e?<<:188m<3>2900c:9<:188yg529m0:6>4?:1y'=d5=i?<0(48l:21g1>N4mo1em::549a8m1072900e?<<:188k2142900qo=;fd82>6<729q/5l=547:8 6`521?27)79c;10`0=O;ll0bl9;:5:g?l5am3:17d=if;29?j>>83:17pl<4ed95?2=83:p(4o<:`41?!?1k398h85G3dd8jd132=2n7d<:a;29?l45;3:17d7:9;29?j10;3:17pl<50g95?5=83:p(4o<:`45?!?1k398h85G3dd8jd132=2m7d:90;29?l45;3:17b983;29?xd4">>j08?i;4H2ge?kg0<3>2<6g>o4no0;66a79183>>{e;=o;6<4;:183!?f;3k=>6*66b807a3<@:om7co84;6:5>o5=h0;66g=2283>>o>=00;66a87283>>{e;<;m6<4<:183!?f;3k=:6*66b807a3<@:om7co84;6:6>o3>90;66g=2283>>i0?:0;66sm3423>4<4290;w)7n3;65<>"4n;03945+97a976b23A9nj6`n7587=6=n;oo1<75f3gd94?=h00:1<75rb26f5?7=<3:1j:;I1fb>hf?=0?595f24c94?=n:;91<75f94;94?=h?>91<75rb2714?7=;3:1j:;I1fb>hf?=0?585f47294?=n:;91<75`76194?=zj:?;=7?53;294~">i:0?:55+3g09<0?<,0
    =k5:J0ac=ii>>18484i2df>5<5<:583>5}#1h91m;<4$84`>65c=2B8ik5aa6690<15<5<><4>:283>5}#1h91m;84$84`>65c=2B8ik5aa6690<>5<=86=44}c1647<62:0;6=u+9`1903><,:l965;6;%;5g?54l<1C?hh4n`57>1?>3`9mi7>5;h1eb?6=3f22<7>5;|`00`5=93>1<7>t$8c0>d053-3=o7=5;h;6=?6=3f=5;|`0174=9391<7>t$8c0>d013-3=o7=5;n547?6=3th89==51;194?6|,0k86987;%1e6?>212.2:n4<3e78L6ca3gk<87:6c:k0b`<722c8jk4?::m;=5<722wi?9k;:087>5<7s-3j?7o92:&:2f<4;m?0D>ki;oc40?2>l2c99l4?::k166<722c2944?::m436<722wi?8<<:080>5<7s-3j?7o96:&:2f<4;m?0D>ki;oc40?2>m2c?:=4?::k166<722e<;>4?::a706328086=4?{%;b7?2102.8j?47589'=3e=;:n>7E=jf:lb31<31o1b?kk50;9j7c`=831d44>50;9~f62b=3;187>50z&:e6;1/5;m532f6?M5bn2dj;94;a19j60g=831b>?=50;9j=0?=831d;:=50;9~f635<3;1?7>50z&:e6?1/5;m532f6?M5bn2dj;94;a09j036=831b>?=50;9l325=831vn>;?6;397?6=8r.2m>4;699'7c4=0<30(48l:21g1>N4mo1em::54`08m6`b2900e>hi:188k=?72900qo=;e682>1<729q/5l=5a708 <0d2:9o96F6<729q/5l=5a748 <0d2:9o96F0e98?:188m7442900c:9<:188yg528>0:6>4?:1y'=d5=h=:97:?!?1k398h85G3dd8jd132=k>7d=ie;29?l5an3:17b660;29?xd456*66b807a3<@:om7co84;6b<>o4nl0;66g>i?190;66sm35g:>4<3290;w)7n3;c56>">>j08?i;4H2ge?kg0<3>j56g=5`83>>o5::0;66g65883>>i0?:0;66sm340;>4<4290;w)7n3;c52>">>j08?i;4H2ge?kg0<3>jm6g;6183>>o5::0;66a87283>>{e;<:26<4<:183!?f;3>=46*j:;I1fb>hf?=0?mo5f3gg94?=n;ol1<75`88294?=zj:>nm7?54;294~">i:0j:?5+97a976b23A9nj6`n7587ef=n:i:0j:;5+97a976b23A9nj6`n7587ea=n91<75rb273e?7=;3:165c=2B8ik5aa6690dc5<=k5:J0ac=ii>>18lh4i37b>5<5<=86=44}c166d<62:0;6=u+9`19e30<,0
    =k5:J0ac=ii>>18o>4i543>5<5<:283>5}#1h918;64$2d1>=3>3-3=o7=;h1ea?6=3`9mj7>5;n::4?6=3th88hm51;694?6|,0k86l8=;%;5g?54l<1C?hh4n`57>1d53`8>m7>5;h017?6=3`3>57>5;n547?6=3th89?l51;194?6|,0k86l89;%;5g?54l<1C?hh4n`57>1d43`>=<7>5;h017?6=3f=5;|`015e=9391<7>t$8c0>10?3-9m>76:9:&:2f<4;m?0D>ki;oc40?2e<2c8jh4?::k0bc<722e35=4?::a71cc280?6=4?{%;b7?g1:2.2:n4<3e78L6ca3gk<87:m5:k11d<722c9>>4?::k:1<<722e<;>4?::a704d28086=4?{%;b7?g1>2.2:n4<3e78L6ca3gk<87:m6:k725<722c9>>4?::m436<722wi?8>k:080>5<7s-3j?7:98:&0b7:je;390?6=8r.2m>4n639'=3e=;:n>7E=jf:lb31<3j11b>8o50;9j675=831b58750;9l325=831vn>;=d;397?6=8r.2m>4n679'=3e=;:n>7E=jf:lb31<3j01b8;>50;9j675=831d;:=50;9~f637m3;1?7>50z&:e6<3>11/?k<584;8 <0d2:9o96Fhj:188m6`a2900c57?:188yg53mo0:694?:1y'=d5=i?80(48l:21g1>N4mo1em::54c`8m73f2900e?<<:188m<3>2900c:9<:188yg52:l0:6>4?:1y'=d5=i?<0(48l:21g1>N4mo1em::54ca8m1072900e?<<:188k2142900qo=:0g82>6<729q/5l=547:8 6`521?27)79c;10`0=O;ll0bl9;:5`g?l5am3:17d=if;29?j>>83:17pl<4g295?2=83:p(4o<:`41?!?1k398h85G3dd8jd132=hn7d<:a;29?l45;3:17d7:9;29?j10;3:17pl<53d95?5=83:p(4o<:`45?!?1k398h85G3dd8jd132=hm7d:90;29?l45;3:17b983;29?xd4=8;1=7=50;2x ">>j08?i;4H2ge?kg0<3>h<6g>o4no0;66a79183>>{e;=l96<4;:183!?f;3k=>6*66b807a3<@:om7co84;6`5>o5=h0;66g=2283>>o>=00;66a87283>>{e;<9:6<4<:183!?f;3k=:6*66b807a3<@:om7co84;6`6>o3>90;66g=2283>>i0?:0;66sm3431>4<4290;w)7n3;65<>"4n;03945+97a976b23A9nj6`n7587g6=n;oo1<75f3gd94?=h00:1<75rb2706?7=;3:1j:;I1fb>hf?=0?o95f47294?=n:;91<75`76194?=zj;k:97?53;294~">i:0?:55+3g09<0g<,0>18n;4i2df>5<5<:583>5}#1h91m;:4$84`>44?j2B8ik5aa6690f05<5<:583>5}#1h91m;=4$84`>44?j2B8ik5aa6690f15<5<:583>5}#1h918;64$2d1>=643-3=o7?=8c9K7``5;h634?6=3f22<7>5;|`1=`1=93>1<7>t$8c0>d043-3=o7?=8c9K7``5;h;6=?6=3f=5;|`1e42=93>1<7>t$8c0>10?3-9m>76?3:&:2f<6:1h0D>ki;oc40?2di2c8jh4?::k0bc<722c?<=4?::m;=5<722wi>49n:087>5<7s-3j?7o94:&:2f<6:1h0D>ki;oc40?2dj2c8jh4?::k0bc<722c2944?::m436<722wi>l:m:087>5<7s-3j?7o93:&:2f<6:1h0D>ki;oc40?2dk2c8jh4?::k0bc<722c2944?::m436<722wi>l9n:087>5<7s-3j?7:98:&0b750;9~f7?b=3;187>50z&:e6:1/5;m513:a?M5bn2dj;94;cd9j7cc=831b?kh50;9j=0?=831d;:=50;9~f7g6:3;187>50z&:e6<3>11/?k<58118 <0d2883n6Fhj:188m6`a2900e9>?:188k=?72900qo<6e582>1<729q/5l=5a718 <0d2883n6Fhj:188m6`a2900e4;6:188k2142900qo0<729q/5l=547:8 6`521=j7)79c;31>83:17pl=a0395?3=83:p(4o<:54;?!5a:3=o;6*66b826=d<@:om7co84;6g6>o4nl0;66g>o3890;66g;0083>>i?190;66sm285:>4<3290;w)7n3;c50>">>j0:>5l4H2ge?kg0<3>o?6g>o4no0;66g65883>>i0?:0;66sm2`6b>4<3290;w)7n3;c57>">>j0:>5l4H2ge?kg0<3>o86g>o4no0;66g65883>>i0?:0;66sm2`5;>4<3290;w)7n3;65<>"4n;03<>5+97a957>e3A9nj6`n7587`0=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;3n>7?54;294~">i:0j:>5+97a957>e3A9nj6`n7587`3=n;oo1<75f3gd94?=n1<31<75`76194?=zj;k:<7?54;294~">i:0?:55+3g09<55<,0>18i94i2df>5<5<>18i64i2df>5<5<=86=44}c0b32<62<0;6=u+9`1903><,:l9659n;%;5g?750k1C?hh4n`57>1b>3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1e5`=93?1<7>t$8c0>10?3-9m>79k7:&:2f<6:1h0D>ki;oc40?2ci2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a6<1?280?6=4?{%;b7?g1<2.2:n4>29`8L6ca3gk<87:kb:k0b`<722c8jk4?::k:1<<722e<;>4?::a6d2>280?6=4?{%;b7?g1;2.2:n4>29`8L6ca3gk<87:kc:k0b`<722c8jk4?::k:1<<722e<;>4?::a6d11280?6=4?{%;b7?2102.8j?47029'=3e=9;2i7E=jf:lb31<3lm1b?kk50;9j7c`=831b8=>50;9l<<6=831vn?7kf;390?6=8r.2m>4n629'=3e=9;2i7E=jf:lb31<3ll1b?kk50;9j7c`=831b58750;9l325=831vn?o?e;390?6=8r.2m>4;699'7c4=0990(48l:00;f>N4mo1em::54ed8m6`b2900e>hi:188m1672900c57?:188yg4>ll0:694?:1y'=d5=i?90(48l:00;f>N4mo1em::54d28m6`b2900e>hi:188m<3>2900c:9<:188yg4f?<0:684?:1y'=d5=h=:95b?!?1k3;94o5G3dd8jd132=o:7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd5i9n1=7;50;2x ">>j0:>5l4H2ge?kg0<3>n>6g>o4no0;66g;0183>>o3880;66a79183>>{e:0=<6<4;:183!?f;3k=86*66b826=d<@:om7co84;6f7>o4nl0;66g>o>=00;66a87283>>{e:h>36<4;:183!?f;3k=?6*66b826=d<@:om7co84;6f0>o4nl0;66g>o>=00;66a87283>>{e:h=?6<4;:183!?f;3>=46*hf?=0?i85f3gg94?=n;ol1<75f41294?=h00:1<75rb3;gg?7=<3:1hf?=0?i;5f3gg94?=n;ol1<75f94;94?=h?>91<75rb3c3g?7=<3:144?j2B8ik5aa6690`15<5<:583>5}#1h91m;=4$84`>44?j2B8ik5aa6690`>5<5<4>:483>5}#1h918;64$2d1>=1f3-3=o7?=8c9K7``5;h634?6=3`>;=7>5;n::4?6=3th9m=l51;794?6|,0k86987;%1e6?1c?2.2:n4>29`8L6ca3gk<87:ja:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wi>499:087>5<7s-3j?7o94:&:2f<6:1h0D>ki;oc40?2bj2c8jh4?::k0bc<722c2944?::m436<722wi>l:8:087>5<7s-3j?7o93:&:2f<6:1h0D>ki;oc40?2bk2c8jh4?::k0bc<722c2944?::m436<722wi>l9=:087>5<7s-3j?7:98:&0b750;9~f7?c03;187>50z&:e6:1/5;m513:a?M5bn2dj;94;ed9j7cc=831b?kh50;9j=0?=831d;:=50;9~f7g7i3;187>50z&:e6<3>11/?k<58118 <0d2883n6Fhj:188m6`a2900e9>?:188k=?72900qo<6d682>1<729q/5l=5a718 <0d2883n6Fhj:188m6`a2900e4;6:188k2142900qo0<729q/5l=547:8 6`521=j7)79c;31>83:17pl=a1;95?3=83:p(4o<:54;?!5a:3=o;6*66b826=d<@:om7co84;6e6>o4nl0;66g>o3890;66g;0083>>i?190;66sm2856>4<3290;w)7n3;c50>">>j0:>5l4H2ge?kg0<3>m?6g>o4no0;66g65883>>i0?:0;66sm2`65>4<3290;w)7n3;c57>">>j0:>5l4H2ge?kg0<3>m86g>o4no0;66g65883>>i0?:0;66sm2`53>4<3290;w)7n3;65<>"4n;03<>5+97a957>e3A9nj6`n7587b0=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;3o97?54;294~">i:0j:>5+97a957>e3A9nj6`n7587b3=n;oo1<75f3gd94?=n1<31<75`76194?=zj;k;47?54;294~">i:0?:55+3g09<55<,0>18k94i2df>5<5<>18k64i2df>5<5<=86=44}c0b00<62=0;6=u+9`19e35<,0>18k74i2df>5<5<=86=44}c0b2c<62=0;6=u+9`1903><,:l965><;%;5g?750k1C?hh4n`57>1`f3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th95i=51;694?6|,0k86l8<;%;5g?750k1C?hh4n`57>1`e3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th95:=51;694?6|,0k86l8;;%;5g?750k1C?hh4n`57>1`d3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th9m9:51;694?6|,0k86l8<;%;5g?750k1C?hh4n`57>1`c3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th9m;j51;694?6|,0k86987;%1e6?>7;2.2:n4>29`8L6ca3gk<87:ie:k0b`<722c8jk4?::k745<722e35=4?::a629`8L6ca3gk<87:if:k0b`<722c8jk4?::k:1<<722e<;>4?::a629`8L6ca3gk<87;?0:k0b`<722c8jk4?::k:1<<722e<;>4?::a6d0d280>6=4?{%;b7?2102.8j?477`9'=3e=9;2i7E=jf:lb31<2881b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7g7=3;197>50z&:e6<3>11/?k<57e58 <0d2883n6Fhj:188m6`a2900e9>?:188m1662900c57?:188yg4>?;0:694?:1y'=d5=i?>0(48l:00;f>N4mo1em::55118m6`b2900e>hi:188m<3>2900c:9<:188yg4f<:0:694?:1y'=d5=i?90(48l:00;f>N4mo1em::55168m6`b2900e>hi:188m<3>2900c:9<:188yg4f0h0:694?:1y'=d5=h=:920?!?1k3;94o5G3dd8jd132<:>7d=ie;29?l5an3:17d:?0;29?j>>83:17pl=a7`95?2=83:p(4o<:54;?!5a:32;?6*66b826=d<@:om7co84;732>o4nl0;66g>o3890;66a79183>>{e:0in6<4;:183!?f;3k=?6*66b826=d<@:om7co84;733>o4nl0;66g>o>=00;66a87283>>{e:h:?6<4;:183!?f;3>=46*hf?=0><55f3gg94?=n;ol1<75f41294?=h00:1<75rb3;44?7=<3:1hf?=0><45f3gg94?=n;ol1<75f94;94?=h?>91<75rb3c75?7=<3:1hf?=0>91<75rb3c5e?7=<3:144?j2B8ik5aa66915d5<5<:583>5}#1h91m;=4$84`>44?j2B8ik5aa66915e5<5<:583>5}#1h91m;:4$84`>44?j2B8ik5aa66915b5<5<:583>5}#1h91m;=4$84`>44?j2B8ik5aa66915c5<5<:583>5}#1h918;64$2d1>=643-3=o7?=8c9K7``i;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`1=f?=93>1<7>t$8c0>d043-3=o7?=8c9K7``5;h;6=?6=3f=5;|`1=3c=93>1<7>t$8c0>d033-3=o7?=8c9K7``;h1ea?6=3`9mj7>5;h;6=?6=3f=5;|`1e6`=93>1<7>t$8c0>d043-3=o7?=8c9K7``5;h;6=?6=3f=5;|`1e3>=93>1<7>t$8c0>10?3-9m>76?3:&:2f<6:1h0D>ki;oc40?36;2c8jh4?::k0bc<722c?<=4?::m;=5<722wi>4m8:087>5<7s-3j?7o93:&:2f<6:1h0D>ki;oc40?36<2c8jh4?::k0bc<722c2944?::m436<722wi>48k:087>5<7s-3j?7o94:&:2f<6:1h0D>ki;oc40?36=2c8jh4?::k0bc<722c2944?::m436<722wi>l=j:087>5<7s-3j?7o93:&:2f<6:1h0D>ki;oc40?36>2c8jh4?::k0bc<722c2944?::m436<722wi>l88:087>5<7s-3j?7:98:&0b750;9~f7?d=3;187>50z&:e6:1/5;m513:a?M5bn2dj;94:199j7cc=831b?kh50;9j=0?=831d;:=50;9~f7?1k3;187>50z&:e6=1/5;m513:a?M5bn2dj;94:189j7cc=831b?kh50;9j=0?=831d;:=50;9~f7g4l3;187>50z&:e6:1/5;m513:a?M5bn2dj;94:1`9j7cc=831b?kh50;9j=0?=831d;:=50;9~f7g1>3;187>50z&:e6<3>11/?k<58118 <0d2883n6Fhj:188m6`a2900e9>?:188k=?72900qo<6c282>1<729q/5l=5a718 <0d2883n6Fhj:188m6`a2900e4;6:188k2142900qo<66c82>1<729q/5l=5a768 <0d2883n6Fhj:188m6`a2900e4;6:188k2142900qo1<729q/5l=5a718 <0d2883n6Fhj:188m6`a2900e4;6:188k2142900qo1<729q/5l=547:8 6`521:87)79c;311=7:50;2x ">>j0:>5l4H2ge?kg0<3?9?6g>o4no0;66g;0183>>i?190;66sm28`f>4<3290;w)7n3;c57>">>j0:>5l4H2ge?kg0<3?986g>o4no0;66g65883>>i0?:0;66sm284:>4<3290;w)7n3;c50>">>j0:>5l4H2ge?kg0<3?996g>o4no0;66g65883>>i0?:0;66sm2`1b>4<3290;w)7n3;c57>">>j0:>5l4H2ge?kg0<3?9:6g>o4no0;66g65883>>i0?:0;66sm2`40>4<3290;w)7n3;65<>"4n;03<45+97a957>e3A9nj6`n758662=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;3io7?54;294~">i:0j:>5+97a957>e3A9nj6`n75866==n;oo1<75f3gd94?=n1<31<75`76194?=zj;3=47?54;294~">i:0j:95+97a957>e3A9nj6`n75866<=n;oo1<75f3gd94?=n1<31<75`76194?=zj;k857?54;294~">i:0j:>5+97a957>e3A9nj6`n75866d=n;oo1<75f3gd94?=n1<31<75`76194?=zj;k==7?54;294~">i:0?:55+3g09<5?<,0>19?l4i2df>5<5<>19?m4i2df>5<5<=86=44}c0:22<62=0;6=u+9`19e32<,0>19?j4i2df>5<5<=86=44}c0b7=<62=0;6=u+9`19e35<,0>19?k4i2df>5<5<=86=44}c0b25<62=0;6=u+9`1903><,:l965>6;%;5g?750k1C?hh4n`57>04a3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th95o651;694?6|,0k86l8<;%;5g?750k1C?hh4n`57>0573`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th95o951;694?6|,0k86l8<;%;5g?750k1C?hh4n`57>0563`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th9m8h51;794?6|,0k86987;%1e6?>0i2.2:n4>29`8L6ca3gk<87;<2:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wi>4h7:086>5<7s-3j?7:98:&0b7<0l>1/5;m513:a?M5bn2dj;94:329j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?772;390?6=8r.2m>4n659'=3e=9;2i7E=jf:lb31<2;=1b?kk50;9j7c`=831b58750;9l325=831vn?o:3;390?6=8r.2m>4n629'=3e=9;2i7E=jf:lb31<2;<1b?kk50;9j7c`=831b58750;9l325=831vn?o8e;390?6=8r.2m>4;699'7c4=0990(48l:00;f>N4mo1em::55248m6`b2900e>hi:188m1672900c57?:188yg4f=l0:694?:1y'=d5=h=:920?!?1k3;94o5G3dd8jd132<9<7d=ie;29?l5an3:17d:?0;29?j>>83:17pl=9c695?2=83:p(4o<:`40?!?1k3;94o5G3dd8jd132<937d=ie;29?l5an3:17d7:9;29?j10;3:17pl=9g595?2=83:p(4o<:54;?!5a:32;?6*66b826=d<@:om7co84;70=>o4nl0;66g>o3890;66a79183>>{e:02:6<4;:183!?f;3k=86*66b826=d<@:om7co84;70e>o4nl0;66g>o>=00;66a87283>>{e:h?96<4;:183!?f;3k=?6*66b826=d<@:om7co84;70f>o4nl0;66g>o>=00;66a87283>>{e:h?o6<4;:183!?f;3>=46*hf?=0>?n5f3gg94?=n;ol1<75f41294?=h00:1<75rb3;a6?7=<3:1hf?=0>?i5f3gg94?=n;ol1<75f94;94?=h?>91<75rb3;;4?7=<3:1hf?=0>?h5f3gg94?=n;ol1<75f94;94?=h?>91<75rb3c65?7=<3:1hf?=0>?k5f3gg94?=n;ol1<75f94;94?=h?>91<75rb3c6g?7=<3:144?j2B8ik5aa6691165<5<:583>5}#1h91m;=4$84`>44?j2B8ik5aa6691175<5<:583>5}#1h91m;:4$84`>44?j2B8ik5aa6691145<5<:583>5}#1h91m;=4$84`>44?j2B8ik5aa6691155<5<:583>5}#1h918;64$2d1>=6>3-3=o7?=8c9K7``5;h634?6=3f22<7>5;|`1=dc=93>1<7>t$8c0>d043-3=o7?=8c9K7``5;h;6=?6=3f=5;|`1=2c=93>1<7>t$8c0>d033-3=o7?=8c9K7``5;h;6=?6=3f=5;|`1e1`=93>1<7>t$8c0>d043-3=o7?=8c9K7``5;h;6=?6=3f=5;|`1e0g=93>1<7>t$8c0>10?3-9m>76?9:&:2f<6:1h0D>ki;oc40?3302c8jh4?::k0bc<722c?<=4?::m;=5<722wi>4ol:087>5<7s-3j?7o93:&:2f<6:1h0D>ki;oc40?3312c8jh4?::k0bc<722c2944?::m436<722wi>49k:087>5<7s-3j?7o94:&:2f<6:1h0D>ki;oc40?33i2c8jh4?::k0bc<722c2944?::m436<722wi>l:j:087>5<7s-3j?7o93:&:2f<6:1h0D>ki;oc40?33j2c8jh4?::k0bc<722c2944?::m436<722wi>l;6:087>5<7s-3j?7:98:&0b750;9~f7?bk3;187>50z&:e6:1/5;m513:a?M5bn2dj;94:4e9j7cc=831b?kh50;9j=0?=831d;:=50;9~f7?0k3;187>50z&:e6=1/5;m513:a?M5bn2dj;94:4d9j7cc=831b?kh50;9j=0?=831d;:=50;9~f7g3l3;187>50z&:e6:1/5;m513:a?M5bn2dj;94:4g9j7cc=831b?kh50;9j=0?=831d;:=50;9~f7g203;187>50z&:e6<3>11/?k<58118 <0d2883n6Fhj:188m6`a2900e9>?:188k=?72900qo<6e`82>1<729q/5l=5a718 <0d2883n6Fhj:188m6`a2900e4;6:188k2142900qo<67082>1<729q/5l=5a768 <0d2883n6Fhj:188m6`a2900e4;6:188k2142900qo1<729q/5l=5a718 <0d2883n6Fhj:188m6`a2900e4;6:188k2142900qo1<729q/5l=547:8 6`521:87)79c;31">>j0:>5l4H2ge?kg0<3?>46g>o4no0;66g;0183>>i?190;66sm28a1>4<3290;w)7n3;c57>">>j0:>5l4H2ge?kg0<3?>56g>o4no0;66g65883>>i0?:0;66sm2846>4<3290;w)7n3;c50>">>j0:>5l4H2ge?kg0<3?>m6g>o4no0;66g65883>>i0?:0;66sm2`15>4<3290;w)7n3;c57>">>j0:>5l4H2ge?kg0<3?>n6g>o4no0;66g65883>>i0?:0;66sm2`41>4<3290;w)7n3;65<>"4n;03<>5+97a957>e3A9nj6`n75861f=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;3jn7?54;294~">i:0j:>5+97a957>e3A9nj6`n75861a=n;oo1<75f3gd94?=n1<31<75`76194?=zj;3jm7?54;294~">i:0j:>5+97a957>e3A9nj6`n75861`=n;oo1<75f3gd94?=n1<31<75`76194?=zj;3?:7?54;294~">i:0j:95+97a957>e3A9nj6`n75861c=n;oo1<75f3gd94?=n1<31<75`76194?=zj;k:;7?54;294~">i:0j:>5+97a957>e3A9nj6`n758625=n;oo1<75f3gd94?=n1<31<75`76194?=zj;k>87?54;294~">i:0?:55+3g09<55<,0>19;?4i2df>5<5<>19;<4i2df>5<5<=86=44}c6379:J0ac=ii>>19;=4i2df>5<5<=86=44}c63=6<62<0;6=u+9`1903><,:l9659n;%;5g?27001C?hh4n`57>0033`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`74<6=93?1<7>t$8c0>10?3-9m>79k7:&:2f<38130D>ki;oc40?31=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a05>f280?6=4?{%;b7?g1<2.2:n4;09;8L6ca3gk<87;96:k0b`<722c8jk4?::k:1<<722e<;>4?::a05?6280?6=4?{%;b7?g1;2.2:n4;09;8L6ca3gk<87;97:k0b`<722c8jk4?::k:1<<722e<;>4?::a05?3280?6=4?{%;b7?2102.8j?47029'=3e=<9227E=jf:lb31<2>11b?kk50;9j7c`=831b8=>50;9l<<6=831vn9>62;390?6=8r.2m>4;699'7c4=0990(48l:52;=>N4mo1em::557;8m6`b2900e>hi:188m1672900c57?:188yg270k0:694?:1y'=d5=i?90(48l:52;=>N4mo1em::557c8m6`b2900e>hi:188m<3>2900c:9<:188yg270o0:694?:1y'=d5=h=:920?!?1k3>;445G3dd8jd132<>83:17pl>29c95?4=83:p(4o<:9:`?!?1k3;9445+20;97=16n5a971951=#<4H2ge?kg0<3?=o6g>i0?:0;66sm13:;>4<5290;w)7n3;:;g>">>j0:>594$33:>6>03g9j97m4n840>42<,=?o657?;I1fb>hf?=0>:i5f3gf94?=h?>91<75rb00;2?7=:3:1>h7660:J0ac=ii>>19;k4i2dg>5<=86=44}c31<1<62;0;6=u+9`19<=e<,01e?l;5c:l:26<6<2.?9i47919K7``5;|`23`b=9381<7>t$8c0>=>d3-3=o7?8eb9'64?=;1=0b>o::b9m=35=9=1/88j58828L6ca3gk<87;80:k0ba<722e<;>4?::a52ce28096=4?{%;b7?>?k2.2:n4>7dc8 77>2:2<7c=n5;a8j<0428>0(9;k:9;3?M5bn2dj;94:709j7cb=831d;:=50;9~f41b13;1>7>50z&:e6N4mo1em::55608m6`c2900c:9<:188yg70m>0:6?4?:1y'=d5=01i0(48l:05f2>"590084:5a3`79g>h>>:0:86*;5e8;=5=O;ll0bl9;:450?l5al3:17b983;29?xd6?l?1=7<50;2x f=i1?91=95+44f9<<6<@:om7co84;740>o4nm0;66a87283>>{e9>o86<4=:183!?f;323o6*66b823`4<,;;26>68;o1b1?e=?73A9nj6`n758630=n;on1<75`76194?=zj8=n=7?52;294~">i:034n5+97a952c73-8:57=77:l0e0>82B8ik5aa6691205<:383>5}#1h9145m4$84`>41cm2.9=44<869m7d3=k2d2:>4>4:&71a0103`9mh7>5;n547?6=3th:;ij51;094?6|,0k8656l;%;5g?70lj1/><753958j6g22j1e5;=5159'00b=00:0D>ki;oc40?3002c8ji4?::m436<722wi=:jm:081>5<7s-3j?767c:&:2f<6?mk0(??6:2:4?k5f=3i0b48<:068 13c213;7E=jf:lb31<2?01b?kj50;9l325=831vn>8:4;392?6=8r.8j?47)7n3;c55>">1>025;5+40197>N4mo1em::556c8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg50ll0:6;4?:1y'7c4=;m>0(48l:21g1>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132<=i7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<7g:95?0=83:p(>h=:2f7?!?1k398h85+9`19e37<,03<6479;%627?5<@:om7co84;74g>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;>li6<49:183!5a:39o86*66b807a3<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0>;i5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb25ea?7=>3:1j:;%;b7?g192.25:46979'045=;2B8ik5aa66912co6=44i306>5<5<5<:783>5}#;o81?i:4$84`>65c=2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0<52=93<1<7>t$2d1>6b33-3=o7=ki;oc40?3?82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?5>8:085>5<7s-9m>7=k4:&:2f<4;m?0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94:809j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6>7i3;1:7>50z&0b7<4l=1/5;m532f6?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2:9o96*6a28b24=#10=15484$530>6=O;ll0bl9;:4:0?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4?l81=7850;2x 6`52:n?7)79c;10`0=#1h91m;?4$8;4>:?7=4H2ge?kg0<3?386g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm36g6>4<1290;w)=i2;1g0>">>j08?i;4$8c0>d063-32;7766:&756<43A9nj6`n7586<0=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:=n47?56;294~"4n;08h95+97a976b23-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>19584i36g>5<6=44i31b>5<6=44i33`>5<=k5:&:e681/54959848 1742ki;oc40?3??2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wio7;2.2:n4mb09K7``5;h634?6=3f22<7>5;|``<6<62=0;6=u+9`1903><,:l965><;%;5g?de92B8ik5aa6691=?5<5<7?54;294~">i:0j:>5+97a9fg7<@:om7co84;7;e>o4nl0;66g>o>=00;66a87283>>{ek1>1=7;50;2x ">>j0in<5G3dd8jd132<2i7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xddk:0:684?:1y'=d5=h=:6c4?!?1k3hi=6Fhj:188m6`a2900e9>?:188m1662900c57?:188yge4j3;187>50z&:e6:1/5;m5bc38L6ca3gk<87;7d:k0b`<722c8jk4?::k:1<<722e<;>4?::afg4=93>1<7>t$8c0>d033-3=o7lm1:J0ac=ii>>195k4i2df>5<5<=86=44}ca26?7=<3:1gd63A9nj6`n7586=46*>o4no0;66g;0183>>i?190;66smbg095?2=83:p(4o<:`40?!?1k3hi=6Fhj:188m6`a2900e4;6:188k2142900qom6a;391?6=8r.2m>4;699'7c4=?h=0(48l:c`2?M5bn2dj;94:939j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vnnm;:086>5<7s-3j?7:98:&0b7<0i>1/5;m5bc38L6ca3gk<87;63:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wio8l51;694?6|,0k86l8<;%;5g?de92B8ik5aa6691<25<5<i:0j:95+97a9fg7<@:om7co84;7:1>o4nl0;66g>o>=00;66a87283>>{ek8n1=7:50;2x ">>j0in<5G3dd8jd132<3=7d=ie;29?l5an3:17d:?0;29?j>>83:17plla482>1<729q/5l=547:8 6`521:87)79c;`a5>N4mo1em::55858m6`b2900e>hi:188m1672900c57?:188ygda;3;187>50z&:e6:1/5;m5bc38L6ca3gk<87;68:k0b`<722c8jk4?::k:1<<722e<;>4?::agg6=93?1<7>t$8c0>10?3-9m>79n7:&:2f0?>3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|``gc<62<0;6=u+9`1903><,:l96:o8;%;5g?de92B8ik5aa66915<5<>o4no0;66g65883>>i0?:0;66smbc695?2=83:p(4o<:`47?!?1k3hi=6Fhj:188m6`a2900e4;6:188k2142900qom=8;390?6=8r.2m>4;699'7c4=0990(48l:c`2?M5bn2dj;94:9e9j7cc=831b?kh50;9j056=831d44>50;9~ffde280?6=4?{%;b7?2102.8j?47029'=3e=jk;0D>ki;oc40?3>m2c8jh4?::k0bc<722c?<=4?::m;=5<722winkk51;694?6|,0k86l8<;%;5g?de92B8ik5aa6691<`5<5<i:0?:55+3g093d1<,0;I1fb>hf?=0>m=5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zjjn96<4::183!?f;3>=46*>o4no0;66g;0183>>o3880;66a79183>>{ek?i1=7:50;2x N4mo1em::55`08m6`b2900e>hi:188m<3>2900c:9<:188ygden3;187>50z&:e6=1/5;m5bc38L6ca3gk<87;n3:k0b`<722c8jk4?::k:1<<722e<;>4?::ag65=93>1<7>t$8c0>10?3-9m>76?3:&:2f0g33`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thho<4>:583>5}#1h918;64$2d1>=643-3=o7lm1:J0ac=ii>>19l;4i2df>5<5<>o4no0;66g65883>>i0?:0;66smcb095?3=83:p(4o<:54;?!5a:3=j;6*66b8af4=O;ll0bl9;:4c4?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17plld282>0<729q/5l=547:8 6`52>k<7)79c;`a5>N4mo1em::55`:8m6`b2900e>hi:188m1672900e9>>:188k=?72900qom87;390?6=8r.2m>4n629'=3e=jk;0D>ki;oc40?3f12c8jh4?::k0bc<722c2944?::m436<722winno51;694?6|,0k86l8;;%;5g?de92B8ik5aa6691dg5<5<i:0?:55+3g09<55<,0;I1fb>hf?=0>mo5f3gg94?=n;ol1<75f41294?=h00:1<75rbb:6>4<3290;w)7n3;65<>"4n;03<>5+97a9fg7<@:om7co84;7bg>o4nl0;66g>o3890;66a79183>>{ek9h1=7:50;2x N4mo1em::55`f8m6`b2900e>hi:188m<3>2900c:9<:188yge?>3;197>50z&:e6<3>11/?k<57`58 <0d2kh:7E=jf:lb31<2il1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~ffb3280>6=4?{%;b7?2102.8j?48a69'=3e=jk;0D>ki;oc40?3fn2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::ag2?=93>1<7>t$8c0>d043-3=o7lm1:J0ac=ii>>19o>4i2df>5<5<=86=44}c``g?7=<3:1>o4no0;66g65883>>i0?:0;66smc2595?2=83:p(4o<:54;?!5a:32;?6*66b8af4=O;ll0bl9;:4`1?l5am3:17d=if;29?l2783:17b660;29?xdd0>0:694?:1y'=d5=h=:920?!?1k3hi=6Fhj:188m6`a2900e9>?:188k=?72900qom?c;390?6=8r.2m>4n629'=3e=jk;0D>ki;oc40?3e<2c8jh4?::k0bc<722c2944?::m436<722wio5651;794?6|,0k86987;%1e6?1f?2.2:n4mb09K7``5;h634?6=3`>;=7>5;n::4?6=3thhh84>:483>5}#1h918;64$2d1>2g03-3=o7lm1:J0ac=ii>>19o84i2df>5<5<5<i:0j:>5+97a9fg7<@:om7co84;7a3>o4nl0;66g>o>=00;66a87283>>{ejjn1=7:50;2x N4mo1em::55c:8m6`b2900e>hi:188m<3>2900c:9<:188yge403;187>50z&:e6<3>11/?k<58118 <0d2kh:7E=jf:lb31<2j01b?kk50;9j7c`=831b8=>50;9l<<6=831vnn66:087>5<7s-3j?7:98:&0b71<7>t$8c0>d043-3=o7lm1:J0ac=ii>>19ol4i2df>5<5<=86=44}ca;e?7==3:1gd63A9nj6`n7586ff=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rbb5a>4<3290;w)7n3;c57>">>j0in<5G3dd8jd1321<729q/5l=5a768 <0d2kh:7E=jf:lb31<2jl1b?kk50;9j7c`=831b58750;9l325=831vnn=n:087>5<7s-3j?7:98:&0b71<7>t$8c0>10?3-9m>76?3:&:2f0e73`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thh

    :583>5}#1h91m;=4$84`>gd63A9nj6`n7586g4=n;oo1<75f3gd94?=n1<31<75`76194?=zj89==7?54;390~">i:08jo5G98f8jd132d2:ln7p};0`394?4|V=:j=63l8b80bc=z{=:j<7>52z\74d6<5j2h69>?;|q`=?73twio:j51;694?6|,0k86l8<;%;5g?de92B8ik5aa6691f25<5<i:0j:95+97a9fg7<@:om7co84;7`1>o4nl0;66g>o>=00;66a87283>>{ek8>1=7:50;2x ">>j0in<5G3dd8jd132>83:17pll8e82>1<729q/5l=547:8 6`521:87)79c;`a5>N4mo1em::55b58m6`b2900e>hi:188m1672900c57?:188yge7n3;187>50z&:e6:1/5;m5bc38L6ca3gk<87;l8:k0b`<722c8jk4?::k:1<<722e<;>4?::a5605280?6<4;{%;b7?5aj2B25i5aa6691f?m6<44i52b5?7=3`>;m=4>::m`<`<622hh4h4?:583>5}#1h918;64$2d1>=203-3=o7lm1:J0ac=ii>>19no4i2df>5<5<;m<4?:3y]05g634i3i7=if:p05g72909wS:?a19>g=c=<9:0q~m7e;296~Xd0l16o5k58828yxdd?o0:694?:1y'=d5=i?90(48l:c`2?M5bn2dj;94:cc9j7cc=831b?kh50;9j=0?=831d;:=50;9~fgb7280?6=4?{%;b7?g1<2.2:n4mb09K7``5;h;6=?6=3f=5;|``53<62=0;6=u+9`1903><,:l965><;%;5g?de92B8ik5aa6691fb5<5<i:0?:55+3g09<55<,0;I1fb>hf?=0>oh5f3gg94?=n;ol1<75f41294?=h00:1<75rbb33>4<3290;w)7n3;c57>">>j0in<5G3dd8jd13237195?2=93>p(4o<:2da?M?>l2dj;94:d19jb06=931b8=o>:088m16f83;17bm61;39?ge>93:187>50z&:e6<3>11/?k<58558 <0d2kh:7E=jf:lb31<2l81b?kk50;9j7c`=831b8=>50;9l<<6=831vk;?:181[`2827h5<4vP;0`389f?62:lm7p};0`294?4|V=:j<63l908745=z{j3:6=4={_a:5>;d18035=5r}ca;5?7=<3:16g>o4no0;66g65883>>i0?:0;66smbe395?2=83:p(4o<:`47?!?1k3hi=6Fhj:188m6`a2900e4;6:188k2142900qom>8;390?6=8r.2m>4;699'7c4=0990(48l:c`2?M5bn2dj;94:d59j7cc=831b?kh50;9j056=831d44>50;9~ff?5280?6=4?{%;b7?2102.8j?47029'=3e=jk;0D>ki;oc40?3c=2c8jh4?::k0bc<722c?<=4?::m;=5<722wink:51;694?6|,0k86l8<;%;5g?de92B8ik5aa6691a05<5<i:0?:55+3g093d1<,0;I1fb>hf?=0>h:5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zjjn=6<4::183!?f;3>=46*>o4no0;66g;0183>>o3880;66a79183>>{ekN4mo1em::55e;8m6`b2900e>hi:188m<3>2900c:9<:188ygde=3;187>50z&:e6=1/5;m5bc38L6ca3gk<87;ka:k0b`<722c8jk4?::k:1<<722e<;>4?::ag4?=93>1<7>t$8c0>10?3-9m>76?3:&:2f0be3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thh594>:583>5}#1h918;64$2d1>=643-3=o7lm1:J0ac=ii>>19im4i2df>5<5<>o4no0;66g65883>>i0?:0;66sm1247>4<3280?w)7n3;1ef>N>1m1em::55eg8mc352800e9>n1;39?l27i90:66al9482>>dd1<0;694?:1y'=d5=h=:964?!?1k3hi=6Fhj:188m6`a2900e9>?:188k=?72900q~h:2;296~Xa=;16o4;53gg8yv27i80;6?uQ41c2?8e>=39mj6s|41c3>5<5sW>;m=52c87905652z\`=0=:k0?144>4}|``1`<62=0;6=u+9`19e35<,0;I1fb>hf?=0>i=5f3gg94?=n;ol1<75f94;94?=h?>91<75rbc`5>4<3290;w)7n3;c50>">>j0in<5G3dd8jd1321<729q/5l=547:8 6`521:87)79c;`a5>N4mo1em::55d08m6`b2900e>hi:188m1672900c57?:188yge>>3;187>50z&:e6<3>11/?k<58118 <0d2kh:7E=jf:lb31<2m:1b?kk50;9j7c`=831b8=>50;9l<<6=831vnoh9:087>5<7s-3j?7o93:&:2f0c33`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th:?;;51;695?2|,0k86>hm;I;:`>hf?=0>i85ff4195?=n<9k:6<44i52b4?7=3fi2;7?5;ca:3?6=<3:1gd63A9nj6`n7586a3=n;oo1<75f3gd94?=n<9:1<75`88294?=z{o?86=4={_d67>;d1>08jh5rs52b5?6=:rT?6`a3ty?50;0xZ16f827h5:4;019~wf?02909wSm67:?`=24n629'=3e=jk;0D>ki;oc40?3b?2c8jh4?::k0bc<722c2944?::m436<722wino951;694?6|,0k86l8;;%;5g?de92B8ik5aa6691`>5<5<i:0?:55+3g09<55<,0;I1fb>hf?=0>i45f3gg94?=n;ol1<75f41294?=h00:1<75rbb;;>4<3290;w)7n3;65<>"4n;03<>5+97a9fg7<@:om7co84;7fe>o4nl0;66g>o3890;66a79183>>{ejo=1=7:50;2x N4mo1em::55d`8m6`b2900e>hi:188m<3>2900c:9<:188yg74>?0:694>:5y'=d5=;oh0D47k;oc40?3bk2cm994>::k74d7=931b8=o?:088kf?>2800nn76:187>5<7s-3j?7:98:&0b71/5;m5bc38L6ca3gk<87;jd:k0b`<722c8jk4?::k745<722e35=4?::pb02=838pRk;;;:181[27i816o4753gd8yv27i90;6?uQ41c3?8e>13>;<6s|c8;94?4|Vj3270m69;::4>{zjj<96<4;:183!?f;3k=?6*66b8af4=O;ll0bl9;:4gf?l5am3:17d=if;29?l?213:17b983;29?xdej10:694?:1y'=d5=i?>0(48l:c`2?M5bn2dj;94:eg9j7cc=831b?kh50;9j=0?=831d;:=50;9~ff47280?6=4?{%;b7?2102.8j?47029'=3e=jk;0D>ki;oc40?3a82c8jh4?::k0bc<722c?<=4?::m;=5<722wio4l51;694?6|,0k86987;%1e6?>7;2.2:n4mb09K7``;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`ab=<62=0;6=u+9`19e35<,0;I1fb>hf?=0>j?5f3gg94?=n;ol1<75f94;94?=h?>91<75rb0153?7=<3;18v*6a280bg=O10n0bl9;:4d0?l`2=3;17d:?a082>>o38h:1=75`c8a95?=ek0i1<7:50;2x ">>j0in<5G3dd8jd132>83:17p}i5483>7}Yn;d1j08jk5rs52b4?6=:rT?4=b;`>1673tyh5n4?:3y]g1<7>t$8c0>d043-3=o7lm1:J0ac=ii>>19k;4i2df>5<5<=86=44}c`a=?7=<3:1>o4no0;66g65883>>i0?:0;66smc3095?2=83:p(4o<:54;?!5a:32;?6*66b8af4=O;ll0bl9;:4d4?l5am3:17d=if;29?l2783:17b660;29?xdd1m0:694?:1y'=d5=h=:920?!?1k3hi=6Fhj:188m6`a2900e9>?:188k=?72900qoli9;390?6=8r.2m>4n629'=3e=jk;0D>ki;oc40?3a12c8jh4?::k0bc<722c2944?::m436<722wi=>87:087>4<3s-3j?7=ib:J:=a=ii>>19ko4ig75>4<::``=`<72=0;6=u+9`1903><,:l965:8;%;5g?de92B8ik5aa6691cd5<5<:7>52z\e13=:k0o1?kk4}r63e4<72;qU8=o>;m3:1>vPl9d9>g1<729q/5l=5a718 <0d2kh:7E=jf:lb31<2nj1b?kk50;9j7c`=831b58750;9l325=831vnoln:087>5<7s-3j?7o94:&:2f0`c3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3thh>94>:583>5}#1h918;64$2d1>=643-3=o7lm1:J0ac=ii>>19kk4i2df>5<5<gd63A9nj6`n7586bc=n;oo1<75f3gd94?=n<9:1<75`88294?=zjklj6<4;:183!?f;3k=?6*66b8af4=O;ll0bl9;:723?l5am3:17d=if;29?l?213:17b983;29?xd6;?31=7:51;6x n0;39?jef83;17omn0;290?6=8r.2m>4;699'7c4=0==0(48l:c`2?M5bn2dj;949039j7cc=831b?kh50;9j056=831d44>50;9~wc302909wSh:7:?`e5<4nl1v9>n1;296~X38h;01no?:2de?xu38h:1<7;di90?<=5rsbc3>5<5sWij<63la18;=5=zuki=47?54;294~">i:0j:>5+97a9fg7<@:om7co84;437>o4nl0;66g>o>=00;66a87283>>{ejkh1=7:50;2x N4mo1em::56168m6`b2900e>hi:188m<3>2900c:9<:188yge5>3;187>50z&:e6<3>11/?k<58118 <0d2kh:7E=jf:lb31<18<1b?kk50;9j7c`=831b8=>50;9l<<6=831vnno>:087>5<7s-3j?7:98:&0b71<7>t$8c0>d043-3=o7lm1:J0ac=ii>>1:=94i2df>5<5<=86=44}c302d<62=0:69u+9`197cd<@03o7co84;43<>oa=10:66g;0`395?=n<9k;6<44obc1>4<=46*>o4no0;66g;0183>>i?190;66s|f4:94?4|Vo?370mn2;1ea>{t<9k:6=4={_63e4=:kh81?kh4}r63e5<72;qU8=o?;7660:~f450<3;187?54z&:e6<4nk1C54j4n`57>36f3`;::k74d7=931b8=o?:088kfb02800nnj8:187>5<7s-3j?7:98:&0b71/5;m5bc38L6ca3gk<878?b:k0b`<722c8jk4?::k745<722e35=4?::p52`52909wS?8f39>ga1=;oo0q~:?a083>7}Y<9k:70mk7;1eb>{t<9k;6=4={_63e5=:km=18=>4}rag3?6=:rThh:52ce59<<6:583>5}#1h91m;=4$84`>gd63A9nj6`n75854f=n;oo1<75f3gd94?=n1<31<75`76194?=zjkhh6<4;:183!?f;3k=86*66b8af4=O;ll0bl9;:72g?l5am3:17d=if;29?l?213:17b983;29?xdd:>0:694?:1y'=d5=h=:920?!?1k3hi=6F9o0e>hj:188m6`a2900e9>?:188k=?72900qomn3;390?6=8r.2m>4;699'7c4=0990(48l:c`2?M5bn2dj;9490g9j7cc=831b?kh50;9j056=831d44>50;9~fg`d280?6=4?{%;b7?g1;2.2:n4mb09K7``5;h;6=?6=3f=5;|`273d=93>1=7:t$8c0>6`e3A32h6`n758554=nn<31=75f41c2>4<54;294~">i:0?:55+3g09<11<,0;I1fb>hf?=0==?5f3gg94?=n;ol1<75f41294?=h00:1<75rsg7:>5<5sWl>563la580b`=z{=:j=7>52z\74d7<5jk?6>hi;|q74d6=838pR9>n0:?`e1<3891vno;:181[ef<27hm947919~yg74?<0:694>:5y'=d5=;oh0D47k;oc40?06;2c:;k951;9j05g62800e9>n0;39?jec03;17omk8;290?6=8r.2m>4;699'7c4=0==0(48l:c`2?M5bn2dj;949159j7cc=831b?kh50;9j056=831d44>50;9~w41a?3:1>vP>7g589fb?2:ln7p};0`394?4|V=:j=63ld980bc=z{=:j<7>52z\74d6<5jn369>?;|q``=<72;qUoi64=bf;>=?73twio;o51;694?6|,0k86l8<;%;5g?de92B8ik5aa6692435<5<i:0j:95+97a9fg7<@:om7co84;422>o4nl0;66g>o>=00;66a87283>>{ek;31=7:50;2x ">>j0in<5G3dd8jd132?;<7d=ie;29?l5an3:17d:?0;29?j>>83:17plla782>1<729q/5l=547:8 6`521:87)79c;`a5>N4mo1em::560:8m6`b2900e>hi:188m1672900c57?:188ygdal3;187>50z&:e6:1/5;m5bc38L6ca3gk<878>9:k0b`<722c8jk4?::k:1<<722e<;>4?::a560d280?6<4;{%;b7?5aj2B25i5aa66924g;m=4>::m`e2<622hhm:4?:583>5}#1h918;64$2d1>=203-3=o7lm1:J0ac=ii>>1:5<5<;m<4?:3y]05g634ij;7=if:p05g72909wS:?a19>gd1=<9:0q~mn7;296~Xdi>16ol958828yxd6;>:1=7:51;6x >idk<0:66llc483>1<729q/5l=547:8 6`521><7)79c;`a5>N4mo1em::560f8m6`b2900e>hi:188m1672900c57?:188yv70nj0;6?uQ16d`?8ed=39mi6s|41c2>5<5sW>;m<52cb797c`;m=4?:3y]05g734ih97:?0:pgf3=838pRnm:;>82wvnn8m:087>5<7s-3j?7o93:&:2f37b3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3thinh4>:583>5}#1h91m;:4$84`>gd63A9nj6`n75855c=n;oo1<75f3gd94?=n1<31<75`76194?=zjj8j6<4;:183!?f;3>=46*>o4no0;66g;0183>>i?190;66smc`:95?2=83:p(4o<:54;?!5a:32;?6*66b8af4=O;ll0bl9;:702?l5am3:17d=if;29?l2783:17b660;29?xdeno0:694?:1y'=d5=i?90(48l:c`2?M5bn2dj;949239j7cc=831b?kh50;9j=0?=831d;:=50;9~f451l3;187?54z&:e6<4nk1C54j4n`57>3443`l>n7?5;h63e4<622c?51;9lgd?=931iol750;694?6|,0k86987;%1e6?>3?2.2:n4mb09K7``5;h634?6=3f22<7>5;|qe1g<72;qUj8l4=bc:>6`b3ty?vP;0`289fg>2=:;7p}la883>7}Ykh301no6:9;3?x{e9:=:6<4;:087!?f;39mn6F69e9me22=>;?0e<6?1;39?l27i80:66g;0`295?=hkj<1=75mcb494?2=83:p(4o<:54;?!5a:32?;6*66b8af4=O;ll0bl9;:705?l5am3:17d=if;29?l2783:17b660;29?xu609;1<7;dk?08jh5rs52b5?6=:rT?6`a3ty?50;0xZ16f827ho;4;019~wfe12909wSml6:?`g34n629'=3e=jk;0D>ki;oc40?05?2c8jh4?::k0bc<722c2944?::m436<722winn>51;694?6|,0k86l8;;%;5g?de92B8ik5aa66927>5<5<i:0?:55+3g09<55<,0;I1fb>hf?=0=>45f3gg94?=n;ol1<75f41294?=h00:1<75rbbcb>4<3290;w)7n3;65<>"4n;03<>5+97a9fg7<@:om7co84;41e>o4nl0;66g>o3890;66a79183>>{ek9:1=7:50;2x N4mo1em::563`8m6`b2900e>hi:188m<3>2900c:9<:188ygefj3;197>50z&:e6<3>11/?k<57`58 <0d2kh:7E=jf:lb31<1:j1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~ffe0280>6=4?{%;b7?2102.8j?48a69'=3e=jk;0D>ki;oc40?05l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::ag3c=93>1<7>t$8c0>d043-3=o7lm1:J0ac=ii>>1:?k4i2df>5<5<=86=44}c``5?7=<3:1>o4no0;66g65883>>i0?:0;66smc3a95?2=83:p(4o<:54;?!5a:32;?6*66b8af4=O;ll0bl9;:713?l5am3:17d=if;29?l2783:17b660;29?xddij0:694?:1y'=d5=h=:920?!?1k3hi=6F:;0e>hj:188m6`a2900e9>?:188k=?72900qom?1;390?6=8r.2m>4n629'=3e=jk;0D>ki;oc40?04:2c8jh4?::k0bc<722c2944?::m436<722wi=>8j:087>4<3s-3j?7=ib:J:=a=ii>>1:>=4ig7g>4<::``ea<72=0;6=u+9`1903><,:l965:8;%;5g?de92B8ik5aa6692625<5<h7>52z\e1a=:khn1?kk4}r63e4<72;qU8=o>;vPlae9>gdb=00:0qpl>36095?2=93>p(4o<:2da?M?>l2dj;949349j5=612800e9>n1;39?l27i90:66alc982>>ddk10;694?:1y'=d5=h=:964?!?1k3hi=6F:<0e>hj:188m6`a2900e9>?:188k=?72900q~?70783>7}Y91:=70ml8;1ea>{t<9k:6=4={_63e4=:kj21?kh4}r63e5<72;qU8=o?;5;h;6=?6=3f=5;|`ag7<62=0;6=u+9`19e32<,0;I1fb>hf?=0=?55f3gg94?=n;ol1<75f94;94?=h?>91<75rbb0g>4<3290;w)7n3;65<>"4n;03<>5+97a9fg7<@:om7co84;40=>o4nl0;66g>o3890;66a79183>>{ekho1=7:50;2x ">>j0in<5G3dd8jd132?9j7d=ie;29?l5an3:17d:?0;29?j>>83:17pll0382>1<729q/5l=5a718 <0d2kh:7E=jf:lb31<1;k1b?kk50;9j7c`=831b58750;9l325=831vn<=9f;390?7=4;m<4>::k74d6=931dolh51;9agd`=83>1<7>t$8c0>10?3-9m>76;7:&:2f35c3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3tym9h4?:3y]b0c<5jkm6>hj;|q74d7=838pR9>n1:?`ec<4no1v9>n0;296~X38h:01noi:523?xudio0;6?uQc`d89fga213;7psm1250>4<3280?w)7n3;1ef>N>1m1em::562g8m4>7j3;17d:?a082>>o38h:1=75`cb;95?=ekj31<7:50;2x ">>j0in<5G3dd8jd132?9m7d=ie;29?l5an3:17d:?0;29?j>>83:17p}>81`94?4|V82;n63lc880b`=z{=:j=7>52z\74d7<5ji26>hi;|q74d6=838pR9>n0:?`g<<3891vnm6:181[ed127ho447919~yge083;187>50z&:e6:1/5;m5bc38L6ca3gk<878;0:k0b`<722c8jk4?::k:1<<722e<;>4?::aff5=93>1<7>t$8c0>d033-3=o7lm1:J0ac=ii>>1:9?4i2df>5<5<=86=44}ca1a?7=<3:1gd63A9nj6`n758507=n;oo1<75f3gd94?=n<9:1<75`88294?=zjjh:6<4;:183!?f;3>=46*>o4no0;66g;0183>>i?190;66smc1195?2=83:p(4o<:`40?!?1k3hi=6F=>0e>hj:188m6`a2900e4;6:188k2142900qomm2;391?6=8r.2m>4;699'7c4=?h=0(48l:c`2?M5bn2dj;949449j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vnnmn:086>5<7s-3j?7:98:&0b7<0i>1/5;m5bc38L6ca3gk<878;6:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wio:?51;694?6|,0k86l8<;%;5g?de92B8ik5aa6692115<5<i:0j:95+97a9fg7<@:om7co84;47<>o4nl0;66g>o>=00;66a87283>>{ek;l1=7:50;2x ">>j0in<5G3dd8jd132?>27d=ie;29?l5an3:17d:?0;29?j>>83:17pllb282>1<729q/5l=547:8 6`521:87)79c;`a5>N4mo1em::565c8m6`b2900e>hi:188m1672900c57?:188yge7<3;187>50z&:e6:1/5;m5bc38L6ca3gk<878;b:k0b`<722c8jk4?::k:1<<722e<;>4?::agg2=93?1<7>t$8c0>10?3-9m>79n7:&:2f32d3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|``gg<62<0;6=u+9`1903><,:l96:o8;%;5g?de92B8ik5aa66921b5<5<>o4no0;66g65883>>i0?:0;66smbb795?2=83:p(4o<:`47?!?1k3hi=6F=l0e>hj:188m6`a2900e4;6:188k2142900qom<0;390?6=8r.2m>4;699'7c4=0990(48l:c`2?M5bn2dj;949519j7cc=831b?kh50;9j056=831d44>50;9~ffd2280?6=4?{%;b7?2102.8j?47029'=3e=jk;0D>ki;oc40?0292c8jh4?::k0bc<722c?<=4?::m;=5<722wio=;51;694?6|,0k86l8<;%;5g?de92B8ik5aa6692045<5<i:0?:55+3g093d1<,0;I1fb>hf?=0=9>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zjjih6<4::183!?f;3>=46*86g>o4no0;66g;0183>>o3880;66a79183>>{ek>91=7:50;2x N4mo1em::56478m6`b2900e>hi:188m<3>2900c:9<:188ygdd>3;187>50z&:e6=1/5;m5bc38L6ca3gk<878:6:k0b`<722c8jk4?::k:1<<722e<;>4?::ag67=93>1<7>t$8c0>10?3-9m>76?3:&:2f3303`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thhn:4>:583>5}#1h918;64$2d1>=643-3=o7lm1:J0ac=ii>>1:864i2df>5<5<56g>o4no0;66g65883>>i0?:0;66smcc:95?3=83:p(4o<:54;?!5a:3=j;6*66b8af4=O;ll0bl9;:77b?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pllce82>0<729q/5l=547:8 6`52>k<7)79c;`a5>N4mo1em::564`8m6`b2900e>hi:188m1672900e9>>:188k=?72900qom84;390?6=8r.2m>4n629'=3e=jk;0D>ki;oc40?02k2c8jh4?::k0bc<722c2944?::m436<722winn951;694?6|,0k86l8;;%;5g?de92B8ik5aa66920b5<5<7?54;294~">i:0?:55+3g09<55<,0;I1fb>hf?=0=9h5f3gg94?=n;ol1<75f41294?=h00:1<75rbb`:>4<3290;w)7n3;65<>"4n;03<>5+97a9fg7<@:om7co84;46b>o4nl0;66g>o3890;66a79183>>{ek9=1=7:50;2x N4mo1em::56728m6`b2900e>hi:188m<3>2900c:9<:188ygeei3;197>50z&:e6<3>11/?k<57`58 <0d2kh:7E=jf:lb31<1>81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~ffeb280>6=4?{%;b7?2102.8j?48a69'=3e=jk;0D>ki;oc40?01:2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::ag23=93>1<7>t$8c0>d043-3=o7lm1:J0ac=ii>>1:;=4i2df>5<5<=86=44}c``>o4no0;66g65883>>i0?:0;66smc2695?2=83:p(4o<:54;?!5a:32;?6*66b8af4=O;ll0bl9;:746?l5am3:17d=if;29?l2783:17b660;29?xddjj0:694?:1y'=d5=h=:920?!?1k3hi=6F?<0e>hj:188m6`a2900e9>?:188k=?72900qom?8;390?6=8r.2m>4n629'=3e=jk;0D>ki;oc40?01?2c8jh4?::k0bc<722c2944?::m436<722wiooj51;794?6|,0k86987;%1e6?1f?2.2:n4mb09K7``5;h634?6=3`>;=7>5;n::4?6=3thhh=4>:483>5}#1h918;64$2d1>2g03-3=o7lm1:J0ac=ii>>1:;74i2df>5<5<5<i:0j:>5+97a9fg7<@:om7co84;45e>o4nl0;66g>o>=00;66a87283>>{ejj31=7:50;2x N4mo1em::567`8m6`b2900e>hi:188m<3>2900c:9<:188yge4=3;187>50z&:e6<3>11/?k<58118 <0d2kh:7E=jf:lb31<1>j1b?kk50;9j7c`=831b8=>50;9l<<6=831vnnlj:087>5<7s-3j?7:98:&0b71<7>t$8c0>d043-3=o7lm1:J0ac=ii>>1:;k4i2df>5<5<=86=44}caab?7==3:1gd63A9nj6`n75852c=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rbbf2>4<2290;w)7n3;65<>"4n;0o4nl0;66g>o3890;66g;0083>>i?190;66smc6:95?2=83:p(4o<:`40?!?1k3hi=6F>;0e>hj:188m6`a2900e4;6:188k2142900qollb;390?6=8r.2m>4n659'=3e=jk;0D>ki;oc40?00:2c8jh4?::k0bc<722c2944?::m436<722wiil?51;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<87883:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?00<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=<>6:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87885:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?00>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=<>8:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87887:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0002c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=<>::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87889:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?00i2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==m7:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<8788b:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?00k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==m9:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<8788d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?00m2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==m;:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<8788f:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0?82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==m?:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87871:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0?:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==lj:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87873:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0?<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==ll:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87875:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0?>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=<>>:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87877:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0?02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==hi:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87879:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0?i2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==hk:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<8787b:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0?k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==hm:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<8787d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0?m2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==oi:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<8787f:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0>82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==ok:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87861:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0>:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==om:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87863:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0><2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==o8:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87865:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0>>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==o::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87867:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0>02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==o<:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<87869:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0>i2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==l8:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<8786b:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0>k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==l::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<8786d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0>m2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==l<:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<8786f:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0f82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==78:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878n1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0f:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==7::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878n3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0f<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==7<:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878n5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0f>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==6i:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878n7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2b280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?0f02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==6k:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878n9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2d280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?0fi2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==6m:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878nb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0fk2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==7i:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878nd:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0fm2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==7k:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878nf:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0e82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==7m:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878m1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0e:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==98:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878m3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0e<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==9::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878m5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0e>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==9<:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878m7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0e02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==8i:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878m9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0ei2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==8k:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878mb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0ek2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==8m:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878md:k10a<722c9>84?::k17d<722c2<84?::k15f<722e27280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?0em2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==9i:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878mf:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0d82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==9k:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878l1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0d:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==9m:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878l3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0d<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==;i:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878l5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0d>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==;k:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878l7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0d02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==;m:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878l9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0di2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==;8:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878lb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0dk2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==;::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878ld:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0dm2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==;<:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878lf:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0c82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==88:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878k1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0c:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==8::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878k3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0c<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==8<:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878k5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0c>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi===k:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878k7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0c02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi===m:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878k9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0ci2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi===6:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878kb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0ck2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi===::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878kd:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0cm2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi===<:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878kf:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0b82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi===>:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878j1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0b:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==:l:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878j3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0b<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==:n:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878j5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?0b>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==:7:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878j7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0b02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==?k:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878j9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0bi2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==?m:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878jb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0bk2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==?6:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878jd:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0bm2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==?::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878jf:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0a82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==?<:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878i1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0a:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==?>:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878i3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0a<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==5<7s-9m>7=le:&:2f3;18L6ca3gk<878i5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0a>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==5<7s-9m>7=le:&:2f3;18L6ca3gk<878i7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0a02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==<6:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878i9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0ai2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==>::085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878ib:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0ak2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==><:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878id:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?0am2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==>>:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<878if:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1782c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==>k:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<879?1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?17:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==>m:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<879?3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?17<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi==>6:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<879?5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2=93<1<7>t$2d1>6eb3-3=o7lm1:&:e681/549519d8 1742:1C?hh4n`57>2613`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thmi:4>:783>5}#;o81?nk4$84`>gd63-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1;=94i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7?7f:&756<43A9nj6`n75844==n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjoo>6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=;56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smfd695?0=83:p(>h=:2af?!?1k3hi=6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:62b?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdam:0:6;4?:1y'7c4=;jo0(48l:c`2?!?f;3k==6*69682?3;3j6*;1280?M5bn2dj;9480b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fcba280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?17l2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wijik51;494?6|,:l96>mj;%;5g?de92.2m>4n609'=<1=91l0(9?<:29K7``j;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`e`a<62?0;6=u+3g097fc<,0;%;b7?g192.25:4>8g9'045=;2B8ik5aa66935`o6=44i306>5<5<5<;%;:3?7?n2.?=>4<;I1fb>hf?=0<==5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbgfa>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;525>o5>o5;h0;66g60483>>o59j0;66a60e83>>{eno:1=7850;2x 6`52:in7)79c;`a5>">i:0j:<5+98595=`<,=;86>5G3dd8jd132>;97d<;d;29?l45=3:17d<c;29?j?7l3:17plieg82>3<729q/?k<53bg8 <0d2kh:7)7n3;c55>">1>0:4k5+40197>N4mo1em::57018m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg`bm3;1:7>50z&0b7<4kl1/5;m5bc38 "39:087E=jf:lb31<09=1b>9j50;9j673=831b>>o50;9j=53=831b>5<7s-9m>7=le:&:2f3;18L6ca3gk<879>5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6eb3-3=o7lm1:&:e681/549519d8 1742:1C?hh4n`57>2713`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thmio4>:783>5}#;o81?nk4$84`>gd63-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1;<94i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7?7f:&756<43A9nj6`n75845==n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjoio6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=:56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smfba95?0=83:p(>h=:2af?!?1k3hi=6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:63b?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdakk0:6;4?:1y'7c4=;jo0(48l:c`2?!?f;3k==6*69682?3;3j6*;1280?M5bn2dj;9481b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fce>280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?16l2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wijn851;494?6|,:l96>mj;%;5g?de92.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`eg0<62?0;6=u+3g097fc<,0;%;b7?g192.25:4>8g9'045=;2B8ik5aa66934`o6=44i306>5<5<5<;%;:3?7?n2.?=>4<;I1fb>hf?=0<>=5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbga0>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;515>o5>o5;h0;66g60483>>o59j0;66a60e83>>{enj81=7850;2x 6`52:in7)79c;`a5>">i:0j:<5+98595=`<,=;86>5G3dd8jd132>897d<;d;29?l45=3:17d<c;29?j?7l3:17plic082>3<729q/?k<53bg8 <0d2kh:7)7n3;c55>">1>0:4k5+40197>N4mo1em::57318m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg`c03;1:7>50z&0b7<4kl1/5;m5bc38 "39:087E=jf:lb31<0:=1b>9j50;9j673=831b>>o50;9j=53=831b>5<7s-9m>7=le:&:2f3;18L6ca3gk<879=5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6eb3-3=o7lm1:&:e681/549519d8 1742:1C?hh4n`57>2413`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thmh84>:783>5}#;o81?nk4$84`>gd63-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1;?94i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7?7f:&756<43A9nj6`n75846==n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjon86<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=956g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11d3>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;51e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99om6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=9n6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11gf>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;51g>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99oo6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=9h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11g`>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;51a>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99oi6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=9j6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11g;>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;504>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99o<6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=8=6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11g5>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;506>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99o>6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=8?6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11g7>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;500>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99o86<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=896g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11d;>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;502>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99l<6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=8;6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11d5>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;50<>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99l>6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=856g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11d7>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;50e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99l86<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=8n6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11g3>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;50g>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99nm6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=8h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11ff>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;50a>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99no6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=8j6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11f`>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;574>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99ni6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=?=6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11f;>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;576>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99n<6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=??6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11f5>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;570>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99n>6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=?96g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11f7>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;572>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99n86<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=?;6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11:;>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;57<>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e992<6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=?56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11:5>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;57e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e992>6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=?n6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11:7>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;57g>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99286<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=?h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1105>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;57a>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e998>6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=?j6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1107>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;564>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99886<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=>=6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1101>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;566>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e998:6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=>?6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11f3>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;560>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99im6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=>96g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11af>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;562>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99io6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=>;6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm11a`>4<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;56<>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e99ii6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=>56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smfc395?0=83:p(>h=:2af?!?1k3hi=6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:67b?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdaj90:6;4?:1y'7c4=;jo0(48l:c`2?!?f;3k==6*69682?3;3j6*;1280?M5bn2dj;9485b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fcgb280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?12l2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wijlj51;494?6|,:l96>mj;%;5g?de92.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`eef<62?0;6=u+3g097fc<,0;%;b7?g192.25:4>8g9'045=;2B8ik5aa66930`o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>gd63-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1;;>4i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7?7f:&756<43A9nj6`n758424=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjolo6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3==>6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smfga95?0=83:p(>h=:2af?!?1k3hi=6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:640?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdank0:6;4?:1y'7c4=;jo0(48l:c`2?!?f;3k==6*696820e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qohia;392?6=8r.8j?4?3;3j6*;1280?M5bn2dj;948649j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fc`>280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?11>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=?>n:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<87997:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1102c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=???:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<87999:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?11i2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=?>7:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<8799b:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;390D>ki;oc40?11k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=?>8:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<8799d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?11m2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=?>k:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<8799f:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1082c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87981:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?10:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87983:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?10<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=?>;:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<87985:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?10>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87987:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1002c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87989:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?10i2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=?>>:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<8798b:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;390D>ki;oc40?10k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<8798d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?10m2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<8798f:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1?82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87971:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1?:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87973:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1?<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87975:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1?>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87977:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1?02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87979:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1?i2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<8797b:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1?k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<8797d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1?m2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<8797f:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1>82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<87961:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1>:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87963:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1><2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87965:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;390D>ki;oc40?1>>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87967:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1>02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<87969:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1>i2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<8796b:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1>k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<8796d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1>m2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<8796f:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1f82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879n1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1f:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879n3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1f<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879n5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1f>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879n7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1f02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879n9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1fi2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879nb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1fk2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879nd:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1fm2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879nf:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1e82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879m1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1e:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879m3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1e<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879m5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1e>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879m7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1e02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=<7i:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<879m9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1ei2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wijl851;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<879mb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1ek2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wij5l51;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<879md:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?1em2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wij5o51;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<879mf:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?1d82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=?>m:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<879l1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?1d:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wij5651;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<879l3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1d<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wij5<51;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<879l5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?1d>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879l7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?1d02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wij5851;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<879l9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1di2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879lb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1dk2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879ld:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1dm2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=<7<:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<879lf:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1c82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879k1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1c:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879k3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;390D>ki;oc40?1c<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879k5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1c>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879k7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1c02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879k9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1ci2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879kb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1ck2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879kd:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1cm2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879kf:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1b82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879j1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1b:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<879j3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1b<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=5<7s-9m>7=k4:&:2f3;18L6ca3gk<879j5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1b>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=<7m:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<879j7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2ki;oc40?1b02c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=<78:085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<879j9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;390D>ki;oc40?1bi2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=<7::085>5<7s-9m>7=k4:&:2f3;18L6ca3gk<879jb:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6eb3-3=o7lm1:&:e681/549519d8 1742:1C?hh4n`57>2cd3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:=4851;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`e7`<62?0;6=u+3g097fc<,0;%;b7?g192.25:4>8g9'045=;2B8ik5aa6693`co6=44i306>5<5<5<;%;:3?7?n2.?=>4<;I1fb>hf?=04<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;5e4>o5>o5;h0;66g60483>>o59j0;66a60e83>>{en:<1=7850;2x 6`52:in7)79c;`a5>">i:0j:<5+98595=`<,=;86>5G3dd8jd132>l:7d<;d;29?l45=3:17d<c;29?j?7l3:17pli3c82>3<729q/?k<53bg8 <0d2kh:7)7n3;c55>">1>0:4k5+40197>N4mo1em::57g08m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg`4k3;1:7>50z&0b7<4kl1/5;m5bc38 "39:087E=jf:lb31<0n:1b>9j50;9j673=831b>>o50;9j=53=831b>5<7s-9m>7=le:&:2f3;18L6ca3gk<879i4:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6eb3-3=o7lm1:&:e681/549519d8 1742:1C?hh4n`57>2`23`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thm?84>:783>5}#;o81?nk4$84`>gd63-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1;k84i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7?7f:&756<43A9nj6`n7584b2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjo936<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3=m46g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smf2;95?0=83:p(>h=:2af?!?1k3hi=6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:6d:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xda;80:6;4?:1y'7c4=;jo0(48l:c`2?!?f;3k==6*69682?3;3j6*;1280?M5bn2dj;948fc9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fc55280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?1ak2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wij>>51;494?6|,:l96>mj;%;5g?de92.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`e60<62?0;6=u+3g097fc<,0;%;b7?g192.25:4>8g9'045=;2B8ik5aa6693cco6=44i306>5<5<5<;%;:3?7?n2.?=>4<;I1fb>hf?=04<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;:34>o5>o5;h0;66g60483>>o59j0;66a60e83>>{en;>1=7850;2x 6`52:in7)79c;`a5>">i:0j:<5+98595=`<,=;86>5G3dd8jd1321::7d<;d;29?l45=3:17d<c;29?j?7l3:17pli2982>3<729q/?k<53bg8 <0d2kh:7)7n3;c55>">1>0:4k5+40197>N4mo1em::58108m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg`513;1:7>50z&0b7<4kl1/5;m5bc38 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>:085>5<7s-9m>7=le:&:2f3;18L6ca3gk<876?4:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6eb3-3=o7lm1:&:e681/549519d8 1742:1C?hh4n`57>=623`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thm=k4>:783>5}#;o81?nk4$84`>gd63-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>14=84i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7?7f:&756<43A9nj6`n758;42=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjo;h6<49:183!5a:39hi6*66b8af4=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<32;46g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smf0g95?0=83:p(>h=:2af?!?1k3hi=6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:92:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xda<<0:6;4?:1y'7c4=;jo0(48l:c`2?!?f;3k==6*69682?3;3j6*;1280?M5bn2dj;9470c9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fc25280=6=4?{%1e6?5dm2.2:n4mb09'=d5=i?;0(478:0:e?!26;390D>ki;oc40?>7k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wij9?51;494?6|,:l96>mj;%;5g?de92.2m>4n609'=<1=91l0(9?<:29K7``k;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`e06<62?0;6=u+3g097fc<,0;%;b7?g192.25:4>8g9'045=;2B8ik5aa669<5co6=44i306>5<5<5<;%;:3?7?n2.?=>4<;I1fb>hf?=034<1290;w)=i2;1`a>">>j0in<5+9`19e37<,03<6<6i;%627?5<@:om7co84;:24>o5>o5;h0;66g60483>>o59j0;66a60e83>>{en:=1=7850;2x 6`52:in7)79c;`a5>">i:0j:<5+98595=`<,=;86>5G3dd8jd1321;:7d<;d;29?l45=3:17d<c;29?j?7l3:17pli1782>3<729q/?k<53bg8 <0d2kh:7)7n3;c55>">1>0:4k5+40197>N4mo1em::58008m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg759>0:6;4?:1y'7c4=;m>0(48l:c`2?!?f;3k==6*6968:=3=#<891?6Fb;392?6=8r.8j?4?3;3j6*;1280?M5bn2dj;947159j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f446=3;1:7>50z&0b7<4l=1/5;m5bc38 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>2;392?6=8r.8j?4?332:6*;1280?M5bn2dj;947179j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f446>3;1:7>50z&0b7<4l=1/5;m5bc38 "39:087E=jf:lb311b>9j50;9j673=831b>>o50;9j=53=831b>4n679'=3e=909?7E=jf:lb3150;9j675=831d;:=50;9~f4?>:3;1?7>50z&:e6?1/5;m51817?M5bn2dj;947189j036=831b>?=50;9l325=831vn<780;390?6=8r.2m>4n639'=3e=909?7E=jf:lb318o50;9j675=831b58750;9l325=831vn<771;397?6=8r.2m>4;699'7c4=0<30(48l:0;00>N4mo1em::580`8m6`b2900e>hi:188k=?72900qo?69082>6<729q/5l=5a748 <0d283886F>o0:694?:1y'=d5=i?80(48l:0;00>N4mo1em::580f8m73f2900e?<<:188m<3>2900c:9<:188yg7>090:6>4?:1y'=d5=h=:97:?!?1k3;2?95G3dd8jd1321;n7d=ie;29?l5an3:17b660;29?xd610:1=7=50;2x 97g95?2=83:p(4o<:`41?!?1k3;2?95G3dd8jd13218;7d<:a;29?l45;3:17d7:9;29?j10;3:17pl>96d95?5=83:p(4o<:54;?!5a:32>56*66b82=62<@:om7co84;:15>o4nl0;66g>i?190;66sm18:e>4<4290;w)7n3;c52>">>j0:5>:4H2ge?kg0<329>6g;6183>>o5::0;66a87283>>{e906*66b82=62<@:om7co84;:17>o5=h0;66g=2283>>o>=00;66a87283>>{e90=n6<4<:183!?f;3>=46*hf?=03>95f3gg94?=n;ol1<75`88294?=zj833i7?53;294~">i:0j:;5+97a95<533A9nj6`n758;60=n91<75rb0;5g?7=<3:1hf?=03>;5f24c94?=n:;91<75f94;94?=h?>91<75rb0;4`?7=;3:14?4<2B8ik5aa669<715<>14?64i543>5<5<:583>5}#1h91m;<4$84`>4?4<2B8ik5aa669<7?5<5<:283>5}#1h918;64$2d1>=3>3-3=o7?6359K7``5;n::4?6=3th:55m51;194?6|,0k86l89;%;5g?7>;=1C?hh4n`57>=4e3`>=<7>5;h017?6=3f=5;|`2=3g=93>1<7>t$8c0>d053-3=o7?6359K7``5;h;6=?6=3f=5;|`2=2d=9391<7>t$8c0>10?3-9m>76:9:&:2f<61:>0D>ki;oc40?>5l2c8jh4?::k0bc<722e35=4?::a52.2:n4>9268L6ca3gk<876=e:k725<722c9>>4?::m436<722wi=496:087>5<7s-3j?7o92:&:2f<61:>0D>ki;oc40?>5n2c99l4?::k166<722c2944?::m436<722wi=46n:080>5<7s-3j?7:98:&0b74n679'=3e=909?7E=jf:lb3150;9j675=831d;:=50;9~f4?003;187>50z&:e6;1/5;m51817?M5bn2dj;947339j60g=831b>?=50;9j=0?=831d;:=50;9~f4??13;1?7>50z&:e6<3>11/?k<584;8 <0d283886Fhj:188m6`a2900c57?:188yg7>1h0:6>4?:1y'=d5=i?<0(48l:0;00>N4mo1em::58268m1072900e?<<:188k2142900qo?67682>1<729q/5l=5a708 <0d283886F6<729q/5l=547:8 6`521?27)79c;3:71=O;ll0bl9;:915?l5am3:17d=if;29?j>>83:17pl>98;95?5=83:p(4o<:`45?!?1k3;2?95G3dd8jd13219<7d:90;29?l45;3:17b983;29?xd61><1=7:50;2x ">>j0:5>:4H2ge?kg0<32856g>o4no0;66a79183>>{e90336<4<:183!?f;3k=:6*66b82=62<@:om7co84;:0e>o3>90;66g=2283>>i0?:0;66sm1856>4<3290;w)7n3;c56>">>j0:5>:4H2ge?kg0<328n6g=5`83>>o5::0;66g65883>>i0?:0;66sm18:5>4<4290;w)7n3;65<>"4n;03945+97a95<533A9nj6`n758;7f=n;oo1<75f3gd94?=h00:1<75rb0;:3?7=;3:1hf?=03?i5f47294?=n:;91<75`76194?=zj83<87?54;294~">i:0j:?5+97a95<533A9nj6`n758;7`=n:i:0?:55+3g09<0?<,0>14>h4i2df>5<5<:283>5}#1h91m;84$84`>4?4<2B8ik5aa669<165<=86=44}c3:36<62=0;6=u+9`19e34<,0>149?4i37b>5<5<=86=44}c3:<1<62:0;6=u+9`1903><,:l965;6;%;5g?7>;=1C?hh4n`57>=253`9mi7>5;h1eb?6=3f22<7>5;|`2=<3=9391<7>t$8c0>d013-3=o7?6359K7``5;n547?6=3th:5:<51;694?6|,0k86l8=;%;5g?7>;=1C?hh4n`57>=233`8>m7>5;h017?6=3`3>57>5;n547?6=3th:55=51;194?6|,0k86987;%1e6?>212.2:n4>9268L6ca3gk<876;5:k0b`<722c8jk4?::m;=5<722wi=47;:080>5<7s-3j?7o96:&:2f<61:>0D>ki;oc40?>3>2c?:=4?::k166<722e<;>4?::a5<16280?6=4?{%;b7?g1:2.2:n4>9268L6ca3gk<876;7:k11d<722c9>>4?::k:1<<722e<;>4?::a5<>528086=4?{%;b7?2102.8j?47589'=3e=909?7E=jf:lb3150;9~f4??j3;1?7>50z&:e6?1/5;m51817?M5bn2dj;947489j036=831b>?=50;9l325=831vn<799;390?6=8r.2m>4n639'=3e=909?7E=jf:lb318o50;9j675=831b58750;9l325=831vn<78a;397?6=8r.2m>4;699'7c4=0<30(48l:0;00>N4mo1em::585`8m6`b2900e>hi:188k=?72900qo?65g82>6<729q/5l=5a748 <0d283886F=l0:6>4?:1y'=d5=i?<0(48l:0;00>N4mo1em::585f8m1072900e?<<:188k2142900qo?63b82>1<729q/5l=5a708 <0d283886F6<729q/5l=547:8 6`521?27)79c;3:71=O;ll0bl9;:96e?l5am3:17d=if;29?j>>83:17pl>94f95?5=83:p(4o<:`45?!?1k3;2?95G3dd8jd1321?;7d:90;29?l45;3:17b983;29?xd61:h1=7:50;2x ">>j0:5>:4H2ge?kg0<32>>6g>o4no0;66a79183>>{e90?h6<4<:183!?f;3k=:6*66b82=62<@:om7co84;:67>o3>90;66g=2283>>i0?:0;66sm181b>4<3290;w)7n3;c56>">>j0:5>:4H2ge?kg0<32>86g=5`83>>o5::0;66g65883>>i0?:0;66sm186a>4<4290;w)7n3;65<>"4n;03945+97a95<533A9nj6`n758;10=n;oo1<75f3gd94?=h00:1<75rb0;6f?7=;3:1hf?=039;5f47294?=n:;91<75`76194?=zj83857?54;294~">i:0j:?5+97a95<533A9nj6`n758;12=n:i:0?:55+3g09<0?<,0>14864i2df>5<5<:283>5}#1h91m;84$84`>4?4<2B8ik5aa669<0?5<=86=44}c3:7=<62=0;6=u+9`19e34<,0>148o4i37b>5<5<=86=44}c3:0<<62:0;6=u+9`1903><,:l965;6;%;5g?7>;=1C?hh4n`57>=3e3`9mi7>5;h1eb?6=3f22<7>5;|`2=0?=9391<7>t$8c0>d013-3=o7?6359K7``5;n547?6=3th:5>951;694?6|,0k86l8=;%;5g?7>;=1C?hh4n`57>=3c3`8>m7>5;h017?6=3`3>57>5;n547?6=3th:59651;194?6|,0k86987;%1e6?>212.2:n4>9268L6ca3gk<876:e:k0b`<722c8jk4?::m;=5<722wi=4;7:080>5<7s-3j?7o96:&:2f<61:>0D>ki;oc40?>2n2c?:=4?::k166<722e<;>4?::a5<51280?6=4?{%;b7?g1:2.2:n4>9268L6ca3gk<87690:k11d<722c9>>4?::k:1<<722e<;>4?::a5<2028086=4?{%;b7?2102.8j?47589'=3e=909?7E=jf:lb3181b?kk50;9j7c`=831d44>50;9~f4?103;1?7>50z&:e6?1/5;m51817?M5bn2dj;947639j036=831b>?=50;9l325=831vn<7;5;390?6=8r.2m>4n639'=3e=909?7E=jf:lb31:1b>8o50;9j675=831b58750;9l325=831vn<7:6;397?6=8r.2m>4;699'7c4=0<30(48l:0;00>N4mo1em::58768m6`b2900e>hi:188k=?72900qo?66682>6<729q/5l=5a748 <0d283886F<=0:694?:1y'=d5=i?80(48l:0;00>N4mo1em::58748m73f2900e?<<:188m<3>2900c:9<:188yg7>=<0:6>4?:1y'=d5=h=:97:?!?1k3;2?95G3dd8jd1321<<7d=ie;29?l5an3:17b660;29?xd61?<1=7=50;2x 95195?2=83:p(4o<:`41?!?1k3;2?95G3dd8jd1321<27d<:a;29?l45;3:17d7:9;29?j10;3:17pl>94695?5=83:p(4o<:54;?!5a:32>56*66b82=62<@:om7co84;:5e>o4nl0;66g>i?190;66sm1846>4<4290;w)7n3;c52>">>j0:5>:4H2ge?kg0<32=n6g;6183>>o5::0;66a87283>>{e90>96<4;:183!?f;3k=>6*66b82=62<@:om7co84;:5g>o5=h0;66g=2283>>o>=00;66a87283>>{e90?86<4<:183!?f;3>=46*hf?=03:i5f3gg94?=n;ol1<75`88294?=zj83=87?53;294~">i:0j:;5+97a95<533A9nj6`n758;2`=n91<75rb0;75?7=<3:1hf?=03:k5f24c94?=n:;91<75f94;94?=h?>91<75rb0;66?7=;3:14?4<2B8ik5aa669<265<>14:?4i543>5<5<:583>5}#1h91m;<4$84`>4?4<2B8ik5aa669<245<5<:283>5}#1h918;64$2d1>=3>3-3=o7?6359K7``5;n::4?6=3th:5;<51;194?6|,0k86l89;%;5g?7>;=1C?hh4n`57>=133`>=<7>5;h017?6=3f=5;|`2=6`=93>1<7>t$8c0>d053-3=o7?6359K7``5;h;6=?6=3f=5;|`2=06=9391<7>t$8c0>10?3-9m>76:9:&:2f<61:>0D>ki;oc40?>0>2c8jh4?::k0bc<722e35=4?::a5<0628086=4?{%;b7?g1>2.2:n4>9268L6ca3gk<87687:k725<722c9>>4?::m436<722wi=4=j:087>5<7s-3j?7o92:&:2f<61:>0D>ki;oc40?>002c99l4?::k166<722c2944?::m436<722wi=4:i:080>5<7s-3j?7:98:&0b74n679'=3e=909?7E=jf:lb3150;9j675=831d;:=50;9~f4?4l3;187>50z&:e6;1/5;m51817?M5bn2dj;9477c9j60g=831b>?=50;9j=0?=831d;:=50;9~f4?3m3;1?7>50z&:e6<3>11/?k<584;8 <0d283886Fi0e>hj:188m6`a2900c57?:188yg7>=>0:6>4?:1y'=d5=i?<0(48l:0;00>N4mo1em::586f8m1072900e?<<:188k2142900qo?63482>1<729q/5l=5a708 <0d283886Fo0e?;n:188m7442900e4;6:188k2142900qo?64782>6<729q/5l=547:8 6`521?27)79c;3:71=O;ll0bl9;:95e?l5am3:17d=if;29?j>>83:17pl>a9d95?0=83:p(>h=:2f7?!?1k3;2?95+9`19e37<,03<6479;%627?323A9nj6`n758;<5=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8k=n7?56;294~"4n;08h95+97a95<533-3j?7o91:&:=2<>1?1/8<=5549K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2e=c=93<1<7>t$2d1>6b33-3=o7?6359'=d5=i?;0(478:8;5?!26;390D>ki;oc40?>?:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=l6l:085>5<7s-9m>7=k4:&:2f<61:>0(4o<:`42?!?>?332:6*;1280?M5bn2dj;947829j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4g?j3;1:7>50z&0b7<4l=1/5;m51817?!?f;3k==6*6968:=3=#<891?6F0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?n8e82>3<729q/?k<53e68 <0d283886*6a28b24=#10=15484$530>6=O;ll0bl9;:9:6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6i1k1=7850;2x 6`52:n?7)79c;3:71=#1h91m;?4$8;4>:?7=4H2ge?kg0<323:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1`::>4<1290;w)=i2;1g0>">>j0:5>:4$8c0>d063-32;7766:&756<43A9nj6`n758;<2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8k2;7?56;294~"4n;08h95+97a95<533-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>14564i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>=>>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:m5651;494?6|,:l96>j;;%;5g?7>;=1/5l=5a738 3;18L6ca3gk<8767a:k10a<722c9>84?::k17d<722c2<84?::k15f<722e29268 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::589a8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7f1;0:6;4?:1y'7c4=;m>0(48l:0;00>">i:0j:<5+9859=<0<,=;86>5G3dd8jd13212o7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>a8395?0=83:p(>h=:2f7?!?1k3;2?95+9`19e37<,03<6479;%627?5<@:om7co84;:;a>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9h386<49:183!5a:39o86*66b82=62<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=034k5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0c:4?7=>3:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4?4<2.2m>4n609'=<1=10<0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2e=0=93<1<7>t$2d1>6b33-3=o7?6359'=d5=i?;0(478:8;5?!26;390D>ki;oc40?>>:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=l8n:085>5<7s-9m>7=k4:&:2f<61:>0(4o<:`42?!?>?332:6*;1280?M5bn2dj;947929j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4g113;1:7>50z&0b7<4l=1/5;m51817?!?f;3k==6*6968:=3=#<891?6F0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?n6682>3<729q/?k<53e68 <0d283886*6a28b24=#10=15484$530>6=O;ll0bl9;:9;6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6i?<1=7850;2x 6`52:n?7)79c;3:71=#1h91m;?4$8;4>:?7=4H2ge?kg0<322:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1`4;>4<1290;w)=i2;1g0>">>j0:5>:4$8c0>d063-32;7766:&756<43A9nj6`n758;=2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8k=97?56;294~"4n;08h95+97a95<533-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>14464i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>=?>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:m:<51;494?6|,:l96>j;;%;5g?7>;=1/5l=5a738 3;18L6ca3gk<8766a:k10a<722c9>84?::k17d<722c2<84?::k15f<722e29268 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::588a8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7f?90:6;4?:1y'7c4=;m>0(48l:0;00>">i:0j:<5+9859=<0<,=;86>5G3dd8jd13213o7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>a7d95?0=83:p(>h=:2f7?!?1k3;2?95+9`19e37<,03<6479;%627?5<@:om7co84;::a>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9h;%;:3??>>2.?=>4<;I1fb>hf?=035k5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0c5g?7=>3:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4?4<2.2m>4n609'=<1=10<0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2e35=93<1<7>t$2d1>6b33-3=o7?6359'=d5=i?;0(478:8;5?!26;390D>ki;oc40?>f:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=l8=:085>5<7s-9m>7=k4:&:2f<61:>0(4o<:`42?!?>?332:6*;1280?M5bn2dj;947a29j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4g3n3;1:7>50z&0b7<4l=1/5;m51817?!?f;3k==6*6968:=3=#<891?6F0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?n4d82>3<729q/?k<53e68 <0d283886*6a28b24=#10=15484$530>6=O;ll0bl9;:9c6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6ijl1=7850;2x 6`52:in7)79c;3:71=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<32j:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1`af>4<1290;w)=i2;1`a>">>j0:5>:4$8c0>d063-32;7?7f:&756<43A9nj6`n758;e2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8khh7?56;294~"4n;08oh5+97a95<533-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>14l64i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>=g>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:mnl51;494?6|,:l96>mj;%;5g?7>;=1/5l=5a738 3;18L6ca3gk<876na:k10a<722c9>84?::k17d<722c2<84?::k15f<722e29268 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::58`a8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7fl?0:6;4?:1y'7c4=;jo0(48l:0;00>">i:0j:<5+98595=`<,=;86>5G3dd8jd1321ko7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>ae795?0=83:p(>h=:2af?!?1k3;2?95+9`19e37<,03<6<6i;%627?5<@:om7co84;:ba>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9hn?6<49:183!5a:39hi6*66b82=62<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=03mk5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0cg7?7=>3:18g9'045=;2B8ik5aa669o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4?4<2.2m>4n609'=<1=91l0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2ea7=93<1<7>t$2d1>6eb3-3=o7?6359'=d5=i?;0(478:0:e?!26;390D>ki;oc40?>e:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=lj?:085>5<7s-9m>7=le:&:2f<61:>0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;947b29j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4gd13;1:7>50z&0b7<4kl1/5;m51817?!?f;3k==6*696820e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?nc982>3<729q/?k<53bg8 <0d283886*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:9`6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6ihl1=7850;2x 6`52:in7)79c;3:71=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<32i:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1`cf>4<1290;w)=i2;1`a>">>j0:5>:4$8c0>d063-32;7?7f:&756<43A9nj6`n758;f2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8kjh7?56;294~"4n;08oh5+97a95<533-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>14o64i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>=d>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:mll51;494?6|,:l96>mj;%;5g?7>;=1/5l=5a738 3;18L6ca3gk<876ma:k10a<722c9>84?::k17d<722c2<84?::k15f<722e29268 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::58ca8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7fj?0:6;4?:1y'7c4=;jo0(48l:0;00>">i:0j:<5+98595=`<,=;86>5G3dd8jd1321ho7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>ac795?0=83:p(>h=:2af?!?1k3;2?95+9`19e37<,03<6<6i;%627?5<@:om7co84;:aa>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9hh?6<49:183!5a:39hi6*66b82=62<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=03nk5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0ca7?7=>3:18g9'045=;2B8ik5aa669o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4?4<2.2m>4n609'=<1=91l0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2eg7=93<1<7>t$2d1>6eb3-3=o7?6359'=d5=i?;0(478:0:e?!26;390D>ki;oc40?>d:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=ll?:085>5<7s-9m>7=le:&:2f<61:>0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;947c29j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4gf13;1:7>50z&0b7<4kl1/5;m51817?!?f;3k==6*696820e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?na982>3<729q/?k<53bg8 <0d283886*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:9a6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6i=h1=7850;2x 6`52:n?7)79c;3:71=#1h91m;?4$8;4>:?7=4H2ge?kg0<32h:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1`6;>4<1290;w)=i2;1g0>">>j0:5>:4$8c0>d063-32;7766:&756<43A9nj6`n758;g2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj83mn7?56;294~"4n;08h95+97a95<533-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>14n64i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>=e>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:5k651;494?6|,:l96>j;;%;5g?7>;=1/5l=5a738 3;18L6ca3gk<876la:k10a<722c9>84?::k17d<722c2<84?::k15f<722e29268 "39:0>96F3<729q/?k<53e68 <0d283886*6a28b24=#10=15484$530>6=O;ll0bl9;:9a`?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd61o81=7850;2x 6`52:n?7)79c;3:71=#1h91m;?4$8;4>:?7=4H2ge?kg0<32hh6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm18d6>4<1290;w)=i2;1g0>">>j0:5>:4$8c0>d063-32;7766:&756<43A9nj6`n758;g`=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj83nj7?56;294~"4n;08h95+97a95<533-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>14nh4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>=b73`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:m?>51;494?6|,:l96>j;;%;5g?7>;=1/5l=5a738 3;18L6ca3gk<876k1:k10a<722c9>84?::k17d<722c2<84?::k15f<722e29268 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::58e18m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7>mj0:6;4?:1y'7c4=;m>0(48l:0;00>">i:0j:<5+9859=<0<,=;86>5G3dd8jd1321n?7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>a0595?0=83:p(>h=:2f7?!?1k3;2?95+9`19e37<,03<6479;%627?5<@:om7co84;:g1>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e90n?6<49:183!5a:39o86*66b82=62<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=03h;5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0;g5?7=>3:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4?4<2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2e16=93<1<7>t$2d1>6b33-3=o7?6359'=d5=i?;0(478:8;5?!26;390D>ki;oc40?>c12c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=4k;:085>5<7s-9m>7=k4:&:2f<61:>0(4o<:`42?!?>?332:6*;12861>N4mo1em::58ec8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7f;m0:6;4?:1y'7c4=;m>0(48l:0;00>">i:0j:<5+9859=<0<,=;86>5G3dd8jd1321ni7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>9e`95?0=83:p(>h=:2f7?!?1k3;2?95+9`19e37<,03<6479;%627?5<@:om7co84;:gg>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e90nn6<49:183!5a:39o86*66b82=62<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=03hi5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0c0e?7=>3:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4?4<2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2=a3=93<1<7>t$2d1>6b33-3=o7?6359'=d5=i?;0(478:8;5?!26;390D>ki;oc40?>b82c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=l=;:085>5<7s-9m>7=k4:&:2f<61:>0(4o<:`42?!?>?332:6*;1280?M5bn2dj;947e09j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4g4?3;1:7>50z&0b7<4l=1/5;m51817?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d283886*6a28b24=#10=15484$530>6=O;ll0bl9;:9g0?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6i;h1=7850;2x 6`52:n?7)79c;3:71=#1h91m;?4$8;4>:?7=4H2ge?kg0<32n86g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1`12>4<1290;w)=i2;1g0>">>j0:5>:4$8c0>d063-32;7766:&756<43A9nj6`n758;a0=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8k:=7?56;294~"4n;08h95+97a95<533-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>14h84i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>=c03`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:m<851;494?6|,:l96>j;;%;5g?7>;=1/5l=5a738 3;18L6ca3gk<876j8:k10a<722c9>84?::k17d<722c2<84?::k15f<722e21<7>t$8c0>10?3-9m>76?3:&:2f=c>3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thjm?4>:583>5}#1h918;64$2d1>=643-3=o7o7d:J0ac=ii>>14ho4i2df>5<5<>o4no0;66g65883>>i0?:0;66sma8g95?2=83:p(4o<:54;?!5a:32;?6*66b8bh=:920?!?1k3k3h6Fhj:188m6`a2900e9>?:188k=?72900qoo6d;390?6=8r.2m>4n629'=3e=i1n0D>ki;oc40?>bm2c8jh4?::k0bc<722c2944?::m436<722wim4l51;694?6|,0k86987;%1e6?>7;2.2:n4n8e9K7``5;h634?6=3f22<7>5;|`b=f<62=0;6=u+9`1903><,:l965><;%;5g?g?l2B8ik5aa6695<5<i:0j:>5+97a9e=b<@:om7co84;:e5>o4nl0;66g>o>=00;66a87283>>{ei021=7:50;2x ">>j0j4i5G3dd8jd1321l97d=ie;29?l5an3:17d:?0;29?j>>83:17pln9882>1<729q/5l=547:8 6`521:87)79c;c;`>N4mo1em::58g18m6`b2900e>hi:188m1672900c57?:188ygg>?3;187>50z&:e6:1/5;m5a9f8L6ca3gk<876i4:k0b`<722c8jk4?::k:1<<722e<;>4?::ae<3=93>1<7>t$8c0>10?3-9m>76?3:&:2f=`23`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thj5;4>:583>5}#1h918;64$2d1>=643-3=o7o7d:J0ac=ii>>14k84i2df>5<5<>o4no0;66g65883>>i0?:0;66sma8095?2=83:p(4o<:54;?!5a:32;?6*66b8bh=:920?!?1k3k3h6Fhj:188m6`a2900e9>?:188k=?72900qoo61;390?6=8r.2m>4n629'=3e=i1n0D>ki;oc40?>ai2c8jh4?::k0bc<722c2944?::m436<722wimom51;694?6|,0k86987;%1e6?>7;2.2:n4n8e9K7``5;h634?6=3f22<7>5;|`bfa<62=0;6=u+9`1903><,:l965><;%;5g?g?l2B8ik5aa6695<5<i:0j:>5+97a9e=b<@:om7co84;:e`>o4nl0;66g>o>=00;66a87283>>{eik31=7:50;2x ">>j0j4i5G3dd8jd1321ln7d=ie;29?l5an3:17d:?0;29?j>>83:17plnb`82>1<729q/5l=547:8 6`521:87)79c;c;`>N4mo1em::58gd8m6`b2900e>hi:188m1672900c57?:188ygge03;187>50z&:e6:1/5;m5a9f8L6ca3gk<877?0:k0b`<722c8jk4?::k:1<<722e<;>4?::aeg0=93>1<7>t$8c0>10?3-9m>76?3:&:2f<663`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thjn:4>:583>5}#1h918;64$2d1>=643-3=o7o7d:J0ac=ii>>15=<4i2df>5<5<>o4no0;66g65883>>i0?:0;66smac195?2=83:p(4o<:54;?!5a:32;?6*66b8bh=:920?!?1k3k3h6Fhj:188m6`a2900e9>?:188k=?72900qoom2;390?6=8r.2m>4n629'=3e=i1n0D>ki;oc40??7>2c8jh4?::k0bc<722c2944?::m436<722wimo>51;694?6|,0k86987;%1e6?>7;2.2:n4n8e9K7``8;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`bf4<62=0;6=u+9`1903><,:l965><;%;5g?g?l2B8ik5aa669=5>5<5<i:0j:>5+97a9e=b<@:om7co84;;3=>o4nl0;66g>o>=00;66a87283>>{eihn1=7:50;2x ">>j0j4i5G3dd8jd1320:j7d=ie;29?l5an3:17d:?0;29?j>>83:17plnad82>1<729q/5l=547:8 6`521:87)79c;c;`>N4mo1em::591`8m6`b2900e>hi:188m1672900c57?:188yggfk3;187>50z&:e6:1/5;m5a9f8L6ca3gk<877?c:k0b`<722c8jk4?::k:1<<722e<;>4?::aedg=93>1<7>t$8c0>10?3-9m>76?3:&:2f<6c3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thjmo4>:583>5}#1h918;64$2d1>=643-3=o7o7d:J0ac=ii>>15=k4i2df>5<5<>o4no0;66g65883>>i0?:0;66sma`595?2=83:p(4o<:54;?!5a:32;?6*66b8bh=:920?!?1k3k3h6Fhj:188m6`a2900e9>?:188k=?72900qoon6;390?6=8r.2m>4n629'=3e=i1n0D>ki;oc40??6:2c8jh4?::k0bc<722c2944?::m436<722wim5h51;694?6|,0k86987;%1e6?>7;2.2:n4n8e9K7``5;h634?6=3f22<7>5;|`b=5<62=0;6=u+9`1903><,:l965><;%;5g?g?l2B8ik5aa669=425<5<i:0j:>5+97a9e=b<@:om7co84;;21>o4nl0;66g>o>=00;66a87283>>{eih>1=7:50;2x ">>j0j4i5G3dd8jd1320;=7d=ie;29?l5an3:17d:?0;29?j>>83:17plna482>1<729q/5l=547:8 6`521:87)79c;c;`>N4mo1em::59058m6`b2900e>hi:188m1672900c57?:188yggf;3;187>50z&:e6:1/5;m5a9f8L6ca3gk<877>8:k0b`<722c8jk4?::k:1<<722e<;>4?::af53=93<1<7>t$2d1>6eb3-3=o7o7d:&:e681/549519d8 1742:1C?hh4n`57><7>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thi<94>:783>5}#;o81?nk4$84`>d>c3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>155<6=44i31b>5<6=44i33`>5<3:1d063-32;7?7f:&756<43A9nj6`n758:5g=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk:96<49:183!5a:39hi6*66b8b4>a3->:?7=4H2ge?kg0<33:o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smb1395?0=83:p(>h=:2af?!?1k3k3h6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:83g?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xde890:6;4?:1y'7c4=;jo0(48l:`:g?!?f;3k==6*69682?3;3j6*;1280?M5bn2dj;9461g9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fg6d280=6=4?{%1e6?5dm2.2:n4n8e9'=d5=i?;0(478:0:e?!26;390D>ki;oc40??582c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722win=l51;494?6|,:l96>mj;%;5g?g?l2.2m>4n609'=<1=91l0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`a4d<62?0;6=u+3g097fc<,08g9'045=;2B8ik5aa669=74o6=44i306>5<5<5<;%;:3?7?n2.?=>4<;I1fb>hf?=02>>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc2;>4<1290;w)=i2;1`a>">>j0j4i5+9`19e37<,03<6<6i;%627?5<@:om7co84;;10>o5>o5;h0;66g60483>>o59j0;66a60e83>>{ej9=1=7850;2x 6`52:in7)79c;c;`>">i:0j:<5+98595=`<,=;86>5G3dd8jd13208>7d<;d;29?l45=3:17d<c;29?j?7l3:17plm0782>3<729q/?k<53bg8 <0d2h2o7)7n3;c55>">1>0:4k5+40197>N4mo1em::59348m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yggan3;1:7>50z&0b7<4kl1/5;m5a9f8 "39:087E=jf:lb31<>:>1b>9j50;9j673=831b>>o50;9j=53=831b>5<7s-9m>7=le:&:2f3;18L6ca3gk<877=8:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6eb3-3=o7o7d:&:e681/549519d8 1742:1C?hh4n`57><4>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thji<4>:783>5}#;o81?nk4$84`>d>c3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>15?o4i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7?7f:&756<43A9nj6`n758:6g=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjhnm6<49:183!5a:39hi6*66b8b4>a3->:?7=4H2ge?kg0<339o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smaeg95?0=83:p(>h=:2af?!?1k3k3h6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:80g?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdflm0:6;4?:1y'7c4=;jo0(48l:`:g?!?f;3k==6*69682?3;3j6*;1280?M5bn2dj;9462g9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fdc>280=6=4?{%1e6?5dm2.2:n4n8e9'=d5=i?;0(478:0:e?!26;390D>ki;oc40??482c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wimh651;494?6|,:l96>mj;%;5g?g?l2.2m>4n609'=<1=91l0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`ba2<62?0;6=u+3g097fc<,08g9'045=;2B8ik5aa669=64o6=44i306>5<5<5<;%;:3?7?n2.?=>4<;I1fb>hf?=02?>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb`g6>4<1290;w)=i2;1`a>">>j0j4i5+9`19e37<,03<6<6i;%627?5<@:om7co84;;00>o5>o5;h0;66g60483>>o59j0;66a60e83>>{eil>1=7850;2x 6`52:in7)79c;c;`>">i:0j:<5+98595=`<,=;86>5G3dd8jd13209>7d<;d;29?l45=3:17d<c;29?j?7l3:17plne282>3<729q/?k<53bg8 <0d2h2o7)7n3;c55>">1>0:4k5+40197>N4mo1em::59248m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yggck3;1:7>50z&0b7<4kl1/5;m5a9f8 "39:087E=jf:lb31<>;>1b>9j50;9j673=831b>>o50;9j=53=831b>5<7s-9m>7=le:&:2f3;18L6ca3gk<877<8:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2t$2d1>6b33-3=o7o7d:&:e681/54959848 1742ki;oc40??412c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722win4j51;494?6|,:l96>j;;%;5g?g?l2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`a=f<62?0;6=u+3g097a2<,0<5e3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thi5o4>:783>5}#;o81?i:4$84`>d>c3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>15>m4i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7766:&756<43A9nj6`n758:7a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk326<49:183!5a:39o86*66b8b:?7=4H2ge?kg0<338i6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smb`495?0=83:p(>h=:2f7?!?1k3k3h6*6a28b24=#10=15484$530>6=O;ll0bl9;:81e?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdei<0:6;4?:1y'7c4=;m>0(48l:`:g?!?f;3k==6*6968:=3=#<891?6F?332:6*;1280?M5bn2dj;946409j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fgg4280=6=4?{%1e6?5c<2.2:n4n8e9'=d5=i?;0(478:8;5?!26;390D>ki;oc40??3:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722winl<51;494?6|,:l96>j;;%;5g?g?l2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`ae4<62?0;6=u+3g097a2<,0o6=44i306>5<5<5<;%;:3??>>2.?=>4<;I1fb>hf?=02885f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc;e>4<1290;w)=i2;1g0>">>j0j4i5+9`19e37<,03<6479;%627?5<@:om7co84;;72>o5>o5;h0;66g60483>>o59j0;66a60e83>>{ej021=7850;2x 6`52:n?7)79c;c;`>">i:0j:<5+9859=<0<,=;86>5G3dd8jd1320><7d<;d;29?l45=3:17d<c;29?j?7l3:17plm9682>3<729q/?k<53e68 <0d2h2o7)7n3;c55>">1>025;5+401910=O;ll0bl9;:86;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdfk=0:6;4?:1y'7c4=;jo0(48l:`:g?!?f;3k==6*69682?3;3j6*;1280?M5bn2dj;9464`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fde5280=6=4?{%1e6?5dm2.2:n4n8e9'=d5=i?;0(478:0:e?!26;390D>ki;oc40??3j2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722winlo51;494?6|,:l96>j;;%;5g?g?l2.2m>4n609'=<1=10<0(9?<:478L6ca3gk<877;c:k10a<72A>>;65f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk=:6<49:183!5a:39hi6*66b8b4>a3->:?7=4H2ge?kg0<33?h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smb6295?0=83:p(>h=:2af?!?1k3k3h6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:86f?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xde>o0:6;4?:1y'7c4=;jo0(48l:`:g?!?f;3k==6*69682?3;3j6*;1280?M5bn2dj;946519j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fg0c280=6=4?{%1e6?5dm2.2:n4n8e9'=d5=i?;0(478:0:e?!26;390D>ki;oc40??292c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722win;m51;494?6|,:l96>mj;%;5g?g?l2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`a2g<62?0;6=u+3g097fc<,08g9'045=;2B8ik5aa669=05o6=44i306>5<5<5<;%;:3?7?n2.?=>4<;I1fb>hf?=02995f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc06>4<1290;w)=i2;1g0>">>j0j4i5+9`19e37<,03<6479;%627?323A9nj6`n758:10=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk8?6<49:183!5a:39o86*66b8b:?7;:;I1fb>hf?=029;5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc00>4<1290;w)=i2;1g0>">>j0j4i5+9`19e37<,03<6479;%627?323A9nj6`n758:12=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk896<49:183!5a:39o86*66b8b:?7;:;I1fb>hf?=02955f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc02>4<1290;w)=i2;1g0>">>j0j4i5+9`19e37<,03<6479;%627?323A9nj6`n758:1<=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk8;6<49:183!5a:39o86*66b8b:?7;:;I1fb>hf?=029l5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc0g>4<1290;w)=i2;1g0>">>j0j4i5+9`19e37<,03<6479;%627?323A9nj6`n758:1g=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk8h6<49:183!5a:39o86*66b8b:?7;:;I1fb>hf?=029n5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc0a>4<1290;w)=i2;1g0>">>j0j4i5+9`19e37<,03<6479;%627?323A9nj6`n758:1a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk8j6<49:183!5a:39o86*66b8b:?7;:;I1fb>hf?=029h5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc0:>4<1290;w)=i2;1g0>">>j0j4i5+9`19e37<,03<6479;%627?323A9nj6`n758:1c=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk836<49:183!5a:39o86*66b8b:?7;:;I1fb>hf?=02:=5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc04>4<1290;w)=i2;1g0>">>j0j4i5+9`19e37<,03<6479;%627?323A9nj6`n758:24=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk8=6<49:183!5a:39o86*66b8b:?7;:;I1fb>hf?=02:?5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbc3e>4<1290;w)=i2;1g0>">>j0j4i5+9`19e37<,03<6479;%627?323A9nj6`n758:26=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjk;n6<49:183!5a:39o86*66b8b:?7;:;I1fb>hf?=02:95f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3126?7=;3:1<=?;I1fb>hf?=02:85f47294?=n:;91<75`76194?=zj;9:=7?53;294~">i:0j:;5+97a964573A9nj6`n758:23=n91<75rb30ee?7=<3:1<=?;I1fb>hf?=02::5f24c94?=n:;91<75f94;94?=h?>91<75rb312g?7=;3:1<=?;I1fb>hf?=02:55f47294?=n:;91<75`76194?=zj;9;o7?54;294~">i:0j:?5+97a964573A9nj6`n758:2<=n:i:0j:;5+97a964573A9nj6`n758:2d=n91<75rb313e?7=<3:1<=?;I1fb>hf?=02:o5f24c94?=n:;91<75f94;94?=h?>91<75rb312e?7=;3:1<=?;I1fb>hf?=02:n5f47294?=n:;91<75`76194?=zj;9;47?54;294~">i:0j:?5+97a964573A9nj6`n758:2a=n:i:0j:;5+97a964573A9nj6`n758:2`=n91<75rb3132?7=<3:1<=?;I1fb>hf?=02:k5f24c94?=n:;91<75f94;94?=h?>91<75rb312<=?;I1fb>hf?=02;=5f47294?=n:;91<75`76194?=zj;9;87?54;294~">i:0j:?5+97a964573A9nj6`n758:34=n:i:0j:;5+97a964573A9nj6`n758:37=n91<75rb3136?7=<3:1<=?;I1fb>hf?=02;>5f24c94?=n:;91<75f94;94?=h?>91<75rb3122?7=;3:1<=?;I1fb>hf?=02;95f47294?=n:;91<75`76194?=zj;9;<7?54;294~">i:0j:?5+97a964573A9nj6`n758:30=n:i:0j:;5+97a964573A9nj6`n758:33=n91<75rb30ea?7=<3:1<=?;I1fb>hf?=02;:5f24c94?=n:;91<75f94;94?=h?>91<75rb3120?7=;3:1<=?;I1fb>hf?=02;55f47294?=n:;91<75`76194?=zj;8mo7?54;294~">i:0j:?5+97a964573A9nj6`n758:3<=n:i:0j:;5+97a964573A9nj6`n758:3d=n91<75rb30e=?7=<3:1<=?;I1fb>hf?=02;o5f24c94?=n:;91<75f94;94?=h?>91<75rb33f6?7=;3:1<=?;I1fb>hf?=02;n5f47294?=n:;91<75`76194?=zj;;n=7?53;294~">i:0j:;5+97a964573A9nj6`n758:3a=n91<75rb33`2?7=<3:1<=?;I1fb>hf?=02;h5f24c94?=n:;91<75f94;94?=h?>91<75rb33f4?7=;3:1<=?;I1fb>hf?=02;k5f47294?=n:;91<75`76194?=zj;;h87?54;294~">i:0j:?5+97a964573A9nj6`n758:<5=n:i:0j:;5+97a964573A9nj6`n758:<4=n91<75rb33`6?7=<3:1<=?;I1fb>hf?=024?5f24c94?=n:;91<75f94;94?=h?>91<75rb33ga?7=;3:1<=?;I1fb>hf?=024>5f47294?=n:;91<75`76194?=zj;;h<7?54;294~">i:0j:?5+97a964573A9nj6`n758:<1=n:i:0j:;5+97a964573A9nj6`n758:<0=n91<75rb33aa?7=<3:1<=?;I1fb>hf?=024;5f24c94?=n:;91<75f94;94?=h?>91<75rb33fg?7=;3:1<=?;I1fb>hf?=024:5f47294?=n:;91<75`76194?=zj;;o47?54;294~">i:0j:?5+97a964573A9nj6`n758:<==n:i:0j:;5+97a964573A9nj6`n758:<<=n91<75rb33g2?7=<3:1<=?;I1fb>hf?=024l5f24c94?=n:;91<75f94;94?=h?>91<75rb33fe?7=;3:1<=?;I1fb>hf?=024o5f47294?=n:;91<75`76194?=zj;;o87?54;294~">i:0j:?5+97a964573A9nj6`n758:i:0j:;5+97a964573A9nj6`n758:91<75rb33g6?7=<3:1<=?;I1fb>hf?=024h5f24c94?=n:;91<75f94;94?=h?>91<75rb33f<=?;I1fb>hf?=024k5f47294?=n:;91<75`76194?=zj;;o<7?54;294~">i:0j:?5+97a964573A9nj6`n758:=5=n:i:0j:;5+97a964573A9nj6`n758:=4=n91<75rb33`a?7=<3:1<=?;I1fb>hf?=025?5f24c94?=n:;91<75f94;94?=h?>91<75rb33f2?7=;3:1<=?;I1fb>hf?=025>5f47294?=n:;91<75`76194?=zj;;ho7?54;294~">i:0j:?5+97a964573A9nj6`n758:=1=n:i:0j:;5+97a964573A9nj6`n758:=0=n91<75rb33`e?7=<3:1<=?;I1fb>hf?=025;5f24c94?=n:;91<75f94;94?=h?>91<75rb33f0?7=;3:1<=?;I1fb>hf?=025:5f47294?=n:;91<75`76194?=zj;;h47?54;294~">i:0j:?5+97a964573A9nj6`n758:===n:i:0j:;5+97a964573A9nj6`n758:=<=n91<75rb33a`?7=<3:1<=?;I1fb>hf?=025l5f24c94?=n:;91<75f94;94?=h?>91<75rb337g?7=;3:1<=?;I1fb>hf?=025o5f47294?=n:;91<75`76194?=zj;;?n7?53;294~">i:0j:;5+97a964573A9nj6`n758:=f=n91<75rb330=?7=<3:1<=?;I1fb>hf?=025i5f24c94?=n:;91<75f94;94?=h?>91<75rb337e?7=;3:1<=?;I1fb>hf?=025h5f47294?=n:;91<75`76194?=zj;;847?54;294~">i:0j:?5+97a964573A9nj6`n758:=c=n:7?53;294~">i:0?:55+3g09<0?<,0>15l>4i2df>5<5<:283>5}#1h91m;84$84`>77482B8ik5aa669=d75<=86=44}c0272<62=0;6=u+9`19e34<,0>15l<4i37b>5<5<=86=44}c0204<62:0;6=u+9`1903><,:l965;6;%;5g?46;91C?hh4n`57>5;h1eb?6=3f22<7>5;|`151>=9391<7>t$8c0>d013-3=o7<>319K7``5;n547?6=3th9=>851;694?6|,0k86l8=;%;5g?46;91C?hh4n`57>m7>5;h017?6=3`3>57>5;n547?6=3th9=9>51;194?6|,0k86987;%1e6?>212.2:n4=1228L6ca3gk<877n6:k0b`<722c8jk4?::m;=5<722wi><:8:080>5<7s-3j?7o96:&:2f<59::0D>ki;oc40??f?2c?:=4?::k166<722e<;>4?::a6452280?6=4?{%;b7?g1:2.2:n4=1228L6ca3gk<877n8:k11d<722c9>>4?::k:1<<722e<;>4?::a645a28086=4?{%;b7?2102.8j?47589'=3e=:89;7E=jf:lb31<>i01b?kk50;9j7c`=831d44>50;9~f773>3;1?7>50z&:e6?1/5;m52013?M5bn2dj;946a`9j036=831b>?=50;9l325=831vn??<4;390?6=8r.2m>4n639'=3e=:89;7E=jf:lb31<>ik1b>8o50;9j675=831b58750;9l325=831vn??4;699'7c4=0<30(48l:3304>N4mo1em::59`a8m6`b2900e>hi:188k=?72900qo<>4482>6<729q/5l=5a748 <0d2;;8<6FN4mo1em::59`g8m73f2900e?<<:188m<3>2900c:9<:188yg46;m0:6>4?:1y'=d5=h=:97:?!?1k38:?=5G3dd8jd1320km7d=ie;29?l5an3:17b660;29?xd59=>1=7=50;2x 56*66b81566<@:om7co84;;a6>o4nl0;66g>i?190;66sm2060>4<4290;w)7n3;c52>">>j09=>>4H2ge?kg0<33i?6g;6183>>o5::0;66a87283>>{e:89:6<4;:183!?f;3k=>6*66b81566<@:om7co84;;a0>o5=h0;66g=2283>>o>=00;66a87283>>{e:89i6<4<:183!?f;3>=46*<=?;I1fb>hf?=02n85f3gg94?=n;ol1<75`88294?=zj;8ni7?53;294~">i:0j:;5+97a964573A9nj6`n758:f3=n91<75rb30f`?7=;3:1<=?;I1fb>hf?=02n:5f47294?=n:;91<75`76194?=zj;8o:7?54;294~">i:0j:?5+97a964573A9nj6`n758:f==n:i:0j:;5+97a964573A9nj6`n758:f<=n91<75rb30f<=?;I1fb>hf?=02nl5f24c94?=n:;91<75f94;94?=h?>91<75rb30e3?7=;3:1<=?;I1fb>hf?=02no5f47294?=n:;91<75`76194?=zj;8n:7?54;294~">i:0j:?5+97a964573A9nj6`n758:ff=n:i:0j:;5+97a964573A9nj6`n758:fa=n91<75rb30f0?7=<3:1<=?;I1fb>hf?=02nh5f24c94?=n:;91<75f94;94?=h?>91<75rb30e1?7=;3:1<=?;I1fb>hf?=02nk5f47294?=n:;91<75`76194?=zj;8n>7?54;294~">i:0j:?5+97a964573A9nj6`n758:g5=n:i:0j:;5+97a964573A9nj6`n758:g4=n91<75rb30f4?7=<3:1<=?;I1fb>hf?=02o?5f24c94?=n:;91<75f94;94?=h?>91<75rb30e7?7=;3:1<=?;I1fb>hf?=02o>5f47294?=n:;91<75`76194?=zj;8oi7?54;294~">i:0j:?5+97a964573A9nj6`n758:g1=n:7?53;294~">i:0j:;5+97a964573A9nj6`n758:g0=n91<75rb30gg?7=<3:1<=?;I1fb>hf?=02o;5f24c94?=n:;91<75f94;94?=h?>91<75rb30e5?7=;3:1<=?;I1fb>hf?=02o:5f47294?=n:;91<75`76194?=zj;8om7?54;294~">i:0j:?5+97a964573A9nj6`n758:g==n:i:0j:;5+97a964573A9nj6`n758:g<=n91<75rb30g<=?;I1fb>hf?=02ol5f24c94?=n:;91<75f94;94?=h?>91<75rb30fg?7=;3:1<=?;I1fb>hf?=02oo5f47294?=n:;91<75`76194?=zj;8o97?54;294~">i:0j:?5+97a964573A9nj6`n758:gf=n:i:0j:;5+97a964573A9nj6`n758:ga=n91<75rb30`=?7=;3:1<=?;I1fb>hf?=02oh5f47294?=n:;91<75`76194?=zj;8i>7?54;294~">i:0j:?5+97a964573A9nj6`n758:gc=n:i:0j:;5+97a964573A9nj6`n758:`5=n91<75rb30`0?7=<3:1<=?;I1fb>hf?=02h<5f24c94?=n:;91<75f94;94?=h?>91<75rb30g7?7=;3:1<=?;I1fb>hf?=02h?5f47294?=n:;91<75`76194?=zj;8h>7?54;294~">i:0j:?5+97a964573A9nj6`n758:`6=n:7?53;294~">i:0j:;5+97a964573A9nj6`n758:`1=n91<75rb30`4?7=<3:1<=?;I1fb>hf?=02h85f24c94?=n:;91<75f94;94?=h?>91<75rb30g5?7=;3:1<=?;I1fb>hf?=02h;5f47294?=n:;91<75`76194?=zj;8ii7?54;294~">i:0j:?5+97a964573A9nj6`n758:`2=n:i:0j:;5+97a964573A9nj6`n758:`==n91<75rb30ag?7=<3:1<=?;I1fb>hf?=02h45f24c94?=n:;91<75f94;94?=h?>91<75rb30`b?7=;3:1<=?;I1fb>hf?=02hl5f47294?=n:;91<75`76194?=zj;8im7?54;294~">i:0j:?5+97a964573A9nj6`n758:`g=n:i:0j:;5+97a964573A9nj6`n758:`f=n91<75rb30a<=?;I1fb>hf?=02hi5f24c94?=n:;91<75f94;94?=h?>91<75rb30``?7=;3:1<=?;I1fb>hf?=02hh5f47294?=n:;91<75`76194?=zj;8i:7?54;294~">i:0j:?5+97a964573A9nj6`n758:`c=n:i:0j:;5+97a964573A9nj6`n758:a5=n91<75rb30a0?7=<3:1<=?;I1fb>hf?=02i<5f24c94?=n:;91<75f94;94?=h?>91<75rb30`<=?;I1fb>hf?=02i?5f47294?=n:;91<75`76194?=zj;8i=7?54;294~">i:0j:?5+97a964573A9nj6`n758:a6=n:i:0j:;5+97a964573A9nj6`n758:a1=n91<75rb30b<=?;I1fb>hf?=02i85f47294?=n:;91<75`76194?=zj;8;n7?54;294~">i:0j:?5+97a964573A9nj6`n758:a3=n:i:0j:;5+97a964573A9nj6`n758:a2=n91<75rb303=?7=<3:1<=?;I1fb>hf?=02i55f24c94?=n:;91<75f94;94?=h?>91<75rb30b1?7=;3:1<=?;I1fb>hf?=02i45f47294?=n:;91<75`76194?=zj;8;47?54;294~">i:0j:?5+97a964573A9nj6`n758:ad=n:i:0j:;5+97a964573A9nj6`n758:ag=n91<75rb3033?7=<3:1<=?;I1fb>hf?=02in5f24c94?=n:;91<75f94;94?=h?>91<75rb30b7?7=;3:1<=?;I1fb>hf?=02ii5f47294?=n:;91<75`76194?=zj;8;:7?54;294~">i:0j:?5+97a964573A9nj6`n758:a`=n:7?53;294~">i:0j:;5+97a964573A9nj6`n758:ac=n91<75rb3031?7=<3:1<=?;I1fb>hf?=02j=5f24c94?=n:;91<75f94;94?=h?>91<75rb30b5?7=;3:1<=?;I1fb>hf?=02j<5f47294?=n:;91<75`76194?=zj;8;87?54;294~">i:0j:?5+97a964573A9nj6`n758:b7=n:i:0j:;5+97a964573A9nj6`n758:b6=n91<75rb3037?7=<3:1<=?;I1fb>hf?=02j95f24c94?=n:;91<75f94;94?=h?>91<75rb30:b?7=;3:1<=?;I1fb>hf?=02j85f47294?=n:;91<75`76194?=zj;8;>7?54;294~">i:0j:?5+97a964573A9nj6`n758:b3=n:i:0j:;5+97a964573A9nj6`n758:b2=n91<75rb3035?7=<3:1<=?;I1fb>hf?=02j55f24c94?=n:;91<75f94;94?=h?>91<75rb30:`?7=;3:1<=?;I1fb>hf?=02j45f47294?=n:;91<75`76194?=zj;8;<7?54;294~">i:0j:?5+97a964573A9nj6`n758:bd=n:i:0j:;5+97a964573A9nj6`n758:bg=n91<75rb33ea?7=<3:1<=?;I1fb>hf?=02jn5f24c94?=n:;91<75f94;94?=h?>91<75rb30:e?7=;3:1<=?;I1fb>hf?=02ji5f47294?=n:;91<75`76194?=zj;;mh7?54;294~">i:0j:?5+97a964573A9nj6`n758:b`=n:i:0j:;5+97a964573A9nj6`n758:bc=n91<75rb33eg?7=<3:1<=?;I1fb>hf?=0j<=5f24c94?=n:;91<75f94;94?=h?>91<75rb30:<=?;I1fb>hf?=0j<<5f47294?=n:;91<75`76194?=zj;;mn7?54;294~">i:0j:?5+97a964573A9nj6`n758b47=n:i:0j:;5+97a964573A9nj6`n758b46=n91<75rb33ee?7=<3:1<=?;I1fb>hf?=0j<95f24c94?=n:;91<75f94;94?=h?>91<75rb30:2?7=;3:1<=?;I1fb>hf?=0j<85f47294?=n:;91<75`76194?=zj;;m57?54;294~">i:0j:?5+97a964573A9nj6`n758b43=n:i:0j:;5+97a964573A9nj6`n758b42=n91<75rb33e<=?;I1fb>hf?=0j<55f24c94?=n:;91<75f94;94?=h?>91<75rb30:0?7=;3:1<=?;I1fb>hf?=0j<45f47294?=n:;91<75`76194?=zj;;m;7?54;294~">i:0j:?5+97a964573A9nj6`n758b4d=n:i:0j:;5+97a964573A9nj6`n758b4g=n91<75rb33e2?7=<3:1<=?;I1fb>hf?=0j91<75rb30:6?7=;3:1<=?;I1fb>hf?=0ji:0j:?5+97a964573A9nj6`n758b4`=n:i:0j:;5+97a964573A9nj6`n758b4c=n91<75rb3026?7=<3:1<=?;I1fb>hf?=0j==5f24c94?=n:;91<75f94;94?=h?>91<75rb30bb?7=;3:1<=?;I1fb>hf?=0j=<5f47294?=n:;91<75`76194?=zj;8:=7?54;294~">i:0j:?5+97a964573A9nj6`n758b57=n:i:0j:;5+97a964573A9nj6`n758b56=n91<75rb3024?7=<3:1<=?;I1fb>hf?=0j=95f24c94?=n:;91<75f94;94?=h?>91<75rb30b`?7=;3:1<=?;I1fb>hf?=0j=85f47294?=n:;91<75`76194?=zj;8;j7?54;294~">i:0j:?5+97a964573A9nj6`n758b53=n:i:0j:;5+97a964573A9nj6`n758b52=n91<75rb303a?7=<3:1<=?;I1fb>hf?=0j=55f24c94?=n:;91<75f94;94?=h?>91<75rb30bf?7=;3:1<=?;I1fb>hf?=0j=45f47294?=n:;91<75`76194?=zj;8;h7?54;294~">i:0j:?5+97a964573A9nj6`n758b5d=n:i:0j:;5+97a964573A9nj6`n758b5g=n91<75rb303g?7=<3:1<=?;I1fb>hf?=0j=n5f24c94?=n:;91<75f94;94?=h?>91<75rb30b3?7=;3:1<=?;I1fb>hf?=0j=i5f47294?=n:;91<75`76194?=zj;8;m7?54;294~">i:0j:?5+97a964573A9nj6`n758b5`=n:i:0j:;5+97a964573A9nj6`n758b5c=n91<75rb33eb?7=<3:1<=?;I1fb>hf?=0j>=5f24c94?=n:;91<75f94;94?=h?>91<75rb30:5?7=;3:1<=?;I1fb>hf?=0j><5f47294?=n:;91<75`76194?=zj;;m?7?54;294~">i:0j:?5+97a964573A9nj6`n758b67=n:i:0j:?5+97a964573A9nj6`n758b66=n:i:0j:?5+97a964573A9nj6`n758b61=n:i:0j:?5+97a964573A9nj6`n758b60=n:i:0j:?5+97a964573A9nj6`n758b63=n:i:0j:?5+97a964573A9nj6`n758b62=n:i:0j:?5+97a964573A9nj6`n758b6==n:i:0j:?5+97a964573A9nj6`n758b6<=n:i:0j:?5+97a964573A9nj6`n758b6d=n:i:0j:?5+97a964573A9nj6`n758b6g=n:i:0j:?5+97a964573A9nj6`n758b6f=n:7?54;294~">i:0j:?5+97a964573A9nj6`n758b6a=n:i:0j:?5+97a964573A9nj6`n758b6`=n:97?54;294~">i:0j:?5+97a964573A9nj6`n758b6c=n:87?54;294~">i:0j:?5+97a964573A9nj6`n758b75=n:?7?54;294~">i:0j:?5+97a964573A9nj6`n758b74=n:>7?54;294~">i:0j:?5+97a964573A9nj6`n758b77=n:=7?54;294~">i:0j:?5+97a964573A9nj6`n758b76=n:<7?54;294~">i:0j:?5+97a964573A9nj6`n758b71=n:i7?54;294~">i:0j:?5+97a964573A9nj6`n758b70=n:h7?54;294~">i:0j:?5+97a964573A9nj6`n758b73=n:o7?54;294~">i:0j:?5+97a964573A9nj6`n758b72=n:n7?54;294~">i:0j:?5+97a964573A9nj6`n758b7==n:m7?54;294~">i:0j:?5+97a964573A9nj6`n758b7<=n:57?54;294~">i:0j:?5+97a964573A9nj6`n758b7d=n:47?54;294~">i:0j:?5+97a964573A9nj6`n758b7g=n:;7?54;294~">i:0j:?5+97a964573A9nj6`n758b7f=n::7?54;294~">i:0j:?5+97a964573A9nj6`n758b7a=n:i:0j:?5+97a964573A9nj6`n758b7`=n:i:0j:?5+97a964573A9nj6`n758b7c=n:i:0j:?5+97a964573A9nj6`n758b05=n:7?54;294~">i:0j:?5+97a964573A9nj6`n758b04=n:i:0j:?5+97a964573A9nj6`n758b07=n:i:0j:?5+97a964573A9nj6`n758b06=n:i:0j:?5+97a964573A9nj6`n758b01=n:i:0j:?5+97a964573A9nj6`n758b00=n:i:0j:?5+97a964573A9nj6`n758b03=n:i:0j:?5+97a964573A9nj6`n758b02=n:i:0j:?5+97a964573A9nj6`n758b0==n:i:0j:?5+97a964573A9nj6`n758b0<=n:i:0j:?5+97a964573A9nj6`n758b0d=n:i:0j:?5+97a964573A9nj6`n758b0g=n:i:0j:?5+97a964573A9nj6`n758b0f=n:i:0j:?5+97a964573A9nj6`n758b0a=n:i:0j:?5+97a964573A9nj6`n758b0`=n:i:0j:?5+97a964573A9nj6`n758b0c=n:i:0j:?5+97a964573A9nj6`n758b15=n:i:0j:?5+97a964573A9nj6`n758b14=n:i:0j:?5+97a964573A9nj6`n758b17=n:i:0j:?5+97a964573A9nj6`n758b16=n:i:0j:?5+97a964573A9nj6`n758b11=n:7?54;294~">i:0j:?5+97a964573A9nj6`n758b10=n:i:0j:?5+97a964573A9nj6`n758b13=n:i:0?:55+3g09<43<,0>1m894i2df>5<5<<,:l965?:;%;5g?46;91C?hh4n`57>d3?3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9?>k51;694?6|,0k86l8<;%;5g?46;91C?hh4n`57>d3>3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th9?9<51;694?6|,0k86987;%1e6?>6=2.2:n4=1228L6ca3gk<87o:a:k0b`<722c8jk4?::k745<722e35=4?::a6624280?6=4?{%;b7?2102.8j?47149'=3e=:89;7E=jf:lb3150;9l<<6=831vn?=;0;390?6=8r.2m>4n629'=3e=:89;7E=jf:lb314n659'=3e=:89;7E=jf:lb314;699'7c4=08?0(48l:3304>N4mo1em::5a4g8m6`b2900e>hi:188m1672900c57?:188yg44;j0:694?:1y'=d5=h=:936?!?1k38:?=5G3dd8jd132h?m7d=ie;29?l5an3:17d:?0;29?j>>83:17pl=35695?2=83:p(4o<:`40?!?1k38:?=5G3dd8jd132h<;7d=ie;29?l5an3:17d7:9;29?j10;3:17pl=32795?2=83:p(4o<:54;?!5a:32:96*66b81566<@:om7co84;c55>o4nl0;66g>o3890;66a79183>>{e::9<6<4;:183!?f;3>=46*<=?;I1fb>hf?=0j:?5f3gg94?=n;ol1<75f41294?=h00:1<75rb3100?7=<3:1<=?;I1fb>hf?=0j:>5f3gg94?=n;ol1<75f94;94?=h?>91<75rb31077482B8ik5aa669e325<5<:583>5}#1h918;64$2d1>=723-3=o7<>319K7``5;h634?6=3f22<7>5;|`1760=93>1<7>t$8c0>d043-3=o7<>319K7``5;h;6=?6=3f=5;|`1765=93>1<7>t$8c0>d033-3=o7<>319K7``5;h;6=?6=3f=5;|`1767=93>1<7>t$8c0>10?3-9m>76>5:&:2f<59::0D>ki;oc40?g102c8jh4?::k0bc<722c?<=4?::m;=5<722wi>>==:087>5<7s-3j?7:98:&0b750;9~f754i3;187>50z&:e6:1/5;m52013?M5bn2dj;94n6`9j7cc=831b?kh50;9j=0?=831d;:=50;9~f755j3;187>50z&:e6<3>11/?k<58078 <0d2;;8<6Fhj:188m6`a2900e9>?:188k=?72900qo<<2e82>1<729q/5l=547:8 6`521;>7)79c;0275=O;ll0bl9;:`4`?l5am3:17d=if;29?l2783:17b660;29?xd5;;k1=7:50;2x ">>j09=>>4H2ge?kg0<3k=i6g>o4no0;66g;0183>>i?190;66sm220e>4<3290;w)7n3;65<>"4n;03=85+97a964573A9nj6`n758b2c=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;99o7?54;294~">i:0j:>5+97a964573A9nj6`n758b35=n;oo1<75f3gd94?=n1<31<75`76194?=zj;9957?54;294~">i:0j:95+97a964573A9nj6`n758b34=n;oo1<75f3gd94?=n1<31<75`76194?=zj;99;7?54;294~">i:0?:55+3g09<43<,0>1m:<4i2df>5<5<<,:l965?:;%;5g?46;91C?hh4n`57>d143`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9?>>51;694?6|,0k86l8<;%;5g?46;91C?hh4n`57>d133`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th9???51;694?6|,0k86987;%1e6?>6=2.2:n4=1228L6ca3gk<87o85:k0b`<722c8jk4?::k745<722e35=4?::a6644280?6=4?{%;b7?2102.8j?47149'=3e=:89;7E=jf:lb3150;9l<<6=831vn?==0;390?6=8r.2m>4n629'=3e=:89;7E=jf:lb311b?kk50;9j7c`=831b58750;9l325=831vn?==4;390?6=8r.2m>4;699'7c4=08?0(48l:3304>N4mo1em::5a6:8m6`b2900e>hi:188m1672900c57?:188yg44:<0:694?:1y'=d5=h=:936?!?1k38:?=5G3dd8jd132h=27d=ie;29?l5an3:17d:?0;29?j>>83:17pl=33095?2=83:p(4o<:`40?!?1k38:?=5G3dd8jd132h=j7d=ie;29?l5an3:17d7:9;29?j10;3:17pl=30d95?2=83:p(4o<:`47?!?1k38:?=5G3dd8jd132h=i7d=ie;29?l5an3:17d7:9;29?j10;3:17pl=30f95?2=83:p(4o<:54;?!5a:32:96*66b81566<@:om7co84;c4g>o4nl0;66g>o3890;66a79183>>{e::;n6<4;:183!?f;3>=46*<=?;I1fb>hf?=0j;i5f3gg94?=n;ol1<75f41294?=h00:1<75rb3112?7=<3:1<=?;I1fb>hf?=0j;h5f3gg94?=n;ol1<75f94;94?=h?>91<75rb31`5?7=;3:1<=?;I1fb>hf?=0j;k5f47294?=n:;91<75`76194?=zj;9h<7?53;294~">i:0j:;5+97a964573A9nj6`n758b<5=n91<75rb31:b?7=<3:1<=?;I1fb>hf?=0j4<5f24c94?=n:;91<75f94;94?=h?>91<75rb31`e?7=;3:1<=?;I1fb>hf?=0j4?5f47294?=n:;91<75`76194?=zj;9j47?54;294~">i:0j:?5+97a964573A9nj6`n758b<6=n:i:0j:;5+97a964573A9nj6`n758b<1=n91<75rb31b3?7=<3:1<=?;I1fb>hf?=0j485f24c94?=n:;91<75f94;94?=h?>91<75rb31`<=?;I1fb>hf?=0j4;5f47294?=n:;91<75`76194?=zj;9j:7?54;294~">i:0j:?5+97a964573A9nj6`n758b<2=n:i:0j:;5+97a964573A9nj6`n758b<==n91<75rb31b1?7=<3:1<=?;I1fb>hf?=0j445f24c94?=n:;91<75f94;94?=h?>91<75rb31`2?7=;3:1<=?;I1fb>hf?=0j4l5f47294?=n:;91<75`76194?=zj;9j87?54;294~">i:0j:?5+97a964573A9nj6`n758bi:0j:;5+97a964573A9nj6`n758b91<75rb31b7?7=<3:1<=?;I1fb>hf?=0j4i5f24c94?=n:;91<75f94;94?=h?>91<75rb31`0?7=;3:1<=?;I1fb>hf?=0j4h5f47294?=n:;91<75`76194?=zj;9j>7?54;294~">i:0j:?5+97a964573A9nj6`n758bi:0j:;5+97a964573A9nj6`n758b=5=n91<75rb31b5?7=<3:1<=?;I1fb>hf?=0j5<5f24c94?=n:;91<75f94;94?=h?>91<75rb31`6?7=;3:1<=?;I1fb>hf?=0j5?5f47294?=n:;91<75`76194?=zj;9j<7?54;294~">i:0j:?5+97a964573A9nj6`n758b=6=n:i:0j:;5+97a964573A9nj6`n758b=1=n91<75rb31:`?7=<3:1<=?;I1fb>hf?=0j585f24c94?=n:;91<75f94;94?=h?>91<75rb31fb?7=;3:1<=?;I1fb>hf?=0j5;5f47294?=n:;91<75`76194?=zj;9ni7?53;294~">i:0j:;5+97a964573A9nj6`n758b=2=n91<75rb31``?7=<3:1<=?;I1fb>hf?=0j555f24c94?=n:;91<75f94;94?=h?>91<75rb31e<=?;I1fb>hf?=0j545f47294?=n:;91<75`76194?=zj;9o:7?54;294~">i:0j:?5+97a964573A9nj6`n758b=d=n:i:0j:;5+97a964573A9nj6`n758b=g=n91<75rb31g1?7=<3:1<=?;I1fb>hf?=0j5n5f24c94?=n:;91<75f94;94?=h?>91<75rb31e2?7=;3:1<=?;I1fb>hf?=0j5i5f47294?=n:;91<75`76194?=zj;9o87?54;294~">i:0j:?5+97a964573A9nj6`n758b=`=n:i:0j:;5+97a964573A9nj6`n758b=c=n91<75rb31g7?7=<3:1<=?;I1fb>hf?=0jm=5f24c94?=n:;91<75f94;94?=h?>91<75rb31e0?7=;3:1<=?;I1fb>hf?=0jm<5f47294?=n:;91<75`76194?=zj;9o>7?54;294~">i:0j:?5+97a964573A9nj6`n758be7=n:i:0j:;5+97a964573A9nj6`n758be6=n91<75rb31g5?7=<3:1<=?;I1fb>hf?=0jm95f24c94?=n:;91<75f94;94?=h?>91<75rb31e6?7=;3:1<=?;I1fb>hf?=0jm85f47294?=n:;91<75`76194?=zj;9o<7?54;294~">i:0j:?5+97a964573A9nj6`n758be3=n:i:0j:;5+97a964573A9nj6`n758be2=n91<75rb31`b?7=<3:1<=?;I1fb>hf?=0jm55f24c94?=n:;91<75f94;94?=h?>91<75rb31e4?7=;3:1<=?;I1fb>hf?=0jm45f47294?=n:;91<75`76194?=zj;9hi7?54;294~">i:0j:?5+97a964573A9nj6`n758bed=n:i:0j:;5+97a964573A9nj6`n758beg=n91<75rb31`f?7=<3:1<=?;I1fb>hf?=0jmn5f24c94?=n:;91<75f94;94?=h?>91<75rb31:7?7=;3:1<=?;I1fb>hf?=0jmi5f47294?=n:;91<75`76194?=zj;92>7?53;294~">i:0j:;5+97a964573A9nj6`n758be`=n91<75rb3151?7=<3:1<=?;I1fb>hf?=0jmk5f24c94?=n:;91<75f94;94?=h?>91<75rb31:5?7=;3:1<=?;I1fb>hf?=0jn=5f47294?=n:;91<75`76194?=zj;9=87?54;294~">i:0j:?5+97a964573A9nj6`n758bf4=n:i:0j:;5+97a964573A9nj6`n758bf7=n91<75rb3157?7=<3:1<=?;I1fb>hf?=0jn>5f24c94?=n:;91<75f94;94?=h?>91<75rb31;b?7=;3:1<=?;I1fb>hf?=0jn95f47294?=n:;91<75`76194?=zj;9=>7?54;294~">i:0j:?5+97a964573A9nj6`n758bf0=n:i:0j:;5+97a964573A9nj6`n758bf3=n91<75rb3155?7=<3:1<=?;I1fb>hf?=0jn:5f24c94?=n:;91<75f94;94?=h?>91<75rb31:g?7=;3:1<=?;I1fb>hf?=0jn55f47294?=n:;91<75`76194?=zj;9=i7?54;294~">i:0j:?5+97a964573A9nj6`n758bf<=n:i:0j:;5+97a964573A9nj6`n758bfd=n91<75rb315`?7=<3:1<=?;I1fb>hf?=0jno5f24c94?=n:;91<75f94;94?=h?>91<75rb31:e?7=;3:1<=?;I1fb>hf?=0jnn5f47294?=n:;91<75`76194?=zj;9=o7?54;294~">i:0j:?5+97a964573A9nj6`n758bfa=n:i:0j:;5+97a964573A9nj6`n758bf`=n91<75rb315f?7=<3:1<=?;I1fb>hf?=0jnk5f24c94?=n:;91<75f94;94?=h?>91<75rb31:<=?;I1fb>hf?=0jo=5f47294?=n:;91<75`76194?=zj;9=m7?54;294~">i:0j:?5+97a964573A9nj6`n758bg4=n:i:0j:;5+97a964573A9nj6`n758bg7=n91<75rb315=?7=<3:1<=?;I1fb>hf?=0jo>5f24c94?=n:;91<75f94;94?=h?>91<75rb31:2?7=;3:1<=?;I1fb>hf?=0jo95f47294?=n:;91<75`76194?=zj;9=47?54;294~">i:0j:?5+97a964573A9nj6`n758bg0=n:i:0j:;5+97a964573A9nj6`n758bg3=n91<75rb3153?7=<3:1<=?;I1fb>hf?=0jo:5f24c94?=n:;91<75f94;94?=h?>91<75rb31:0?7=;3:1<=?;I1fb>hf?=0jo55f47294?=n:;91<75`76194?=zj;9=:7?54;294~">i:0j:?5+97a964573A9nj6`n758bg<=n:i:0j:;5+97a964573A9nj6`n758bgd=n91<75rb316b?7=<3:1<=?;I1fb>hf?=0joo5f24c94?=n:;91<75f94;94?=h?>91<75rb362`?7=;3:1<=?;I1fb>hf?=0jon5f47294?=n:;91<75`76194?=zj;>:o7?53;294~">i:0j:;5+97a964573A9nj6`n758bga=n91<75rb31ef?7=<3:1<=?;I1fb>hf?=0joh5f24c94?=n:;91<75f94;94?=h?>91<75rb3612?7=;3:1<=?;I1fb>hf?=0jok5f47294?=n:;91<75`76194?=zj;>;87?54;294~">i:0j:?5+97a964573A9nj6`n758b`5=n:997?53;294~">i:0j:;5+97a964573A9nj6`n758b`4=n91<75rb3637?7=<3:1<=?;I1fb>hf?=0jh?5f24c94?=n:;91<75f94;94?=h?>91<75rb3610?7=;3:1<=?;I1fb>hf?=0jh>5f47294?=n:;91<75`76194?=zj;>;>7?54;294~">i:0j:?5+97a964573A9nj6`n758b`1=n:9?7?53;294~">i:0j:;5+97a964573A9nj6`n758b`0=n91<75rb3635?7=<3:1<=?;I1fb>hf?=0jh;5f24c94?=n:;91<75f94;94?=h?>91<75rb3616?7=;3:1<=?;I1fb>hf?=0jh:5f47294?=n:;91<75`76194?=zj;>;<7?54;294~">i:0j:?5+97a964573A9nj6`n758b`==n:9=7?53;294~">i:0j:;5+97a964573A9nj6`n758b`<=n91<75rb31eb?7=<3:1<=?;I1fb>hf?=0jhl5f24c94?=n:;91<75f94;94?=h?>91<75rb3614?7=;3:1<=?;I1fb>hf?=0jho5f47294?=n:;91<75`76194?=zj;9mi7?54;294~">i:0j:?5+97a964573A9nj6`n758b`f=n::j7?53;294~">i:0j:;5+97a964573A9nj6`n758b`a=n91<75rb31e`?7=<3:1<=?;I1fb>hf?=0jhh5f24c94?=n:;91<75f94;94?=h?>91<75rb362a?7=;3:1<=?;I1fb>hf?=0jhk5f47294?=n:;91<75`76194?=zj;9mo7?54;294~">i:0j:?5+97a964573A9nj6`n758ba5=n::n7?53;294~">i:0j:;5+97a964573A9nj6`n758ba4=n91<75rb31e=?7=<3:1<=?;I1fb>hf?=0ji?5f24c94?=n:;91<75f94;94?=h?>91<75rb34f0?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669e`5o6=44i306>5<5<5<4>:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`12`e=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?gb=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;km:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94ne79j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f70bi3;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:`g;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5>l21=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3kn56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm27g4>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758bad=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;1?1/8<=53:J0ac=ii>>1mhl4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>dcd3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9:h<51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87ojd:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5add8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg42>90:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132hl;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=54d95?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;ce5>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:;%;:3??>>2.?=>4<;I1fb>hf?=0jj?5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb376`?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669ec5o6=44i306>5<5<5<9n4>:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`113?=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?ga=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>887:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94nf79j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f731?3;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:`d;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5=??1=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3km56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2447>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758bbd=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;?=?7?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1mkl4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>d`d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th998l51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87oid:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5agd8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg41l<0:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132k:;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=6eg95?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`35>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:?no6<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0i3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669f55o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``;;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`12ag=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?d7=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;j6:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94m079j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f70c03;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:c2;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5>m>1=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3h;56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm27f0>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758a4d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;1?1/8<=53:J0ac=ii>>1n=l4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>g6d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9:i>51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87l?d:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5b1d8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg41km0:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132k;;7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=6ba95?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`25>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:?ii6<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0i=?5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb34`e?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669f45o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`12f0=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?d6=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;m::085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94m179j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f73d03;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:c3;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5=j<1=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3h:56g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm24a6>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758a5d=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;?h87?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1n5:?7=4H2ge?kg0<3h:o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm25gg>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758a5a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;>no7?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1n5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>g7a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th98ho51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87l=0:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4=1228 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5b308m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg43m>0:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132k887d<;d;29?l45=3:17d<c;29?j?7l3:17pl=4d495?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`10>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:=o>6<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0i>85f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb371g?7=>3:1<=?;%;b7?g192.25:4>8g9'045=;2B8ik5aa669f70o6=44i306>5<5<5<>o4>:783>5}#;o81?nk4$84`>77482.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`117g=93<1<7>t$2d1>6eb3-3=o7<>319'=d5=i?;0(478:0:e?!26;390D>ki;oc40?d502c98i4?:I663>=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb340=?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669f7?o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1263=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?d5j2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;=7:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94m2b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f704<3;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:c0f?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5>:81=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3h9j6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2712>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758a75=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;<8<7?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1n>?4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>g553`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9:?j51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87l<3:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5b278m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg41:h0:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132k9=7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=63;95?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`03>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:?836<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0i?55f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3413?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669f6?o6=44i306>5<5<5<;4>:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1273=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?d4j2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;<<:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94m3b9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f705:3;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:c1f?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5>:l1=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3h8j6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm271f>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758a05=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;<8h7?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1n9?4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>g253`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9:>l51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;76?M5bn2dj;94m429j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f704i3;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo<92b82>3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>03<@:om7co84;`71>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:?8:6<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4:5:J0ac=ii>>1n984i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742ki;oc40?d3?2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;12861>N4mo1em::5b5:8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg42j<0:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132k>27d<;d;29?l45=3:17d<c;29?j?7l3:17pl=5c695?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`7e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:;%;:3??>>2.?=>4<;I1fb>hf?=0i8o5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb37a6?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669f1eo6=44i306>5<5<5<n<4>:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``>o5;h0;66g60483>>o59j0;66a60e83>>{e:=n36<49:183!5a:39hi6*66b81566<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0i8h5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb36g3?7=>3:1<=?;%;b7?g192.25:4>8g9'045=;2B8ik5aa669f1`o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>77482.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`10a3=93<1<7>t$2d1>6eb3-3=o7<>319'=d5=i?;0(478:0:e?!26;390D>ki;oc40?d292c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>9j;:085>5<7s-9m>7=le:&:2f<59::0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94m539j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f72c;3;1:7>50z&0b7<4kl1/5;m52013?!?f;3k==6*696823<729q/?k<53bg8 <0d2;;8<6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:c77?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd54>a3->:?7=4H2ge?kg0<3h>96g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm25f3>4<1290;w)=i2;1`a>">>j09=>>4$8c0>d063-32;7?7f:&756<43A9nj6`n758a13=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;?397?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1n894i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>g3?3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th989651;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87l:9:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5b4`8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg43<<0:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132k?h7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=45695?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`6`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:=>86<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0i9h5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3676?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669f0`o6=44i306>5<5<5<?n4>:783>5}#;o81?nk4$84`>77482.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`116d=93<1<7>t$2d1>6eb3-3=o7<>319'=d5=i?;0(478:0:e?!26;390D>ki;oc40?d192c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>8=n:085>5<7s-9m>7=le:&:2f<59::0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94m639j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f73413;1:7>50z&0b7<4kl1/5;m52013?!?f;3k==6*696823<729q/?k<53bg8 <0d2;;8<6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:c47?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5=:=1=7850;2x 6`52:in7)79c;0275=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3h=96g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2415>4<1290;w)=i2;1`a>">>j09=>>4$8c0>d063-32;7?7f:&756<43A9nj6`n758a23=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;?897?56;294~"4n;08oh5+97a964573-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1n;94i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>g0?3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th99k;51;494?6|,:l96>mj;%;5g?46;91/5l=5a738 3;18L6ca3gk<87l99:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31h1b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5b7`8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg42n;0:6;4?:1y'7c4=;jo0(48l:3304>">i:0j:<5+98595=`<,=;86>5G3dd8jd132kc;29?j?7l3:17pl=5g395?0=83:p(>h=:2af?!?1k38:?=5+9`19e37<,03<6<6i;%627?5<@:om7co84;`5`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:;%;:3?7?n2.?=>4<;I1fb>hf?=0i:h5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb37ea?7=>3:1<=?;%;b7?g192.25:4>8g9'045=;2B8ik5aa669f3`o6=44i306>5<5<5<ji4>:783>5}#;o81?nk4$84`>77482.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`11ce=93<1<7>t$2d1>6eb3-3=o7<>319'=d5=i?;0(478:0:e?!26;390D>ki;oc40?d092c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>8hm:085>5<7s-9m>7=le:&:2f<59::0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94m739j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f73ai3;1:7>50z&0b7<4kl1/5;m52013?!?f;3k==6*6968290e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo<:f882>3<729q/?k<53bg8 <0d2;;8<6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:c57?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5=o21=7850;2x 6`52:in7)79c;0275=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3h<96g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm24d4>4<1290;w)=i2;1`a>">>j09=>>4$8c0>d063-32;7?7f:&756<43A9nj6`n758a33=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;?nj7?56;294~"4n;08oh5+97a964573-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1n:94i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>g1?3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th999951;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87l89:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5b6`8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg41>h0:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132k=h7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=67;95?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`4`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:?<36<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0i;h5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3453?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669f2`o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1233=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?d?92c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;;7:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94m839j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f702?3;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:c:7?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5>:?7=4H2ge?kg0<3h396g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2777>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758a<3=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;<>?7?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1n594i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>g>?3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9:8?51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87l79:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5b9`8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg41>90:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132k2h7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=64d95?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`;`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:??n6<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0i4h5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3775?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669f=`o6=44i306>5<5<5<8=4>:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1367=93<1<7>t$2d1>6eb3-3=o7<>319'=d5=i?;0(478:0:e?!26;390D>ki;oc40?d>92c98i4?:I663>=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb351a?7=>3:1<=?;%;b7?g192.25:4>8g9'045=;2B8ik5aa669f<4o6=4G4458?l45=3:17d<c;29?j?7l3:17pl=73`95?0=83:p(>h=:2af?!?1k38:?=5+9`19e37<,03<6<6i;%627?5<@:om7co84;`:7>o5>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5b868m72c290C8894;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th99l751;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87l65:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5b858m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg41k80:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132k337d<;d;29?l45=3:17d<c;29?j?7l3:17pl=6b295?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`:=>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:?hm6<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0i5l5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb34aa?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669fo6=44i306>5<5<5<:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`12gg=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?d>l2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;l6:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94m9d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f70e03;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:cc3?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5>k>1=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3hj=6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm27`0>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758ae7=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;7?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1nl=4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>gg33`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9:o>51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87ln5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5b`58m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg41im0:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132kk37d<;d;29?l45=3:17d<c;29?j?7l3:17pl=52f95?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`b=>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:?kj6<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0iml5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb34b=?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669fddo6=44i306>5<5<5<:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`12d1=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?dfl2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;o9:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94mad9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f70f;3;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:c`3?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5>h;1=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3hi=6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm27c3>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758af7=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;<2h7?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1no=4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>gd33`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9:4l51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87lm5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5bc58m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg41110:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132kh37d<;d;29?l45=3:17d<c;29?j?7l3:17pl=68595?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;`a=>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:?3=6<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0inl5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb34:7?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669fgdo6=44i306>5<5<5<:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`12<7=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?del2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;7?:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94mbd9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f700j3;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:ca3?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5>>31=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3hh=6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm275;>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758ag7=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;<3h7?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1nn=4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>ge33`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9:5l51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87ll5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2f280=6=4?{%1e6?5c<2.2:n4=1228 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5bb58m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg41010:6;4?:1y'7c4=;m>0(48l:3304>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132ki37d<;d;29?l45=3:17d<c;29?j?7l3:17pl=69595?0=83:p(>h=:2f7?!?1k38:?=5+9`19e37<,03<6479;%627?5<@:om7co84;``=>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:?2=6<49:183!5a:39o86*66b81566<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0iol5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3441?7=>3:1<=?;%;b7?g192.25:46979'045=;2B8ik5aa669ffdo6=44i306>5<5<5<:783>5}#;o81?i:4$84`>77482.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1225=93<1<7>t$2d1>6b33-3=o7<>319'=d5=i?;0(478:8;5?!26;390D>ki;oc40?ddl2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>;9=:085>5<7s-9m>7=k4:&:2f<59::0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94mcd9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f70093;1:7>50z&0b7<4l=1/5;m52013?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;;8<6*6a28b24=#10=15484$530>6=O;ll0bl9;:cf3?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5>?l1=7850;2x 6`52:n?7)79c;0275=#1h91m;?4$8;4>:?7=4H2ge?kg0<3ho=6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm274f>4<1290;w)=i2;1g0>">>j09=>>4$8c0>d063-32;7766:&756<43A9nj6`n758a`7=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;<3=7?56;294~"4n;08h95+97a964573-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1ni=4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>gb33`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9::h51;494?6|,:l96>j;;%;5g?46;91/5l=5a738 3;18L6ca3gk<87lk5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>=n4;397?6=8r.2m>4n679'=3e=;:237E=jf:lb311b8;>50;9j675=831d;:=50;9~f65f;3;1?7>50z&:e6?1/5;m532:;?M5bn2dj;94md99j036=831b>?=50;9l325=831vn>=61;390?6=8r.2m>4n639'=3e=;:237E=jf:lb318o50;9j675=831b58750;9l325=831vn>=6a;397?6=8r.2m>4;699'7c4=0<30(48l:21;<>N4mo1em::5bec8m6`b2900e>hi:188k=?72900qo=6<729q/5l=5a748 <0d2:9346FN4mo1em::5bea8m73f2900e?<<:188m<3>2900c:9<:188yg54100:6>4?:1y'=d5=h=:97:?!?1k398455G3dd8jd132kno7d=ie;29?l5an3:17b660;29?xd4;h;1=7=50;2x 56*66b807=><@:om7co84;`f4>o4nl0;66g>i?190;66sm32c3>4<4290;w)7n3;c52>">>j08?564H2ge?kg0<3hn=6g;6183>>o5::0;66a87283>>{e;:2n6<4;:183!?f;3k=>6*66b807=><@:om7co84;`f6>o5=h0;66g=2283>>o>=00;66a87283>>{e;:3<6<4<:183!?f;3>=46*67;I1fb>hf?=0ii>5f3gg94?=n;ol1<75`88294?=zj:92j7?53;294~">i:0j:;5+97a976>?3A9nj6`n758aa1=n91<75rb21;`?7=<3:167;I1fb>hf?=0ii85f24c94?=n:;91<75f94;94?=h?>91<75rb21:2?7=;3:165?02B8ik5aa669f`05<=78:J0ac=ii>>1nh94i543>5<5<:583>5}#1h91m;<4$84`>65?02B8ik5aa669f`>5<5<:283>5}#1h918;64$2d1>=3>3-3=o7=<899K7``5;n::4?6=3th8?4j51;194?6|,0k86l89;%;5g?54011C?hh4n`57>gcf3`>=<7>5;h017?6=3f=5;|`07=d=93>1<7>t$8c0>d053-3=o7=<899K7``5;h;6=?6=3f=5;|`07<2=9391<7>t$8c0>10?3-9m>76:9:&:2f<4;120D>ki;oc40?dbk2c8jh4?::k0bc<722e35=4?::a76?d28086=4?{%;b7?g1>2.2:n4<39:8L6ca3gk<87ljd:k725<722c9>>4?::m436<722wi?>6n:087>5<7s-3j?7o92:&:2f<4;120D>ki;oc40?dbm2c99l4?::k166<722c2944?::m436<722wi?>7<:080>5<7s-3j?7:98:&0b7=6b;397?6=8r.2m>4n679'=3e=;:237E=jf:lb3150;9j675=831d;:=50;9~f65?13;187>50z&:e6;1/5;m532:;?M5bn2dj;94mf09j60g=831b>?=50;9j=0?=831d;:=50;9~f65>:3;1?7>50z&:e6<3>11/?k<584;8 <0d2:9346Fhj:188m6`a2900c57?:188yg54l:0:6;4?:1y'7c4=;m>0(48l:21;<>">i:0j:<5+9859=<0<,=;868;4H2ge?kg0<3hm?6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm32f1>4<1290;w)=i2;1g0>">>j08?564$8c0>d063-32;7766:&756<43A9nj6`n758ab1=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:9o=7?56;294~"4n;08h95+97a976>?3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1nk;4i36g>5<6=44i31b>5<6=44i33`>5<=78:&:e681/54959848 1742:1C?hh4n`57>g`13`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8?nk51;494?6|,:l96>j;;%;5g?54011/5l=5a738 3;18L6ca3gk<87li7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>=lc;392?6=8r.8j?4">1>025;5+40197>N4mo1em::5bg;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg54kk0:6;4?:1y'7c4=;m>0(48l:21;<>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132klj7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<3bf95?0=83:p(>h=:2f7?!?1k398455+9`19e37<,03<6479;%627?5<@:om7co84;`ef>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;:ij6<49:183!5a:39o86*66b807=><,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0ijn5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb21ab?7=>3:167;%;b7?g192.25:46979'045=;2B8ik5aa669fcbo6=44i306>5<5<5<:783>5}#;o81?nk4$84`>65?02.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`07ge=93<1<7>t$2d1>6eb3-3=o7=<899'=d5=i?;0(478:0:e?!26;390D>ki;oc40?dan2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?>lm:085>5<7s-9m>7=le:&:2f<4;120(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94l019j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f65el3;1:7>50z&0b7<4kl1/5;m532:;?!?f;3k==6*696823<729q/?k<53bg8 <0d2:9346*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:b21?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4;k21=7850;2x 6`52:in7)79c;10<==#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3i;?6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm32`b>4<1290;w)=i2;1`a>">>j08?564$8c0>d063-32;7?7f:&756<43A9nj6`n758`41=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:9i;7?56;294~"4n;08oh5+97a976>?3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1o=;4i36g>5<6=44i31b>5<6=44i33`>5<mf:&:e681/549519d8 1742:1C?hh4n`57>f613`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th?mj;%;5g?27jo1/5l=5a738 3;18L6ca3gk<87m?7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>i8;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::5c1;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg27n<0:6;4?:1y'7c4=;jo0(48l:52ab>">i:0j:<5+98595=`<,=;86>5G3dd8jd132j:j7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;0g095?0=83:p(>h=:2af?!?1k3>;nk5+9`19e37<,03<6<6i;%627?5<@:om7co84;a3f>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e<88<6<49:183!5a:39hi6*66b874g`<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0h3:18g9'045=;2B8ik5aa669g5bo6=44i306>5<5<5<;h:4>:783>5}#;o81?i:4$84`>16en2.2m>4n609'=<1=10<0(9?<:29K7``j;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`7577=93<1<7>t$2d1>6eb3-3=o7:?bg9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?e7n2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi85<7s-9m>7=k4:&:2f<38kl0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94l119j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f176m3;1:7>50z&0b7<4kl1/5;m541`e?!?f;3k==6*696828782>3<729q/?k<53e68 <0d2=:ij6*6a28b24=#10=15484$530>03<@:om7co84;a26>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e<8;=6<49:183!5a:39hi6*66b874g`<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0h=>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb5325?7=>3:18g9'045=;2B8ik5aa669g42o6=44i306>5<5<5<:=o4>:783>5}#;o81?nk4$84`>16en2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`75d0=93<1<7>t$2d1>6b33-3=o7:?bg9'=d5=i?;0(478:8;5?!26;390D>ki;oc40?e6>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi85<7s-9m>7=k4:&:2f<38kl0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94l169j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f17f<3;1:7>50z&0b7<4l=1/5;m541`e?!?f;3k==6*6968:=3=#<891?6Fa282>3<729q/?k<53e68 <0d2=:ij6*6a28b24=#10=15484$530>6=O;ll0bl9;:b3:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd39h81=7850;2x 6`52:n?7)79c;63fc=#1h91m;?4$8;4>:?7=4H2ge?kg0<3i:m6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm40c2>4<1290;w)=i2;1g0>">>j0?d063-32;7766:&756<43A9nj6`n758`5g=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj=;j<7?56;294~"4n;08h95+97a905da3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1o5<6=44i31b>5<6=44i33`>5<mf:&:e681/54959848 1742:1C?hh4n`57>f7c3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th?=lk51;494?6|,:l96>j;;%;5g?27jo1/5l=5a738 3;18L6ca3gk<87m>e:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5c328m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg26ik0:6;4?:1y'7c4=;m>0(48l:52ab>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132j8:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;1`c95?0=83:p(>h=:2f7?!?1k3>;nk5+9`19e37<,03<6479;%627?5<@:om7co84;a16>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e<8k26<49:183!5a:39o86*66b874g`<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0h>>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb53b3:1o6=44i306>5<5<5<:m:4>:783>5}#;o81?i:4$84`>16en2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`75t$2d1>6b33-3=o7:?bg9'=d5=i?;0(478:8;5?!26;390D>ki;oc40?e5>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi8<7k:085>5<7s-9m>7=k4:&:2f<38kl0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94l269j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f177m3;1:7>50z&0b7<4kl1/5;m541`e?!?f;3k==6*696822e82>3<729q/?k<53bg8 <0d2=:ij6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:b0:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd39;i1=7850;2x 6`52:in7)79c;63fc=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3i9m6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm41d2>4<1290;w)=i2;1`a>">>j0?d063-32;7?7f:&756<43A9nj6`n758`6g=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj=:no7?56;294~"4n;08oh5+97a905da3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1o?m4i36g>5<6=44i31b>5<6=44i33`>5<mf:&:e681/549519d8 1742:1C?hh4n`57>f4c3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th?=:o51;494?6|,:l96>mj;%;5g?27jo1/5l=5a738 3;18L6ca3gk<87m=e:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5dm2.2:n4;0cd8 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5c228m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg26?>0:6;4?:1y'7c4=;jo0(48l:52ab>">i:0j:<5+98595=`<,=;86>5G3dd8jd132j9:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;16495?0=83:p(>h=:2af?!?1k3>;nk5+9`19e37<,03<6<6i;%627?5<@:om7co84;a06>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e<8=>6<49:183!5a:39hi6*66b874g`<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0h?>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb5340?7=>3:18g9'045=;2B8ik5aa669g62o6=44i306>5<5<5<:4>4>:783>5}#;o81?nk4$84`>16en2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`75=4=93<1<7>t$2d1>6eb3-3=o7:?bg9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?e4>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi8<6>:085>5<7s-9m>7=le:&:2f<38kl0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94l369j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f17?83;1:7>50z&0b7<4kl1/5;m541`e?!?f;3k==6*696827g82>3<729q/?k<53bg8 <0d2=:ij6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:b1:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd39>o1=7850;2x 6`52:in7)79c;63fc=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3i8m6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm405g>4<1290;w)=i2;1`a>">>j0?d063-32;7?7f:&756<43A9nj6`n758`7g=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj=;>1o>m4i36g>5<6=44i31b>5<6=44i33`>5<mf:&:e681/549519d8 1742:1C?hh4n`57>f5c3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th?=:<51;494?6|,:l96>mj;%;5g?27jo1/5l=5a738 3;18L6ca3gk<87m84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5c528m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg26800:6;4?:1y'7c4=;jo0(48l:52ab>">i:0j:<5+98595=`<,=;86>5G3dd8jd132j>:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl;11695?0=83:p(>h=:2af?!?1k3>;nk5+9`19e37<,03<6<6i;%627?5<@:om7co84;a76>o5>o5;h0;66g60483>>o59j0;66a60e83>>{ei>i1=7<6:183!?f;38>;6*66b8b3d=#::31;:?4f30e>7=i::91==5a22695<=#::<1?n94$31;>2163-8>47768:&11<<3>>1/>km54758 6g020k;7)786;1`3>">i>08o:5+2259<0=#;j?1><<4n9de>5=#;h915l>4H2ge?_47n39p584mb;d1>xhf?=0h8>5f22794?=n:::1<75f93094?=n;h<1<75f91a94?=n19h1<75f91;94?=n;o?1<75f3g694?=n:=81<75f25194?=n:=>1<75f25394?=n:=21<75f25594?=n:=<1<75f99g94?=n11n1<75f99a94?=n11h1<75f99c94?=h:;<1<75`23`94?=h:;=1<75`23:94?=h:;31<75`23c94?=h:;o1<75`22394?=h::81<75`22a94?=hl1<75`96g94?=h1>n1<75`96a94?=h1>h1<75`96c94?=h1>31<75`96:94?=zjh=i6<4=9;294~">i:099:5+97a9e2g<,;926:9>;g01b?42163-8>47768:&11<<3>>1/>km54758 6g020k;7)786;1`3>">i>08o:5+2259<0=#;j?1><<4n9de>5=#;h915l>4H2ge?_47n39p584mb;d1>xhf?=0h895f22794?N4k110e?=?:188m<452900e>o9:188m<6d2900e4>m:188m<6>2900e>h::188m6`32900e?:=:188m7242900e?:;:188m7262900e?:7:188m7202900e?:9:188m<>b2900e46k:188m<>d2900e46m:188m<>f2900c?<9:188k74e2900c?<8:188k74?2900c?<6:188k74f2900c?4;039'=3e=i>k0(??6:2:4?!22l3>;;6*;0580?M5bn2dj;94l449j7cb=831d;:=50;9~fd>?280=6=4?{%1e6?5dm2.2:n4n7`9'=d5=i?;0(478:0:e?!26;3?>7E=jf:lb319j50;9j673=831b>>o50;9j=53=83B?9:54i33`>5<3:1d063-32;7?7f:&756<2=2B8ik5aa669g11o6=44i306>5<5c;29?j?7l3:17pl=79295?0=83:p(>h=:2af?!?1k38<:k5+9`19e37<,03<6<6i;%627?5<@:om7co84;a7<>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:>=m6<49:183!5a:39hi6*66b8133`<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0h845f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb354a?7=>3:1:8i;%;b7?g192.25:4>8g9'045=;2B8ik5aa669g1go6=44i306>5<5<5<:783>5}#;o81?nk4$84`>711n2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`132e=93<1<7>t$2d1>6eb3-3=o7<86g9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?e3k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>:9m:085>5<7s-9m>7=le:&:2f<5??l0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94l4e9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f710i3;1:7>50z&0b7<4kl1/5;m5264e?!?f;3k==6*696823<729q/?k<53bg8 <0d2;==j6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:b6e?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6m881=7850;2x 6`52:n?7)79c;3gec=#1h91m;?4$8;4>:?7=4H2ge?kg0<3i><6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1d30>4<1290;w)=i2;1g0>">>j0:hlh4$8c0>d063-32;7766:&756<43A9nj6`n758`14=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8o:87?56;294~"4n;08h95+97a95aga3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1o8<4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>f343`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:ho;51;494?6|,:l96>j;;%;5g?7cio1/5l=5a738 3;18L6ca3gk<87m:4:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2d`d8 "39:087E=jf:lb319j50;J712=6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>f313`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:imj;%;5g?7cio1/5l=5a738 3;18L6ca3gk<87m:7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2d`d8 "39:087E=jf:lb319j50;J712=6=44i31b>5<6=44i33`>5<>1o874i543>5<5<:283>5}#1h91m;84$84`>4bel2B8ik5aa669g0g5<=86=44}c3gga<62=0;6=u+9`19e34<,0>1o8l4i37b>5<5<=86=44}c3g`=<62:0;6=u+9`19e30<,0>1o8m4i543>5<5<:583>5}#1h91m;<4$84`>4bel2B8ik5aa669g0b5<5<:283>5}#1h91m;84$84`>4bel2B8ik5aa669g0c5<=86=44}c3gg<<62=0;6=u+9`19e34<,0>1o8h4i37b>5<5<=86=44}c3g`3<62:0;6=u+9`19e30<,0>1o;>4i543>5<5<:583>5}#1h91m;<4$84`>4bel2B8ik5aa669g375<5<:283>5}#1h91m;84$84`>4bel2B8ik5aa669g345<=86=44}c3gg0<62=0;6=u+9`19e34<,0>1o;=4i37b>5<5<=86=44}c3g`1<62:0;6=u+9`19e30<,0>1o;:4i543>5<5<4>:583>5}#1h91m;<4$84`>4bel2B8ik5aa669g335<5<4>:283>5}#1h91m;84$84`>4bel2B8ik5aa669g305<=86=44}c3gg4<62=0;6=u+9`19e34<,0>1o;94i37b>5<5<=86=44}c3g`7<62:0;6=u+9`19e30<,0>1o;64i543>5<5<:583>5}#1h91m;<4$84`>4bel2B8ik5aa669g3?5<5<:283>5}#1h91m;84$84`>4bel2B8ik5aa669g3g5<=86=44}c3gf`<62=0;6=u+9`19e34<,0>1o;l4i37b>5<5<=86=44}c3g`a<62=0;6=u+9`19e35<,0>1o;m4i2df>5<5<=86=44}c3g`c<62=0;6=u+9`19e35<,0>1o;j4i2df>5<5<=86=44}c3g`f<62=0;6=u+9`19e32<,0>1o;k4i2df>5<5<=86=44}c3f42<62?0;6=u+3g097a2<,081/54959848 1742:1C?hh4n`57>f0a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:i=851;494?6|,:l96>j;;%;5g?7cjm1/5l=5a738 3;18L6ca3gk<87m80:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2dcf8 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5c608m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7b8:0:6;4?:1y'7c4=;m>0(48l:0fa`>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132j=87d<;d;29?l45=3:17d<c;29?j?7l3:17pl>e1095?0=83:p(>h=:2f7?!?1k3;oni5+9`19e37<,03<6479;%627?5<@:om7co84;a40>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9l::6<49:183!5a:39o86*66b82`gb<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0h;85f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0g34?7=>3:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4bel2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2`cc=93<1<7>t$2d1>6b33-3=o7?kbe9'=d5=i?;0(478:8;5?!26;390D>ki;oc40?e002c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=iki:085>5<7s-9m>7=k4:&:2f<6lkn0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94l789j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4ba93;1:7>50z&0b7<4l=1/5;m51e`g?!?f;3k==6*6968:=3=#<891?6Fk0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?kf182>3<729q/?k<53e68 <0d28nih6*6a28b24=#10=15484$530>6=O;ll0bl9;:b5a?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6llo1=7850;2x 6`52:n?7)79c;3gfa=#1h91m;?4$8;4>:?7=4H2ge?kg0<3i>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1ed0>4<1290;w)=i2;1g0>">>j0:hoj4$8c0>d063-32;7766:&756<43A9nj6`n758`3a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8nnh7?56;294~"4n;08h95+97a95adc3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1o:k4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>f1a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:oih51;494?6|,:l96>mj;%;5g?7dih1/5l=5a738 3;18L6ca3gk<87m70:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4>c`c8 "39:0>96F5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2`1c=93<1<7>t$2d1>6eb3-3=o7?la`9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?e?:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=i:i:085>5<7s-9m>7=le:&:2f<6khk0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94l829j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4b2i3;1:7>50z&0b7<4kl1/5;m51bcb?!?f;3k==6*696820e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?k6482>3<729q/?k<53bg8 <0d28ijm6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:b:6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6l?21=7850;2x 6`52:in7)79c;3`ed=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3i3:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1e4:>4<1290;w)=i2;1`a>">>j0:olo4$8c0>d063-32;7?7f:&756<43A9nj6`n758`<2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8n=m7?56;294~"4n;08oh5+97a95fgf3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1o564i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>f>>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:h;m51;494?6|,:l96>mj;%;5g?7dih1/5l=5a738 3;18L6ca3gk<87m7a:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2c`c8 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5c9a8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7c=80:6;4?:1y'7c4=;jo0(48l:0abe>">i:0j:<5+98595=`<,=;86>5G3dd8jd132j2o7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>d4095?0=83:p(>h=:2af?!?1k3;hml5+9`19e37<,03<6<6i;%627?5<@:om7co84;a;a>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9m?86<49:183!5a:39hi6*66b82gdg<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0h4k5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0f60?7=>3:18g9'045=;2B8ik5aa669g<6o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4efi2.2m>4n609'=<1=91l0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2`00=93<1<7>t$2d1>6eb3-3=o7?la`9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?e>:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=i;8:085>5<7s-9m>7=le:&:2f<6khk0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94l929j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4b203;1:7>50z&0b7<4kl1/5;m51bcb?!?f;3k==6*696820e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?k5882>3<729q/?k<53bg8 <0d28ijm6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:b;6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6l4>a3->:?7=4H2ge?kg0<3i2:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1e7`>4<1290;w)=i2;1`a>">>j0:olo4$8c0>d063-32;7?7f:&756<43A9nj6`n758`=2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8n>h7?56;294~"4n;08oh5+97a95fgf3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1o464i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>f?>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:h8h51;494?6|,:l96>mj;%;5g?7dih1/5l=5a738 3;18L6ca3gk<87m6a:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2c`c8 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5c8a8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7c>;0:6;4?:1y'7c4=;jo0(48l:0abe>">i:0j:<5+98595=`<,=;86>5G3dd8jd132j3o7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>d7195?0=83:p(>h=:2af?!?1k3;hml5+9`19e37<,03<6<6i;%627?5<@:om7co84;a:a>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9m;%;:3?7?n2.?=>4<;I1fb>hf?=0h5k5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0f52?7=>3:18g9'045=;2B8ik5aa669gd6o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4efi2.2m>4n609'=<1=91l0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2`51=93<1<7>t$2d1>6eb3-3=o7?la`9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?ef:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=i>7:085>5<7s-9m>7=le:&:2f<6khk0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94la29j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4b6;3;1:7>50z&0b7<4kl1/5;m51bcb?!?f;3k==6*696820e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?k1d82>3<729q/?k<53bg8 <0d28ijm6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:bc6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6l;;1=7850;2x 6`52:in7)79c;3`ed=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3ij:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1e01>4<1290;w)=i2;1`a>">>j0:olo4$8c0>d063-32;7?7f:&756<43A9nj6`n758`e2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8n9?7?56;294~"4n;08oh5+97a95fgf3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1ol64i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>fg>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:h?;51;494?6|,:l96>mj;%;5g?7dih1/5l=5a738 3;18L6ca3gk<87mna:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2c`c8 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5c`a8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7c8h0:6;4?:1y'7c4=;jo0(48l:0abe>">i:0j:<5+98595=`<,=;86>5G3dd8jd132jko7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>d1`95?0=83:p(>h=:2af?!?1k3;hml5+9`19e37<,03<6<6i;%627?5<@:om7co84;aba>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9m:h6<49:183!5a:39hi6*66b82gdg<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0hmk5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0f3`?7=>3:18g9'045=;2B8ik5aa669gg6o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4efi2.2m>4n609'=<1=91l0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2`5`=93<1<7>t$2d1>6eb3-3=o7?la`9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?ee:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=i??:085>5<7s-9m>7=le:&:2f<6khk0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94lb29j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4b693;1:7>50z&0b7<4kl1/5;m51bcb?!?f;3k==6*696820e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?k1382>3<729q/?k<53bg8 <0d28ijm6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:b`6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6l8>1=7850;2x 6`52:in7)79c;3`ed=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3ii:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1e36>4<1290;w)=i2;1`a>">>j0:olo4$8c0>d063-32;7?7f:&756<43A9nj6`n758`f2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8n::7?56;294~"4n;08oh5+97a95fgf3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1oo64i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>fd>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:h<651;494?6|,:l96>mj;%;5g?7dih1/5l=5a738 3;18L6ca3gk<87mma:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5dm2.2:n4>c`c8 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>a;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::5cca8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7c9k0:6;4?:1y'7c4=;jo0(48l:0abe>">i:0j:<5+98595=`<,=;86>5G3dd8jd132jho7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>d0a95?0=83:p(>h=:2af?!?1k3;hml5+9`19e37<,03<6<6i;%627?5<@:om7co84;aaa>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9m;o6<49:183!5a:39hi6*66b82gdg<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0hnk5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0f2b?7=>3:18g9'045=;2B8ik5aa669gf6o6=44i306>5<5<5<=4>:783>5}#;o81?nk4$84`>4efi2.2m>4n609'=<1=91l0(9?<:29K7``;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2g`3=93<1<7>t$2d1>6eb3-3=o7?la`9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?ed:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=nj;:085>5<7s-9m>7=k4:&:2f<6khk0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94lc29j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4ec=3;1:7>50z&0b7<4l=1/5;m51bcb?!?f;3k==6*6968:=3=#<891?6F0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?ld782>3<729q/?k<53e68 <0d28ijm6*6a28b24=#10=15484$530>6=O;ll0bl9;:ba6?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6km=1=7850;2x 6`52:n?7)79c;3`ed=#1h91m;?4$8;4>:?7=4H2ge?kg0<3ih:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1bf;>4<1290;w)=i2;1g0>">>j0:olo4$8c0>d063-32;7766:&756<43A9nj6`n758`g2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8io57?56;294~"4n;08h95+97a95fgf3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1on64i36g>5<6=44i31b>5<6=44i33`>5<>1on74i2df>5<5<=86=44}c3`g0<62=0;6=u+9`1903><,:l965><;%;5g?7dih1C?hh4n`57>fef3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:on:51;694?6|,0k86987;%1e6?>7;2.2:n4>c`c8L6ca3gk<87mlb:k0b`<722c8jk4?::k745<722e35=4?::a5f>0280=6=4?{%1e6?5dm2.2:n4>c6a8 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5cbf8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7d0<0:6;4?:1y'7c4=;jo0(48l:0a4g>">i:0j:<5+98595=`<,=;86>5G3dd8jd132jin7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>c9d95?0=83:p(>h=:2af?!?1k3;h;n5+9`19e37<,03<6<6i;%627?5<@:om7co84;a`b>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9j2n6<49:183!5a:39o86*66b82g2e<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0hh=5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0a:3?7=>3:1fb63`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:o4h51;494?6|,:l96>j;;%;5g?7d?j1/5l=5a738 3;18L6ca3gk<87mk2:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2?280=6=4?{%1e6?5c<2.2:n4>c6a8 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5ce68m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7d1;0:6;4?:1y'7c4=;jo0(48l:0a4g>">i:0j:<5+98595=`<,=;86>5G3dd8jd132jn>7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>c8c95?0=83:p(>h=:2f7?!?1k3;h;n5+9`19e37<,03<6479;%627?5<@:om7co84;ag2>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9j=n6<49:183!5a:39hi6*66b82g2e<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0hh:5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0a5g?7=>3:16;%;b7?g192.25:46979'045=;2B8ik5aa669ga>o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4e712.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2g3c=93<1<7>t$2d1>6b33-3=o7?l089'=d5=i?;0(478:8;5?!26;390D>ki;oc40?eci2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=n?<:085>5<7s-9m>7=k4:&:2f<6k930(4o<:`42?!?>?332:6*;1280?M5bn2dj;94ldc9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4e7n3;1:7>50z&0b7<4l=1/5;m51b2:?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d28i;56*6a28b24=#10=15484$530>6=O;ll0bl9;:bfg?l43l3:1D9;8;:k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=n9;:085>5<7s-9m>7=k4:&:2f<6k930(4o<:`42?!?>?332:6*;1280?M5bn2dj;94ldd9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4e0?3;1:7>50z&0b7<4kl1/5;m51b2:?!?f;3k==6*696823<729q/?k<53e68 <0d28i;56*6a28b24=#10=15484$530>6=O;ll0bl9;:bg3?l43l3:1D9;8;:k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=n=;:080>5<7s-3j?7o96:&:2f<6k8=0D>ki;oc40?eb92c?:=4?::k166<722e<;>4?::a5f5428086=4?{%;b7?g1>2.2:n4>c058L6ca3gk<87mj2:k725<722c9>>4?::m436<722wi=n<8:087>5<7s-3j?7o92:&:2f<6k8=0D>ki;oc40?eb;2c99l4?::k166<722c2944?::m436<722wi=n==:080>5<7s-3j?7o96:&:2f<6k8=0D>ki;oc40?eb<2c?:=4?::k166<722e<;>4?::a5f42280?6=4?{%;b7?g1:2.2:n4>c058L6ca3gk<87mj5:k11d<722c9>>4?::k:1<<722e<;>4?::a5f5628086=4?{%;b7?g1>2.2:n4>c058L6ca3gk<87mj6:k725<722c9>>4?::m436<722wi=n<<:087>5<7s-3j?7o92:&:2f<6k8=0D>ki;oc40?eb?2c99l4?::k166<722c2944?::m436<722wi=n=?:080>5<7s-3j?7o96:&:2f<6k8=0D>ki;oc40?eb02c?:=4?::k166<722e<;>4?::a5f46280?6=4?{%;b7?g1:2.2:n4>c058L6ca3gk<87mj9:k11d<722c9>>4?::k:1<<722e<;>4?::a5f4a28086=4?{%;b7?g1>2.2:n4>c058L6ca3gk<87mja:k725<722c9>>4?::m436<722wi=n?i:087>5<7s-3j?7o92:&:2f<6k8=0D>ki;oc40?ebj2c99l4?::k166<722c2944?::m436<722wi=n5<7s-3j?7o96:&:2f<6k8=0D>ki;oc40?ebk2c?:=4?::k166<722e<;>4?::a5f7c280?6=4?{%;b7?g1:2.2:n4>c058L6ca3gk<87mjd:k11d<722c9>>4?::k:1<<722e<;>4?::a5f4c28086=4?{%;b7?g1>2.2:n4>c058L6ca3gk<87mje:k725<722c9>>4?::m436<722wi=n?m:087>5<7s-3j?7o92:&:2f<6k8=0D>ki;oc40?ebn2c99l4?::k166<722c2944?::m436<722wi=n5<7s-3j?7o96:&:2f<6k8=0D>ki;oc40?ea82c?:=4?::k166<722e<;>4?::a5f7>280?6=4?{%;b7?g1:2.2:n4>c058L6ca3gk<87mi1:k11d<722c9>>4?::k:1<<722e<;>4?::a5f4e28086=4?{%;b7?g1>2.2:n4>c058L6ca3gk<87mi2:k725<722c9>>4?::m436<722wi=n?7:087>5<7s-3j?7o92:&:2f<6k8=0D>ki;oc40?ea;2c99l4?::k166<722c2944?::m436<722wi=n=8:087>5<7s-3j?7o93:&:2f<6k8=0D>ki;oc40?ea<2c8jh4?::k0bc<722c2944?::m436<722wi=n=6:087>5<7s-3j?7o93:&:2f<6k8=0D>ki;oc40?ea=2c8jh4?::k0bc<722c2944?::m436<722wi=n=9:087>5<7s-3j?7o94:&:2f<6k8=0D>ki;oc40?ea>2c8jh4?::k0bc<722c2944?::m436<722wi=n8>:085>5<7s-9m>7=k4:&:2f<6k8=0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94lf69j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4e183;1:7>50z&0b7<4l=1/5;m51b34?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d28i:;6*6a28b24=#10=15484$530>6=O;ll0bl9;:bd:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6k:?7=4H2ge?kg0<3imm6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1b7g>4<1290;w)=i2;1g0>">>j0:o<94$8c0>d063-32;7766:&756<43A9nj6`n758`bg=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8i>o7?56;294~"4n;08h95+97a95f703-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1okm4i36g>5<6=44i31b>5<6=44i33`>5<7:&:e681/54959848 1742:1C?hh4n`57>f`c3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:o8o51;494?6|,:l96>j;;%;5g?7d9>1/5l=5a738 3;18L6ca3gk<87mie:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4>c058 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5d128m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7d<00:6;4?:1y'7c4=;m>0(48l:0a23>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132m::7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>c5`95?0=83:p(>h=:2f7?!?1k3;h=:5+9`19e37<,03<6479;%627?5<@:om7co84;f36>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9j>j6<49:183!5a:39o86*66b82g41<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0o<>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0a73:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4e6?2.2m>4n609'=<1=10<0(9?<:29K7``:;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2g11=93<1<7>t$2d1>6b33-3=o7?l169'=d5=i?;0(478:8;5?!26;390D>ki;oc40?b7>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=n:l:085>5<7s-9m>7=k4:&:2f<6k8=0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94k069j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4d413;1:7>50z&0b7<4kl1/5;m51c27?!?f;3k==6*696823<729q/?k<53e68 <0d28h;86*6a28b24=#10=15484$530>03<@:om7co84;f3=>o5>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5d1c8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7ei00:6;4?:1y'7c4=;jo0(48l:0`30>">i:0j:<5+98595=`<,=;86>5G3dd8jd132m:i7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>bc695?0=83:p(>h=:2af?!?1k3;i<95+9`19e37<,03<6<6i;%627?5<@:om7co84;f3g>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9khm6<49:183!5a:39hi6*66b82f52<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0o3:1;;%;b7?g192.25:4>8g9'045=;2B8ik5aa669`5co6=44i306>5<5<5<4>:783>5}#;o81?nk4$84`>4d7<2.2m>4n609'=<1=91l0(9?<:29K7``i;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2ff2=93<1<7>t$2d1>6eb3-3=o7?m059'=d5=i?;0(478:0:e?!26;390D>ki;oc40?b682c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=om::085>5<7s-9m>7=le:&:2f<6j9>0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94k109j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4dd>3;1:7>50z&0b7<4kl1/5;m51c27?!?f;3k==6*696823<729q/?k<53bg8 <0d28h;86*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:e30?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6jhk1=7850;2x 6`52:in7)79c;3a41=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3n:86g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1cca>4<1290;w)=i2;1`a>">>j0:n=:4$8c0>d063-32;7?7f:&756<43A9nj6`n758g50=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8hjo7?56;294~"4n;08oh5+97a95g633-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1h<84i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>a703`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:nlk51;494?6|,:l96>mj;%;5g?7e8=1/5l=5a738 3;18L6ca3gk<87j>8:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2b168 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5d0c8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7ej80:6;4?:1y'7c4=;jo0(48l:0`30>">i:0j:<5+98595=`<,=;86>5G3dd8jd132m;i7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>bc095?0=83:p(>h=:2af?!?1k3;i<95+9`19e37<,03<6<6i;%627?5<@:om7co84;f2g>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9kh86<49:183!5a:39hi6*66b82f52<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0o=i5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0`a1?7=>3:1;;%;b7?g192.25:4>8g9'045=;2B8ik5aa669`4co6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4d7<2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2fg1=93<1<7>t$2d1>6eb3-3=o7?m059'=d5=i?;0(478:0:e?!26;390D>ki;oc40?b582c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=ol7:085>5<7s-9m>7=le:&:2f<6j9>0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94k209j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4de13;1:7>50z&0b7<4kl1/5;m51c27?!?f;3k==6*696823<729q/?k<53bg8 <0d28h;86*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:e00?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6jkh1=7850;2x 6`52:in7)79c;3a41=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3n986g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1c``>4<1290;w)=i2;1`a>">>j0:n=:4$8c0>d063-32;7?7f:&756<43A9nj6`n758g60=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8hih7?56;294~"4n;08oh5+97a95g633-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1h?84i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>a403`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:nn>51;494?6|,:l96>mj;%;5g?7e8=1/5l=5a738 3;18L6ca3gk<87j=8:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2b168 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5d3c8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7e>;0:6;4?:1y'7c4=;jo0(48l:0`30>">i:0j:<5+98595=`<,=;86>5G3dd8jd132m8i7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>b7f95?0=83:p(>h=:2af?!?1k3;i<95+9`19e37<,03<6<6i;%627?5<@:om7co84;f1g>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9k=36<49:183!5a:39hi6*66b82f52<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0o>i5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0`4f?7=>3:1;;%;b7?g192.25:4>8g9'045=;2B8ik5aa669`7co6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4d7<2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2f2b=93<1<7>t$2d1>6eb3-3=o7?m059'=d5=i?;0(478:0:e?!26;390D>ki;oc40?b482c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=o9j:085>5<7s-9m>7=le:&:2f<6j9>0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94k309j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4d0n3;1:7>50z&0b7<4kl1/5;m51c27?!?f;3k==6*696823<729q/?k<53bg8 <0d28h;86*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:e10?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6j?91=7850;2x 6`52:in7)79c;3a41=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3n886g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1c47>4<1290;w)=i2;1`a>">>j0:n=:4$8c0>d063-32;7?7f:&756<43A9nj6`n758g70=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8h=97?56;294~"4n;08oh5+97a95g633-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1h>84i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>a503`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:n;951;494?6|,:l96>mj;%;5g?7e8=1/5l=5a738 3;18L6ca3gk<87j<8:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2b168 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5d2c8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7e>h0:6;4?:1y'7c4=;jo0(48l:0`30>">i:0j:<5+98595=`<,=;86>5G3dd8jd132m9i7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>b7`95?0=83:p(>h=:2af?!?1k3;i<95+9`19e37<,03<6<6i;%627?5<@:om7co84;f0g>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9k;%;:3?7?n2.?=>4<;I1fb>hf?=0o?i5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0`5a?7=>3:1;;%;b7?g192.25:4>8g9'045=;2B8ik5aa669`6co6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4d7<2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2f26=93<1<7>t$2d1>6eb3-3=o7?m059'=d5=i?;0(478:0:e?!26;390D>ki;oc40?b382c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=o9>:085>5<7s-9m>7=le:&:2f<6j9>0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94k409j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4d0:3;1:7>50z&0b7<4kl1/5;m51c27?!?f;3k==6*696823<729q/?k<53bg8 <0d28h;86*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:e60?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6j>>1=7850;2x 6`52:in7)79c;3a41=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3n?86g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1c56>4<1290;w)=i2;1`a>">>j0:n=:4$8c0>d063-32;7?7f:&756<43A9nj6`n758g00=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8h<:7?56;294~"4n;08oh5+97a95g633-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1h984i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>a203`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:n:751;494?6|,:l96>mj;%;5g?7e8=1/5l=5a738 3;18L6ca3gk<87j;8:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2b168 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5d5c8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7e:l0:6;4?:1y'7c4=;m>0(48l:0`30>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132m>i7d<;d;29?l45=3:17d<c;29?j?7l3:17pl>b3d95?0=83:p(>h=:2f7?!?1k3;i<95+9`19e37<,03<6479;%627?5<@:om7co84;f7g>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9k9;6<49:183!5a:39o86*66b82f52<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0o8i5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0`05?7=>3:1;;%;b7?g192.25:46979'045=;2B8ik5aa669`1co6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4d7<2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2f65=93<1<7>t$2d1>6b33-3=o7?m059'=d5=i?;0(478:8;5?!26;390D>ki;oc40?b282c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=o?k:087>5<7s-3j?7o93:&:2f<6j9>0D>ki;oc40?b292c8jh4?::k0bc<722c2944?::m436<722wi=o?i:087>5<7s-3j?7:98:&0b750;9~f4d6m3;187>50z&:e6<3>11/?k<58118 <0d28h;86Fhj:188m6`a2900e9>?:188k=?72900qo?ne282>3<729q/?k<53bg8 <0d28ko46*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:e77?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6il81=7850;2x 6`52:in7)79c;3b`==#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3n>96g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1`g2>4<1290;w)=i2;1`a>">>j0:mi64$8c0>d063-32;7?7f:&756<43A9nj6`n758g13=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8knn7?56;294~"4n;08oh5+97a95db?3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1h894i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>a3?3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:mk=51;494?6|,:l96>j;;%;5g?7fl11/5l=5a738 3;76?M5bn2dj;94k589j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4gaj3;1:7>50z&0b7<4l=1/5;m51`f;?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d28ko46*6a28b24=#10=15484$530>6=O;ll0bl9;:e7a?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6ill1=7850;2x 6`52:in7)79c;3b`==#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3n>o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1`gf>4<1290;w)=i2;1`a>">>j0:mi64$8c0>d063-32;7?7f:&756<43A9nj6`n758g1a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8km:7?56;294~"4n;08h95+97a95db?3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1h8k4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>a3a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8mj;%;5g?57:h1/5l=5a738 3;18L6ca3gk<87j90:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb3181b>9j50;9j673=831b>>o50;9j=53=831b>>j2;392?6=8r.8j?4">1>025;5+40197>N4mo1em::5d708m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg57m=0:6;4?:1y'7c4=;m>0(48l:221e>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132m<87d<;d;29?l45=3:17d<c;29?j?7l3:17pl<0d795?0=83:p(>h=:2f7?!?1k39;>l5+9`19e37<,03<6479;%627?5<@:om7co84;f50>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;9o=6<49:183!5a:39o86*66b8047g<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0o:85f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb22f3?7=>3:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>665i2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`04`?=93<1<7>t$2d1>6b33-3=o7=?2`9'=d5=i?;0(478:8;5?!26;390D>ki;oc40?b102c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?=kn:085>5<7s-9m>7=k4:&:2f<48;k0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94k689j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f66bj3;1:7>50z&0b7<4l=1/5;m5310b?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2::9m6*6a28b24=#10=15484$530>6=O;ll0bl9;:e4a?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd48o31=7850;2x 6`52:n?7)79c;136d=#1h91m;?4$8;4>:?7=4H2ge?kg0<3n=o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm31db>4<1290;w)=i2;1g0>">>j08d063-32;7766:&756<43A9nj6`n758g2a=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj::mo7?56;294~"4n;08h95+97a9754f3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1h;k4i36g>5<6=44i31b>5<6=44i33`>5<>=a:&:e681/54959848 1742:1C?hh4n`57>a0a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8j;;%;5g?57:h1/5l=5a738 3;18L6ca3gk<87j80:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>??0;392?6=8r.8j?4">1>025;5+40197>N4mo1em::5d608m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg56880:6;4?:1y'7c4=;m>0(48l:221e>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132m=87d<;d;29?l45=3:17d<c;29?j?7l3:17pl<11095?0=83:p(>h=:2f7?!?1k39;>l5+9`19e37<,03<6479;%627?5<@:om7co84;f40>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;8:86<49:183!5a:39o86*66b8047g<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0o;85f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb22ef?7=>3:1o6=44i306>5<5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`215<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`2>5<=86=44}c13<=<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1h:74i37b>5<5<=86=44}c13e2<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1h:o4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`2d5<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`2e5<=86=44}c13>=a:J0ac=ii>>1h:j4i37b>5<5<=86=44}c13e<<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1h:k4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`2`5<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`=65<=86=44}c13>=a:J0ac=ii>>1h5?4i37b>5<5<=86=44}c13eg<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1h5<4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`=55<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`=25<=86=44}c13<`<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1h5;4i37b>5<5<=86=44}c13ea<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1h584i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`=15<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`=>5<=86=44}c13=5<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1h574i37b>5<5<=86=44}c13ec<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1h5o4i543>5<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`=d5<=86=44}c36<6<62<0:68u+9`197cd<@03o7co84;f;g>o48m<1=75f31gf>4<?51;9a7556290>6=4?{%;b7?2102.8j?48999'=3e=;98j7E=jf:lb3150;9j057=831d44>50;9~w66c>3:1>vP<0e489664939mi6s|31gf>5<5sW9;ih523112>6`a3ty8?54128yv57n90;6?uQ31d3?857;80?<<5rs2205?6=:rT8<>?4=2205?>>82wvn>>=b;390?6=8r.2m>4n639'=3e=;98j7E=jf:lb318o50;9j675=831b58750;9l325=831vn<;74;391?7==r.2m>4>i2;39?j57;;0:66l<02094?3=83:p(4o<:54;?!5a:3=246*66b8047g<@:om7co84;f:4>o4nl0;66g>o3890;66g;0083>>i?190;66s|31f:>5<5sW9;h4523111>6`b3ty8<53gd8yv57lh0;6?uQ31fb?857;;0?<=5rs22e6?6=:rT86*66b8047g<@:om7co84;f:5>o5=h0;66g=2283>>o>=00;66a87283>>{e9<2>6<4::086!?f;39mn6F69e9me22=l080e>>kb;39?l57n:0:66g<0ea95?=n;9l?6<44o2207?7=3k9;?>4?:483>5}#1h918;64$2d1>2??3-3=o7=?2`9K7``5;h634?6=3`>;=7>5;n::4?6=3ty8=53gg8yv57n:0;6?uQ31d0?857;:08jk5rs22gg?6=:rT8;48:9144>4}|`047b=93>1<7>t$8c0>d053-3=o7=?2`9K7``5;h;6=?6=3f=5;|`21=0=93?1=7;t$8c0>6`e3A32h6`n758g=0=n;9no6<44i22e1?7=3`9;hh4>::k04c0=931d?==;:088f664<3:197>50z&:e6<3>11/?k<578:8 <0d2::9m6Fhj:188m6`a2900e9>?:188m1662900c57?:188yv57lm0;6?uQ31fg?857;=08jh5rs22e1?6=:rT8;48:>18=?4}r1371<72;qU?==;;<13711<729q/5l=5a708 <0d2::9m6F0<62>i48:?1=75m3116>5<2290;w)7n3;65<>"4n;0<555+97a9754f3A9nj6`n758g=<=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs22gb?6=:rT816?==::2de?xu48l:1<7;48:?18=>4}r13b=<72;qU?=h7;<1370<3881v>><5;296~X48:?01>><5;::4>{zj::9j7?54;294~">i:0j:?5+97a9754f3A9nj6`n758g=d=n:i:0?:55+3g09<0g<,0
    >=a:J0ac=ii>>1h4l4i2df>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`5<5<4>:583>5}#1h91m;<4$84`>665i2B8ik5aa669`5<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`5<=86=44}c1301<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1h4h4i37b>5<5<=86=44}c131g<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1hl>4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`d75<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`d45<=86=44}c130=<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1hl=4i37b>5<5<=86=44}c131a<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1hl:4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`d35<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`d05<=86=44}c130f<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1hl94i37b>5<5<=86=44}c131c<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1hl64i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`d?5<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`dg5<=86=44}c1315<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1hll4i37b>5<5<=86=44}c1324<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1hlm4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`db5<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`dc5<=86=44}c1311<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1hlh4i37b>5<5<=86=44}c1326<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1ho>4i543>5<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`g75<=86=44}c1321<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1ho<4i37b>5<5<=86=44}c133<<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1ho=4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`g25<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`g35<=86=44}c1322<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1ho84i37b>5<5<=86=44}c133a<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1ho94i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`g>5<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`g?5<=86=44}c132g<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1hoo4i37b>5<5<=86=44}c133c<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1hol4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`ge5<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`gb5<=86=44}c132c<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1hok4i37b>5<5<=86=44}c13<4<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1hoh4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`f65<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`f75<=86=44}c1336<62=0;6=u+9`19e34<,0
    >=a:J0ac=ii>>1hn<4i37b>5<5<=86=44}c13<6<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1hn=4i543>5<5<:583>5}#1h91m;<4$84`>665i2B8ik5aa669`f25<5<:283>5}#1h91m;84$84`>665i2B8ik5aa669`f35<=86=44}c133d<62:0;6=u+9`19e30<,0
    >=a:J0ac=ii>>1hn84i543>5<5<:483>5}#1h918;64$2d1>2g63-3=o7=?2`9K7``5;h634?6=3`>;=7>5;n::4?6=3th8<>951;694?6|,0k86l8=;%;5g?57:h1C?hh4n`57>ae?3`8>m7>5;h017?6=3`3>57>5;n547?6=3th8<>k51;794?6|,0k86987;%1e6?1f92.2:n4<03c8L6ca3gk<87jl9:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wi?==7:087>5<7s-3j?7o92:&:2f<48;k0D>ki;oc40?bdi2c99l4?::k166<722c2944?::m436<722wi?==i:086>5<7s-3j?7:98:&0b7<0i81/5;m5310b?M5bn2dj;94kcc9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>><9;390?6=8r.2m>4n639'=3e=;98j7E=jf:lb318o50;9j675=831b58750;9l325=831vn>>;0;391?6=8r.2m>4;699'7c4=?h;0(48l:221e>N4mo1em::5dbf8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=?3`82>1<729q/5l=5a708 <0d2::9m6F0<729q/5l=547:8 6`52>k:7)79c;136d=O;ll0bl9;:eae?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<02`95?2=83:p(4o<:`41?!?1k39;>l5G3dd8jd132mn;7d<:a;29?l45;3:17d7:9;29?j10;3:17pl<05095?5=83:p(4o<:54;?!5a:32>m6*66b8047g<@:om7co84;fg5>o4nl0;66g>i?190;66sm311`>4<3290;w)7n3;c56>">>j086g=5`83>>o5::0;66g65883>>i0?:0;66sm331`>4<1290;w)=i2;1g0>">>j08=kj4$8c0>d063-32;7766:&756<43A9nj6`n758g`6=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:8?j7?56;294~"4n;08oh5+97a974`c3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1hi:4i36g>5<6=44i31b>5<6=44i33`>5<?id:&:e681/549519d8 1742:1C?hh4n`57>ab23`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8>8951;494?6|,:l96>mj;%;5g?56nm1/5l=5a738 3;18L6ca3gk<87jk6:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb311b>9j50;9j673=831b>>o50;9j=53=831b><:9;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::5de:8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg55=h0:6;4?:1y'7c4=;jo0(48l:23e`>">i:0j:<5+98595=`<,=;86>5G3dd8jd132mn27d<;d;29?l45=3:17d<c;29?j?7l3:17pl<24`95?0=83:p(>h=:2af?!?1k39:ji5+9`19e37<,03<6<6i;%627?5<@:om7co84;fge>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;;?h6<49:183!5a:39hi6*66b805cb<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0oho5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb206`?7=>3:18g9'045=;2B8ik5aa669`aeo6=44i306>5<5<5<:783>5}#;o81?nk4$84`>67al2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0607=93<1<7>t$2d1>6eb3-3=o7=>fe9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?bcm2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi??;=:085>5<7s-9m>7=le:&:2f<49on0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94kdg9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f642;3;1:7>50z&0b7<4kl1/5;m530dg?!?f;3k==6*696823<729q/?k<53bg8 <0d2:;mh6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:eg2?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4:4>a3->:?7=4H2ge?kg0<3nn>6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm3375>4<1290;w)=i2;1`a>">>j08=kj4$8c0>d063-32;7?7f:&756<43A9nj6`n758ga6=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:;mi7?54;294~">i:0j:?5+97a974`c3A9nj6`n758ga1=n:i:0j:;5+97a974`c3A9nj6`n758ga0=n91<75rb2031?7=<3:1hf?=0oi;5f24c94?=n:;91<75f94;94?=h?>91<75rb2007?7=;3:1hf?=0oi:5f47294?=n:;91<75`76194?=zj:8;:7?54;294~">i:0j:?5+97a974`c3A9nj6`n758ga==n:i:0j:;5+97a974`c3A9nj6`n758ga<=n91<75rb2033?7=<3:1hf?=0oil5f24c94?=n:;91<75f94;94?=h?>91<75rb2001?7=;3:1hf?=0oio5f47294?=n:;91<75`76194?=zj:8;47?54;294~">i:0j:?5+97a974`c3A9nj6`n758gaf=n:i:0j:;5+97a974`c3A9nj6`n758gaa=n91<75rb203=?7=<3:1hf?=0oih5f24c94?=n:;91<75f94;94?=h?>91<75rb2003?7=;3:1hf?=0oik5f47294?=n:;91<75`76194?=zj:8;m7?54;294~">i:0j:?5+97a974`c3A9nj6`n758gb5=n:i:0j:;5+97a974`c3A9nj6`n758gb4=n91<75rb203f?7=<3:1hf?=0oj?5f24c94?=n:;91<75f94;94?=h?>91<75rb200=?7=;3:1hf?=0oj>5f47294?=n:;91<75`76194?=zj:8;o7?54;294~">i:0j:?5+97a974`c3A9nj6`n758gb1=n:i:0j:;5+97a974`c3A9nj6`n758gb0=n91<75rb203`?7=<3:1hf?=0oj;5f24c94?=n:;91<75f94;94?=h?>91<75rb200f?7=;3:1hf?=0oj:5f47294?=n:;91<75`76194?=zj:8;<7?54;294~">i:0j:?5+97a974`c3A9nj6`n758gb==n:i:0j:;5+97a974`c3A9nj6`n758gb<=n91<75rb2035?7=<3:1hf?=0ojl5f24c94?=n:;91<75f94;94?=h?>91<75rb201a?7=;3:1hf?=0ojo5f47294?=n:;91<75`76194?=zj:8;>7?54;294~">i:0j:?5+97a974`c3A9nj6`n758gbf=n:i:0j:;5+97a974`c3A9nj6`n758gba=n91<75rb2037?7=<3:1hf?=0ojh5f24c94?=n:;91<75f94;94?=h?>91<75rb2004?7=;3:1hf?=0ojk5f47294?=n:;91<75`76194?=zj:8;87?54;294~">i:0j:?5+97a974`c3A9nj6`n758f45=n:i:0j:;5+97a974`c3A9nj6`n758f44=n91<75rb2006?7=;3:1hf?=0n1?1/8<=53:J0ac=ii>>1i==4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>`633`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8?>o51;494?6|,:l96>mj;%;5g?55m>1/5l=5a738 3;18L6ca3gk<87k?5:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>=;2;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::5e158m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg54<:0:6;4?:1y'7c4=;jo0(48l:20f3>">i:0j:<5+98595=`<,=;86>5G3dd8jd132l:37d<;d;29?l45=3:17d<c;29?j?7l3:17pl<35695?0=83:p(>h=:2af?!?1k399i:5+9`19e37<,03<6<6i;%627?5<@:om7co84;g3=>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;:>>6<49:183!5a:39hi6*66b806`1<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0n3:18g9'045=;2B8ik5aa669a5do6=44i306>5<5<5<:783>5}#;o81?nk4$84`>64b?2.2m>4n609'=<1=91l0(9?<:29K7``l;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`071>=93<1<7>t$2d1>6eb3-3=o7==e69'=d5=i?;0(478:0:e?!26;390D>ki;oc40?c7l2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?>=m:085>5<7s-9m>7=le:&:2f<4:l=0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94j0d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f654k3;1:7>50z&0b7<4kl1/5;m533g4?!?f;3k==6*696823<729q/?k<53bg8 <0d2:8n;6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:d33?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4;:o1=7850;2x 6`52:in7)79c;11a2=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3o:=6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm321e>4<1290;w)=i2;1`a>">>j08>h94$8c0>d063-32;7?7f:&756<43A9nj6`n758f57=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:9?<7?56;294~"4n;08oh5+97a977c03-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1i<=4i36g>5<6=44i31b>5<6=44i33`>5<>1i<:4i37b>5<5<=86=44}c1053<62:0;6=u+9`19e30<,0
    >1i<;4i543>5<5<:583>5}#1h91m;<4$84`>64b?2B8ik5aa669a405<5<:283>5}#1h91m;84$84`>64b?2B8ik5aa669a415<=86=44}c11b5<62=0;6=u+9`19e34<,0
    >1i<64i37b>5<5<=86=44}c105`<62:0;6=u+9`19e30<,0
    >1i<74i543>5<5<:583>5}#1h91m;<4$84`>64b?2B8ik5aa669a4g5<5<:283>5}#1h91m;84$84`>64b?2B8ik5aa669a4d5<=86=44}c11b7<62=0;6=u+9`19e34<,0
    >1i5<5<=86=44}c1065<62:0;6=u+9`19e30<,0
    >1i5<5<4>:583>5}#1h91m;<4$84`>64b?2B8ik5aa669a4c5<5<<4>:283>5}#1h91m;84$84`>64b?2B8ik5aa669a4`5<=86=44}c11b1<62=0;6=u+9`19e34<,0
    >1i?>4i37b>5<5<=86=44}c1067<62:0;6=u+9`19e30<,0
    >1i??4i543>5<5<:583>5}#1h91m;<4$84`>64b?2B8ik5aa669a745<5<>4>:283>5}#1h91m;84$84`>64b?2B8ik5aa669a755<=86=44}c11b3<62=0;6=u+9`19e34<,0
    >1i?:4i37b>5<5<=86=44}c1061<62:0;6=u+9`19e30<,0
    >1i?;4i543>5<5<:583>5}#1h91m;<4$84`>64b?2B8ik5aa669a705<5<84>:283>5}#1h91m;84$84`>64b?2B8ik5aa669a715<=86=44}c11ad<62=0;6=u+9`19e34<,0
    >1i?64i37b>5<5<=86=44}c1052<62:0;6=u+9`19e30<,0
    >1i?74i543>5<5<:583>5}#1h91m;<4$84`>64b?2B8ik5aa669a7g5<5<:283>5}#1h91m;84$84`>64b?2B8ik5aa669a7d5<=86=44}c11af<62=0;6=u+9`19e34<,0
    >1i?m4i37b>5<5<=86=44}c105<<62:0;6=u+9`19e30<,0
    >1i?j4i543>5<5<:583>5}#1h91m;<4$84`>64b?2B8ik5aa669a7c5<5<:283>5}#1h91m;84$84`>64b?2B8ik5aa669a7`5<=86=44}c11a`<62=0;6=u+9`19e34<,0
    >1i>>4i37b>5<5<=86=44}c105g<62:0;6=u+9`19e30<,0
    >1i>?4i543>5<5<:283>5}#1h91m;84$84`>64b?2B8ik5aa669a645<=86=44}c1200<62?0;6=u+3g097a2<,0
    ??6:&:e681/54959848 1742:1C?hh4n`57>`543`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8=8651;494?6|,:l96>mj;%;5g?568?1/5l=5a738 3;18L6ca3gk<87k<4:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5dm2.2:n4<1148 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>?90;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::5e248m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg56>80:6;4?:1y'7c4=;jo0(48l:2332>">i:0j:<5+98595=`<,=;86>5G3dd8jd132l9<7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<17095?0=83:p(>h=:2af?!?1k39:<;5+9`19e37<,03<6<6i;%627?5<@:om7co84;g0<>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;8<86<49:183!5a:39hi6*66b80550<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0n?45f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2350?7=>3:19;%;b7?g192.25:4>8g9'045=;2B8ik5aa669a6go6=44i306>5<5<5<:783>5}#;o81?nk4$84`>677>2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0530=93<1<7>t$2d1>6eb3-3=o7=>079'=d5=i?;0(478:0:e?!26;390D>ki;oc40?c4k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?<88:085>5<7s-9m>7=le:&:2f<499<0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94j3e9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f672i3;1:7>50z&0b7<4kl1/5;m53025?!?f;3k==6*696825c82>3<729q/?k<53bg8 <0d2:;;:6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:d1e?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd494>a3->:?7=4H2ge?kg0<3o?<6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm307g>4<1290;w)=i2;1`a>">>j08==84$8c0>d063-32;7?7f:&756<43A9nj6`n758f04=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:;>i7?56;294~"4n;08oh5+97a974613-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1i9<4i36g>5<6=44i31b>5<6=44i33`>5<??6:&:e681/549519d8 1742:1C?hh4n`57>`243`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8==951;694?6|,0k86l8=;%;5g?568?1C?hh4n`57>`233`8>m7>5;h017?6=3`3>57>5;n547?6=3th8=>;51;194?6|,0k86l89;%;5g?568?1C?hh4n`57>`223`>=<7>5;h017?6=3f=5;|`055c=93>1<7>t$8c0>d053-3=o7=>079K7``5;h;6=?6=3f=5;|`056e=9391<7>t$8c0>d013-3=o7=>079K7``5;n547?6=3th8==h51;694?6|,0k86l8=;%;5g?568?1C?hh4n`57>`2?3`8>m7>5;h017?6=3`3>57>5;n547?6=3th8=>j51;194?6|,0k86l89;%;5g?568?1C?hh4n`57>`2>3`>=<7>5;h017?6=3f=5;|`0546=93>1<7>t$8c0>d053-3=o7=>079K7``5;h;6=?6=3f=5;|`056c=9391<7>t$8c0>d013-3=o7=>079K7``5;n547?6=3th8=`2d3`8>m7>5;h017?6=3`3>57>5;n547?6=3th8=>h51;194?6|,0k86l89;%;5g?568?1C?hh4n`57>`2c3`>=<7>5;h017?6=3f=5;|`0544=93>1<7>t$8c0>d053-3=o7=>079K7``5;h;6=?6=3f=5;|`0516=9391<7>t$8c0>d013-3=o7=>079K7``5;n547?6=3th8=<=51;694?6|,0k86l8=;%;5g?568?1C?hh4n`57>`373`8>m7>5;h017?6=3`3>57>5;n547?6=3th8=9?51;194?6|,0k86l89;%;5g?568?1C?hh4n`57>`363`>=<7>5;h017?6=3f=5;|`0542=93>1<7>t$8c0>d053-3=o7=>079K7``5;h;6=?6=3f=5;|`0514=9391<7>t$8c0>d013-3=o7=>079K7``5;n547?6=3th8=<;51;694?6|,0k86l8=;%;5g?568?1C?hh4n`57>`333`8>m7>5;h017?6=3`3>57>5;n547?6=3th8=9=51;194?6|,0k86l89;%;5g?568?1C?hh4n`57>`323`>=<7>5;h017?6=3f=5;|`0540=93>1<7>t$8c0>d053-3=o7=>079K7``5;h;6=?6=3f=5;|`0512=9391<7>t$8c0>d013-3=o7=>079K7``5;n547?6=3th8==751;694?6|,0k86l8=;%;5g?568?1C?hh4n`57>`3?3`8>m7>5;h017?6=3`3>57>5;n547?6=3th8=>851;194?6|,0k86l89;%;5g?568?1C?hh4n`57>`3>3`>=<7>5;h017?6=3f=5;|`055g=93>1<7>t$8c0>d053-3=o7=>079K7``5;h;6=?6=3f=5;|`0561=9391<7>t$8c0>d013-3=o7=>079K7``5;n547?6=3th8==l51;694?6|,0k86l8=;%;5g?568?1C?hh4n`57>`3d3`8>m7>5;h017?6=3`3>57>5;n547?6=3th8=>651;194?6|,0k86l89;%;5g?568?1C?hh4n`57>`3c3`>=<7>5;h017?6=3f=5;|`055e=93>1<7>t$8c0>d053-3=o7=>079K7``5;h;6=?6=3f=5;|`056?=9391<7>t$8c0>d013-3=o7=>079K7``5;n547?6=3th8==j51;694?6|,0k86l8=;%;5g?568?1C?hh4n`57>`073`8>m7>5;h017?6=3`3>57>5;n547?6=3th8=>o51;194?6|,0k86l89;%;5g?568?1C?hh4n`57>`063`>=<7>5;h017?6=3f=5;|`056d=9391<7>t$8c0>d013-3=o7=>079K7``5;n547?6=3th8=k851;494?6|,:l96>j;;%;5g?568<1/5l=5a738 3;18L6ca3gk<87k93:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31=1b>9j50;9j673=831b>>o50;9j=53=831b>?jb;392?6=8r.8j?4">1>025;5+40197>N4mo1em::5e778m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg56mh0:6;4?:1y'7c4=;m>0(48l:23gb>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132l<=7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<1d;95?0=83:p(>h=:2f7?!?1k39:hk5+9`19e37<,03<6479;%627?5<@:om7co84;g53>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;8o36<49:183!5a:39o86*66b805a`<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0n:55f25f94?N3=>10e?<::188m75f2900e4>::188m77d2900c4>k:188yg56i?0:6;4?:1y'7c4=;jo0(48l:2331>">i:0j:<5+98595=`<,=;86>5G3dd8jd132l<27d<;d;29?l45=3:17d<c;29?j?7l3:17pl<1`595?0=83:p(>h=:2af?!?1k39:<85+9`19e37<,03<6<6i;%627?5<@:om7co84;g5e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;8k36<49:183!5a:39hi6*66b80553<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0n:o5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb23b4?7=>3:1:;%;b7?g192.25:46979'045=;2B8ik5aa669a3eo6=44i306>5<5<5<:783>5}#;o81?i:4$84`>677=2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`05ac=93<1<7>t$2d1>6eb3-3=o7=>049'=d5=i?;0(478:0:e?!26;390D>ki;oc40?c1m2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?5<7s-9m>7=le:&:2f<499?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94j6g9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f67ck3;1:7>50z&0b7<4kl1/5;m53026?!?f;3k==6*69682:0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=>dc82>3<729q/?k<53bg8 <0d2:;;96*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:d52?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd49mk1=7850;2x 6`52:in7)79c;1240=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3o<>6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm30f:>4<1290;w)=i2;1`a>">>j08==;4$8c0>d063-32;7?7f:&756<43A9nj6`n758f36=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:;o47?56;294~"4n;08oh5+97a974623-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1i::4i36g>5<6=44i31b>5<6=44i33`>5<??5:&:e681/549519d8 1742:1C?hh4n`57>`123`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8=ok51;494?6|,:l96>mj;%;5g?568<1/5l=5a738 3;18L6ca3gk<87k86:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb311b>9j50;9j673=831b>>o50;9j=53=831b>?l0;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5e6:8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg56k80:6;4?:1y'7c4=;jo0(48l:2331>">i:0j:<5+98595=`<,=;86>5G3dd8jd132l=27d<;d;29?l45=3:17d<c;29?j?7l3:17pl<1b095?0=83:p(>h=:2af?!?1k39:<85+9`19e37<,03<6<6i;%627?5<@:om7co84;g4e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;8i86<49:183!5a:39hi6*66b80553<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0n;o5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb23`0?7=>3:1:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669a2eo6=44i306>5<5<5<:783>5}#;o81?nk4$84`>677=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`05c6=93<1<7>t$2d1>6b33-3=o7=>dg9'=d5=i?;0(478:8;5?!26;390D>ki;oc40?c0m2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi??j<:085>5<7s-9m>7=le:&:2f<4:0?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94j7g9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f64c<3;1:7>50z&0b7<4kl1/5;m533;6?!?f;3k==6*696823<729q/?k<53bg8 <0d2:8296*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:d:2?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4:m<1=7850;2x 6`52:in7)79c;11=0=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3o3>6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm33f4>4<1290;w)=i2;1`a>">>j08>4;4$8c0>d063-32;7?7f:&756<43A9nj6`n758f<6=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:8o47?56;294~"4n;08oh5+97a977?23-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1i5:4i36g>5<6=44i31b>5<6=44i33`>5<<65:&:e681/549519d8 1742:1C?hh4n`57>`>23`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8>io51;494?6|,:l96>mj;%;5g?551<1/5l=5a738 3;18L6ca3gk<87k76:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:0>96F5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`06ab=93<1<7>t$2d1>6b33-3=o7==949'=d5=i?;0(478:8;5?!26;3?>7E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>7)7n3;c55>">1>025;5+40197>N4mo1em::5e9;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg551h0:6;4?:1y'7c4=;m>0(48l:20:1>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132l2j7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<28`95?0=83:p(>h=:2f7?!?1k399585+9`19e37<,03<6479;%627?5<@:om7co84;g;f>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;;3h6<49:183!5a:39o86*66b806<3<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0n4n5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb20:`?7=>3:1o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>64>=2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`06f7=93<1<7>t$2d1>6eb3-3=o7==949'=d5=i?;0(478:0:e?!26;390D>ki;oc40?c?n2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi??m=:085>5<7s-9m>7=le:&:2f<4:0?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94j919j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f64d;3;1:7>50z&0b7<4kl1/5;m533;6?!?f;3k==6*696823<729q/?k<53bg8 <0d2:8296*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:d;1?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4:j?1=7850;2x 6`52:in7)79c;11=0=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3o2?6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm33a5>4<1290;w)=i2;1`a>">>j08>4;4$8c0>d063-32;7?7f:&756<43A9nj6`n758f=1=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:8h;7?56;294~"4n;08oh5+97a977?23-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1i4;4i36g>5<6=44i31b>5<6=44i33`>5<<65:&:e681/549519d8 1742:1C?hh4n`57>`?13`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9jkm51;494?6|,:l96>j;;%;5g?4an;1/5l=5a738 3;18L6ca3gk<87k67:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>>>3;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::5e8;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg579;0:6;4?:1y'7c4=;jo0(48l:3de6>">i:0j:<5+98595=`<,=;86>5G3dd8jd132l3j7d<;d;29?l45=3:17d<c;29?j?7l3:17pl<00395?0=83:p(>h=:2af?!?1k38mj?5+9`19e37<,03<6<6i;%627?5<@:om7co84;g:f>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;9;;6<49:183!5a:39hi6*66b81bc4<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0n5n5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb223b?7=>3:1kh=;%;b7?g192.25:4>8g9'045=;2B8ik5aa669ao6=44i306>5<5<5<:783>5}#;o81?nk4$84`>7`a:2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`044d=93<1<7>t$2d1>6eb3-3=o7ki;oc40?c>n2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?=?n:085>5<7s-9m>7=le:&:2f<5no80(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94ja19j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f66613;1:7>50z&0b7<4kl1/5;m52gd1?!?f;3k==6*696823<729q/?k<53bg8 <0d2;lm>6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:dc1?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd488=1=7850;2x 6`52:in7)79c;0eb7=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3oj?6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm3135>4<1290;w)=i2;1`a>">>j09jk<4$8c0>d063-32;7?7f:&756<43A9nj6`n758fe1=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:::97?56;294~"4n;08oh5+97a96c`53-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1il;4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>`g13`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8<=j51;494?6|,:l96>mj;%;5g?4an;1/5l=5a738 3;18L6ca3gk<87kn7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>7)7n3;c55>">1>025;5+40197>N4mo1em::5e`;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4c;:0:6;4?:1y'7c4=;jo0(48l:3`11>">i:0j:<5+98595=`<,=;86>5G3dd8jd132lkj7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=d1d95?0=83:p(>h=:2f7?!?1k38i>85+9`19e37<,03<6479;%627?5<@:om7co84;gbf>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:m?36<49:183!5a:39hi6*66b81f73<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0nmn5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3f05?7=>3:1o<:;%;b7?g192.25:46979'045=;2B8ik5aa669adbo6=44i306>5<5<5<:783>5}#;o81?nk4$84`>7d5=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1`0g=93<1<7>t$2d1>6eb3-3=o7ki;oc40?cfn2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>i8>:085>5<7s-9m>7=le:&:2f<5j;?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94jb19j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7b1:3;1:7>50z&0b7<4kl1/5;m52c06?!?f;3k==6*696823<729q/?k<53bg8 <0d2;h996*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:d`1?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5l?>1=7850;2x 6`52:in7)79c;0a60=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3oi?6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2e46>4<1290;w)=i2;1`a>">>j09n?;4$8c0>d063-32;7?7f:&756<43A9nj6`n758ff1=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;n=:7?56;294~"4n;08oh5+97a96g423-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1io;4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>`d13`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9h;651;494?6|,:l96>mj;%;5g?4e:<1/5l=5a738 3;18L6ca3gk<87km7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>7)7n3;c55>">1>0:4k5+40197>N4mo1em::5ec;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4c=m0:6;4?:1y'7c4=;jo0(48l:3`11>">i:0j:<5+98595=`<,=;86>5G3dd8jd132lhj7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=d4g95?0=83:p(>h=:2af?!?1k38i>85+9`19e37<,03<6<6i;%627?5<@:om7co84;gaf>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:m?m6<49:183!5a:39hi6*66b81f73<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0nnn5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3f54?7=>3:1o<:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669agbo6=44i306>5<5<5<:783>5}#;o81?i:4$84`>7d5=2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1`5g=93<1<7>t$2d1>6b33-3=o7ki;oc40?cen2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>i=7:085>5<7s-9m>7=le:&:2f<5j;?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94jc19j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7b413;1:7>50z&0b7<4kl1/5;m52c06?!?f;3k==6*696823<729q/?k<53bg8 <0d2;h996*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:da1?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5l=;1=7850;2x 6`52:in7)79c;0a60=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3oh?6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2e61>4<1290;w)=i2;1`a>">>j09n?;4$8c0>d063-32;7?7f:&756<43A9nj6`n758fg1=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;n??7?56;294~"4n;08oh5+97a96g423-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1in;4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>`e13`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9h9;51;494?6|,:l96>mj;%;5g?4e:<1/5l=5a738 3;18L6ca3gk<87kl7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>7)7n3;c55>">1>0:4k5+40197>N4mo1em::5eb;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4c;h0:6;4?:1y'7c4=;jo0(48l:3`11>">i:0j:<5+98595=`<,=;86>5G3dd8jd132lij7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=d2`95?0=83:p(>h=:2af?!?1k38i>85+9`19e37<,03<6<6i;%627?5<@:om7co84;g`f>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:m9h6<49:183!5a:39hi6*66b81f73<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0non5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3f0`?7=>3:1o<:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669afbo6=44i306>5<5<5<:783>5}#;o81?nk4$84`>7d5=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1`6`=93<1<7>t$2d1>6eb3-3=o7ki;oc40?cdn2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>i??:085>5<7s-9m>7=le:&:2f<5j;?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94jd19j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7b693;1:7>50z&0b7<4kl1/5;m52c06?!?f;3k==6*696823<729q/?k<53bg8 <0d2;h996*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:df1?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5l831=7850;2x 6`52:in7)79c;0a60=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3oo?6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2e3b>4<1290;w)=i2;1`a>">>j09n?;4$8c0>d063-32;7?7f:&756<43A9nj6`n758f`1=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;n:n7?56;294~"4n;08oh5+97a96g423-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1ii;4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>`b13`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9hmj;%;5g?4e:<1/5l=5a738 3;18L6ca3gk<87kk7:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>f;392?6=8r.8j?47)7n3;c55>">1>0:4k5+40197>N4mo1em::5ee;8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4c9;0:6;4?:1y'7c4=;jo0(48l:3`11>">i:0j:<5+98595=`<,=;86>5G3dd8jd132lnj7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=d0195?0=83:p(>h=:2af?!?1k38i>85+9`19e37<,03<6<6i;%627?5<@:om7co84;ggf>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:m;?6<49:183!5a:39hi6*66b81f73<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0nhn5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3f21?7=>3:1o<:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669aabo6=44i306>5<5<5<:783>5}#;o81?nk4$84`>7d5=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1`41=93<1<7>t$2d1>6eb3-3=o7ki;oc40?ccn2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>i=?:085>5<7s-9m>7=k4:&:2f<5j;?0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94je19j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7b0i3;1:7>50z&0b7<4l=1/5;m52c06?!?f;3k==6*6968:=3=#<891985G3dd8jd132lo:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=d6`95?0=83:p(>h=:2f7?!?1k38i>85+9`19e37<,03<6479;%627?5<@:om7co84;gf6>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:m9?6<49:183!5a:39o86*66b81f73<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0ni>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3f01?7=>3:1o<:;%;b7?g192.25:46979'045=;2B8ik5aa669a`2o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>7d5=2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1`3?=93<1<7>t$2d1>6eb3-3=o7ki;oc40?cb>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>i8n:085>5<7s-9m>7=le:&:2f<5j;?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94je69j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7b093;1:7>50z&0b7<4kl1/5;m52c06?!?f;3k==6*696823<729q/?k<53bg8 <0d2;h996*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:dg:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5l>91=7850;2x 6`52:in7)79c;0a60=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3onm6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2e57>4<1290;w)=i2;1`a>">>j09n?;4$8c0>d063-32;7?7f:&756<43A9nj6`n758fag=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;n<97?56;294~"4n;08oh5+97a96g423-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1ihm4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>`cc3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9h:951;494?6|,:l96>mj;%;5g?4e:<1/5l=5a738 3;18L6ca3gk<87kje:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>7)7n3;c55>">1>0:4k5+40197>N4mo1em::5eg28m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4c>j0:6;4?:1y'7c4=;jo0(48l:3`11>">i:0j:<5+98595=`<,=;86>5G3dd8jd132ll:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=d7f95?0=83:p(>h=:2af?!?1k38i>85+9`19e37<,03<6<6i;%627?5<@:om7co84;ge6>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:m;%;:3?7?n2.?=>4<;I1fb>hf?=0nj>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3f5b?7=>3:1o<:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669ac2o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>7d5=2.2m>4n609'=<1=91l0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1`1>=93<1<7>t$2d1>6eb3-3=o7ki;oc40?ca>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>i:6:085>5<7s-9m>7=le:&:2f<5j;?0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94jf69j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7b283;1:7>50z&0b7<4kl1/5;m52c06?!?f;3k==6*696823<729q/?k<53bg8 <0d2;h996*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:dd:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5l<81=7850;2x 6`52:in7)79c;0a60=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3omm6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2e70>4<1290;w)=i2;1`a>">>j09n?;4$8c0>d063-32;7?7f:&756<43A9nj6`n758fbg=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;n>87?56;294~"4n;08oh5+97a96g423-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1ikm4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>``c3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9h8851;494?6|,:l96>mj;%;5g?4e:<1/5l=5a738 3;18L6ca3gk<87kie:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>7)7n3;c55>">1>0:4k5+40197>N4mo1em::5f128m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4c">i:0j:<5+98595=`<,=;86>5G3dd8jd132o::7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=d5a95?0=83:p(>h=:2af?!?1k38i>85+9`19e37<,03<6<6i;%627?5<@:om7co84;d36>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:m>o6<49:183!5a:39hi6*66b81f73<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0m<>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3f7a?7=>3:1o<:;%;b7?g192.25:4>8g9'045=;2B8ik5aa669b52o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>7d5=2.2m>4n609'=<1=91l0(9?<:29K7``:;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1`2?=93<1<7>t$2d1>6eb3-3=o7ki;oc40?`7>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>i5<7s-9m>7=k4:&:2f<5j;?0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94i069j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7b593;1:7>50z&0b7<4l=1/5;m52c06?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;h996*6a28b24=#10=15484$530>6=O;ll0bl9;:g2:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5l;31=7850;2x 6`52:n?7)79c;0a60=#1h91m;?4$8;4>:?7=4H2ge?kg0<3l;m6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2e0b>4<1290;w)=i2;1g0>">>j09n?;4$8c0>d063-32;7766:&756<43A9nj6`n758e4g=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;n9n7?56;294~"4n;08h95+97a96g423-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1j=m4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>c6c3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9h?j51;494?6|,:l96>j;;%;5g?4e:<1/5l=5a738 3;18L6ca3gk<87h?e:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>7)7n3;c55>">1>025;5+40197>N4mo1em::5f028m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4c:;0:6;4?:1y'7c4=;m>0(48l:3`11>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132o;:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=d3195?0=83:p(>h=:2f7?!?1k38i>85+9`19e37<,03<6479;%627?5<@:om7co84;d26>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:m8?6<49:183!5a:39o86*66b81f73<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0m=>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3f11?7=>3:1o<:;%;b7?g192.25:46979'045=;2B8ik5aa669b42o6=44i306>5<5<5<;4>:783>5}#;o81?i:4$84`>7d5=2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1`71=93<1<7>t$2d1>6b33-3=o7ki;oc40?`6>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>n77:085>5<7s-9m>7=k4:&:2f<5j;?0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94i169j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7e>13;1:7>50z&0b7<4l=1/5;m52c06?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;h996*6a28b24=#10=15484$530>6=O;ll0bl9;:g3:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5khl1=7850;2x 6`52:n?7)79c;0a60=#1h91m;?4$8;4>:?7=4H2ge?kg0<3l:m6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2b`1>4<1290;w)=i2;1g0>">>j09n?;4$8c0>d063-32;7766:&756<43A9nj6`n758e5g=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;ii?7?56;294~"4n;08h95+97a96g423-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1j5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>c7c3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9oo;51;494?6|,:l96>j;;%;5g?4e:<1/5l=5a738 3;18L6ca3gk<87h>e:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>7)7n3;c55>">1>025;5+40197>N4mo1em::5f328m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4d1h0:6;4?:1y'7c4=;m>0(48l:3`11>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132o8:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=c8`95?0=83:p(>h=:2f7?!?1k38i>85+9`19e37<,03<6479;%627?5<@:om7co84;d16>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:j3h6<49:183!5a:39o86*66b81f73<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0m>>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3a:`?7=>3:1o<:;%;b7?g192.25:46979'045=;2B8ik5aa669b72o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>7d5=2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1g<`=93<1<7>t$2d1>6b33-3=o7ki;oc40?`5>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>no?:085>5<7s-9m>7=k4:&:2f<5j;?0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94i269j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7ef93;1:7>50z&0b7<4l=1/5;m52c06?!?f;3k==6*6968:=3=#<891?6F3<729q/?k<53e68 <0d2;h996*6a28b24=#10=15484$530>6=O;ll0bl9;:g0:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5kh91=7850;2x 6`52:n?7)79c;0a60=#1h91m;?4$8;4>:?7=4H2ge?kg0<3l9m6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2bc6>4<1290;w)=i2;1g0>">>j09n?;4$8c0>d063-32;7766:&756<43A9nj6`n758e6g=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;ij:7?56;294~"4n;08h95+97a96g423-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1j?m4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>c4c3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9ol651;494?6|,:l96>j;;%;5g?4e:<1/5l=5a738 3;18L6ca3gk<87h=e:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4=b378 "39:087E=jf:lb319j50;9j673=831b>>o50;9j=53=831b>7)7n3;c55>">1>025;5+40197>N4mo1em::5f228m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4dik0:6;4?:1y'7c4=;m>0(48l:3`11>">i:0j:<5+9859=<0<,=;86>5G3dd8jd132o9:7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=c`a95?0=83:p(>h=:2f7?!?1k38i>85+9`19e37<,03<6479;%627?5<@:om7co84;d06>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:jko6<49:183!5a:39o86*66b81f73<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0m?>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3aba?7=>3:1o<:;%;b7?g192.25:46979'045=;2B8ik5aa669b62o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>7d5=2.2m>4n609'=<1=10<0(9?<:29K7``5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1gg7=93<1<7>t$2d1>6b33-3=o7ki;oc40?`4>2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>n<;:087>5<7s-3j?7o93:&:2f<5j;?0D>ki;oc40?`4?2c8jh4?::k0bc<722c2944?::m436<722wi>n<9:087>5<7s-3j?7:98:&0b750;9~f7e5=3;187>50z&:e6<3>11/?k<58118 <0d2;h996Fhj:188m6`a2900e9>?:188k=?72900qo1<729q/5l=5a718 <0d2;h996Fhj:188m6`a2900e4;6:188k2142900qo1<729q/5l=547:8 6`521:87)79c;0a60=O;ll0bl9;:g1a?l5am3:17d=if;29?l2783:17b660;29?xd5k;h1=7:50;2x ">>j09n?;4H2ge?kg0<3l8o6g>o4no0;66g;0183>>i?190;66sm2b10>4<3290;w)7n3;c57>">>j09n?;4H2ge?kg0<3l8h6g>o4no0;66g65883>>i0?:0;66sm2b16>4<3290;w)7n3;65<>"4n;03<>5+97a96g423A9nj6`n758e7`=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;i887?54;294~">i:0?:55+3g09<55<,0>1j>h4i2df>5<5<>1j9>4i2df>5<5<=86=44}c0`6c<62=0;6=u+9`1903><,:l965><;%;5g?4e:<1C?hh4n`57>c263`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9o?k51;694?6|,0k86987;%1e6?>7;2.2:n4=b378L6ca3gk<87h;2:k0b`<722c8jk4?::k745<722e35=4?::a6f57280?6=4?{%;b7?g1;2.2:n4=b378L6ca3gk<87h;3:k0b`<722c8jk4?::k:1<<722e<;>4?::a6f55280?6=4?{%;b7?2102.8j?47029'=3e=:k8>7E=jf:lb3150;9l<<6=831vn?m<1;390?6=8r.2m>4;699'7c4=0990(48l:3`11>N4mo1em::5f578m6`b2900e>hi:188m1672900c57?:188yg4d;?0:694?:1y'=d5=i?90(48l:3`11>N4mo1em::5f548m6`b2900e>hi:188m<3>2900c:9<:188yg4d;10:694?:1y'=d5=h=:920?!?1k38i>85G3dd8jd132o><7d=ie;29?l5an3:17d:?0;29?j>>83:17pl=c2595?2=83:p(4o<:54;?!5a:32;?6*66b81f73<@:om7co84;d7<>o4nl0;66g>o3890;66a79183>>{e:j926<4;:183!?f;3k=?6*66b81f73<@:om7co84;d7=>o4nl0;66g>o>=00;66a87283>>{e:j9i6<4;:183!?f;3>=46*o<:;I1fb>hf?=0m8l5f3gg94?=n;ol1<75f41294?=h00:1<75rb3a0e?7=<3:17d5=2B8ik5aa669b1d5<5<:583>5}#1h91m;=4$84`>7d5=2B8ik5aa669b1e5<5<:583>5}#1h918;64$2d1>=643-3=o75;h634?6=3f22<7>5;|`1g6b=93>1<7>t$8c0>10?3-9m>76?3:&:2f<5j;?0D>ki;oc40?`3m2c8jh4?::k0bc<722c?<=4?::m;=5<722wi>n=i:087>5<7s-3j?7o93:&:2f<5j;?0D>ki;oc40?`3n2c8jh4?::k0bc<722c2944?::m436<722wi>n:>:087>5<7s-3j?7:98:&0b750;9~f7e383;187>50z&:e6<3>11/?k<58118 <0d2;h996Fhj:188m6`a2900e9>?:188k=?72900qo1<729q/5l=5a718 <0d2;h996Fhj:188m6`a2900e4;6:188k2142900qo1<729q/5l=547:8 6`521:87)79c;0a60=O;ll0bl9;:g70?l5am3:17d=if;29?l2783:17b660;29?xd5k;21=7:50;2x ">>j09n?;4H2ge?kg0<3l>86g>o4no0;66g;0183>>i?190;66sm146f>4<2280>w)7n3;1ef>N>1m1em::5f478m7b583;17d>o5l;;1=75f2e32>4<<,:l96:77;%;5g?4e:<1C?hh4n`57>c313`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q1`76=838pR?j=0:?1f7c=;oo0q~7}Y:m;;7052z\1`77<5;h9i7:?0:p6a762909wS6g4b2=::7p}=b3g94?4|V;h9i63=b3g9<<6c303`8>m7>5;h017?6=3`3>57>5;n547?6=3th:99h51;795?3|,0k86>hm;I;:`>hf?=0m955f2e0;>4<4;699'7c4=?020(48l:3`11>N4mo1em::5f4;8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~7}Y:m837052z\1`4><5;h9j7=if:p6a4>2909wS6g4a2=:;7p}=d0;94?4|V;n:563=b3d9057k4?:3y]6g4a348i>k47919~yg4e:>0:694?:1y'=d5=i?80(48l:3`11>N4mo1em::5f4c8m73f2900e?<<:188m<3>2900c:9<:188yg72=90:684>:4y'=d5=;oh0D47k;oc40?`2j2c9h?o51;9j6a7f2800e?j=b;39?l4c9k0:66a=b2295?=e:k9;6=4::183!?f;3>=46*o<:;I1fb>hf?=0m9n5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;n9m7>52z\1`7g<5;h8<7=ie:p6a7f2909wS6g572:lm7p}=d3`94?4|V;n9n63=b229056vP=b22897d48322<6srb3`1o<:;I1fb>hf?=0m9i5f24c94?=n:;91<75f94;94?=h?>91<75rb0765?7==3;19v*6a280bg=O10n0bl9;:g7f?l4c:j0:66g=d0a95?=n:m8o6<44i3f2`?7=3f8i?<4>::`1f67=83?1<7>t$8c0>10?3-9m>7968:&:2f<5j;?0D>ki;oc40?`2n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p6a4d2909wS6g562:ln7p}=d0a94?4|V;n:o63=b2397c`i4?:3y]6a4c348i?<4;019~w7b6l3:1>vP=d0f897d493>;=6s|2c12>5<5sW8i?<522c12>=?73twi>o<6:087>5<7s-3j?7o92:&:2f<5j;?0D>ki;oc40?`182c99l4?::k166<722c2944?::m436<722wi=8;=:086>4<2s-3j?7=ib:J:=a=ii>>1j;?4i3f1a?7=3`8o=h4>::k1`7`=931b>i?i:088k7d4:3;17o0<729q/5l=547:8 6`52>337)79c;0a60=O;ll0bl9;:g41?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=d3g94?4|V;n9i63=b2097ccvP=d3d897d4:3>;<6s|2e3e>5<5sW8o=k522c11>1663ty9n><50;0xZ7d4:279n><58828yxd5j;k1=7:50;2x =1b>i<=:088m7b6:3;17d>o5l891=75`2c10>4<55;294~">i:0?:55+3g093<><,0>1j;;4i2df>5<5<5<?4?:3y]6a45348i?>4vP=d00897d4;39mj6s|2e00>5<5sW8o>>522c10>1673ty9h<=50;0xZ7b6;279n>=54138yv4e;:0;6?uQ2c10?84e;:035=5r}c0a6g<62=0;6=u+9`19e34<,0>1j;84i37b>5<5<=86=44}c3611<62<0:68u+9`197cd<@03o7co84;d53>o5l;>1=75f2e37>4<:51;9a6g53290>6=4?{%;b7?2102.8j?48999'=3e=:k8>7E=jf:lb3111b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w7b5<3:1>vP=d36897d4<39mi6s|2e37>5<5sW8o=9522c17>6`a3ty9h?;50;0xZ7b5=279n>:54128yv4c9<0;6?uQ2e36?84e;=0?<<5rs3`00?6=:rT9n>:4=3`00?>>82wvn?l=c;390?6=8r.2m>4n639'=3e=:k8>7E=jf:lb3101b>8o50;9j675=831b58750;9l325=831vn<;:5;391?7==r.2m>47;39?j4e;<0:66l=b2794?3=83:p(4o<:54;?!5a:3=246*66b81f73<@:om7co84;d5f>o4nl0;66g>o3890;66g;0083>>i?190;66s|2e05>5<5sW8o>;522c16>6`b3ty9h<850;0xZ7b6>279n>;53gd8yv4c:>0;6?uQ2e04?84e;<0?<=5rs3f23?6=:rT9h<94=3`01?2792wx>o=::181[4e;<16>o=::9;3?x{e:k8o6<4;:183!?f;3k=>6*66b81f73<@:om7co84;d5g>o5=h0;66g=2283>>o>=00;66a87283>>{e:k<=6<4<:183!?f;3>=46*o<:;I1fb>hf?=0m:i5f3gg94?=n;ol1<75`88294?=zj;h>:7?54;294~">i:0j:?5+97a96g423A9nj6`n758e2`=n:i:0?:55+3g09<0g<,0>1j;h4i2df>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669b265<5<:283>5}#1h918;64$2d1>=3f3-3=o7;h1ea?6=3`9mj7>5;n::4?6=3th9n8k51;694?6|,0k86l8=;%;5g?4e:<1C?hh4n`57>c153`8>m7>5;h017?6=3`3>57>5;n547?6=3th9n;h51;194?6|,0k86987;%1e6?>2i2.2:n4=b378L6ca3gk<87h83:k0b`<722c8jk4?::m;=5<722wi>o;i:087>5<7s-3j?7o92:&:2f<5j;?0D>ki;oc40?`0<2c99l4?::k166<722c2944?::m436<722wi>o9?:080>5<7s-3j?7:98:&0b74n639'=3e=:k8>7E=jf:lb318o50;9j675=831b58750;9l325=831vn?l81;397?6=8r.2m>4;699'7c4=0N4mo1em::5f658m6`b2900e>hi:188k=?72900qo1<729q/5l=5a708 <0d2;h996F20e?;n:188m7442900e4;6:188k2142900qo6<729q/5l=547:8 6`521?j7)79c;0a60=O;ll0bl9;:g5:?l5am3:17d=if;29?j>>83:17pl=b7095?2=83:p(4o<:`41?!?1k38i>85G3dd8jd132o=j7d<:a;29?l45;3:17d7:9;29?j10;3:17pl=b6195?5=83:p(4o<:54;?!5a:32>m6*66b81f73<@:om7co84;d4f>o4nl0;66g>i?190;66sm2c40>4<3290;w)7n3;c56>">>j09n?;4H2ge?kg0<3l>o5::0;66g65883>>i0?:0;66sm2c57>4<4290;w)7n3;65<>"4n;039l5+97a96g423A9nj6`n758e3a=n;oo1<75f3gd94?=h00:1<75rb3`50?7=<3:1o<:;I1fb>hf?=0m;h5f24c94?=n:;91<75f94;94?=h?>91<75rb3`41?7=;3:17d5=2B8ik5aa669b2`5<>1j5>4i37b>5<5<=86=44}c0a22<62:0;6=u+9`1903><,:l965;n;%;5g?4e:<1C?hh4n`57>c>63`9mi7>5;h1eb?6=3f22<7>5;|`1f01=93>1<7>t$8c0>d053-3=o75;h;6=?6=3f=5;|`1f3>=9391<7>t$8c0>10?3-9m>76:a:&:2f<5j;?0D>ki;oc40?`?;2c8jh4?::k0bc<722e35=4?::a6g3?280?6=4?{%;b7?g1:2.2:n4=b378L6ca3gk<87h74:k11d<722c9>>4?::k:1<<722e<;>4?::a6g0>28086=4?{%;b7?2102.8j?475`9'=3e=:k8>7E=jf:lb3150;9~f7d213;187>50z&:e6;1/5;m52c06?M5bn2dj;94i879j60g=831b>?=50;9j=0?=831d;:=50;9~f7d1i3;1?7>50z&:e6<3>11/?k<584c8 <0d2;h996Fhj:188m6`a2900c57?:188yg4e=h0:694?:1y'=d5=i?80(48l:3`11>N4mo1em::5f9:8m73f2900e?<<:188m<3>2900c:9<:188yg4e>k0:6>4?:1y'=d5=h=:97b?!?1k38i>85G3dd8jd132o227d=ie;29?l5an3:17b660;29?xd5j">>j09n?;4H2ge?kg0<3l3n6g>o4no0;66a79183>>{e:k?h6<4;:183!?f;3k=>6*66b81f73<@:om7co84;d;g>o5=h0;66g=2283>>o>=00;66a87283>>{e:k>=6<4<:183!?f;3>=46*o<:;I1fb>hf?=0m4i5f3gg94?=n;ol1<75`88294?=zj;h8:7?54;294~">i:0j:?5+97a96g423A9nj6`n758e<`=n:i:0?:55+3g09<0g<,0>1j5h4i2df>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669b<65<5<:283>5}#1h918;64$2d1>=3f3-3=o7;h1ea?6=3`9mj7>5;n::4?6=3th9n>k51;694?6|,0k86l8=;%;5g?4e:<1C?hh4n`57>c?53`8>m7>5;h017?6=3`3>57>5;n547?6=3th9n9h51;194?6|,0k86987;%1e6?>2i2.2:n4=b378L6ca3gk<87h63:k0b`<722c8jk4?::m;=5<722wi>o=i:087>5<7s-3j?7o92:&:2f<5j;?0D>ki;oc40?`><2c99l4?::k166<722c2944?::m436<722wi>o;?:080>5<7s-3j?7:98:&0b74n639'=3e=:k8>7E=jf:lb318o50;9j675=831b58750;9l325=831vn?l:1;397?6=8r.2m>4;699'7c4=0N4mo1em::5f858m6`b2900e>hi:188k=?72900qo1<729q/5l=5a708 <0d2;h996F6<729q/5l=547:8 6`521?j7)79c;0a60=O;ll0bl9;:g;:?l5am3:17d=if;29?j>>83:17pl=b5095?2=83:p(4o<:`41?!?1k38i>85G3dd8jd132o3j7d<:a;29?l45;3:17d7:9;29?j10;3:17pl=b4195?5=83:p(4o<:54;?!5a:32>m6*66b81f73<@:om7co84;d:f>o4nl0;66g>i?190;66sm2c60>4<3290;w)7n3;c56>">>j09n?;4H2ge?kg0<3l2o6g=5`83>>o5::0;66g65883>>i0?:0;66sm2c77>4<4290;w)7n3;65<>"4n;039l5+97a96g423A9nj6`n758e=a=n;oo1<75f3gd94?=h00:1<75rb3`70?7=<3:1o<:;I1fb>hf?=0m5h5f24c94?=n:;91<75f94;94?=h?>91<75rb3`61?7=;3:17d5=2B8ik5aa669b<`5<>1jl>4i37b>5<5<=86=44}c0a02<62:0;6=u+9`1903><,:l965;n;%;5g?4e:<1C?hh4n`57>cg63`9mi7>5;h1eb?6=3f22<7>5;|`1f61=93>1<7>t$8c0>d053-3=o75;h;6=?6=3f=5;|`1f1>=9391<7>t$8c0>10?3-9m>76:a:&:2f<5j;?0D>ki;oc40?`f;2c8jh4?::k0bc<722e35=4?::a6g5?280?6=4?{%;b7?g1:2.2:n4=b378L6ca3gk<87hn4:k11d<722c9>>4?::k:1<<722e<;>4?::a6g2>28086=4?{%;b7?2102.8j?475`9'=3e=:k8>7E=jf:lb3150;9~f7d413;187>50z&:e6;1/5;m52c06?M5bn2dj;94ia79j60g=831b>?=50;9j=0?=831d;:=50;9~f7d3i3;1?7>50z&:e6<3>11/?k<584c8 <0d2;h996Fhj:188m6`a2900c57?:188yg4e;h0:694?:1y'=d5=i?80(48l:3`11>N4mo1em::5f`:8m73f2900e?<<:188m<3>2900c:9<:188yg4e4?:1y'=d5=h=:97b?!?1k38i>85G3dd8jd132ok27d=ie;29?l5an3:17b660;29?xd5j:h1=7:50;2x ">>j09n?;4H2ge?kg0<3ljn6g>o4no0;66a79183>>{e:k9h6<4;:183!?f;3k=>6*66b81f73<@:om7co84;dbg>o5=h0;66g=2283>>o>=00;66a87283>>{e:kn=6<4;:183!?f;3k=>6*66b81f73<@:om7co84;db`>o5=h0;66g=2283>M22?21b58750;9l325=831vn?m>4;397?6=8r.2m>4n679'=3e=:k8>7E=jf:lb3150;9j675=83B?9:54o650>5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bd`5<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bg65<=86=44}c0a`a<62=0;6=u+9`19e34<,0>1jo?4i37b>5<5<=86=44}c0`5f<62:0;6=u+9`19e30<,0>1jo<4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bg55<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bg25<=86=44}c0a`c<62=0;6=u+9`19e34<,0>1jo;4i37b>5<5<=86=44}c0`5`<62:0;6=u+9`19e30<,0>1jo84i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bg15<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bg>5<=86=44}c0aa4<62=0;6=u+9`19e34<,0>1jo74i37b>5<5<=86=44}c0`65<62:0;6=u+9`19e30<,0>1joo4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bgd5<5<<4>:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bge5<=86=44}c0aa6<62=0;6=u+9`19e34<,0>1joj4i37b>5<5<=86=44}c0`67<62:0;6=u+9`19e30<,0>1jok4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bg`5<5<>4>:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bf65<=86=44}c0a`2<62=0;6=u+9`19e34<,0>1jn?4i37b>5<5<=86=44}c0`50<62:0;6=u+9`19e30<,0>1jn<4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bf55<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bf25<=86=44}c0a`<<62=0;6=u+9`19e34<,0>1jn;4i37b>5<5<=86=44}c0`52<62:0;6=u+9`19e30<,0>1jn84i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bf15<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bf>5<=86=44}c0a`g<62=0;6=u+9`19e34<,0>1jn74i37b>5<5<=86=44}c0`5<<62:0;6=u+9`19e30<,0>1jno4i543>5<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bfd5<=86=44}c0a33<62=0;6=u+9`19e34<,0>1jnm4i37b>5<5<=86=44}c0af0<62:0;6=u+9`19e30<,0>1jnj4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bfc5<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bf`5<=86=44}c0ae4<62=0;6=u+9`19e34<,0>1ji>4i37b>5<5<=86=44}c0agg<62:0;6=u+9`19e30<,0>1ji?4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669ba45<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669ba55<=86=44}c0ae2<62=0;6=u+9`19e34<,0>1ji:4i37b>5<5<=86=44}c0a`5<62:0;6=u+9`19e30<,0>1ji;4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669ba05<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669ba15<=86=44}c0aeg<62=0;6=u+9`19e34<,0>1ji64i37b>5<5<=86=44}c0a`7<62:0;6=u+9`19e30<,0>1ji74i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bag5<5<4>:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bad5<=86=44}c0aec<62=0;6=u+9`19e34<,0>1jim4i37b>5<5<=86=44}c0a`1<62:0;6=u+9`19e30<,0>1jij4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bac5<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669ba`5<=86=44}c0a32<62=0;6=u+9`19e34<,0>1jh>4i37b>5<5<=86=44}c0af3<62:0;6=u+9`19e30<,0>1jh?4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669b`45<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669b`55<=86=44}c0a3g<62=0;6=u+9`19e34<,0>1jh:4i37b>5<5<=86=44}c0af=<62:0;6=u+9`19e30<,0>1jh;4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669b`05<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669b`15<=86=44}c0a3c<62=0;6=u+9`19e34<,0>1jh64i37b>5<5<=86=44}c0afd<62:0;6=u+9`19e30<,0>1jh74i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669b`g5<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669b`d5<=86=44}c0a<6<62=0;6=u+9`19e34<,0>1jhm4i37b>5<5<=86=44}c0aff<62:0;6=u+9`19e30<,0>1jhj4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669b`c5<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669b``5<=86=44}c0a<2<62=0;6=u+9`19e34<,0>1jk>4i37b>5<5<=86=44}c0af`<62:0;6=u+9`19e30<,0>1jk?4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bc45<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bc55<=86=44}c0a>1jk:4i37b>5<5<=86=44}c0ag4<62:0;6=u+9`19e30<,0>1jk;4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bc05<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bc15<=86=44}c0a=4<62=0;6=u+9`19e34<,0>1jk64i37b>5<5<=86=44}c0ag6<62:0;6=u+9`19e30<,0>1jk74i543>5<5<4>:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bcg5<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bcd5<=86=44}c0a=0<62=0;6=u+9`19e34<,0>1jkm4i37b>5<5<=86=44}c0ag0<62:0;6=u+9`19e30<,0>1jkj4i543>5<5<:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669bcc5<5<:283>5}#1h91m;84$84`>7d5=2B8ik5aa669bc`5<=86=44}c0a=<<62=0;6=u+9`19e34<,0>1==>?;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1ff1=9391<7>t$8c0>d013-3=o7?1:k725<722c9>>4?::m436<722wi>o7m:087>5<7s-3j?7o92:&:2f<5j;?0D>ki;oc40?778;1b>8o50;9j675=831b58750;9l325=831vn?ll8;397?6=8r.2m>4n679'=3e=:k8>7E=jf:lb31<68990e98?:188m7442900c:9<:188yg4e1m0:694?:1y'=d5=i?80(48l:3`11>N4mo1em::51127?l42i3:17d<=3;29?l?213:17b983;29?xd5jj31=7=50;2x o3>90;66g=2283>>i0?:0;66sm2c;e>4<3290;w)7n3;c56>">>j09n?;4H2ge?kg0<3;;<;5f24c94?=n:;91<75f94;94?=h?>91<75rb3``e?7=;3:1o<:;I1fb>hf?=0:<=94i543>5<5<4>:583>5}#1h91m;<4$84`>7d5=2B8ik5aa669556?3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9nnm51;194?6|,0k86l89;%;5g?4e:<1C?hh4n`57>46712c?:=4?::k166<722e<;>4?::a6gec28086=4?{%;b7?g1>2.2:n4=b378L6ca3gk<87??0`9j036=831b>?=50;9l325=831vn?mk5;397?6=8r.2m>4n679'=3e=:jh37E=jf:lb31<689h0e98?:188m7442900c:9<:188yg4dl=0:6>4?:1y'=d5=i?<0(48l:3aa<>N4mo1em::5112`?l2183:17d<=3;29?j10;3:17pl=cb:95?2=83:p(4o<:`41?!?1k38hn55G3dd8jd1328:;h6g=5`83>>o5::0;66g65883>>i0?:0;66sm2bf0>4<4290;w)7n3;c52>">>j09oo64H2ge?kg0<3;;i:0j:?5+97a96fd?3A9nj6`n758245`5<5<:283>5}#1h91m;84$84`>7ee02B8ik5aa66955773`>=<7>5;h017?6=3f=5;|`1gf2=93>1<7>t$8c0>d053-3=o7>1:k11d<722c9>>4?::k:1<<722e<;>4?::a6fb628086=4?{%;b7?g1>2.2:n4=cc:8L6ca3gk<87??139j036=831b>?=50;9l325=831vn?ml2;390?6=8r.2m>4n639'=3e=:jh37E=jf:lb31<68890e?;n:188m7442900e4;6:188k2142900qo6<729q/5l=5a748 <0d2;ii46Fo5=h0;66g=2283>>o>=00;66a87283>>{e:jim6<4<:183!?f;3k=:6*66b81gg><@:om7co84;3353=n91<75rb3aaa?7=<3:1nl7;I1fb>hf?=0:<<94i37b>5<5<=86=44}c0`g`<62:0;6=u+9`19e30<,0>1==?7;h654?6=3`89?7>5;n547?6=3th9oom51;694?6|,0k86l8=;%;5g?4dj11C?hh4n`57>46612c99l4?::k166<722c2944?::m436<722wi>nmk:080>5<7s-3j?7o96:&:2f<5kk20D>ki;oc40?779h1b8;>50;9j675=831d;:=50;9~f7eei3;187>50z&:e6;1/5;m52b`;?M5bn2dj;94>00`8m73f2900e?<<:188m<3>2900c:9<:188yg4dkj0:6>4?:1y'=d5=i?<0(48l:3aa<>N4mo1em::5113`?l2183:17d<=3;29?j10;3:17pl=cc;95?2=83:p(4o<:`41?!?1k38hn55G3dd8jd1328::h6g=5`83>>o5::0;66g65883>>i0?:0;66sm2bf;>4<3290;w)7n3;c57>">>j09oo64H2ge?kg0<3;;=h5f3gg94?=n;ol1<75f94;94?=h?>91<75rb3age?7=<3:1nl7;I1fb>hf?=0:<5<5<=86=44}c0``2<62=0;6=u+9`19e32<,0>1==5;h;6=?6=3f=5;|`1`54=93<1<7>t$2d1>6b33-3=o7ki;oc40?77:81b>9j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::51101?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5l9:1=7850;2x 6`52:n?7)79c;0`f==#1h91m;?4$8;4>:?7=4H2ge?kg0<3;;>>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3aeb?7=>3:1nl7;%;b7?g192.25:46979'045=;2B8ik5aa66955433`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9okk51;494?6|,:l96>j;;%;5g?4dj11/5l=5a738 3;18L6ca3gk<87??249j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7eal3;1:7>50z&0b7<4l=1/5;m52b`;?!?f;3k==6*6968:=3=#<891?6Fc;29?j?7l3:17pl=cga95?0=83:p(>h=:2f7?!?1k38hn55+9`19e37<,03<6479;%627?5<@:om7co84;3362=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;imn7?56;294~"4n;08h95+97a96fd?3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1==<7;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1gcg=93<1<7>t$2d1>6b33-3=o7ki;oc40?77:01b>9j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5110b?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5klk1=7850;2x 6`52:n?7)79c;0`f==#1h91m;?4$8;4>:?7=4H2ge?kg0<3;;>o5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3afg?7=>3:1nl7;%;b7?g192.25:46979'045=;2B8ik5aa669554d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9ohl51;494?6|,:l96>j;;%;5g?4dj11/5l=5a738 3;18L6ca3gk<87??2e9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7eb13;1:7>50z&0b7<4l=1/5;m52b`;?!?f;3k==6*6968:=3=#<891?6Fc;29?j?7l3:17pl=cdg95?0=83:p(>h=:2f7?!?1k38hn55+9`19e37<,03<6479;%627?5<@:om7co84;336c=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;in47?56;294~"4n;08h95+97a96fd?3-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1===?;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1g`b=93<1<7>t$2d1>6b33-3=o7ki;oc40?77;81b>9j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::51111?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5n:21=7850;2x 6`52:n?7)79c;0gb1=#1h91m;?4$8;4>:?7=4H2ge?kg0<3;;?>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3d0=?7=>3:1ih;;%;b7?g192.25:4>8g9'045=;2B8ik5aa66955533`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9j=?51;494?6|,:l96>j;;%;5g?4cn=1/5l=5a738 3;18L6ca3gk<87??349j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7`4?3;1:7>50z&0b7<4l=1/5;m52ed7?!?f;3k==6*6968:=3=#<891?6Fc;29?j?7l3:17pl=f7f95?0=83:p(>h=:2af?!?1k38oj95+9`19e37<,03<6<6i;%627?5<@:om7co84;3372=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;l8:7?56;294~"4n;08h95+97a96a`33-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1===7;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1b6b=93<1<7>t$2d1>6eb3-3=o7ki;oc40?77;01b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5111b?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5n=31=7850;2x 6`52:in7)79c;0gb1=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;;?o5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3d7a?7=>3:1ih;;%;b7?g192.25:4>8g9'045=;2B8ik5aa669555d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9j9h51;494?6|,:l96>mj;%;5g?4cn=1/5l=5a738 3;18L6ca3gk<87??3e9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7`283;1:7>50z&0b7<4kl1/5;m52ed7?!?f;3k==6*69682c;29?j?7l3:17pl=f4395?0=83:p(>h=:2af?!?1k38oj95+9`19e37<,03<6<6i;%627?5<@:om7co84;337c=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;l>>7?56;294~"4n;08oh5+97a96a`33-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1==:?;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1b05=93<1<7>t$2d1>6eb3-3=o7ki;oc40?77<81b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::51161?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5n:l1=7850;2x 6`52:in7)79c;0gb1=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;;8>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3d74?7=>3:1ih;;%;b7?g192.25:4>8g9'045=;2B8ik5aa66955233`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9j9?51;494?6|,:l96>mj;%;5g?4cn=1/5l=5a738 3;18L6ca3gk<87??449j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7`3:3;1:7>50z&0b7<4kl1/5;m52ed7?!?f;3k==6*69682=7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=f5195?0=83:p(>h=:2af?!?1k38oj95+9`19e37<,03<6<6i;%627?5<@:om7co84;3302=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;l?87?56;294~"4n;08oh5+97a96a`33-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1==:7;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1b13=93<1<7>t$2d1>6eb3-3=o7ki;oc40?77<01b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5116b?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5n==1=7850;2x 6`52:in7)79c;0gb1=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;;8o5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3d73:1ih;;%;b7?g192.25:4>8g9'045=;2B8ik5aa669552d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9j9o51;494?6|,:l96>mj;%;5g?4cn=1/5l=5a738 3;18L6ca3gk<87??4e9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7`3j3;1:7>50z&0b7<4kl1/5;m52ed7?!?f;3k==6*69682n7d<;d;29?l45=3:17d<c;29?j?7l3:17pl=f5a95?0=83:p(>h=:2af?!?1k38oj95+9`19e37<,03<6<6i;%627?5<@:om7co84;330c=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;l?h7?56;294~"4n;08oh5+97a96a`33-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1==;?;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1b50=93<1<7>t$2d1>6eb3-3=o7ki;oc40?77=81b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::51171?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5n881=7850;2x 6`52:in7)79c;0gb1=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;;9>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3d23?7=>3:1ih;;%;b7?g192.25:4>8g9'045=;2B8ik5aa66955333`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9j<651;494?6|,:l96>mj;%;5g?4cn=1/5l=5a738 3;18L6ca3gk<87??549j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7`613;1:7>50z&0b7<4kl1/5;m52ed7?!?f;3k==6*69682c;29?j?7l3:17pl=f0c95?0=83:p(>h=:2af?!?1k38oj95+9`19e37<,03<6<6i;%627?5<@:om7co84;3312=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;l:n7?56;294~"4n;08oh5+97a96a`33-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1==;7;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1b4e=93<1<7>t$2d1>6eb3-3=o7ki;oc40?77=01b>9j50;9j673=831b>>o50;9j=53=831b>d;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::5117b?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5n921=7850;2x 6`52:in7)79c;0gb1=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;;9o5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3d3=?7=>3:1ih;;%;b7?g192.25:4>8g9'045=;2B8ik5aa669553d3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9j=o51;494?6|,:l96>mj;%;5g?4cn=1/5l=5a738 3;18L6ca3gk<87??5e9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7`7j3;1:7>50z&0b7<4kl1/5;m52ed7?!?f;3k==6*69682c;29?j?7l3:17pl=f1a95?0=83:p(>h=:2af?!?1k38oj95+9`19e37<,03<6<6i;%627?5<@:om7co84;331c=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;l;h7?56;294~"4n;08oh5+97a96a`33-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1==8?;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1b5c=93<1<7>t$2d1>6eb3-3=o7ki;oc40?77>81b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::51141?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5n8:1=7850;2x 6`52:in7)79c;0gb1=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;;:>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3d25?7=>3:1ih;;%;b7?g192.25:4>8g9'045=;2B8ik5aa66955033`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9j<=51;494?6|,:l96>mj;%;5g?4cn=1/5l=5a738 3;18L6ca3gk<87??649j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f7`6<3;1:7>50z&0b7<4kl1/5;m52ed7?!?f;3k==6*69682c;29?j?7l3:17pl=f0795?0=83:p(>h=:2af?!?1k38oj95+9`19e37<,03<6<6i;%627?5<@:om7co84;3322=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;l::7?56;294~"4n;08oh5+97a96a`33-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1==87;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`1b6g=93<1<7>t$2d1>6b33-3=o7ki;oc40?77>01b>9j50;9j673=831b>>o50;9j=53=831b>">1>025;5+40197>N4mo1em::5114b?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd5n:i1=7850;2x 6`52:n?7)79c;0gb1=#1h91m;?4$8;4>:?7=4H2ge?kg0<3;;:o5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3d5a?7=>3:1ih;;%;b7?g192.25:46979'045==<1C?hh4n`57>461k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>k8i:085>5<7s-9m>7=k4:&:2f<5lo>0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94>07f8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4a=<0:6;4?:1y'7c4=;jo0(48l:3fe0>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328:=i6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2g75>4<1290;w)=i2;1`a>">>j09hk:4$8c0>d063-32;7?7f:&756<43A9nj6`n758243`o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>7ba<2.2m>4n609'=<1=91l0(9?<:29K7``80:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<68>;0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53bg8 <0d2;nm86*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:0246>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:o<36<49:183!5a:39hi6*66b81`c2<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:<:=4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>460<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>k8n:085>5<7s-9m>7=le:&:2f<5lo>0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>0678m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4a>k0:6;4?:1y'7c4=;jo0(48l:3fe0>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328:<:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2g4`>4<1290;w)=i2;1`a>">>j09hk:4$8c0>d063-32;7?7f:&756<43A9nj6`n7582421o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>7ba<2.2m>4n609'=<1=91l0(9?<:29K7``88:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<68>30e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53bg8 <0d2;nm86*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:024e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:o?j6<49:183!5a:39hi6*66b81`c2<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:<:l4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>460k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>k;l:085>5<7s-9m>7=le:&:2f<5lo>0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>06f8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4a=m0:6;4?:1y'7c4=;jo0(48l:3fe0>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328:>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2g7f>4<1290;w)=i2;1`a>">>j09hk:4$8c0>d063-32;7?7f:&756<43A9nj6`n758242`o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>7ba<2.2m>4n609'=<1=91l0(9?<:29K7``70:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<681;0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53bg8 <0d2;nm86*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:02;6>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:o<86<49:183!5a:39hi6*66b81`c2<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:<5=4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>46?<2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>k8::085>5<7s-9m>7=le:&:2f<5lo>0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>0978m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4a9l0:6;4?:1y'7c4=;m>0(48l:3fe0>">i:0j:<5+9859=<0<,=;86>5G3dd8jd1328:3:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2g3e>4<1290;w)=i2;1g0>">>j09hk:4$8c0>d063-32;7766:&756<43A9nj6`n75824=1o6=44i306>5<5<5<l4>:783>5}#;o81?i:4$84`>7ba<2.2m>4n609'=<1=10<0(9?<:29K7``78:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<68130e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53e68 <0d2;nm86*6a28b24=#10=15484$530>6=O;ll0bl9;:02;e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:o9:6<49:183!5a:39o86*66b81`c2<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0:<5l4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>46?k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>k=<:085>5<7s-9m>7=k4:&:2f<5lo>0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94>09f8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4a;=0:6;4?:1y'7c4=;m>0(48l:3fe0>">i:0j:<5+9859=<0<,=;86>5G3dd8jd1328:3i6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2g16>4<1290;w)=i2;1g0>">>j09hk:4$8c0>d063-32;7766:&756<43A9nj6`n75824=`o6=44i306>5<5<5<=4>:783>5}#;o81?i:4$84`>7ba<2.2m>4n609'=<1=10<0(9?<:29K7``60:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<680;0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53e68 <0d2;nm86*6a28b24=#10=15484$530>6=O;ll0bl9;:02:6>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:o886<49:183!5a:39o86*66b81`c2<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0:<4=4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>46><2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>k<::085>5<7s-9m>7=k4:&:2f<5lo>0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94>0878m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg4a:?0:6;4?:1y'7c4=;m>0(48l:3fe0>">i:0j:<5+9859=<0<,=;86>5G3dd8jd1328:2:6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2g04>4<1290;w)=i2;1g0>">>j09hk:4$8c0>d063-32;7766:&756<43A9nj6`n75824<1o6=44i306>5<5<5<54>:783>5}#;o81?i:4$84`>7ba<2.2m>4n609'=<1=10<0(9?<:29K7``68:k10a<722c9>84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5c<2.2:n4=dg68 "39:087E=jf:lb31<68030e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53e68 <0d2;nm86*6a28b24=#10=15484$530>6=O;ll0bl9;:02:e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:o8h6<49:183!5a:39o86*66b81`c2<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0:<4l4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>46>k2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>k5<7s-9m>7=k4:&:2f<5lo>0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94>08f8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg72><0:684>:4y'=d5=;oh0D47k;oc40?771l1b>k?j:088m7`7>3;17d>o5n9=1=75`2d22>4<55;294~">i:0?:55+3g093<><,0>1==7i;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9jk>8:181[4a8>16>h>>:522?xu5m9;1<7;5m9;144>4}|`1`c3=93>1<7>t$8c0>d053-3=o7n0:k11d<722c9>>4?::k:1<<722e<;>4?::a500?280>6<4:{%;b7?5aj2B25i5aa66955g63`8m>l4>::k1b44=931b>k>d5m9>1<7;50;2x ">>j09hk:4H2ge?kg0<3;;m?5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;l9m7>52z\1b7g<5;o;87=ie:p6c752909wS6`632:lm7p}=f3d94?4|V;l9j63=e169056vP=e16897c7<322<6srb3feih;;I1fb>hf?=0:5<5<=86=44}c362<<62<0:68u+9`197cd<@03o7co84;33e1=n:o9;6<44i3d2::k1b4?=931d>h>::088f7c7=3:197>50z&:e6<3>11/?k<578:8 <0d2;nm86F7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xu5n::1<7;5m9?1?kk4}r0e5=<72;qU>k?7;<0f40<4no1v?h<1;296~X5n:;01?k?5;634>{t:o;26=4={_0e5<=::l:>69>>;|q1a53=838pR?k?5:?1a53=00:0qpl=dg;95?2=83:p(4o<:`41?!?1k38oj95G3dd8jd1328:j:6g=5`83>>o5::0;66g65883>>i0?:0;66sm144b>4<2280>w)7n3;1ef>N>1m1em::511c4?l4a;;0:66g=f0c95?=n:o986<44i3d2f?7=3f8n<;4>::`1a50=83?1<7>t$8c0>10?3-9m>7968:&:2f<5lo>0D>ki;oc40?77i11b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w7`4:3:1>vP=f20897c7>39mi6s|2g3b>5<5sW8m=l522d25>6`a3ty9j>=50;0xZ7`4;279i=854128yv4a9k0;6?uQ2g3a?84b8?0?<<5rs3g32?6=:rT9i=84=3g32?>>82wvn?jia;390?6=8r.2m>4n639'=3e=:ml?7E=jf:lb31<68h30e?;n:188m7442900e4;6:188k2142900qo?:6c82>0<62c;39?l4a;<0:66g=f0f95?=h:l:<6<44b3g33?6==3:17ba<2B8ik5aa66955ge3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q1b62=838pR?h<4:?1a51=;oo0q~7}Y:o;h7052z\1b63<5;o;;7:?0:p6c7c2909wS6`602=::7p}=e1594?4|V;o;;63=e159<<646fk2c99l4?::k166<722c2944?::m436<722wi=88l:086>4<2s-3j?7=ib:J:=a=ii>>1==ok;h0e65<622c9j=651;9j6c462800e?h?9;39?j4b810:66l=e1:94?3=83:p(4o<:54;?!5a:3=246*66b81`c2<@:om7co84;33e`=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs3d14?6=:rT9j?>4=3g3k>7:181[4a8116>h>7:2de?xu5n;;1<7;5m9218=>4}r0e4<<72;qU>k>6;<0f4=<3881v?k?8;296~X5m9201?k?8;::4>{zj;nmo7?54;294~">i:0j:?5+97a96a`33A9nj6`n75824d`5<5<:i4>:482>0}#1h91?kl4H8;g?kg0<3;;n=5f2g01>4<2800n?k?9;291?6=8r.2m>4;699'7c4=?020(48l:3fe0>N4mo1em::511`2?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=f3094?4|V;l9>63=e1;97ccvP=f31897c713>;<6s|2g2a>5<5sW8m1663ty9i=750;0xZ7c71279i=758828yxd5lon1=7:50;2x o5=h0;66g=2283>>o>=00;66a87283>>{e9<>o5n9i1=75f2g06>4<02.2:n4=dg68L6ca3gk<87??b59j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v?h=4;296~X5n;>01?k?a;1ea>{t:o:h6=4={_0e4f=::l:j6>hi;|q1b73=838pR?h=5:?1a5g=<9:0q~7}Y:o:o7052z\1a5g<5;o;m7660:~f7bam3;187>50z&:e6;1/5;m52ed7?M5bn2dj;94>0c78m73f2900e?<<:188m<3>2900c:9<:188yg72>o0:684>:4y'=d5=;oh0D47k;oc40?77j?1b>k<9:088m7`7m3;17d>o5n9l1=75`2d2a>4<55;294~">i:0?:55+3g093<><,0>1==l8;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9j?850;0xZ7`5>279i=l53gg8yv4a8l0;6?uQ2g2f?84b8k08jk5rs3d13?6=:rT9j?94=3g3f?2782wx>k>i:181[4a8o16>h>m:522?xu5m9h1<7;5m9h144>4}|`1`c`=93>1<7>t$8c0>d053-3=o7m8:k11d<722c9>>4?::k:1<<722e<;>4?::a5017280>6<4:{%;b7?5aj2B25i5aa66955d>3`8m>54>::k1b46=931b>k<6:088m7`693;17b>d5m9i1<7;50;2x ">>j09hk:4H2ge?kg0<3;;nl5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;l947>52z\1b7><5;o;o7=ie:p6c772909wS6`6d2:lm7p}=f3;94?4|V;l9563=e1a9056vP=e1a897c7k322<6srb3g34?7=<3:1ih;;I1fb>hf?=0:5<5<=86=44}c3623<62<0:68u+9`197cd<@03o7co84;33ff=n:o8i6<44i3d27?7=3`8m>n4>::k1b42=931d>h>=:088f7c7:3:197>50z&:e6<3>11/?k<578:8 <0d2;nm86F;5m981?kk4}r0e56<72;qU>k?<;<0f47<4no1v?h=c;296~X5n;i01?k?2;634>{t:o;?6=4={_0e51=::l:969>>;|q1a54=838pR?k?2:?1a54=00:0qpl=dg495?2=83:p(4o<:`41?!?1k38oj95G3dd8jd1328:ii6g=5`83>>o5::0;66g65883>>i0?:0;66sm1444>4<2280>w)7n3;1ef>N>1m1em::511`e?l4a:m0:66g=f0795?=n:o8n6<44i3d22?7=3f8n<>4>::`1a55=83?1<7>t$8c0>10?3-9m>7968:&:2f<5lo>0D>ki;oc40?77k91b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w7`5l3:1>vP=f3f897c7;39mi6s|2g36>5<5sW8m=8522d20>6`a3ty9j?k50;0xZ7`5m279i==54128yv4a9?0;6?uQ2g35?84b8:0?<<5rs3g37?6=:rT9i==4=3g37?>>82wvn?ji7;390?6=8r.2m>4n639'=3e=:ml?7E=jf:lb31<68j;0e?;n:188m7442900e4;6:188k2142900qo6<729q/5l=547:8 6`521?j7)79c;0gb1=O;ll0bl9;:02`6>o4nl0;66g>i?190;66sm2d1g>4<3290;w)7n3;c56>">>j09hk:4H2ge?kg0<3;;o>5f24c94?=n:;91<75f94;94?=h?>91<75rb3g54?7=;3:17ba<2B8ik5aa66955e33`9mi7>5;h1eb?6=3f22<7>5;|`1a1>=93>1<7>t$8c0>d053-3=o7l5:k11d<722c9>>4?::k:1<<722e<;>4?::a6`0228086=4?{%;b7?2102.8j?475`9'=3e=:ml?7E=jf:lb31<68j<0e>hj:188m6`a2900c57?:188yg4bN4mo1em::511a4?l42i3:17d<=3;29?l?213:17b983;29?xd5m?<1=7=50;2x ">>j09hk:4H2ge?kg0<3;;o55f3gg94?=n;ol1<75`88294?=zj;o?i7?54;294~">i:0j:?5+97a96a`33A9nj6`n75824f?5<5<:283>5}#1h918;64$2d1>=3f3-3=o7la:k0b`<722c8jk4?::m;=5<722wi>h:i:087>5<7s-3j?7o92:&:2f<5lo>0D>ki;oc40?77kk1b>8o50;9j675=831b58750;9l325=831vn?k98;397?6=8r.2m>4;699'7c4=0N4mo1em::511a`?l5am3:17d=if;29?j>>83:17pl=e4295?2=83:p(4o<:`41?!?1k38oj95G3dd8jd1328:hh6g=5`83>>o5::0;66g65883>>i0?:0;66sm2d4:>4<4290;w)7n3;65<>"4n;039l5+97a96a`33A9nj6`n75824fc5<>1==mi;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1a3g=9391<7>t$8c0>10?3-9m>76:a:&:2f<5lo>0D>ki;oc40?77l91b?kk50;9j7c`=831d44>50;9~f7c2:3;187>50z&:e6;1/5;m52ed7?M5bn2dj;94>0e38m73f2900e?<<:188m<3>2900c:9<:188yg4b>k0:6>4?:1y'=d5=h=:97b?!?1k38oj95G3dd8jd1328:o>6g>o4no0;66a79183>>{e:l?86<4;:183!?f;3k=>6*66b81`c2<@:om7co84;33`6=n:i:0?:55+3g09<0g<,0>1==j;;h1ea?6=3`9mj7>5;n::4?6=3th9i8:51;694?6|,0k86l8=;%;5g?4cn=1C?hh4n`57>46c=2c99l4?::k166<722c2944?::m436<722wi>h;9:080>5<7s-3j?7:98:&0b70e48m6`b2900e>hi:188k=?72900qo1<729q/5l=5a708 <0d2;nm86Fm6*66b81`c2<@:om7co84;33`==n;oo1<75f3gd94?=h00:1<75rb3g0b?7=<3:1ih;;I1fb>hf?=0:5<5<=86=44}c0f1=<62:0;6=u+9`1903><,:l965;n;%;5g?4cn=1C?hh4n`57>46ci2c8jh4?::k0bc<722e35=4?::a6`27280?6=4?{%;b7?g1:2.2:n4=dg68L6ca3gk<87??dc9j60g=831b>?=50;9j=0?=831d;:=50;9~f7c213;1?7>50z&:e6<3>11/?k<584c8 <0d2;nm86Fo5=h0;66g=2283>>o>=00;66a87283>>{e:l?j6<4<:183!?f;3>=46*ih;;I1fb>hf?=0:5<5<:583>5}#1h91m;<4$84`>7ba<2B8ik5aa66955ba3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9i8l51;194?6|,0k86987;%1e6?>2i2.2:n4=dg68L6ca3gk<87??e19j7cc=831b?kh50;9l<<6=831vn?k;3;390?6=8r.2m>4n639'=3e=:ml?7E=jf:lb31<68l;0e?;n:188m7442900e4;6:188k2142900qo6<729q/5l=547:8 6`521?j7)79c;0gb1=O;ll0bl9;:02f6>o4nl0;66g>i?190;66sm2d67>4<3290;w)7n3;c56>">>j09hk:4H2ge?kg0<3;;i>5f24c94?=n:;91<75f94;94?=h?>91<75rb3g6`?7=;3:17ba<2B8ik5aa66955c33`9mi7>5;h1eb?6=3f22<7>5;|`1a13=93>1<7>t$8c0>d053-3=o7j5:k11d<722c9>>4?::k:1<<722e<;>4?::a6`3b28086=4?{%;b7?2102.8j?475`9'=3e=:ml?7E=jf:lb31<68l<0e>hj:188m6`a2900c57?:188yg4bN4mo1em::511g4?l42i3:17d<=3;29?l?213:17b983;29?xd5m">>j09hk:4H2ge?kg0<3;;i55f3gg94?=n;ol1<75`88294?=zj;o?;7?54;294~">i:0j:?5+97a96a`33A9nj6`n75824`?5<5<:283>5}#1h918;64$2d1>=3f3-3=o7ja:k0b`<722c8jk4?::m;=5<722wi>h:6:087>5<7s-3j?7o92:&:2f<5lo>0D>ki;oc40?77mk1b>8o50;9j675=831b58750;9l325=831vn?k92;397?6=8r.2m>4;699'7c4=0N4mo1em::511g`?l5am3:17d=if;29?j>>83:17pl=e5c95?2=83:p(4o<:`41?!?1k38oj95G3dd8jd1328:nh6g=5`83>>o5::0;66g65883>>i0?:0;66sm2d40>4<4290;w)7n3;65<>"4n;039l5+97a96a`33A9nj6`n75824`c5<>1==ki;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1a32=9391<7>t$8c0>10?3-9m>76:a:&:2f<5lo>0D>ki;oc40?77n91b?kk50;9j7c`=831d44>50;9~f7c3k3;187>50z&:e6;1/5;m52ed7?M5bn2dj;94>0g38m73f2900e?<<:188m<3>2900c:9<:188yg4b:<0:6>4?:1y'=d5=h=:97b?!?1k38oj95G3dd8jd1328:m>6g>o4no0;66a79183>>{e:l:o6<4;:183!?f;3k=>6*66b81`c2<@:om7co84;33b6=n:i:0?:55+3g09<0g<,0>1==h;;h1ea?6=3`9mj7>5;n::4?6=3th9i<651;694?6|,0k86l8=;%;5g?4cn=1C?hh4n`57>46a=2c99l4?::k166<722c2944?::m436<722wi>h=::080>5<7s-3j?7:98:&0b70g48m6`b2900e>hi:188k=?72900qo1<729q/5l=5a708 <0d2;nm86Fm6*66b81`c2<@:om7co84;33b==n;oo1<75f3gd94?=h00:1<75rb3g2a?7=<3:1ih;;I1fb>hf?=0:5<5<=86=44}c0f72<62:0;6=u+9`1903><,:l965;n;%;5g?4cn=1C?hh4n`57>46ai2c8jh4?::k0bc<722e35=4?::a6`7a280?6=4?{%;b7?g1:2.2:n4=dg68L6ca3gk<87??fc9j60g=831b>?=50;9j=0?=831d;:=50;9~f7c403;1?7>50z&:e6<3>11/?k<584c8 <0d2;nm86Fo5=h0;66g=2283>>o>=00;66a87283>>{e:l926<4<:183!?f;3>=46*ih;;I1fb>hf?=0:5<5<<4>:583>5}#1h91m;<4$84`>7ba<2B8ik5aa66955`a3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9i>o51;194?6|,0k86987;%1e6?>2i2.2:n4=dg68L6ca3gk<87?>019j7cc=831b?kh50;9l<<6=831vn?k=2;390?6=8r.2m>4n639'=3e=:ml?7E=jf:lb31<699;0e?;n:188m7442900e4;6:188k2142900qo6<729q/5l=547:8 6`521?j7)79c;0gb1=O;ll0bl9;:0336>o4nl0;66g>i?190;66sm2d00>4<3290;w)7n3;c56>">>j09hk:4H2ge?kg0<3;:<>5f24c94?=n:;91<75f94;94?=h?>91<75rb3g0g?7=;3:17ba<2B8ik5aa66954633`9mi7>5;h1eb?6=3f22<7>5;|`1a72=93>1<7>t$8c0>d053-3=o7>4?::k:1<<722e<;>4?::a6`4128086=4?{%;b7?2102.8j?475`9'=3e=:ml?7E=jf:lb31<699<0e>hj:188m6`a2900c57?:188yg4b8l0:694?:1y'=d5=i?80(48l:3fe0>N4mo1em::51024?l42i3:17d<=3;29?l?213:17b983;29?xd5m;=1=7=50;2x ">>j09hk:4H2ge?kg0<3;:<55f3gg94?=n;ol1<75`88294?=zj;o;j7?54;294~">i:0j:?5+97a96a`33A9nj6`n758255?5<5<54>:283>5}#1h918;64$2d1>=3f3-3=o7h??:087>5<7s-3j?7o92:&:2f<5lo>0D>ki;oc40?768k1b>8o50;9j675=831b58750;9l325=831vn?k=9;397?6=8r.2m>4;699'7c4=0N4mo1em::5102`?l5am3:17d=if;29?j>>83:17pl=e0395?2=83:p(4o<:`41?!?1k38oj95G3dd8jd1328;;h6g=5`83>>o5::0;66g65883>>i0?:0;66sm2d0b>4<4290;w)7n3;65<>"4n;039l5+97a96a`33A9nj6`n758255c5<>1=<>i;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1a7d=9391<7>t$8c0>10?3-9m>76:a:&:2f<5lo>0D>ki;oc40?76991b?kk50;9j7c`=831d44>50;9~f7c6;3;187>50z&:e6;1/5;m52ed7?M5bn2dj;94>1038m73f2900e?<<:188m<3>2900c:9<:188yg4b:j0:6>4?:1y'=d5=h=:97b?!?1k38oj95G3dd8jd1328;:>6g>o4no0;66a79183>>{e:l;?6<4;:183!?f;3k=>6*66b81`c2<@:om7co84;3256=n:i:0?:55+3g09<0g<,0>1=5;n::4?6=3th9i<;51;694?6|,0k86l8=;%;5g?4cn=1C?hh4n`57>476=2c99l4?::k166<722c2944?::m436<722wi>h5<7s-3j?7:98:&0b71048m6`b2900e>hi:188k=?72900qo1<729q/5l=5a708 <0d2;nm86Fm6*66b81`c2<@:om7co84;325==n;oo1<75f3gd94?=h00:1<75rb3g23?7=<3:1ih;;I1fb>hf?=0:=<74i37b>5<5<=86=44}c0f74<62:0;6=u+9`1903><,:l965;n;%;5g?4cn=1C?hh4n`57>476i2c8jh4?::k0bc<722e35=4?::a6`7>280?6=4?{%;b7?g1:2.2:n4=dg68L6ca3gk<87?>1c9j60g=831b>?=50;9j=0?=831d;:=50;9~f7c4:3;1?7>50z&:e6<3>11/?k<584c8 <0d2;nm86Fo5=h0;66g=2283>>o>=00;66a87283>>{e:l986<4<:183!?f;3>=46*ih;;I1fb>hf?=0:=5<5<:583>5}#1h91m;<4$84`>7ba<2B8ik5aa669547a3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9i>:51;194?6|,0k86987;%1e6?>2i2.2:n4=dg68L6ca3gk<87?>219j7cc=831b?kh50;9l<<6=831vn?k>c;390?6=8r.2m>4n639'=3e=:ml?7E=jf:lb31<69;;0e?;n:188m7442900e4;6:188k2142900qo1<729q/5l=5a708 <0d2;nm86F4?::a6`ee28086=4?{%;b7?g1>2.2:n4=dg68L6ca3gk<87?>229j036=831b>?=50;J712==86=44}c0f3=<62=0;6=u+9`19e34<,0>1=<<;;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1aa0=9391<7>t$8c0>d013-3=o7>4?::m436<722wi>h9l:087>5<7s-3j?7o92:&:2f<5lo>0D>ki;oc40?76:?1b>8o50;9j675=831b58750;9l325=831vn?kkb;397?6=8r.2m>4n679'=3e=:ml?7E=jf:lb31<69;=0e98?:188m7442900c:9<:188yg4b?m0:694?:1y'=d5=i?80(48l:3fe0>N4mo1em::5100;?l42i3:17d<=3;29?l?213:17b983;29?xd5mmi1=7=50;2x o3>90;66g=2283>>i0?:0;66sm2d5f>4<3290;w)7n3;c56>">>j09hk:4H2ge?kg0<3;:>l5f24c94?=n:;91<75f94;94?=h?>91<75rb3gg`?7=;3:1ih;;I1fb>hf?=0:=?l4i543>5<5<:583>5}#1h91m;<4$84`>7ba<2B8ik5aa669544d3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9iik51;194?6|,0k86l89;%;5g?4cn=1C?hh4n`57>475l2c?:=4?::k166<722e<;>4?::a6`>7280?6=4?{%;b7?g1:2.2:n4=dg68L6ca3gk<87?>2d9j60g=831b>?=50;9j=0?=831d;:=50;9~f7ccn3;1?7>50z&:e6?1/5;m52ed7?M5bn2dj;94>13d8m1072900e?<<:188k2142900qo1<729q/5l=5a708 <0d2;nm86F>o5::0;66a87283>>{e:l296<4;:183!?f;3k=>6*66b81`c2<@:om7co84;3277=n:i:0j:;5+97a96a`33A9nj6`n75825655<=86=44}c0f<6<62=0;6=u+9`19e34<,0>1=<=;;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1a`4=9391<7>t$8c0>d013-3=o7>4?::m436<722wi>h8j:087>5<7s-3j?7o92:&:2f<5lo>0D>ki;oc40?76;?1b>8o50;9j675=831b58750;9l325=831vn?klc;397?6=8r.2m>4n679'=3e=:ml?7E=jf:lb31<69:=0e98?:188m7442900c:9<:188yg4b>o0:694?:1y'=d5=i?80(48l:3fe0>N4mo1em::5101;?l42i3:17d<=3;29?l?213:17b983;29?xd5mjn1=7=50;2x o3>90;66g=2283>>i0?:0;66sm2d53>4<3290;w)7n3;c56>">>j09hk:4H2ge?kg0<3;:?l5f24c94?=n:;91<75f94;94?=h?>91<75rb3g`a?7=;3:1ih;;I1fb>hf?=0:=>l4i543>5<5<:583>5}#1h91m;<4$84`>7ba<2B8ik5aa669545d3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9inh51;194?6|,0k86l89;%;5g?4cn=1C?hh4n`57>474l2c?:=4?::k166<722e<;>4?::a6`15280?6=4?{%;b7?g1:2.2:n4=dg68L6ca3gk<87?>3d9j60g=831b>?=50;9j=0?=831d;:=50;9~f7cc83;1?7>50z&:e6?1/5;m52ed7?M5bn2dj;94>12d8m1072900e?<<:188k2142900qo1<729q/5l=5a708 <0d2;nm86F;7d<:a;29?l45;3:17d7:9;29?j10;3:17pl=ee395?5=83:p(4o<:`45?!?1k38oj95G3dd8jd1328;?=6g;6183>>o5::0;66a87283>>{e:l=?6<4;:183!?f;3k=>6*66b81`c2<@:om7co84;3207=n:7?53;294~">i:0j:;5+97a96a`33A9nj6`n75825155<=86=44}c0f30<62=0;6=u+9`19e34<,0>1=<:;;h06e?6=3`89?7>5;h;6=?6=3f=5;|`1aa5=9391<7>t$8c0>d013-3=o7>4?::m436<722wi>h99:087>5<7s-3j?7o92:&:2f<5lo>0D>ki;oc40?768o50;9j675=831b58750;9l325=831vn?kk4;397?6=8r.2m>4n679'=3e=:ml?7E=jf:lb31<69==0e98?:188m7442900c:9<:188yg4b?>0:694?:1y'=d5=i?80(48l:3fe0>N4mo1em::5106;?l42i3:17d<=3;29?l?213:17b983;29?xd5mm?1=7=50;2x o3>90;66g=2283>>i0?:0;66sm2d5:>4<3290;w)7n3;c56>">>j09hk:4H2ge?kg0<3;:8l5f24c94?=n:;91<75f94;94?=h?>91<75rb3gg3?7=;3:1ih;;I1fb>hf?=0:=9l4i543>5<5<:583>5}#1h91m;<4$84`>7ba<2B8ik5aa669542d3`8>m7>5;h017?6=3`3>57>5;n547?6=3th9ii651;194?6|,0k86l89;%;5g?4cn=1C?hh4n`57>473l2c?:=4?::k166<722e<;>4?::a6`1e280?6=4?{%;b7?g1:2.2:n4=dg68L6ca3gk<87?>4d9j60g=831b>?=50;9j=0?=831d;:=50;9~f7cc13;1?7>50z&:e6?1/5;m52ed7?M5bn2dj;94>15d8m1072900e?<<:188k2142900qo6<729q/5l=5a748 <0d2;nm86F31=7850;2x 6`52:in7)79c;3f17=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;:9<5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0g:2?7=>3:18g9'045=;2B8ik5aa66954353`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:i4951;494?6|,:l96>mj;%;5g?7b=;1/5l=5a738 3;18L6ca3gk<87?>529j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4c>03;1:7>50z&0b7<4kl1/5;m51d71?!?f;3k==6*69682c;29?j?7l3:17pl>e8;95?0=83:p(>h=:2af?!?1k3;n9?5+9`19e37<,03<6<6i;%627?5<@:om7co84;3210=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8o2m7?56;294~"4n;08oh5+97a95`353-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=<;9;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2at$2d1>6eb3-3=o7?j539'=d5=i?;0(478:0:e?!26;390D>ki;oc40?76=>1b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5107;?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6m0n1=7850;2x 6`52:in7)79c;3f17=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;:945f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0g6f?7=l3:13:15H574?>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1dc0>4<1290;w)=i2;1g0>">>j0:i8<4$8c0>d063-32;7766:&756<43A9nj6`n7582535o6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4c2:2.2m>4n609'=<1=10<0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2e408 "39:087E=jf:lb31<69??0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?j8982>3<729q/?k<53e68 <0d28o>>6*6a28b24=#10=15484$530>6=O;ll0bl9;:0352>o5>o50;9j=53=831b>">1>025;5+40197>N4mo1em::51044?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6m1k1=7850;2x 6`52:n?7)79c;3f17=#1h91m;?4$8;4>:?7=4H2ge?kg0<3;::55f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0g;f?7=>3:13`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:jh=51;494?6|,:l96>j;;%;5g?7ai=1/5l=5a738 3;18L6ca3gk<87?>6`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4`a>3;1:7>50z&0b7<4kl1/5;m51gc7?!?f;3k==6*69682c;29?j?7l3:17pl>fg595?0=83:p(>h=:2af?!?1k3;mm95+9`19e37<,03<6<6i;%627?5<@:om7co84;322f=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8lmi7?56;294~"4n;08oh5+97a95cg33-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=<8k;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2bc`=93<1<7>t$2d1>6eb3-3=o7?ia59'=d5=i?;0(478:0:e?!26;390D>ki;oc40?76>l1b>9j50;9j673=831b>>o50;9j=53=831b>?0;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::5104e?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd589;1=7850;2x 6`52:in7)79c;3ee1=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;:;=5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb3236?7=>3:18g9'045=;2B8ik5aa66954163`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9<==51;494?6|,:l96>mj;%;5g?7ai=1/5l=5a738 3;18L6ca3gk<87?>739j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f767<3;1:7>50z&0b7<4kl1/5;m51gc7?!?f;3k==6*69682c;29?j?7l3:17pl=01795?0=83:p(>h=:2af?!?1k3;mm95+9`19e37<,03<6<6i;%627?5<@:om7co84;3231=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8lm47?56;294~"4n;08oh5+97a95cg33-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=<9:;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2bc?=93<1<7>t$2d1>6eb3-3=o7?ia59'=d5=i?;0(478:0:e?!26;390D>ki;oc40?76??1b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::51054?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6noh1=7850;2x 6`52:in7)79c;3ee1=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;:;55f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0deg?7=>3:18g9'045=;2B8ik5aa669541>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:jkj51;494?6|,:l96>mj;%;5g?7ai=1/5l=5a738 3;18L6ca3gk<87?>7`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4`f=3;187>50z&:e6;1/5;m51gc7?M5bn2dj;94>16`8m73f2900e?<<:188m<3>2900c:9<:188yg7al:0:6>4?:1y'=d5=i?<0(48l:0db0>N4mo1em::5105`?l2183:17d<=3;29?j10;3:17pl>f`a95?2=83:p(4o<:`41?!?1k3;mm95G3dd8jd1328;>o5::0;66g65883>>i0?:0;66sm1gfb>4<4290;w)7n3;c52>">>j0:jl:4H2ge?kg0<3;:;h5f47294?=n:;91<75`76194?=zj8ljh7?54;294~">i:0j:?5+97a95cg33A9nj6`n758252`5<5<:283>5}#1h91m;84$84`>4`f<2B8ik5aa66954>73`>=<7>5;h017?6=3f=5;|`2bdc=93>1<7>t$8c0>d053-3=o7?ia59K7``>4?::k:1<<722e<;>4?::a5cbd28086=4?{%;b7?g1>2.2:n4>f`68L6ca3gk<87?>839j036=831b>?=50;9l325=831vn4n639'=3e=9ok?7E=jf:lb31<69190e?;n:188m7442900e4;6:188k2142900qo?ide82>6<729q/5l=5a748 <0d28lj86Fo5=h0;66g=2283>>o>=00;66a87283>>{e9onn6<4<:183!?f;3k=:6*66b82bd2<@:om7co84;32<3=n91<75rb0da5?7=<3:1hf?=0:=594i37b>5<5<=86=44}c3e`c<62:0;6=u+9`19e30<,0>1=<67;h654?6=3`89?7>5;n547?6=3th:jo<51;694?6|,0k86l8=;%;5g?7ai=1C?hh4n`57>47?12c99l4?::k166<722c2944?::m436<722wi=kk?:080>5<7s-3j?7o96:&:2f<6nh>0D>ki;oc40?760h1b8;>50;9j675=831d;:=50;9~f4`e;3;187>50z&:e6;1/5;m51gc7?M5bn2dj;94>19`8m73f2900e?<<:188m<3>2900c:9<:188yg7am80:6>4?:1y'=d5=i?<0(48l:0db0>N4mo1em::510:`?l2183:17d<=3;29?j10;3:17pl>fc695?2=83:p(4o<:`41?!?1k3;mm95G3dd8jd1328;3h6g=5`83>>o5::0;66g65883>>i0?:0;66sm1gg1>4<4290;w)7n3;c52>">>j0:jl:4H2ge?kg0<3;:4h5f47294?=n:;91<75`76194?=zj8lj;7?54;294~">i:0j:?5+97a95cg33A9nj6`n75825=`5<5<:283>5}#1h91m;84$84`>4`f<2B8ik5aa66954?73`>=<7>5;h017?6=3f=5;|`2bd>=93>1<7>t$8c0>d053-3=o7?ia59K7``>4?::k:1<<722e<;>4?::a5cb228086=4?{%;b7?g1>2.2:n4>f`68L6ca3gk<87?>939j036=831b>?=50;9l325=831vn4n639'=3e=9ok?7E=jf:lb31<69090e?;n:188m7442900e4;6:188k2142900qo?id782>6<729q/5l=5a748 <0d28lj86Fo5=h0;66g=2283>>o>=00;66a87283>>{e9on<6<4<:183!?f;3k=:6*66b82bd2<@:om7co84;32=3=n91<75rb0dbf?7=<3:1hf?=0:=494i37b>5<5<=86=44}c3e`=<62:0;6=u+9`19e30<,0>1=<77;h654?6=3`89?7>5;n547?6=3th:ji751;194?6|,0k86l89;%;5g?7ai=1C?hh4n`57>47>12c?:=4?::k166<722e<;>4?::a65ec280=6=4?{%1e6?5c<2.2:n4=09g8 "39:087E=jf:lb31<690k0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53bg8 <0d2;:3i6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:03:f>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:9o:6<49:183!5a:39hi6*66b814=c<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:=4m4i36g>5<6=44i31b>5<6=44i33`>5<7e:&:e681/549519d8 1742:1C?hh4n`57>47>l2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>=k6:085>5<7s-9m>7=le:&:2f<581o0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>18g8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg47mh0:6;4?:1y'7c4=;jo0(48l:32;a>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328;2j6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm21ga>4<1290;w)=i2;1`a>">>j09<5k4$8c0>d063-32;7?7f:&756<43A9nj6`n75825d6o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>76?m2.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<69h80e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53bg8 <0d2;:3i6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:03b7>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:9om6<49:183!5a:39hi6*66b814=c<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:=l:4i36g>5<6=44i31b>5<6=44i33`>5<7e:&:e681/549519d8 1742:1C?hh4n`57>47f=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>=k<:085>5<7s-9m>7=le:&:2f<581o0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>1`48m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg47m=0:6;4?:1y'7c4=;jo0(48l:32;a>">i:0j:<5+98595=`<,=;86>5G3dd8jd1328;j;6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm21g6>4<1290;w)=i2;1`a>">>j09<5k4$8c0>d063-32;7?7f:&756<43A9nj6`n75825d>o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>76?m2.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2"39:087E=jf:lb31<69hk0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo1<729q/5l=5a708 <0d2;:3i6F>o5::0;66a87283>>{e:93=6<4;:183!?f;3k=>6*66b814=c<@:om7co84;32ea=n:i:0j:;5+97a965>b3A9nj6`n75825dc5<=86=44}c03=2<62=0;6=u+9`19e34<,07e:J0ac=ii>>1=5;h;6=?6=3f=5;|`14f3=9391<7>t$8c0>d013-3=o7>4?::m436<722wi>=77:087>5<7s-3j?7o92:&:2f<581o0D>ki;oc40?76j81b>8o50;9j675=831b58750;9l325=831vn?>l6;397?6=8r.2m>4n679'=3e=:92n7E=jf:lb31<69k80e98?:188m7442900c:9<:188yg47100:694?:1y'=d5=i?80(48l:32;a>N4mo1em::510`0?l42i3:17d<=3;29?l?213:17b983;29?xd58j=1=7=50;2x o3>90;66g=2283>>i0?:0;66sm21;b>4<3290;w)7n3;c56>">>j09<5k4H2ge?kg0<3;:n85f24c94?=n:;91<75f94;94?=h?>91<75rb32`=6j;I1fb>hf?=0:=o84i543>5<5<:583>5}#1h91m;<4$84`>76?m2B8ik5aa66954d03`8>m7>5;h017?6=3`3>57>5;n547?6=3th947e02c?:=4?::k166<722e<;>4?::a65?d280?6=4?{%;b7?g1:2.2:n4=09g8L6ca3gk<87?>b89j60g=831b>?=50;9j=0?=831d;:=50;9~f76di3;1?7>50z&:e6?1/5;m521:f?M5bn2dj;94>1cc8m1072900e?<<:188k2142900qo1<729q/5l=5a708 <0d2;:3i6F>o5::0;66a87283>>{e:93n6<4;:183!?f;3k=>6*66b814=c<@:om7co84;32fa=n:i:0j:;5+97a965>b3A9nj6`n75825gc5<=86=44}c03=4<62=0;6=u+9`19e34<,07e:J0ac=ii>>1=5;h;6=?6=3f=5;|`14gc=9391<7>t$8c0>d013-3=o7>4?::m436<722wi>=7=:087>5<7s-3j?7o92:&:2f<581o0D>ki;oc40?76k81b>8o50;9j675=831b58750;9l325=831vn?>mf;397?6=8r.2m>4n679'=3e=:92n7E=jf:lb31<69j80e98?:188m7442900c:9<:188yg471:0:694?:1y'=d5=i?80(48l:32;a>N4mo1em::510a0?l42i3:17d<=3;29?l?213:17b983;29?xd58j:1=7=50;2x o3>90;66g=2283>>i0?:0;66sm21;7>4<3290;w)7n3;c56>">>j09<5k4H2ge?kg0<3;:o85f24c94?=n:;91<75f94;94?=h?>91<75rb32`5?7=;3:1=6j;I1fb>hf?=0:=n84i543>5<5<:583>5}#1h91m;<4$84`>76?m2B8ik5aa66954e03`8>m7>5;h017?6=3`3>57>5;n547?6=3th947d02c?:=4?::k166<722e<;>4?::a65e428086=4?{%;b7?g1>2.2:n4=09g8L6ca3gk<87?>c89j036=831b>?=50;9l325=831vn">1>025;5+40197>N4mo1em::510ab?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6moo1=7850;2x 6`52:in7)79c;3fef=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;:oo5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0geb?7=>3:18g9'045=;2B8ik5aa66954ed3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:j=851;494?6|,:l96>mj;%;5g?7bij1/5l=5a738 3;18L6ca3gk<87?>ce9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4`7?3;1:7>50z&0b7<4kl1/5;m51dc`?!?f;3k==6*69682c;29?j?7l3:17pl>f1:95?0=83:p(>h=:2af?!?1k3;nmn5+9`19e37<,03<6<6i;%627?5<@:om7co84;32gc=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8l;57?56;294~"4n;08oh5+97a95`gd3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2b5g=93<1<7>t$2d1>6eb3-3=o7?jab9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?76l81b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::510f1?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6n9i1=7850;2x 6`52:in7)79c;3fef=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;:h>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0d3`?7=>3:18g9'045=;2B8ik5aa66954b33`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:j=>51;494?6|,:l96>mj;%;5g?7bij1/5l=5a738 3;18L6ca3gk<87?>d49j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4`793;1:7>50z&0b7<4kl1/5;m51dc`?!?f;3k==6*69682c;29?j?7l3:17pl>f1095?0=83:p(>h=:2af?!?1k3;nmn5+9`19e37<,03<6<6i;%627?5<@:om7co84;32`2=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8l;?7?56;294~"4n;08oh5+97a95`gd3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2b52=93<1<7>t$2d1>6eb3-3=o7?jab9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?76l01b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::510fb?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6mhn1=7:50;2x o5=h0;66g=2283>>o>=00;66a87283>>{e9lni6<4<:183!?f;3k=:6*66b82ade<@:om7co84;32`f=n91<75rb0ga0?7=<3:1hf?=0:=ij4i37b>5<5<=86=44}c3fa7<62:0;6=u+9`19e30<,0>1=5;n547?6=3th:io;51;694?6|,0k86l8=;%;5g?7bij1C?hh4n`57>47cn2c99l4?::k166<722c2944?::m436<722wi=hk<:080>5<7s-3j?7o96:&:2f<6mhi0D>ki;oc40?76m91b8;>50;9j675=831d;:=50;9~f4ce>3;187>50z&:e6;1/5;m51dc`?M5bn2dj;94>1d38m73f2900e?<<:188m<3>2900c:9<:188yg7bm=0:6>4?:1y'=d5=i?<0(48l:0gbg>N4mo1em::510g1?l2183:17d<=3;29?j10;3:17pl>ec595?2=83:p(4o<:`41?!?1k3;nmn5G3dd8jd1328;n?6g=5`83>>o5::0;66g65883>>i0?:0;66sm1dg6>4<4290;w)7n3;c52>">>j0:ilm4H2ge?kg0<3;:i95f47294?=n:;91<75`76194?=zj8oi47?54;294~">i:0j:?5+97a95`gd3A9nj6`n75825`35<5<:283>5}#1h91m;84$84`>4cfk2B8ik5aa66954c13`>=<7>5;h017?6=3f=5;|`2ag?=93>1<7>t$8c0>d053-3=o7?jab9K7``>4?::k:1<<722e<;>4?::a5`c028086=4?{%;b7?g1>2.2:n4>e`a8L6ca3gk<87?>e99j036=831b>?=50;9l325=831vn4n639'=3e=9lkh7E=jf:lb31<69l30e?;n:188m7442900e4;6:188k2142900qo?je982>6<729q/5l=5a748 <0d28ojo6Fo5=h0;66g=2283>>o>=00;66a87283>>{e9lo26<4<:183!?f;3k=:6*66b82ade<@:om7co84;32af=n91<75rb0gag?7=<3:1hf?=0:=hj4i37b>5<5<=86=44}c3fad<62:0;6=u+9`19e30<,0>1=5;n547?6=3th:ilh51;694?6|,0k86l8=;%;5g?7bij1C?hh4n`57>47bn2c99l4?::k166<722c2944?::m436<722wi=hjl:080>5<7s-3j?7o96:&:2f<6mhi0D>ki;oc40?76n91b8;>50;9j675=831d;:=50;9~f4ce83;187>50z&:e6;1/5;m51dc`?M5bn2dj;94>1g38m73f2900e?<<:188m<3>2900c:9<:188yg7blm0:6>4?:1y'=d5=i?<0(48l:0gbg>N4mo1em::510d1?l2183:17d<=3;29?j10;3:17pl>ec395?2=83:p(4o<:`41?!?1k3;nmn5G3dd8jd1328;m?6g=5`83>>o5::0;66g65883>>i0?:0;66sm1dff>4<4290;w)7n3;c52>">>j0:ilm4H2ge?kg0<3;:j95f47294?=n:;91<75`76194?=zj8oi>7?54;294~">i:0j:?5+97a95`gd3A9nj6`n75825c35<5<:283>5}#1h91m;84$84`>4cfk2B8ik5aa66954`13`>=<7>5;h017?6=3f=5;|`2ag5=93>1<7>t$8c0>d053-3=o7?jab9K7``>4?::k:1<<722e<;>4?::a5`c728086=4?{%;b7?g1>2.2:n4>e`a8L6ca3gk<87?>f99j036=831b>?=50;9l325=831vn4n679'=3e=9lkh7E=jf:lb31<69o30e98?:188m7442900c:9<:188yg7a1m0:6;4?:1y'7c4=;m>0(48l:0gbf>">i:0j:<5+9859=<0<,=;86>5G3dd8jd1328;mm6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1g;b>4<1290;w)=i2;1g0>">>j0:ill4$8c0>d063-32;7766:&756<43A9nj6`n75825cdo6=44i306>5<5<5<:783>5}#;o81?i:4$84`>4`?>2.2m>4n609'=<1=10<0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2f948 "39:087E=jf:lb31<69on0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?i9182>3<729q/?k<53e68 <0d28l3:6*6a28b24=#10=15484$530>6=O;ll0bl9;:03ea>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9o2m6<49:183!5a:39o86*66b82b=0<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0:=kh4i36g>54>a3->:?7=4H2ge?kg0<3;9<=5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0d7`?7=>3:18g9'045=;2B8ik5aa66957663`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:j9k51;494?6|,:l96>mj;%;5g?7bik1/5l=5a738 3;18L6ca3gk<87?=039j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4`3>3;1:7>50z&0b7<4l=1/5;m51dca?!?f;3k==6*6968:=3=#<891?6Fc;29?j?7l3:17pl>f7d95?0=83:p(>h=:2f7?!?1k3;nmo5+9`19e37<,03<6479;%627?5<@:om7co84;3141=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8l397?56;294~"4n;08oh5+97a95`ge3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=?>:;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2b=2=93<1<7>t$2d1>6eb3-3=o7?jac9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?758?1b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::51324?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6n181=7850;2x 6`52:in7)79c;3feg=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;9<55f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0d;5?7=>3:18g9'045=;2B8ik5aa669576>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:j5>51;494?6|,:l96>mj;%;5g?7bik1/5l=5a738 3;18L6ca3gk<87?=0`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4`0n3;1:7>50z&0b7<4kl1/5;m51dca?!?f;3k==6*69682c;29?j?7l3:17pl>f6a95?0=83:p(>h=:2af?!?1k3;nmo5+9`19e37<,03<6<6i;%627?5<@:om7co84;314f=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8l=87?56;294~"4n;08oh5+97a95`ge3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=?>k;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2b33=93<1<7>t$2d1>6eb3-3=o7?jac9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?758l1b>9j50;9j673=831b>>o50;9j=53=831b>">1>0:4k5+40197>N4mo1em::5132e?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6n?=1=7850;2x 6`52:in7)79c;3feg=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;9==5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0d53:18g9'045=;2B8ik5aa66957763`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:j;751;494?6|,:l96>mj;%;5g?7bik1/5l=5a738 3;18L6ca3gk<87?=139j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4`1i3;1:7>50z&0b7<4kl1/5;m51dca?!?f;3k==6*69682c;29?j?7l3:17pl>f7`95?0=83:p(>h=:2af?!?1k3;nmo5+9`19e37<,03<6<6i;%627?5<@:om7co84;3151=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8l2;7?56;294~"4n;08h95+97a95c>13-3j?7o91:&:=2<>1?1/8<=53:J0ac=ii>>1=??:;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`142g=93<1<7>t$2d1>6eb3-3=o7ki;oc40?759?1b>9j50;9j673=831b>>o50;9j=53=831b>8b;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::51334?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd58>i1=7850;2x 6`52:in7)79c;037f=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<3;9=55f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb324`?7=>3:1==l;%;b7?g192.25:4>8g9'045=;2B8ik5aa669577>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th9<:k51;494?6|,:l96>mj;%;5g?47;j1/5l=5a738 3;18L6ca3gk<87?=1`9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f760n3;1:7>50z&0b7<4kl1/5;m5211`?!?f;3k==6*69682c;29?j?7l3:17pl=09295?0=83:p(>h=:2af?!?1k38;?n5+9`19e37<,03<6<6i;%627?5<@:om7co84;315f=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj;:3=7?56;294~"4n;08oh5+97a9655d3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1=??k;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`141b=93<1<7>t$2d1>6b33-3=o77E=jf:lb31<6:8o0e?:k:18K001<3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`14=2=93<1<7>t$2d1>6b33-3=o77E=jf:lb31<6:8l0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53e68 <0d2;:8o6*6a28b24=#10=15484$530>6=O;ll0bl9;:0014>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:9>:6<49:183!5a:39o86*66b8146e<,0k86l8>;%;:3??>>2.?=>4<;I1fb>hf?=0:>??4i36g>5<6=44i31b>5<6=44i33`>5<81/54959848 1742:1C?hh4n`57>445:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>=:<:085>5<7s-9m>7=k4:&:2f<58:i0(4o<:`42?!?>?332:6*;1280?M5bn2dj;94>2318m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg47<=0:6;4?:1y'7c4=;m>0(48l:320g>">i:0j:<5+9859=<0<,=;86>5G3dd8jd13288986g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm2171>4<1290;w)=i2;1g0>">>j09<>m4$8c0>d063-32;7766:&756<43A9nj6`n7582673o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>764k2.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2280=6=4?{%1e6?5dm2.2:n4=02a8 "39:087E=jf:lb31<6:;=0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo3<729q/?k<53bg8 <0d2;:8o6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:001<>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e:9;%;:3?7?n2.?=>4<;I1fb>hf?=0:>?74i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>445i2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi>=8k:085>5<7s-9m>7=le:&:2f<58:i0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>23`8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg47>l0:6;4?:1y'7c4=;jo0(48l:320g>">i:0j:<5+98595=`<,=;86>5G3dd8jd132889o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm214e>4<1290;w)=i2;1`a>">>j09<>m4$8c0>d063-32;7?7f:&756<43A9nj6`n758267bo6=44i306>5<5<5<n4>:783>5}#;o81?i:4$84`>4c5:2.2m>4n609'=<1=10<0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2e308 "39:087E=jf:lb31<6:;l0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?j4282>3<729q/?k<53bg8 <0d28o9>6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:0004>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9l>96<49:183!5a:39hi6*66b82a74<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:>>?4i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>444:2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=h:?:085>5<7s-9m>7=le:&:2f<6m;80(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>2218m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7b;o0:6;4?:1y'7c4=;jo0(48l:0g16>">i:0j:<5+98595=`<,=;86>5G3dd8jd13288886g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1d6`>4<1290;w)=i2;1`a>">>j0:i?<4$8c0>d063-32;7?7f:&756<43A9nj6`n7582663o6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4c5:2.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2e308 "39:087E=jf:lb31<6::=0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?j4882>3<729q/?k<53bg8 <0d28o9>6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:000<>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9l>36<49:183!5a:39hi6*66b82a74<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=0:>>74i36g>5<6=44i31b>5<6=44i33`>5<81/549519d8 1742:1C?hh4n`57>444i2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=h:9:085>5<7s-9m>7=le:&:2f<6m;80(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94>22`8m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7b<<0:6;4?:1y'7c4=;jo0(48l:0g16>">i:0j:<5+98595=`<,=;86>5G3dd8jd132888o6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1d1f>4<1290;w)=i2;1`a>">>j0:i?<4$8c0>d063-32;7?7f:&756<43A9nj6`n758266bo6=44i306>5<5<5<:783>5}#;o81?nk4$84`>4c5:2.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2e308 "39:087E=jf:lb31<6::l0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?91`82>0<629kd;39?l50lj0:66g<7e`95?=h;1=>6<44b2:41?6==3:14$84`>65c=2B8ik5aa66957263`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q03f6=838pR>9l0:?0<23=;oo0q~=8de83>7}Y;>no70=77480bc=z{:=oo7>52z\03ae<5:2<97:?0:p72be2909wS=8dc9>7=122=::7p}<86794?4|V:2<963<8679<<6443:2c99l4?::k166<722c2944?::m436<722wi=;?m:086>4<2s-3j?7=ib:J:=a=ii>>1=?:<;h14g6<622c8;n<51;9j72e62800e>9ka;39?j5???0:66l<86494?3=83:p(4o<:54;?!5a:32<<6*66b807a3<@:om7co84;3101=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs25`7?6=:rT8;n=4=2:42?5am2wx?:m=:181[50k;16?599:2de?xu4?j;1<7;40><18=>4}r14`d<72;qU?:jn;<1;33<3881v>686;296~X40><01>686;::4>{zj:2=i7?54;294~">i:0j:?5+97a976b23A9nj6`n75826135<5<:482>0}#1h91?kl4H8;g?kg0<3;98;5f36a5>4<687;291?6=8r.2m>4;699'7c4=0>:0(48l:21g1>N4mo1em::51364?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<7b494?4|V:=h:63<86597ccvP<7b6896>0?3>;<6s|36f:>5<5sW91663ty84:950;0xZ6>0?2784:958828yxd40?l1=7:50;2x o5=h0;66g=2283>>o>=00;66a87283>>{e9?;o6<4::086!?f;39mn6F69e9me22=9;>27d=8c882>>o4?j21=75f36a4>4<082.2:n4<3e78L6ca3gk<87?=4`9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>9l9;296~X4?j301>688;1ea>{t;>i36=4={_14g==:;1=36>hi;|q03f1=838pR>9l7:?0<2>=<9:0q~=8d983>7}Y;>n370=7798744=z{:2<47>52z\0<2><5:2<47660:~f6>083;187>50z&:e6;1/5;m532f6?M5bn2dj;94>25`8m73f2900e?<<:188m<3>2900c:9<:188yg719l0:684>:4y'=d5=;oh0D47k;oc40?75>o4?m?1=75`395:>4<55;294~">i:0?:55+3g09<26<,0
    =k5:J0ac=ii>>1=?:k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty8;nj50;0xZ61dl2784:753gg8yv50kj0;6?uQ36a`?85??008jk5rs25`f?6=:rT8;nl4=2:4=?2782wx?:j::181[50l<16?596:522?xu40>31<7;40>3144>4}|`0<27=93>1<7>t$8c0>d053-3=o7=>4?::k:1<<722e<;>4?::a537a280>6<4:{%;b7?5aj2B25i5aa669572a3`9::k03f`=931b?:mj:088m61di3;17b=77`82>>d40>k1<7;50;2x ">>j08?i;4H2ge?kg0<3;99=5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:=o<7>52z\03a6<5:27=1f2:lm7p}<7bg94?4|V:=hi63<86c90560i3:1>vP<86c896>0i322<6srb2:46?7=<3:1j:;I1fb>hf?=0:>8?4i37b>5<5<=86=44}c3565<62<0:68u+9`197cd<@03o7co84;3117=n;>n86<44i25g6?7=3`9::k03g`=931d?59m:088f6>0j3:197>50z&:e6<3>11/?k<58628 <0d2:9o96F;40>h1?kk4}r14`7<72;qU?:j=;<1;3g<4no1v>9k1;296~X4?m;01>68b;634>{t;>hm6=4={_14fc=:;1=i69>>;|q0<2d=838pR>68b:?0<2d=00:0qpl<86195?2=83:p(4o<:`41?!?1k398h85G3dd8jd13288>86g=5`83>>o5::0;66g65883>>i0?:0;66sm1702>4<2280>w)7n3;1ef>N>1m1em::51376?l50l>0:66g<7e495?=n;>n?6<44i25aa?7=3f93;n4>::`0<2e=83?1<7>t$8c0>10?3-9m>7680:&:2f<4;m?0D>ki;oc40?75=?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w61c?3:1>vP<7e5896>0k39mi6s|36f5>5<5sW96`a3ty8;i:50;0xZ61c<2784:m54128yv50jl0;6?uQ36`f?85??j0?<<5rs2:4g?6=:rT84:m4=2:4g?>>82wvn>684;390?6=8r.2m>4n639'=3e=;:n>7E=jf:lb31<6:<=0e?;n:188m7442900e4;6:188k2142900qo?;2`82>0<628ef95?=h90836<44b0;14$84`>4>2k2B8ik5aa669573>3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2=;oo0q~?7fc83>7}Y91li70?62980bc=z{82m57>52z\25<4?2=::7p}>93:94?4|V839463>93:9<<651;694?6|,0k86l8=;%;5g?7?=j1C?hh4n`57>442i2c99l4?::k166<722c2944?::m436<722wi=94<2s-3j?7=ib:J:=a=ii>>1=?;m;h3;``<622c:4ih51;9j5=`?2800e<6j0;39?j7>:00:66l>93;94?3=83:p(4o<:54;?!5a:32<<6*66b82<0e<@:om7co84;311f=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs0:ga?6=:rT:4ik4=0;1=?5am2wx=5ji:181[7?lo16=4<6:2de?xu60o21<7;61;318=>4}r3;a5<72;qU=5k?;<3:6<<3881v<7=9;296~X61;301<7=9;::4>{zj839=7?54;294~">i:0j:?5+97a95=3d3A9nj6`n758260b5<5<n4>:482>0}#1h91?kl4H8;g?kg0<3;99h5f19g2>4<7?5;h3;b2<622c:4h=51;9l5<4f2800n<7=a;291?6=8r.2m>4;699'7c4=0>:0(48l:0:6g>N4mo1em::5137e?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}>8d394?4|V82n=63>93c97ccl4a?3:1>vP>8g5894?5i3>;<6s|19g0>5<5sW;3i>52180b>1663ty:5?o50;0xZ4?5i27:5?o58828yxd61;81=7:50;2x o5=h0;66g=2283>>o>=00;66a87283>>{e9=8o6<4::086!?f;39mn6F69e9me22=9;<:7d?7e582>>o60l?1=75f19d5>4<082.2:n4>84a8L6ca3gk<87?=639j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v<6j4;296~X60l>01<7=b;1ea>{t91o>6=4={_3;a0=:908i6>hi;|q27}Y91o=70?62c8744=z{839n7>52z\2=7d<5839n7660:~f4?5;3;187>50z&:e6;1/5;m5197`?M5bn2dj;94>2718m73f2900e?<<:188m<3>2900c:9<:188yg73:l0:684>:4y'=d5=;oh0D47k;oc40?75>=1b=5k7:088m4>b13;17d?7f482>>o60lk1=75`180`>4<55;294~">i:0?:55+3g09<26<,0>1=?8:;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:4h650;0xZ4>b027:5?m53gg8yv7?m00;6?uQ19g:?87>:j08jk5rs0:e1?6=:rT:4k;4=0;1g?2782wx=5kn:181[7?mh16=4;61;i144>4}|`2=72=93>1<7>t$8c0>d053-3=o7?75b9K7``>4?::k:1<<722e<;>4?::a514a280>6<4:{%;b7?5aj2B25i5aa66957003`;3io4>::k2<`e=931b=5h=:088m4>bl3;17b?62e82>>d61;n1<7;50;2x ">>j0:48m4H2ge?kg0<3;9:55f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{82nn7>52z\2<`d<5839h7=ie:p5=cd2909wS?7eb9>5<4c2:lm7p}>8g094?4|V82m>63>93f9056i4;009~w4?5l3:1>vP>93f894?5l322<6srb0;11?7=<3:1hf?=0:>;74i37b>5<5<=86=44}c3775<62<0:68u+9`197cd<@03o7co84;312d=n91on6<44i0:fb?7=3`;3i:4>::k250z&:e6<3>11/?k<58628 <0d282>o6F;61;o1?kk4}r3;ac<72;qU=5ki;<3:6`<4no1v<6j7;296~X60l=01<7=e;634>{t91l;6=4={_3;b5=:908n69>>;|q2=7c=838pR<7=e:?2=7c=00:0qpl>93495?2=83:p(4o<:`41?!?1k3;39n5G3dd8jd13288=o6g=5`83>>o5::0;66g65883>>i0?:0;66sm1512>4<2280>w)7n3;1ef>N>1m1em::5134g?l7?n80:66g>8g195?=n91nh6<44i0:e0?7=3f;2>k4>::`2=7`=83?1<7>t$8c0>10?3-9m>7680:&:2f<60ki;oc40?75>l1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w4>a93:1>vP>8g3894?5n39mi6s|19d0>5<5sW;3j>52180e>6`a3ty:4im50;0xZ4>ck27:5?h54128yv7?n=0;6?uQ19d7?87>:o0?<<5rs0;1b?6=:rT:5?h4=0;1b?>>82wvn<7=7;390?6=8r.2m>4n639'=3e=91?h7E=jf:lb31<6:?l0e?;n:188m7442900e4;6:188k2142900qo?;d882>0<62f;39?l419m0:66g=61395?=h:?>36<44b3474$84`>77482B8ik5aa66957163`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q124c=838pR?8>e:?121>=;oo0q~<91g83>7}Y:?;m70<94980bc=z{;<:h7>52z\124b<5;632?2=::7p}=65:94?4|V;51;694?6|,0k86l8=;%;5g?46;91C?hh4n`57>440:2c99l4?::k166<722c2944?::m436<722wi=9jn:086>4<2s-3j?7=ib:J:=a=ii>>1=?9<;h0547<622c9:==51;9j637d2800e?8?4;39?j41<00:66l=65;94?3=83:p(4o<:54;?!5a:32<<6*66b81566<@:om7co84;3131=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs3436?6=:rT9:=<4=347=?5am2wx>;><:181[418:16>;:6:2de?xu5>8i1<7;5>=318=>4}r0541<72;qU>;>;;<050<<3881v?8;9;296~X5>=301?8;9;::4>{zj;i:0j:?5+97a964573A9nj6`n75826235<5<:482>0}#1h91?kl4H8;g?kg0<3;9;;5f2726>4<4;699'7c4=0>:0(48l:3304>N4mo1em::51354?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=61794?4|V;<;963=65c97ccvP=60`89703i3>;<6s|2724>5<5sW8=<:52276b>1663ty9:9o50;0xZ703i279:9o58828yxd5>=81=7:50;2x o5=h0;66g=2283>>o>=00;66a87283>>{e9=nh6<4::086!?f;39mn6F69e9me22=9;=27d<90982>>o5>931=75f273b>4<082.2:n4=1228L6ca3gk<87?=7`9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v?8?8;296~X5>9201?8;b;1ea>{t:?:26=4={_054<=::?>i6>hi;|q124g=838pR?8>a:?121d=<9:0q~<90`83>7}Y:?:j70<94c8744=z{;52z\121d<5;50z&:e6;1/5;m52013?M5bn2dj;94>26`8m73f2900e?<<:188m<3>2900c:9<:188yg73lm0:684>:4y'=d5=;oh0D47k;oc40?75?j1b>;>l:088m707l3;17d<91882>>o5>9o1=75`276`>4<55;294~">i:0?:55+3g09<26<,0>1=?9k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9:=m50;0xZ707k279:9m53gg8yv418m0;6?uQ272g?841;>j:181[418l16>;:l:522?xu5>=i1<7;5>=i144>4}|`1212=93>1<7>t$8c0>d053-3=o7<>319K7``>4?::k:1<<722e<;>4?::a51bb280>6<4:{%;b7?5aj2B25i5aa669571a3`8=::k1246=931b>;?9:088m70693;17b<94e82>>d5>=n1<7;50;2x ">>j09=>>4H2ge?kg0<3;94=5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;<;j7>52z\125`<5;632c2:lm7p}=60494?4|V;<::63=65f9056vP=65f89703l322<6srb3471?7=<3:1<=?;I1fb>hf?=0:>5?4i37b>5<5<=86=44}c37`c<62<0:68u+9`197cd<@03o7co84;31<7=n:?;96<44i3427?7=3`8=::k1242=931d>;:j:088f703m3:197>50z&:e6<3>11/?k<58628 <0d2;;8<6F881<7;5>=o1?kk4}r0556<72;qU>;?<;<050`<4no1v?8?b;296~X5>9h01?8;e;634>{t:?;?6=4={_0551=::?>n69>>;|q121c=838pR?8;e:?121c=00:0qpl=65495?2=83:p(4o<:`41?!?1k38:?=5G3dd8jd13288386g=5`83>>o5::0;66g65883>>i0?:0;66sm15g3>4<2280>w)7n3;1ef>N>1m1em::513:6?l419<0:66g=60595?=n:?:;6<44i342::`121`=83?1<7>t$8c0>10?3-9m>7680:&:2f<59::0D>ki;oc40?750?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w706=3:1>vP=60789703n39mi6s|2734>5<5sW8==:52276e>6`a3ty9:=>50;0xZ7078279:9h54128yv41910;6?uQ273;?841>82wvn?8;7;390?6=8r.2m>4n639'=3e=:89;7E=jf:lb31<6:1=0e?;n:188m7442900e4;6:188k2142900qo<73d82>6<729q/5l=547:8 6`521?27)79c;04<2=O;ll0bl9;:00;<>o4nl0;66g>i?190;66sm293a>4<4290;w)7n3;65<>"4n;03945+97a962>03A9nj6`n75826=?5<<,:l96:7:;%;5g?400>1C?hh4n`57>44?i2c8jh4?::k0bc<722c?<=4?::m;=5<722wi>5?l:087>5<7s-3j?7:98:&0b7<01<1/5;m526:4?M5bn2dj;94>29`8m6`b2900e>hi:188m1672900c57?:188yg728k0:684>:4y'=d5=;oh0D47k;oc40?750j1b>4=6:088m7>383;17d<63c82>>o51:k1=75`2812>4<7>55;294~">i:0?:55+3g09<35<,0>1=?6k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty95>750;0xZ7?412795><53gg8yv4?<90;6?uQ2963?84>;;08jk5rs3;0f?6=:rT95>l4=3;06?2782wx>4=n:181[4>;h16>4==:522?xu51:;1<7;51:8144>4}|`2154=93?1=7;t$8c0>6`e3A32h6`n75826=ch=:912?!?1k38<4:5G3dd8jd132883j6g>o4no0;66g;0183>>o3880;66a79183>>{t:1=o6=4={_0;3a=::1=j6>hj;|q1<2d=838pR?68b:?1<2g=;ol0q~<7c883>7}Y:1i270<77`8745=z{;252z\1<2e<5;22909wS<7789>6=1f213;7psm257:>4<4290;w)7n3;65<>"4n;03995+97a964573A9nj6`n75826<65<<,:l965;;;%;5g?46;91C?hh4n`57>44>92c8jh4?::k0bc<722e35=4?::a613228086=4?{%;b7?2102.8j?47559'=3e=:89;7E=jf:lb31<6:080e>hj:188m6`a2900c57?:188yg43=:0:6>4?:1y'=d5=h=:977?!?1k38:?=5G3dd8jd132882?6g>o4no0;66a79183>>{e:=?:6<4<:183!?f;3>=46*<=?;I1fb>hf?=0:>4:4i2df>5<5<:283>5}#1h918;64$2d1>=333-3=o7<>319K7``9:k:080>5<7s-3j?7:98:&0b72848m6`b2900e>hi:188k=?72900qo<;4c82>6<729q/5l=547:8 6`521??7)79c;0275=O;ll0bl9;:00:3>o4nl0;66g>i?190;66sm1b`2>4<4290;w)7n3;65<>"4n;03;55+97a95fgf3A9nj6`n75826<>5<<,:l96597;%;5g?7e8=1C?hh4n`57>44>12c8jh4?::k0bc<722e35=4?::af=2=9391<7>t$8c0>10?3-9m>76<6:&:2f44>i2c8jh4?::k0bc<722e35=4?::aefg=9391<7>t$8c0>10?3-9m>7688:&:2f44>j2c8jh4?::k0bc<722e35=4?::a74c628086=4?{%;b7?2102.8j?47589'=3e=;8nm7E=jf:lb31<6:0i0e>hj:188m6`a2900c57?:188yg46ml0:6>4?:1y'=d5=h=:97:?!?1k38:?=5G3dd8jd132882h6g>o4no0;66a79183>>{e:8hi6<4<:183!?f;3>=46*<=?;I1fb>hf?=0:>4k4i2df>5<5<:283>5}#1h918;64$2d1>=3>3-3=o7?i879K7``5<7s-3j?7:98:&0b72`28m6`b2900e>hi:188k=?72900qo?j5582>6<729q/5l=547:8 6`521?27)79c;3f17=O;ll0bl9;:00b5>o4nl0;66g>i?190;66sm15`6>4<3280?w)7n3;1ef>N>1m1em::513c1?l421j0:66g=5`f95?=n:5}#1h918;64$2d1>=3?3-3=o7<>319K7``611>2:ln7p}=5`f94?4|V;?jh63=46;97c`mn4?:3y]60gd348?;44;019~w72003:1>vP=46:897201322<6srb0af5?7=<3:1;4$84`>4efi2B8ik5aa66957g33`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:o5o51;694?6|,0k86987;%1e6?>4=2.2:n4>c6a8L6ca3gk<87?=a49j7cc=831b?kh50;9j056=831d44>50;9~f4d4j3;187>50z&:e6<3>11/?k<58278 <0d28h;86F>83:17pl>ad495?2=83:p(4o<:54;?!5a:32896*66b82ea><@:om7co84;31e2=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;?2i7?54;294~">i:0?:55+3g09<42<,0>1=?o7;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`2e14=93>1<7>t$8c0>10?3-9m>76?3:&:2f<61:>0D>ki;oc40?75i01b?kk50;9j7c`=831b8=>50;9l<<6=831vn4;699'7c4=0990(48l:0;00>N4mo1em::513cb?l5am3:17d=if;29?l2783:17b660;29?xd6i:i1=7:50;2x ">>j0:5>:4H2ge?kg0<3;9mo5f3gg94?=n;ol1<75f41294?=h00:1<75rb0c0=?7=<3:14?4<2B8ik5aa66957gd3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:m>851;694?6|,0k86987;%1e6?>7;2.2:n4>9268L6ca3gk<87?=ae9j7cc=831b?kh50;9j056=831d44>50;9~f4g4;3;187>50z&:e6<3>11/?k<58118 <0d283886F>83:17pl>a2295?2=83:p(4o<:54;?!5a:32;?6*66b82=62<@:om7co84;31ec=n;oo1<75f3gd94?=n<9:1<75`88294?=zj8k9h7?54;294~">i:0?:55+3g09<55<,0>1=?l?;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`2e7g=93>1<7>t$8c0>10?3-9m>76?3:&:2f<61:>0D>ki;oc40?75j81b?kk50;9j7c`=831b8=>50;9l<<6=831vn4;699'7c4=0990(48l:0;00>N4mo1em::513`1?l5am3:17d=if;29?l2783:17b660;29?xd6i;?1=7:50;2x ">>j0:5>:4H2ge?kg0<3;9n>5f3gg94?=n;ol1<75f41294?=h00:1<75rb0c16?7=<3:14?4<2B8ik5aa66957d33`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:m7;2.2:n4>9268L6ca3gk<87?=b49j7cc=831b?kh50;9j056=831d44>50;9~f4g6k3;187>50z&:e6<3>11/?k<58118 <0d283886F>83:17pl>a0;95?2=83:p(4o<:54;?!5a:32;?6*66b82=62<@:om7co84;31f2=n;oo1<75f3gd94?=n<9:1<75`88294?=zj8k:?7?54;294~">i:0?:55+3g09<55<,0>1=?l7;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`2e56=93>1<7>t$8c0>10?3-9m>76?3:&:2f<61:>0D>ki;oc40?75j01b?kk50;9j7c`=831b8=>50;9l<<6=831vn<7id;390?6=8r.2m>4;699'7c4=0990(48l:0;00>N4mo1em::513`b?l5am3:17d=if;29?l2783:17b660;29?xd61ok1=7:50;2x ">>j0:5>:4H2ge?kg0<3;9no5f3gg94?=n;ol1<75f41294?=h00:1<75rb0;e3?7=<3:14?4<2B8ik5aa66957dd3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:5k:51;694?6|,0k86987;%1e6?>7;2.2:n4>9268L6ca3gk<87?=be9j7cc=831b?kh50;9j056=831d44>50;9~f4?a93;187>50z&:e6<3>11/?k<58118 <0d283886F>83:17pl>9dg95?2=83:p(4o<:54;?!5a:32;?6*66b82=62<@:om7co84;31fc=n;oo1<75f3gd94?=n<9:1<75`88294?=zj83nn7?54;294~">i:0?:55+3g09<55<,0>1=?m?;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`2=`>=93>1<7>t$8c0>10?3-9m>76?3:&:2f<61:>0D>ki;oc40?75k81b?kk50;9j7c`=831b8=>50;9l<<6=831vn<7j6;390?6=8r.2m>4;699'7c4=0990(48l:0;00>N4mo1em::513a1?l5am3:17d=if;29?l2783:17b660;29?xd61l91=7:50;2x ">>j0:5>:4H2ge?kg0<3;9o>5f3gg94?=n;ol1<75f41294?=h00:1<75rb0;f4?7=<3:14?4<2B8ik5aa66957e33`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:5ij51;694?6|,0k86987;%1e6?>7;2.2:n4>9268L6ca3gk<87?=c49j7cc=831b?kh50;9j056=831d44>50;9~f4?ci3;187>50z&:e6<3>11/?k<58118 <0d283886F>83:17pl>9e595?2=83:p(4o<:54;?!5a:32;?6*66b82=62<@:om7co84;31g2=n;oo1<75f3gd94?=n<9:1<75`88294?=zj83o?7?54;294~">i:0?:55+3g09<55<,0>1=?m7;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`a<7<62=0;6=u+9`1903><,:l965><;%;5g?g?l2B8ik5aa66957e>3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thi4=4>:583>5}#1h918;64$2d1>=643-3=o7o7d:J0ac=ii>>1=?mn;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`a3`<62=0;6=u+9`1903><,:l965><;%;5g?g?l2B8ik5aa66957ee3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thi;n4>:583>5}#1h918;64$2d1>=643-3=o7o7d:J0ac=ii>>1=?ml;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`a3d<62=0;6=u+9`1903><,:l965><;%;5g?g?l2B8ik5aa66957ec3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3thi;54>:583>5}#1h918;64$2d1>=643-3=o7o7d:J0ac=ii>>1=?mj;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`a33<62=0;6=u+9`1903><,:l965><;%;5g?g?l2B8ik5aa66957ea3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:oo<51;694?6|,0k86987;%1e6?>1k2.2:n4>c`c8L6ca3gk<87?=d19j7cc=831b?kh50;9j056=831d44>50;9~f4d7k3;187>50z&:e6<3>11/?k<587a8 <0d28h;86F>83:17plnc982>1<729q/5l=547:8 6`521N4mo1em::513f1?l5am3:17d=if;29?l2783:17b660;29?xd4:h;1=7:50;2x ">>j08>4;4H2ge?kg0<3;9h>5f3gg94?=n;ol1<75f41294?=h00:1<75rb23f6?7=<3:167cn2B8ik5aa66957b33`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9=om51;694?6|,0k86987;%1e6?1bl2.2:n4=1228L6ca3gk<87?=d49j7cc=831b?kh50;9j056=831d44>50;9~f76303;187>50z&:e6<3>11/?k<57878 <0d2;:8o6F>83:17pl>f9;95?2=83:p(4o<:54;?!5a:3=296*66b82b=0<@:om7co84;31`2=n;oo1<75f3gd94?=n<9:1<75`88294?=zj8o>57?54;294~">i:0?:55+3g093<3<,0>1=?j7;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`2a03=93>1<7>t$8c0>10?3-9m>7965:&:2f<6m<80D>ki;oc40?75l01b?kk50;9j7c`=831b8=>50;9l<<6=831vn>4;699'7c4=0980(48l:20:1>N4mo1em::513fb?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=05c95?3=83:p(4o<:54;?!5a:32;>6*66b8146e<@:om7co84;31`g=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb23e1?7==3:1677=2B8ik5aa66957bd3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2bt$8c0>10?3-9m>79j1:&:2f<6mhh0D>ki;oc40?75lm1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f4ee;3;197>50z&:e6<3>11/?k<584d8 <0d28ijm6F">>j0:n=:4H2ge?kg0<3;9hk5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zjhi=6<4::183!?f;3>=46*i:0?:55+3g093g4<,0
    ?kf:J0ac=ii>>1=?k>;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:j5o51;794?6|,0k86987;%1e6?1e:2.2:n4>f948L6ca3gk<87?=e39j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn4;699'7c4=?k80(48l:0g66>N4mo1em::513g0?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>e4495?3=83:p(4o<:54;?!5a:3=i>6*66b82a04<@:om7co84;31a1=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb20:b?7==3:164>=2B8ik5aa66957c23`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1410=93?1<7>t$8c0>10?3-9m>796c:&:2f<58:i0D>ki;oc40?75m?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f72?j3;197>50z&:e6<3>11/?k<576a8 <0d2;;8<6F">>j09;594H2ge?kg0<3;9i55f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8?;o7?57;09<~">i:08jo5G98f8jd13288n56g=92195?=n>921=75f64695?=n:1nn6<44i3:g3?7=3`83h84>::m1=61=931i>4=8:186>5<7s-3j?7:98:&0b7<0j:1/5;m526:4?M5bn2dj;94>2dc8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo<63483>1<729q/5l=547:8 6`521837E=jf:lb31<6:lh0e>hj:188m6`a2900e9>?:188k=?72900q~<63283>7}Y:09870<63680b`=z{?:36=4={_43<>;51:=1?kh4}r460?6=:rT=99522814>1663ty94ik50;0xZ7>cm2795>;53gg8yv4?l>0;6?uQ29f4?84>;<08jk5rs3:g1?6=:rT94i;4=3;01?2782wx>4=8:181[4>;>16>4=8:9;3?xu51:?1<7;58828yxd6;9;1=7;51;7x 4<55;294~">i:0?:55+3g09<06<,0>1=?kk;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty94ij50;0xZ7>cl2795>853gg8yv4?lk0;6?uQ29fa?84>;?08jk5rs3:ge?6=:rT94io4=3;02?2782wx>5jl:181[4?lj16>4=9:522?xu1==0;6?uQ646897?4>322<6srb0105?7==3;19v*6a280bg=O10n0bl9;:00fa>o50=i1=75f296:>4<::`1t$8c0>10?3-9m>7675:&:2f<5?1=0D>ki;oc40?75mo1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w7>3k3:1>vP=85a897>aj39mi6s|296:>5<5sW83845229da>6`a3ty949650;0xZ7>302794kl54128yv4?5<5sW7?2.2:n4=7958L6ca3gk<87?=f19j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<=45:8:088m7>3>3;17d<74d82>>i08h0:66l=85194?3=83:p(4o<:54;?!5a:32?96*66b813=1<@:om7co84;31b7=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs3:70?6=:rT949:4=3:77?5am2wx>5:8:181[4?<>16>5:<:2de?xu50=<1<7;50=918=>4}r0;0`<72;qU>5:j;<0;06<3881v:>n:181[17i27949=58828yxd6=9<1=7;51;7x n:088m7>3l3;17d<74482>>o50=h1=75`29d5>4<7>55;294~">i:0?:55+3g09<32<,0>1=?h;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty<7=ie:p6=2c2909wS<74e9>6=252:lm7p}=85794?4|V;2?963=8509056a>3:1>vP=8g4897>3:322<6srb00:2?7=<3;18v*6a280bg=O10n0bl9;:00e1>o51:31=75f281a>4<5}#1h918;64$2d1>=003-3=o7<8869K7``2909wS<6389>6<272:ln7p}=92`94?4|V;38n63=95297c`84$84`>67cn2B8ik5aa66957`?3`9mi7>5;h1eb?6=3f22<7>5;|`05<`=9391<7>t$8c0>10?3-9m>76:4:&:2f<499<0D>ki;oc40?75n01b?kk50;9j7c`=831d44>50;9~f73e13;1?7>50z&:e6<3>11/?k<58258 <0d2;;8<6F">>j0:ilm4H2ge?kg0<3;9jo5f3gg94?=n;ol1<75`88294?=zj8ioi7?53;294~">i:0?:55+3g09<61<,0>1=?hl;h1ea?6=3`9mj7>5;n::4?6=3th:n>651;194?6|,0k86987;%1e6?>4?2.2:n4>b168L6ca3gk<87?=fe9j7cc=831b?kh50;9l<<6=831vn>?i2;390?6=8r.2m>4;699'7c4=0;n0(48l:23gb>N4mo1em::513df?l5am3:17d=if;29?l2783:17b660;29?xd6n031=7:50;2x ">>j0:j584H2ge?kg0<3;9jk5f3gg94?=n;ol1<75f41294?=h00:1<75rb06g2?7==3;19v*6a280bg=O10n0bl9;:0134>o5=:n1=75f2404>4<6=4?{%;b7?2102.8j?47309'=3e=:89;7E=jf:lb31<6;9;0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv42;m0;6?uQ241g?842jj08jh5rs3713?6=:rT99?94=37ag?5an2wx>8<6:181[42:016>8ll:523?xu5=;21<7;5=ki18=?4}r06fg<72;qU>8lm;<06ff1<729q/5l=547:8 6`521:87)79c;0;<6=O;ll0bl9;:0136>o4nl0;66g>o3890;66a79183>>{e:1h;6<4;:183!?f;3>=46*56<;I1fb>hf?=0:?==4i2df>5<5<<,:l965><;%;5g?4?0:1C?hh4n`57>457<2c8jh4?::k0bc<722c?<=4?::m;=5<722wi>5oj:087>5<7s-3j?7:98:&0b73178m6`b2900e>hi:188m1672900c57?:188yg4?im0:694?:1y'=d5=h=:920?!?1k3834>5G3dd8jd13289;:6g>o4no0;66g;0183>>i?190;66sm29c`>4<3290;w)7n3;65<>"4n;03<>5+97a96=>43A9nj6`n75827515<5<:583>5}#1h918;64$2d1>=643-3=o7<7829K7``hj:188m6`a2900e9>?:188k=?72900qo:<0d82>6<729q/5l=547:8 6`5219<7)79c;604f=O;ll0bl9;:013e>o4nl0;66g>i?190;66sm29gb>4<4290;w)7n3;65<>"4n;03?:5+97a962>03A9nj6`n758275d5<<,:l965;;;%;5g?400>1C?hh4n`57>457k2c8jh4?::k0bc<722e35=4?::a57c0280?6<4;{%;b7?5aj2B25i5aa669566c3`838l4>::k1<1d=931b>5:l:088k0e22800n?686;290?6=8r.2m>4;699'7c4=0>90(48l:35;3>N4mo1em::5122f?l5am3:17d=if;29?l2783:17b660;29?xu50=k1<7;50><1?kk4}r0;0g<72;qU>5:m;<0;33<4no1v?6;c;296~X50=i01?686;634>{t=j?1<7<,:l96566;%;5g?400>1C?hh4n`57>457n2c8jh4?::k0bc<722e35=4?::a6=>6280>6=4?{%;b7?2102.8j?47609'=3e=:>2<7E=jf:lb31<6;8:0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg72890:684>:4y'=d5=;oh0D47k;oc40?74981b>5:k:088m7>313;17d<74482>>o50=21=75`2956>4<55;294~">i:0?:55+3g09<35<,0>1=>?=;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty949j50;0xZ7>3l2794:;53gg8yv4?<00;6?uQ296:?84??<08jk5rs3:71?6=:rT949;4=3:41?2782wx>5:7:181[4?<116>59::522?xu50>?1<7;50>?144>4}|`2157=93?1=7;t$8c0>6`e3A32h6`n75827450;684?:1y'=d5=h=:953?!?1k38<4:5G3dd8jd13289:86g>o4no0;66g;0183>>o3880;66a79183>>{t:1>?6=4={_0;01=::1=<6>hj;|q1<11=838pR?6;7:?1<21=;ol0q~<74783>7}Y:1>=70<7768745=z{;2?i7>52z\1<1c<5;2<;7:?1:p6=102909wS<7769>6=10213;7psm15de>4<3280?w)7n3;1ef>N>1m1em::51236?l4??>0:66g:c482>>o50>?1=75`2957>4<54;294~">i:0?:55+3g09<7><,0>1=>?9;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q1<21=838pR?687:?1<2>=;oo0q~;l5;296~X2k<16>597:2de?xu50>?1<7;50>218=>4}r0;31<72;qU>59;;<0;3=0<6271??2B8ik5aa669567?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q17}Y:1nh70<62d80bc=z{;2o57>52z\16<4b2=::7p}=93g94?4|V;39i63=93g9<<6hm;I;:`>hf?=0:?<74i3:g3?7=3`83h54>::k15j::088k7?5n3;17o<62g83>0<729q/5l=547:8 6`521<87)79c;04<2=O;ll0bl9;:012e>o4nl0;66g>o3890;66g;0083>>i?190;66s|29f4>5<5sW83h:52280e>6`b3ty94i650;0xZ7>c02795?h53gd8yv4?l?0;6?uQ29f5?84>:o0?<=5rs3:g1?6=:rT94i;4=3;1b?2792wx>4:o16>46<4::086!?f;39mn6F69e9me22=9:;i7d<74482>>o2k<0:66g=85g95?=n:1>o6<44o3:g0?7=3k83h94?:483>5}#1h918;64$2d1>=2f3-3=o7<8869K7``c:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wx>5:::181[4?<<16>5j;:2df?xu2k<0;6?uQ5b7897>c<39mj6s|296f>5<5sW838h5229f7>1673ty949j50;0xZ7>3l2794i:54138yv4?l=0;6?uQ29f7?84?l=035=5r}c0;`4<62<0;6=u+9`1903><,:l965?n;%;5g?400>1C?hh4n`57>456l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a6=b428086=4?{%;b7?2102.8j?47559'=3e=:>2<7E=jf:lb31<6;8o0e>hj:188m6`a2900c57?:188yg42j>0:6>4?:1y'=d5=h=:915?!?1k38:?=5G3dd8jd13289:j6g>o4no0;66a79183>>{e9=h=6<4;:087!?f;39mn6F69e9me22=9:8;7d<:3e82>>o5=;=1=75f240;>4<o57?5;c07`d<72=0;6=u+9`1903><,:l965=<;%;5g?46;91C?hh4n`57>45592c8jh4?::k0bc<722c?<=4?::m;=5<722wx>8=k:181[42;m16>9jn:2df?xu5=;=1<7;58<7;<07`d<3891v?:k9;296~X5{zj8>?n7?54;390~">i:08jo5G98f8jd132899>6g>d3;95?=n9j3<6<44i52b4?7=3f;h5i4>::`2g1<7>t$8c0>10?3-9m>76:3:&:2f<6k>i0D>ki;oc40?74::1b?kk50;9j7c`=831b8=>50;9l<<6=831v{t9j3<6=4={_3`=2=:9j3n6>hi;|q74d6=838pR9>n0:?2g7}Y9j3o70?l9d8;=5=zuk;??o4>:582>1}#1h91?kl4H8;g?kg0<3;8>95f1c:0>4<hj:188m6`a2900e9>?:188k=?72900q~?m8283>7}Y9k2870?nf`80b`=z{8km?7>52z\2ec5<58kmm7=if:p05g72909wS:?a19>5d`f2=:;7p}>ag;94?4|V8km563>agc9<<6hm;I;:`>hf?=0:??84i371=?7=3`8>>:4>::k117>=931b>8=k:088k733=3;17o<:4783>0<729q/5l=547:8 6`521>>7)79c;0275=O;ll0bl9;:0113>o4nl0;66g>o3890;66g;0083>>i?190;66s|240:>5<5sW8>>4522465>6`b3ty99?950;0xZ735?27999853gd8yv42:10;6?uQ240;?842j4=3772?2792wx>8:::181[42<<16>8:9:9;3?x{e9m=46*hf?=0:??64i2df>5<5<:283>5}#1h918;64$2d1>=513-3=o7?m059K7``4<3s-3j?7=ib:J:=a=ii>>1=>4751;9j77??2800c?hi3;39?g55j80;694?:1y'=d5=h=:964?!?1k399585G3dd8jd132899n6g>o4no0;66g;0183>>i?190;66s|33;4>5<5sW995:5233`2>6`b3ty8>4750;0xZ64>1278>o?53gd8yv55110;6?uQ33;;?855j80?<=5rs3de7?6=:rT9jk=4=20a5?>>82wvn>4;699'7c4=0?k0(48l:20:1>N4mo1em::5120`?l5am3:17d=if;29?l2783:17b660;29?xd6<764k2B8ik5aa669564b3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty9<>k50;0xZ764m279<8653gg8yv47<90;6?uQ2163?847=108jk5rs320b?6=:rT9<>h4=326=;7:9;3?x{e:9>h6<4;:183!?f;3>=46*==l;I1fb>hf?=0:??h4i2df>5<5<:584>::k74`2=931d8<:i:088f17283:197>50z&:e6<3>11/?k<58308 <0d2=:ij6F;39<:1?kk4}r63g7<72;qU8=m=;<6215<4no1v9?65;296~X390?019?:0;634>{t<9o?6=4={_63a1=:<8?;69>>;|q751`=838pR9?;f:?7506=00:0qpl>60095?3=93?p(4o<:2da?M?>l2dj;94>3208m6>cn3;17d;<5;39?l26l90:66g<7cf95?=h;1?;6<44b2:65?6==3:165c=2B8ik5aa66956543`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q06kf:?0<07=;oo0q~;<5;296~X2;<16?5;>:2de?xu39m:1<7;40<;18=>4}r14fa<72;qU?:lk;<1;14<3881v>6:0;296~X40<:01>6:1;::4>{zj:2;o7?55;294~">i:0?:55+3g093`?<,0
    =k5:J0ac=ii>>1=>=;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8;kj51;794?6|,0k86987;%1e6?>6j2.2:n4<3e78L6ca3gk<87?<349j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>9ia;391?6=8r.2m>4;699'7c4=08h0(48l:21g1>N4mo1em::51215?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<7d595?3=83:p(4o<:54;?!5a:32:n6*66b807a3<@:om7co84;3072=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb25f5?7==3:165c=2B8ik5aa669565?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`21g4=93?1=7;t$8c0>6`e3A32h6`n758276?4851;9j77gb2800c>h=:96e?!?1k399585G3dd8jd132898m6g>o4no0;66g;0183>>o3880;66a79183>>{t:ol86=4={_0eb6=:;;h;6>hj;|q0770=838pR>==6:?06g6=;ol0q~==9783>7}Y;;3=70==b18745=z{:8ji7>52z\06dc<5:8i<7:?1:p77ga2909wS==ag9>77d7213;7psm30`6>4<2290;w)7n3;65<>"4n;05<5<::k05c6=931d>kh9:088f67fl3:197>50z&:e6<3>11/?k<58718 <0d2:;;96F;49hn1?kk4}r1200<72;qU?<::;<12ea<4no1v>?i6;296~X49o<01>?nd;634>{t;8l;6=4={_12b5=:;8ko69>>;|q1bc0=838pR?hi6:?05db=00:0qpl>49a95?3=93?p(4o<:2da?M?>l2dj;94>32g8m4c5;3;17d>o58:n1=75f2176>4<:7?5;c0312<72<0;6=u+9`1903><,:l965:i;%;5g?47;j1C?hh4n`57>454n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p5`442909wS?j229>65302:ln7p}=0bf94?4|V;:hh63=04597c`vP=04789762?3>;=6s|2175>5<5sW8;9;522174>=?73twi=k;m:086>5<7s-3j?7:98:&0b7<0n01/5;m51dca?M5bn2dj;94>3528m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?;5682>0<62f8595?=h9l8=6<44b0d67?6==3:14cfj2B8ik5aa66956253`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2b7}Y9loi70?i5280bc=z{8l2h7>52z\2b?7:?0:p5c?02909wS?i969>5c342=::7p}>e3494?4|V8o9:63>f419<<6c`c8L6ca3gk<87?<429j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn4;699'7c4=?j20(48l:0abe>N4mo1em::51267?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>d`c95?3=83:p(4o<:54;?!5a:3=h46*66b82gdg<@:om7co84;3000=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0fb4efi2B8ik5aa66956213`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2`d0=93?1<7>t$8c0>10?3-9m>79l8:&:2f<6khk0D>ki;oc40?74<>1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f4bf<3;197>50z&:e6<3>11/?k<57b:8 <0d28ijm6F37d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd6lh81=7;50;2x ">>j0:olo4H2ge?kg0<3;8845f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8nj<7?55;294~">i:0?:55+3g093f><,0>1=>:n;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:h4k51;794?6|,0k86987;%1e6?1d02.2:n4>c`c8L6ca3gk<87?<4c9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn4;699'7c4=?j20(48l:0abe>N4mo1em::5126`?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>d8c95?3=83:p(4o<:54;?!5a:3=h46*66b82gdg<@:om7co84;300a=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0f:4efi2B8ik5aa669562b3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2`<0=93?1<7>t$8c0>10?3-9m>79l8:&:2f<6khk0D>ki;oc40?7450;9j057=831d44>50;9~f4b><3;197>50z&:e6<3>11/?k<57b:8 <0d28ijm6F">>j0:olo4H2ge?kg0<3;89<5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8n2<7?55;294~">i:0?:55+3g093f><,0>1=>;=;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:h5k51;794?6|,0k86987;%1e6?1d02.2:n4>c`c8L6ca3gk<87?<529j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn4;699'7c4=?j20(48l:0abe>N4mo1em::51277?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>d9c95?3=83:p(4o<:54;?!5a:3=h46*66b82gdg<@:om7co84;3010=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0f;4efi2B8ik5aa66956313`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2`=0=93?1<7>t$8c0>10?3-9m>79l8:&:2f<6khk0D>ki;oc40?74=>1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f4b?<3;197>50z&:e6<3>11/?k<57b:8 <0d28ijm6F">>j0:olo4H2ge?kg0<3;8945f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8n3<7?55;294~">i:0?:55+3g093f><,0>1=>;n;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:h:k51;794?6|,0k86987;%1e6?1d02.2:n4>c`c8L6ca3gk<87?<5c9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn4;699'7c4=?j20(48l:0abe>N4mo1em::5127`?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>d6c95?3=83:p(4o<:54;?!5a:3=h46*66b82gdg<@:om7co84;301a=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0f44efi2B8ik5aa669563b3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2`20=93?1<7>t$8c0>10?3-9m>79l8:&:2f<6khk0D>ki;oc40?74=o1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f4b0<3;197>50z&:e6<3>11/?k<57b:8 <0d28ijm6F81=7;50;2x ">>j0:olo4H2ge?kg0<3;8:<5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8i;47?55;294~">i:0?:55+3g093f><,0>1=>8=;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:o=851;794?6|,0k86987;%1e6?1d02.2:n4>b168L6ca3gk<87?<629j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn4;699'7c4=?j20(48l:0`30>N4mo1em::51247?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>c1095?3=83:p(4o<:54;?!5a:3=h46*66b82f52<@:om7co84;3020=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0a34?7==3:14d7<2B8ik5aa66956013`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2fcc=93?1<7>t$8c0>10?3-9m>79l8:&:2f<6j9>0D>ki;oc40?74>>1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f4dak3;197>50z&:e6<3>11/?k<57b:8 <0d28h;86F">>j0:n=:4H2ge?kg0<3;8:45f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8hm47?55;294~">i:0?:55+3g093f><,0>1=>8n;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:nk851;794?6|,0k86987;%1e6?1d02.2:n4>b168L6ca3gk<87?<6c9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn4;699'7c4=?j20(48l:0`30>N4mo1em::5124`?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>bg095?3=83:p(4o<:54;?!5a:3=h46*66b82f52<@:om7co84;302a=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0`e4?7==3:14d7<2B8ik5aa669560b3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2f`c=93?1<7>t$8c0>10?3-9m>79l8:&:2f<6j9>0D>ki;oc40?74>o1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f4dbk3;197>50z&:e6<3>11/?k<57b:8 <0d28h;86F">>j0:n=:4H2ge?kg0<3;8;<5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8hn47?55;294~">i:0?:55+3g093f><,0>1=>9=;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:nh851;794?6|,0k86987;%1e6?1d02.2:n4>b168L6ca3gk<87?<729j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn4;699'7c4=?j20(48l:0`30>N4mo1em::51257?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>bd095?3=83:p(4o<:54;?!5a:3=h46*66b82f52<@:om7co84;3030=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0`f4?7==3:14d7<2B8ik5aa66956113`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2fac=93?1<7>t$8c0>10?3-9m>79l8:&:2f<6j9>0D>ki;oc40?74?>1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f4dck3;197>50z&:e6<3>11/?k<57b:8 <0d28h;86F">>j0:n=:4H2ge?kg0<3;8;45f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8ho47?55;294~">i:0?:55+3g093f><,0>1=>9n;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:ni851;794?6|,0k86987;%1e6?1d02.2:n4>b168L6ca3gk<87?<7c9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn4;699'7c4=?j20(48l:0`30>N4mo1em::5125`?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>be095?3=83:p(4o<:54;?!5a:3=h46*66b82f52<@:om7co84;303a=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0`g4?7==3:14d7<2B8ik5aa669561b3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2ffc=93?1<7>t$8c0>10?3-9m>79l8:&:2f<6j9>0D>ki;oc40?74?o1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f4ddk3;197>50z&:e6<3>11/?k<57b:8 <0d28h;86F;1=7;50;2x ">>j0:olo4H2ge?kg0<3;84<5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8hhn7?55;294~">i:0?:55+3g093a6<,0>1=>6=;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th::?;51;795?3|,0k86>hm;I;:`>hf?=0:?5=4i2426?7=3`9==:4>::k025e=931b?;>m:088k6?413;17o=63883>0<729q/5l=547:8 6`521>?7)79c;10`0=O;ll0bl9;:01;0>o4nl0;66g>o3890;66g;0083>>i?190;66s|3731>5<5sW9==?52381:>6`b3ty8:<950;0xZ606?2785>753gd8yv518j0;6?uQ372`?85>;00?<=5rs243f?6=:rT8:=l4=2;0=?2792wx?4=6:181[5>;016?4=6:9;3?x{e9?8?6<4::086!?f;39mn6F69e9me22=9:2>7d=90`82>>o4>9=1=75f3732>4<950;794?6|,0k86987;%1e6?>3<2.2:n4<3e78L6ca3gk<87?<879j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>8?a;296~X4>9k01>7<7;1ea>{t;?:<6=4={_1542=:;09<6>hi;|q0247=838pR>8>1:?0=61=<9:0q~=91583>7}Y;?;?70=6368744=z{:38;7>52z\0=61<5:38;7660:~f156:3;1?7>50z&:e6<3>11/?k<58248 <0d2=9:<6F?i3;39?l56n?0:66g<1g295?=h;8ij6<44b23`3?6=<3:1=4$84`>677=2B8ik5aa66956>>3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty8=k=50;0xZ67a;278=n953gg8yv56n?0;6?uQ30d5?856k>08jk5rs23e4?6=:rT8=k>4=23`3?2782wx?>o6n0n1=75f1g;4>4<<,:l965=<;%;5g?7bik1C?hh4n`57>45?j2c8jh4?::k0bc<722c?<=4?::m;=5<722wx=k7n:181[7a1h16=k8k:2df?xu6n0n1<7;6n?n1?kh4}r3e=2<72;qU=k78;<3e2a<3891v:01{zj8>?i7?55;391~">i:08jo5G98f8jd132893o6g=b3195?=n9ji26<44i0f1=?7=3`;h454>::m2g`4=931i=nk<:186>5<7s-3j?7:98:&0b711/5;m51bcb?M5bn2dj;94>39f8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~7}Y:k8870?le280b`=z{8ih57>52z\2gf?<58in?7=if:p5a4>2909wS?k289>5fc42=:;7p}>c9:94?4|V8i3463>cd1905747919~yg73;l0:684>:4y'=d5=;oh0D47k;oc40?740l1b>o<<:088m4d5;3;17d?m8282>>o6il>1=75`1c1`>4<55;294~">i:0?:55+3g09<3><,0>1=>6i;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9n?=50;0xZ7d5;27:n>j53gg8yv7e::0;6?uQ1c00?87e;m08jk5rs0`;7?6=:rT:n5=4=0`0`?2782wx=lk;:181[7fm=16=o=k:522?xu6j:i1<7;6j:n144>4}|`2g`2=93?1<7>t$8c0>10?3-9m>7971:&:2f<6khk0D>ki;oc40?74191b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f4d4m3;197>50z&:e6<3>11/?k<57938 <0d28h;86F?;5;39?l56n?0:66g<1g195?=h:ol<6<44b23a5?6=<3:1677=2B8ik5aa66956?43`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty8=9;50;0xZ673=278=o?53gg8yv56n?0;6?uQ30d5?856j808jk5rs23e7?6=:rT8=k=4=23a5?2782wx>kh8:181[4an>16?:9;3?x{e9=?36<4;:087!?f;39mn6F69e9me22=9:3?7d?jec82>>o6n0n1=75f1g;b>4<<,:l96567;%;5g?7bik1C?hh4n`57>45>=2c8jh4?::k0bc<722c?<=4?::m;=5<722wx=hkm:181[7bmk16=k;8:2df?xu6n0n1<7;6n<=1?kh4}r3e=d<72;qU=k7n;<3e12<3891v{zj8?i:08jo5G98f8jd132892:6g<15795?=n;8l=6<44i23e7?7=3`9:m>4>::m1bc3=931i?5<7s-3j?7:98:&0b73858m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=>4483>7}Y;8>>70=>ac80b`=z{:;m:7>52z\05c0<5:;jn7=if:p74`42909wS=>f29>74ge2=:;7p}<1`194?4|V:;j?63<1``9057:4y'=d5=;oh0D47k;oc40?74111b=hkm:088m4`>l3;17d?i9`82>>o6n=31=75`1d06>4<=7>55;294~">i:0?:55+3g09<=3<,0>1=>76;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:ihl50;0xZ4cbj27:j8?53gg8yv7a1m0;6?uQ1g;g?87a=808jk5rs0d:e?6=:rT:j4o4=0d65?2782wx=k:6:181[7a<016=k;>:522?xu6m;?1<7;6n<;144>4}|`2242=93?1=7;t$8c0>6`e3A32h6`n758276:b;39?g5?=k0;684?:1y'=d5=h=:9:2?!?1k398h85G3dd8jd132892n6g>o4no0;66g;0183>>o3880;66a79183>>{t;k2o6=4={_1ahj;|q0<71=838pR>6=7:?0<0d=;ol0q~=8ec83>7}Y;>oi70=75c8745=z{:3897>52z\0=63<5:2>n7:?1:p7=3e2909wS=75c9>7=3e213;7psm1736>4<2280>w)7n3;1ef>N>1m1em::512;`?l50mk0:66g<7eg95?=n;09>6<44i2:g`?7=3f939n4>::`0<0e=83?1<7>t$8c0>10?3-9m>797e:&:2f<4;m?0D>ki;oc40?741m1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w61bj3:1>vP<7d`896>2k39mi6s|36ff>5<5sW96`a3ty85>;50;0xZ6?4=27848m54128yv5?lm0;6?uQ39fg?85?=j0?<<5rs2:6g?6=:rT848m4=2:6g?>>82wvn>6:d;391?6=8r.2m>4;699'7c4=?l30(48l:21g1>N4mo1em::512;f?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17plo4k;91=75f3b3b>4<6=4?{%;b7?2102.8j?48bc9'=3e=;:n?7E=jf:lb31<6;h;0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5d::0;6?uQ3b00?824jj08jh5rs2a2e?6=:rT8oll:523?xu4>831<7;3;ki18=?4}r60fg<72;qU8>lm;<60ff0<62?i3;39?l56n?0:66g>29295?=h;8k:6<44b23b6?6==3:1677=2B8ik5aa66956g43`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q1f75=838pR?l=3:?05d4=;oo0q~=>f283>7}Y;8l870=>a380bc=z{:;m:7>52z\05c0<5:;j>7:?0:p57>72909wS?=819>74g52=::7p}<1`394?4|V:;j=63<1`09<<6hm;I;:`>hf?=0:?l:4i3de0?7=3`99m;4>::k06dc=931d??ol:088f64fl3:187>50z&:e6<3>11/?k<583f8 <0d2:8296F7d=ie;29?l5an3:17d:?0;29?j>>83:17p}=fg694?4|V;lm863<2`f97ccvP<2`g8964fl3>;<6s|33c`>5<5sW99mn5233cg>=?73twi=8l?:087>4<3s-3j?7=ib:J:=a=ii>>1=>o9;h0eb1<622c8>l851;9j77gb2800c>h=:916?!?1k399585G3dd8jd13289j;6g>o4no0;66g;0183>>i?190;66s|2gd7>5<5sW8mj95233cb>6`b3ty8>l850;0xZ64f>278>lo53gd8yv55il0;6?uQ33cf?855ih0?<=5rs20b=?6=:rT8>l74=20be?>>82wvn<:m2;390?7=4;97:088m70013;17b<;3682>>d5=0=1<7:50;2x ">>j09=>>4H2ge?kg0<3;8m45f3gg94?=n;ol1<75f41294?=h00:1<75rs344f?6=:rT9::l4=37:3?5am2wx>;97:181[41?116>878:2de?xu5>>31<7;5=0=18=>4}r0772<72;qU>9=8;<06=21<62=q/5l=53g`8L;d;39?l47=<0:66a=04195?=e:9??6=4;:183!?f;3>=46*==l;I1fb>hf?=0:?ll4i2df>5<5<;d;296~X58=n01?>:4;1eb>{t:9?>6=4={_0310=::9??69>?;|q1405=838pR?>:3:?1402=00:0qpl>49c95?2=93>p(4o<:2da?M?>l2dj;94>3`a8m4c5<3;17d>o584<=7>54;294~">i:0?:55+3g09<63<,0>1=>ok;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q2a72=838pR7}Y:9>o7097>52z\1403<5;:>=7:?0:p65372909wS6536213;7psm15:e>4<2280>w)7n3;1ef>N>1m1em::512cf?l4><80:66g=b3195?=n<;::`14=5=83?1<7>t$8c0>10?3-9m>76=f:&:2f<58:i0D>ki;oc40?74io1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w7?393:1>vP=9538976?;39mi6s|2c00>5<5sW8i>>5221:0>6`a3ty?>;j50;0xZ141l279<5=54128yv27i90;6?uQ41c3?8470:0?<<5rs32;6?6=:rT9<5<4=32;7?>>82wvn<::9;391?7==r.2m>4o<<:088m141l3;17d:?a182>>i6m=n1=75m1d6f>5<2290;w)7n3;65<>"4n;03>h5+97a95`453A9nj6`n75827g75<5<4:>;<3f0`<4nl1v?l=3;296~X5j;901{t<;n69>?;|q74d6=838pR9>n0:?2a1c=<9;0q~?j4e83>7}Y9l>o70?j4d8;=5=zuk;8<54>:582>1}#1h91?kl4H8;g?kg0<3;8n?5f1baf>4<::`2gf4=83>1<7>t$8c0>10?3-9m>76;7:&:2f<6khk0D>ki;oc40?74j:1b?kk50;9j7c`=831b8=>50;9l<<6=831v{t9jn;6=4={_3``5=:9ji96>hi;|q2gf`=838pR=16=nm=:9;3?x{e9=>h6<4::086!?f;39mn6F69e9me22=9:h?7d894;39?l7dl80:66g>d3;95?=n9jn96<44o0a`3?7=3k;hnh4?:483>5}#1h918;64$2d1>=173-3=o7?la`9K7``vP>ce3894eem39mj6s|1e0:>5<5sW;o>4521b`f>1673ty:oi<50;0xZ4ec:27:ook54138yv7dk>0;6?uQ1ba4?87djl035=5r}c3056<62=0:69u+9`197cd<@03o7co84;30f3=n9k836<44i0`1e?7=3`;i>44>::m52c<622h:n3?2.2:n4>b168L6ca3gk<87?50;9~w4d503:1>vP>b3:894d6k39mi6s|1c0b>5<5sW;i>l521c3`>6`a3ty:n?750;0xZ4d5127:nvP96g9>5g7d213;7psm151`>4<2280>w)7n3;1ef>N>1m1em::512`;?l01n3;17d?m2c82>>o6j191=75f1c0`>4<<,:l9659?;%;5g?7e8=1C?hh4n`57>45e12c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p23`=838pR;8i;<3a5=<4nl1v8;1eb>{t9k286=4={_3a<6=:9k;369>?;|q2f7e=838pR=<9;0q~?m2083>7}Y9k8:70?m198;=5=zuk;><94>:582>1}#1h91?kl4H8;g?kg0<3;8nl5f2`c0>4<2<7E=jf:lb31<6;kh0e>hj:188m6`a2900e9>?:188k=?72900q~7}Y:hk870<7c980b`=z{=8=h7>52z\763b<5;2h47=if:p070?2909wS:=699>6=e?2=:;7p}=8b594?4|V;2h;63=8b:9<<6hm;I;:`>hf?=0:?om4i52`4?7=3`>:n54>::k75g1=931b85783>0<729q/5l=547:8 6`5219;7)79c;63fc=O;ll0bl9;:01a`>o4nl0;66g>o3890;66g;0083>>i?190;66s|41a3>5<5sW>;o=524075>6`b3ty?=o650;0xZ17e027?=8853gd8yv26j>0;6?uQ40`4?826=?0?<=5rs53a=?6=:rT?=o74=5362?2792wx8<;::181[26=<168<;9:9;3?x{e9?;;6<4::086!?f;39mn6F69e9me22=9:hn7d;j2;39?l5e0m0:66g<83595?=n=:?1=75`3921>4<55;294~">i:0?:55+3g09<6`<,0
    =k5:J0ac=ii>>1=>li;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty>i?4?:3y]1`4<5:2;?7=ie:p7g>c2909wS=m8e9>7=642:lm7p}<83594?4|V:29;63<811905652z\670=:;1:869>>;|q0<54=838pR>6?2:?0<55=00:0qpl>4gf95?>=;3kp(4o<:2da?M?>l2dj;94>3b28m3g02800e9>n2;39?l27i90:66g;0`395?=n:h3o6<44i505`?7=3`>;m>4>::m13=2=931i>:6::187>5<7s-3j?7:98:&0b73b38m6`b2900e>hi:188m1672900c57?:188yg400?0;694?:1y'=d5=h=:96:?M5bn2dj;94>3b08m6`b2900e>hi:188m1672900c57?:188yg400;0;694?:1y'=d5=h=:9:0?M5bn2dj;94>3b18m6`b2900e>hi:188m1672900c57?:188yv0f?3:1>vP9a69>62>22=:;7p};0`094?4|V=:j>63=79497cc;m=4?:3y]05g7348<4;4vP;0`38971?>3>;<6s|2`;g>5<5sW8j5i5226:1>6`b3ty?>;j50;0xZ141l279;5<53gd8yv27i:0;6?uQ41c0?8400;0?<=5rs35;0?6=:rT9;5:4=35;1?>>82wx>:69:1818400<08jh5226:5>=?73ty9;5<50;0x971?=39mj63=7909<<64h=:970?!?1k38<:k5G3dd8jd13289h:6g>o4no0;66g;0183>>i?190;66s|264`>5<5sW8<:n5226:0>6`b3ty?>;650;0xZ1410279;5=53gd8yv27i=0;6?uQ41c7?8400:0?<=5rs7c4>5<5sW4i2.2:n4<3e78L6ca3gk<87?48oj:088m73fl3;17d<:9b82>>i5=1=1=75m24:4>5<2290;w)7n3;65<>"4n;03>?5+97a964573A9nj6`n75827f?5<5<8oi;<06<2<4nl1v?;ne;296~X5=ho01?;77;1eb>{t:?;|q117}Y:<2<70<:868;=5=zuk;?h=4>:482>0}#1h91?kl4H8;g?kg0<3;8ol5f24ce>4<e2800n?;7b;291?6=8r.2m>4;699'7c4=0>:0(48l:3304>N4mo1em::512aa?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=5`d94?4|V;?jj63=59`97ccmh4?:3y]60gb348>4o4vP=5`f8973?j3>;<6s|24;`>5<5sW8>5n5224:a>1663ty995l50;0xZ73?j27995l58828yxd65=4?:483>5}#1h918;64$2d1>=>13-3=o7<>319K7``8oj:181[42il16>87?:2df?xu5=hn1<7;5=0:1?kh4}r06f5<72;qU>8l?;<06=5<3891v?;nf;296~X5=hl01?;60;635>{t:<3;6=4={_06=5=::<3;657?;|a51b5280>6<4:{%;b7?5aj2B25i5aa66956eb3`8>mh4>::k11db=931b>8l?:088m73fn3;17b<:9082>>d5=0;1<7;50;2x ">>j09=>>4H2ge?kg0<3;8ok5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;?ji7>52z\11dc<5;?2=7=ie:p60gc2909wS<:ae9>60?62:lm7p}=5c294?4|V;?i<63=5839056mk4?:3y]60ga348>5<4;009~w73>93:1>vP=5838973>9322<6srb37;77482B8ik5aa66956b73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`20fc=93<1>79t$8c0>6`e3A32h6`n75827a74:4>::k11de=931b>8l?:088k73?>3;17o<:8883>1<729q/5l=547:8 6`521?97)79c;0275=O;ll0bl9;:01g6>o4nl0;66g>o3890;66a79183>>{e:<2o6=4;:183!?f;3>=46*o4nl0;66g>o3890;66a79183>>{t:<236=4={_06<==::<226>hj;|q5868:181[420>16>86k:2df?xu5=hi1<7;5=1n1?kh4}r06f5<72;qU>8l?;<06{t:<2o6=4={<06<<<4no16>86k:9;3?x{e9=lh6<4::086!?f;39mn6F69e9me22=9:n?7d>o5j;91=75f264`>4<?82.2:n4=77`8L6ca3gk<87?{t:k886=4={_0a66=::>hi;|q133e=838pR?99c:?133c=<9:0q~:=6983>7}Y<;<370<86d8744=z{;==h7>52z\133b<5;==i7660:~f424i3;197?55z&:e6<4nk1C54j4n`57>45c>2c9m4l51;9j6g442800e10:66a>ag595?=e9hl36=4::183!?f;3>=46*hf?=0:?i94i2df>5<5<5<vP=b31894ga039mj6s|1`d5>5<5sW;jj;521`d;>1673ty?>;650;0xZ141027:mk654138yv7fn>0;6?uQ1`d4?87fn1035=5r}c3071<62<0:68u+9`197cd<@03o7co84;30`==n<9k;6<44i505`?7=3`>9:54>::k74d7=931d:i751;9a60ge290>6=4?{%;b7?2102.8j?47849'=3e=:89;7E=jf:lb31<6;m30e>hj:188m6`a2900e9>?:188m1662900c57?:188yv27i90;6?uQ41c3?842ik08jh5rs505`?6=:rT?>;j4=37bf?5an2wx8?87:181[25>116>8om:523?xu38h;1<7;5=hh18=?4}r4g=?6=:rT=h45224ca>=?73twi=9j::086>4<2s-3j?7=ib:J:=a=ii>>1=>jn;h0b=f<622c=h44>::k74d5=931b8=o=:088k73fi3;17o<:a`83>0<729q/5l=547:8 6`5212:7)79c;0275=O;ll0bl9;:01gf>o4nl0;66g>o3890;66g;0083>>i?190;66s|2`;`>5<5sW8j5n5224cb>6`b3ty=h44?:3y]2a?<5;?jm7=if:p05g42909wS:?a29>60gf2=:;7p};0`094?4|V=:j>63=5`c9057ml4?:3y]60gf348>ml47919~yg248o0:6>4?:1y'=d5=h=:915?!?1k3>8>o4no0;66a79183>>{e9l;=6<4<:183!?f;3>=46*hf?=0:?ij4i2df>5<5<:283>5}#1h918;64$2d1>=503-3=o7?l089K7``:080>5<7s-3j?7:98:&0b73ed8m6`b2900e>hi:188k=?72900qo?<5g82>1<62=q/5l=53g`8Ln1;39?l27i;0:66am7282>>de?=0;694?:1y'=d5=h=:944?!?1k3k3h6F>83:17p};0`294?4|V=:j<63m7580b`=z{=:j=7>52z\74d7<5k=?6>hi;|q74d4=838pR9>n2:?a31<3891vo9<:181[d0;27i;947919~yg72j?0:684>:4y'=d5=;oh0D47k;oc40?74m;1b>4:=:088m7d5;3;17d:=6e82>>o38h:1=75`33fa>4<55;294~">i:0?:55+3g09<7`<,0
    <65:J0ac=ii>>1=>k<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty959<50;0xZ7?3:278>im53gg8yv4e::0;6?uQ2c00?855lj08jk5rs505`?6=:rT?>;j4=20gg?2782wx8=o?:181[27i916??jl:522?xu4:mh1<7;4:mi144>4}|`21=?=93?1=7;t$8c0>6`e3A32h6`n75827`251;9j070c2800c>>jc;39?g57mm0;684?:1y'=d5=h=:946?!?1k39;>l5G3dd8jd13289n96g>o4no0;66g;0183>>o3880;66a79183>>{t;99;6=4={_1375=:;9oo6>hj;|q1=14=838pR?7;2:?04`b=;ol0q~:?a183>7}Y<9k;70=?ee8745=z{=8=h7>52z\763b<5::nh7:?1:p75cd2909wS=?eb9>75cc213;7psm14:2>4<2280>w)7n3;1ef>N>1m1em::512g5?l4><;0:66g=b3195?=n<;::`044c=83?1<7>t$8c0>10?3-9m>76=e:&:2f<5no80D>ki;oc40?74m>1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w7?3:3:1>vP=95089666m39mi6s|2c00>5<5sW8i>>52313f>6`a3ty?>;j50;0xZ141l278<>82wvn<:93;391?7==r.2m>44:>:088m16f83;17d:=6e82>>i6m1i1=75m1d:g>5<2290;w)7n3;65<>"4n;03:85+97a95`353A9nj6`n75827`?5<5<{t<9k;6=4={_63e5=:9l2o69>?;|q763b=838pR9<9d:?2a=b=<9;0q~?j8b83>7}Y9l2h70?j8e8;=5=zuk;??94>:482>0}#1h91?kl4H8;g?kg0<3;8il5f2`c3>4<;j51;9l5d2>2800n4;699'7c4=0;o0(48l:0;00>N4mo1em::512ga?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=a`294?4|V;kj<63>a5c97cc;m=4?:3y]05g734;j8l4vP;27:894g3i3>;<6s|434g>5<5sW>9:i521`6b>1663ty:m9750;0xZ4g3127:m9o58828yxd6<:81=7;51;7x m0:66g;27:95?=n<9k;6<44o0c20?7=3k;j=84?:483>5}#1h918;64$2d1>=453-3=o7?6359K7``lo?:181[4fi916=l?::2df?xu3:?n1<7;6i8?1?kh4}r612=<72;qU8?87;<3b50<3891v9>n0;296~X38h:015;635>{t9h;?6=4={_3b51=:9h;>657?;|a513b280>6<4:{%;b7?5aj2B25i5aa66956cb3`;n:>4>::k2a32=931b=h6;:088m4c>m3;17b?j7`82>>d6m>i1<7;50;2x ">>j0:i8<4H2ge?kg0<3;8ik5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{8o=?7>52z\2a35<58o5`1d2:lm7p}>e9694?4|V8o3863>e6a9056vP>e6c894c0k322<6srb010e?7==3;19v*6a280bg=O10n0bl9;:01e4>o38h:1=75f434g>4<::`a24<72<0;6=u+9`1903><,:l965;?;%;5g?g?l2B8ik5aa66956`63`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q74d6=838pR9>n0:?a24<4nl1v9<9d;296~X3:?n01o8>:2de?xu3:?21<7;e>80?<=5rs52b5?6=:rT?1663ty=j84?:3y]2c3<5k<:657?;|af36=93?1<7>t$8c0>10?3-9m>7674:&:2f45a:2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a57c?280?6<4;{%;b7?5aj2B25i5aa66956`43`93<<4>::k0<14=931b8>?::088k0b62800n>hmf;290?6=8r.2m>4;699'7c4=0:90(48l:21g1>N4mo1em::512d7?l5am3:17d=if;29?l2783:17b660;29?xu409;1<7;4nkl1?kk4}r1;07<72;qU?5:=;<1efc<4no1v9=>5;296~X3;8?01>hmf;634>{t=m;1<74<6=4?{%;b7?2102.8j?47309'=3e=<9hm7E=jf:lb31<6;o<0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv27k>0;6?uQ41a4?826=m08jh5rs5d7>5<5sW>m863;14f97c`:nn4?:3y]04dd34>:9i4;019~w17ej3:1>vP;1c`89172l3>;=6s|407g>5<5sW>:9i52407g>=?73twi=898:086>4<2s-3j?7=ib:J:=a=ii>>1=>h8;h63e0<622c?n2;39?j4a?o0:66l=f6d94?3=83:p(4o<:54;?!5a:32?86*66b81`c2<@:om7co84;30b==n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs52b1?6=:rT?k9i:2de?xu38h91<7;5n>l18=>4}r63e7<72;qU8=o=;<0e3c<3881v?h8f;296~X5n>l01?h8f;::4>{zj8>j;7?55;391~">i:08jo5G98f8jd13289m56g=5da95?=n:i44>::m107>=931i>9<7:186>5<7s-3j?7:98:&0b73gc8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~<:eb83>7}Y:52z\11`d<5;>947=if:p60cf2909wS<:e`9>614?2=:;7p}=5d;94?4|V;?n563=43:905754?:3y]614?348?>547919~yg73i10:684>:4y'=d5=;oh0D47k;oc40?74nk1b>8k7:088m73b?3;17d<:e482>>o5=l>1=75`250:>4<957>55;294~">i:0?:55+3g09<26<,0>1=>hl;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty99h650;0xZ73b02798?753gg8yv42m>0;6?uQ24g4?843:008jk5rs37f1?6=:rT99h;4=361=?2782wx>8k;:181[42m=16>9<6:522?xu5<;31<7;5<;3144>4}|`20d?=93?1=7;t$8c0>6`e3A32h6`n75827cbh=:953?!?1k38:?=5G3dd8jd13289mi6g>o4no0;66g;0183>>o3880;66a79183>>{t:hj;|q11`4=838pR?;j2:?107g=;ol0q~<:e083>7}Y:52z\11`6<5;>9m7:?1:p614f2909wS<;2`9>614f213;7psm15cb>4<3280?w)7n3;1ef>N>1m1em::512de?l42mm0:66g=5ed95?=n:o4?:583>5}#1h918;64$2d1>=143-3=o7<>319K7``614e2:ln7p}=5ed94?4|V;?oj63=43`97c`hh4?:3y]60bb348?>o4;019~w725j3:1>vP=43`89725j322<6srb06bf?7==3;19v*6a280bg=O10n0bl9;:0635>o5<;21=75f250:>4<9m7?5;h076g<622e98?m51;9a614d290>6=4?{%;b7?2102.8j?47239'=3e=:89;7E=jf:lb31<6<980e>hj:188m6`a2900e9>?:188m1662900c57?:188yv43:10;6?uQ250;?843:j08jh5rs361=?6=:rT98?74=361g?5an2wx>99;5<;i18=?4}r076f<72;qU>91<62=q/5l=53g`8L2800e>9jb;39?l5?lk0:66a<7g695?=e;>l?6=4;:183!?f;3>=46*j:;I1fb>hf?=0:8=:4i2df>5<5<9jb;296~X4?lh01>9i4;1eb>{t;1ni6=4={_1;`g=:;>l?69>?;|q03c2=838pR>9i4:?03c2=00:0qpl>61a95?3=93?p(4o<:2da?M?>l2dj;94>4178m6>cn3;17d=7dd82>>o40mn1=75f39f`>4<<,:l9659?;%;5g?54l<1C?hh4n`57>427>2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7=ba2909wS=7dg9>72`22:ln7p}<8eg94?4|V:2oi63<7g797c`ck3:1>vP<8ea8961a=3>;=6s|36d6>5<5sW9=?73twi=;>k:086>4<2s-3j?7=ib:J:=a=ii>>1=9>8;h1;a3<622c84h;51;9j7=c42800e>6j0;39?j50n?0:66l<7g494?3=83:p(4o<:54;?!5a:32<<6*66b807a3<@:om7co84;374==n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs2:f2?6=:rT84h84=25e2?5am2wx?5k::181[5?m<16?:h9:2de?xu40l91<7;4?o<18=>4}r1;a5<72;qU?5k?;<14b3<3881v>9i6;296~X4?o<01>9i6;::4>{zj8<;i7?54;390~">i:08jo5G98f8jd1328>;56g<7g495?=n;>l?6<44i25e1?7=3f9::`03c1=83>1<7>t$8c0>10?3-9m>76=8:&:2f<4;m?0D>ki;oc40?738h1b?kk50;9j7c`=831b8=>50;9l<<6=831v>9i6;296~X4?o<01>9i7;1ea>{t;>l?6=4={_14b1=:;>l<6>hi;|q03c3=838pR>9i5:?03c1=<9:0q~=8f683>7}Y;>l<70=8f68;=5=zuk;<4?4>:482>0}#1h91?kl4H8;g?kg0<3;?4<4;699'7c4=?kh0(48l:21g0>N4mo1em::5152`?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}8nh4vP<6028915em3>;<6s|373:>5<5sW9==45242`f>1663ty??oj50;0xZ15el27??ok58828yxd4j081=7;50;2x ">>j08?i;4H2ge?kg0<3;?i:0?:55+3g093=4<,0
    =k5:J0ac=ii>>1=9>j;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8o?h51;694?6|,0k86987;%1e6?>0l2.2:n4<3e78L6ca3gk<87?;0g9j7cc=831b?kh50;9j056=831d44>50;9~f40293;197?55z&:e6<4nk1C54j4n`57>42682c84ij51;9j7=be2800e>6j5;39?l5?lo0:66a=46*j:;I1fb>hf?=0:85<5<5<n4cj3:1>vP<8e`896e5k39mj6s|39g6>5<5sW93i8523b0`>1673ty84ih50;0xZ6>cn278o?m54138yv5d:j0;6?uQ3b0`?85d:j035=5r}c1`6a<62=0;6=u+9`1903><,:l965426:2c8jh4?::k0bc<722c?<=4?::m;=5<722wi=:6<:086>4<2s-3j?7=ib:J:=a=ii>>1=9?<;h1`60<622c8o8>9;39?j24jo0:66l;3b294?3=83:p(4o<:54;?!5a:3=in6*66b807a2<@:om7co84;3751=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs2a11?6=:rT8o?;4=51`4?5am2wx?n?l:181[5d9j168>m?:2de?xu4>8:1<7;3;j:18=>4}r155<<72;qU?;?6;<60g5<3881v9=mf;296~X3;kl019=l0;::4>{zj8=387?55;391~">i:08jo5G98f8jd1328>:96g::m77f7=931i8>m=:186>5<7s-3j?7:98:&0b7<0jk1/5;m532f7?M5bn2dj;94>4048m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=l2783>7}Y;j8=70:52z\0g4b<5=9h>7=if:p73772909wS=9119>06e52=:;7p}<60;94?4|V:<:563;3b090578o<4?:3y]06e634>8o?47919~yg720h0:694>:5y'=d5=;oh0D47k;oc40?739>1b?<97:088m644k3;17d=>a182>>i49=<1=75m3064>5<3290;w)7n3;65<>"4n;034:5+97a974613A9nj6`n758204>5<5<vP<22a89673?39mj6s|30c3>5<5sW9:m=523064>1673ty8=9850;0xZ673>278=9958828yxd61=7:51;6x e;39?l7am:0:66g>f5495?=h9loh6<44b0gf`?6=<3:14cfk2B8ik5aa669517f3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty:jj6<4::086!?f;39mn6F69e9me22=9=;i7d>o5j;91=75f1b;b>4<?82.2:n4>c6a8L6ca3gk<87?;1b9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v?on1;296~X5ih;01{t:k886=4={_0a66=:9j3h6>hi;|q2g7}Y<;<370?l9b8744=z{8i2n7>52z\2g426l2c8o?951;9j7f7b2800e>8>0;39?l51900:66a;3b195?=e<:i?6=4::183!?f;3>=46*j;;I1fb>hf?=0:85<5<5<:4?:3y]7f4034>8o94vP5<5sW9===5242a7>1673ty8:<750;0xZ606127??n:54138yv24k:0;6?uQ42a0?824k=035=5r}c3770<62=0:69u+9`197cd<@03o7co84;375c=n:h3i6<44i505`?7=3`>9:54>::m2ea?=931i=lh>:187>5<7s-3j?7:98:&0b74328m6`b2900e>hi:188m1672900c57?:188yv4f1k0;6?uQ2`;a?87fn808jh5rs505`?6=:rT?>;j4=0ce5?5an2wx8?87:181[25>116=lh>:523?xu6im31<7;6io;144>4}|`2073=93?1=7;t$8c0>6`e3A32h6`n7582077;m?4>::k74d7=931d=??<:088f446<3:197>50z&:e6<3>11/?k<58768 <0d2kh:7E=jf:lb31<6<;80e>hj:188m6`a2900e9>?:188m1662900c57?:188yv`5n3:1>vPi2g9>57732:ln7p};0`194?4|V=:j?63>20697c`;m?4?:3y]05g534;9=94;019~w16f93:1>vP;0`389446<3>;=6s|1330>5<5sW;9=>521337>=?73twi=9<;:086>4<2s-3j?7=ib:J:=a=ii>>1=9<<;hd2e?7=3`>;m>4>::k74d4=931b8=o>:088k47bm3;17o?>eg83>0<729q/5l=547:8 6`521N4mo1em::51507?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}i1`83>7}Yn8k01{t<9k86=4={_63e6=:98om6>hi;|q74d4=838pR9>n2:?25``=<9:0q~:?a083>7}Y<9k:70?>eg8744=z{8;ni7>52z\25`c<58;nj7660:~f425;3;197?55z&:e6<4nk1C54j4n`57>425=2cm>k4>::k74d7=931b8=o<:088m16f:3;17b?>c882>>d69jk1<7;50;2x ">>j0in<5G3dd8jd1328>9:6g>o4no0;66g;0183>>o3880;66a79183>>{tn;l1<7;69jk18=?4}r32g<<72;qU=0<62:088m16f;3;17d:?a382>>i690;1=75m10;1>5<2290;w)7n3;65<>"4n;03:h5+97a9fg7<@:om7co84;376==n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rsg3b>5<5sWl:m63>18097cc;m<4?:3y]05g634;:5?4vP;0`18947>:3>;<6s|41c1>5<5sW>;m?5210;1>1663ty:=4?50;0xZ47>927:=4<58828yxd6;ln1=7;51;7x >o38h91=75`f8695?=en0?1<7;50;2x ">>j0in<5G3dd8jd1328>9m6g>o4no0;66g;0183>>o3880;66a79183>>{tn;l1<7=39mi6s|41c2>5<5sW>;m<52f8797c`;m?4?:3y]05g534l297:?0:p05g42909wS:?a29>b<3=<9;0q~h64;296~Xa1=16j4;58828yxd6;li1=7;51;7x >o38h91=75`f6:95?=en>31<7;50;2x ">>j0in<5G3dd8jd1328>9o6g>o4no0;66g;0183>>o3880;66a79183>>{tn8k1<75<5sW>;m<52f6;97c`;m?4?:3y]05g534l<57:?0:p05g42909wS:?a29>b2?=<9;0q~h88;296~Xa?116j:758828yxd6;lk1=7;51;7x >o38h81=75`f3`95?=en;i1<7;50;2x ">>j0in<5G3dd8jd1328>9i6g>o4no0;66g;0183>>o3880;66a79183>>{tn;l1<75<5sW>;m<52f3a97c`;m>4?:3y]05g434l9o7:?0:p05g52909wS:?a39>b7e=<9;0q~h=b;296~Xa:k16j?m58828yxd6;l21=7;51;7x >o38h81=75`f0595?=en821<7;50;2x ">>j0in<5G3dd8jd1328>8<6g>o4no0;66g;0183>>o3880;66a79183>>{tn8k1<75<5sW>;m<52f0:97c`;m>4?:3y]05g434l:47:?0:p05g52909wS:?a39>b4>=<9;0q~h>7;296~Xa9>16j<658828yxd5>hi1=7=50;2x ">>j09=>>4H2ge?kg0<3;??<5f3gg94?=n;ol1<75`88294?=zj8>nn7?55;391~">i:08jo5G98f8jd1328>8>6g=43g95?=n=4<7?5;n05eg<622h9:ll50;794?6|,0k86987;%1e6?>482.2:n4=1228L6ca3gk<87?;329j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v?:=e;296~X5<;o01?8nb;1ea>{t=;om:522?xu5>hh1<7;5>hh144>4}|`26`?=93>1=7:t$8c0>6`e3A32h6`n7582062;651;9l1a2=931ij?k50;694?6|,0k86987;%1e6?>302.2:n4mb09K7``b7c=;oo0q~:?a683>7}Y<9k<70h=e;1eb>{t<;<36=4={_612==:n;o18=>4}r7g0?6=:rT>h952f3g9<<6hm;I;:`>hf?=0:8>84i3c:a?7=3`?o87?5;h63e5<622c?>;j51;9lb7`=931ij?j50;794?6|,0k86987;%1e6?>?92.2:n4mb09K7``l7j:181[4f1l16j?j53gg8yv3c<3:1>vP:d59>b7b=;ol0q~:?a183>7}Y<9k;70h=d;634>{t<;k52f3f9<<6hm;I;:`>hf?=0:8>64i3c:a?7=3`?o87?5;h63e5<622c?>;j51;9lb4g=931ij<750;794?6|,0k86987;%1e6?>?82.2:n4mb09K7``l7j:181[4f1l16j<753gg8yv3c<3:1>vP:d59>b4?=;ol0q~:?a183>7}Y<9k;70h>9;634>{t<;2.2:n4=1228L6ca3gk<87?;3`9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<976;391?7==r.2m>4=931b?n?i:088m60683;17d=91882>>i3;j?1=75m42a5>5<2290;w)7n3;65<>"4n;05<5<m>f;296~X4k8l019=l6;1eb>{t;?;;6=4={_1555=:<:i=69>?;|q024?=838pR>8>9:?77f0=<9;0q~:7}Y<:i>70::482>0}#1h91?kl4H8;g?kg0<3;??i5f3b0:>4<4;699'7c4=?kh0(48l:21g0>N4mo1em::5151f?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=4?:3y]7f4734>8o54vP<6028915d03>;<6s|373:>5<5sW9==45242a;>1663ty??n950;0xZ15d?27??n658828yxd6?121=7;51;7x m=a;39?l5d:80:66g<60295?=n;?;26<44o51`=?7=3k>8ol4?:483>5}#1h918;64$2d1>2de3-3=o7=mn:2df?xu4k;;1<7;3;jk1?kh4}r1555<72;qU?;??;<60gd<3891v>8>9;296~X4>83019=la;635>{t<:i26=4={_60g<=:<:ij657?;|a5`6c28086=4?{%;b7?2102.8j?47369'=3e=9mho7E=jf:lb31<6<=;0e>hj:188m6`a2900c57?:188yg7b8k0:6>4?:1y'=d5=h=:914?!?1k3;oni5G3dd8jd1328>?>6g>o4no0;66a79183>>{e9l:26<4<:183!?f;3>=46*hf?=0:89=4i2df>5<5<:283>5}#1h918;64$2d1>=503-3=o7?l169K7``5<7s-3j?7:98:&0b71/5;m51b34?M5bn2dj;94>4578m6`b2900e>hi:188k=?72900qo?l6282>6<729q/5l=547:8 6`5219<7)79c;3`52=O;ll0bl9;:0672>o4nl0;66g>i?190;66sm16:3>4<2280>w)7n3;1ef>N>1m1em::51564?l5d:k0:66g8n44>::`77gg=83?1<7>t$8c0>10?3-9m>79mb:&:2f<4;m>0D>ki;oc40?73<11b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6e5j3:1>vP5<5sW9h>?5242`b>6`a3ty8:<>50;0xZ606827??oo54128yv51900;6?uQ373:?824jh0?<<5rs51a=?6=:rT??o74=51ae?>>82wvn?<:2;397?6=8r.2m>4;699'7c4=0:=0(48l:3304>N4mo1em::5156:?l5am3:17d=if;29?j>>83:17pl=24295?5=83:p(4o<:54;?!5a:328;6*66b81566<@:om7co84;370d=n;oo1<75f3gd94?=h00:1<75rb307a?7=;3:194$84`>77482B8ik5aa669512e3`9mi7>5;h1eb?6=3f22<7>5;|`161e=9391<7>t$8c0>10?3-9m>76<7:&:2f<59::0D>ki;oc40?7350;9~f743i3;1?7>50z&:e6<3>11/?k<58468 <0d2;;8<6Fo7d=ie;29?l5an3:17b660;29?xd5:=21=7=50;2x ">>j09=>>4H2ge?kg0<3;?8h5f3gg94?=n;ol1<75`88294?=zj;8?:7?53;294~">i:0?:55+3g09<02<,0>1=9:i;h1ea?6=3`9mj7>5;n::4?6=3th9>?h51;194?6|,0k86987;%1e6?>2<2.2:n4=1228L6ca3gk<87?;519j7cc=831b?kh50;9l<<6=831vn?<>7;397?6=8r.2m>4;699'7c4=0:=0(48l:3304>N4mo1em::51572?l5am3:17d=if;29?j>>83:17pl=20;95?5=83:p(4o<:54;?!5a:32>86*66b81566<@:om7co84;3717=n;oo1<75f3gd94?=h00:1<75rb3020?7=;3:177482B8ik5aa66951343`9mi7>5;h1eb?6=3f22<7>5;|`1`ag=93>1<7>t$8c0>10?3-9m>7686:&:2f<5j;?0D>ki;oc40?73==1b?kk50;9j7c`=831b8=>50;9l<<6=831vn?jk9;390?6=8r.2m>4;699'7c4=?0<0(48l:3`11>N4mo1em::51576?l5am3:17d=if;29?l2783:17b660;29?xd5lm=1=7;50;2x ">>j09n?;4H2ge?kg0<3;?9;5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;no97?55;294~">i:0?:55+3g093f><,0>1=9;8;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th9hi=51;794?6|,0k86987;%1e6?1d02.2:n4=b378L6ca3gk<87?;599j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?jk1;391?6=8r.2m>4;699'7c4=?j20(48l:3`11>N4mo1em::5157:?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=dbd95?3=83:p(4o<:54;?!5a:3=h46*66b81f73<@:om7co84;371d=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3f``?7==3:17d5=2B8ik5aa669513e3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1`fd=93?1<7>t$8c0>10?3-9m>79l8:&:2f<5j;?0D>ki;oc40?73=j1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7bd13;197>50z&:e6<3>11/?k<57b:8 <0d2;h996F">>j09n?;4H2ge?kg0<3;?9h5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;nh97?55;294~">i:0?:55+3g093f><,0>1=9;i;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th9hn=51;794?6|,0k86987;%1e6?1d02.2:n4=b378L6ca3gk<87?;619j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?jl1;391?6=8r.2m>4;699'7c4=?j20(48l:3`11>N4mo1em::51542?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=dcd95?3=83:p(4o<:54;?!5a:3=h46*66b81f73<@:om7co84;3727=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3fa`?7==3:17d5=2B8ik5aa66951043`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1`gd=93?1<7>t$8c0>10?3-9m>79l8:&:2f<5j;?0D>ki;oc40?73>=1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7be13;197>50z&:e6<3>11/?k<57b:8 <0d2;h996F7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd5lk=1=7;50;2x ">>j09n?;4H2ge?kg0<3;?:;5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;ni97?55;294~">i:0?:55+3g093f><,0>1=988;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th9ho=51;794?6|,0k86987;%1e6?1d02.2:n4=b378L6ca3gk<87?;699j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?jm1;391?6=8r.2m>4;699'7c4=?j20(48l:3`11>N4mo1em::5154:?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=d`d95?3=83:p(4o<:54;?!5a:3=h46*66b81f73<@:om7co84;372d=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3fb`?7==3:17d5=2B8ik5aa669510e3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1`dd=93?1<7>t$8c0>10?3-9m>79l8:&:2f<5j;?0D>ki;oc40?73>j1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7bf13;197>50z&:e6<3>11/?k<57b:8 <0d2;h996F">>j09n?;4H2ge?kg0<3;?:h5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;nj97?55;294~">i:0?:55+3g093f><,0>1=98i;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th9hl=51;794?6|,0k86987;%1e6?1d02.2:n4=b378L6ca3gk<87?;719j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?jn1;391?6=8r.2m>4;699'7c4=?j20(48l:3`11>N4mo1em::51552?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=d8d95?3=83:p(4o<:54;?!5a:3=h46*66b81f73<@:om7co84;3737=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3f:`?7==3:17d5=2B8ik5aa66951143`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1`t$8c0>10?3-9m>79l8:&:2f<5j;?0D>ki;oc40?73?=1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7b>13;197>50z&:e6<3>11/?k<57b:8 <0d2;h996F7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd5lmh1=7;50;2x ">>j09n?;4H2ge?kg0<3;?;;5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;no47?55;294~">i:0?:55+3g09<45<,0>1=998;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:;?k51;795?3|,0k86>hm;I;:`>hf?=0:8:64i52`4?7=3`>:n54>::k75g1=931b85d83>0<729q/5l=547:8 6`5219:7)79c;63fc=O;ll0bl9;:064=>o4nl0;66g>o3890;66g;0083>>i?190;66s|41a3>5<5sW>;o=52407f>6`b3ty?=o650;0xZ17e027?=8k53gd8yv26j>0;6?uQ40`4?826=l0?<=5rs53a=?6=:rT?=o74=536a?2792wx8=m8:181[27k>168<;j:9;3?x{e9>>i6<4::086!?f;39mn6F69e9me22=9==j7d:?c682>>o39kh1=75f40`b>4<482.2:n4;0cd8L6ca3gk<87?;7c9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v9>l7;296~X38j=019?:c;1ea>{t<8hi6=4={_62fg=:<8?h6>hi;|q75gg=838pR9?ma:?750e=<9:0q~:>bb83>7}Y<8hh70:>5b8744=z{=;>n7>52z\750d<5=;>o7660:~f414l3;197?55z&:e6<4nk1C54j4n`57>420k2c?=46*hf?=0:8:j4i2df>5<5<5<;o;4?:3y]05e134>:?o4vP;1c389174j39mj6s|40`1>5<5sW>:n?52401a>1673ty?l54138yv26;h0;6?uQ401b?826;k035=5r}c0e=1<62<0;6=u+9`1903><,:l96:o:;%;5g?4cn=1C?hh4n`57>420m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a57`4280?6<4;{%;b7?5aj2B25i5aa669511a3`>;m=4>::k74d7=931b8?m6:088k0c12800n?h65;290?6=8r.2m>4;699'7c4=0<90(48l:3fe0>N4mo1em::515:3?l5am3:17d=if;29?l2783:17b660;29?xu38h:1<7;5n0?1?kk4}r63e4<72;qU8=o>;<0e=0<4no1v9{t=l<1<7<,:l96:6m;%;5g?4cn=1C?hh4n`57>42?92c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a77c7280>6=4?{%;b7?2102.8j?471d9'=3e=;;3>7E=jf:lb31<6<180e>hj:188m6`a2900e9>?:188m1662900c57?:188yg55m:0:684?:1y'=d5=h=:92`?!?1k399585G3dd8jd1328>3?6g>o4no0;66g;0183>>o3880;66a79183>>{e;;nm6<4::183!?f;3>=46*hf?=0:85:4i2df>5<5<5<:283>5}#1h918;64$2d1>=1?3-3=o7==949K7``=68:086>5<7s-3j?7:98:&0b74948m6`b2900e>hi:188m1672900e9>>:188k=?72900qo0<729q/5l=547:8 6`521:h7)79c;037f=O;ll0bl9;:06;3>o4nl0;66g>o3890;66g;0083>>i?190;66sm21:5>4<2290;w)7n3;65<>"4n;035<5<<,:l96597;%;5g?47;j1C?hh4n`57>42?12c8jh4?::k0bc<722e35=4?::a50d>280>6<4:{%;b7?5aj2B25i5aa66951>f3`98?;4>::k0763=931b?>=;:088m654;3;17b=<5`82>>d4;">>j08>h94H2ge?kg0<3;?4o5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:98:7>52z\0760<5:9>m7=ie:p76522909wS=<349>763f2:lm7p}<32694?4|V:98863<34c90564?:3y]765434989l4;009~w652i3:1>vP<34c89652i322<6srb07ae?7==3;19v*6a280bg=O10n0bl9;:06;g>o4;:81=75f3212>4<6=4?{%;b7?2102.8j?47719'=3e=;;o<7E=jf:lb31<6<1n0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv54;;0;6?uQ3211?854=k08jh5rs2105?6=:rT8?>?4=216f?5an2wx?>=?:181[54;916?>;m:523?xu4;;31<7;4;;m;<101g0<62==e;39?l54:m0:66g<33a95?=h;:?h6<44b216g?6==3:14$84`>64b?2B8ik5aa66951>a3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q077`=838pR>==f:?070e=;oo0q~=<2d83>7}Y;:8n70=<5b80bc=z{:99h7>52z\077b<5:9>o7:?0:p764d2909wS=<2b9>763d2=::7p}<34a94?4|V:9>o63<34a9<<6hm;I;:`>hf?=0:84>4i211f?7=3`98>l4>::k076>=931b?>=8:088k652l3;17o=<5e83>0<729q/5l=547:8 6`521=;7)79c;11a2=O;ll0bl9;:06:5>o4nl0;66g>o3890;66g;0083>>i?190;66s|320a>5<5sW98>o52327g>6`b3ty8??o50;0xZ655i278?8j53gd8yv54;10;6?uQ321;?854=m0?<=5rs2103?6=:rT8?>94=216`?2792wx?>;k:181[54=m16?>;k:9;3?x{e9>o4;4<h7?5;n101<<622h8?8k50;794?6|,0k86987;%1e6?>5:2.2:n4<2d58L6ca3gk<87?;929j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>=:a;296~X4;=:e;1ea>{t;:?i6=4={_101g=:;:?n6>hi;|q070e=838pR>=:c:?070c=<9:0q~=<5e83>7}Y;:?o70=<5d8744=z{:9>57>52z\070?<5:9>i7660:~f43fk3;197?55z&:e6<4nk1C54j4n`57>42><2c8>9m51;9j772e2800e><;a;39?l55<00:66a<26295?=e;;=;6=4::183!?f;3>=46*hf?=0:84;4i2df>5<5<5<vP<25`89640839mj6s|336b>5<5sW998l523353>1673ty8>9750;0xZ6431278>:>54138yv55?90;6?uQ3353?855?9035=5r}c36ea<62<0:68u+9`197cd<@03o7co84;37=3=n;;>36<44i2073?7=3`998;4>::k066`=931d??9>:088f64093:197>50z&:e6<3>11/?k<58628 <0d2:;mh6F;4:>;1?kk4}r1102<72;qU??:8;<1134<4no1v><;6;296~X4:=<01><81;634>{t;;9m6=4={_117c=:;;=:69>>;|q0627=838pR><81:?0627=00:0qpl>5`g95?3=93?p(4o<:2da?M?>l2dj;94>48:8m643=3;17d==4582>>o4:=91=75f3361>4<7?5;c1137<72<0;6=u+9`1903><,:l9659?;%;5g?56nm1C?hh4n`57>42>12c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p77222909wS==449>77152:ln7p}<25694?4|V:8?863<26097c`4?:3y]77243499;?4;019~w643:3:1>vP<25089640:3>;=6s|3351>5<5sW99;?523351>=?73twi=8oi:086>4<2s-3j?7=ib:J:=a=ii>>1=97n;h1104<622c8>9>51;9j772b2800e><;d;39?j55?:0:66l<26194?3=83:p(4o<:54;?!5a:32<<6*66b805cb<@:om7co84;37=g=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs2075?6=:rT8>9?4=2047?5am2wx??:?:181[55<916??9<:2de?xu4:=o1<7;4:>918=>4}r110a<72;qU??:k;<1136<3881v><83;296~X4:>901><83;::4>{zj8?jn7?55;391~">i:08jo5G98f8jd1328>2o6g<26295?=n;;=:6<44i2046?7=3`99;>4>::m063`=931i??9;:186>5<7s-3j?7:98:&0b748f8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~==7183>7}Y;;=;70==7580b`=z{:8<=7>52z\0627<5:8<87=if:p77152909wS==739>77132=:;7p}<26194?4|V:8:4y'=d5=;oh0D47k;oc40?731l1b?<;::088m672<3;17d=>5282>>o49<81=75`305:>4<55;294~">i:0?:55+3g09<26<,0
    ??6:J0ac=ii>>1=97i;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty8=8;50;0xZ672=278=:753gg8yv56==0;6?uQ3077?856?008jk5rs2367?6=:rT8=8=4=234=?2782wx?<;=:181[56=;16?<96:522?xu49>31<7;49>3144>4}|`21=b=93?1=7;t$8c0>6`e3A32h6`n75820d6=7?5;h1215<622c8=9h51;9j742?2800c>?8a;39?g56?h0;684?:1y'=d5=h=:953?!?1k39:<;5G3dd8jd1328>j=6g>o4no0;66g;0183>>o3880;66a79183>>{t;8?:6=4={_1214=:;8=j6>hj;|q0506=838pR>?:0:?052g=;ol0q~=>4g83>7}Y;8>m70=>7`8745=z{:;?47>52z\051><5:;7`9>741f213;7psm14:f>4<2280>w)7n3;1ef>N>1m1em::515c1?l56h6<44i237f?7=3f9:;o4>::`052d=83?1<7>t$8c0>10?3-9m>7680:&:2f<499<0D>ki;oc40?73i:1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w673m3:1>vP<15g89670j39mi6s|306g>5<5sW9:8i52305a>6`a3ty8=9m50;0xZ673k278=:l54128yv56>82wvn<;7f;391?7==r.2m>45782>>i49>i1=75m305`>5<2290;w)7n3;65<>"4n;03;=5+97a974613A9nj6`n75820d35<5<?;9;296~X49=301>?8c;1eb>{t;8?<6=4={_1212=:;8=h69>?;|q0500=838pR>?:6:?052e=<9;0q~=>7b83>7}Y;8=h70=>7b8;=5=zuk;>4o4>:482>0}#1h91?kl4H8;g?kg0<3;?m;5f305:>4<?8d;291?6=8r.2m>4;699'7c4=0;80(48l:2332>N4mo1em::515c4?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<16;94?4|V:;<563<16f97ccvP<16`89670l3>;<6s|305`>5<5sW9:;n52305g>1663ty8=:650;0xZ6700278=:j58828yxd6<081=7;51;7x kd;39?l47lj0:66g=0e`95?=n:9nj6<44o3335?7=3k8:<<4?:483>5}#1h918;64$2d1>=173-3=o7=jk:181[47lm16><>>:2df?xu58mi1<7;599;1?kh4}r03`g<72;qU>=jm;<0244<3891v?>ka;296~X58mk01???1;635>{t:8::6=4={_0244=::8::657?;|a51?4280>6<4:{%;b7?5aj2B25i5aa66951gf3`8;h44>::k14a>=931b>=j8:088m76c83;17b<>0382>>d59981<7;50;2x ">>j09<5k4H2ge?kg0<3;?mo5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;:o57>52z\14a?<5;;;>7=ie:p65b?2909wS64652:lm7p}=0e594?4|V;:o;63=1109056vP=11089777:322<6srb06:0?7==3;19v*6a280bg=O10n0bl9;:06bg>o58m<1=75f21f6>4<6=4?{%;b7?2102.8j?47719'=3e=:92n7E=jf:lb31<6hj:188m6`a2900e9>?:188m1662900c57?:188yv47l?0;6?uQ21f5?8468:08jh5rs32g1?6=:rT9=j;:181[47l=16><><:523?xu58m91<7;599918=?4}r0246<72;qU><><;<02460<62k1;39?l47lo0:66g=0eg95?=h:8:?6<44b3330?6==3:14$84`>76?m2B8ik5aa66951ga3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q14a4=838pR?>k2:?1552=;oo0q~7}Y:9n:70<>0580bc=z{;:oj7>52z\14a`<5;;;87:?0:p65bb2909wS64632=::7p}=11694?4|V;;;863=1169<<6hm;I;:`>hf?=0:8o>4i3335?7=3`8:::k1555=931b><>;:088k77783;17o<>0483>0<729q/5l=547:8 6`521897)79c;03<`=O;ll0bl9;:06a5>o4nl0;66g>o3890;66g;0083>>i?190;66s|2022>5<5sW8:<<522026>6`b3ty9==<50;0xZ777:279==;53gd8yv468:0;6?uQ2020?8468<0?<=5rs3330?6=:rT9==:4=3331?2792wx><>?:181[468916><>::9;3?x{e9=2=6<4::086!?f;39mn6F69e9me22=9=h97d?if282>>o6no81=75f1gd2>4<082.2:n4>f`68L6ca3gk<87?;b29j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>7;1ea>{t9ol96=4={_3eb7=::9;<6>hi;|q2bc7=838pR7}Y9ol;7052z\1441<5;::;7660:~f42??3;197?55z&:e6<4nk1C54j4n`57>42e<2c:jhh51;9j5ccb2800e=46*hf?=0:8o;4i2df>5<5<5<vP>fdg89766039mj6s|1ggg>5<5sW;mii52213;>1673ty:jh850;0xZ4`b>279<<654138yv47910;6?uQ213;?84791035=5r}c37<=<62<0:68u+9`197cd<@03o7co84;37f3=n9ooh6<44i0dff?7=3`;mil4>::k2b`?=931d>=?6:088f76613:197>50z&:e6<3>11/?k<58628 <0d28lj86F;58831?kk4}r3eag<72;qU=kkm;<035<<4no1v>9;634>{t9oo26=4={_3ea<=::9;269>>;|q144?=838pR?>>9:?144?=00:0qpl>49;95?3=93?p(4o<:2da?M?>l2dj;94>4c:8m4`b03;17d?ie682>>o6no?1=75f1gd7>4<<,:l9659?;%;5g?7ai=1C?hh4n`57>42e12c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p5cc?2909wS?ie99>657f2:ln7p}>fd594?4|V8ln;63=00c97c`vP>fg689766i3>;=6s|213b>5<5sW8;=l52213b>=?73twi=96::086>4<2s-3j?7=ib:J:=a=ii>>1=9ln;h0352<622c9<<651;9j657>2800e?>>a;39?j479?0:66l=00`94?3=83:p(4o<:54;?!5a:329>6*66b82bd2<@:om7co84;37fg=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs3223?6=:rT9<<94=322f?5am2wx>=?7:181[479116>=?m:2de?xu58831<7;588h18=>4}r035d<72;qU>=?n;<035g<3881v?>>6;296~X588<01?>>b;::4>{zj8>=:7?55;391~">i:08jo5G98f8jd1328>io6g>eg`95?=n9llj6<44i0ge=?7=3`;nj54>::m2b4`=931i=k?i:186>5<7s-3j?7:98:&0b74cf8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~?jfc83>7}Y9lli70?i1g80b`=z{8omm7>52z\2acg<58l:j7=if:p5``>2909wS?jf89>5c7a2=:;7p}>eg:94?4|V8om463>f0d9057>0:684>:4y'=d5=;oh0D47k;oc40?73jl1b=hh8:088m4ca>3;17d?jf482>>o6mlo1=75`1g03>4<55;294~">i:0?:55+3g09<26<,0>1=9li;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:ik950;0xZ4ca?27:j?>53gg8yv7bn?0;6?uQ1dd5?87a:908jk5rs0ge1?6=:rT:ik;4=0d14?2782wx=hkj:181[7bml16=k;6n;:144>4}|`203>=93?1=7;t$8c0>6`e3A32h6`n75820f6h=:953?!?1k3;nmn5G3dd8jd1328>h=6g>o4no0;66g;0183>>o3880;66a79183>>{t9ll?6=4={_3fb1=:9o8:6>hj;|q2ac5=838pR7}Y9ll970?i208745=z{8om=7>52z\2ac7<58l9=7:?1:p5c462909wS?i209>5c46213;7psm154:>4<2280>w)7n3;1ef>N>1m1em::515a1?l7bn90:66g>edd95?=n9llo6<44i0geg?7=3f;m>?4>::`2b74=83?1<7>t$8c0>10?3-9m>7680:&:2f<6mhi0D>ki;oc40?73k:1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w4ca83:1>vP>eg2894`5:39mi6s|1dge>5<5sW;nik521g01>6`a3ty:ikj50;0xZ4cal27:j?<54128yv7bnj0;6?uQ1dd`?87a:;0?<<5rs0d16?6=:rT:j?<4=0d16?>>82wvn<:95;391?7==r.2m>4>i6n8o1=75m1g00>5<2290;w)7n3;65<>"4n;03>?5+97a95`gd3A9nj6`n75820f35<5<{t9o8:6=4={_3e64=:9o8869>?;|q2b74=838pR7}Y9o;n70?i228;=5=zuk;<>?4>:482>0}#1h91?kl4H8;g?kg0<3;?o;5f3c:g>4<:f;291?6=8r.2m>4;699'7c4=0=n0(48l:21g1>N4mo1em::515a4?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p};9k4cl3:1>vP<8ef89162n3>;<6s|3904>5<5sW93>:52417e>1663ty?<8h50;0xZ162n27?<8h58828yxd6?;?1=7:51;6x 793;17d=8fc82>>i38?81=75m4141>5<3290;w)7n3;65<>"4n;03?95+97a976b23A9nj6`n75820f?5<5<7>52z\637=:<9<96>hj;|q0<57=838pR>6?1:?7434=;ol0q~=8fc83>7}Y;>li70:?638745=z{=:=>7>52z\7434<5=:=>7660:~f415>3;187?54z&:e6<4nk1C54j4n`57>42di2c84=:51;9j72c52800e>9j8;39?j27>:0:66l;07194?2=83:p(4o<:54;?!5a:3252z\0<52<5=:=?7=ie:p72c52909wS=8e39>05042:lm7p}<7d:94?4|V:=n463;0719056;:>4?:3y]050434>;:>47919~yg27><0:684?:1y'=d5=h=:6a;?!?1k398h85G3dd8jd1328>ho6g>o4no0;66g;0183>>o3880;66a79183>>{e9?;36<4::086!?f;39mn6F69e9me22=9=io7d=7e082>>o40l>1=75f3927>4<6j1;296~X40l;019>96;1ea>{t;1o?6=4={_1;a1=:<9<=6>hi;|q0<52=838pR>6?4:?7430=<9:0q~=70083>7}Y;1::70:?678744=z{:2=57>52z\0<3?<5=:=:7660:~f162l3;197>50z&:e6<3>11/?k<58148 <0d2:9o96F">>j09=>>4H2ge?kg0<3;?h=5f3gg94?=n;ol1<75`88294?=zj8?;?7?54;390~">i:08jo5G98f8jd1328>o=6g=a`195?=n<;<36<44i505`?7=3f83o94>::`11<7>t$8c0>10?3-9m>76=8:&:2f<5?1=0D>ki;oc40?73l;1b?kk50;9j7c`=831b8=>50;9l<<6=831v?on3;296~X5ih901?6l5;1ea>{t<;<36=4={_612==::1i>6>hi;|q763b=838pR9<9d:?17}Y:1i?70<7c48;=5=zuk9h=44>:483>5}#1h918;64$2d1>=5?3-3=o7=5<7s-3j?7:98:&0b74e68m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?82282>0<626j2;39?l5?lo0:66g<8e:95?=h<9<;6<44b5254?6==3:165c=2B8ik5aa66951b13`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0<`1=838pR>6j7:?7436=;oo0q~=7e383>7}Y;1o970:?6180bc=z{:2oj7>52z\005072=::7p};07294?4|V=:=<63;0729<<6hm;I;:`>hf?=0:8i94i2:ge?7=3`93h44>::k0<`?=931b?5k7:088k16193;17o:?6083>0<729q/5l=547:8 6`521>?7)79c;10`0=O;ll0bl9;:06g<>o4nl0;66g>o3890;66g;0083>>i?190;66s|39fb>5<5sW93hl524142>6`b3ty84i750;0xZ6>c127?<;?53gd8yv5?m00;6?uQ39g:?827>80?<=5rs2:f:181[27>8168=8>:9;3?x{e<9?n6<4<:183!?f;3>=46*j:;I1fb>hf?=0:8i74i2df>5<5<4>:482>0}#1h91?kl4H8;g?kg0<3;?hl5f3924>4<5<7s-3j?7:98:&0b74e`8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=70683>7}Y;1:<70:?6580b`=z{:=nn7>52z\03`d<5=:=87=if:p72c22909wS=8e49>05032=:;7p}<7gg94?4|V:=mi63;07690577>52z\637=:<96=4?{%;b7?2102.8j?47389'=3e=;:n>7E=jf:lb31<6hj:188m6`a2900e9>?:188m1662900c57?:188yg459j0:6>4?:1y'=d5=h=:914?!?1k38:?=5G3dd8jd1328>oh6g>o4no0;66a79183>>{e:88m6<4<:183!?f;3>=46*hf?=0:8ik4i2df>5<5<h4>:283>5}#1h918;64$2d1>=503-3=o7?j239K7``<5<7s-3j?7:98:&0b71/5;m51d01?M5bn2dj;94>4d28m6`b2900e>hi:188k=?72900qo<>2b82>6<729q/5l=547:8 6`5219<7)79c;3f67=O;ll0bl9;:06f5>o4nl0;66g>i?190;66sm200a>4<4290;w)7n3;65<>"4n;03?:5+97a95`453A9nj6`n75820`45<<,:l965><;%;5g?7b:;1C?hh4n`57>42b;2c8jh4?::k0bc<722c?<=4?::m;=5<722wi><<6:087>5<7s-3j?7:98:&0b74d68m6`b2900e>hi:188m1672900c57?:188yg46:10:694?:1y'=d5=h=:927?!?1k3;n>?5G3dd8jd1328>n96g>o4no0;66g;0183>>i?190;66sm233f>4<4290;w)7n3;65<>"4n;03?:5+97a964573A9nj6`n75820`05<<,:l965=8;%;5g?46;91C?hh4n`57>42b?2c8jh4?::k0bc<722e35=4?::a5<7c28086=4?{%;b7?2102.8j?47889'=3e=91?h7E=jf:lb31<6hj:188m6`a2900c57?:188yg70=80:694>:5y'=d5=;oh0D47k;oc40?73m01b8=m<:088m16f83;17d:?a082>>i39m;1=75m40f1>5<3290;w)7n3;65<>"4n;03?95+97a905da3A9nj6`n75820`g5<5<;o>4?:3y]05e434>:h?4vP;0`28917c:39mj6s|41c2>5<5sW>;m<5240f1>1673ty?=i?50;0xZ17c927?=i<58828yxd6?<:1=7:51;6x l3;39?l27i80:66g;0`295?=h<8km6<44b53a4?6=<3:1=4$84`>16en2B8ik5aa66951cd3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty?53gg8yv27i80;6?uQ41c2?826j908jk5rs52b4?6=:rT?4=53a4?2782wx89j6<4;:087!?f;39mn6F69e9me22=9=oo7d:?c282>>o38h;1=75f41c3>4<<,:l965<7;%;5g?27jo1C?hh4n`57>42bm2c8jh4?::k0bc<722c?<=4?::m;=5<722wx8=m<:181[27k:168<==:2df?xu38h;1<7;39:81?kh4}r63e5<72;qU8=o?;<6277<3891v9?<1;296~X39:;019?<2;::4>{zj8>n57?54;390~">i:08jo5G98f8jd1328>nj6g=43g95?=n<9k86<44i3604?7=3f8=5h4>::`12<`=83>1<7>t$8c0>10?3-9m>76<3:&:2f<59::0D>ki;oc40?73n91b?kk50;9j7c`=831b8=>50;9l<<6=831v?:=e;296~X5<;o01?86f;1ea>{t<9k86=4={_63e6=::?3m6>hi;|q1066=838pR?:<0:?12<`=<9:0q~<99d83>7}Y:?3n70<99g8;=5=zuk;?i94>:582>1}#1h91?kl4H8;g?kg0<3;?j<5f250f>4<hj:188m6`a2900e9>?:188k=?72900q~<;2d83>7}Y:=8n70<97680b`=z{=:j?7>52z\74d5<5;<<;7=if:p61572909wS<;319>63102=:;7p}=66494?4|V;<<:63=6659<<6hm;I;:`>hf?=0:8k=4i3cb5?7=3`>9:i4>::k763>=931d=n9k:088f4e>=3:187>50z&:e6<3>11/?k<583:8 <0d28i>83:17p}=a`394?4|V;kj=63>c8797cc9:i4?:3y]070c34;h584vP;27:894e>=3>;<6s|1b5g>5<5sW;h;i521b;6>=?73twi=8=i:086>4<2s-3j?7=ib:J:=a=ii>>1=9h:;h7f4?7=3`>;5n4>::k740<729q/5l=547:8 6`5218n7)79c;63<<=O;ll0bl9;:06e2>o4nl0;66g>o3890;66g;0083>>i?190;66s|5d294?4|V52z\7407>42=:;7p};1e694?4|V=;o863;291905794>47919~yg73:4y'=d5=;oh0D47k;oc40?73n>1b=nmi:088m4edm3;17d?ld082>>o6km:1=75`1ba;>4<55;294~">i:0?:55+3g09<12<,0>1=9h7;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:onh50;0xZ4edn27:ool53gg8yv7dkl0;6?uQ1baf?87djk08jk5rs0ag5?6=:rT:oi?4=0aaf?2782wx=nj?:181[7dl916=nlm:522?xu6kj21<7;6kkh144>4}|`206b=93?1=7;t$8c0>6`e3A32h6`n75820c?h=:967?!?1k3;i<95G3dd8jd1328>mm6g>o4no0;66g;0183>>o3880;66a79183>>{t9k826=4={_3a6<=:9k;>6>hj;|q2f7>=838pR7}Y9k8i70?m148745=z{8h9m7>52z\2f7g<58h:97:?1:p5g452909wS?m239>5g72213;7psm127`>4<2280>w)7n3;1ef>N>1m1em::515da?l4fi;0:66gm7282>>o3:?n1=75f434;>4<5<2290;w)7n3;65<>"4n;034;5+97a9e=b<@:om7co84;37bf=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs3cb6?6=:rT9ml<4=c51>6`b3tyi;>4?:3y]f25<5k=96>hi;|q763b=838pR9<9d:?a37<3891v9<98;296~X3:?201o9=:522?xufjl0;6?uQacg89g15213;7psm127g>4<02;03w)7n3;1ef>N>1m1em::515dg?l4fi;0:66gma`82>>o3:?21=75fb6195?=n<;d>c3A9nj6`n75820cc5<5<6`a3tyiml4?:3y]fdg<5hoh69>?;|q763>=838pR9<98:?baf<3881vo9<:181[d0;27jii4vP;27f89dcc2:lm7p}=b3194?4|V;h9?63nee8745=z{hoi6=4={_cff>;fmj035=5rs`gg>5<5s4kno7=ie:?baa0<6202800ee`295?=h9l<86<44b0g4f?6==3:14c2:2B8ik5aa66950663`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2a=1=838pR7}Y9lk:70?j7c80bc=z{8o3:7>52z\2a=0<58o5`1e2=::7p}>e7194?4|V8o=?63>e6`9<<651;795?3|,0k86>hm;I;:`>hf?=0:9=<4i4gf>4<7?5;h63e5<622c?4;699'7c4=0?o0(48l:c`2?M5bn2dj;94>5118m6`b2900e>hi:188m1672900e9>>:188k=?72900q~;je;296~X2ml16=<>l:2df?xu38h81<7;699i1?kh4}r63e5<72;qU8=o?;<324f<3891v9>n3;296~X38h901{t98:i6=4={_324g=:98:h657?;|a517a280>6<4:{%;b7?5aj2B25i5aa66950633`?ni7?5;h63e5<622c?h=:953?!?1k3hi=6F7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xu2ml0;6?uQ5dg89477;39mi6s|41c3>5<5sW>;m=521020>6`a3ty?>82wvn?<=2;397?6=8r.2m>4;699'7c4=0:=0(48l:3304>N4mo1em::51425?l5am3:17d=if;29?j>>83:17pl=23695?5=83:p(4o<:54;?!5a:328;6*66b81566<@:om7co84;3642=n;oo1<75f3gd94?=h00:1<75rb2;61?7==3:165c=2B8ik5aa669506?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1670=9391<7>t$8c0>10?3-9m>76<7:&:2f<59::0D>ki;oc40?72801b?kk50;9j7c`=831d44>50;9~f6ea:3;1?7>50z&:e6<3>11/?k<58468 <0d2:9o96Fl8;39?l3a83;17d:>b482>>o39k<1=75`4061>4<7>55;294~">i:0?:55+3g09<66<,0mf:J0ac=ii>>1=8>l;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty?vP:f19>04252:lm7p};1c794?4|V=;i963;1509056:n;4?:3y]04d134>:8?4;009~w173:3:1>vP;15089173:322<6srb00ee?7==3;19v*6a280bg=O10n0bl9;:073`>o5=ho1=75f24cg>4<j<4>::`10d1=83?1<7>t$8c0>10?3-9m>7680:&:2f<59::0D>ki;oc40?728l1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w73fm3:1>vP=5`g8972f?39mi6s|24cg>5<5sW8>mi5225c4>6`a3ty99lm50;0xZ73fk2798l954128yv421j0;6?uQ24;`?843i>0?<<5rs4d2>5<5sW?m=63=4`59<<6kl51;795?3|,0k86>hm;I;:`>hf?=0:9=h4i37ba?7=3`8>mi4>::k11de=931b>87l:088k0`52800n?:n8;291?6=8r.2m>4;699'7c4=01<0(48l:3304>N4mo1em::51433?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=5`g94?4|V;?ji63=4`:97ccmi4?:3y]60gc348?m54vP=5`a8972f03>;<6s|24;`>5<5sW8>5n5225c;>1663ty>j?4?:3y]1c4<5;>j47660:~f72>n3;197>50z&:e6<3>11/?k<57948 <0d2;;8<6F4<55;294~">i:0?:55+3g09<10<,0mf:J0ac=ii>>1=8?<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty?=o=50;0xZ17e;27?=9m53gg8yv26j=0;6?uQ40`7?826o38j21=75f5g195?=n<8h=6<44i53a1?7=3f>:894>::`7512=83?1<7>t$8c0>10?3-9m>76<1:&:2f<38kl0D>ki;oc40?729<1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w16d03:1>vP;0b:89173<39mi6s|5g194?4|V4580bc=z{=;i:7>52z\75g0<5=;?87:?0:p04d22909wS:>b49>04232=::7p};15694?4|V=;?863;1569<<6hm;I;:`>\4nj0:w4?i:|lb31<6=8<0e>9i8;39?l5?:k0:66g<7e`95?=n;l:h6<44o2af6?7=3f?:o7?5;c1`a7<72<0;6=u+9`1903><,:l965?l;%;5g?54l<1C?hh4n`57>436?2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7fc3290>6=4?{%;b7?2102.8j?48b59'=3e=;:n>7E=jf:lb31<6=820e>hj:188m6`a2900e9>?:188m1662900c57?:188yv50n10;6>uQ36d;?85dm;08jh523bg7>6`a3ty84?l50;1xZ6>5j278oh<53gd896eb<3>;<6s|36fa>5<4sW9167349hi94;=63c:?0g`2=00:0qpl>64:95?0=:3l2P8jn4>{83e>xhf?=0:9<74i25el4>::k03ag=931b?h>l:088k6ecl3;17b;>e;39?g5dlm0;684?:1y'=d5=h=:93`?!?1k398h85G3dd8jd1328?:m6g>o4no0;66g;0183>>o3880;66a79183>>{e;jnm6=4::183!?f;3>=46*j:;I1fb>hf?=0:95<5<5<7fba2:lm7p}<83c94?5|V:29m637fbc2=:;70=ldg80b`=z{:o;o7>53z\0a5e<5:ioh7:?1:?0ga`=<9;0q~=lde83>7}Y;jno70=lde8;=5=z{<;n6=4={_72a>;4kml144>4}|`2201=93<1>78t$8c0>6`e3A32h6T50a8m61a03;17d=72882>>o4?m31=75f3d2`>4<5}#1h918;64$2d1>=7d3-3=o7=d:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wi?njn:186>5<7s-3j?7:98:&0b7<0j=1/5;m532f6?M5bn2dj;94>50g8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=8f983>6}Y;>l370=ld980b`=:;jnj6>hi;|q0<7?=839pR>6=9:?0ga>=;ol01>mka;634>{t;>n26=4<{_14`<=:;jn369>?;<1``d<4nl1v>k?c;297~X4m9i01>mk8;635>;4kmk18=?4}r1``=<72;qU?nj7;<1``=<<1=7852;4x mk3;39?j35;3;17o=ld283>0<729q/5l=547:8 6`521;h7)79c;10`0=O;ll0bl9;:0714>o4nl0;66g>o3890;66g;0083>>i?190;66sm3bf6>5<2290;w)7n3;65<>"4n;05<5<;4km91?kh4=2ag1?2782wx?:j7:180[50l116?nj<:523?85dl<08jh5rs2g3g?6=;rT8i=m4=2ag7?279278oi;54138yv5dl:0;6?uQ3bf0?85dl:035=5rs400>5<5sW?9?63hm;I;:`>\4nj0:w4?i:|lb31<6=;80e>9i8;39?l5?:<0:66g<7e795?=n;l:h6<44o2a`a?7=3f?997?5;c1`g`<72<0;6=u+9`1903><,:l965?l;%;5g?54l<1C?hh4n`57>435;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7fb7290>6=4?{%;b7?2102.8j?48b59'=3e=;:n>7E=jf:lb31<6=;>0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv50n10;6>uQ36d;?85dkl08jh523bf3>6`a3ty84?;50;1xZ6>5=278onk53gd896ec83>;<6s|36f6>5<4sW9167349hh=4;=6364695?0=:3l2P8jn4>{83e>xhf?=0:9?;4i25e::k03fg=931b?h>l:088k6een3;17b;=7;39?g5djo0;684?:1y'=d5=h=:93`?!?1k398h85G3dd8jd1328?9:6g>o4no0;66g;0183>>o3880;66a79183>>{e;ji:6=4::183!?f;3>=46*j:;I1fb>hf?=0:9?94i2df>5<5<5<7fe62:lm7p}<80c94?5|V:2:m637fda2=:;70=lc080b`=z{:o;o7>53z\0a5e<5:iij7:?1:?0gf7=<9;0q~=lbg83>7}Y;jhm70=lbg8;=5=z{<8<6=4={_713>;4kj;144>4}|`2205=93<1>78t$8c0>6`e3A32h6T53:8m61a03;17d=70g82>>o4?kl1=75f3d2`>4<5}#1h918;64$2d1>=7d3-3=o7=5<7s-3j?7:98:&0b7<0j=1/5;m532f6?M5bn2dj;94>53c8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=8f983>6}Y;>l370=l8980b`=:;j2j6>hi;|q0<5`=839pR>6?f:?0g=>=;ol01>m7a;634>{t;>hm6=4<{_14fc=:;j2369>?;<1`k?c;297~X4m9i01>m78;635>;4k1k18=?4}r1`<=<72;qU?n67;<1`<=<81=7852;4x m:1;39?j35j3;17o=l5083>0<729q/5l=547:8 6`521;h7)79c;10`0=O;ll0bl9;:071g>o4nl0;66g>o3890;66g;0083>>i?190;66sm3b70>5<2290;w)7n3;65<>"4n;05<5<;4k<;1?kh4=2a67?2782wx?:lj:180[50jl16?n;>:523?85d=:08jh5rs2g3g?6=;rT8i=m4=2a65?279278o8=54138yv5d=80;6?uQ3b72?85d=8035=5rs40a>5<5sW?9n636k2.2:n4<3e78L6ca3gk<87?:2d9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>mj7;391?6=8r.2m>4;699'7c4=08i0(48l:21g1>N4mo1em::5140e?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa66950563`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0ggg=93?1<7>t$8c0>10?3-9m>76>c:&:2f<4;m?0D>ki;oc40?72;;1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6ee=3;197>50z&:e6<3>11/?k<580a8 <0d2:9o96F">>j08?i;4H2ge?kg0<3;>?95f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:ijn7?55;294~">i:0?:55+3g09<4e<,0
    =k5:J0ac=ii>>1=8=:;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8ol851;794?6|,0k86987;%1e6?>6k2.2:n4<3e78L6ca3gk<87?:379j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>mn1;391?6=8r.2m>4;699'7c4=08i0(48l:21g1>N4mo1em::51414?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa669505>3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0g<4=93?1<7>t$8c0>10?3-9m>76>c:&:2f<4;m?0D>ki;oc40?72;h1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6e?l3;197>50z&:e6<3>11/?k<580a8 <0d2:9o96F">>j08?i;4H2ge?kg0<3;>?n5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:ii:0?:55+3g09<4e<,0
    =k5:J0ac=ii>>1=8=k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8o:751;794?6|,0k86987;%1e6?>6k2.2:n4<3e78L6ca3gk<87?:3d9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>m84;391?6=8r.2m>4;699'7c4=08i0(48l:21g1>N4mo1em::5141e?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa66950263`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0g33=93?1<7>t$8c0>10?3-9m>76>c:&:2f<4;m?0D>ki;oc40?72<;1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6e183;197>50z&:e6<3>11/?k<580a8 <0d2:9o96F87d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd4k">>j08?i;4H2ge?kg0<3;>895f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:i>:7?55;294~">i:0?:55+3g09<4e<,0
    =k5:J0ac=ii>>1=8::;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th9>?651;194?6|,0k86987;%1e6?>4?2.2:n4=1228L6ca3gk<87?:479j7cc=831b?kh50;9l<<6=831vn>l:f;390?6=8r.2m>4;699'7c4=?0>0(48l:21g1>N4mo1em::51464?l5am3:17d=if;29?l2783:1D>m7;:m;=5<722wi?o9>:086>5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94>55:8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=m7182>6<729q/5l=547:8 6`521??7)79c;10`0=O;ll0bl9;:077=>o4nl0;66gM5d021d44>50;9~f6d1k3;197>50z&:e6<3>11/?k<57b:8 <0d2:9o96Fj7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd4j?h1=7=50;2x ">>j08?i;4H2ge?kg0<3;>8o5f3gg94?=n;ol1<7F0<729q/5l=547:8 6`52>i37)79c;10`0=O;ll0bl9;:077g>o4nl0;66g>o3890;66g;0083>>i?190;66sm3c45>4<4290;w)7n3;65<>"4n;03995+97a976b23A9nj6`n758211b5">>j08?i;4H2ge?kg0<3;>8h5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:h=87?55;294~">i:0?:55+3g093a0<,0
    =k5:J0ac=ii>>1=8:i;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th::9j51;795?3|,0k86>hm;I;:`>hf?=0:98>4i2;2f?7=3`<:m7?5;h7g1?7=3`9i494>::m0f34=931i?o8=:186>5<7s-3j?7:98:&0b7<01;1/5;m532f6?M5bn2dj;94>5438m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=61c83>7}Y;0;i70=m6380b`=z{?;j6=4={_42e>;4j?81?kh4}r7g1?6=:rT>h8523c41>1673ty8n5:50;0xZ6d?<278n;<54138yv5e>;0;6?uQ3c41?85e>;035=5r}c1a24<62<0;6=u+9`1903><,:l96:j9;%;5g?54l<1C?hh4n`57>432:2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a674f28086=4?{%;b7?2102.8j?47369'=3e=:89;7E=jf:lb31<6=<90e>hj:188m6`a2900c57?:188yg45:m0:6>4?:1y'=d5=h=:914?!?1k38:?=5G3dd8jd1328?>86g>o4no0;66a79183>>{e:;9;6<4<:183!?f;3>=46*<=?;I1fb>hf?=0:98;4i2df>5<5<;l4>:582>1}#1h91?kl4H8;g?kg0<3;>9;5f2dg4>4<hj:18K7f><3`9mj7>5;h634?6=3f22<7>5;|q1a`1=838pR?kj7:?1b<4=;oo0q~:?a183>7}Y<9k;7052z\74d7<5;l2>7:?0:p6c?62909wS6c?5213;7psm1456>4<3280?w)7n3;1ef>N>1m1em::5147;?l4bm=0:66g;0`295?=n<9k:6<44o3d45}#1h918;64$2d1>=533-3=o76c1>2:ln7p};0`294?4|V=:j<63=f6;97c`;m<4?:3y]05g6348m;44;019~w7`003:1>vP=f6:897`01322<6srb0632?7=<3;18v*6a280bg=O10n0bl9;:076e>o68==1=75f41c3>4<3?2.2:n4mb09K7``52z\2411<58:?=7=ie:p05g72909wS:?a19>55262:lm7p};0`694?4|V=:j863>0539056:4y'=d5=;oh0D47k;oc40?72=j1b>hk;:088m7d5;3;17d:?a082>>o38h:1=75`2g53>4<55;294~">i:0?:55+3g09<7`<,0>1=8;k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9ih:50;0xZ7cb<279j:?53gg8yv4e::0;6?uQ2c00?84a?808jk5rs52b5?6=:rT?k9>:522?xu5n>:1<7;5n>;144>4}|`204>=93?1=7;t$8c0>6`e3A32h6`n758210c>7?5;h63e6<622c?l9;39?g77kh0;684?:1y'=d5=h=:941?!?1k3hi=6F;68jk1?kk4}r63e6<72;qU8=o<;<33gd<4no1v9>n1;296~X38h;01<>la;634>{t<9k96=4={_63e7=:99ij69>>;|q24f?=838pR<>l9:?24fg=00:0qpl>40595?3=93?p(4o<:2da?M?>l2dj;94>5728m463>3;17d:?a282>>o38h;1=75f41c1>4<<,:l9658=;%;5g?de92B8ik5aa66950063`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2410=838pR<>;6:?24f4=;oo0q~:?a283>7}Y<9k870??c380bc=z{=:j=7>52z\74d7<58:h>7:?0:p05g52909wS:?a39>55e52=::7p}>0b394?4|V8:h=63>0b09<<6hm;I;:`>hf?=0:9;<4i0266?7=3`>;m<4>::k74d4=931b8=o<:088k46e13;17o??b`83>0<729q/5l=547:8 6`521N4mo1em::51440?l5am3:1D>m7;:k0bc<722c?<=4?::k744<722e35=4?::p55352909wS??539>55df2:ln7p};0`394?4|V=:j=63>0cc97c`;m?4?:3y]05g534;;nl4;019~w16f;3:1>vP;0`18946ei3>;=6s|11`:>5<5sW;;n45211`b>=?73twi=9?::086>4<2s-3j?7=ib:J:=a=ii>>1=88;;h3303<622c?n3;39?j77j80:66l>0c094?3=83:p(4o<:54;?!5a:32=h6*66b8af4=O;ll0bl9;:0751>o4nl0;66g>o3890;66g;0083>>i?190;66s|1165>5<5sW;;8;5211`1>6`b3ty?:181[77j816==l=:9;3?x{e9=;?6<4::086!?f;39mn6F69e9me22=9<<=7d??5382>>o38h91=75f41c1>4<1<2.2:n4mb09K7``;68hk1?kh4}r63e7<72;qU8=o=;<33ed<3891v9>n1;296~X38h;01<>na;635>{t99k26=4={_33e<=:99kj657?;|a5174280>6<4:{%;b7?5aj2B25i5aa669500?3`;;8;4>::k74d5=931b8=o=:088m16f93;17b??a082>>d68h81<7;50;2x ">>j0in<5G3dd8jd1328?=56g>o4no0;66g;0183>>o3880;66a79183>>{t99>=6=4={_3303=:99k96>hj;|q74d5=838pR9>n3:?24d4=;ol0q~:?a383>7}Y<9k970??a38745=z{=:j=7>52z\74d7<58:j>7:?1:p55g62909wS??a09>55g5213;7psm1531>4<2280>w)7n3;1ef>N>1m1em::5144b?l77=;0:66g;0`395?=n<9k86<44i52b6?7=3f;;544>::`24t$8c0>10?3-9m>769e:&:2f431j2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p55352909wS??539>55?f2:ln7p};0`394?4|V=:j=63>08c97c`;m>4?:3y]05g434;;5l4;019~w16f:3:1>vP;0`08946>i3>;=6s|11;:>5<5sW;;545211;b>=?73twi=9?>:086>4<2s-3j?7=ib:J:=a=ii>>1=88l;h3303<622c?n2;39?j77180:66l>08094?3=83:p(4o<:54;?!5a:32=i6*66b8af4=O;ll0bl9;:075`>o4nl0;66g>o3890;66g;0083>>i?190;66s|1165>5<5sW;;8;5211;1>6`b3ty?:181[771816==7=:9;3?x{e9=:m6<4::086!?f;39mn6F69e9me22=9<>o38h81=75f41c0>4<1<2.2:n4mb09K7``;68181?kh4}r63e6<72;qU8=o<;<33<7<3891v9>n1;296~X38h;01<>72;635>{t992:6=4={_33<4=:9929657?;|a516b280>6<4:{%;b7?5aj2B25i5aa66950173`;;8;4>::k74d4=931b8=o<:088m16f93;17b??7882>>d68>k1<7;50;2x ">>j0in<5G3dd8jd1328?<=6g>o4no0;66g;0183>>o3880;66a79183>>{t99>=6=4={_3303=:99=j6>hj;|q74d4=838pR9>n2:?242g=;ol0q~:?a283>7}Y<9k870??7`8745=z{=:j=7>52z\74d7<58:2909wS??789>551f213;7psm13:e>4<3280?w)7n3;1ef>N>1m1em::51451?l5?880:66g<85095?=n<;2<6<44o5;0>4<54;294~">i:0?:55+3g09<7><,0
    =k5:J0ac=ii>>1=89<;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q0<57=838pR>6?1:?0bg>=;oo0q~=74383>7}Y;1>970=ib980bc=z{=83;7>52z\76=1<5:li47:?0:p0<5=838pR97<;<1ef=0<62n1;39?l27i;0:66g;0`195?=h99=:6<44b0246?6==3:1gd63A9nj6`n75821235<5<n1;296~X38h;01<>82;1eb>{t<9k96=4={_63e7=:99=969>?;|q74d5=838pR9>n3:?2424=<9;0q~??7083>7}Y99=:70??738;=5=zuk;?:482>0}#1h91?kl4H8;g?kg0<3;>;;5f1165>4<2800n<>9a;291?6=8r.2m>4;699'7c4=0?o0(48l:c`2?M5bn2dj;94>5658m6`b2900e>hi:188m1672900e9>>:188k=?72900q~??4783>7}Y99>=70??6`80b`=z{=:j=7>52z\74d7<58:=m7=if:p05g52909wS:?a39>550f2=:;7p};0`194?4|V=:j?63>07c905734;;:l47919~yg738k0:684>:4y'=d5=;oh0D47k;oc40?72?11b==;=:088m16f;3;17d:?a082>>o38h81=75`1142>4<7>55;294~">i:0?:55+3g09<3c<,0;I1fb>hf?=0:9:74i2df>5<5<5<vP;0`189461:39mj6s|41c2>5<5sW>;m<521141>1673ty?80;6?uQ1142?877>;035=5r}c374d<62<0:68u+9`197cd<@03o7co84;363d=n99>=6<44i52b7?7=3`>;m<4>::k74d4=931d==;6:088f462i3:197>50z&:e6<3>11/?k<587g8 <0d2kh:7E=jf:lb31<6=>h0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv77:181[27i816==;n:523?xu38h81<7;680<62n1;39?l27i;0:66g;0`195?=h99>n6<44b027b?6==3:14$84`>gd63A9nj6`n758212b5<5<n1;296~X38h;01<>;f;1eb>{t<9k96=4={_63e7=:99>m69>?;|q74d5=838pR9>n3:?241`=<9;0q~??4d83>7}Y99>n70??4g8;=5=zuk;?<>4>:482>0}#1h91?kl4H8;g?kg0<3;>;h5f1165>4<;0;291?6=8r.2m>4;699'7c4=0>:0(48l:c`2?M5bn2dj;94>56d8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~??4783>7}Y99>=70??4180b`=z{=:j=7>52z\74d7<58:?<7=if:p05g52909wS:?a39>55272=:;7p};0`194?4|V=:j?63>0529057:4y'=d5=;oh0D47k;oc40?72091b>n:;:088m7e3>3;17d>o2=k0:66a=d6a95?=e:m=h6=4::183!?f;3>=46*o<:;I1fb>hf?=0:95?4i2df>5<5<5<3:1>vP=c54897b0k39mj6s|2c00>5<5sW8i>>522e5`>1673ty>9o4?:3y]10d<5;n6a1d213;7psm13dg>4<2280>w)7n3;1ef>N>1m1em::514:1?l27i=0:66g;0`095?=n<9k86<44i52b1?7=3f?m87?5;c0e<,:l965::;%;5g?4cn=1C?hh4n`57>43?;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p05g32909wS:?a59>6c>a2:ln7p};0`094?4|V=:j>63=f9d97c`;m>4?:3y]05g4348m4k4;019~w16f=3:1>vP;0`7897`?n3>;=6s|5g694?4|V:482>0}#1h91?kl4H8;g?kg0<3;>495f41c5>4<;2;291?6=8r.2m>4;699'7c4=0<:0(48l:c`2?M5bn2dj;94>5978m6`b2900e>hi:188m1672900e9>>:188k=?72900q~:?a783>7}Y<9k=70??4380b`=z{=:j;7>52z\74d1<58:?>7=if:p05g22909wS:?a49>55252=:;7p};08794?4|V=:2963>05090574?:1y'=d5=h=:914?!?1k38:?=5G3dd8jd1328?3:6g>o4no0;66a79183>>{e9?>h6<4::086!?f;39mn6F69e9me22=9<2<7d=m8e82>>o40l31=75f39fg>4<082.2:n4<3e78L6ca3gk<87?:899j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>l7d;296~X4j1n01>l:5;1ea>{t;1o26=4={_1;a<=:;k?>6>hi;|q06kd:?0f03=<9:0q~=7dd83>7}Y;1nn70=m548744=z{:h>97>52z\0f03<5:h>97660:~f6d2>3;1?7>50z&:e6<3>11/?k<58258 <0d2:9o96F">>j08?i;4H2ge?kg0<3;>4l5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8ij57?54;294~">i:0?:55+3g09<55<,0>1=86m;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`2gd>=93>1<7>t$8c0>10?3-9m>76?3:&:2f<6k>i0D>ki;oc40?720j1b?kk50;9j7c`=831b8=>50;9l<<6=831vn4;699'7c4=0990(48l:0a4g>N4mo1em::514:g?l5am3:17d=if;29?l2783:17b660;29?xd6kh<1=7:50;2x ">>j0:o:m4H2ge?kg0<3;>4h5f3gg94?=n;ol1<75f41294?=h00:1<75rb0ab1?7=<3:14e0k2B8ik5aa66950>a3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:ol:51;694?6|,0k86987;%1e6?>7;2.2:n4>c6a8L6ca3gk<87?:919j7cc=831b?kh50;9j056=831d44>50;9~f4ef;3;187>50z&:e6<3>11/?k<58118 <0d28i>83:17pl>c`095?2=83:p(4o<:54;?!5a:32;?6*66b82g2e<@:om7co84;36=7=n;oo1<75f3gd94?=n<9:1<75`88294?=zj8ij=7?54;294~">i:0?:55+3g09<55<,0>1=87<;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`2gd6=93>1<7>t$8c0>10?3-9m>76?3:&:2f<6k>i0D>ki;oc40?721=1b?kk50;9j7c`=831b8=>50;9l<<6=831vn4;699'7c4=0990(48l:0cg<>N4mo1em::514;6?l5am3:17d=if;29?l2783:17b660;29?xd6j981=7:50;2x ">>j0:mi64H2ge?kg0<3;>5;5f3gg94?=n;ol1<75f41294?=h00:1<75rb0`35?7=<3:14gc02B8ik5aa66950?03`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:n=>51;694?6|,0k86987;%1e6?>7;2.2:n4>ae:8L6ca3gk<87?:999j7cc=831b?kh50;9j056=831d44>50;9~f4gan3;187>50z&:e6<3>11/?k<58118 <0d28ko46F>83:17pl>agg95?2=83:p(4o<:54;?!5a:32;?6*66b82ea><@:om7co84;36=d=n;oo1<75f3gd94?=n<9:1<75`88294?=zj8kmh7?54;294~">i:0?:55+3g09<55<,0>1=87m;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`2ece=93>1<7>t$8c0>10?3-9m>76?3:&:2f<6im20D>ki;oc40?721j1b?kk50;9j7c`=831b8=>50;9l<<6=831vn?<<4;397?6=8r.2m>4;699'7c4=0:=0(48l:3304>N4mo1em::514;g?l5am3:17d=if;29?j>>83:17pl>76d95?2=93>p(4o<:2da?M?>l2dj;94>58g8m6e6?3;17d:?8982>>o3010:66a;3c595?=e;j;?6=4;:183!?f;3>=46*j:;I1fb>hf?=0:94h4i2df>5;4k8>1?kk4}r63<=<72;qU8=67;<1`51<4no1v967:181[2?0278o<:54128yv24j>0;6?uQ42`4?85d9=035=5r}c343`<62=0:69u+9`197cd<@03o7co84;36e5=n;j;86<44i52;3?7=3`>347?5;n60f3<622h8o<>50;694?6|,0k86987;%1e6?>392.2:n4<3e78L6ca3gk<87?:a09j7cc=83B8o554i2de>5<5<4?:3y]7f74349h==4vP;095896e6839mj6s|49:94?4|V=2370=l118745=z{=9i:7>52z\77g0<5:i:<7660:~f410l3;187?54z&:e6<4nk1C54j4n`57>43f:2c8o=h51;9j05>12800e967:088k15e=3;17o=l0b83>1<729q/5l=547:8 6`521>:7)79c;10`0=O;ll0bl9;:07b7>o4nl0;6E=l8:9j7c`=831b8=>50;9l<<6=831v>m?f;296~X4k9l01>m?c;1ea>{t<92=6=4={_63<3=:;j:h6>hi;|q7<=<72;qU8564=2a3g?2782wx8>l::181[24j<16?n>l:9;3?x{e9>=h6<4;:087!?f;39mn6F69e9me22=9>o381?1=75f49:95?=h<:h?6<44b2a365c=2B8ik5aa66950g23`9mi7>5H2a;?>o4no0;66g;0183>>i?190;66s|3b2a>5<5sW9h6`b3ty?<5;50;0xZ16?=278o=653gd8yv2?03:1>vP;899>7f6?2=:;7p};3c694?4|V=9i863hm;I;:`>hf?=0:9l84i2a33?7=3`>;494>::k7<=<622e??o=51;9a7f63290?6=4?{%;b7?2102.8j?47409'=3e=;:n>7E=jf:lb31<6=h=0e>hj:18K7f><3`9mj7>5;h634?6=3f22<7>5;|q0g51=838pR>m?7:?0g52=;oo0q~:?8583>7}Y<92?70=l0580bc=z{=236=4={_6;<>;4k9>18=>4}r60f6<72;qU8>l<;<1`411<62=q/5l=53g`8L73;39?l2?03;17b:>d4k9:1<7:50;2x ">>j08?i;4H2ge?kg0<3;>m45f3gg94?N4k110e>hi:188m1672900c57?:188yv5d8:0;6?uQ3b20?85d8908jh5rs52;7?6=:rT?<5=4=2a34?5an2wx85650;0xZ1>?349h<=4;019~w15e:3:1>vP;3c0896e78322<6srb054=?7=<3;18v*6a280bg=O10n0bl9;:07be>o4jol1=75f41:1>4<5}#1h918;64$2d1>=263-3=o7=52z\0fc`<5:hmo7=ie:p05>52909wS:?839>7g`d2:lm7p};8983>7}Y<1201>lic;634>{t<:h:6=4={_60f4=:;klh657?;|a521?280?6<4;{%;b7?5aj2B25i5aa66950gd3`9ij54>::k74=6=931b85651;9l06ga2800n>li5;290?6=8r.2m>4;699'7c4=0=;0(48l:21g1>N4mo1em::514cg?l5am3:1D>m7;:k0bc<722c?<=4?::m;=5<722wx?oh7:181[5en116?oh::2df?xu381:1<7;4jo?1?kh4}r6;1673ty??lh50;0xZ15fn278nk;58828yxd6?>=1=7:51;6x li4;39?l27?o0:66g;8982>>i3;ho1=75m3cd2>5<3290;w)7n3;65<>"4n;038<5+97a976b23A9nj6`n75821d`>83:17p};;k4?:3y]051a349ij<4?2909wS:78:?0fc7=<9:0q~:7}Y<:kn70=mf08;=5=zuk;<;;4>:582>1}#1h91?kl4H8;g?kg0<3;>n=5f3cd3>4<8mi4>::`0f`b=83>1<7>t$8c0>10?3-9m>76;1:&:2f<4;m?0D>ki;oc40?72j81b?kk50;J0g==5<8e;296~X38>o01>ljd;1eb>{t<121<7>82wvn<985;390?7=4?2800c9=nc;39?g5em00;694?:1y'=d5=h=:962?!?1k398h85G3dd8jd1328?i?6gM5d021b?kh50;9j056=831d44>50;9~w6dbk3:1>vP5<5sW>;;i523cg:>6`a3ty?454?:3y]0=><5:hn57:?0:p06gd2909wS:7gc>213;7psm1657>4<3280?w)7n3;1ef>N>1m1em::514`7?l5em10:66g;06a95?=n<121=75`42ca>4<54;294~">i:0?:55+3g09<17<,0
    =k5:J0ac=ii>>1=8l:;h1ea?6=@:i376g>o3890;66a79183>>{t;ko36=4={_1aa==:;ko>6>hj;|q742e=838pR9>8c:?0f`3=;ol0q~:78;296~X30116?ok::523?xu3;hh1<7;4jl?144>4}|`2325=93>1=7:t$8c0>6`e3A32h6`n75821g0::m77dg=931i?ok>:187>5<7s-3j?7:98:&0b75c58m6`b290C?n64;h1eb?6=3`>;<7>5;n::4?6=3ty8nh:50;0xZ6db<278nh?53gg8yv27?k0;6?uQ415a?85em808jk5rs5:;>5<5sW>34638ml4?:3y]06gf349ii<47919~yg70?;0:694>:5y'=d5=;oh0D47k;oc40?72j11b?ok?:088m160i3;17d:78;39?j24i00:66l?:188k=?72900q~=me183>7}Y;ko;70=mde80b`=z{=:52z\742g<5:hoh7=if:p0=>=838pR967;<1a`a<3891v9=n9;296~X3;h301>lkd;::4>{zj8=<=7?54;390~">i:08jo5G98f8jd1328?im6g4<<,:l965:>;%;5g?54l<1C?hh4n`57>43ej2c8jh4?:I1`<>=n;ol1<75f41294?=h00:1<75rs2`gg?6=:rT8nim4=2`g=?5am2wx8=96:181[27?016?oj6:2de?xu3010;6?uQ49:896dc13>;<6s|42c;>5<5sW>8m5523cf:>=?73twi=:9?:087>4<3s-3j?7=ib:J:=a=ii>>1=8ll;h1a`=<622c?<:651;9j0=>=931d8>o8:088f6dc=3:187>50z&:e6<3>11/?k<58538 <0d2:9o96F7gb22:ln7p};06:94?4|V=:<463347>52z\7<==:;kn>69>?;|q77d1=838pR9=n7:?0fa3=00:0qpl>77d95?2=93>p(4o<:2da?M?>l2dj;94>5cg8m6dc<3;17d:?7682>>o3010:66a;3`495?=e;kn:6=4;:183!?f;3>=46*j:;I1fb>hf?=0:9oh4i2df>51<7;4jm;1?kk4}r6332<72;qU8=98;<1a`4<4no1v967:181[2?0278ni?54128yv24i?0;6?uQ42c5?85el8035=5r}c342`<62=0:69u+9`197cd<@03o7co84;36g5=n;kn;6<44i5242?7=3`>347?5;n60e0<622h8nnj50;694?6|,0k86987;%1e6?>392.2:n4<3e78L6ca3gk<87?:c09j7cc=83B8o554i2de>5<5<3:1>vP;064896ddl39mj6s|49:94?4|V=2370=mce8745=z{=9j97>52z\77d3<5:hhh7660:~f411l3;187?54z&:e6<4nk1C54j4n`57>43d:2c8nnm51;9j05122800e967:088k15f<3;17o=mc883>1<729q/5l=547:8 6`521>:7)79c;10`0=O;ll0bl9;:07`7>o4nl0;6E=l8:9j7c`=831b8=>50;9l<<6=831v>llc;296~X4jji01>ll9;1ea>{t<9=>6=4={_6330=:;ki26>hi;|q7<=<72;qU8564=2``=?2782wx8>o;:181[24i=16?om6:9;3?x{e9>>o38>>1=75f49:95?=h<:k86<44b2``1?6=<3:165c=2B8ik5aa66950e23`9mi7>5H2a;?>o4no0;66g;0183>>i?190;66s|3ca;>5<5sW9io5523ca6>6`b3ty?<::50;0xZ160<278nn;53gd8yv2?03:1>vP;899>7ge22=:;7p};3`194?4|V=9j?63hm;I;:`>hf?=0:9n84i2``0?7=3`>;;>4>::k7<=<622e??l<51;9a7ge6290?6=4?{%;b7?2102.8j?47409'=3e=;:n>7E=jf:lb31<6=j=0e>hj:18K7f><3`9mj7>5;h634?6=3f22<7>5;|q0ff2=838pR>ll4:?0ff7=;oo0q~:?7283>7}Y<9=870=mc080bc=z{=236=4={_6;<>;4jj;18=>4}r60e7<72;qU8>o=;<1ag41<62=q/5l=53g`8L82;39?l2?03;17b:>d4jkn1<7:50;2x ">>j08?i;4H2ge?kg0<3;>o45f3gg94?N4k110e>hi:188m1672900c57?:188yv5ek90;6?uQ3ca3?85ejm08jh5rs5246?6=:rT?<:<4=2`a`?5an2wx85650;0xZ1>?349ini4;019~w15f93:1>vP;3`3896del322<6srb055=?7=<3;18v*6a280bg=O10n0bl9;:07`e>o4jki1=75f4152>4<5}#1h918;64$2d1>=263-3=o7=52z\0fge<5:hi57=ie:p05162909wS:?709>7gd>2:lm7p};8983>7}Y<1201>lm9;634>{t<:k;6=4={_60e5=:;kh2657?;|a520?280?6<4;{%;b7?5aj2B25i5aa66950ed3`9in54>::k7426=931b85651;9l06?a2800n>lm5;290?6=8r.2m>4;699'7c4=0=;0(48l:21g1>N4mo1em::514ag?l5am3:1D>m7;:k0bc<722c?<=4?::m;=5<722wx?ol7:181[5ej116?ol::2df?xu38>:1<7;4jk?1?kh4}r6;1673ty??4h50;0xZ15>n278no;58828yxd6??=1=7:51;6x lm4;39?l27>o0:66g;8982>>i3;0o1=75m3c`2>5<3290;w)7n3;65<>"4n;038<5+97a976b23A9nj6`n75821f`>83:17p};:k4?:3y]050a349in<4?2909wS:78:?0fg7=<9:0q~:<9d83>7}Y<:3n70=mb08;=5=zuk;<:;4>:582>1}#1h91?kl4H8;g?kg0<3;>h=5f3c`3>4<85i4>::`0fdb=83>1<7>t$8c0>10?3-9m>76;1:&:2f<4;m?0D>ki;oc40?72l81b?kk50;J0g==5<9e;296~X38?o01>lnd;1eb>{t<121<7>82wvn<995;390?7=4?2800c9=6c;39?g5ei00;694?:1y'=d5=h=:962?!?1k398h85G3dd8jd1328?o?6gM5d021b?kh50;9j056=831d44>50;9~w6dfk3:1>vP5<5sW>;:i523cc:>6`a3ty?454?:3y]0=><5:hj57:?0:p06?d2909wS:<9b9>7gg>213;7psm1647>4<3280?w)7n3;1ef>N>1m1em::514f7?l5ei10:66g;07a95?=n<121=75`42;a>4<54;294~">i:0?:55+3g09<17<,0
    =k5:J0ac=ii>>1=8j:;h1ea?6=@:i376g>o3890;66a79183>>{t;kk36=4={_1ae==:;kk>6>hj;|q743e=838pR9>9c:?0fd3=;ol0q~:78;296~X30116?oo::523?xu3;0h1<7;4jh?144>4}|`2335=93>1=7:t$8c0>6`e3A32h6`n75821a0::m77:187>5<7s-3j?7:98:&0b75e58m6`b290C?n64;h1eb?6=3`>;<7>5;n::4?6=3ty8nl:50;0xZ6df<278nl?53gg8yv27>k0;6?uQ414a?85ei808jk5rs5:;>5<5sW>346385l4?:3y]06?f349im<47919~yg70>;0:694>:5y'=d5=;oh0D47k;oc40?72l11b?oo?:088m161i3;17d:78;39?j24100:66l?:188k=?72900q~=ma183>7}Y;kk;70=m9e80b`=z{=:=m7>52z\743g<5:h2h7=if:p0=>=838pR967;<1a=a<3891v9=69;296~X3;0301>l6d;::4>{zj8===7?54;390~">i:08jo5G98f8jd1328?om6g4<<,:l965:>;%;5g?54l<1C?hh4n`57>43cj2c8jh4?:I1`<>=n;ol1<75f41294?=h00:1<75rs2`:g?6=:rT8n4m4=2`:7?5am2wx8=86:181[27>016?o7<:2de?xu3010;6?uQ49:896d>;3>;<6s|42;;>5<5sW>855523c;0>=?73twi?o96:086>5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94>5ea8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=m7482>6<729q/5l=547:8 6`521??7)79c;10`0=O;ll0bl9;:07g`>o4nl0;6E=l8:9j7c`=831d44>50;9~f744>3;1?7>50z&:e6<3>11/?k<58258 <0d2;;8<6F=o1=7:51;6x 6kc;39?l5?m=0:66g<8d395?=h;k2o6<44b2`;a?6=<3:165c=2B8ik5aa66950c73`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty84im50;0xZ6>ck278n5k53gg8yv5?m=0;6?uQ39g7?85e0l08jk5rs2:f5?6=:rT84h?4=2`;a?2782wx?o6k:181[5e0m16?o6j:9;3?x{e;0?26<4<:183!?f;3>=46*j:;I1fb>hf?=0:9h?4i2df>5<5<:483>5}#1h918;64$2d1>2b>3-3=o7=5<7s-3j?7:98:&0b75d18m6`b2900e>hi:188k=?72900qo<=3982>6<729q/5l=547:8 6`5219<7)79c;0275=O;ll0bl9;:07f0>o4nl0;66g>i?190;66sm170f>4<3280?w)7n3;1ef>N>1m1em::514g6?l50jm0:66g;1e295?=n;?836<44o2;:5}#1h918;64$2d1>=003-3=o7=72:ln7p};1e294?4|V=;o<63<98;97c`54?:3y]734?3492544;019~w6?>03:1>vP<98:896?>1322<6srb051b?7==3;19v*6a280bg=O10n0bl9;:07f3>o38j<1=75f40`2>4<7?5;h63g1<622e?6=4?{%;b7?2102.8j?47319'=3e=<9hm7E=jf:lb31<6=l20e>hj:188m6`a2900e9>?:188m1662900c57?:188yv27k?0;6?uQ41a5?8261<7;39=h18=?4}r63g=<72;qU8=m7;<620g0<62l2;39?l27m=0:66g;18795?=h<9i;6<44b5371?6==3:116en2B8ik5aa66950cf3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q74f7=838pR9>l1:?7513=;oo0q~:?c383>7}Y<9i970:>4480bc=z{=:n87>52z\74`2<5=;?97:?0:p04?22909wS:>949>04222=::7p};0b294?4|V=:h<63;1579<<651;795?3|,0k86>hm;I;:`>hf?=0:9hl4i52`:n94>::k75g5=931b84083>0<729q/5l=547:8 6`5219;7)79c;63fc=O;ll0bl9;:07fg>o4nl0;66g>o3890;66g;0083>>i?190;66s|41a;>5<5sW>;o5524062>6`b3ty?=o:50;0xZ17e<27?=9?53gd8yv26j:0;6?uQ40`0?826<80?<=5rs53a1?6=:rT?=o;4=5375?2792wx8<:?:181[26<9168<:>:9;3?x{e:mo>6<4::183!?f;3>=46*o<:;I1fb>hf?=0:9hj4i2df>5<5<5<:483>5}#1h918;64$2d1>2>e3-3=o74<2s-3j?7=ib:J:=a=ii>>1=8ki;h62f=<622c?=om51;9j05ce2800e9?6c;39?j26<10:66l;15:94?3=83:p(4o<:54;?!5a:32<=6*66b874g`<@:om7co84;36b5=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs53a;39=218=>4}r62=f<72;qU8<7l;<620=<3881v9?;8;296~X39=2019?;8;::4>{zj8=?87?55;391~">i:08jo5G98f8jd1328?m=6g;1c;95?=n<8hj6<44i53af?7=3`>:n:4>::m751?=931i8<:6:186>5<7s-3j?7:98:&0b75g08m6`b2900e>hi:188m1672900e9>>:188k=?72900q~:>b883>7}Y<8h270:>4880b`=z{=;im7>52z\75gg<5=;?57=if:p04de2909wS:>bc9>042>2=:;7p};1c594?4|V=;i;63;15;9057:844?:3y]042>34>:8447919~yg70:m0:694>:5y'=d5=;oh0D47k;oc40?72n:1b8<:6:088m16d83;17d:>4982>>i38j<1=75m406b>5<3290;w)7n3;65<>"4n;03>55+97a905da3A9nj6`n75821c25<5<:844?:3y]042>34>:8l4vP;0b289173i39mj6s|406;>5<5sW>:8552406b>1673ty?27?=9o58828yxd41?:1=7;50;2x ">>j08?i;4H2ge?kg0<3;>j85f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8<9;7?55;391~">i:08jo5G98f8jd1328?m:6g<90g95?=n;??86<44i2465?7=3`9=9?4>::m0=37=931i?48>:186>5<7s-3j?7:98:&0b75g58m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=61d83>7}Y;0;n70=66080b`=z{:<>?7>52z\0205<5:3==7=if:p73362909wS=9509>7<062=:;7p}<64094?4|V:<>>63<9739057>;0:684?:1y'=d5=h=:6f2?!?1k398h85G3dd8jd1328?m46g>o4no0;66g;0183>>o3880;66a79183>>{e;0<86<4<:183!?f;3>=46*j:;I1fb>hf?=0:9k74i2df>5<5<:283>5}#1h918;64$2d1>=503-3=o7<>319K7``?=l:080>5<7s-3j?7:98:&0b71/5;m52013?M5bn2dj;94>5g`8m6`b2900e>hi:188k=?72900qo=66g82>0<729q/5l=547:8 6`52>i?7)79c;10`0=O;ll0bl9;:07eg>o4nl0;66g>o3890;66g;0083>>i?190;66sm3853>4<4290;w)7n3;65<>"4n;03?;5+97a976b23A9nj6`n75821cb5<<,:l965=9;%;5g?27jo1C?hh4n`57>43am2c8jh4?::k0bc<722e35=4?::a04ed28086=4?{%;b7?2102.8j?47379'=3e=<9hm7E=jf:lb31<6=ol0e>hj:188m6`a2900c57?:188yg26kh0:6>4?:1y'=d5=h=:915?!?1k3>;nk5G3dd8jd1328<;<6g>o4no0;66a79183>>{e<8i<6<4<:183!?f;3>=46*hf?=0::=?4i2df>5<5<:nh4>:283>5}#1h918;64$2d1>=513-3=o7:?bg9K7``5<7s-3j?7:98:&0b76118m6`b2900e>hi:188k=?72900qo:>4782>6<729q/5l=547:8 6`5212j7)79c;63fc=O;ll0bl9;:0430>o4nl0;66g>i?190;66sm41aa>4<4290;w)7n3;65<>"4n;034l5+97a905da3A9nj6`n75822535<::m106>=931i>8mm:187>5<7s-3j?7:98:&0b76158m6`b2900e>hi:188m1672900c57?:188yv41i?0;6?uQ27c5?842kk08jh5rs34b3?6=:rT9:l94=37`f?5an2wx>;o7:181[41i116>8mm:523?xu5<:21<7;5=jh144>4}|`166c=9391<7>t$8c0>10?3-9m>76<7:&:2f<59::0D>ki;oc40?71811b?kk50;9j7c`=831d44>50;9~f74383;1?7>50z&:e6<3>11/?k<58258 <0d2;;8<6F21=7;50;2x ">>j08?i;4H2ge?kg0<3;=i:0?:55+3g093`1<,0
    =k5:J0ac=ii>>1=;>m;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th?=?751;194?6|,0k86987;%1e6?>4>2.2:n4;0cd8L6ca3gk<87?90b9j7cc=831b?kh50;9l<<6=831vn9?=6;397?6=8r.2m>4;699'7c4=0:<0(48l:52ab>N4mo1em::5172g?l5am3:17d=if;29?j>>83:17pl;13195?5=83:p(4o<:54;?!5a:328:6*66b874g`<@:om7co84;354`=n;oo1<75f3gd94?=h00:1<75rb5314?7=;3:184$84`>16en2B8ik5aa669536a3`9mi7>5;h1eb?6=3f22<7>5;|`754b=9391<7>t$8c0>10?3-9m>76<6:&:2f<38kl0D>ki;oc40?71991b?kk50;9j7c`=831d44>50;9~f17683;1?7>50z&:e6<3>11/?k<58248 <0d2=:ij6F">>j0?i:0?:55+3g09<60<,0mf:J0ac=ii>>1=;?<;h1ea?6=3`9mj7>5;n::4?6=3th?==651;194?6|,0k86987;%1e6?>4>2.2:n4;0cd8L6ca3gk<87?9159j7cc=831b?kh50;9l<<6=831vn9??3;397?6=8r.2m>4;699'7c4=0:<0(48l:52ab>N4mo1em::51736?l5am3:17d=if;29?j>>83:17pl;11295?5=83:p(4o<:54;?!5a:328:6*66b874g`<@:om7co84;3553=n;oo1<75f3gd94?=h00:1<75rb52e`?7=;3:184$84`>16en2B8ik5aa66953703`9mi7>5;h1eb?6=3f22<7>5;|`74cg=9391<7>t$8c0>10?3-9m>76<6:&:2f<38kl0D>ki;oc40?71911b?kk50;9j7c`=831d44>50;9~f16a?3;1?7>50z&:e6<3>11/?k<58248 <0d2=:ij6F1=7=50;2x ">>j0?i:0?:55+3g09<60<,0mf:J0ac=ii>>1=;?m;h1ea?6=3`9mj7>5;n::4?6=3th:5>>51;194?6|,0k86987;%1e6?>2<2.2:n4>84a8L6ca3gk<87?91b9j7cc=831b?kh50;9l<<6=831vn<9;f;390?7=4d:k74fg=931b8=j8:088m155i3;17b:>8882>>d391k1<7:50;2x ">>j0?168<6n:2de?xu3;;k1<7;391k18=>4}r62<<<72;qU8<66;<621<62=q/5l=53g`8Ln1;39?l27i:0:66a=6ca95?=e:?ho6=4;:183!?f;3>=46*<=?;I1fb>hf?=0::?>4i2df>5<5<9=;;<05fa<4nl1v9>n1;296~X38h;01?8md;1eb>{t<9k86=4={_63e6=::?ho69>?;|q12ge=838pR?8mc:?12gb=00:0qpl>4da95?2=93>p(4o<:2da?M?>l2dj;94>6338m724;3;17d:?a082>>o38h81=75`27`6>4<54;294~">i:0?:55+3g09<7><,0>1=;<=;h1ea?6=@:i376g>o3890;66a79183>>{t:=986=4={_0776=::?h=6>hj;|q74d7=838pR9>n1:?12g0=;ol0q~:?a383>7}Y<9k970<9b78745=z{;52z\12g3<5;405;2c98>=51;9j05g62800e9>n2;39?j41i=0:66l=6`794?2=83:p(4o<:54;?!5a:328?6*66b81566<@:om7co84;3561=n;oo1<75f3gd94?=n<9:1<75`88294?=z{;>8?7>52z\1065<5;63g22:lm7p};0`094?4|V=:j>63=6`79056:5y'=d5=;oh0D47k;oc40?71:<1b>9=<:088m16f:3;17d:?a082>>i5>0>1=75m27;6>5<3290;w)7n3;65<>"4n;03?>5+97a964573A9nj6`n75822705<5<4?:3y]6154348=584vP;0`08970>=39mj6s|41c2>5<5sW>;m<5227;6>1673ty9:4:50;0xZ70><279:4;58828yxd6;=0e?:<4;39?l27i:0:66g;0`395?=h:?2n6<44b34;b?6=<3:1=4$84`>77482B8ik5aa669534?3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty98>:50;0xZ724<279:5h53gg8yv27i:0;6?uQ41c0?8410o08jk5rs52b5?6=:rT?;6j:181[410l16>;6i:9;3?x{e9=o=6<4;:087!?f;39mn6F69e9me22=9?827d<;3282>>o38h;1=75f41c1>4<7?5;c05<6<72=0;6=u+9`1903><,:l965=;;%;5g?46;91C?hh4n`57>405i2c8jh4?::k0bc<722c?<=4?::m;=5<722wx>9=<:181[43;:16>;6<:2df?xu38h;1<7;5>191?kh4}r63e7<72;qU8=o=;<05<6<3891v?872;296~X5>1801?873;::4>{zj8>n>7?54;390~">i:08jo5G98f8jd1328<9n6g=42695?=n<9k:6<44i52b7?7=3f8=:>4>::`1232=83>1<7>t$8c0>10?3-9m>76=8:&:2f<59::0D>ki;oc40?71:j1b?kk50;9j7c`=831b8=>50;9l<<6=831v?:<4;296~X5<:>01?894;1ea>{t<9k:6=4={_63e4=::?hi;|q74d5=838pR9>n3:?1232=<9:0q~<96283>7}Y:?<870<9658;=5=zuk;?n=4>:582>1}#1h91?kl4H8;g?kg0<3;=>i5f250f>4<:51;9a633c290?6=4?{%;b7?2102.8j?47359'=3e=:89;7E=jf:lb31<6>;o0e>hj:18K7f><3`9mj7>5;h634?6=3f22<7>5;|q107c=838pR?:=e:?120b=;oo0q~:?a183>7}Y<9k;70<95e80bc=z{=:j>7>52z\74d4<5;<>h7:?0:p61532909wS<;359>633c213;7psm15g2>4<3280?w)7n3;1ef>N>1m1em::5170e?l43;=0:66g;0`395?=n<9k86<44o346=?7=3k8=9l4?:583>5}#1h918;64$2d1>=533-3=o7<>319K7``633f2:ln7p};0`394?4|V=:j=63=64c97c`;m>4?:3y]05g4348=9l4;019~w70213:1>vP=64;89702i322<6srb051g?7==3;19v*6a280bg=O10n0bl9;:0405>o3;;k1=75f2c00>4<6=4?{%;b7?2102.8j?472g9'=3e=<9hm7E=jf:lb31<6>:80e>hj:18K7f><3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty???o50;0xZ155i27?;38lo144>4}|`215b=93=1>76t$8c0>6`e3A32h6`n75822656f;39?l26l=0:66a=95395?=e9lk=6=4::183!?f;3>=46*hf?=0::>:4i2df>5<5<5<5}#1h918;64$2d1>=343A9nj6`n75822635<5<9:54?:3y]070?34;nm;4l3:1>vP;08f894cf>3>;<6s|41;`>5<5sW>;5n521dc5>1663ty?<4k50;0xZ16>m27:il953gg8yv271o0;6?uQ41;e?87bi>08jk5rs53g0?6=:rT?=i:4=0gb3?2782wx>4:>:181[4><816=ho9:9;3?xu6mh=1<7:<0e=0:66g>e9695?=n9l3n6<44o0g;7?7=3k;n4>4?:483>5}#1h918;64$2d1>=443-3=o7?j539K7``:16=h6<:2df?xu6m?>1<7;6m191?kh4}r3f<1<72;qU=h6;;<3f<6<3891v{t9l286=4={_3f<6=:9l28657?;|a5106280>6<4:{%;b7?5aj2B25i5aa669535?3`;n4;4>::k2ad6=931b=h68:088m4cf93;17b?j8382>>d6m181<7;50;2x ">>j0:i8<4H2ge?kg0<3;=?45f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{8o3:7>52z\2a=0<58o3>7=ie:p5`g72909wS?ja19>5`>52:lm7p}>e9594?4|V8o3;63>e909056vP>e90894c?:322<6srb3077?7=;3:194$84`>77482B8ik5aa669535f3`9mi7>5;h1eb?6=3f22<7>5;|`1613=9391<7>t$8c0>10?3-9m>76<7:&:2f<59::0D>ki;oc40?71;k1b?kk50;9j7c`=831d44>50;9~f40283;187?54z&:e6<4nk1C54j4n`57>404k2c<>;4>::k03ac=931b?:j8:088k6dai3;17o=mf`83>1<729q/5l=547:8 6`521>:7)79c;10`0=O;ll0bl9;:040`>o4nl0;6E=l8:9j7c`=831b8=>50;9l<<6=831v:<9:181[15>278nko53gg8yv50ll0;6?uQ36ff?85enh08jk5rs25g3?6=:rT8;i94=2`ee?2782wx?ohn:181[5enh16?ohn:9;3?x{e9?>m6<4::086!?f;39mn6F69e9me22=9?9n7d=m8e82>>o381;1=75f39fg>4<3>2.2:n4<3e78L6ca3gk<87?93g9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>l7d;296~X4j1n01>li9;1ea>{t<92:6=4={_63<4=:;kl26>hi;|q06kd:?0fc?=<9:0q~=63483>7}Y;09>70=mf88744=z{:hm57>52z\0fc?<5:hm57660:~f6daj3;197>50z&:e6<3>11/?k<580c8 <0d2:9o96F;7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd4l991=7=50;2x ">>j08?i;4H2ge?kg0<3;=8<5f3gg94?=n;ol1<75`88294?=zj8?o<7?54;390~">i:08jo5G98f8jd13286g<43;95?=n;08:6<44i2;14?7=3f9?>o4>::`007e=83>1<7>t$8c0>10?3-9m>76=8:&:2f<4;m?0D>ki;oc40?71<:1b?kk50;9j7c`=831b8=>50;9l<<6=831v>:=9;296~X4<;301>:=c;1ea>{t;08:6=4={_1:64=:;=8h6>hi;|q0=76=838pR>7=0:?007e=<9:0q~=;2c83>7}Y;=8i70=;2b8;=5=zuk;>ok4>:482>0}#1h91?kl4H8;g?kg0<3;=895f383e>4<2800n>:=a;291?6=8r.2m>4;699'7c4=0;80(48l:21g1>N4mo1em::51766?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<90d94?4|V:3:j63<43c97ccl4vP<90f89625i3>;<6s|383`>5<5sW92=n52350b>1663ty88?750;0xZ62512788?o58828yxd6>?91=7;51;7x =<0e>9j8;39?l50mk0:66g<7ed95?=n;1:j6<44o2f2e?7=3k9o=l4?:483>5}#1h918;64$2d1>=173-3=o7=;4l8k1?kh4}r14`c<72;qU?:ji;<1g5d<3891v>6?a;296~X409k01>j>a;635>{t;m;j6=4={_1g5d=:;m;j657?;|a7<1d280>6=4?{%;b7?2102.8j?48c19'=3e=;:n>7E=jf:lb31<6>=20e>hj:188m6`a2900e9>?:188m1662900c57?:188yg74m<0:694>:5y'=d5=;oh0D47k;oc40?71<01b==h8:088m46a>3;17d=>ibmh0:66lje`83>1<729q/5l=547:8 6`521>:7)79c;`a5>N4mo1em::5176b?l5am3:17d=if;29?l2783:17b660;29?xu68o=1<7;bmh08jh5rs02e2?6=:rT:6`a3ty8?oh50;0xZ65en27nil4;019~w`cf2909wSkja:?fad0<62i6;39?l77n=0:66g>0g195?=hmln1=75medf94?3=83:p(4o<:54;?!5a:3=2=6*66b8af4=O;ll0bl9;:047g>o4nl0;66g>o3890;66g;0083>>i?190;66s|1d3g>5<5sW;n=i52edf97cca`b=<9:0q~??f283>7}Y99l870kjd;635>{tmln1<7o68o<1=75f11d6>4<54;294~">i:0?:55+3g09<17<,0;I1fb>hf?=0::9k4i2df>5<5<;<6s|edg94?4|Vlon70kje;::4>{zjlo?6<4::183!?f;3>=46*7?54;390~">i:08jo5G98f8jd1328<><6g>0dd95?=n99on6<44i21ab?7=3fooh7?5;cgg`?6=<3:1gd63A9nj6`n75822075<5<aab=;ol0q~=7}Y;:hm70kkd;634>{tmmn1<7o6m8n1=75f11gf>4<::`fa5<72<0;6=u+9`1903><,:l96:7>;%;5g?de92B8ik5aa66953343`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2a4b=838pRd:?fa5<4nl1v<>je;296~X68lo01hk?:2de?xu68li1<7;bm90?<=5rs02ff?6=:rT:1663tyni=4?:3y]a`6<5lo;657?;|a56c3280?6<4;{%;b7?5aj2B25i5aa66953333`;;ih4>::k24`b=931b=l:k:088k`c62800nhk>:187>5<7s-3j?7:98:&0b750;9~w46bm3:1>vP>0dg89`c62:ln7p}>0df94?4|V8:nh63je080bc=z{8k?h7>52z\2e1b<5lo:69>?;|qfa4<72;qUih?4=dg2>=?73twiii951;794?6|,0k86987;%1e6?1ai2.2:n4mb09K7``ji:087>4<3s-3j?7=ib:J:=a=ii>>1=;;8;h33a2<622c:ki;oc40?71=11b?kk50;9j7c`=831b8=>50;9l<<6=831v<>j7;296~X68l=01hj?:2df?xu68l<1<7;bl908jk5rs21ab?6=:rT8?oh4=df3>1673tynh=4?:3y]aa6<5ln;657?;|a56c7280>6<4:{%;b7?5aj2B25i5aa669533>3`;n=i4>::k24`0=931b==k;:088m46b;3;17bkk3;39?gcc;3:197>50z&:e6<3>11/?k<57838 <0d2kh:7E=jf:lb31<6>hj:188m6`a2900e9>?:188m1662900c57?:188yv7b9m0;6?uQ1d3g?8cc;39mi6s|11g5>5<5sW;;i;52ee197c`aa5=<9;0q~kk3;296~Xbl:16ii=58828yxd6;l;1=7:51;6x j6;39?l77m<0:66g>a5f95?=hmm>1=75mee694?2=83:p(4o<:54;?!5a:32?=6*66b8af4=O;ll0bl9;:046g>o4nl0;66g>o3890;66a79183>>{t99o=6=4={_33a3=:mm>1?kk4}r33a0<72;qU==k:;vPjd59>aa2=00:0qpljc`82>0<729q/5l=547:8 6`52>lj7)79c;`a5>N4mo1em::5177g?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>3ea95?2=93>p(4o<:2da?M?>l2dj;94>64g8m46cn3;17d??dd82>>o4;kl1=75`eb195?=emj91<7:50;2x ">>j0in<5G3dd8jd1328<>j6g>o4no0;66g;0183>>i?190;66s|11fe>5<5sW;;hk52eb197ccaf5=<9:0q~kl3;296~Xbk:16in=58828yxd6;mn1=7;51;7x ?:0ed;39?l77ll0:66g>0ea95?=n99ni6<44oda5>4<=46*52z\2a4b<5li=6>hj;|q24ac=838pR<>ke:?fg3<4no1v<>kc;296~X68mi01hm9:523?xu68mh1<7;bk?0?<<5rsda5>5<5sWoh:63jc78;=5=zuk;8hh4>:582>1}#1h91?kl4H8;g?kg0<3;=:?5f11ff>4<::`fg2<72=0;6=u+9`1903><,:l965:>;%;5g?de92B8ik5aa66953043`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty:vP>0ef89`e02:lm7p}>a5f94?4|V8k?h63jc68745=z{li<6=4={_g`3>;bk>035=5r}cga`?7==3:1gd63A9nj6`n75822325<5<::mff3<622hnn;4?:583>5}#1h918;64$2d1>=263-3=o7lm1:J0ac=ii>>1=;89;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q24a1=838pR<>k7:?ff3<4nl1v<>k6;296~X68m<01hl9:2de?xu4;kl1<7;bj?0?<=5rsd`5>5<5sWoi:63jb78;=5=zuk;8hl4>:482>0}#1h91?kl4H8;g?kg0<3;=::5f1d3g>4<92.2:n4mb09K7``5<5sW;;h952ec;90564?:3y]55b434oi57:?1:pag?=838pRhl6;>82wvn<=kb;390?7=450z&:e6<3>11/?k<58538 <0d2kh:7E=jf:lb31<6>?k0e>hj:188m6`a2900e9>?:188k=?72900q~??d783>7}Y99n=70kma;1ea>{t99n>6=4={_33`0=:mkk1?kh4}r3b0a<72;qU=l:k;6=4?{%;b7?2102.8j?48f`9'=3e=jk;0D>ki;oc40?71>k1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f45c>3;187?54z&:e6<4nk1C54j4n`57>401k2c:=mf;39?jcf13;17okn9;290?6=8r.2m>4;699'7c4=0=;0(48l:c`2?M5bn2dj;94>67f8m6`b2900e>hi:188m1672900c57?:188yv77ko0;6?uQ11ae?8cf139mi6s|11af>5<5sW;;oh52e`;97c`>82wvn<=k7;391?7==r.2m>4>ibij0:66ljab83>0<729q/5l=547:8 6`52>3:7)79c;`a5>N4mo1em::5174e?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}>e0f94?4|V8o:h63jab80b`=z{8:hi7>52z\24fc<5lkh6>hi;|q24fe=838pR<>lc:?fef<3891v<>lb;296~X68jh01hol:522?xubij0;6?uQe`a89`gd213;7psm12f;>4<3280?w)7n3;1ef>N>1m1em::51753?l77kl0:66g>0bf95?=n9h>o6<44odcg>4<=46*6`b3ty:vP>a5f89`gc2=:;7p}jae83>7}Ymhn01hok:9;3?x{emh91=7;50;2x ">>j0in<5G3dd8jd1328<<>6g>o4no0;66g;0183>>o3880;66a79183>>{e9:n86<4;:087!?f;39mn6F69e9me22=9?=87d??c682>>o68j<1=75f32`e>4<5<3290;w)7n3;65<>"4n;038<5+97a9fg7<@:om7co84;3531=n;oo1<75f3gd94?=n<9:1<75`88294?=z{8:h;7>52z\24f1<5l3h6>hj;|q24f0=838pR<>l6:?f=f<4no1v>=mf;296~X4;kl01h7l:523?xub1j0;6?uQe8a89`?d213;7psm12f7>4<2280>w)7n3;1ef>N>1m1em::51756?l7b9m0:66g>0b495?=n99i?6<44i02`7?7=3fo2j7?5;cg:b?6==3:1gd63A9nj6`n75822205<5<n3>;<6s|11a0>5<5sW;;o>52e8d905752z\f=c=:m0l144>4}|`27a3=93>1=7:t$8c0>6`e3A32h6`n758222150;694?6|,0k86987;%1e6?>392.2:n4mb09K7``ad6=;oo0q~??c483>7}Y99i>70kn0;1eb>{t9h>o6=4={_3b0a=:mh:18=>4}rgb4?6=:rTnm=52e`29<<6:483>5}#1h918;64$2d1>2`f3-3=o7lm1:J0ac=ii>>1=;96;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:?i>51;695?2|,0k86>hm;I;:`>hf?=0:::o4i02ab?7=3`;;nh4>::k07g`=931di5h51;9aa=`=83>1<7>t$8c0>10?3-9m>76;1:&:2f400j2c8jh4?::k0bc<722c?<=4?::m;=5<722wx==li:181[77jo16i5h53gg8yv77jl0;6?uQ11`f?8c?n39mj6s|32`e>5<5sW98nk52e9d905652z\f4}|`27a7=93?1=7;t$8c0>6`e3A32h6`n758222e6=4?{%;b7?2102.8j?48909'=3e=jk;0D>ki;oc40?71?m1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w4c6l3:1>vP>e0f89`?52:ln7p}>0cg94?4|V8:ii63j9380bc=z{8:io7>52z\24ge<5l3969>?;|q24gd=838pR<>mb:?f=7<3881vh7=:181[c>:27n5?47919~yg74l;0:694>:5y'=d5=;oh0D47k;oc40?71?l1b==lj:088m46el3;17d?n4e82>>ib1:0:66lj9283>1<729q/5l=547:8 6`521>:7)79c;`a5>N4mo1em::5175e?l5am3:17d=if;29?l2783:17b660;29?xu68ko1<7;b1:08jh5rs02a`?6=:rT:6`a3ty:m9j50;0xZ4g3l27n5>4;019~w`?42909wSk63:?f=64;699'7c4=?ok0(48l:c`2?M5bn2dj;94>6928m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?1<62=q/5l=53g`8Lm6;39?l54jo0:66aj8382>>db0;0;694?:1y'=d5=h=:962?!?1k3hi=6F>83:17p}>0c594?4|V8:i;63j8380b`=z{8:i:7>52z\24g0<5l296>hi;|q07g`=838pR>=mf:?f<7<3891vh6=:181[c?:27n4?47919~yg74kl0:684>:4y'=d5=;oh0D47k;oc40?710:1b=h?k:088m46e>3;17d??b582>>o68k91=75`e9795?=em1?1<7;50;2x ">>j0in<5G3dd8jd1328<386g>o4no0;66g;0183>>o3880;66a79183>>{t9l;o6=4={_3f5a=:m1?1?kk4}r33f3<72;qU==l9;;=6s|e9794?4|Vl2>70k75;::4>{zj89hj7?54;390~">i:08jo5G98f8jd1328<396g>0c495?=n99h>6<44i0c7`?7=3fo3:7?5;cg;2?6=<3:1gd63A9nj6`n75822=05<5<a=0=;ol0q~?n4e83>7}Y9h>o70k76;634>{tm1<1<7322<6srbd5`>4<2290;w)7n3;65<>"4n;0o68hl1=75f11cf>4<54;294~">i:0?:55+3g09<17<,0;I1fb>hf?=0::574i2df>5<5<;<6s|e6794?4|Vl=>70k85;::4>{zj89hn7?55;391~">i:08jo5G98f8jd1328<3m6g>e0f95?=n99kn6<44i02bg?7=3`;;mo4>::mf3=<622hn;54?:483>5}#1h918;64$2d1>2?63-3=o7lm1:J0ac=ii>>1=;6m;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:ivP>0`g89`1?2:lm7p}>0`a94?4|V8:jo63j798745=z{8:jn7>52z\24dd<5l=369>>;|qf3=<72;qUi:64=d5;>=?73twi=>ml:087>4<3s-3j?7=ib:J:=a=ii>>1=;6l;h33e`<622c:290?6=4?{%;b7?2102.8j?47409'=3e=jk;0D>ki;oc40?710m1b?kk50;9j7c`=831b8=>50;9l<<6=831v<>ne;296~X68ho01h96:2df?xu68hn1<7;b?008jk5rs0c7`?6=:rT:m9j4=d5:>1673tyn;44?:3y]a2?<5l=2657?;|aa3`=93?1<7>t$8c0>10?3-9m>79ia:&:2f40?m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a56e0280?6<4;{%;b7?5aj2B25i5aa66953>a3`;;m:4>::k24d0=931b?>li:088k`0?2800nh87:187>5<7s-3j?7:98:&0b750;9~w46f?3:1>vP>0`589`0?2:ln7p}>0`494?4|V8:j:63j6980bc=z{:9ij7>52z\07g`<5l<369>?;|qf2=<72;qUi;64=d4;>=?73twi=>m7:086>4<2s-3j?7=ib:J:=a=ii>>1=;7>;h3f5a<622c:n3;39?jc1j3;17ok9b;291?6=8r.2m>4;699'7c4=?0;0(48l:c`2?M5bn2dj;94>6808m6`b2900e>hi:188m1672900e9>>:188k=?72900q~?j1e83>7}Y9l;o70k9b;1ea>{t99k=6=4={_33e3=:m?h1?kh4}r33e1<72;qU==o;;vPj6c9>a3d=00:0qpl>3b;95?2=93>p(4o<:2da?M?>l2dj;94>6818m46f>3;17d??a482>>o6i=n1=75`e7a95?=em?i1<7:50;2x ">>j0in<5G3dd8jd1328<286g>o4no0;66g;0183>>i?190;66s|11c5>5<5sW;;m;52e7a97cca3e=<9:0q~k9c;296~Xb>j16i;m58828yxdb>;0:684?:1y'=d5=h=:6db?!?1k3hi=6F7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd6;j>1=7:51;6x 0<0e<>6f;39?l771l0:66g<3cd95?=hmo4nl0;66g>o3890;66a79183>>{t993m6=4={_33=c=:mli:181[54jo16i8l54128yvc2j3:1>vPj5c9>a0d=00:0qpl>3b795?3=93?p(4o<:2da?M?>l2dj;94>68:8m4c6l3;17d??9d82>>o680i1=75f11;a>4<5<2290;w)7n3;65<>"4n;0<5<5+97a9fg7<@:om7co84;35=<=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs0g2`?6=:rT:i6`b3ty:<4k50;0xZ46>m27n9h4k3:1>vP>08a89`3b2=:;7p}>08`94?4|V8:2n63j5d8744=z{l?n6=4={_g6a>;b=l035=5r}c30g3<62=0:69u+9`197cd<@03o7co84;35=d=n993n6<44i02:`?7=3`;j8i4>::mf1c<622hn9k4?:583>5}#1h918;64$2d1>=263-3=o7lm1:J0ac=ii>>1=;7m;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q246e:?f1c<4nl1v<>6d;296~X680n01h;i:2de?xu6i=n1<7;b=o0?<=5rsd7e>5<5sWo>j63j5g8;=5=zuko>97?55;294~">i:0?:55+3g093cg<,0;I1fb>hf?=0::4m4i2df>5<5<5<:582>1}#1h91?kl4H8;g?kg0<3;=5i5f11;4>4<::`f0`<72=0;6=u+9`1903><,:l965:>;%;5g?de92B8ik5aa66953?b3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty:<4950;0xZ46>?27n8h4>3:1>vP>08489`2b2:lm7p}<3cd94?4|V:9ij63j4d8745=z{l>n6=4={_g7a>;b::k24<5=931di8?51;9aa07=83?1<7>t$8c0>10?3-9m>7961:&:2f40f82c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p5`7c2909wS?j1e9>a07=;oo0q~??9783>7}Y993=70k:1;1eb>{t993?6=4={_33=1=:m<;18=>4}r33=6<72;qU==7<;=7660:~f45d;3;187?54z&:e6<4nk1C54j4n`57>40f92c:<4851;9j55?22800e4;699'7c4=0=;0(48l:c`2?M5bn2dj;94>6`08m6`b2900e>hi:188m1672900c57?:188yv771?0;6?uQ11;5?8c2:39mi6s|11;6>5<5sW;;5852e4097c`>7:?0:pa04=838pRh;=;>82wvnh:7:086>5<7s-3j?7:98:&0b7<0nh1/5;m5bc38L6ca3gk<87?9a29j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<=me;390?7=450z&:e6<3>11/?k<58538 <0d2kh:7E=jf:lb31<6>h?0e>hj:188m6`a2900e9>?:188k=?72900q~??8g83>7}Y992m70k;1;1ea>{t992n6=4={_33<`=:m=;1?kh4}r10fc<72;qU?>li;40f>2c:ib2800e<>7c;39?l770k0:66aj4582>>db<=0;684?:1y'=d5=h=:6;2?!?1k3hi=6F;b<=08jh5rs02;a?6=:rT:<5k4=d67>6`a3ty:<5m50;0xZ46?k27n894;019~w46?j3:1>vP>09`89`232=::7p}j4583>7}Ym=>01h:;:9;3?x{e9:i;6<4;:087!?f;39mn6F69e9me22=9?k37d??8d82>>o681n1=75f1`6g>4<>6<44bd66>5<3290;w)7n3;65<>"4n;038<5+97a9fg7<@:om7co84;35e<=n;oo1<75f3gd94?=n<9:1<75`88294?=z{8:3i7>52z\24=c<5l>>6>hj;|q24=b=838pR<>7d:?f00<4no1vo4nl0;66g>o3890;66g;0083>>i?190;66sm12`a>4<3280?w)7n3;1ef>N>1m1em::517ca?l770>0:66g>09495?=n;:hm6<44od10>4<=46*6`b3ty:<5850;0xZ46?>27n?>4vP<3cd89`542=:;7p}j3283>7}Ym:901h=<:9;3?x{e9:hh6<4::086!?f;39mn6F69e9me22=9?ko7d?j1e82>>o681<1=75f11:7>4<55;294~">i:0?:55+3g093<7<,0;I1fb>hf?=0::lk4i2df>5<5<5<12909wS??879>a60=;ol0q~??8583>7}Y992?70k<6;634>{t99286=4={_33<6=:m:<18=?4}rg02?6=:rTn?;52e249<<6hm;I;:`>hf?=0::lh4i02;2?7=3`;;484>::k2e1b=931di>951;9aa61=83>1<7>t$8c0>10?3-9m>76;1:&:2f40e82c8jh4?::k0bc<722c?<=4?::m;=5<722wx==69:181[770?16i>953gg8yv770<0;6?uQ11:6?8c4?39mj6s|1`6g>5<5sW;j8i52e25905652z\f72=:m:=144>4}|`f6a<62<0;6=u+9`1903><,:l96:hn;%;5g?de92B8ik5aa66953d63`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`27g>=93>1=7:t$8c0>6`e3A32h6`n75822g4392.2:n4mb09K7``a71=;oo0q~??7d83>7}Y99=n70k=7;1eb>{t;:hm6=4={_10fc=:m;=18=>4}rg13?6=:rTn>:52e359<<6hm;I;:`>hf?=0::o:4i0g2`?7=3`;;;h4>::k242e=931b==9m:088k`4f2800nh5<7s-3j?7:98:&0b7<0181/5;m5bc38L6ca3gk<87?9b49j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vd;296~X6m8n01ho1<7;b:h08jk5rs024g?6=:rT:<:m4=d0b>1673ty:<:l50;0xZ460j27n>l4;009~w`4f2909wSk=a:?f6d1<62=q/5l=53g`8L8d;39?l7f>db:k0;694?:1y'=d5=h=:962?!?1k3hi=6F>83:17p}>06g94?4|V8:52z\242b<5l8i6>hi;|q2e1b=838pRo47919~ygc593;197>50z&:e6<3>11/?k<57gc8 <0d2kh:7E=jf:lb31<6>k20e>hj:188m6`a2900e9>?:188m1662900c57?:188yg74j<0:694>:5y'=d5=;oh0D47k;oc40?71j01b==98:088m460>3;17d=>ib9h0:66lj1`83>1<729q/5l=547:8 6`521>:7)79c;`a5>N4mo1em::517`b?l5am3:17d=if;29?l2783:17b660;29?xu68>=1<7;b9h08jh5rs0242?6=:rT:<:84=d3b>6`a3ty8?oh50;0xZ65en27n=l4;019~w`7f2909wSk>a:?f5d0<6286;39?l77?=0:66g>06195?=hm8n1=75me0f94?3=83:p(4o<:54;?!5a:3=2=6*66b8af4=O;ll0bl9;:04ag>o4nl0;66g>o3890;66g;0083>>i?190;66s|1d3g>5<5sW;n=i52e0f97cca4b=<9:0q~??7283>7}Y99=870k>d;635>{tm8n1<7o68><1=75f1156>4<54;294~">i:0?:55+3g09<17<,0;I1fb>hf?=0::ok4i2df>5<5<;<6s|e0g94?4|Vl;n70k>e;::4>{zjl;?6<4::183!?f;3>=46*7?54;390~">i:08jo5G98f8jd132807d95?=n99gd63A9nj6`n75822f75<5<a5b=;ol0q~=7}Y;:hm70k?d;634>{tm9n1<7o6m8n1=75f114f>4<::`f55<72<0;6=u+9`1903><,:l96:7>;%;5g?de92B8ik5aa66953e43`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2a4b=838pRd:?f55<4nl1v<>9e;296~X68?o01h??:2de?xu68?i1<7;b990?<=5rs025f?6=:rT:<;l4=d33>1663tyn==4?:3y]a46<5l;;657?;|a56d3280?6<4;{%;b7?5aj2B25i5aa66953e33`;;:h4>::k243b=931b=l:k:088k`762800nh?>:187>5<7s-3j?7:98:&0b750;9~w461m3:1>vP>07g89`762:ln7p}>07f94?4|V8:=h63j1080bc=z{8k?h7>52z\2e1b<5l;:69>?;|qf54<72;qUi=?73twii=951;794?6|,0k86987;%1e6?1ai2.2:n4mb09K7``oi:087>4<3s-3j?7=ib:J:=a=ii>>1=;m8;h3322<622c:<;851;9j76da2800ch>?:088f`67290?6=4?{%;b7?2102.8j?47409'=3e=jk;0D>ki;oc40?71k11b?kk50;9j7c`=831b8=>50;9l<<6=831v<>97;296~X68?=01h>?:2df?xu68?<1<7;b8908jk5rs21ab?6=:rT8?oh4=d23>1673tyn<=4?:3y]a56<5l:;657?;|a56d7280>6<4:{%;b7?5aj2B25i5aa66953e>3`;n=i4>::k2430=931b==8;:088m461;3;17bk?3;39?gc7;3:197>50z&:e6<3>11/?k<57838 <0d2kh:7E=jf:lb31<6>jk0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv7b9m0;6?uQ1d3g?8c7;39mi6s|1145>5<5sW;;:;52e1197c`a55=<9;0q~k?3;296~Xb8:16i==58828yxd6;k;1=7:51;6x jh0e<>96;39?l77><0:66g>a5f95?=hm9>1=75me1694?2=83:p(4o<:54;?!5a:32?=6*66b8af4=O;ll0bl9;:04`g>o4nl0;66g>o3890;66a79183>>{t99<=6=4={_3323=:m9>1?kk4}r3320<72;qU==8:;vPj059>a52=00:0qplkf`82>0<729q/5l=547:8 6`52>lj7)79c;`a5>N4mo1em::517ag?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>3`a95?2=93>p(4o<:2da?M?>l2dj;94>6bg8m462n3;17d??5d82>>o4;kl1=75`dg195?=elo91<7:50;2x ">>j0in<5G3dd8jd1328>o4no0;66g;0183>>i?190;66s|117e>5<5sW;;9k52dg197cc`c5=<9:0q~ji3;296~Xcn:16hk=58828yxd6;hn1=7;51;7x m:0ed;39?l77=l0:66g>04a95?=n99?i6<44oed5>4<=46*52z\2a4b<5ml=6>hj;|q240c=838pR<>:e:?gb3<4no1v<>:c;296~X68;cn?0?<<5rsed5>5<5sWnm:63kf78;=5=zuk;8mh4>:582>1}#1h91?kl4H8;g?kg0<3;=h?5f117f>4<h7?5;h3b0a<622eoj:4>::`gb2<72=0;6=u+9`1903><,:l965:>;%;5g?de92B8ik5aa66953b43`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty:<8k50;0xZ462m27oj:4vP>04f89a`02:lm7p}>a5f94?4|V8k?h63kf68745=z{ml<6=4={_fe3>;cn>035=5r}cff`?7==3:1gd63A9nj6`n75822a25<5<::mga3<622hoi;4?:583>5}#1h918;64$2d1>=263-3=o7lm1:J0ac=ii>>1=;j9;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q2401=838pR<>:7:?ga3<4nl1v<>:6;296~X68<<01ik9:2de?xu4;kl1<7;cm?0?<=5rseg5>5<5sWnn:63ke78;=5=zuk;8ml4>:482>0}#1h91?kl4H8;g?kg0<3;=h:5f1d3g>4<:7?5;h3311<622c:<8=51;9l``?=931ihh750;794?6|,0k86987;%1e6?1>92.2:n4mb09K7``5<5sW;;9952dd;90564?:3y]553434nn57:?1:p``?=838pRik6;>82wvn<=nb;390?7=450z&:e6<3>11/?k<58538 <0d2kh:7E=jf:lb31<6>mk0e>hj:188m6`a2900e9>?:188k=?72900q~??5783>7}Y99?=70jja;1ea>{t99?>6=4={_3310=:llk1?kh4}r3b0a<72;qU=l:k;6=4?{%;b7?2102.8j?48f`9'=3e=jk;0D>ki;oc40?71lk1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f45f>3;187?54z&:e6<4nk1C54j4n`57>40ck2c:<9m51;9j552e2800e>=mf;39?jbc13;17ojk9;290?6=8r.2m>4;699'7c4=0=;0(48l:c`2?M5bn2dj;94>6ef8m6`b2900e>hi:188m1672900c57?:188yv775<5sW;;8o52de;97c`>82wvn<=n7;391?7==r.2m>4>iclj0:66lkdb83>0<729q/5l=547:8 6`52>3:7)79c;`a5>N4mo1em::517fe?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}>e0f94?4|V8o:h63kdb80b`=z{8:?n7>52z\241d<5mnh6>hi;|q241?=838pR<>;9:?g`f<3891v<>;8;296~X68=201ijl:522?xuclj0;6?uQdea89abd213;7psm12c;>4<3280?w)7n3;1ef>N>1m1em::517g3?l7705c95?=n9h>o6<44oefg>4<=46*6`b3ty:<9o50;0xZ463i27ohi4vP>a5f89abc2=:;7p}kde83>7}Ylmn01ijk:9;3?x{elm91=7;50;2x ">>j0in<5G3dd8jd13286g>o4no0;66g;0183>>o3880;66a79183>>{e9:k86<4;:087!?f;39mn6F69e9me22=9?o87d??3e82>>o68:i1=75f32`e>4<5<3290;w)7n3;65<>"4n;038<5+97a9fg7<@:om7co84;35a1=n;oo1<75f3gd94?=n<9:1<75`88294?=z{8:8h7>52z\246b<5mih6>hj;|q246e=838pR<>=mf;296~X4;kl01iml:523?xuckj0;6?uQdba89aed213;7psm12c7>4<2280>w)7n3;1ef>N>1m1em::517g6?l7b9m0:66g>02a95?=n999j6<44i020=?7=3fnhj7?5;cf`b?6==3:1gd63A9nj6`n75822`05<5<;<6s|111:>5<5sW;;?452dbd905752z\ggc=:ljl144>4}|`27d3=93>1=7:t$8c0>6`e3A32h6`n75822`150;694?6|,0k86987;%1e6?>392.2:n4mb09K7```a6=;oo0q~??3c83>7}Y999i70jk0;1eb>{t9h>o6=4={_3b0a=:lm:18=>4}rfg4?6=:rToh=52de29<<6:483>5}#1h918;64$2d1>2`f3-3=o7lm1:J0ac=ii>>1=;k6;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:?l>51;695?2|,0k86>hm;I;:`>hf?=0::ho4i0201?7=3`;;?94>::k07g`=931dhoh51;9a`g`=83>1<7>t$8c0>10?3-9m>76;1:&:2f40bj2c8jh4?::k0bc<722c?<=4?::m;=5<722wx===::181[77;<16hoh53gg8yv77;=0;6?uQ1117?8ben39mj6s|32`e>5<5sW98nk52dcd905652z\gfc=:lkl144>4}|`27d7=93?1=7;t$8c0>6`e3A32h6`n75822`e<51;9j55562800cim=:088fae5290>6=4?{%;b7?2102.8j?48909'=3e=jk;0D>ki;oc40?71mm1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w4c6l3:1>vP>e0f89ae52:ln7p}>02694?4|V8:8863kc380bc=z{8:8>7>52z\2464<5mi969>?;|q2467=838pR<><1:?gg7<3881vim=:181[bd:27oo?47919~yg74i;0:694>:5y'=d5=;oh0D47k;oc40?71ml1b===;:088m464;3;17d?n4e82>>ick:0:66lkc283>1<729q/5l=547:8 6`521>:7)79c;`a5>N4mo1em::517ge?l5am3:17d=if;29?l2783:17b660;29?xu68:>1<7;ck:08jh5rs0207?6=:rT:<>=4=ea0>6`a3ty:m9j50;0xZ4g3l27oo>4;019~wae42909wSjl3:?gg64;699'7c4=?ok0(48l:c`2?M5bn2dj;94>6g28m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?<9e82>1<62=q/5l=53g`8L=c;39?l54jo0:66akb382>>dcj;0;694?:1y'=d5=h=:962?!?1k3hi=6F>83:17p}>03f94?4|V8:9h63kb380b`=z{8:9o7>52z\247e<5mh96>hi;|q07g`=838pR>=mf:?gf7<3891vil=:181[be:27on?47919~yg741l0:684>:4y'=d5=;oh0D47k;oc40?71n:1b=h?k:088m465k3;17d??2`82>>o68;31=75`dc795?=elk?1<7;50;2x ">>j0in<5G3dd8jd1328>o4no0;66g;0183>>o3880;66a79183>>{t9l;o6=4={_3f5a=:lk?1?kk4}r336f<72;qU==;=6s|dc794?4|Vmh>70jm5;::4>{zj892j7?54;390~">i:08jo5G98f8jd132803a95?=n998i6<44i0c7`?7=3fni:7?5;cfa2?6=<3:1gd63A9nj6`n75822c05<5<n4?:3y]554d34ni:7=ie:p554e2909wS??2c9>`g0=;ol0q~?n4e83>7}Y9h>o70jm6;634>{tlk<1<7322<6srbec`>4<2290;w)7n3;65<>"4n;0o68;?1=75f1107>4<54;294~">i:0?:55+3g09<17<,0;I1fb>hf?=0::k74i2df>5<5<;<6s|d`694?4|Vmk?70jn4;::4>{zj892n7?55;391~">i:08jo5G98f8jd1328e0f95?=n998?6<44i0216?7=3`;;><4>::mge2<622hom:4?:483>5}#1h918;64$2d1>2?63-3=o7lm1:J0ac=ii>>1=;hm;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:ivP>03689ag02:lm7p}>03094?4|V8:9>63ka68745=z{8:9=7>52z\2477<5mk<69>>;|qge2<72;qUhl94=ec4>=?73twi=>7l:087>4<3s-3j?7=ib:J:=a=ii>>1=;hl;h3361<622c:ki;oc40?71nm1b?kk50;9j7c`=831b8=>50;9l<<6=831v<>=4;296~X68;>01io7:2df?xu68;91<7;ci108jk5rs0c7`?6=:rT:m9j4=ec;>1673tyom54?:3y]`d><5mk3657?;|a`t$8c0>10?3-9m>79ia:&:2f40am2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a56?0280?6<4;{%;b7?5aj2B25i5aa66953`a3`;;=i4>::k244e=931b?>li:088ka??2800ni77:187>5<7s-3j?7:98:&0b750;9~w466l3:1>vP>00f89a??2:ln7p}>00a94?4|V8::o63k9980bc=z{:9ij7>52z\07g`<5m3369>?;|qg==<72;qUh464=e;;>=?73twi=>77:086>4<2s-3j?7=ib:J:=a=ii>>1=:>>;h3f5a<622c:<>9;39?jb>j3;17oj6b;291?6=8r.2m>4;699'7c4=?0;0(48l:c`2?M5bn2dj;94>7108m6`b2900e>hi:188m1672900e9>>:188k=?72900q~?j1e83>7}Y9l;o70j6b;1ea>{t99;h6=4={_335f=:l0h1?kh4}r335d<72;qU==?n;j3:1>vPk9c9>`38;95?2=93>p(4o<:2da?M?>l2dj;94>7118m466k3;17d??1c82>>o6i=n1=75`d8a95?=el0i1<7:50;2x ">>j0in<5G3dd8jd1328=;86g>o4no0;66g;0183>>i?190;66s|113`>5<5sW;;=n52d8a97cc`h=:6db?!?1k3hi=6F:>7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd6;0>1=7:51;6x >5;39?l779=0:66g<3cd95?=hl1h1=75md9`94?2=83:p(4o<:54;?!5a:32?=6*66b8af4=O;ll0bl9;:0533>o4nl0;66g>o3890;66a79183>>{t99;>6=4={_3350=:l1h1?kk4}r3351<72;qU==?;;li:181[54jo16h5l54128yvb?j3:1>vPk8c9>`=d=00:0qpl>38795?3=93?p(4o<:2da?M?>l2dj;94>71:8m4c6l3;17d??1582>>o68881=75f1132>4<5<2290;w)7n3;65<>"4n;0<5<5+97a9fg7<@:om7co84;344<=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs0g2`?6=:rT:i6`b3ty:<<:50;0xZ466<27o4h4vP>00089a>b2=:;7p}>00394?4|V8::=63k8d8744=z{m2n6=4={_f;a>;c0l035=5r}c30=3<62=0:69u+9`197cd<@03o7co84;344d=n99;?6<44i0227?7=3`;j8i4>::mg5}#1h918;64$2d1>=263-3=o7lm1:J0ac=ii>>1=:>m;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q2442=838pR<>>4:?g>3;296~X688901i6i:2de?xu6i=n1<7;c0o0?<=5rse:e>5<5sWn3j63k8g8;=5=zukn397?55;294~">i:0?:55+3g093cg<,0;I1fb>hf?=0:;=m4i2df>5<5<5<:582>1}#1h91?kl4H8;g?kg0<3;<4<::`g3`<72=0;6=u+9`1903><,:l965:>;%;5g?de92B8ik5aa669526b3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty:<=j50;0xZ467l27o;h4vP>01a89a1b2:lm7p}<3cd94?4|V:9ij63k7d8745=z{m=n6=4={_f4a>;c?l035=5r}c30=7<62<0:68u+9`197cd<@03o7co84;344c=n9l;o6<44i023g?7=3`;;::k245?=931dh5?51;9a`=7=83?1<7>t$8c0>10?3-9m>7961:&:2f41682c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p5`7c2909wS?j1e9>`=7=;oo0q~??0b83>7}Y99:h70j71;1eb>{t99:j6=4={_334d=:l1;18=>4}r334<<72;qU==>6;634n3=7660:~f45>;3;187?54z&:e6<4nk1C54j4n`57>41692c:<=m51;9j556e2800e4;699'7c4=0=;0(48l:c`2?M5bn2dj;94>7008m6`b2900e>hi:188m1672900c57?:188yv778j0;6?uQ112`?8b?:39mi6s|112a>5<5sW;;7:?0:p`=4=838pRi6=;>82wvni97:086>5<7s-3j?7:98:&0b7<0nh1/5;m5bc38L6ca3gk<87?8129j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<=7e;390?7=44:k2453=931b==>;:088m65en3;17bj81;39?gb093:187>50z&:e6<3>11/?k<58538 <0d2kh:7E=jf:lb31<6?8?0e>hj:188m6`a2900e9>?:188k=?72900q~??0483>7}Y99:>70j81;1ea>{t99:?6=4={_3341=:l>;1?kh4}r10fc<72;qU?>li;416>2c:i?2;39?l77880:66ak7582>>dc?=0;684?:1y'=d5=h=:6;2?!?1k3hi=6F;<7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xu6m8n1<7;c?=08jh5rs0230?6=:rT:<=:4=e57>6`a3ty:<=<50;0xZ467:27o;94;019~w46793:1>vP>01389a132=::7p}k7583>7}Yl>>01i9;:9;3?x{e9:3;6<4;:087!?f;39mn6F69e9me22=9>;37d??0582>>o68991=75f1`6g>4<6<44be56>5<3290;w)7n3;65<>"4n;038<5+97a9fg7<@:om7co84;345<=n;oo1<75f3gd94?=n<9:1<75`88294?=z{8:;87>52z\2452<5m=>6>hj;|q2455=838pR<>?3:?g30<4no1vo4nl0;66g>o3890;66g;0083>>i?190;66sm12:a>4<3280?w)7n3;1ef>N>1m1em::5163a?l`al3;17dhic;39?l54jo0:66ak6282>>dc>:0;694?:1y'=d5=h=:962?!?1k3hi=6F;h7d=ie;29?l5an3:17d:?0;29?j>>83:17p}ife83>7}Ynon01i8<:2df?xuanj0;6?uQfga89a042:lm7p}<3cd94?4|V:9ij63k628745=z{m<86=4={_f57>;c>:035=5r}c304<4<5<2290;w)7n3;65<>"4n;0<5<5+97a9fg7<@:om7co84;345`=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs0g2`?6=:rT:i6`b3tymjn4?:3y]bce<5m<=6>hi;|qebd<72;qUjko4=e45>1673tymj44?:3y]bc?<5m<=69>>;|qg23<72;qUh;84=e45>=?73twi=>6k:087>4<3s-3j?7=ib:J:=a=ii>>1=:?i;hdeg?7=3`lmn7?5;h3b0a<622eo::4>::`g22<72=0;6=u+9`1903><,:l965:>;%;5g?de92B8ik5aa66952473`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3tymjn4?:3y]bce<5m<<6>hj;|qebg<72;qUjkl4=e44>6`a3ty:m9j50;0xZ4g3l27o::4;019~wa002909wSj97:?g224;699'7c4=?ok0(48l:c`2?M5bn2dj;94>7338m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?<8982>1<62=q/5l=53g`8Lki;oc40?70::1b?kk50;9j7c`=831b8=>50;9l<<6=831vkki:181[`bn27o9:4=mf;296~X4;kl01i;8:523?xuc=>0;6?uQd4589a30213;7psm12::>4<2280>w)7n3;1ef>N>1m1em::51607?l7b9m0:66gied82>>oamj0:66giec82>>ic=h0:66lk5`83>0<729q/5l=547:8 6`52>3:7)79c;`a5>N4mo1em::51606?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}>e0f94?4|V8o:h63k5`80b`=z{oon6=4={_dfa>;c=h08jk5rsgg`>5<5sWlno63k5`8745=z{ooi6=4={_dff>;c=h0?<<5rse7b>5<5sWn>m63k5`8;=5=zuk;84l4>:582>1}#1h91?kl4H8;g?kg0<3;<>;5ffdg95?=nnln1=75f1`6g>4<5<3290;w)7n3;65<>"4n;038<5+97a9fg7<@:om7co84;3462=n;oo1<75f3gd94?=n<9:1<75`88294?=z{oon6=4={_dfa>;c=k08jh5rsggg>5<5sWlnh63k5c80bc=z{8k?h7>52z\2e1b<5m?i69>?;|qg1g<72;qUh8l4=e7a>=?73twih8?51;794?6|,0k86987;%1e6?1ai2.2:n4mb09K7``6::087>4<3s-3j?7=ib:J:=a=ii>>1=:<6;hdf3?7=3`ln:7?5;h10fc<622eo8l4>::`g0d<72=0;6=u+9`1903><,:l965:>;%;5g?de92B8ik5aa669524f3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3tymi:4?:3y]b`1<5m>j6>hj;|qea3<72;qUjh84=e6b>6`a3ty8?oh50;0xZ65en27o8l4;019~wa2f2909wSj;a:?g0d0<625<7s-3j?7:98:&0b7<0181/5;m5bc38L6ca3gk<87?82b9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vd;296~X6m8n01i:k:2df?xuam?0;6?uQfd489a2c2:lm7p}ie583>7}Ynl>01i:k:523?xuam:0;6?uQfd189a2c2=::7p}k4e83>7}Yl=n01i:k:9;3?x{e9:2<6<4;:087!?f;39mn6F69e9me22=9>8o7dhj6;39?l`b=3;17d?n4e82>>ic1<729q/5l=547:8 6`521>:7)79c;`a5>N4mo1em::5160f?l5am3:17d=if;29?l2783:17b660;29?xuam?0;6?uQfd489a2b2:ln7p}ie483>7}Ynl?01i:j:2de?xu6i=n1<7;c5<5sWn?i63k4d8;=5=zukn?87?55;294~">i:0?:55+3g093cg<,0;I1fb>hf?=0:;?h4i2df>5<5<5<:582>1}#1h91?kl4H8;g?kg0<3;4<5<3290;w)7n3;65<>"4n;038<5+97a9fg7<@:om7co84;3474=n;oo1<75f3gd94?=n<9:1<75`88294?=z{onm6=4={_dgb>;c;m08jh5rsgff>5<5sWloi63k3e80bc=z{:9ij7>52z\07g`<5m9o69>?;|qg7a<72;qUh>j4=e1g>=?73twi=>6<:086>4<2s-3j?7=ib:J:=a=ii>>1=:==;h3f5a<622cmhh4>::ke`f<622cmho4>::mg05<622ho8=4?:483>5}#1h918;64$2d1>2?63-3=o7lm1:J0ac=ii>>1=:=<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:i:5y'=d5=;oh0D47k;oc40?70;=1bjik51;9jbab=931b=l:k:088ka262800ni:>:187>5<7s-3j?7:98:&0b750;9~wcbb2909wShke:?g04<4nl1vkjk:181[`cl27o8<4vP>a5f89a262=:;7p}k4083>7}Yl=;01i:>:9;3?x{el:=1=7;50;2x ">>j0in<5G3dd8jd1328=8:6g>o4no0;66g;0183>>o3880;66a79183>>{e9:=m6<4;:087!?f;39mn6F69e9me22=9>9<7dhk7;39?l`c>3;17d=>ic;90:66lk3183>1<729q/5l=547:8 6`521>:7)79c;`a5>N4mo1em::5161;?l5am3:17d=if;29?l2783:17b660;29?xual>0;6?uQfe589a572:ln7p}id783>7}Ynm<01i=?:2de?xu4;kl1<7;c;90?<=5rse13>5<5sWn8<63k318;=5=zuk;84=4>:482>0}#1h91?kl4H8;g?kg0<3;4<4<4<=46*52z\2a4b<5m986>hj;|qe`3<72;qUji84=e10>6`a3tymh94?:3y]ba2<5m9869>?;|qe`6<72;qUji=4=e10>1663tyo?>4?:3y]`65<5m98657?;|a56>6280?6<4;{%;b7?5aj2B25i5aa669525e3`lo:7?5;hdg1?7=3`;j8i4>::mg71<622ho?94?:583>5}#1h918;64$2d1>=263-3=o7lm1:J0ac=ii>>1=:=l;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|qe`3<72;qUji84=e17>6`b3tymh84?:3y]ba3<5m9?6>hi;|q2e1b=838pR50z&:e6<3>11/?k<57gc8 <0d2kh:7E=jf:lb31<6?:n0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg74?j0:694>:5y'=d5=;oh0D47k;oc40?70;l1bjnj51;9jbfe=931b?>li:088ka442800ni<<:187>5<7s-3j?7:98:&0b750;9~wcec2909wShld:?g66<4nl1vkml:181[`dk27o>>4vP<3cd89a442=:;7p}k2283>7}Yl;901i<<:9;3?x{e9:=o6<4::086!?f;39mn6F69e9me22=9>>;7d?j1e82>>oakj0:66gic`82>>oak00:66ak2782>>dc:?0;684?:1y'=d5=h=:6;2?!?1k3hi=6F>:7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xu6m8n1<7;c:?08jh5rsga`>5<5sWlho63k2780bc=z{oij6=4={_d`e>;c:?0?<=5rsga:>5<5sWlh563k278744=z{m8=6=4={_f12>;c:?035=5r}c303`<62=0:69u+9`197cd<@03o7co84;3407=nnji1=75ffb`95?=n9h>o6<44oe04>4<=46*5f3gg94?=n;ol1<75f41294?=h00:1<75rsga`>5<5sWlho63k2680b`=z{oii6=4={_d`f>;c:>08jk5rs0c7`?6=:rT:m9j4=e04>1673tyo>:4?:3y]`71<5m8<657?;|a`4b=93?1<7>t$8c0>10?3-9m>79ia:&:2f413<2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a561>280?6<4;{%;b7?5aj2B25i5aa66952223`lh97?5;hd`0?7=3`98nk4>::mg53<622ho=;4?:583>5}#1h918;64$2d1>=263-3=o7lm1:J0ac=ii>>1=::9;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|qeg0<72;qUjn;4=e35>6`b3tymo94?:3y]bf2<5m;=6>hi;|q07g`=838pR>=mf:?g53<3891vi?9:181[b6>27o=;47919~yg74?h0:684>:4y'=d5=;oh0D47k;oc40?70<>1b=h?k:088mce32800ekm=:088mce62800ci?6:088fa7>290>6=4?{%;b7?2102.8j?48909'=3e=jk;0D>ki;oc40?70<11b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w4c6l3:1>vP>e0f89a7>2:ln7p}ic583>7}Ynj>01i?6:2de?xuak;0;6?uQfb089a7>2=:;7p}ic083>7}Ynj;01i?6:522?xuc900;6?uQd0;89a7>213;7psm125a>4<3280?w)7n3;1ef>N>1m1em::5166:?l`d<3;17dhl3;39?l7f>dc9h0;694?:1y'=d5=h=:962?!?1k3hi=6F>j7d=ie;29?l5an3:17d:?0;29?j>>83:17p}ic583>7}Ynj>01i?n:2df?xuak:0;6?uQfb189a7f2:lm7p}>a5f94?4|V8k?h63k1`8745=z{m;j6=4={_f2e>;c9h035=5r}cf24?7==3:1gd63A9nj6`n758231d5<5<4<=46*5<5sWli<63k0980b`=z{okm6=4={_dbb>;c8108jk5rs21ab?6=:rT8?oh4=e2;>1673tyo<54?:3y]`5><5m:3657?;|a5610280>6<4:{%;b7?5aj2B25i5aa669522b3`;n=i4>::keec<622cmmi4>::keef<622eo::`g4g<72<0;6=u+9`1903><,:l96:7>;%;5g?de92B8ik5aa669522a3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2a4b=838pRd:?g4g<4nl1vkoi:181[`fn27o1<62=q/5l=53g`8Ll:088fa6d290?6=4?{%;b7?2102.8j?47409'=3e=jk;0D>ki;oc40?70=81b?kk50;9j7c`=831b8=>50;9l<<6=831vkoi:181[`fn27ol:523?xuc8j0;6?uQd1a89a6d213;7psmd1095?3=83:p(4o<:54;?!5a:3=mm6*66b8af4=O;ll0bl9;:0566>o4nl0;66g>o3890;66g;0083>>i?190;66sm2e2;>4<4290;w)7n3;65<>"4n;03?:5+97a96fd?3A9nj6`n75823055<<,:l965=8;%;5g?4dj11C?hh4n`57>412<2c8jh4?::k0bc<722e35=4?::a6a6328086=4?{%;b7?2102.8j?47369'=3e=:jh37E=jf:lb31<6?hj:188m6`a2900c57?:188yg57k10:6>4?:1y'=d5=h=:914?!?1k39;>l5G3dd8jd1328=>:6g>o4no0;66a79183>>{e<8ii6<4<:183!?f;3>=46*hf?=0:;894i2df>5<5<:283>5}#1h918;64$2d1>=>f3-3=o7<>319K7``8j=:080>5<7s-3j?7:98:&0b71/5;m52013?M5bn2dj;94>74;8m6`b2900e>hi:188k=?72900qo<:d682>6<729q/5l=547:8 6`521227)79c;0275=O;ll0bl9;:056e>o4nl0;66g>i?190;66sm15f4>4<12;0=w)7n3;1ef>N>1m1Q?km51z;2b?{ii>>1=:;m;h05e<<622c99n<51;9j60da2800e?:<8;39?j42kh0:66a;a082>>d5=m<1<7=50;2x ">>j09=>>4H2ge?kg0<3;<9n5f3gg94?=n;ol1<75`88294?=zj;?oo7>55;294~">i:0?:55+3g093cc<,0>1=:;k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9:l750;1xZ70f12799i853gg8973ck3>;<6s|24a1>5<4sW8>o?5224f5>6`a348>hn4;009~w73en3:1>vP=5cd8973ck39mi6s|251;>5<5sW8??55224f`>6`a3ty99no50;0xZ73di2799i858828yv2f93:1>vP;a09>60bd213;7psm24a`>4<2290;w)7n3;65<>"4n;05<5">>j09=>>4H2ge?kg0<3;<9k5f3gg94?=n;ol1<75f41294?=n<9;1<7F0<729q/5l=547:8 6`5218i7)79c;0275=O;ll0bl9;:0554>o4nl0;66g>o3890;66g;0083>>i?190;66sm1617>4<2280>w)7n3;1ef>N>1m1em::51642?l26jk0:66g;1c095?=n<8h86<44i53a0?7=3f>;oh4>::`74fc=83?1<7>t$8c0>10?3-9m>76;4:&:2f<38kl0D>ki;oc40?70>;1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w17ej3:1>vP;1c`8916dm39mi6s|40`1>5<5sW>:n?5241af>6`a3ty?=o=50;0xZ17e;27?>82wvn<9<7;391?7==r.2m>4b982>>i38m<1=75m41f5>5<2290;w)7n3;65<>"4n;03895+97a905da3A9nj6`n75823325<5<k6;1eb>{t<8h<6=4={_62f2=:<9n=69>?;|q75g>=838pR9?m8:?74a0=<9;0q~:?d783>7}Y<9n=70:?d78;=5=zuk;:482>0}#1h91?kl4H8;g?kg0<3;<:85f40``>4<lc;291?6=8r.2m>4;699'7c4=0=?0(48l:52ab>N4mo1em::51645?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p};1ca94?4|V=;io63;0ba97cc:nl4?:3y]04df34>;on4vP;1c38916dk3>;<6s|41a2>5<5sW>;o<5241a`>1663ty?j4;39?l261<0:66g;0d`95?=n<83h6<44o52``?7=3k>;oi4?:483>5}#1h918;64$2d1>2?53-3=o7:?bg9K7``;38jn1?kh4}r63ag<72;qU8=km;<63ga<3891v9?6c;296~X390i019>ld;635>{t<9io6=4={_63ga=:<9io657?;|a505e280?6<4;{%;b7?5aj2B25i5aa669520>3`?:87?5;h63=`<622c?<4h51;9l6d?d2800n9<83;290?6=8r.2m>4;699'7c4=0;20(48l:52;=>N4mo1em::5164b?l5am3:1D>m7;:k0bc<722c?<=4?::m;=5<722wx9<:50;0xZ07334>9;>4m3:1>vP;08g89140;39mj6s|41;e>5<5sW>;5k524350>1673ty9m4m50;0xZ7g>k27?>:=58828yxd6=?91=7:51;6x :4$84`>7d5=2B8ik5aa669520d3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty9o9950;0xZ7e3?279hh=53gg8yv27i90;6?uQ41c3?84cm:08jk5rs52b5?6=:rT?ik=:181[4cm;16>ik<:9;3?x{e9<<:6<4;:087!?f;39mn6F69e9me22=9>>o38h;1=75f41c3>4<<,:l965=<;%;5g?4e:<1C?hh4n`57>411m2c8jh4?::k0bc<722c?<=4?::m;=5<722wx>n:8:181[4d<>16>ik>:2df?xu38h;1<7;5ll;1?kh4}r63e5<72;qU8=o?;<0ga4<3891v?jkd;296~X5lmn01?jj1;::4>{zj89m87?54;390~">i:08jo5G98f8jd1328==j6gic182>>o38h>1=75f41c3>4<5<3290;w)7n3;65<>"4n;03855+97a9fg7<@:om7co84;3435=n;oo1<7F?:188k=?72900q~hl0;296~Xak916ji?53gg8yv27i=0;6?uQ41c7?8`c939mj6s|41c3>5<5sW>;m=52fe390567>52z\e`7=:nm;144>4}|`2116=93?1=7;t$8c0>6`e3A32h6`n7582327;5n4>::k74lo=:088f14?<3:197>50z&:e6<3>11/?k<58308 <0d2=:356F=97d=ie;29L6e?32c8jk4?::k745<722c?<<4?::m;=5<722wx9h>50;0xZ0c734>9494vP;1e68914?<39mj6s|41;`>5<5sW>;5n5243:7>1673ty?<4j50;0xZ16>l27?>5:54138yv4fi;0;6?uQ2`c1?8250=035=5r}c3412<62<0:68u+9`197cd<@03o7co84;3436=n<93n6<44i52:g?7=3`>;5i4>::k74<`=931d8?9j:088f140n3:197>50z&:e6<3>11/?k<58238 <0d2=:356F=?7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xu380o1<7;3:>l1?kk4}r63=f<72;qU8=7l;<613c<4no1v9>6d;296~X380n019<8f;634>{t<93m6=4={_63=c=:<;=m69>>;|q762c=838pR9<8e:?762`=00:0qpl>52a95?3=93?p(4o<:2da?M?>l2dj;94>7678m0c42800e9>6c;39?l26l=0:66g;08f95?=h:h3n6<44b504f?6==3:116?12B8ik5aa66952113`9mi7>5H2a;?>o4no0;66g;0183>>o3880;66a79183>>{t=l91<7;3:>h18=?4}r0b=`<72;qU>l7j;<613g0<62:088m16f;3;17d:?a382>>i68o31=75m11db>5<2290;w)7n3;65<>"4n;03:h5+97a9fg7<@:om7co84;343==n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rsgf1>5<5sWlo>63>0gc97cc;m<4?:3y]05g634;;jl4vP;0`18946ai3>;<6s|41c1>5<5sW>;m?5211db>1663ty:30ekli:088m16f93;17d:?a282>>o38h81=75`11d2>4<7>55;294~">i:0?:55+3g09<3c<,0;I1fb>hf?=0:;:o4i2df>5>83:17p}ibg83>7}Ynkl01<>i2;1ea>{t<9k:6=4={_63e4=:99l96>hi;|q74d5=838pR9>n3:?24c4=<9:0q~:?a383>7}Y<9k970??f38744=z{8:m=7>52z\24c7<58:m>7660:~f426k3;197?55z&:e6<4nk1C54j4n`57>410j2cmh?4>::k74d7=931b8=o<:088m16f:3;17b??e882>>d68lk1<7;50;2x ">>j0in<5G3dd8jd1328=>o4no0;66g;0183>>o3880;66a79183>>{tnm81<7;68lk18=?4}r33a<<72;qU==k6;<33ad0<62:088m16f;3;17d:?a382>>i68l;1=75m11g1>5<2290;w)7n3;65<>"4n;03:95+97a9fg7<@:om7co84;343`=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rsg`e>5<5sWlij63>0d097cc;m<4?:3y]05g634;;i?4vP;0`18946b:3>;<6s|41c1>5<5sW>;m?5211g1>1663ty:l0ekj=:088m16f93;17d:?a382>>o38h91=75`11f:>4<55;294~">i:0?:55+3g09<3c<,0;I1fb>hf?=0:;5>4i2df>5<5<5<7>52z\e`7=:99nj6>hj;|q74d7=838pR9>n1:?24ag=;ol0q~:?a383>7}Y<9k970??d`8745=z{=:j?7>52z\74d5<58:om7:?1:p55b>2909wS??d89>55bf213;7psm153:>4<2280>w)7n3;1ef>N>1m1em::516:2?l`en3;17d:?a082>>o38h81=75f41c0>4<<,:l9658j;%;5g?de92B8ik5aa66952>53`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|qefc<72;qUjoh4=02g6?5am2wx8=o>:181[27i816==j=:2de?xu38h81<7;68m818=>4}r63e6<72;qU8=o<;<33`7<3881v<>k1;296~X68m;01<>k2;::4>{zj8>:<7?55;391~">i:08jo5G98f8jd1328=3?6gid382>>o38h91=75f41c2>4<7?5;n33<<<622h:<5o50;794?6|,0k86987;%1e6?>1m2.2:n4mb09K7``vP;0`18946?i39mj6s|41c2>5<5sW>;m<5211:b>1673ty?4<7?5;h63e6<622e:<>951;9a555?290>6=4?{%;b7?2102.8j?47639'=3e=jk;0D>ki;oc40?700?1b?kk50;J0g==5<5<52z\eb==:99936>hj;|q74d7=838pR9>n1:?246>=;ol0q~:?a383>7}Y<9k970??398745=z{=:j?7>52z\74d5<58:847:?1:p55502909wS??369>555?213;7psm1522>4<2280>w)7n3;1ef>N>1m1em::516:4?l`a=3;17d:?a082>>o38h81=75f41c0>4<<,:l9658=;%;5g?de92B8ik5aa66952>?3`9mi7>5H2a;?>o4no0;66g;0183>>o3880;66a79183>>{tno?1<7;68::18=?4}r336c<72;qU==0<62>i68;=1=75m110;>5<2290;w)7n3;65<>"4n;03:h5+97a9fg7<@:om7co84;345<5sWlij63>03:97cc;m>4?:3y]05g434;;>54vP;0`38946503>;<6s|41c1>5<5sW>;m?52110;>1663ty:>o38h91=75`113e>4<55;294~">i:0?:55+3g09<32<,0;I1fb>hf?=0:;5m4i2df>5<5<5<52z\eb==:998;6>hj;|q74d4=838pR9>n2:?2476=;ol0q~:?a083>7}Y<9k:70??218745=z{=:j?7>52z\74d5<58:9<7:?1:p557a2909wS??1g9>5547213;7psm12df>4<2280>w)7n3;1ef>N>1m1em::516:g?l`a=3;17d:?a382>>o38h;1=75f41c0>4<<,:l9658;;%;5g?de92B8ik5aa66952>b3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|qeb0<72;qUjk;4=022;688218=>4}r63e6<72;qU8=o<;<335=<3881v<>>7;296~X688=01<>>8;::4>{zj89mh7?55;391~">i:08jo5G98f8jd1328=3j6gif982>>o38h;1=75f41c1>4<50;794?6|,0k86987;%1e6?>1<2.2:n4mb09K7``vP;0`389466839mj6s|41c1>5<5sW>;m?521133>1673ty?54138yv778o0;6?uQ112e?87799035=5r}c30bf<62<0:68u+9`197cd<@03o7co84;34=4=nno?1=75f41c2>4<7?5;h63e6<622e:<=951;9a556?290>6=4?{%;b7?2102.8j?47659'=3e=jk;0D>ki;oc40?701;1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~wc`22909wShi5:?245>=;oo0q~:?a083>7}Y<9k:70??0980bc=z{=:j>7>52z\74d4<58:;47:?0:p05g42909wS:?a29>556?2=::7p}>01594?4|V8:;;63>01:9<<6hm;I;:`>hf?=0:;4=4igf1>4<?:186>5<7s-3j?7:98:&0b753gg8yv27i80;6?uQ41c2?8778908jk5rs52b6?6=:rT??:522?xuano0;6?uQfgd894678322<6srb01eoal;0:66g;0`395?=n<9k96<44i52b7?7=3flm=7?5;cde6?6==3:1gd63A9nj6`n75823<05<5<;m<4?:3y]05g634lm>7=if:p05g52909wS:?a39>bc4=<9:0q~:?a283>7}Y<9k870hi2;635>{tno;1<7oajo0:66g;0`395?=n<9k96<44i52b7?7=3fln57?5;cdfe?6==3:1gd63A9nj6`n75823<>5<5<;m<4?:3y]05g634lnm7=if:p05g52909wS:?a39>b`g=<9:0q~:?a283>7}Y<9k870hja;635>{tnl31<7oal;0:66g;0`095?=n<9k:6<44i52b7?7=3fln=7?5;cdf6?6==3:1gd63A9nj6`n758235<5<;m?4?:3y]05g534ln>7=if:p05g62909wS:?a09>b`4=<9:0q~:?a283>7}Y<9k870hj2;635>{tnl;1<7oajo0:66g;0`095?=n<9k:6<44i52b7?7=3flo57?5;cdge?6==3:1gd63A9nj6`n758235<5<;m?4?:3y]05g534lom7=if:p05g62909wS:?a09>bag=<9:0q~:?a283>7}Y<9k870hka;635>{tnm31<7oal;0:66g;0`395?=n<9k96<44i52b7?7=3flhj7?5;cdg4?6==3:1gd63A9nj6`n758235<5<;m<4?:3y]05g634lo<7=if:p05g52909wS:?a39>ba6=<9:0q~:?a283>7}Y<9k870hk0;635>{tnjl1<7oajo0:66g;0`395?=n<9k96<44i52b7?7=3flh;7?5;cd`gd63A9nj6`n75823d65<5<;m<4?:3y]05g634lh47=if:p05g52909wS:?a39>bf>=<9:0q~:?a283>7}Y<9k870hl8;635>{tnj=1<7oajo0:66g;0`395?=n<9k96<44i52b7?7=3fli>7?5;cda7?6==3:14$84`>gd63A9nj6`n75823d45<5<;m<4?:3y]05g634li?7=if:p05g52909wS:?a39>bg5=<9:0q~:?a283>7}Y<9k870hm3;635>{tnk81<7o5i0o1=75f434;>4<::k74d3=931b=4?j:088kcdb2800nkl7:187>5<7s-3j?7:98:&0b750;9~fcd>290?6=4?{%;b7?2102.8j?47829K7``1<7>t$8c0>10?3-9m>76;7:J0ac=ii>>1=:o9;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q1e;aj008jk5rs505`?6=:rT?>;j4=g`:>1673tyj454?:3y]e=><5oho6>hj;|q74d3=838pR9>n5:?efa<4no1v<7>e;296~X618o01klk:523?xuajl0;6?uQfcg89cd?213;7p}ib883>7}:nk21?kk4=g`:>=?73tymni4?:3y>bg>=;ol01klk:9;3?x{e9k<7d>o38h;1=75f41c3>4<<,:l965=<;%;5g?4e:<1C?hh4n`57>41f02c8jh4?:I1`<>=n;ol1<75f41294?=h00:1<75rs3a72?6=:rT9o984=3f;=?5am2wx8=o>:181[27i816>i66:2de?xu38h:1<7;5l1318=>4}r0g<<<72;qU>i66;<0g<<6<729q/5l=547:8 6`521??7)79c;`a5>N4mo1em::516c:?l5am3:17d=if;29?j>>83:17pl<95`95?3=83:p(4o<:54;?!5a:32:h6*66b807a3<@:om7co84;34ed=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb35577482B8ik5aa66952ge3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9;;;51;694?6|,0k86987;%1e6?>7;2.2:n4=1228L6ca3gk<87?8ab9j7cc=831b?kh50;9j056=831d44>50;9~f42aj3;187?54z&:e6<4nk1C54j4n`57>41fl2c?51;9j05g52800e9>n3;39?j40=>0:66l=77494?2=83:p(4o<:54;?!5a:3252z\74d6<5;==:7=ie:p05g52909wS:?a39>62012:lm7p};0`194?4|V=:j?63=7749056>0:684?:1y'=d5=h=:6a;?!?1k38:?=5G3dd8jd1328=jj6g>o4no0;66g;0183>M5d021b8=?50;9l<<6=831vn?999;391?6=8r.2m>4;699'7c4=?j?0(48l:3304>N4mo1em::516`3?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=77095?2=83:p(4o<:54;?!5a:32;?6*66b81566<@:om7co84;34f4=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;=>i7?54;294~">i:0?:55+3g09<55<,0>1=:l=;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`1335=93?1<7>t$8c0>10?3-9m>79l5:&:2f<59::0D>ki;oc40?70j:1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f712j3;187>50z&:e6<3>11/?k<58118 <0d2;;8<6Fh?7d=ie;29?l5an3:17d:?0;29?j>>83:17pl=74695?2=83:p(4o<:54;?!5a:32;?6*66b81566<@:om7co84;34f0=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;=>o7?55;294~">i:0?:55+3g093f3<,0>1=:l9;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th89kh51;794?6|,0k86987;%1e6?1d92.2:n4<3e78L6ca3gk<87?8b69j7cc=831b?kh50;9j056=83B8o554i522>5<<,:l96566;%;5g?4e:<1C?hh4n`57>41e02c8jh4?::k0bc<722e35=4?::a6f64280?6=4?{%;b7?2102.8j?48e`9'=3e=:k8>7E=jf:lb31<6?k30e>hj:188m6`a2900e9>?:188k=?72900qo1<729q/5l=547:8 6`52>oj7)79c;0a60=O;ll0bl9;:05ae>o4nl0;66g>o3890;66a79183>>{e:klm6<4;:183!?f;3>=46*o<:;I1fb>hf?=0:;ol4i2df>5<5<<,:l96:kn;%;5g?4e:<1C?hh4n`57>41ek2c8jh4?::k0bc<722c?<=4?::m;=5<722wi>ohm:087>5<7s-3j?7:98:&0b7<0kj1/5;m52c06?M5bn2dj;94>7cf8m6`b2900e>hi:188m1672900c57?:188yg4en00:694?:1y'=d5=h=:6a`?!?1k38i>85G3dd8jd1328=ii6g>o4no0;66g;0183>>i?190;66sm2cd4>4<3290;w)7n3;65<>"4n;05<5<:583>5}#1h918;64$2d1>2ed3-3=o77E=jf:lb31<6?j;0e>hj:188m6`a2900e9>?:188k=?72900qo1<729q/5l=547:8 6`52>oj7)79c;0a60=O;ll0bl9;:05`6>o4nl0;66g>o3890;66a79183>>{e:kom6<4;:183!?f;3>=46*o<:;I1fb>hf?=0:;n=4i2df>5<5<<,:l96:kn;%;5g?4e:<1C?hh4n`57>41d<2c8jh4?::k0bc<722c?<=4?::m;=5<722wi>okm:087>5<7s-3j?7:98:&0b7<0mh1/5;m52c06?M5bn2dj;94>7b78m6`b2900e>hi:188m1672900c57?:188yg4em00:694?:1y'=d5=h=:6gb?!?1k38i>85G3dd8jd1328=h:6g>o4no0;66g;0183>>i?190;66sm2cgb>4<3290;w)7n3;65<>"4n;05<5<:583>5}#1h918;64$2d1>2ed3-3=o76<4:{%;b7?5aj2B25i5aa66952e>3`8h894>::k1g10=931b8=o?:088m16f93;17b>d5l1n1<7;50;2x ">>j09n?;4H2ge?kg0<3;52z\1g12<5;n3h7=ie:p6f212909wS6a>c2:lm7p};0`294?4|V=:j<63=d9f9056;m<4?:3y]05g6348o4i4;009~w7b?k3:1>vP=d9a897b?l322<6srb046a?7==3;19v*6a280bg=O10n0bl9;:05`f>o41;h1=75f380`>4<6=4?{%;b7?2102.8j?47719'=3e=;:n>7E=jf:lb31<6?ji0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5>:k0;6?uQ380a?85c9;08jh5rs2;1g?6=:rT85?m4=2f26?5an2wx?4<::181[5>:<16?i?=:523?xu41;<1<7;4l8818=?4}r1g57<72;qU?i?=;<1g570<627=4;39?l5>:m0:66g<93d95?=h;m;=6<44b2f22?6==3:14$84`>65c=2B8ik5aa66952eb3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0=75=838pR>7=3:?0`40=;oo0q~=62583>7}Y;08?70=k1780bc=z{:39h7>52z\0=7b<5:n::7:?0:p7<4a2909wS=62g9>7a712=::7p}<751;794?6|,0k86987;%1e6?>6m2.2:n4mb09K7``:086>5<7s-3j?7:98:&0b7<0081/5;m5bc38L6ca3gk<87?8d19j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<<>8;391?6=8r.2m>4;699'7c4=08:0(48l:c`2?M5bn2dj;94>7e38m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?=1`82>0<729q/5l=547:8 6`521;n7)79c;`a5>N4mo1em::516f1?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>20`95?3=83:p(4o<:54;?!5a:3=3=6*66b8af4=O;ll0bl9;:05g7>o4nl0;66g>o3890;66g;0083>>i?190;66sm1303>4<2290;w)7n3;65<>"4n;03==5+97a9fg7<@:om7co84;34`1=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0016?7==3:1gd63A9nj6`n75823a35<5<<,:l965?j;%;5g?de92B8ik5aa66952b13`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2672=93?1<7>t$8c0>10?3-9m>7971:&:2f41c?2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a574>280>6=4?{%;b7?2102.8j?47119'=3e=jk;0D>ki;oc40?70l11b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f445i3;197>50z&:e6<3>11/?k<580g8 <0d2kh:7E=jf:lb31<6?m30e>hj:188m6`a2900e9>?:188m1662900c57?:188yg75:k0:684?:1y'=d5=h=:6:2?!?1k3hi=6Fnj7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd6:::1=7;50;2x ">>j0in<5G3dd8jd1328=on6g>o4no0;66g;0183>>o3880;66a79183>>{e9;9:6<4::183!?f;3>=46*9:7?55;391~">i:08jo5G98f8jd1328=oh6g>0gf95?=n9>lh6<44i02ea?7=3`;:<=4>::m2664=931i=?==:186>5<7s-3j?7:98:&0b7id;296~X68on01<<<2;1ea>{t9>lh6=4={_34bf=:9;996>hi;|q24cc=838pR<>ie:?2664=<9:0q~?>0183>7}Y98:;70?=338744=z{888>7>52z\2664<5888>7660:~f425?3;197?55z&:e6<4nk1C54j4n`57>41cn2c:ie;39?l76890:66a>22795?=e9;9>6=4::183!?f;3>=46*52z\24cb<588897=ie:p5=662909wS?7009>57522:lm7p}>0gg94?4|V8:mi63>2279056vP>22789444=322<6srb000g?7==3:1gd63A9nj6`n75823`75<5<10:66g=a8d95?=n<9k>6<44i52b7?7=3`>;m?4>::k74d2=931d>k7n:088k7`>03;17b>i5ml>1=75m2g;a>5<4290;w)7n3;65<>"4n;03?;5+97a96g433A9nj6`n75823`55<<,:l965=9;%;5g?4e:=1C?hh4n`57>41b<2c8jh4?::k0bc<722e35=4?::a6a`429086=4?{%;b7?2102.8j?47379'=3e=:k8?7E=jf:lb31<6?l?0e>hj:188m6`a290C?n64;n::4?6=3th9j5=50;794?6|,0k86987;%1e6?>1l2.2:n4=dg68L6ca3gk<87?8e79j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?h74;290?6=8r.2m>4;699'7c4=0==0D>ki;oc40?70m>1b?kk50;9j7c`=831b8=>50;9l<<6=831vn?h78;291?6=8r.2m>4;699'7c4=0<;0D>ki;oc40?70m11b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w16f>3:1:vP;0`4897`>j39mi63=f8;97cc<5;nm?7=ie:?1b=5=<9:01?h78;1eb>{t<;hi;<0e<=<3881v9<98;297~X3:?201?h69;1eb>;5n1218=>4}r0b=c<72:qU>l7i;<0gb6<4no16>k6<:522?xu38h?1<7;5n1>1?kk4}r63e6<72;qU8=o<;<0e<1<4no1v9>n2;296~X38h801?h74;634>{t<9k?6=4={_63e1=::o236>hj;|q1b7}Y:o33707>52z\1`c4<5;nm?7660:p6`c32909wS6c>4213;7p}=f9694?4|5;l3?7=ie:?1b=2=00:0q~7}::o286>hi;<0e<=1<62=q/5l=53g`8Lk7;39?l260?0:66a;11795?=e<8:=6=4;:183!?f;3>=46*hf?=0:;ho4i2df>5<5<k7;296~X38m=019??6;1eb>{t<82=6=4={_62<3=:<8:=69>?;|q7553=838pR9??5:?7550=00:0qpl<75c95?3=83:p(4o<:54;?!5a:3=h46*66b807a3<@:om7co84;34ag=n;oo1<75f3gd94?=n<9:1<7Fh=:6a;?!?1k398h85G3dd8jd1328=no6g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn>9;6;391?6=8r.2m>4;699'7c4=?j20(48l:21g1>N4mo1em::516gg?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<75695?3=83:p(4o<:54;?!5a:3=h46*66b807a3<@:om7co84;34a`=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb2576?7==3:165c=2B8ik5aa66952ca3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0316=93?1<7>t$8c0>10?3-9m>79l8:&:2f<4;m?0D>ki;oc40?70n91b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f614m3;197>50z&:e6<3>11/?k<57b:8 <0d2:9o96Fl:7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd4?:i1=7;50;2x ">>j08?i;4H2ge?kg0<3;i:0?:55+3g093f><,0
    =k5:J0ac=ii>>1=:h<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8;>651;794?6|,0k86987;%1e6?1d02.2:n4<3e78L6ca3gk<87?8f59j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>9<6;391?6=8r.2m>4;699'7c4=?j20(48l:21g1>N4mo1em::516d6?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<72695?3=83:p(4o<:54;?!5a:3=h46*66b807a3<@:om7co84;34b3=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb2506?7==3:165c=2B8ik5aa66952`03`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`037e=93?1<7>t$8c0>10?3-9m>79l8:&:2f<4;m?0D>ki;oc40?70n11b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f61f83;197>50z&:e6<3>11/?k<57b;8 <0d2:9o96Fl27d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd501i1=7:50;2x ">>j0945=4H2ge?kg0<3;7>?;2B8ik5aa66952`e3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th945o51;694?6|,0k86987;%1e6?>7;2.2:n4=8918L6ca3gk<87?8fb9j7cc=831b?kh50;9j056=831d44>50;9~f7>?13;187>50z&:e6<3>11/?k<58118 <0d2;23?6Flo7d=ie;29?l5an3:17d:?0;29?j>>83:17pl=89:95?2=83:p(4o<:54;?!5a:32;?6*66b81<=5<@:om7co84;34b`=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;23;7?54;294~">i:0?:55+3g09<55<,0>1=:hi;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`1<=0=93>1<7>t$8c0>10?3-9m>76?3:&:2f<50190D>ki;oc40?7?891b?kk50;9j7c`=831b8=>50;9l<<6=831vn?675;390?6=8r.2m>4;699'7c4=0990(48l:3:;7>N4mo1em::51922?l5am3:17d=if;29?l2783:17b660;29?xd5ml91=7=50;2x ">>j09hk:4H2ge?kg0<3;3i:0?:55+3g09<60<,0>1=5><;h1ea?6=3`9mj7>5;n::4?6=3th9jk>51;794?6|,0k86987;%1e6?1?92.2:n4=b368L6ca3gk<87?7059j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?hi1;391?6=8r.2m>4;699'7c4=08:0(48l:3`10>N4mo1em::51926?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=fd:95?5=83:p(4o<:54;?!5a:328:6*66b81f72<@:om7co84;3;43=n;oo1<75f3gd94?=h00:1<75rb3df=?7==3:17d5<2B8ik5aa6695=603`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1b`g=93?1<7>t$8c0>10?3-9m>76>0:&:2f<5j;>0D>ki;oc40?7?811b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7`b=3;1?7>50z&:e6<3>11/?k<58248 <0d2;h986F">>j09n?:4H2ge?kg0<3;3i:0?:55+3g09<46<,0>1=5>m;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th9jh<51;194?6|,0k86987;%1e6?>4>2.2:n4=b368L6ca3gk<87?70b9j7cc=831b?kh50;9l<<6=831vn?hj3;391?6=8r.2m>4;699'7c4=?1;0(48l:3`10>N4mo1em::5192g?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=fd695?3=83:p(4o<:54;?!5a:32:<6*66b81f72<@:om7co84;3;4`=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3dgb?7=;3:184$84`>7d5<2B8ik5aa6695=6a3`9mi7>5;h1eb?6=3f22<7>5;|`1b`6=93?1<7>t$8c0>10?3-9m>7971:&:2f<5j;>0D>ki;oc40?7?991b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7`b93;197>50z&:e6<3>11/?k<58028 <0d2;h986F">>j09n?:4H2ge?kg0<3;3=?5f3gg94?=n;ol1<75`88294?=zj;loh7?55;294~">i:0?:55+3g093=7<,0>1=5?<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th9jik51;794?6|,0k86987;%1e6?>682.2:n4=b368L6ca3gk<87?7159j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?hk7;397?6=8r.2m>4;699'7c4=0:<0(48l:3`10>N4mo1em::51936?l5am3:17d=if;29?j>>83:17pl=fe:95?3=83:p(4o<:54;?!5a:3=3=6*66b81f72<@:om7co84;3;53=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3dg=?7==3:14$84`>7d5<2B8ik5aa6695=703`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1bf4=9391<7>t$8c0>10?3-9m>76<6:&:2f<5j;>0D>ki;oc40?7?911b?kk50;9j7c`=831d44>50;9~f7`d;3;197>50z&:e6<3>11/?k<57938 <0d2;h986F1=7;50;2x ">>j09n?:4H2ge?kg0<3;3=l5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;l2j7?53;294~">i:0?:55+3g09<60<,0>1=5?m;h1ea?6=3`9mj7>5;n::4?6=3th9jl>51;794?6|,0k86987;%1e6?1?92.2:n4=b368L6ca3gk<87?71b9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?hn1;391?6=8r.2m>4;699'7c4=08:0(48l:3`10>N4mo1em::5193g?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=f8a95?5=83:p(4o<:54;?!5a:328:6*66b81f72<@:om7co84;3;5`=n;oo1<75f3gd94?=h00:1<75rb3d:`?7==3:17d5<2B8ik5aa6695=7a3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1bt$8c0>10?3-9m>76>0:&:2f<5j;>0D>ki;oc40?7?:91b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f147?3;197>50z&:e6<3>11/?k<57938 <0d2=:356F">>j0?<574H2ge?kg0<3;3>?5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;lnn7?54;294~">i:0?:55+3g09<55<,0>1=5<<;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`1bg4=93>1<7>t$8c0>10?3-9m>76?3:&:2f<5j;>0D>ki;oc40?7?:=1b?kk50;9j7c`=831b8=>50;9l<<6=831vn?hne;390?6=8r.2m>4;699'7c4=0990(48l:3`10>N4mo1em::51906?l5am3:17d=if;29?l2783:17b660;29?xd5nhk1=7:50;2x ">>j09n?:4H2ge?kg0<3;3>;5f3gg94?=n;ol1<75f41294?=h00:1<75rb3db2?7=<3:17d5<2B8ik5aa6695=403`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9jl<51;694?6|,0k86987;%1e6?>7;2.2:n4=b368L6ca3gk<87?7299j7cc=831b?kh50;9j056=831d44>50;9~f713;3;1?7>50z&:e6<3>11/?k<58248 <0d2;;8<6F::8:086>5<7s-3j?7:98:&0b7<00h1/5;m52013?M5bn2dj;94>83c8m6`b2900e>hi:188m167290C?n64;h635?6=3f22<7>5;|`136b=9391<7>t$8c0>10?3-9m>76<6:&:2f<59::0D>ki;oc40?7?:k1b?kk50;9j7c`=831d44>50;9~f71393;197>50z&:e6<3>11/?k<579c8 <0d2;;8<6F:=::080>5<7s-3j?7:98:&0b783f8m6`b2900e>hi:188k=?72900qo<83882>0<729q/5l=547:8 6`52>2j7)79c;0275=O;ll0bl9;:0:1a>o4nl0;66g>o3890;6E=l8:9j057=831d44>50;9~f714<3;1?7>50z&:e6<3>11/?k<58248 <0d2;;8<6F">>j09=>>4H2ge?kg0<3;3?=5f3gg94?=n;ol1<75f41294?N4k110e9>>:188k=?72900qo?;cc82>0<622800e?89a;39?l41>k0:66g=67a95?=h:<2:6<44b37;5?6==3:14$84`>77482B8ik5aa6695=553`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q123?=838pR?899:?11=7=;oo0q~<96`83>7}Y:?52z\123d<5;?3=7:?0:p630d2909wS<96b9>60>62=::7p}=59394?4|V;?3=63=5939<<6hm;I;:`>hf?=0:4>=4i345a?7=3`8=:k4>::k1226=931b>;9>:088k73?:3;17o<:8383>0<729q/5l=547:8 6`521=;7)79c;0275=O;ll0bl9;:0:00>o4nl0;66g>o3890;66g;0083>>i?190;66s|274f>5<5sW8=:h5224:1>6`b3ty9:;h50;0xZ701n27995<53gd8yv41?90;6?uQ2753?8420;0?<=5rs3445?6=:rT9::?4=37;6?2792wx>86=:181[420;16>86=:9;3?x{e9=io6<4::086!?f;39mn6F69e9me22=919>7d<97382>>o5>>91=75f2757>4<082.2:n4=1228L6ca3gk<87?7379j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v?882;296~X5>>801?;73;1ea>{t:?=86=4={_0536=::<286>hi;|q1222=838pR?884:?11=5=<9:0q~<97483>7}Y:?=>70<:828744=z{;?3?7>52z\11=5<5;?3?7660:~f42d13;197?55z&:e6<4nk1C54j4n`57>4>4?2c995>51;9j60>62800e?;72;39?l420:0:66a=56f95?=e:<2?6=4::183!?f;3>=46*<=?;I1fb>hf?=0:4>64i2df>5<5<5<4=4?:3y]60>7348>494vP=5938973?<39mj6s|24:1>5<5sW8>4?5224:7>1673ty995=50;0xZ73?;27995:54138yv42?m0;6?uQ245g?8420=035=5r}c3503<62<0:68u+9`197cd<@03o7co84;3;7<=n::`0f56=83?1<7>t$8c0>10?3-9m>7962:&:2f<410l0D>ki;oc40?7?;h1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w1db2909wS:me:?0f56=;oo0q~;k7;296~X2l>16?o>?:2de?xu409k1<7;4j9:18=>4}r1;02<72;qU?5:8;<1a45<3881v>oif;296~X4iol01>l?0;::4>{zj8i:08jo5G98f8jd132828n6g;bd82>>o2l10:66g<81c95?=n;1>=6<44o2ce`?7=3k9jjh4?:483>5}#1h918;64$2d1>2?53-3=o7=69g9K7``7}Y;1:j70=nfd8745=z{:2?:7>52z\0<10<5:kmi7:?1:p7d`c2909wS=nfe9>7d`b213;7psm1767>4<2280>w)7n3;1ef>N>1m1em::5191g?l2em3;17d;ka;39?l5?8h0:66g<85695?=h;hl<6<44b2ce6?>n2B8ik5aa6695=5b3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q7f`<72;qU8ok4=2ce7i3:1>vP<81c896ga03>;<6s|3967>5<5sW9389523`d;>1663ty8mk950;0xZ6ga?278mk658828yxd6>=91=7;51;7x 2800e>6?a;39?l5?<:0:66a=46*hf?=0:49>4i2df>5<5<5<ii7>52z\7f`=:;hl=6>hj;|q6`<<72;qU9i74=2ce2?5an2wx?5>n:181[5?8h16?lh9:523?xu40=91<7;4io<18=?4}r1bb0<72;qU?lh:;<1bb30<626;2;39?j5fn:0:66l6*66b80=<`<@:om7co84;3;07=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs5f7>5<5sW>o86352z\6`2=:;hl?6>hi;|q0<5g=838pR>6?a:?0ec2=<9:0q~=74383>7}Y;1>970=nf58744=z{:km?7>52z\0ec5<5:km87660:~f40393;197?55z&:e6<4nk1C54j4n`57>4>3;2c?h94>::k6`=<622c84=o51;9j7=262800c>oi1;39?g5fn;0;684?:1y'=d5=h=:6;1?!?1k3925k5G3dd8jd13282?86g>o4no0;66g;0183>>o3880;66a79183>>{t1<75<5sW?o463393:1>vP<853896ga:3>;=6s|3`d2>5<5sW9jj<523`d1>=?73twi=;:?:086>4<2s-3j?7=ib:J:=a=ii>>1=5::;h6g0?7=3`?om7?5;h1;4d<622c849>51;9l7dca2800n>oi0;291?6=8r.2m>4;699'7c4=?080(48l:2;:b>N4mo1em::51965?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p};d583>7}Y01>oi0;1ea>{t=mk1<7;4io:144>4}|`226`=93?1=7;t$8c0>6`e3A32h6`n7582<114<6=4?{%;b7?2102.8j?48939'=3e=;03m7E=jf:lb31<60=20e>hj:188m6`a2900e9>?:188m1662900c57?:188yv2c<3:1>vP;d59>7dcb2:ln7p}:d883>7}Y=m301>oje;1eb>{t;1:j6=4={_1;4d=:;hon69>?;|q0<6`=838pR>67}Y;hoo70=ned8;=5=zuk;=?h4>:482>0}#1h91?kl4H8;g?kg0<3;3845f4b;95?=n=m=1=75f392b>4<:2.2:n4<98d8L6ca3gk<87?74`9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v9m6:181[2d1278mhm53gg8yv3c?3:1>vP:d69>7dcd2:lm7p}<81c94?4|V:2;m63vPo3k00:66g:d982>>o409k1=75f391g>4<<,:l96:7=;%;5g?5>1o1C?hh4n`57>4>3k2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p0f?=838pR9m6;<1bad<4nl1v8j7:181[3c0278mho53gd8yv5?8h0;6?uQ392b?85fmh0?<=5rs2:0`?6=:rT84>j4=2cfe?2792wx?lk6:181[5fm016?lkn:9;3?x{e9?9h6<4::086!?f;39mn6F69e9me22=91>o7d:l9;39?l3ci3;17d=70`82>>o40:i1=75`3`g4>4<55;294~">i:0?:55+3g093<4<,0
    76f:J0ac=ii>>1=5:j;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty?o44?:3y]0f?<5:kn47=ie:p1ag=838pR8jn;<1ba=<4no1v>6?a;296~X409k01>oj8;634>{t;19h6=4={_1;7f=:;ho369>>;|q0e`1=838pR>oj7:?0e`>=00:0qpl>62`95?3=93?p(4o<:2da?M?>l2dj;94>85d8m1e>2800e8j6:088m6>7i3;17d=73c82>>i4il91=75m3`g7>5<2290;w)7n3;65<>"4n;0<5?5+97a975<5<6`b3ty>h44?:3y]1a?<5:kn87=if:p7=6f2909wS=70`9>7dc32=:;7p}<82`94?4|V:28n634?:3y]7dc4349ji947919~yg71;00:684>:4y'=d5=;oh0D47k;oc40?7?=81b9>951;9j1a1=931b?5>n:088m6>413;17b=ndd82>>d4iml1<7;50;2x ">>j0854h4H2ge?kg0<3;39?5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{<9<6=4={_703>;4iml1?kk4}r7g3?6=:rT>h:523`fe>6`a3ty84=o50;0xZ6>7i278mih54128yv5?;00;6?uQ391:?85flo0?<<5rs2cga?6=:rT8mik4=2cgb?>>82wvn<8<8;391?7==r.2m>4h54>::k0<5g=931b?5=7:088k6gcj3;17o=ndb83>0<729q/5l=547:8 6`52>397)79c;1:=c=O;ll0bl9;:0:60>o4nl0;66g>o3890;66g;0083>>i?190;66s|52594?4|V<9<70=ndb80b`=z{;4imi1?kh4}r1;4d<72;qU?5>n;<1b`f<3891v>6<8;296~X40:201>okc;635>{t;hni6=4={_1b`g=:;hnh657?;|a5350280>6<4:{%;b7?5aj2B25i5aa6695=323`?8;7?5;h7ge?7=3`93::k0<61=931d?lj7:088f6gc13:197>50z&:e6<3>11/?k<57808 <0d2:32j6F0;6?uQ525896gc139mi6s|5ec94?4|V52z\0<5g<5:ko57:?0:p7=502909wS=7369>7db>2=::7p}4>2.2:n4>f948L6ca3gk<87?7569j7cc=831b?kh50;9l<<6=831vn?h70;391?6=8r.2m>4;699'7c4=?1i0(48l:3fe0>N4mo1em::5197;?l5am3:17d=if;29?l2783:1D>m7;:k744<722e35=4?::a76>4280?6=4?{%;b7?2102.8j?47029'=3e=:ol97E=jf:lb31<60<30e>hj:188m6`a2900e9>?:188k=?72900qo=<8382>1<729q/5l=547:8 6`521:87)79c;0eb7=O;ll0bl9;:0:6e>o4nl0;66g>o3890;66a79183>>{e;:2:6<4;:183!?f;3>=46*kh=;I1fb>hf?=0:48l4i2df>5<5<<,:l96:j;;%;5g?46;91C?hh4n`57>4>2k2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a600e28086=4?{%;b7?2102.8j?47379'=3e=:89;7E=jf:lb31<60hj:188m6`a2900c57?:188yg42<00:6>4?:1y'=d5=h=:9:b?!?1k38:?=5G3dd8jd13282>i6g>o4no0;66a79183>>{e;hio6<4<:183!?f;3>=46*hf?=0:48h4i2df>5<5<:283>5}#1h918;64$2d1>=513-3=o7=69g9K7``5<7s-3j?7:98:&0b71/5;m538;e?M5bn2dj;94>8738m6`b2900e>hi:188k=?72900qo?=e582>1<62=q/5l=53g`8L5n3;17b;76;39?g5fj10;694?:1y'=d5=h=:917?!?1k3925k5G3dd8jd13282=?6gM5d021b?kh50;9j056=831d44>50;9~w0502909wS;<7:?0eg>=;oo0q~=74983>7}Y;1>370=nb980bc=z{:29j7>52z\0<7`<5:ki47:?0:p1=0=838pR869;<1bf=1<729q/5l=547:8 6`521:27)79c;1:=c=O;ll0bl9;:0:50>o4nl0;66g>o3890;66a79183>>{e9?>i6<4::086!?f;39mn6F69e9me22=91<>7d:k4;39?l3b03;17d=70`82>>o40=n1=75`3c2g>4<55;294~">i:0?:55+3g093<4<,0
    76f:J0ac=ii>>1=589;h1ea?6=3`9mj7>5H2a;?>o3890;66g;0083>>i?190;66s|4e694?4|V=n?70=m0d80b`=z{;4j9o1?kh4}r1;4d<72;qU?5>n;<1a4`<3891v>6;d;296~X40=n01>l?e;635>{t;k:o6=4={_1a4a=:;k:n657?;|a532f280>6<4:{%;b7?5aj2B25i5aa6695=003`>o87?5;h7f3?7=3`93::k0<1e=931d?o>m:088f6d7k3:197>50z&:e6<3>11/?k<57808 <0d2:32j6F7}Y;1:j70=m0b8745=z{:2?o7>52z\0<1e<5:h;o7:?1:p7g6e2909wS=m0c9>7g6d213;7psm176:>4<2280>w)7n3;1ef>N>1m1em::5194:?l2d13;17d;kc;39?l5?8h0:66g<85`95?=h;k:26<44b2`3e?6==3:16?>n2B8ik5aa6695=0f3`9mi7>5H2a;?>o4no0;66g;0183>>o3880;66a79183>>{t5<5sW?oo633j3:1>vP<85`896d7i3>;=6s|3c2:>5<5sW9i<4523c2b>=?73twi=;:7:086>4<2s-3j?7=ib:J:=a=ii>>1=58m;h6`=?7=3`?oh7?5;h1;4d<622c849o51;9l7g602800n>l?8;291?6=8r.2m>4;699'7c4=?080(48l:2;:b>N4mo1em::5194`?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p};c883>7}Yl?8;1ea>{t=mn1<77:522?xu4j9=1<7;4j92144>4}|`2211=93?1=7;t$8c0>6`e3A32h6`n7582<3b4<6=4?{%;b7?2102.8j?48939'=3e=;03m7E=jf:lb31<60?o0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv2d13:1>vP;c89>7g612:ln7p}:e983>7}Y=l201>l?6;1eb>{t;1:j6=4={_1;4d=:;k:=69>?;|q0<1?=838pR>6;9:?0f50=<9;0q~=m0483>7}Y;k:>70=m078;=5=zuk;=?l4>:482>0}#1h91?kl4H8;g?kg0<3;3:k5f390f>4<k4>::m0e`7=931i?lk=:186>5<7s-3j?7:98:&0b7<01;1/5;m538;e?M5bn2dj;94>8628m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=72d83>7}Y;18n70=ne380b`=z{<2=6=4={_7;2>;4il81?kh4}r1;4d<72;qU?5>n;<1ba7<3891v>6=f;296~X40;l01>oj2;635>{t;ho:6=4={_1ba4=:;ho9657?;|a5351280>6<4:{%;b7?5aj2B25i5aa6695=163`?8;7?5;h7g=?7=3`93::k0<60=931d?lj;:088f6gc=3:197>50z&:e6<3>11/?k<57808 <0d2:32j6Fm7;:k745<722c?<<4?::m;=5<722wx9>950;0xZ050349jh842909wS;k9:?0ea3=;ol0q~=70`83>7}Y;1:j70=nd48745=z{:28:7>52z\0<60<5:ko97:?1:p7db32909wS=nd59>7db2213;7psm1716>4<2280>w)7n3;1ef>N>1m1em::51950?l2em3;17d;kc;39?l5?8h0:66g<82795?=h;hn96<44b2cg7?6==3:16?>n2B8ik5aa6695=133`9mi7>5H2a;?>o4no0;66g;0183>>o3880;66a79183>>{t5<5sW?oo634;019~w6>4=3:1>vP<827896gc;3>;=6s|3`f1>5<5sW9jh?523`f0>=?73twi=;=;:086>4<2s-3j?7=ib:J:=a=ii>>1=59:;h6aa?7=3`?oh7?5;h1;4d<622c84>:51;9l7db72800n>ok1;291?6=8r.2m>4;699'7c4=?080(48l:2;:b>N4mo1em::51955?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p};bd83>7}Yok1;1ea>{t=mn1<7:522?xu4im:1<7;4im;144>4}|`2265=93?1=7;t$8c0>6`e3A32h6`n7582<214<6=4?{%;b7?2102.8j?48939'=3e=;03m7E=jf:lb31<60>20e>hj:188m6`a2900e9>?:188m1662900c57?:188yv2em3:1>vP;bd9>7ded2:ln7p}:e983>7}Y=l201>olc;1eb>{t;1:j6=4={_1;4d=:;hih69>?;|q0<65=838pR>6<3:?0efe=<9;0q~=ncc83>7}Y;hii70=ncb8;=5=zuk;=??4>:482>0}#1h91?kl4H8;g?kg0<3;3;45f4cg95?=n=l=1=75f392b>4<7?5;n1bg0<622h8mn850;794?6|,0k86987;%1e6?1>:2.2:n4<98d8L6ca3gk<87?77`9j7cc=831b?kh50;J0g==5<6`b3ty>i:4?:3y]1`1<5:kh:7=if:p7=6f2909wS=70`9>7de12=:;7p}<82094?4|V:28>63:4y'=d5=;oh0D47k;oc40?7??k1b8i:51;9j1ae=931b?5>n:088m6>493;17b=nc182>>d4ij;1<7;50;2x ">>j0854h4H2ge?kg0<3;3;n5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{=n?6=4={_6g0>;4ij;1?kk4}r7gg?6=:rT>hn523`a2>6`a3ty84=o50;0xZ6>7i278mn?54128yv5?;80;6?uQ3912?85fk80?<<5rs2c`4?6=:rT8mn>4=2c`5?>>82wvn<8<0;391?7==r.2m>4hi4>::k0<5g=931b?5=?:088k6ge13;17o=nb`83>0<729q/5l=547:8 6`52>397)79c;1:=c=O;ll0bl9;:0:4a>o4nl0;66g>o3890;66g;0083>>i?190;66s|4e694?4|V=n?70=nb`80b`=z{;4ikk1?kh4}r1;4d<72;qU?5>n;<1bfd<3891v>6<0;296~X40::01>oma;635>{t;hh26=4={_1bf<=:;hhj657?;|a57c6280>6<4:{%;b7?5aj2B25i5aa6695=1a3`<;>7?5;h1:==<622c8:?651;9j7f502800c888:088f600m3:197>50z&:e6<3>11/?k<587d8 <0d2:9o96F03:1>vP<98:89600m39mj6s|370;>5<5sW9=>552375f>1673ty8o>950;0xZ6e4?278::k54138yv31?3:1>vP:669>731b213;7psm37g`>4<3290;w)7n3;65<>"4n;03>i5+97a976b23A9nj6`n7582<=75<5<:483>5}#1h918;64$2d1>2>a3-3=o7=4<2s-3j?7=ib:J:=a=ii>>1=56<;h05f`<622c9:oh51;9j63e72800e?8l1;39?j43no0:66l=4gd94?3=83:p(4o<:54;?!5a:32?86*66b81566<@:om7co84;3;<1=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs34aa?6=:rT9:ok4=36eb?5am2wx>;li:181[41jo16>9hi:2de?xu5>j:1<7;54}r05g4<72;qU>;m>;<07bc<3881v?:if;296~X5{zj8>i47?55;391~">i:08jo5G98f8jd13282396g=64g95?=n:??m6<44i3454?7=3`8=:<4>::m10cc=931i>9hj:186>5<7s-3j?7:98:&0b78948m6`b2900e>hi:188m1672900e9>>:188k=?72900q~<95d83>7}Y:??n70<;fd80b`=z{;<>j7>52z\120`<5;>mi7=if:p63072909wS<9619>61`b2=:;7p}=67394?4|V;<==63=4gg90574?:1y'=d5=h=:915?!?1k38:?=5G3dd8jd132823;6g>o4no0;66a79183>>{e:=l26<4::183!?f;3>=46*<=?;I1fb>hf?=0:4564i2df>5<5<5<:582>1}#1h91?kl4H8;g?kg0<3;3445f3b10>4<<44>::`0=<3=83>1<7>t$8c0>10?3-9m>76<3:&:2f<4;m?0D>ki;oc40?7?0h1b?kk50;9j7c`=831b8=>50;9l<<6=831v>m<3;296~X4k:901>765;1ea>{t;j9:6=4={_1`74=:;03>6>hi;|q0g64=838pR>m<2:?0=<3=<9:0q~;?9;296~X28016?47::9;3?x{e9==j6<4::086!?f;39mn6F69e9me22=912i7d?=7782>>o5j;91=75f1g;g>4<3<2.2:n4>e``8L6ca3gk<87?78b9j7cc=83B8o554i2de>5<5<{t9o3o6=4={_3e=a=:9o>369>?;|q2b=<9;0q~?i4683>7}Y9o><70?i498;=5=zuk;98?4>:483>5}#1h918;64$2d1>=7b3-3=o7lm1:J0ac=ii>>1=56k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:>9m51;794?6|,0k86987;%1e6?1?92.2:n4mb09K7``:086>5<7s-3j?7:98:&0b74;699'7c4=08o0(48l:c`2?M5bn2dj;94>8828m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?=4582>0<729q/5l=547:8 6`52>2:7)79c;`a5>N4mo1em::519;2?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>25f95?3=83:p(4o<:54;?!5a:3=396*66b8af4=O;ll0bl9;:0::6>o4nl0;66g>o3890;6E=l8:9j057=831d44>50;9~f443m3;197>50z&:e6<3>11/?k<580g8 <0d2kh:7E=jf:lb31<60090e>hj:188m6`a2900e9>?:188m1662900c57?:188yg75h=:6:2?!?1k3hi=6F1=7;50;2x ">>j0in<5G3dd8jd13282296g>o4no0;66g;0183>>o3880;66a79183>>{e9;?>6<4::183!?f;3>=46*:7?55;294~">i:0?:55+3g093=7<,0;I1fb>hf?=0:4494i2df>5<5<5<:483>5}#1h918;64$2d1>=7b3-3=o7lm1:J0ac=ii>>1=577;h1ea?6=3`9mj7>5;h634?6=@:i376g;0083>>i?190;66sm1475>4<2280>w)7n3;1ef>N>1m1em::519;:?l4c080:66g=b3f95?=n:m9:6<44i3f3a?7=3f8h8>4>::`1`<0=83?1<7>t$8c0>10?3-9m>7692:&:2f<5j;?0D>ki;oc40?7?1h1b?kk50;J0g==5<5<6348o5;4vP=b3f897b>>39mj6s|2e12>5<5sW8o?<522e;5>1673ty9h=k50;0xZ7b7m279h4854138yv4d<:0;6?uQ2b60?84c1?035=5r}c0g=2<62<0;6=u+9`1903><,:l96:6;;%;5g?4e:<1C?hh4n`57>4>>j2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7`7b280>6=4?{%;b7?2102.8j?48c19'=3e=;:n>7E=jf:lb31<600i0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg5b9k0:684?:1y'=d5=h=:6a;?!?1k398h85G3dd8jd132822h6g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn<86c;393?4=0r.2m>4>o4?l21=75f3927>4<<,:l965:8;%;5g?54l<1C?hh4n`57>4>>n2c8jh4?::k0bc<722c?<=4?::m;=5<722wi?h5<7s-3j?7:98:&0b74>f82c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7`7e2909wS=j1c9>7`7a2:ln7p}=4vP;391896c5839mj6s|36g;>5<5sW91673ty84=:50;0xZ6>7<278i?>54138yv5b9o0;6?uQ3d3e?85b9o035=5rs2g14?6=:r78i65c=2B8ik5aa6695=g63`9mi7>5;h1eb?6=3`>;<7>5;h635?6=@:i376a79183>>{e9?3n6<4::086!?f;39mn6F69e9me22=91k97d=62782>>o40;<1=75f39gg>4<:2.2:n4<3e78L6ca3gk<87?7a29j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>7=6;296~X41;<01>k=6;1ea>{t;18=6=4={_1;63=:;l8=6>hi;|q0<`b=838pR>6jd:?0a70=<9:0q~=62583>7}Y;08?70=j278744=z{:o9:7>52z\0a70<5:o9:7660:~f40>i3;197?55z&:e6<4nk1C54j4n`57>4>f<2c8i?j51;9j7`4>2800e>7=7;39?l24l>0:66a=46*j:;I1fb>hf?=0:4l;4i2df>5<5<5<i4?:3y]7`4c349n=<4vP5<5sW92>:523d32>1673ty??i950;0xZ15c?278i<,:l965?n;%;5g?54l<1C?hh4n`57>4>f>2c8jh4?::k0bc<722c?<=4?::k744<72A9h465`88294?=zj:o:m7?53;294~">i:0?:55+3g09<02<,0
    =k5:J0ac=ii>>1=5o8;h1ea?6=@:i376g>i?190;66sm3g26>4<2290;w)7n3;65<>"4n;05">>j08?i;4H2ge?kg0<3;3m45f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8i:08jo5G98f8jd13282jm6g6<44i2d3g?7=3`>84h4>::k03`>=931b?5>;:088k6`693;17o=i1083>1<729q/5l=547:8 6`521><7)79c;10`0=O;ll0bl9;:0:bf>o4nl0;66g>o3890;66a79183>>{e;o;96=4::183!?f;3>=46*o4nl0;66g>o3890;66g;0083>>i?190;66s|3g2a>5<5sW9m6`b3ty8j=;50;0xZ6`7=278j1<7;4n8818=?4}r1e54<72;qU?k?>;<1e54h>2;296~;4n8;1?kh4=2d26?>>82wvn>h>7;391?6=8r.2m>4;699'7c4=09<0(48l:21g1>N4mo1em::519cg?l5am3:17d=if;29?l2783:17d:?1;29L6e?32e35=4?::a53ba280>6<4:{%;b7?5aj2B25i5aa6695=gb3`93j?4>::k0=72=931b?4<<:088m6?703;17b=i1982>>d4n821<7;50;2x ">>j08?i;4H2ge?kg0<3;3mk5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:2m>7>52z\07c7?2:lm7p}<93194?4|V:39?63vPo40;>1=75f3805>4<290>6=4?{%;b7?2102.8j?48929'=3e=;:n>7E=jf:lb31<60k;0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5?:=0;6?uQ3907?85a9008jh5rs2;12?6=:rT85?84=2d2=?5an2wx?5?n:181[5?9h16?k?6:523?xu41;?1<7;4n8318=?4}r1e5<<72;qU?k?6;<1e5<0<626?f;39?l5>;90:66g<90095?=h;llm6<44b2geb?6==3:165c=2B8ik5aa6695=d43`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0=7g=838pR>7=a:?0ac`=;oo0q~=70g83>7}Y;1:m70=jfg80bc=z{:38<7>52z\0=66<5:omj7:?0:p7<752909wS=6139>7``a2=::7p}6i2.2:n4<3e78L6ca3gk<87?7b59j7cc=831b?kh50;9j056=831b8=?50;J0g==<,:l965;;;%;5g?54l<1C?hh4n`57>4>e=2c8jh4?::k0bc<722e35=4?::a7c2c280>6=4?{%;b7?2102.8j?48d79'=3e=;:n>7E=jf:lb31<60k<0e>hj:188m6`a290C?n64;h634?6=3`>;=7>5;n::4?6=3th8j8=51;794?6|,0k86987;%1e6?1d02.2:n4<3e78L6ca3gk<87?7b69j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<8i1;393?4=0r.2m>4>o4?l21=75f3927>4<57?5;c1e1<<72=0;6=u+9`1903><,:l965:8;%;5g?54l<1C?hh4n`57>4>e12c8jh4?::k0bc<722c?<=4?::m;=5<722wi?k;n:186>5<7s-3j?7:98:&0b74>ei2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7c342909wS=i529>7c3>2:ln7p}93:1>vP;383896`2i39mj6s|36g;>5<5sW91673ty84=:50;0xZ6>7<278j8o54138yv5a=00;6?uQ3g7:?85a=0035=5rs2d6e?6=:r78j8753gd896`2i322<6srb2d6b?7==3:165c=2B8ik5aa6695=de3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=@:i376a79183>>{e9?l86<4::086!?f;39mn6F69e9me22=91hh7d=7f282>>o41;>1=75f3800>4<50;794?6|,0k86987;%1e6?1>:2.2:n4<3e78L6ca3gk<87?7be9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>6i3;296~X40o901>h90;1ea>{t;08?6=4={_1:61=:;o<;6>hi;|q0=75=838pR>7=3:?0b36=<9:0q~=60883>7}Y;0:270=i618744=z{:l=<7>52z\0b36<5:l=<7660:~f40a<3;197?55z&:e6<4nk1C54j4n`57>4>em2c84?=51;9j7<412800e>6=5;39?l5>:<0:66a=46*j:;I1fb>hf?=0:4oh4i2df>5<5<5<>4?:3y]7=44349m:<43:1>vP<934896`1939mj6s|3906>5<5sW93>8523g42>1673ty85?;50;0xZ6?5=278j;?54138yv5a>80;6?uQ3g42?85a>8035=5r}c35aa<62<0:68u+9`197cd<@03o7co84;3;g5=n;08j6<44i2:2e?7=3`92?=4>::k0=45=931d?k:8:088f6`3?3:197>50z&:e6<3>11/?k<57808 <0d2:9o96F;4n==1?kk4}r1;5d<72;qU?5?n;<1e02<4no1v>7<0;296~X41::01>h;7;634>{t;0;86=4={_1:56=:;o><69>>;|q0b11=838pR>h;7:?0b11=00:0qpl4?:1y'=d5=h=:977?!?1k398h85G3dd8jd13282h?6g>o4no0;66a79183>>{e;?oj6<4::183!?f;3>=46*j:;I1fb>hf?=0:4n:4i2df>5<5>83:17pl>5dd95?3=93?p(4o<:2da?M?>l2dj;94>8b78m60bi3;17d=9e982>>o401?1=75f55395?=h;?o<6<44b24f=?6==3:165c=2B8ik5aa6695=e13`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q02`g=838pR>8ja:?02`?=;oo0q~=9e983>7}Y;?o370=9e880bc=z{:2397>52z\0<=3<5:;<15a<<3881v>8j7;296~X4>l=01>8j9;::4>{zj8?nh7?55;391~">i:08jo5G98f8jd13282h;6g<6d495?=n;?o96<44i2:;0?7=3`??=7?5;n15a4<622h8:h=50;794?6|,0k86987;%1e6?>3;2.2:n4<3e78L6ca3gk<87?7c99j7cc=83B8o554i2de>5<5<8j2;296~X4>l801>8j3;1eb>{t;12?6=4={_1;<1=:;?o869>?;|q604<72;qU99?4=24f7?2792wx?;k>:181[51m816?;k<:9;3?x{e9>o4>mi1=75f39:0>4<:6<44o24gf?7=3k9=hi4?:483>5}#1h918;64$2d1>=243-3=o7=4=24g`?5am2wx?;jl:181[51lj16?;jk:2de?xu40191<7;4>mn18=>4}r775?6=:rT>8<5237fg>1663ty8:il50;0xZ60cj278:ij58828yxd6=l31=7;51;7x 8ka;39?l51l?0:66g<89095?=n==;1=75`37f6>4<55;294~">i:0?:55+3g09<15<,0
    =k5:J0ac=ii>>1=5ml;h1ea?6=@:i376g>o3890;66g;0083>>i?190;66s|37fb>5<5sW9=hl5237f4>6`b3ty8:i850;0xZ60c>278:i953gd8yv5?0;0;6?uQ39:1?851l>0?<=5rs462>5<5sW??=63<6e59057h=:6f5?!?1k398h85G3dd8jd13282hh6g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn<;j6;391?7==r.2m>4670;39?j51k00:66l<6b`94?3=83:p(4o<:54;?!5a:32??6*66b807a3<@:om7co84;3;gc=n;oo1<7F?:188m1662900c57?:188yv51kl0;6?uQ37af?851kk08jh5rs24`e?6=:rT8:no4=24`f?5an2wx99?50;0xZ026349=oo4;019~w6>?83:1>vP<8928960dj3>;=6s|37a:>5<5sW9=o45237aa>=?73twi=8k;:086>4<2s-3j?7=ib:J:=a=ii>>1=5j?;h15g=<622c8:n:51;9j7=1a2800e8:>:088k60d;3;17o=9c483>0<729q/5l=547:8 6`521>87)79c;10`0=O;ll0bl9;:0:g5>o4nl0;6E=l8:9j7c`=831b8=>50;9j057=831d44>50;9~w60d03:1>vP<6b:8960d=39mi6s|37a7>5<5sW9=o95237a6>6`a3ty84:h50;0xZ6>0n278:n;54128yv3393:1>vP:409>73e22=::7p}<6b194?4|V:hm;I;:`>hf?=0:4i<4i24`6?7=3`9=nh4>::k0<2c=931b99?51;9l73dc2800n>8mf;291?6=8r.2m>4;699'7c4=0=90(48l:21g1>N4mo1em::519f0?l5am3:1D>m7;:k0bc<722c?<=4?::k744<722e35=4?::p73e52909wS=9c39>73da2:ln7p}<6cg94?4|V:7}Y;?ho70=9bg8;=5=zuk;>i=4>:482>0}#1h91?kl4H8;g?kg0<3;3h95f37``>4<8<4>::m02g2=931i?;l8:186>5<7s-3j?7:98:&0b78e78m6`b290C?n64;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q02ge=838pR>8mc:?02g1=;oo0q~=9b483>7}Y;?h>70=9b680bc=z{:252z\0<2b<5:;<15f2<3881v>8m4;296~X4>k>01>8m7;::4>{zj8>h87?55;391~">i:08jo5G98f8jd13282o:6g=53:95?=n:<8<6<44i34`5?7=3`8=o?4>::m1174=931i>8<=:186>5<7s-3j?7:98:&0b7:1/5;m52013?M5bn2dj;94>8e58m6`b2900e>hi:188m1672900e9>>:188k=?72900q~<:2983>7}Y:<8370<:2380b`=z{;?9;7>52z\1171<5;?9>7=if:p63e62909wS<9c09>60452=:;7p}=6b094?4|V;63=5309057>?4?:3y]6045348>>?47919~yg73k<0:684>:4y'=d5=;oh0D47k;oc40?7?l11b>9ki:088m735:3;17d<:b182>>o5<;n1=75`2400>4<55;294~">i:0?:55+3g09<7g<,0>1=5j6;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty98hh50;0xZ72bn2799?=53gg8yv42:;0;6?uQ2401?842::08jk5rs37a4?6=:rT99o>4=3717?2782wx>98<<:522?xu5=;91<7;5=;9144>4}|`20f5=93<1>79t$8c0>6`e3A32h6`n75828=7?5;h0524<622c99?=51;9j63df2800e?:<5;39?j42:80:66l=53794?3=83:p(4o<:54;?!5a:3=oo6*66b81566<@:om7co84;3;`g=n;oo1<7F?:188m1662900c57?:188yg42:?0;6>4?:1y'=d5=h=:915?M5bn2dj;94>8ea8m6`b2900e>hi:188k=?72900q~<;3083>7}Y:=9:70<:2480b`=z{;<==7>52z\1237<5;?997=if:p60442909wS<:229>60422=::7p}=6cc94?4|V;>;4vP=53389735=322<6s|2405>5<5s48>>84;019>6041213;7psm15`a>4<2280>w)7n3;1ef>N>1m1em::519fg?l42:10:66g=53595?=n:?hn6<44i34`6?7=3f8><;4>::`1150=83?1<7>t$8c0>10?3-9m>7693:&:2f<59::0D>ki;oc40?7?ll1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w73503:1>vP=53:89737>39mi6s|2404>5<5sW8>>:522425>6`a3ty9:ok50;0xZ70em2799=854128yv41k;0;6?uQ27a1?8428?0?<<5rs3732?6=:rT99=84=3732?>>82wvn<:mc;391?7==r.2m>48>9:088m73fl3;17d<;2e82>>i5=931=75m242:>5<2290;w)7n3;65<>"4n;03>l5+97a964573A9nj6`n7582<`65<5<9ki;<064<<4nl1v?;?6;296~X5=9<01?;?9;1eb>{t:?;|q107b=838pR?:=d:?115?=<9;0q~<:0883>7}Y:<:270<:088;=5=zuk;?nl4>:781>2}#1h91?kl4H8;g?kg0<3;3i<5f2512>4<i7?5;h064<<622c9:o951;9j61522800c?;?5;39?g428o0;684?:1y'=d5=h=:6f`?!?1k38:?=5G3dd8jd13282n>6g>o4no0;66g;0183>>o3880;66a79183>>{e:<;;6=4<:183!?f;3>=46*o4nl0;66g>i?190;66s|2512>5<5sW8??<52242e>6`b3ty9:8k50;0xZ702m2799=h53gd8yv42800;6?uQ242:?8428o0?<<5rs34a3?6=:rT9:o94=3724?5am2wx>9=::181[43;<16>8??:2de?xu5=9?1<7;5=9l144>4}r0655<72;q6>8>i:523?84299035=5r}c1e2<<62<0;6=u+9`1903><,:l96:j9;%;5g?54l<1C?hh4n`57>4>b<2c8jh4?::k0bc<72A9h465f41294?=n<9;1<75`88294?=zj:l=j7?55;294~">i:0?:55+3g093f><,0
    =k5:J0ac=ii>>1=5k:;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th::k751;596?>|,0k86>hm;I;:`>hf?=0:4h84i2d5b?7=3`9m:44>::k0b26=931b8>7=:088m61b03;17d=70582>>i4n>?1=75m3g56>5<3290;w)7n3;65<>"4n;038:5+97a976b23A9nj6`n7582<`15<5<5}#1h918;64$2d1>=5b3A9nj6`n7582<`>5<5<h99;296~X4n?301>h85;634>{t;o=;6=4={_1e35=:;o==6>hj;|q77<4=838pR9=62:?0b20=;ol0q~=8e983>7}Y;>o370=i778745=z{:2;87>52z\0<52<5:l<:7:?1:p7c122909wS=i749>7c12213;7p}:4y'=d5=;oh0D47k;oc40?7?mh1b?5h;:088m6?5<3;17d=62282>>o419k1=75`3g5`>4<55;294~">i:0?:55+3g093<4<,0
    =k5:J0ac=ii>>1=5km;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty84k:50;0xZ6>a<278j:m53gg8yv5>:=0;6?uQ3807?85a?j08jk5rs2;17?6=:rT85?=4=2d4g?2782wx?4>n:181[5>8h16?k9l:522?xu4n>i1<7;4n>i144>4}|`22ce=93?1=7;t$8c0>6`e3A32h6`n7582<`e7?5;h1:63<622c84?651;9j7<422800c>h8d;39?g5a?m0;684?:1y'=d5=h=:6;0?!?1k398h85G3dd8jd13282nh6g>o4no0;66g;0183>>o3880;66a79183>>{t;1896=4={_1;67=:;o=o6>hj;|q0=70=838pR>7=6:?0b2b=;ol0q~=72983>7}Y;18370=i7e8745=z{:3997>52z\0=73<5:l7c1c213;7psm17d6>4<2280>w)7n3;1ef>N>1m1em::519gf?l5>:h0:66g<83795?=n;09;6<44i2;20?7=3f9m:>4>::`0b35=83?1<7>t$8c0>10?3-9m>7962:&:2f<4;m?0D>ki;oc40?7?mo1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6?5i3:1>vP<93c896`1;39mi6s|3906>5<5sW93>8523g40>6`a3ty85>>50;0xZ6?48278j;=54128yv5>9=0;6?uQ3837?85a>:0?<<5rs2d57?6=:rT8j;=4=2d57?>>82wvn>h9d;391?6=8r.2m>4;699'7c4=08k0(48l:21g1>N4mo1em::519d3?l5am3:17d=if;29?l2783:17d:?1;29L6e?32e35=4?::a7c0b28086=4?{%;b7?2102.8j?47559'=3e=;:n>7E=jf:lb31<60o;0e>hj:188m6`a2900c57?:188yg5a0<0:684?:1y'=d5=h=:6f5?!?1k398h85G3dd8jd13282m>6g>o4no0;6E=l8:9j056=831b8=?50;9l<<6=831vn>h7b;391?6=8r.2m>4;699'7c4=?j20(48l:21g1>N4mo1em::519d0?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>71395?1=:32p(4o<:2da?M?>l2dj;94>8g68m6`?j3;17d=i8482>>o4n1i1=75f42;0>4<7E=jf:lb31<60o?0e>hj:188m6`a2900e9>?:188k=?72900qo=i9383>0<729q/5l=547:8 6`5219n7E=jf:lb31<60o<0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5a0k0;6?uQ3g:a?85a1808jh5rs2d;1?6=:rT8j5;4=2d:5?2782wx?k6l:181[5a0j16?k7=:2df?xu3;091<7;4n081?kh4}r14a=<72;qU?:k7;<1e=7<3891v>6?4;296~X409>01>h62;635>{t;o3:6=4={_1e=4=:;o3:657?;|q0b<4=838p1>h61;1eb>;4n08144>4}|`0b<1=93?1<7>t$8c0>10?3-9m>76?6:&:2f<4;m?0D>ki;oc40?7?n>1b?kk50;9j7c`=831b8=>50;9j057=83B8o554o9;3>5<4>:482>0}#1h91?kl4H8;g?kg0<3;3j55f39d6>4<h68;291?6=8r.2m>4;699'7c4=?080(48l:21g1>N4mo1em::519d:?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<8g794?4|V:2m96394?:3y]7<43349m554vP<931896`>03>;<6s|382a>5<5sW921663ty8j4650;0xZ6`>0278j4658828yxd6?9>1=7;51;7x 6=1;39?l5>:?0:66g<83;95?=n;08>6<44o2d:=?7=3k9m544?:483>5}#1h918;64$2d1>2?43-3=o7=:181[5?:816?k76:2df?xu41;<1<7;4n031?kh4}r1;6<<72;qU?5<6;<1e=<<3891v>7=5;296~X41;?01>h69;635>{t;o326=4={_1e=<=:;o32657?;|a53`c280>6<4:{%;b7?5aj2B25i5aa6695=`d3`92>l4>::k0<7>=931b?4=?:088m6?6=3;17b=i7g82>>d4n>l1<7;50;2x ">>j08?i;4H2ge?kg0<3;3ji5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:39m7>52z\0=7g<5:l7c1a2:lm7p}<92294?4|V:38<63vP65c=2B8ik5aa6695=`b3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=@:i376a79183>>{e;o2j6<4<:183!?f;3>=46*j:;I1fb>hf?=0:4kh4i2df>5<5<:582>1}#1h91?kl4H8;g?kg0<3;2<=5f3g`f>4<::`0b1<7>t$8c0>10?3-9m>76;1:&:2f<4;m?0D>ki;oc40?7>881b?kk50;J0g==5<6>4;296~X408>01>h6b;1eb>{t=l31<7>82wvn>hm0;391?6=8r.2m>4;699'7c4=?j20(48l:21g1>N4mo1em::51821?l5am3:17d=if;29?l2783:1D>m7;:k744<722e35=4?::a7cd>280>6=4?{%;b7?2102.8j?471`9'=3e=;:n>7E=jf:lb31<61990e>hj:188m6`a2900e9>?:188m1662900c57?:188yg5ak90:684?:1y'=d5=h=:92f?!?1k398h85G3dd8jd13283;86g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn<9?a;391?7==r.2m>4l:088m6?483;17d=61782>>i4nj81=75m3ga1>5<2290;w)7n3;65<>"4n;0<5?5+97a976b23A9nj6`n7582=505<5<7?c;296~X419i01>hl2;1eb>{t;09;6=4={_1:75=:;oi969>?;|q0=40=838pR>7>6:?0bf4=<9;0q~=ic383>7}Y;oi970=ic38;=5=zuk;<:482>0}#1h91?kl4H8;g?kg0<3;2<:5f3903>4<hl3;291?6=8r.2m>4;699'7c4=?090(48l:21g1>N4mo1em::5182;?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<83294?4|V:29<63;4?:3y]7<41349mo>45i3:1>vP<83c896`d;3>;<6s|3806>5<5sW92>8523ga0>1663ty8jn=50;0xZ6`d;278jn=58828yxd6?9=1=7;51;7x 7=a;39?l5?:00:66g<93g95?=n;0::6<44o2d:`?7=3k9m5i4?:483>5}#1h918;64$2d1>2?53-3=o7=:h16?k7k:2df?xu40;31<7;4n0n1?kh4}r1:6`<72;qU?47?1;296~X419;01>h6d;635>{t;o3o6=4={_1e=a=:;o3o657?;|a526c280?6<4;{%;b7?5aj2B25i5aa6695<6e3`9mhh4>::k0<43=931b9h751;9l7ce22800n>hl5;290?6=8r.2m>4;699'7c4=0=;0(48l:21g1>N4mo1em::5182`?l5am3:1D>m7;:k0bc<722c?<=4?::m;=5<722wx?kjj:181[5all16?km::2df?xu408?1<7;4nj?1?kh4}r7f=?6=:rT>i4523ga6>1673ty8jn;50;0xZ6`d=278jn;58828yxd4nmh1=7;50;2x ">>j08?i;4H2ge?kg0<3;2i:0?:55+3g09<5c<,0
    =k5:J0ac=ii>>1=4>j;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5H2a;?>i?190;66sm1632>4<2280>w)7n3;1ef>N>1m1em::5182e?l5>::0:66g<91f95?=n;09;6<44i2;23?7=3f9mi=4>::`0b`6=83?1<7>t$8c0>10?3-9m>7962:&:2f<4;m?0D>ki;oc40?7>991b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6?5;3:1>vP<931896`b839mi6s|382g>5<5sW926`a3ty85>>50;0xZ6?48278jh>54128yv5>9>0;6?uQ3834?85am90?<<5rs2df4?6=:rT8jh>4=2df4?>>82wvn<9>2;391?7==r.2m>41:k0<4`=931b?4<9:088m6>5j3;17d=62482>>i4nl;1=75m3gg2>5<2290;w)7n3;65<>"4n;0<5>5+97a976b23A9nj6`n7582=445<5<7=6;296~X41;<01>hj1;1eb>{t;18i6=4={_1;6g=:;oo:69>?;|q0=73=838pR>7=5:?0b`7=<9;0q~=ie083>7}Y;oo:70=ie08;=5=zuk;<

    :482>0}#1h91?kl4H8;g?kg0<3;2=>5f380b>4<hl6;291?6=8r.2m>4;699'7c4=?080(48l:21g1>N4mo1em::51837?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<93c94?4|V:39m63l4?:3y]7=4f349mo;4vP<93g896`d>3>;<6s|392f>5<5sW931663ty8jn850;0xZ6`d>278jn858828yxd4no?1=7;50;2x ">>j08?i;4H2ge?kg0<3;2=85f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:lm:7?55;294~">i:0?:55+3g09<5c<,0
    =k5:J0ac=ii>>1=4?9;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5H2a;?>i?190;66sm1634>4<2280>w)7n3;1ef>N>1m1em::51834?l5>::0:66g<91g95?=n;09;6<44i2;2::`0bc1=83?1<7>t$8c0>10?3-9m>7962:&:2f<4;m?0D>ki;oc40?7>911b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6?5;3:1>vP<931896`a?39mi6s|382f>5<5sW926`a3ty85>>50;0xZ6?48278jk954128yv5>910;6?uQ383;?85an>0?<<5rs2de3?6=:rT8jk94=2de3?>>82wvn<9>8;391?7==r.2m>49:k0<4c=931b?4<9:088m6>5k3;17d=62482>>i4no21=75m3gd;>5<2290;w)7n3;65<>"4n;0<5>5+97a976b23A9nj6`n7582=4g5<5<7=6;296~X41;<01>hi8;1eb>{t;18h6=4={_1;6f=:;ol369>?;|q0=73=838pR>7=5:?0bc>=<9;0q~=if983>7}Y;ol370=if98;=5=zuk;<=44>:482>0}#1h91?kl4H8;g?kg0<3;2=o5f380b>4<2800n>hi9;291?6=8r.2m>4;699'7c4=?080(48l:21g1>N4mo1em::5183`?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<93c94?4|V:39m63o4?:3y]7=4e349mj44vP<93g896`a13>;<6s|392e>5<5sW931663ty8jk750;0xZ6`a1278jk758828yxd38881=7;50;2x ">>j08?i;4H2ge?kg0<3;2=i5f3gg94?=n;ol1<75f41294?N4k110e9>>:188k=?72900qo:?1282>0<729q/5l=547:8 6`521:n7)79c;10`0=O;ll0bl9;:0;2a>o4nl0;66g>o3890;66g;0083>M5d021d44>50;9~f416m3;197?55z&:e6<4nk1C54j4n`57>4?6n2c85?=51;9j7<6a2800e>7<0;39?l5>900:66a;00695?=e<9;?6=4::183!?f;3>=46*j:;I1fb>hf?=0:5?>4i2df>5<5<5<>4?:3y]7<4434>;=94vP<91d89166<39mj6s|3813>5<5sW92?=524137>1673ty85<750;0xZ6?6127?<<:54138yv279=0;6?uQ4137?8279=035=5r}c345c<62<0:68u+9`197cd<@03o7co84;3:64=n;1;o6<44i2;12?7=3`93>i4>::k0=73=931d8=?::088f166=3:197>50z&:e6<3>11/?k<57818 <0d2:9o96F;388?1?kk4}r1:63<72;qU?4<9;<6350<4no1v>6=d;296~X40;n019>>5;634>{t;08>6=4={_1:60=:<9;>69>>;|q7443=838pR9>>5:?7443=00:0qpl>73295?3=93?p(4o<:2da?M?>l2dj;94>9318m6?5i3;17d=72b82>>o41;o1=75f393b>4<<,:l96:7=;%;5g?54l<1C?hh4n`57>4?5<2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7<4f2909wS=62`9>05712:ln7p}<83a94?4|V:29o63;00497c`h4?:3y]7<4b34>;=;4;019~w6>6i3:1>vP<80c89166>3>;=6s|4135>5<5sW>;=;524135>=?73twi?i=7:086>5<7s-3j?7:98:&0b79378m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=k3882>0<729q/5l=547:8 6`521:n7)79c;10`0=O;ll0bl9;:0;12>o4nl0;66g>o3890;66g;0083>M5d021d44>50;9~f401i3;197?55z&:e6<4nk1C54j4n`57>4?5?2c85?=51;9j7<632800e>7<0;39?l5>980:66a=46*j:;I1fb>hf?=0:5?64i2df>5<5<5<>4?:3y]7<44349o?l4vP<916896b4i39mj6s|3813>5<5sW92?=523e1b>1673ty85o54138yv5c;h0;6?uQ3e1b?85c;h035=5r}c352g<62<0:68u+9`197cd<@03o7co84;3:6<=n;1;h6<44i2;12?7=3`93==4>::k0=73=931d?i=m:088f6b4j3:197>50z&:e6<3>11/?k<57818 <0d2:9o96F;4l:h1?kk4}r1:63<72;qU?4<9;<1g7g<4no1v>6>0;296~X408:01>j{t;08>6=4={_1:60=:;m9i69>>;|q0`6d=838pR>j67a95?3=93?p(4o<:2da?M?>l2dj;94>93`8m6?5i3;17d=72e82>>o41;o1=75f3906>4<<,:l96:7=;%;5g?54l<1C?hh4n`57>4?5k2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7<4f2909wS=62`9>7a5d2:ln7p}<83f94?4|V:29h63h4?:3y]7<4b349o?n4;019~w6>5=3:1>vP<837896b4k3>;=6s|3e1`>5<5sW9o?n523e1`>=?73twi?:9k:086>5<7s-3j?7:98:&0b7<0mk1/5;m532f6?M5bn2dj;94>93f8m6`b2900e>hi:188m1672900e9>>:18K7f><3f22<7>5;|`02db=93?1<7>t$8c0>10?3-9m>79jb:&:2f<4;m?0D>ki;oc40?7>:l1b?kk50;9j7c`=831b8=>50;9j057=83B8o554o9;3>5<:483>5}#1h918;64$2d1>2ce3-3=o7=5<7s-3j?7:98:&0b7<0mk1/5;m532f6?M5bn2dj;94>9228m6`b2900e>hi:18K7f><3`>;<7>5;h635?6=3f22<7>5;|`02d7=93?1<7>t$8c0>10?3-9m>79jb:&:2f<4;m?0D>ki;oc40?7>;81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f60?13;197>50z&:e6<3>11/?k<57b:8 <0d2:9o96F">>j08?i;4H2ge?kg0<3;2?>5f3gg94?=n;ol1<75f41294?=n<9;1<7F0<6262800e8;6:088m6??m3;17d=69082>>i4?>o1=75m365f>5<2290;w)7n3;65<>"4n;03?k5+97a976b23A9nj6`n7582=63;4?>o1?kk4}r76=?6=:rT>9452365f>6`a3ty855k50;0xZ6??m278;:k54128yv5>180;6?uQ38;2?850?l0?<<5rs254a?6=:rT8;:k4=254a?>>82wvn<;kf;391?7==r.2m>4b2800e>761;39?j51j90:66l<6c294?3=83:p(4o<:54;?!5a:328j6*66b807a3<@:om7co84;3:72=n;oo1<7F?:188m1662900c57?:188yv51j:0;6?uQ37`0?851j908jh5rs47:>5<5sW?>563<6c297c`b349=n=4;019~w6?>93:1>vP<9838960e83>;=6s|37`3>5<5sW9=n=5237`3>=?73twi?;o=:086>5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94>92:8m6`b2900e>hi:188m1672900e9>>:18K7f><3f22<7>5;|`02=g=93?1<7>t$8c0>10?3-9m>79l8:&:2f<4;m?0D>ki;oc40?7>;01b?kk50;9j7c`=831b8=>50;9j057=83B8o554o9;3>5<h:4>:482>0}#1h91?kl4H8;g?kg0<3;2?l5f375;>4<::m0223=931i?;9::186>5<7s-3j?7:98:&0b792`8m6`b290C?n64;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q022>=838pR>888:?0223=;oo0q~;:9;296~X2=016?;9::2de?xu4=mi1<7;4>>?18=>4}r1:=4<72;qU?47>;<1530<3881v>885;296~X4>>?01>885;::4>{zj8?o:7?55;391~">i:08jo5G98f8jd132838o6g<66695?=n=<31=75f34fb>4<4l2.2:n4<3e78L6ca3gk<87?63e9j7cc=83B8o554i2de>5<5<:181[51?816?;9>:9;3?x{e9;lm6<4;:087!?f;39mn6F69e9me22=909n7d;;d;39?l5d;80:66g;<1=75m37;e>5<3290;w)7n3;65<>"4n;03?95+97a976b23A9nj6`n7582=6`>83:17p}:4e83>7}Y==n01>86f;1ea>{t;j9:6=4={_1`74=:;?3m6>hi;|q0g62=838pR>m<4:?02<`=<9:0q~8=6;296~X1:?16?;7i:9;3?x{e;?3n6<4::183!?f;3>=46*j:;I1fb>hf?=0:59>4i2df>5<5<5<:483>5}#1h918;64$2d1>2`63-3=o7==h00:1<75rb24;a?7==3:165c=2B8ik5aa6695<253`9mi7>5;h1eb?6=3`>;<7>5;h635?6=@:i376a79183>>{e9::;6<4;:087!?f;39mn6F69e9me22=90>87d;?9;39?l5d;90:66g<98395?=h>;31=75m37:6>5<3290;w)7n3;65<>"4n;03>45+97a976b23A9nj6`n7582=125<5<52z\64<=:;?2>6>hj;|q0g66=838pR>m<0:?02=3=;ol0q~=69083>7}Y;03:70=9848745=z{?826=4={_41=>;4>1?144>4}|`02=2=93?1<7>t$8c0>10?3-9m>79l8:&:2f<4;m?0D>ki;oc40?7><<1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f60?83;197>50z&:e6<3>11/?k<57b:8 <0d2:9o96F=7d=ie;29?l5an3:1D>m7;:k745<722c?<<4?::m;=5<722wi?;96:086>5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94>9558m6`b2900e>hi:18K7f><3`>;<7>5;h635?6=3f22<7>5;|`2254=93?1=7;t$8c0>6`e3A32h6`n7582=1>::k03k3:197>50z&:e6<3>11/?k<582g8 <0d2:9o96F27d=ie;29L6e?32c8jk4?::k745<722c?<<4?::m;=5<722wx?:7::181[501<16?:7l:2df?xu2k39mj6s|36;5>5<5sW9<5;5236;`>1673ty8;4750;0xZ61>1278;4m54138yv501;0;6?uQ36;1?8501j035=5r}c37g4<62<0:68u+9`197cd<@03o7co84;3:0d=n:<836<44i3713?7=3`8=o=4>::k12f4=931d>8?n:088f736i3:197>50z&:e6<3>11/?k<58718 <0d2;;8<6Fi7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xu5=;21<7;5=8k1?kk4}r0662<72;qU>8<8;<065d<4no1v?8l0;296~X5>j:01?;>a;634>{t:?i96=4={_05g7=::<;j69>>;|q114g=838pR?;>a:?114g=00:0qpl>4b095?3=93?p(4o<:2da?M?>l2dj;94>95a8m72bn3;17d<:1`82>>o5=hl1=75f250g>4<<,:l9654?3l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p61ca2909wS<;eg9>607e2:ln7p}=50c94?4|V;?:m63=50`97c`mk4?:3y]60ga348>=o4;019~w725l3:1>vP=43f89736j3>;=6s|243a>5<5sW8>=o52243a>=?73twi=9m?:085>7<0s-3j?7=ib:J:=a=ii>>1=4:j;h0774<622c9:;>51;9j607e2800e?8m9;39?l43;<0:66a=50;95?=e:<;m6=4::183!?f;3>=46*<=?;I1fb>hf?=0:59h4i2df>5<5<5<>=4?:283>5}#1h918;64$2d1>=513A9nj6`n7582=065<9=>;<065c<4nl1v?890;296~X5>?:01?;>f;1eb>{t:<;i6=4={_065g=::<;m69>>;|q12g?=838pR?8m9:?1176=;oo0q~<;3483>7}Y:=9>70<:2180bc=z{;?:57>52z\114?<5;?:j7660:p60472909w0<:1g8745=::<8;657?;|a51db280>6<4:{%;b7?5aj2B25i5aa6695<363`8>>54>::k1171=931b>;li:088m70d:3;17b<:1382>>d5=881<7;50;2x ">>j09=>>4H2ge?kg0<3;29?5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;?947>52z\117><5;?:>7=ie:p60402909wS<:269>60752:lm7p}=6cd94?4|V;=?4;009~w736:3:1>vP=50089736:322<6srb06ab?7==3;19v*6a280bg=O10n0bl9;:0;67>o54<6=4?{%;b7?2102.8j?472`9'=3e=:89;7E=jf:lb31<61<>0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv43mo0;6?uQ25ge?8429:08jh5rs3726?6=:rT99<<4=3727?5an2wx>8oj:181[42il16>8?<:523?xu5<;n1<7;5=8918=?4}r0656<72;qU>8?<;<06563<52>q/5l=53g`8L6<44o3725?7=3k8>=:4?:483>5}#1h918;64$2d1>2bd3-3=o7<>319K7``8?7:180>5<7s-3j?7:98:&0b74?2?2c8jh4?::k0bc<722e35=4?::p61562909wS<;309>60702:ln7p}=64d94?4|V;<>j63=50597c`=>4?:3y]6074348>=:4;009~w70e03:1>vP=6c:89736039mi6s|2516>5<5sW8??852243;>6`a3ty99167348>=547919~yg43n;0:684?:1y'=d5=h=:6;b?!?1k38:?=5G3dd8jd13283>46g>o4no0;66g;0183>>o3880;66a79183>>{e9=l26<4::086!?f;39mn6F69e9me22=90?27d<99`82>>o5>0h1=75f27;`>4<082.2:n4=1228L6ca3gk<87?65`9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v?86a;296~X5>0k01?9=5;1ea>{t:?3i6=4={_05=g=::>8>6>hi;|q127}Y:?3o70<8248744=z{;=997>52z\1373<5;=997660:~f42ai3;197?55z&:e6<4nk1C54j4n`57>4?2j2c9:l>51;9j63g62800e?8n2;39?l41i:0:66a=73495?=e:>8=6=4::183!?f;3>=46*<=?;I1fb>hf?=0:58m4i2df>5<5<5<;4vP=6`389715>39mj6s|27c1>5<5sW8=m?522605>1673ty9:l=50;0xZ70f;279;?854138yv40:?0;6?uQ2605?840:?035=5r}c37b2<62=0:69u+9`197cd<@03o7co84;3:1a=n:>8=6<44i3510?7=3`8<>84>::m1377=931i>:<8:187>5<7s-3j?7:98:&0b794g8m6`b2900e>hi:188m1672900c57?:188yv40:?0;6?uQ2605?840:>08jh5rs3510?6=:rT9;?:4=3513?5an2wx>:<::181[40:<16>:<8:523?xu5?;;1<7;5?;=144>4}|`20c3=93?1=7;t$8c0>6`e3A32h6`n7582=0`c2800c?9>2;39?g409;0;684?:1y'=d5=h=:953?!?1k38:?=5G3dd8jd13283=<6g>o4no0;66g;0183>>o3880;66a79183>>{t:?2j6=4={_05;96>hj;|q12=d=838pR?87b:?1344=;ol0q~<98b83>7}Y:?2h70<8138745=z{;<3h7>52z\12=b<5;=:>7:?1:p62752909wS<8139>6275213;7psm15d5>4<2280>w)7n3;1ef>N>1m1em::51842?l41190:66g=68395?=n:?396<44i34:7?7=3f8<=>4>::`1345=83?1<7>t$8c0>10?3-9m>7680:&:2f<59::0D>ki;oc40?7>>;1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w70>83:1>vP=68289716;39mi6s|27;2>5<5sW8=5<522630>6`a3ty9:4<50;0xZ70>:279;<=54128yv411:0;6?uQ27;0?8409:0?<<5rs3527?6=:rT9;<=4=3527?>>82wvn<:i3;390?7=4:?>:088m716:3;17b<80d82>>d5?8>1<7:50;2x ">>j09=>>4H2ge?kg0<3;2:95f3gg94?=n;ol1<75f41294?=h00:1<75rs3527?6=:rT9;<=4=3520?5am2wx>:?>:181[409816>:?;:2de?xu5?881<7;5?8>18=>4}r044`<72;qU>:>j;<04510<620:66g=64:95?=h:?lm6<44b34eb?6==3:14$84`>77482B8ik5aa6695<013`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q1203=838pR?8:5:?12c`=;oo0q~<95783>7}Y:??=70<9fg80bc=z{;<>;7>52z\1201<5;63`a2=::7p}=6gd94?4|V;hm;I;:`>hf?=0:5;94i344a?7=3`8=;k4>::k12=6=931b>;6>:088k71783;17o<80183>0<729q/5l=547:8 6`521=;7)79c;0275=O;ll0bl9;:0;5<>o4nl0;66g>o3890;66g;0083>>i?190;66s|275f>5<5sW8=;h522623>6`b3ty9::h50;0xZ700n279;=>53gd8yv41090;6?uQ27:3?840890?<=5rs34;5?6=:rT9:5?4=3534?2792wx>:>?:181[408916>:>?:9;3?x{e9=om6<4;:087!?f;39mn6F69e9me22=90<27d<80182>>o5>oo1=75f27de>4<<,:l965<7;%;5g?46;91C?hh4n`57>4?1i2c8jh4?::k0bc<722c?<=4?::m;=5<722wx>:>?:181[408916>:>>:2df?xu5>oo1<7;5?9;1?kh4}r05bc<72;qU>;hi;<0444<3891v?8ib;296~X5>oh01?9?1;::4>{zj8?o>7?55;391~">i:08jo5G98f8jd13283=n6g4>::m01ae=931i?47j:186>5<7s-3j?7:98:&0b797a8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=l3383>7}Y;j9970=69d80b`=z{:i8=7>52z\0g67<5:32i7=if:p7f572909wS=l319>7hn4?:3y]70bd34925h47919~yg51>l0:684?:1y'=d5=h=:6a;?!?1k398h85G3dd8jd13283=h6g>o4no0;66g;0183>>o3880;66a79183>>{e9>o4k::1=75f3b11>4<492.2:n4<3e78L6ca3gk<87?66g9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>m<1;296~X4k:;01>;kb;1ea>{t;j9;6=4={_1`75=:;hi;|q0g64=838pR>m<2:?01ad=<9:0q~=l3283>7}Y;j9870=:dc8744=z{:?om7>52z\01ag<5:?on7660:~f6b283;197>50z&:e6<3>11/?k<580c8 <0d2:9o96F:086>5<7s-3j?7:98:&0b79638m6`b2900e>hi:188m1672900e9>>:18K7f><3f22<7>5;|`223`=93?1=7;t$8c0>6`e3A32h6`n7582=24j:2;39?g5c=;0;684?:1y'=d5=h=:6;1?!?1k398h85G3dd8jd13283>o4no0;66g;0183>>o3880;66a79183>>{t;1om6=4={_1;ac=:;m?96>hj;|q0=72=838pR>7=4:?0`04=;ol0q~=62283>7}Y;08870=k538745=z{:3;97>52z\0=53<5:n>>7:?1:p7a352909wS=k539>7a35213;7psm1752>4<2280>w)7n3;1ef>N>1m1em::51857?l5>:h0:66g<80295?=n;08n6<44i2:1::`0`01=83?1<7>t$8c0>10?3-9m>7962:&:2f<4;m?0D>ki;oc40?7>?<1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6?5i3:1>vP<93c896b2?39mi6s|3933>5<5sW93==523e74>6`a3ty85?k50;0xZ6?5m278h8954128yv5?:10;6?uQ390;?85c=>0?<<5rs2f63?6=:rT8h894=2f63?>>82wvn<89e;392?4=?r.2m>4>o3;jo1=75`3e60>4<55;294~">i:0?:55+3g09<12<,0
    =k5:J0ac=ii>>1=498;h1ea?6=3`9mj7>5H2a;?>o3890;66g;0083>>i?190;66sm3e67>5<4290;w)7n3;65<>"4n;03?;5G3dd8jd13283<46g>o4no0;66a79183>>{t;m?96=4={_1g17=:;m>86>hj;|q0`03=838pR>j:5:?0`15=;ol0q~=k5683>7}Y;m?<70=k428744=z{:39;7>52z\0=71<5:n?87=ie:p06eb2909wS:7a232:lm7p}7a242=:;70=k458;=5=zuk9o8l4>:483>5}#1h918;64$2d1>=7f3-3=o7==h00:1<75rb2f7f?7=;3:165c=2B8ik5aa6695<1f3`9mi7>5;h1eb?6=3f22<7>5;|`0`3e=93?1<7>t$8c0>10?3-9m>76>a:&:2f<4;m?0D>ki;oc40?7>?k1b?kk50;9j7c`=831b8=>50;J0g==5<:483>5}#1h918;64$2d1>=6b3-3=o7==h00:1<75rb0441?7==3;19v*6a280bg=O10n0bl9;:0;4`>o40o:1=75f3807>4<6=4?{%;b7?2102.8j?48939'=3e=;:n>7E=jf:lb31<61>o0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5?n90;6?uQ39d3?85c>l08jh5rs2;10?6=:rT85?:4=2f5a?5an2wx?4<<:181[5>::16?i8j:523?xu419<1<7;4l?o18=?4}r1g2`<72;qU?i8j;<1g2`0<626>1;39?l5>:l0:66g<83;95?=h;m=86<44b2f47?6==3:165c=2B8ik5aa6695<>73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0=7g=838pR>7=a:?0`25=;oo0q~=71083>7}Y;1;:70=k7280bc=z{:39i7>52z\0=7c<5:n2909wS=7289>7a142=::7p}5<<,:l965;;;%;5g?54l<1C?hh4n`57>4??:2c8jh4?::k0bc<72A9h465`88294?=zj:n3:7?55;294~">i:0?:55+3g09<4g<,0
    =k5:J0ac=ii>>1=46<;h1ea?6=3`9mj7>5;h634?6=@:i376g;0083>>i?190;66sm3e:4>4<2290;w)7n3;65<>"4n;035<5>h1=7;51;7x 6i1;39?l5>:=0:66g<93195?=n;0:<6<44o2f;5}#1h918;64$2d1>2?53-3=o7=:181[5?n816?i67:2df?xu41;>1<7;4l121?kh4}r1:66<72;qU?4<<;<1g<=<3891v>7?7;296~X419=01>j78;635>{t;m236=4={_1g<==:;m23657?;|a531d280>6<4:{%;b7?5aj2B25i5aa6695<>03`93=54>::k0=70=931b?5?<:088m6?5=3;17b=k8882>>d4l131<7;50;2x ">>j08?i;4H2ge?kg0<3;2455f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:2:47>52z\0<4><5:n357=ie:p7<412909wS=6279>7a>>2:lm7p}<80194?4|V:2:?6384?:3y]7<42349o444;009~w6b?13:1>vPo41;k1=75f3931>4<c290>6=4?{%;b7?2102.8j?48939'=3e=;:n>7E=jf:lb31<611k0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5>:h0;6?uQ380b?85c0m08jh5rs2:26?6=:rT84<<4=2f;`?5an2wx?4:l16?i6k:523?xu40;k1<7;4l1n18=?4}r1g3<52>q/5l=53g`8L?2800e>j79;39?l5c0m0:66g<93595?=n<:n;6<44o2f43?7=3k9o;:4?:483>5}#1h918;64$2d1>=233-3=o7=5<7s-3j?7:98:&0b74??l2c8jh4?::k0bc<722e35=4?::p7a>?2909wS=k899>7a102:ln7p}c349o;:4;009~w6?5?3:1>vP<935896b0039mi6s|42f3>5<5sW>8h=523e5;>6`a3ty8h:950;0xZ6b0?278h:958828yv5c?10;6?u23e54>167349o;547919~yg5c090:684?:1y'=d5=h=:93b?!?1k398h85G3dd8jd132833i6g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn>j71;397?6=8r.2m>4;699'7c4=0<>0(48l:21g1>N4mo1em::518:e?l5am3:17d=if;29?j>>83:17plh=:92f?!?1k398h85G3dd8jd132832=6g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn<870;391?7==r.2m>4>i4l0l1=75m3e;e>5<2290;w)7n3;65<>"4n;0<5?5+97a976b23A9nj6`n7582=<55<5<6>3;296~X408901>j6f;1eb>{t;08n6=4={_1:6`=:;m3m69>?;|q0<7d=838pR>6=b:?0`<`=<9;0q~=k9g83>7}Y;m3m70=k9g8;=5=zuk;=4<4>:482>0}#1h91?kl4H8;g?kg0<3;2595f3934>4<jn0;291?6=8r.2m>4;699'7c4=?090(48l:21g1>N4mo1em::518;6?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<80594?4|V:2:;63;4?:3y]7<41349om=46<3:1>vP<806896bf83>;<6s|3806>5<5sW92>8523ec3>1663ty8hl>50;0xZ6bf8278hl>58828yxd6>181=7;51;7x jn0;39?l5c1o0:66g<93595?=n<:n:6<44o2fb5?7=3k9om<4?:483>5}#1h918;64$2d1>=243-3=o7=:2df?xu4l0l1<7;4lh;1?kh4}r1:62<72;qU?4<8;<1ge4<3891v9=k1;296~X3;m;01>jn1;635>{t;mk:6=4={_1ge4=:;mk:657?;|a7a?0280>6=4?{%;b7?2102.8j?471`9'=3e=;:n>7E=jf:lb31<61020e>hj:188m6`a2900e9>?:188m166290C?n64;n::4?6=3th8h4651;194?6|,0k86987;%1e6?>2<2.2:n4<3e78L6ca3gk<87?6989j7cc=831b?kh50;9l<<6=831vn>jm2;391?6=8r.2m>4;699'7c4=08k0(48l:21g1>N4mo1em::518;b?l5am3:17d=if;29?l2783:1D>m7;:k744<722e35=4?::a7ad4280>6=4?{%;b7?2102.8j?470d9'=3e=;:n>7E=jf:lb31<610h0e>hj:188m6`a2900e9>?:188m166290C?n64;n::4?6=3th::5;51;795?3|,0k86>hm;I;:`>hf?=0:54m4i2;1e?7=3`93=94>::k0=7c=931b?50<729q/5l=547:8 6`52>397)79c;10`0=O;ll0bl9;:0;:`>o4nl0;66g>o3890;66g;0083>>i?190;66s|380b>5<5sW92>l523e`7>6`b3ty84<:50;0xZ6>6<278ho:53gd8yv5>:l0;6?uQ380f?85cj=0?<=5rs2:1g?6=:rT84?m4=2fa0?2792wx?il;:181[5cj=16?il;:9;3?x{e9?2=6<4::086!?f;39mn6F69e9me22=903n7d=71782>>o41;<1=75f3936>4<;2.2:n4<3e78L6ca3gk<87?69g9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>6>6;296~X408<01>jm5;1ea>{t;08=6=4={_1:63=:;mh>6>hi;|q0<43=838pR>6>5:?0`g3=<9:0q~=62483>7}Y;08>70=kb48744=z{:ni97>52z\0`g3<5:ni97660:~f40??3;197?55z&:e6<4nk1C54j4n`57>4?f82c8ho;51;9j7ad32800e>7=7;39?l24l;0:66a=46*j:;I1fb>hf?=0:5l?4i2df>5<5<5<vP39mj6s|3804>5<5sW92>:523e`5>1673ty??i<50;0xZ15c:278ho854138yv5cj?0;6?uQ3e`5?85cj?035=5r}c1gef<62<0;6=u+9`1903><,:l965?n;%;5g?54l<1C?hh4n`57>4?f:2c8jh4?::k0bc<722c?<=4?::k744<72A9h465`88294?=zj:njh7?53;294~">i:0?:55+3g09<02<,0
    =k5:J0ac=ii>>1=4o<;h1ea?6=3`9mj7>5;n::4?6=3th8hn951;794?6|,0k86987;%1e6?>6i2.2:n4<3e78L6ca3gk<87?6a59j7cc=831b?kh50;9j056=83B8o554i522>5<<,:l965>j;%;5g?54l<1C?hh4n`57>4?f=2c8jh4?::k0bc<722c?<=4?::k744<72A9h465`88294?=zj8<3m7?55;391~">i:08jo5G98f8jd13283j:6g<83f95?=n;08n6<44i2:22?7=3`92>84>::m0`f?=931i?im6:186>5<7s-3j?7:98:&0b7<01:1/5;m532f6?M5bn2dj;94>9`58m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=72e83>7}Y;18o70=kc880b`=z{:39i7>52z\0=7c<5:nh57=if:p7=712909wS=7179>7ae>2=:;7p}<93794?4|V:39963349oo447919~yg5ckh0:694?:1y'=d5=h=:90g?!?1k398h85G3dd8jd13283j46g>o4no0;66g;0183>>i?190;66sm17:a>4<2280>w)7n3;1ef>N>1m1em::518c:?l5ck00:66g::`0`fd=83?1<7>t$8c0>10?3-9m>76;3:&:2f<4;m?0D>ki;oc40?7>ih1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6bd13:1>vP5<5sW9ool523eaa>6`a3ty85?950;0xZ6?5?278hnl54128yv24l:0;6?uQ42f0?85ckk0?<<5rs2f`f?6=:rT8hnl4=2f`f?>>82wvn>jmb;391?6=8r.2m>4;699'7c4=?m;0(48l:21g1>N4mo1em::518ca?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl86*66b807a3<@:om7co84;3:ef=n;oo1<75f3gd94?N4k110c57?:188yg5clj0:684?:1y'=d5=h=:93b?!?1k398h85G3dd8jd13283jh6g>o4no0;66g;0183>M5d021b8=?50;9l<<6=831vn>jkd;391?6=8r.2m>4;699'7c4=09o0(48l:21g1>N4mo1em::518cf?l5am3:17d=if;29?l2783:17d:?1;29L6e?32e35=4?::a53>b280>6<4:{%;b7?5aj2B25i5aa6695l4>::k0<40=931b?4683;17b=kdd82>>d4lmo1<7;50;2x ">>j08?i;4H2ge?kg0<3;2n=5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:39m7>52z\0=7g<5:noi7=ie:p7=712909wS=7179>7abb2:lm7p}<93g94?4|V:39i63vPo408>1=75f3805>4<6=4?{%;b7?2102.8j?48929'=3e=;:n>7E=jf:lb31<61k80e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5?9=0;6?uQ3937?85clo08jh5rs2;12?6=:rT85?84=2fgb?5an2wx?5?8:181[5?9>16?iji:523?xu41;?1<7;4lml18=?4}r1g`c<72;qU?iji;<1g`c0<62jke;39?l5>:>0:66g;3e695?=h;mo;6<44b2ff4?6==3:165c=2B8ik5aa66955;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0`a`=838pR>jkf:?0``6=;oo0q~=kdd83>7}Y;mnn70=ke180bc=z{:39;7>52z\0=71<5:nn<7:?0:p06b32909wS:7ac72=::7p}6i2.2:n4<3e78L6ca3gk<87?6b49j7cc=831b?kh50;9j056=831b8=?50;J0g==<,:l965;;;%;5g?54l<1C?hh4n`57>4?e>2c8jh4?::k0bc<722e35=4?::a7a`6280>6=4?{%;b7?2102.8j?471`9'=3e=;:n>7E=jf:lb31<61k=0e>hj:188m6`a2900e9>?:18K7f><3`>;=7>5;n::4?6=3th8hk<51;794?6|,0k86987;%1e6?>7m2.2:n4<3e78L6ca3gk<87?6b99j7cc=831b?kh50;9j056=831b8=?50;J0g==h4>::k0<47=931d?ih<:088f6ba;3:197>50z&:e6<3>11/?k<57808 <0d2:9o96F;4lo91?kk4}r1;52<72;qU?5?8;<1gb6<4no1v>7=e;296~X41;o01>ji3;634>{t;1;:6=4={_1;54=:;ml869>>;|q0`c5=838pR>ji3:?0`c5=00:0qpl>68695?3=93?p(4o<:2da?M?>l2dj;94>9c`8m6>6;3;17d=62782>>o40821=75f3806>4<<,:l96:7<;%;5g?54l<1C?hh4n`57>4?ek2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7=742909wS=7129>7a`32:ln7p}<93494?4|V:39:63vP<937896ba<3>;=6s|3ed7>5<5sW9oj9523ed7>=?73twi=;7::086>4<2s-3j?7=ib:J:=a=ii>>1=4lk;h1gb1<622c8hk=51;9j7<402800e9=k5;39?j5cn<0:66l;4lo?18=>4}r60`0<72;qU8>j:;<1gb0<3881v>ji5;296~X4lo?01>ji5;::4>{zj:nnn7?55;294~">i:0?:55+3g09<4g<,0
    =k5:J0ac=ii>>1=4li;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5H2a;?>i?190;66sm3eg`>4<4290;w)7n3;65<>"4n;03995+97a976b23A9nj6`n7582=f65<<,:l965;;;%;5g?54l<1C?hh4n`57>4?d92c8jh4?::k0bc<722e35=4?::a066c280?6=4?{%;b7?2102.8j?48c29'=3e=<::h7E=jf:lb31<61j80e>hj:188m6`a2900e9>?:188k=?72900qo?92g82>1<62=q/5l=53g`8L>d410h1<7:50;2x ">>j08?i;4H2ge?kg0<3;2o95f3gg94?N4k110e>hi:188m1672900c57?:188yv33;3:1>vP:429>7i3:1>vP<98c896?>j322<6srb2g32?7==3:165c=2B8ik5aa66955;h1eb?6=3`>;<7>5H2a;?>o3880;66a79183>>{e;l:<6<4::183!?f;3>=46*j:;I1fb>hf?=0:5n84i2df>5<5<>83:17pl>68:95?3=93?p(4o<:2da?M?>l2dj;94>9b58m6>603;17d=62`82>>o40831=75f3806>4<<,:l96:7<;%;5g?54l<1C?hh4n`57>4?d02c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7=7?2909wS=7199>7`6?2:ln7p}<93c94?4|V:39m63349n<54;019~w6?5=3:1>vP<937896c703>;=6s|3d2;>5<5sW9n<5523d2;>=?73twi?h>6:087>5<7s-3j?7:98:&0b79b;8m6`b2900e>hi:188m1672900c57?:188yg71100:684>:4y'=d5=;oh0D47k;oc40?7>kh1b?h>7:088m6c713;17d=62682>>o3;m<1=75`3d2b>4<55;294~">i:0?:55+3g09<15<,0
    =k5:J0ac=ii>>1=4mm;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty8i=650;0xZ6c70278i=o53gg8yv5b800;6?uQ3d2:?85b8h08jk5rs2;13?6=:rT85?94=2g3e?2782wx8>j9:181[24l?16?h>n:522?xu4m9k1<7;4m9k144>4}|`0`cg=93?1<7>t$8c0>10?3-9m>79k1:&:2f<4;m?0D>ki;oc40?7>kj1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6c793;1?7>50z&:e6<3>11/?k<58468 <0d2:9o96Fm7;:m;=5<722wi?5l6:087>5<7s-3j?7:98:&0b79bg8m6`b2900e>hi:188m1672900c57?:188yg5b;m0:684?:1y'=d5=h=:93b?!?1k398h85G3dd8jd13283hj6g>o4no0;66g;0183>M5d021b8=?50;9l<<6=831vn>k4;699'7c4=09o0(48l:21g1>N4mo1em::518f3?l5am3:17d=if;29?l2783:17d:?1;29L6e?32e35=4?::a53g4280>6<4:{%;b7?5aj2B25i5aa6695l4>::k0<4?=931b?46;3;17b=j3g82>>d4m:l1<7;50;2x ">>j08?i;4H2ge?kg0<3;2h?5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:39m7>52z\0=7g<5:o8j7=ie:p7=7>2909wS=7189>7`5a2:lm7p}<93g94?4|V:39i634?:3y]7=74349n?k4;009~w6c4n3:1>vPo408;1=75f3805>4<51;9a7`27290>6=4?{%;b7?2102.8j?48929'=3e=;:n>7E=jf:lb31<61m>0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5?980;6?uQ3932?85b<908jh5rs2;12?6=:rT85?84=2g74?5an2wx?5?m:181[5?9k16?h:?:523?xu41;?1<7;4m=:18=?4}r1f05<72;qU?h:?;<1f050<62k:>0:66g;3e:95?=h;l>:6<44b2g75?6==3:165c=2B8ik5aa66955;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0a16=838pR>k;0:?0a17=;oo0q~=j3g83>7}Y;l9m70=j4080bc=z{:39;7>52z\0=71<5:o?=7:?0:p06b?2909wS:7`262=::7p}951;794?6|,0k86987;%1e6?>6i2.2:n4<3e78L6ca3gk<87?6d69j7cc=831b?kh50;9j056=831b8=?50;J0g==<,:l965;;;%;5g?54l<1C?hh4n`57>4?c02c8jh4?::k0bc<722e35=4?::a7`35280>6=4?{%;b7?2102.8j?471`9'=3e=;:n>7E=jf:lb31<61m30e>hj:188m6`a2900e9>?:18K7f><3`>;=7>5;n::4?6=3th8i8=51;794?6|,0k86987;%1e6?>7m2.2:n4<3e78L6ca3gk<87?6d`9j7cc=831b?kh50;9j056=831b8=?50;J0g==h4>::k0<42=931d?h;;:088f6c2<3:197>50z&:e6<3>11/?k<57808 <0d2:9o96F;4m<>1?kk4}r1;5g<72;qU?5?m;<1f11<4no1v>7=e;296~X41;o01>k:4;634>{t;1;?6=4={_1;51=:;l??69>>;|q0a02=838pR>k:4:?0a02=00:0qpl>6`;95?3=93?p(4o<:2da?M?>l2dj;94>9ef8m6>683;17d=62782>>o408i1=75f3806>4<97?5;c1f10<72<0;6=u+9`1903><,:l96:7<;%;5g?54l<1C?hh4n`57>4?cm2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7=772909wS=7119>7`322:ln7p}<93494?4|V:39:63vP<937896c2=3>;=6s|3d76>5<5sW9n98523d76>=?73twi=;on:086>4<2s-3j?7=ib:J:=a=ii>>1=4ji;h1f10<622c8i8:51;9j7<402800e9=k9;39?j5b=?0:66l;4m<<18=>4}r60`<<72;qU8>j6;<1f13<3881v>k:6;296~X4m<<01>k:6;::4>{zj:o?o7?55;294~">i:0?:55+3g09<4g<,0
    =k5:J0ac=ii>>1=4k>;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5H2a;?>i?190;66sm3d6g>4<4290;w)7n3;65<>"4n;03995+97a976b23A9nj6`n7582=`45<<,:l965?n;%;5g?54l<1C?hh4n`57>4?b;2c8jh4?::k0bc<722c?<=4?:I1`<>=n<9;1<75`88294?=zj:o=47?55;294~">i:0?:55+3g09<5c<,0
    =k5:J0ac=ii>>1=4k;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5H2a;?>i?190;66sm17cg>4<2280>w)7n3;1ef>N>1m1em::518g6?l5>:h0:66g<80a95?=n;08n6<44i2:21?7=3f9n:44>::`0a3?=83?1<7>t$8c0>10?3-9m>7962:&:2f<4;m?0D>ki;oc40?7>m?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6?5i3:1>vP<93c896c1139mi6s|393`>5<5sW93=n523d4:>6`a3ty85?k50;0xZ6?5m278i;754128yv5?9<0;6?uQ3936?85b>00?<<5rs2g5=?6=:rT8i;74=2g5=?>>82wvn<8ne;391?7==r.2m>46l3;17d=62482>>i4m?k1=75m3d4b>5<2290;w)7n3;65<>"4n;0<5>5+97a976b23A9nj6`n7582=`>5<5<7=6;296~X41;<01>k9a;1eb>{t;1;o6=4={_1;5a=:;l?;|q0=73=838pR>7=5:?0a3g=<9;0q~=j6`83>7}Y;l:482>0}#1h91?kl4H8;g?kg0<3;2i45f3d4b>4<k9b;291?6=8r.2m>4;699'7c4=0=90(48l:21g1>N4mo1em::518gb?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}349n:o4vP<935896c1j3>;<6s|42fb>5<5sW>8hl523d4a>1663ty8i;l50;0xZ6c1j278i;l58828yxd4m">>j08?i;4H2ge?kg0<3;2io5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:o=>7?53;294~">i:0?:55+3g09<02<,0
    =k5:J0ac=ii>>1=4kl;h1ea?6=3`9mj7>5H2a;?>i?190;66sm3d5`>4<2290;w)7n3;65<>"4n;03=l5+97a976b23A9nj6`n7582=`b5<n1=7;50;2x ">>j08?i;4H2ge?kg0<3;2ih5f3gg94?=n;ol1<75f41294?=n<9;1<7F0<626>d;39?l5>:l0:66g<80495?=h;l=n6<44b2g4a?6==3:165c=2B8ik5aa6695<`73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0=7g=838pR>7=a:?0a2c=;oo0q~=71e83>7}Y;1;o70=j7d80bc=z{:39i7>52z\0=7c<5:o7`1b2=::7p}hm;I;:`>hf?=0:5k?4i2:1g?7=3`92>;4>::k0<4c=931b?4<::088k6c0n3;17o=j7g83>0<729q/5l=547:8 6`52>387)79c;10`0=O;ll0bl9;:0;e6>o4nl0;66g>o3890;66g;0083>>i?190;66s|390`>5<5sW93>n523d5e>6`b3ty85?850;0xZ6?5>278i:h53gd8yv5?9l0;6?uQ393f?85b?o0?<=5rs2;11?6=:rT85?;4=2g4b?2792wx?h9i:181[5b?o16?h9i:9;3?x{e9?h>6<4::086!?f;39mn6F69e9me22=90l87d=j7g82>>o4m>o1=75f3804>4<50;794?6|,0k86987;%1e6?>3;2.2:n4<3e78L6ca3gk<87?6f59j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>k8f;296~X4m>l01>k70;1ea>{t;l=n6=4={_1f3`=:;l2;6>hi;|q0=71=838pR>7=7:?0a=6=<9:0q~:7}Y<:ni70=j818744=z{:o3<7>52z\0a=6<5:o3<7660:~f6>e93;187>50z&:e6<3>11/?k<58118 <0d2:9o96F7d=ie;29?l5an3:17d:?0;29?j>>83:17plh=:92f?!?1k398h85G3dd8jd13283m;6g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn<8m9;391?7==r.2m>4>i4m091=75m3d;0>5<2290;w)7n3;65<>"4n;0<5?5+97a976b23A9nj6`n7582=c?5<5<6>e;296~X408o01>k63;1eb>{t;08n6=4={_1:6`=:;l3869>?;|q0<41=838pR>6>7:?0a<5=<9;0q~=j9283>7}Y;l3870=j928;=5=zuk;=nl4>:482>0}#1h91?kl4H8;g?kg0<3;2jl5f390a>4<k64;291?6=8r.2m>4;699'7c4=?090(48l:21g1>N4mo1em::518da?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<83`94?4|V:29n63;4?:3y]7<41349n5946n3:1>vP<80d896c><3>;<6s|3806>5<5sW92>8523d;7>1663ty8i4:50;0xZ6c><278i4:58828yxd6>kh1=7;51;7x k64;39?l5b1:0:66g<93595?=n<:nh6<44o2g:1?7=3k9n584?:483>5}#1h918;64$2d1>=243-3=o7=;4m0?1?kh4}r1:62<72;qU?4<8;<1f=0<3891v9=kc;296~X3;mi01>k65;635>{t;l3>6=4={_1f=0=:;l3>657?;|a7`g1280>6=4?{%;b7?2102.8j?471`9'=3e=;:n>7E=jf:lb31<61oo0e>hj:188m6`a2900e9>?:18K7f><3`>;=7>5;n::4?6=3th8il951;794?6|,0k86987;%1e6?>7m2.2:n4<3e78L6ca3gk<87?6fg9j7cc=831b?kh50;9j056=831b8=?50;J0g==h4>::k0<4>=931d?ho7:088f6cf03:197>50z&:e6<3>11/?k<57808 <0d2:9o96F;4mh21?kk4}r1;5c<72;qU?5?i;<1fe=<4no1v>7=e;296~X41;o01>kn8;634>{t;1;36=4={_1;5==:;lk369>>;|q0ad>=838pR>kn8:?0ad>=00:0qpl>6b295?3=93?p(4o<:2da?M?>l2dj;94>a108m6>5i3;17d=62782>>o40;:1=75f3806>4<<,:l96:7<;%;5g?54l<1C?hh4n`57>4g7;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7=4f2909wS=72`9>7`g>2:ln7p}<93494?4|V:39:63=4?:3y]7=47349nm44;019~w6?5=3:1>vP<937896cf13>;=6s|3dc:>5<5sW9nm4523dc:>=?73twi=;m>:086>4<2s-3j?7=ib:J:=a=ii>>1=l>;;h1fe<<622c8il651;9j7<402800e9=kd;39?j5bih0:66l;4mhk18=>4}r60`a<72;qU8>jk;<1fed<3881v>kna;296~X4mhk01>kna;::4>{zj88n:7?54;390~">i:08jo5G98f8jd1328k;:6g:5882>>o411o1=75f38;2>4<65c=2B8ik5aa6695d603`9mi7>5H2a;?>o4no0;66g;0183>>i?190;66s|54;94?4|V52z\0==c<5:=387=if:p772>32=:;7p}:bc83>7}Y=kh01>974;::4>{zj:=jh7?55;294~">i:0?:55+3g093f><,0
    =k5:J0ac=ii>>1=l>7;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5H2a;?>i?190;66sm36:5>4<2290;w)7n3;65<>"4n;05<5<<,:l96:m7;%;5g?54l<1C?hh4n`57>4g7i2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7217280>6=4?{%;b7?2102.8j?48ec9'=3e=;:n>7E=jf:lb31<6i9h0e>hj:188m6`a2900e9>?:188m166290C?n64;n::4?6=3th848h51;194?6|,0k86987;%1e6?>2<2.2:n4<3e78L6ca3gk<87?n0b9j7cc=83B8o554i2de>5<<,:l96:k6;%;5g?54l<1C?hh4n`57>4g7l2c8jh4?::k0bc<722c?<=4?::k744<72A9h465`88294?=zj8?mh7?54;390~">i:08jo5G98f8jd1328k;i6g:4g82>>o4k:<1=75f3b16>4<<,:l965=<;%;5g?54l<1C?hh4n`57>4g7n2c8jh4?:I1`<>=n;ol1<75f41294?=h00:1<75rs46e>5<5sW??j63<77597ccvP;<6s|3644>5<5sW9<::523644>=?73twi?:87:086>5<7s-3j?7:98:&0b7<0011/5;m532f6?M5bn2dj;94>a028m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=jbc82>0<729q/5l=547:8 6`521;j7)79c;10`0=O;ll0bl9;:0c25>o4nl0;66g>o3890;6E=l8:9j057=831d44>50;9~f6cek3;197>50z&:e6<3>11/?k<581g8 <0d2:9o96Fm7;:m;=5<722wi=;m9:086>4<2s-3j?7=ib:J:=a=ii>>1=l?<;h1:6d<622c84?>51;9j7<4b2800e>6>9;39?j5bjm0:66l6*66b807a3<@:om7co84;3b51=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs2;1e?6=:rT85?o4=2ga`?5am2wx?5;4mkn18=>4}r1;5<<72;qU?5?6;<1ffa<3881v>kmd;296~X4mkn01>kmd;::4>{zj8i:08jo5G98f8jd1328k:96g<83;95?=n;08=6<44i2:15?7=3`92>84>::m0agc=931i?hlj:186>5<7s-3j?7:98:&0b7<01:1/5;m532f6?M5bn2dj;94>a048m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=72883>7}Y;18270=jbd80b`=z{:39:7>52z\0=70<5:oii7=if:p7=462909wS=7209>7`db2=:;7p}<93794?4|V:39963:4y'=d5=;oh0D47k;oc40?7f9>1b?hlj:088m6cel3;17d=62682>>o3;mo1=75`3d`e>4<55;294~">i:0?:55+3g09<15<,0
    =k5:J0ac=ii>>1=l?7;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty8iok50;0xZ6cem278ioh53gg8yv5bjm0;6?uQ3d`g?85bjo08jk5rs2;13?6=:rT85?94=2gab?2782wx8>jj:181[24ll16?hli:522?xu4mkl1<7;4mkl144>4}|`0ad`=93?1<7>t$8c0>10?3-9m>79k1:&:2f<4;m?0D>ki;oc40?7f901b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6cdn3;197>50z&:e6<3>11/?k<58148 <0d2:9o96Fm7;:m;=5<722wi=;mn:086>4<2s-3j?7=ib:J:=a=ii>>1=l?m;h1:6d<622c84??51;9j7<4b2800e>6>b;39?j5bl90:66l6*66b807a3<@:om7co84;3b5f=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs2;1e?6=:rT85?o4=2gg4?5am2wx?5<>:181[5?:816?hj?:2de?xu41;o1<7;4mm:18=>4}r1;5g<72;qU?5?m;<1f`5<3881v>kk0;296~X4mm:01>kk0;::4>{zj8i:08jo5G98f8jd1328k:h6g<83:95?=n;08=6<44i2:16?7=3`92>84>::m0aa7=931i?hj>:186>5<7s-3j?7:98:&0b7<01:1/5;m532f6?M5bn2dj;94>a0g8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=72983>7}Y;18370=jd080b`=z{:39:7>52z\0=70<5:oo=7=if:p7=452909wS=7239>7`b62=:;7p}<93794?4|V:39963:4y'=d5=;oh0D47k;oc40?7f9o1b?hj>:088m6cc83;17d=62682>>o3;ml1=75`3df1>4<7>55;294~">i:0?:55+3g09<15<,0
    =k5:J0ac=ii>>1=l5;h634?6=3`>;=7>5;n::4?6=3ty8ii?50;0xZ6cc9278ii<53gg8yv5bl90;6?uQ3df3?85bl;08jk5rs2;13?6=:rT85?94=2gg6?2782wx8>ji:181[24lo16?hj=:522?xu4mm81<7;4mm8144>4}|`0a`5=93?1<7>t$8c0>10?3-9m>76>a:&:2f<4;m?0D>ki;oc40?7f:81b?kk50;9j7c`=831b8=>50;J0g==5<:483>5}#1h918;64$2d1>=6b3-3=o7==h00:1<75rb04g4?7==3;19v*6a280bg=O10n0bl9;:0c17>o41;k1=75f3901>4<6=4?{%;b7?2102.8j?48939'=3e=;:n>7E=jf:lb31<6i;>0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5>:h0;6?uQ380b?85bm<08jh5rs2:16?6=:rT84?<4=2gf1?5an2wx?4:l16?hk::523?xu408i1<7;4ml?18=?4}r1fa0<72;qU?hk:;<1fa00<627=6;39?l5?::0:66g<93795?=h;lo=6<44b2gf2?6==3:165c=2B8ik5aa6695d413`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0<73=838pR>6=5:?0a`0=;oo0q~=62783>7}Y;08=70=je780bc=z{:29?7>52z\0<75<5:on:7:?0:p7<422909wS=6249>7`c12=::7p}hm;I;:`>hf?=0:m?94i2gf2?7=3`9ni84>::k0=71=931b8>k?:088k6cb?3;17o=je683>0<729q/5l=547:8 6`521>87)79c;10`0=O;ll0bl9;:0c1<>o4nl0;66g>o3890;66g;0083>>i?190;66s|3dg5>5<5sW9ni;523dg4>6`b3ty8ih;50;0xZ6cb=278ih953gd8yv5>:>0;6?uQ3804?85bm>0?<=5rs51f4?6=:rT??h>4=2gf3?2792wx?hk8:181[5bm>16?hk8:9;3?x{e;ll36<4::183!?f;3>=46*j:;I1fb>hf?=0:m?74i2df>5<5>83:17pl:4y'=d5=;oh0D47k;oc40?7f:k1b?45;3;17d=62d82>>o408n1=75`3ddb>4<55;294~">i:0?:55+3g093<4<,0
    =k5:J0ac=ii>>1=l5;h634?6=3`>;=7>5;n::4?6=3ty85?o50;0xZ6?5i278iko53gg8yv5?::0;6?uQ3900?85bnh08jk5rs2;1a?6=:rT85?k4=2gee?2782wx?5?k:181[5?9m16?hhn:522?xu4mok1<7;4mok144>4}|`22a1=93?1=7;t$8c0>6`e3A32h6`n7582e7bkib;39?g5bnk0;684?:1y'=d5=h=:6;0?!?1k398h85G3dd8jd1328k9i6g>o4no0;66g;0183>>o3880;66a79183>>{t;1;j6=4={_1;5d=:;lli6>hj;|q0=70=838pR>7=6:?0acd=;ol0q~=72583>7}Y;18?70=jfc8745=z{:3997>52z\0=73<5:omn7:?1:p7``e2909wS=jfc9>7``e213;7psm17f;>4<2280>w)7n3;1ef>N>1m1em::51`0e?l5bnk0:66g::`0ace=83?1<7>t$8c0>10?3-9m>76;3:&:2f<4;m?0D>ki;oc40?7f;91b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6caj3:1>vP5<5sW9njl523dd`>6`a3ty85?950;0xZ6?5?278ikm54128yv24m80;6?uQ42g2?85bnj0?<<5rs2geg?6=:rT8ikm4=2geg?>>82wvn>h=9;391?6=8r.2m>4;699'7c4=08k0(48l:21g1>N4mo1em::51`12?l5am3:17d=if;29?l2783:1D>m7;:k744<722e35=4?::a7c4f280>6=4?{%;b7?2102.8j?470d9'=3e=;:n>7E=jf:lb31<6i:80e>hj:188m6`a2900e9>?:188m166290C?n64;n::4?6=3th::h:51;795?3|,0k86>hm;I;:`>hf?=0:m>=4i2;1e?7=3`93>94>::k0=7c=931b?5?j:088k6`5j3;17o=i2c83>0<729q/5l=547:8 6`52>397)79c;10`0=O;ll0bl9;:0c00>o4nl0;66g>o3890;66g;0083>>i?190;66s|380b>5<5sW92>l523g0a>6`b3ty84?:50;0xZ6>5<278j?l53gd8yv5>:l0;6?uQ380f?85a:k0?<=5rs2:2a?6=:rT846<4::086!?f;39mn6F69e9me22=9h9>7d=70g82>>o41;<1=75f3905>4<;2.2:n4<3e78L6ca3gk<87?n379j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>6?f;296~X409l01>h=c;1ea>{t;08=6=4={_1:63=:;o8h6>hi;|q0<70=838pR>6=6:?0b7e=<9:0q~=62483>7}Y;08>70=i2b8744=z{:l9o7>52z\0b7e<5:l9o7660:~f40b>3;197?55z&:e6<4nk1C54j4n`57>4g4?2c8j?m51;9j7c4e2800e>7=7;39?l24m:0:66a=46*j:;I1fb>hf?=0:m>64i2df>5<5<5<n4?:3y]7c4d349m>i4vP5<5sW92>:523g0g>1673ty??h=50;0xZ15b;278j?j54138yv5a:m0;6?uQ3g0g?85a:m035=5r}c1e7`<62<0;6=u+9`1903><,:l965?n;%;5g?54l<1C?hh4n`57>4g412c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7c5a280>6=4?{%;b7?2102.8j?470d9'=3e=;:n>7E=jf:lb31<6i:k0e>hj:188m6`a2900e9>?:188m166290C?n64;n::4?6=3th::hl51;795?3|,0k86>hm;I;:`>hf?=0:m>l4i2:12?7=3`92>l4>::k0<71=931b?4<::088k6`3;3;17o=i4283>0<729q/5l=547:8 6`52>387)79c;10`0=O;ll0bl9;:0c0g>o4nl0;66g>o3890;66g;0083>>i?190;66s|3905>5<5sW93>;523g60>6`b3ty85?o50;0xZ6?5i278j9=53gd8yv5?:>0;6?uQ3904?85a<:0?<=5rs2;11?6=:rT85?;4=2d77?2792wx?k:<:181[5a<:16?k:<:9;3?x{e9?oh6<4::086!?f;39mn6F69e9me22=9h9o7d=i4282>>o4n=:1=75f3804>4<3;2.2:n4<3e78L6ca3gk<87?n3d9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>h;3;296~X4n=901>h;4;1ea>{t;o>;6=4={_1e05=:;o>?6>hi;|q0=71=838pR>7=7:?0b12=<9:0q~:7}Y<:o?70=i458744=z{:l?87>52z\0b12<5:l?87660:~f6>ek3;187>50z&:e6<3>11/?k<58118 <0d2:9o96F>83:17pl<8c`95?2=83:p(4o<:54;?!5a:32;?6*66b807a3<@:om7co84;3b05=n;oo1<75f3gd94?=n<9:1<75`88294?=zj:2im7?54;294~">i:0?:55+3g09<55<,0
    =k5:J0ac=ii>>1=l:>;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`01<7>t$8c0>10?3-9m>76?3:&:2f<4;m?0D>ki;oc40?7f<;1b?kk50;9j7c`=831b8=>50;9l<<6=831vn>6n2;390?6=8r.2m>4;699'7c4=0990(48l:21g1>N4mo1em::51`60?l5am3:17d=if;29?l2783:17b660;29?xd4ko31=7;50;2x ">>j08?i;4H2ge?kg0<3;j895f3gg94?=n;ol1<7F>:188k=?72900qo=k0582>0<729q/5l=547:8 6`52>i37)79c;10`0=O;ll0bl9;:0c71>o4nl0;66g>o3890;66g;0083>>i?190;66sm1742>4<02;03w)7n3;1ef>N>1m1em::51`65?l5c8=0:66g::k0<52=931d?i?8:088f6b6?3:187>50z&:e6<3>11/?k<58558 <0d2:9o96F<7d=ie;29?l5an3:17d:?0;29?j>>83:17pl37d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xu4l9>1<7;4l8=1?kk4}r1`b<<72;qU?nh6;<1g52<3891v>j?7;296~X4l9=01>j>8;1ea>{t<:=36=4={_603==:;m;36>hi;|q03`>=838pR>9j8:?0`4>=<9:0q~=70583>7}Y;1:?70=k198744=z{:n:;7>52z\0`41<5:n:;7660:p7a7?2909w0=k1680bc=:;m;3657?;|a7a45280>6=4?{%;b7?2102.8j?47079'=3e=;:n>7E=jf:lb31<6i=30e>hj:188m6`a2900e9>?:188m166290C?n64;n::4?6=3th::;:51;795?3|,0k86>hm;I;:`>hf?=0:m9o4i2:fg?7=3`92>94>::k0=75=931b?4>=:088k6b5;3;17o=k2283>0<729q/5l=547:8 6`52>397)79c;10`0=O;ll0bl9;:0c7f>o4nl0;66g>o3890;66g;0083>>i?190;66s|39g`>5<5sW93in523e00>6`b3ty85?:50;0xZ6?5<278h?=53gd8yv5>::0;6?uQ3800?85c::0?<=5rs2;36?6=:rT85=<4=2f17?2792wx?i<<:181[5c::16?i<<:9;3?x{e9?<>6<4::086!?f;39mn6F69e9me22=9h>h7d=72682>>o41;<1=75f392f>4<;2.2:n4<3e78L6ca3gk<87?n4e9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>6=7;296~X40;=01>j=4;1ea>{t;08=6=4={_1:63=:;m8?6>hi;|q0<5c=838pR>6?e:?0`72=<9:0q~=62483>7}Y;08>70=k258744=z{:n987>52z\0`72<5:n987660:~f402i3;197?55z&:e6<4nk1C54j4n`57>4g3m2c8h?:51;9j7a442800e>6ib;39?l02;3;17b=lf082>>d4ko;1<7;50;2x ">>j08?i;4H2ge?kg0<3;j8k5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:n987>52z\0`72<5:im=7=ie:p7a442909wS=k229>7f`62:lm7p}<8g`94?4|V:2mn63?7>52z\516=:;jl:69>>;|q0gc7=838pR>mi1:?0gc7=00:0qpl4$84`>65c=2B8ik5aa6695d363`9mi7>5;h1eb?6=3`>;<7>5H2a;?>o3880;66a79183>>{e1?=1=7<50;2x 91<75rb804>4<5290;w)7n3;636>">>j0:>5l4$57g>1603A9nj6`n7582e055<i:0?:55+3g09<02<,0;I1fb>hf?=0:m8:4i2df>5<5<i:0?:55+3g09<02<,0;I1fb>hf?=0:m8;4i2df>5<5<7?53;294~">i:0?:55+3g09<02<,0;I1fb>hf?=0:m884i2df>5<5<j7?53;294~">i:0?:55+3g09<02<,0;I1fb>hf?=0:m894i2df>5<5<i:0?:55+3g09<02<,0;I1fb>hf?=0:m864i2df>5<5<i:0?:55+3g09<02<,0;I1fb>hf?=0:m874i2df>5<5<i:0?:55+3g09<02<,0;I1fb>hf?=0:m8o4i2df>5<5<i:0?:55+3g09<02<,0;I1fb>hf?=0:m8l4i2df>5<5<:283>5}#1h918;64$2d1>=503-3=o7=;6:086>4<2s-3j?7=ib:J:=a=ii>>1=l;k;h1;`6<622c854651;9j73332800e>m<7;39?j11>3;17o=99783>0<729q/5l=547:8 6`521=;7)79c;10`0=O;ll0bl9;:0c6a>o4nl0;66g>o3890;66g;0083>>i?190;66s|39f0>5<5sW93h>5237;5>6`b3ty854650;0xZ6?>0278:4853gd8yv51==0;6?uQ3777?8511?0?<=5rs2a03?6=:rT8o>94=24:2?2792wx;;850;0xZ201349=5;47919~yg52?h0:684?:1y'=d5=h=:974?!?1k398h85G3dd8jd1328k>j6g>o4no0;66g;0183>>o3880;66a79183>>{e;=46*j:;I1fb>hf?=0:m;>4i2df>5<5<5<484>:483>5}#1h918;64$2d1>=303-3=o7=5<7s-3j?7:98:&0b71/5;m532f6?M5bn2dj;94>a708m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?<0382>0<62m<2;39?l5d;80:66g5<2290;w)7n3;65<>"4n;03>>5+97a976b23A9nj6`n7582e325<5<m<2;296~X4k:801>9m3;1eb>{t;j9:6=4={_1`74=:;>h869>?;|q0g65=838pR>m<3:?03g5=<9;0q~8:5;296~X1=<16?:l<:9;3?x{e;>h96<4::183!?f;3>=46*j:;I1fb>hf?=0:m;;4i2df>5<5<5<4>:482>0}#1h91?kl4H8;g?kg0<3;j:;5f3b10>4<7?5;h1`74<622c8o>>51;9l200=931i?:l>:186>5<7s-3j?7:98:&0b7:1/5;m532f6?M5bn2dj;94>a758m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=l3283>7}Y;j9870=8b080b`=z{:i8>7>52z\0g64<5:=i=7=if:p7f562909wS=l309>72d62=:;7p}:7>52z\513=:;>h:657?;|a72d7280>6=4?{%;b7?2102.8j?48c99'=3e=;:n>7E=jf:lb31<6i?20e>hj:188m6`a2900e9>?:188m1662900c57?:188yg748=0:684>:4y'=d5=;oh0D47k;oc40?7f>01b?n=<:088m6e483;17d=l3382>>o4k:;1=75`64595?=e;>km6=4::183!?f;3>=46*j:;I1fb>hf?=0:m;o4i2df>5<5<5<4?:3y]7f54349vP5<5sW9h??5236ce>1673ty8o>?50;0xZ6e49278;lh54138yv02?3:1>vP9569>72ga213;7psm36cf>4<2290;w)7n3;65<>"4n;05<5<::k0g66=931d:8651;9a721e290>6=4?{%;b7?2102.8j?47629'=3e=;:n>7E=jf:lb31<6i?n0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5d;;0;6?uQ3b11?850?k08jh5rs2a07?6=:rT8o>=4=254f?5an2wx?n=>:181[5d;816?:9m:523?xu4k::1<7;4?>h18=?4}r46=?73twi?:9n:086>5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94>a7g8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?92382>0<626:f;39?l0213;17d=76182>>i40m91=75m39f7>5<2290;w)7n3;65<>"4n;03895+97a976b23A9nj6`n7582e265<5<;<1;`1<4nl1v>6:f;296~X406k4;1eb>{t><31<74=2:g0?2792wx?5j<:181[5?l:16?5j;:9;3?x{e;=3i6<4::183!?f;3>=46*j:;I1fb>hf?=0:m:?4i2df>5<5<5<:483>5}#1h918;64$2d1>=313-3=o7=:086>5<7s-3j?7:98:&0b7a618m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=;b582>0<729q/5l=547:8 6`521?=7)79c;10`0=O;ll0bl9;:0c40>o4nl0;66g>o3890;66g;0083>>i?190;66sm35`6>4<2290;w)7n3;65<>"4n;039;5+97a976b23A9nj6`n7582e235<5<<,:l965;8;%;5g?54l<1C?hh4n`57>4g0>2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a70b7280>6=4?{%;b7?2102.8j?47569'=3e=;:n>7E=jf:lb31<6i>=0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg53j?0:684?:1y'=d5=h=:975?!?1k398h85G3dd8jd1328k<46g>o4no0;66g;0183>>o3880;66a79183>>{e;<386<4::183!?f;3>=46*j:;I1fb>hf?=0:m:74i2df>5<5<5<h>4>:483>5}#1h918;64$2d1>=303-3=o7=5<7s-3j?7:98:&0b7a6`8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=:9582>0<729q/5l=547:8 6`521?<7)79c;10`0=O;ll0bl9;:0c4g>o4nl0;66g>o3890;66g;0083>>i?190;66sm34f7>4<2290;w)7n3;65<>"4n;039:5+97a976b23A9nj6`n7582e2b5<5<<,:l965;9;%;5g?54l<1C?hh4n`57>4g0m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a70?2280>6=4?{%;b7?2102.8j?47569'=3e=;:n>7E=jf:lb31<6i>l0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg52l<0:684?:1y'=d5=h=:974?!?1k398h85G3dd8jd1328k3<6g>o4no0;66g;0183>>o3880;66a79183>>{e;=h26<4::183!?f;3>=46*j:;I1fb>hf?=0:m5?4i2df>5<5<5<:583>5}#1h91m;=4$84`>65c=2B8ik5aa6695d>53`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th8o>751;694?6|,0k86987;%1e6?>7;2.2:n4<3e78L6ca3gk<87?n829j7cc=831b?kh50;9j056=831d44>50;9~f6e4i3;187>50z&:e6<3>11/?k<58118 <0d2:9o96F>83:17pl>o4no0;66g65883>>i0?:0;66sm3b61>4<3290;w)7n3;65<>"4n;03<>5+97a976b23A9nj6`n7582e=05<5<4>:583>5}#1h918;64$2d1>=643-3=o7=50z&:e6<3>11/?k<58118 <0d2:9o96F>83:17pli:0j:>5+97a976b23A9nj6`n7582e=d5<5<:583>5}#1h918;64$2d1>=643-3=o7=7E=jf:lb31<6i1n0e>hj:188m6`a2900e9>?:188k=?72900qo?<0782>0<62i4;39?l77n<0:66g>0g495?=h>?81=75med;94?3=83:p(4o<:54;?!5a:32?86*66b8af4=O;ll0bl9;:0c;b>o4nl0;66g>o3890;66g;0083>>i?190;66s|11d0>5<5sW;;j>52ed;97cca`?=<9:0q~??f783>7}Y99l=70kj9;635>{t>?81<74<2290;w)7n3;65<>"4n;0o68lh1=75f11g`>4<4>::`f`f<72<0;6=u+9`1903><,:l965:;;%;5g?de92B8ik5aa6695d?53`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q24`d=838pR<>jb:?f`f<4nl1v<>jc;296~X68li01hjl:2de?xu68ln1<7;blj0?<=5rs02fa?6=:rT:1663ty=:>4?:3y]235<5lnh657?;|aaad=93?1<7>t$8c0>10?3-9m>79kb:&:2f4g>;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a566>280>6<4:{%;b7?5aj2B25i5aa6695d?33`;;i>4>::k24`2=931b==k::088m46b>3;17b895;39?gcdn3:197>50z&:e6<3>11/?k<58568 <0d2kh:7E=jf:lb31<6i0?0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv77m:0;6?uQ11g0?8cdn39mi6s|11g7>5<5sW;;i952ebd97c`af`=<9;0q~895;296~X1><16inh58828yxdbkl0:684?:1y'=d5=h=:6fa?!?1k3hi=6Fkb;39?l77lj0:66g>0ef95?=n99nn6<44o745>4<=46*52z\24ad<5li96>hj;|q24ae=838pR<>kc:?fg7<4no1v<>kd;296~X68mn01hm=:523?xu68mo1<7;bk;0?<<5rs745>5<5sW<=:63jc38;=5=zukoh=7?55;294~">i:0?:55+3g093ad<,0;I1fb>hf?=0:m474i2df>5<5<5<:482>0}#1h91?kl4H8;g?kg0<3;j5l5f11f0>4<3<2.2:n4mb09K7``5<5sW;;h852ec79056>82wvnhl;:086>5<7s-3j?7:98:&0b7<0lk1/5;m5bc38L6ca3gk<87?n9b9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<=?c;391?7==r.2m>4>i1>10:66lja983>0<729q/5l=547:8 6`521>?7)79c;`a5>N4mo1em::51`;f?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}>0b`94?4|V8:hn63ja980b`=z{8:ho7>52z\24fe<5lk36>hi;|q24fb=838pR<>ld:?fe=<3891v<>le;296~X68jo01ho7:522?xu1>10;6?uQ67:89`g?213;7psme`595?3=83:p(4o<:54;?!5a:3=on6*66b8af4=O;ll0bl9;:0c:b>o4nl0;66g>o3890;66g;0083>>i?190;66sm122g>4<2280>w)7n3;1ef>N>1m1em::51`c3?l77k:0:66g>0b695?=n99i>6<44i02`2?7=3f<=57?5;cg:f?6==3:1gd63A9nj6`n7582ed75<5<j3>;<6s|11a5>5<5sW;;o;52e8`905752z\52<=:m0h144>4}|`f=d<62<0;6=u+9`1903><,:l96:jm;%;5g?de92B8ik5aa6695dg53`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`275c=93?1=7;t$8c0>6`e3A32h6`n7582ed5b290>6=4?{%;b7?2102.8j?47459'=3e=jk;0D>ki;oc40?7fi=1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w46ej3:1>vP>0c`89`>b2:ln7p}>0ca94?4|V8:io63j8d80bc=z{8:ih7>52z\24gb<5l2n69>?;|q24gc=838pR<>me:?f<`<3881v;8n:181[01i27n4h47919~ygc?l3;197>50z&:e6<3>11/?k<57e`8 <0d2kh:7E=jf:lb31<6ih?0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg748o0:684>:4y'=d5=;oh0D47k;oc40?7fi?1b==l<:088m46e<3;17d??b482>>o68k<1=75`67`95?=em1;1<7;50;2x ">>j0in<5G3dd8jd1328kj;6g>o4no0;66g;0183>>o3880;66a79183>>{t99h86=4={_33f6=:m1;1?kk4}r33f1<72;qU==l;;;=6s|67`94?4|V?{zjl2;6<4::183!?f;3>=46*i:08jo5G98f8jd1328kj56g>0``95?=n99kh6<44i02b`?7=3`;;mh4>::m52f<622hn;94?:483>5}#1h918;64$2d1>=233-3=o7lm1:J0ac=ii>>1=lon;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:vP>0`a89`132:lm7p}>0`f94?4|V8:jh63j758745=z{8:ji7>52z\24dc<5l=?69>>;|q52f<72;qU:;m4=d57>=?73twii:=51;794?6|,0k86987;%1e6?1cj2.2:n4mb09K7``?>:086>4<2s-3j?7=ib:J:=a=ii>>1=lol;h33e6<622c:n6;39?j01l3;17ok97;291?6=8r.2m>4;699'7c4=0=>0(48l:c`2?M5bn2dj;94>a`f8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~??a283>7}Y99k870k97;1ea>{t99k?6=4={_33e1=:m?=1?kh4}r33e0<72;qU==o:;vP96e9>a31=00:0qplj6782>0<729q/5l=547:8 6`52>ni7)79c;`a5>N4mo1em::51`cf?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>30095?3=93?p(4o<:2da?M?>l2dj;94>a`d8m46>j3;17d??9b82>>o680n1=75f11;f>4<5<2290;w)7n3;65<>"4n;03895+97a9fg7<@:om7co84;3bf5=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs02:f?6=:rT:<4l4=d7b>6`b3ty:<4m50;0xZ46>k27n9l4l3:1>vP>08f89`3f2=:;7p}>08g94?4|V8:2i63j5`8744=z{?;b=h035=5r}cg6=?7==3:1gd63A9nj6`n7582eg75<5<::k24<0=931d::>51;9aa1b=83?1<7>t$8c0>10?3-9m>76;4:&:2f4ge;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p55?42909wS??929>a1b=;oo0q~??9583>7}Y993?70k;d;1eb>{t993>6=4={_33=0=:m=n18=>4}r33=3<72;qU==79;50;0xZ31734o?h7660:~f`2d280>6=4?{%;b7?2102.8j?48dc9'=3e=jk;0D>ki;oc40?7fj=1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f456=3;197?55z&:e6<4nk1C54j4n`57>4ge=2c:<5l51;9j55>d2800e<>7d;39?l770l0:66a97082>>db<90;684?:1y'=d5=h=:967?!?1k3hi=6F;b<908jh5rs02;g?6=:rT:<5m4=d63>6`a3ty:<5j50;0xZ46?l27n8=4;019~w46?m3:1>vP>09g89`272=::7p}97083>7}Y>>;01h:?:9;3?x{em:l1=7;50;2x ">>j0in<5G3dd8jd1328ki;6g>o4no0;66g;0183>>o3880;66a79183>>{e9:;=6<4::086!?f;39mn6F69e9me22=9hh37d??8282>>o681>1=75f11:6>4<7>55;294~">i:0?:55+3g09<12<,0;I1fb>hf?=0:mo74i2df>5<5<5<4?:3y]55>434o8>7=ie:p55>32909wS??859>a64=;ol0q~??8483>7}Y992>70k<2;634>{t992=6=4={_33<3=:m:818=?4}r446?6=:rT=;?52e209<<6:483>5}#1h918;64$2d1>2be3-3=o7lm1:J0ac=ii>>1=lln;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:?<951;795?3|,0k86>hm;I;:`>hf?=0:mol4i024f?7=3`;;;n4>::k242b=931b==9j:088k3142800nh<9:186>5<7s-3j?7:98:&0b78b;296~X68>h01h<9:2df?xu68>i1<7;b:?08jk5rs024`?6=:rT:<:j4=d05>1673ty:<:k50;0xZ460m27n>;4;009~w3142909wS883:?f634;699'7c4=?mh0(48l:c`2?M5bn2dj;94>acf8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?<1982>0<6284;39?l77?<0:66g>06495?=h>>>1=75me0;94?3=83:p(4o<:54;?!5a:32?86*66b8af4=O;ll0bl9;:0cab>o4nl0;66g>o3890;66g;0083>>i?190;66s|1150>5<5sW;;;>52e0;97cca4?=<9:0q~??7783>7}Y99==70k>9;635>{t>>>1<74<2290;w)7n3;65<>"4n;0o68?h1=75f114`>4<::`f4f<72<0;6=u+9`1903><,:l965:;;%;5g?de92B8ik5aa6695de53`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q243d=838pR<>9b:?f4f<4nl1v<>9c;296~X68?i01h>l:2de?xu68?n1<7;b8j0?<=5rs025a?6=:rT:<;k4=d2`>1663ty=;84?:3y]223<5l:h657?;|aa5d=93?1<7>t$8c0>10?3-9m>79kb:&:2f4gd;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a567f280>6<4:{%;b7?5aj2B25i5aa6695de33`;;:>4>::k2432=931b==8::088m461>3;17b886;39?gban3:197>50z&:e6<3>11/?k<58568 <0d2kh:7E=jf:lb31<6ij?0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv77>:0;6?uQ1140?8ban39mi6s|1147>5<5sW;;:952dgd97c``c`=<9;0q~886;296~X1??16hkh58828yxdcnl0:684?:1y'=d5=h=:6fa?!?1k3hi=6F:b;39?l77=j0:66g>04f95?=n99?n6<44o754>4<=46*n7>52z\240d<5ml96>hj;|q240e=838pR<>:c:?gb7<4no1v<>:d;296~X68;cn;0?<<5rs754>5<5sW<<;63kf38;=5=zuknm=7?55;294~">i:0?:55+3g093ad<,0;I1fb>hf?=0:mn74i2df>5<5<5<:482>0}#1h91?kl4H8;g?kg0<3;jol5f1170>4<87?5;h3310<622c:<8851;9l22>=931ihh;50;794?6|,0k86987;%1e6?>3<2.2:n4mb09K7``5<5sW;;9852dd79056=838pR;97;>82wvnik;:086>5<7s-3j?7:98:&0b7<0lk1/5;m5bc38L6ca3gk<87?ncb9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<=>d;391?7==r.2m>4=931b==:6:088m463i3;17d??4c82>>i1?00:66lkd983>0<729q/5l=547:8 6`521>?7)79c;`a5>N4mo1em::51`af?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}>05:94?4|V8:?463kd980b`=z{8:?57>52z\241?<5mn36>hi;|q241g=838pR<>;a:?g`=<3891v<>;b;296~X68=h01ij7:522?xu1?00;6?uQ66;89ab?213;7psmde595?3=83:p(4o<:54;?!5a:3=on6*66b8af4=O;ll0bl9;:0c`b>o4nl0;66g>o3890;66g;0083>>i?190;66sm123f>4<2280>w)7n3;1ef>N>1m1em::51`f3?l77;00:66g>02c95?=n999i6<44i020g?7=3f<gd63A9nj6`n7582ea75<5<;<6s|111`>5<5sW;;?n52db`905752z\53g=:ljh144>4}|`ggd<62<0;6=u+9`1903><,:l96:jm;%;5g?de92B8ik5aa6695db53`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`274`=93?1=7;t$8c0>6`e3A32h6`n7582ea5=51;9j55532800c;9l:088fadb290>6=4?{%;b7?2102.8j?47459'=3e=jk;0D>ki;oc40?7fl=1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w46493:1>vP>02389adb2:ln7p}>02094?4|V8:8>63kbd80bc=z{8:8?7>52z\2465<5mhn69>?;|q2462=838pR<><4:?gf`<3881v;9l:181[00k27onh47919~ygbel3;197>50z&:e6<3>11/?k<57e`8 <0d2kh:7E=jf:lb31<6im?0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg74:90:684>:4y'=d5=;oh0D47k;oc40?7fl?1b==<6:088m465i3;17d??2c82>>o68;i1=75`66f95?=elk;1<7;50;2x ">>j0in<5G3dd8jd1328ko;6g>o4no0;66g;0183>>o3880;66a79183>>{t99826=4={_336<=:lk;1?kk4}r336d<72;qU==;=6s|66f94?4|V?=o70jm1;::4>{zjmh;6<4::183!?f;3>=46*i:08jo5G98f8jd1328ko56g>03395?=n99896<44i0217?7=3`;;>94>::m53`<622hom>4?:483>5}#1h918;64$2d1>=233-3=o7lm1:J0ac=ii>>1=ljn;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:4vP>03089ag42:lm7p}>03194?4|V8:9?63ka28745=z{8:987>52z\2472<5mk869>>;|q53`<72;qU::k4=ec0>=?73twihl<51;794?6|,0k86987;%1e6?1cj2.2:n4mb09K7``<=:086>4<2s-3j?7=ib:J:=a=ii>>1=ljl;h335<<622c:<>c;39?j00n3;17oj67;291?6=8r.2m>4;699'7c4=0=>0(48l:c`2?M5bn2dj;94>aef8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~??1883>7}Y99;270j67;1ea>{t99;j6=4={_335d=:l0=1?kh4}r335g<72;qU==?m;vP97g9>`<1=00:0qplk9782>0<729q/5l=547:8 6`52>ni7)79c;`a5>N4mo1em::51`ff?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>33195?3=93?p(4o<:2da?M?>l2dj;94>aed8m46693;17d??1382>>o68891=75f1137>4<5<2290;w)7n3;65<>"4n;03895+97a9fg7<@:om7co84;3ba5=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs0225?6=:rT:<6`b3ty:<<<50;0xZ466:27o4l4vP>00189a>f2=:;7p}>00694?4|V8::863k8`8744=z{?2;6=4={_4;4>;c0h035=5r}cf;=?7==3:1gd63A9nj6`n7582e`75<5<::k245e=931d:5?51;9a`2b=83?1<7>t$8c0>10?3-9m>76;4:&:2f4gb;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p556>2909wS??089>`2b=;oo0q~??0`83>7}Y99:j70j8d;1eb>{t99:i6=4={_334g=:l>n18=>4}r334f<72;qU==>l;634n6=4?{%;b7?2102.8j?48dc9'=3e=jk;0D>ki;oc40?7fm=1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f455=3;197?55z&:e6<4nk1C54j4n`57>4gb=2c:<=?51;9j55652800e<>?3;39?l778=0:66a98382>>dc?90;684?:1y'=d5=h=:967?!?1k3hi=6F;c?908jh5rs0236?6=:rT:<=<4=e53>6`a3ty:<==50;0xZ467;27o;=4;019~w467<3:1>vP>01689a172=::7p}98383>7}Y>1801i9?:9;3?x{el?l1=7;50;2x ">>j0in<5G3dd8jd1328kn;6g>o4no0;66g;0183>>o3880;66a79183>>{e9:8=6<4::086!?f;39mn6F69e9me22=9ho37dhi9;39?l`ai3;17dhib;39?l`ak3;17b873;39?gb1:3:197>50z&:e6<3>11/?k<58568 <0d2kh:7E=jf:lb31<6il30e>hj:188m6`a2900e9>?:188m1662900c57?:188yv`a13:1>vPif89>`34=;oo0q~hia;296~Xanh16h;<53gd8yv`aj3:1>vPifc9>`34=<9:0q~hic;296~Xanj16h;<54138yv0?;3:1>vP9829>`34=00:0qplk6082>0<729q/5l=547:8 6`52>ni7)79c;`a5>N4mo1em::51`gb?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>33595?3=93?p(4o<:2da?M?>l2dj;94>ad`8mcce2800ekkl:088mccc2800ekkj:088k3>32800ni;9:186>5<7s-3j?7:98:&0b750z&:e6<3>11/?k<57e`8 <0d2kh:7E=jf:lb31<6iln0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg74:10:684>:4y'=d5=;oh0D47k;oc40?7fml1bjh=51;9jb`2=931bjh;51;9jb`0=931d:5;51;9a`1?=83?1<7>t$8c0>10?3-9m>76;4:&:2f4gbn2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::pb`5=838pRkk<;>82wvni:7:086>5<7s-3j?7:98:&0b7<0lk1/5;m5bc38L6ca3gk<87?nf19j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<==9;391?7==r.2m>4::ke`a<622cmhh4>::m5<3<622ho?n4?:483>5}#1h918;64$2d1>=233-3=o7lm1:J0ac=ii>>1=lh=;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3tymho4?:3y]bad<5m9h6>hj;|qe`f<72;qUjim4=e1`>6`a3tymhi4?:3y]bab<5m9h69>?;|qe``<72;qUjik4=e1`>1663ty=4;4?:3y]2=0<5m9h657?;|a`6d=93?1<7>t$8c0>10?3-9m>79kb:&:2f4ga;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a564f280>6<4:{%;b7?5aj2B25i5aa6695d`33`lo?7?5;hdg0?7=3`lo97?5;hdg2?7=3f<3;7?5;cf1b?6==3:1gd63A9nj6`n7582ec35<5<52d3d97cc52z\e`1=:l;l1?kh4}rdg1?6=:rTmh852d3d905652z\e`3=:l;l18=?4}r4;3?6=:rT=4:52d3d9<<6h4>:483>5}#1h918;64$2d1>2be3-3=o7lm1:J0ac=ii>>1=lh9;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:??l51;795?3|,0k86>hm;I;:`>hf?=0:mk94iga:>4<4<4<=46*;c:;08jh5rsgab>5<5sWlhm63k2380bc=z{oii6=4={_d`f>;c:;0?<=5rsga`>5<5sWlho63k238744=z{?236=4={_4;<>;c:;035=5r}cf15?7==3:1gd63A9nj6`n7582ec?5<5<131=75md0794?3=83:p(4o<:54;?!5a:32?86*66b8af4=O;ll0bl9;:0cef>o4nl0;66g>o3890;66g;0083>>i?190;66s|fb394?4|Voi:70j>5;1ea>{tnj81<75;634>{tnj>1<7;=6s|69;94?4|V?2270j>5;::4>{zjm;?6<4::183!?f;3>=46*i:08jo5G98f8jd1328kmh6giab82>>oaim0:66giad82>>oaio0:66a98`82>>dc8>0;684?:1y'=d5=h=:967?!?1k3hi=6F7}Ynhn01i>8:2de?xuail0;6?uQf`g89a602=:;7p}iag83>7}Ynhl01i>8:522?xu10h0;6?uQ69c89a60213;7psmd1495?3=83:p(4o<:54;?!5a:3=on6*66b8af4=O;ll0bl9;:0ceb>o4nl0;66g>o3890;66g;0083>>i?190;66sm120f>4<12;0N>1m1em::51c23?l420k0:66g=5`a95?=n:::m54l2.2:n4=1228L6ca3gk<87?m009j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?;7f;297?6=8r.2m>4;699'7c4=0130D>ki;oc40?7e8;1b?kk50;9j7c`=831d44>50;9~w73?j3:1>vP=59`8973?m39mj6s|24c`>5<5sW8>mn5224:f>1673ty99o>50;0xZ73e827995k54138yv41?k0;6?uQ275a?8420o08jh5rs344e348>4h47919~w73?n3:1>v3=59g97cc<5;?3j7660:~f77fj3;187>50z&:e6<3>11/?k<57g:8 <0d2;;8<6F>83:17pl=18195?2=83:p(4o<:54;?!5a:3=m46*66b81566<@:om7co84;3a41=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;;i:0?:55+3g093c><,0>1=o>:;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`150`=93>1<7>t$8c0>10?3-9m>79i8:&:2f<59::0D>ki;oc40?7e8?1b?kk50;9j7c`=831b8=>50;9l<<6=831vn?o61;391?6=8r.2m>4;699'7c4=09n0(48l:00;f>N4mo1em::51c24?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=a8295?3=83:p(4o<:54;?!5a:32;h6*66b826=d<@:om7co84;3a4==n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3c;b?7==3:144?j2B8ik5aa6695g6>3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1e=c=93?1<7>t$8c0>10?3-9m>76?d:&:2f<6:1h0D>ki;oc40?7e8h1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7g?l3;197>50z&:e6<3>11/?k<581f8 <0d2883n6F1=7;50;2x ">>j08?i;4H2ge?kg0<3;ii:0?:55+3g093a><,0>1=o>k;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`15de=93>1<7>t$8c0>10?3-9m>79k8:&:2f<59::0D>ki;oc40?7e8l1b?kk50;9j7c`=831b8=>50;9l<<6=831vn??m6;390?6=8r.2m>4;699'7c4=?m20(48l:3304>N4mo1em::51c2e?l5am3:17d=if;29?l2783:17b660;29?xd59k?1=7:50;2x ">>j09=>>4H2ge?kg0<3;i==5f3gg94?=n;ol1<75f41294?=h00:1<75rb33a0?7=<3:177482B8ik5aa6695g763`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9=o=51;694?6|,0k86987;%1e6?1c02.2:n4=1228L6ca3gk<87?m139j7cc=831b?kh50;9j056=831d44>50;9~f77e:3;187>50z&:e6<3>11/?k<57g:8 <0d2;;8<6F>83:17pl=1c395?2=83:p(4o<:54;?!5a:3=m46*66b81566<@:om7co84;3a51=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;;i<7?54;294~">i:0?:55+3g093c><,0>1=o?:;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`15d`=93>1<7>t$8c0>10?3-9m>79i8:&:2f<59::0D>ki;oc40?7e9?1b?kk50;9j7c`=831b8=>50;9l<<6=831vn??ne;390?6=8r.2m>4;699'7c4=?o20(48l:3304>N4mo1em::51c34?l5am3:17d=if;29?l2783:17b660;29?xd590?1=7:50;2x ">>j09=>>4H2ge?kg0<3;i=55f3gg94?=n;ol1<75f41294?=h00:1<75rb33:0?7=<3:177482B8ik5aa6695g7>3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9=4k51;694?6|,0k86987;%1e6?1c02.2:n4=1228L6ca3gk<87?m1`9j7cc=831b?kh50;9j056=831d44>50;9~f77>l3;187>50z&:e6<3>11/?k<57e:8 <0d2;;8<6F>83:17pl=18a95?2=83:p(4o<:54;?!5a:3=o46*66b81566<@:om7co84;3a5f=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;;2n7?54;294~">i:0?:55+3g093a><,0>1=o?k;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`151<7>t$8c0>10?3-9m>79i8:&:2f<59::0D>ki;oc40?7e9l1b?kk50;9j7c`=831b8=>50;9l<<6=831vn??69;390?6=8r.2m>4;699'7c4=?o20(48l:3304>N4mo1em::51c3e?l5am3:17d=if;29?l2783:17b660;29?xd59021=7:50;2x ">>j09=>>4H2ge?kg0<3;i>=5f3gg94?=n;ol1<75f41294?=h00:1<75rb33:3?7=<3:177482B8ik5aa6695g463`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9=4851;694?6|,0k86987;%1e6?1a02.2:n4=1228L6ca3gk<87?m239j7cc=831b?kh50;9j056=831d44>50;9~f770l3;187>50z&:e6<3>11/?k<57e:8 <0d2;;8<6F>83:17pl=16a95?2=83:p(4o<:54;?!5a:3=o46*66b81566<@:om7co84;3a61=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;;3:7?54;294~">i:0?:55+3g093a><,0>1=o<:;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`15=3=93>1<7>t$8c0>10?3-9m>79k8:&:2f<59::0D>ki;oc40?7e:?1b?kk50;9j7c`=831b8=>50;9l<<6=831vn??74;390?6=8r.2m>4;699'7c4=?m20(48l:3304>N4mo1em::51c04?l5am3:17d=if;29?l2783:17b660;29?xd59191=7:50;2x ">>j09=>>4H2ge?kg0<3;i>55f3gg94?=n;ol1<75f41294?=h00:1<75rb33;6?7=<3:177482B8ik5aa6695g4>3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9=5?51;694?6|,0k86987;%1e6?1a02.2:n4=1228L6ca3gk<87?m2`9j7cc=831b?kh50;9j056=831d44>50;9~f77?83;187>50z&:e6<3>11/?k<57g:8 <0d2;;8<6F>83:17pl=16d95?2=83:p(4o<:54;?!5a:3=m46*66b81566<@:om7co84;3a6f=n;oo1<75f3gd94?=n<9:1<75`88294?=zj;;i:0?:55+3g093c><,0>1=o5;h634?6=3f22<7>5;|`12`c=9391<7>t$8c0>10?3-9m>76<6:&:2f<59::0D>ki;oc40?7e:l1b?kk50;9j7c`=831d44>50;9~f70b83;1?7>50z&:e6<3>11/?k<58248 <0d2;;8<6Fm81=7=50;2x ">>j09=>>4H2ge?kg0<3;i?=5f3gg94?=n;ol1<75`88294?=zj;;=97?54;294~">i:0?:55+3g093a><,0>1=o=>;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`1532=93>1<7>t$8c0>10?3-9m>79k8:&:2f<59::0D>ki;oc40?7e;;1b?kk50;9j7c`=831b8=>50;9l<<6=831vn??93;390?6=8r.2m>4;699'7c4=?m20(48l:3304>N4mo1em::51c10?l5am3:17d=if;29?l2783:17b660;29?xd59?81=7:50;2x ">>j09=>>4H2ge?kg0<3;i?95f3gg94?=n;ol1<75f41294?=h00:1<75rb3355?7=<3:177482B8ik5aa6695g523`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9=;>51;694?6|,0k86987;%1e6?1c02.2:n4=1228L6ca3gk<87?m379j7cc=831b?kh50;9j056=831d44>50;9~f771m3;187>50z&:e6<3>11/?k<57e:8 <0d2;;8<6F>83:17pl=17f95?2=83:p(4o<:54;?!5a:3=o46*66b81566<@:om7co84;3a7==n;oo1<75f3gd94?=n<9:1<75`88294?=zj;;=o7?54;294~">i:0?:55+3g093a><,0>1=o=6;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`153d=93>1<7>t$8c0>10?3-9m>79k8:&:2f<59::0D>ki;oc40?7e;h1b?kk50;9j7c`=831b8=>50;9l<<6=831vn??9a;390?6=8r.2m>4;699'7c4=?o20(48l:3304>N4mo1em::51c1a?l5am3:17d=if;29?l2783:17b660;29?xd59?31=7:50;2x ">>j09=>>4H2ge?kg0<3;i?n5f3gg94?=n;ol1<75f41294?=h00:1<75rb33577482B8ik5aa6695g5c3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th9=;951;694?6|,0k86987;%1e6?1a02.2:n4=1228L6ca3gk<87?m3d9j7cc=831b?kh50;9j056=831d44>50;9~f771>3;187>50z&:e6<3>11/?k<57g:8 <0d2;;8<6F>83:17pl>56095?2=93>p(4o<:2da?M?>l2dj;94>b528m7`7:3;17d>o5m=i1=75`2g20>4<54;294~">i:0?:55+3g09<05<,0>1=o:>;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q1b54=838pR?h?2:?1b52=;oo0q~7}Y:o52z\1a1e<5;l;87:?0:p6c642909wS6c63213;7psm147:>4<3280?w)7n3;1ef>N>1m1em::51c61?l4c8k0:66g=d4:95?=n:k?h6<44o3f3g?7=3k8o5}#1h918;64$2d1>=343-3=o76a6c2:ln7p}=d4:94?4|V;n>463=d1f97c`vP=d1a897b7l322<6srb0513?7==3;19v*6a280bg=O10n0bl9;:0`70>o40?31=75f42g`>4<6=4?{%;b7?2102.8j?474c9'=3e=;:n>7E=jf:lb31<6j=?0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5?>00;6?uQ394:?827>>08jh5rs51fg?6=:rT??hm4=5253?5an2wx?5>>:181[5?88168=88:523?xu409=1<7;38?=18=?4}r6322<72;qU8=88;<63220<729q/5l=547:8 6`52>3;7)79c;10`0=O;ll0bl9;:0`72>o4nl0;66g>o3890;66g;0083>>i?190;66sm3627>4<2290;w)7n3;65<>"4n;0<5=5+97a976b23A9nj6`n7582f115<5<::k0g63=931d?:o8:088f61f?3:197>50z&:e6<3>11/?k<58308 <0d2:9o96F27d=ie;29L6e?32c8jk4?::k745<722c?<<4?::m;=5<722wx?46j:181[5>0l16?:o8:2df?xu4k:<1<7;4?h=1?kh4}r1`75<72;qU?n=?;<14e2<3891v>m<5;296~X4k:?01>9n7;635>{t;>k<6=4={_14e2=:;>k<657?;|a72?1280>6=4?{%;b7?2102.8j?48e99'=3e=;:n>7E=jf:lb31<6j=k0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg4f1=0:684?:1y'=d5=h=:6:2?!?1k3;94o5G3dd8jd1328h?n6g>o4no0;66g;0183>>o3880;66a79183>>{e:h396<4::183!?f;3>=46*hf?=0:n9m4i2df>5<5<5<?54>:582>1}#1h91?kl4H8;g?kg0<3;i8i5f41c3>4<hj:188m6`a2900e9>?:188k=?72900q~:?a183>7}Y<9k;7052z\742909wS?m489>6d??2=:;7p}=a8:94?4|V;k2463=a8:9<<6hm;I;:`>hf?=0:n9h4i52b4?7=3`>;5n4>::k2g``=931d>4h<:088f7?a;3:187>50z&:e6<3>11/?k<58218 <0d2883n6F>83:17p};0`294?4|V=:j<63=9g197cc;5n4?:3y]05?d3482j>4vP>cdd897?a;3>;<6s|28d0>5<5sW82j>5228d0>=?73twi=8=8:087>4<3s-3j?7=ib:J:=a=ii>>1=o;>;h63e5<622c?<4m51;9j5g2?2800c?o67;39?g4f1>0;694?:1y'=d5=h=:910?!?1k3;94o5G3dd8jd1328h>>6g>o4no0;66g;0183>>i?190;66s|41c3>5<5sW>;m=522`;4>6`b3ty?<4m50;0xZ16>k279m4953gd8yv7e<10;6?uQ1c6;?84f1>0?<=5rs3c:3?6=:rT9m494=3c:3?>>82wvn<;>1;390?7=4>d51o81<7:50;2x ">>j0:>5l4H2ge?kg0<3;i995f3gg94?=n;ol1<75f41294?=h00:1<75rs52b4?6=:rT?4=3;e6?5am2wx8=7l:181[271j16>4h=:2de?xu6klo1<7;51o818=>4}r0:b7<72;qU>4h=;<0:b71<62=q/5l=53g`8L6c;39?l7e<>0:66a=a8495?=e:h3=6=4;:183!?f;3>=46*hf?=0:n884i2df>5<5<6c;296~X380i01?o66;1eb>{t9k><6=4={_3a02=::h3=69>?;|q1e<0=838pR?o66:?1e<0=00:0qpl>52795?2=93>p(4o<:2da?M?>l2dj;94>b458m16f83;17d:?9b82>>o6j=<1=75`2`;6>4<54;294~">i:0?:55+3g09<65<,0>1=o;7;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q74d6=838pR9>n0:?1e<3=;oo0q~:?9b83>7}Y<93h7052z\2f10<5;k297:?0:p6d?22909wS6d?2213;7psm1417>4<3280?w)7n3;1ef>N>1m1em::51c7:?l27i90:66g;08a95?=n9k>>6<44o3c:7?7=3k8j5>4?:583>5}#1h918;64$2d1>=543-3=o7?=8c9K7``6d?42:ln7p};08a94?4|V=:2o63=a8197c`4;019~w7g>;3:1>vP=a81897g>;322<6srb0704?7=<3;18v*6a280bg=O10n0bl9;:0`6f>o38h:1=75f41;`>4<4;2.2:n4>29`8L6ca3gk<87?m5b9j7cc=831b?kh50;9j056=831d44>50;9~w16f83:1>vP;0`2897g?039mi6s|41;`>5<5sW>;5n522`:;>6`a3ty:n9:50;0xZ4d3<279m5654128yv4f010;6?uQ2`:;?84f01035=5r}c3663<62=0:69u+9`197cd<@03o7co84;3a1a=n<9k;6<44i52:g?7=3`;i8>4>::m1e2b=931i>l9k:187>5<7s-3j?7:98:&0b7b4g8m6`b2900e>hi:188m1672900c57?:188yv27i90;6?uQ41c3?84f?m08jh5rs52:g?6=:rT?<4m4=3c4`?5an2wx=o:<:181[7e<:16>l9k:523?xu5i>n1<7;5i>n144>4}|`2173=93>1=7:t$8c0>6`e3A32h6`n7582f0`4;699'7c4=0:90(48l:00;f>N4mo1em::51c43?l5am3:17d=if;29?l2783:17b660;29?xu38h:1<7;5i>i1?kk4}r63=f<72;qU8=7l;<0b3f<4no1v{t:h=h6=4={_0b3f=::h=h657?;|a5054280?6<4;{%;b7?5aj2B25i5aa6695g063`>;m=4>::k741<729q/5l=547:8 6`521987)79c;31o4nl0;66g>o3890;66a79183>>{t<9k;6=4={_63e5=::h2h6>hj;|q746c:?1e=e=;ol0q~?m5883>7}Y9k?27052z\1e=e<5;k3o7660:~f435:3;187?54z&:e6<4nk1C54j4n`57>4d1;2c?51;9j05?d2800e0:66l=a1594?2=83:p(4o<:54;?!5a:328?6*66b826=d<@:om7co84;3a21=n;oo1<75f3gd94?=n<9:1<75`88294?=z{=:j<7>52z\74d6<5;k;;7=ie:p05?d2909wS:?9b9>6d602:lm7p}>cgd94?4|V8imj63=a159056:5y'=d5=;oh0D47k;oc40?7e><1b8=o?:088m16>k3;17d?m5982>>i5i1h1=75m2`:a>5<3290;w)7n3;65<>"4n;03?>5+97a957>e3A9nj6`n7582f305<5<;m=4?:3y]05g7348j4o4k3:1>vP;08a897g?j39mj6s|1c7;>5<5sW;i95522`:a>1673ty9m5l50;0xZ7g?j279m5l58828yxd6=;;1=7:51;6x n0;39?l271j0:66g>cgg95?=h:h:=6<44b3c32?6=<3:1=4$84`>44?j2B8ik5aa6695g0?3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty?50;0xZ16f8279m=853gg8yv271j0;6?uQ41;`?84f8?08jk5rs0aea?6=:rT:okk4=3c32?2782wx>l>9:181[4f8?16>l>9:9;3?x{e9<9:6<4;:087!?f;39mn6F69e9me22=9k<27d:?a182>>o380i1=75f1c75>4<<,:l965=<;%;5g?750k1C?hh4n`57>4d1i2c8jh4?::k0bc<722c?<=4?::m;=5<722wx8=o?:181[27i916>l66:2df?xu380i1<7;5i131?kh4}r3a13<72;qU=o;9;<0b<<<3891v?o79;296~X5i1301?o79;::4>{zj8?9<7?54;390~">i:08jo5G98f8jd1328h=n6g;0`295?=n<93h6<44i0aeg?7=3f8j<>4>::`1e55=83>1<7>t$8c0>10?3-9m>76<3:&:2f<6:1h0D>ki;oc40?7e>j1b?kk50;9j7c`=831b8=>50;9l<<6=831v9>n0;296~X38h:01?o?3;1ea>{t<93h6=4={_63=f=::h:86>hi;|q2gce=838pR7}Y:h:870>k4>:582>1}#1h91?kl4H8;g?kg0<3;i:i5f41c3>4<0290?6=4?{%;b7?2102.8j?47329'=3e=9;2i7E=jf:lb31<6j?o0e>hj:188m6`a2900e9>?:188k=?72900q~:?a183>7}Y<9k;7052z\746d>02=:;7p}=a9594?4|V;k3;63=a959<<6hm;I;:`>hf?=0:n;h4i52b4?7=3`>;5n4>::k2gcd=931d>l>>:088f7g793:187>50z&:e6<3>11/?k<58218 <0d2883n6F>83:17p};0`294?4|V=:j<63=a1397cc;5n4?:3y]05?d348j<<4vP>cg`897g793>;<6s|2`22>5<5sW8j<<522`22>=?73twi=84<3s-3j?7=ib:J:=a=ii>>1=o9>;h63e5<622c?<4m51;9j5g332800c?o76;39?g4f0?0;694?:1y'=d5=h=:910?!?1k3;94o5G3dd8jd1328h<>6g>o4no0;66g;0183>>i?190;66s|41c3>5<5sW>;m=522`:5>6`b3ty?<4m50;0xZ16>k279m5853gd8yv7e==0;6?uQ1c77?84f0?0?<=5rs3c;2?6=:rT9m584=3c;2?>>82wvn<;>d;390?7=4>d5i9:1<7:50;2x ">>j0:>5l4H2ge?kg0<3;i;95f3gg94?=n;ol1<75f41294?=h00:1<75rs52b4?6=:rT?4=3c34?5am2wx8=7l:181[271j16>l>?:2de?xu6kok1<7;5i9:18=>4}r0b45<72;qU>l>?;<0b451<62=q/5l=53g`8L6c;39?l7e=:0:66a=a9795?=e:h2>6=4;:183!?f;3>=46*hf?=0:n:84i2df>5<5<6c;296~X380i01?o75;1eb>{t9k?86=4={_3a16=::h2>69>?;|q1e=3=838pR?o75:?1e=3=00:0qpl>50a95?2=93>p(4o<:2da?M?>l2dj;94>b658m16f83;17d:?9b82>>o6ko31=75`28de>4<54;294~">i:0?:55+3g09<65<,0>1=o97;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q74d6=838pR9>n0:?1=c`=;oo0q~:?9b83>7}Y<93h70<6fg80bc=z{8im57>52z\2gc?<5;3mj7:?0:p6<`a2909wS<6fg9>6<`a213;7psm140`>4<3280?w)7n3;1ef>N>1m1em::51c5:?l27i90:66g;08a95?=n9k?96<44o3c;0?7=3k8j494?:583>5}#1h918;64$2d1>=543-3=o7?=8c9K7``6d>32:ln7p};08a94?4|V=:2o63=a9697c`vP=a96897g?<322<6srb072f?7=<3;18v*6a280bg=O10n0bl9;:0`4f>o38h:1=75f41;`>4<4;2.2:n4>29`8L6ca3gk<87?m7b9j7cc=831b?kh50;9j056=831d44>50;9~w16f83:1>vP;0`2897?am39mi6s|41;`>5<5sW>;5n5228df>6`a3ty:ok650;0xZ4ea02795kk54128yv4>nl0;6?uQ28df?84>nl035=5r}c366g<62=0:69u+9`197cd<@03o7co84;3a3a=n<9k;6<44i52:g?7=3`;i9<4>::m1e=5=931i>l6<:187>5<7s-3j?7:98:&0b7b6g8m6`b2900e>hi:188m1672900c57?:188yv27i90;6?uQ41c3?84f0:08jh5rs52:g?6=:rT?<4m4=3c;7?5an2wx=o;>:181[7e=816>l6<:523?xu5i191<7;5i19144>4}|`214g=93>1=7:t$8c0>6`e3A32h6`n7582f2`4;699'7c4=0:90(48l:00;f>N4mo1em::51c:3?l5am3:17d=if;29?l2783:17b660;29?xu38h:1<7;51on1?kk4}r63=f<72;qU8=7l;<0:ba<4no1v{t:0lo6=4={_0:ba=::0lo657?;|a504f280?6<4;{%;b7?5aj2B25i5aa6695g>63`>;m=4>::k741<729q/5l=547:8 6`521987)79c;31o4nl0;66g>o3890;66a79183>>{t<9k;6=4={_63e5=::h296>hj;|q746c:?1e=4=;ol0q~?m5183>7}Y9k?;707>52z\1e=4<5;k3>7660:~f43613;187?54z&:e6<4nk1C54j4n`57>4d?;2c?51;9j05?d2800enj0:66l=9ga94?2=83:p(4o<:54;?!5a:328?6*66b826=d<@:om7co84;3a<1=n;oo1<75f3gd94?=n<9:1<75`88294?=z{=:j<7>52z\74d6<5;3mo7=ie:p05?d2909wS:?9b9>6<`d2:lm7p}>cg494?4|V8im:63=9ga9056:5y'=d5=;oh0D47k;oc40?7e0<1b8=o?:088m16>k3;17d?m4g82>>i5i1;1=75m2`:2>5<3290;w)7n3;65<>"4n;03?>5+97a957>e3A9nj6`n7582f=05<5<;m=4?:3y]05g7348j4<4k3:1>vP;08a897g?939mj6s|1c6e>5<5sW;i8k522`:2>1673ty9m5?50;0xZ7g?9279m5?58828yxd6=821=7:51;6x n0;39?l271j0:66g>cg795?=h:0li6<44b3;ef?6=<3:1=4$84`>44?j2B8ik5aa6695g>?3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty?50;0xZ16f82795kl53gg8yv271j0;6?uQ41;`?84>nk08jk5rs0ae1?6=:rT:ok;4=3;ef?2782wx>4hm:181[4>nk16>4hm:9;3?x{e9<836<4;:087!?f;39mn6F69e9me22=9k227d:?a182>>o380i1=75f1c6f>4<<,:l965=<;%;5g?750k1C?hh4n`57>4d?i2c8jh4?::k0bc<722c?<=4?::m;=5<722wx8=o?:181[27i916>l6?:2df?xu380i1<7;5i1:1?kh4}r3a0`<72;qU=o:j;<0b<5<3891v?o70;296~X5i1:01?o70;::4>{zj8?:;7?54;390~">i:08jo5G98f8jd1328h3n6g;0`295?=n<93h6<44i0ae0?7=3f82jl4>::`1=cg=83>1<7>t$8c0>10?3-9m>76<3:&:2f<6:1h0D>ki;oc40?7e0j1b?kk50;9j7c`=831b8=>50;9l<<6=831v9>n0;296~X38h:01?7ia;1ea>{t<93h6=4={_63=f=::0lj6>hi;|q2gc2=838pR7}Y:0lj70<6f`8;=5=zuk;>>:4>:582>1}#1h91?kl4H8;g?kg0<3;i4i5f41c3>4<hj:188m6`a2900e9>?:188k=?72900q~:?a183>7}Y<9k;7052z\746d1a2=:;7p}=a6d94?4|V;khm;I;:`>hf?=0:n5h4i52b4?7=3`>;5n4>::k2gc5=931d>4h6:088f7?a13:187>50z&:e6<3>11/?k<58218 <0d2883n6F>83:17p};0`294?4|V=:j<63=9g;97cc;5n4?:3y]05?d3482j44vP>cg1897?a13>;<6s|28d:>5<5sW82j45228d:>=?73twi=8=n:087>4<3s-3j?7=ib:J:=a=ii>>1=o7>;h63e5<622c?<4m51;9j5g2e2800c?o6a;39?g4f1h0;694?:1y'=d5=h=:910?!?1k3;94o5G3dd8jd1328h2>6g>o4no0;66g;0183>>i?190;66s|41c3>5<5sW>;m=522`;b>6`b3ty?<4m50;0xZ16>k279m4o53gd8yv7e>82wvn<;>4;390?7=4>d51o?1<7:50;2x ">>j0:>5l4H2ge?kg0<3;i595f3gg94?=n;ol1<75f41294?=h00:1<75rs52b4?6=:rT?4=3;e1?5am2wx8=7l:181[271j16>4h::2de?xu6ko;1<7;51o?18=>4}r0:b0<72;qU>4h:;<0:b01<62=q/5l=53g`8L6c;39?l7e=46*hf?=0:n484i2df>5<5<6c;296~X380i01?o69;1eb>{t9k>j6=4={_3a0d=::h3269>?;|q1e50195?2=93>p(4o<:2da?M?>l2dj;94>b858m16f83;17d:?9b82>>o6ko:1=75`28d7>4<54;294~">i:0?:55+3g09<65<,0>1=o77;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q74d6=838pR9>n0:?1=c2=;oo0q~:?9b83>7}Y<93h70<6f580bc=z{8im<7>52z\2gc6<5;3m87:?0:p6<`32909wS<6f59>6<`3213;7psm2bfg>4<3290;w)7n3;65<>"4n;03;h5+97a96fd?3A9nj6`n7582f5<5<:283>5}#1h918;64$2d1>=3f3-3=o7=5<7s-3j?7:98:&0b7b8`8m6`b2900e>hi:188m1672900c57?:188yg7d;j0:694?:1y'=d5=h=:95f?!?1k3;h=:5G3dd8jd1328h2o6g>o4no0;66g;0183>>i?190;66sm16::>4<2280>w)7n3;1ef>N>1m1em::51c;g?l5d:m0:66g82782>>o4?mo1=75f3904>4<<,:l965:<;%;5g?54l<1C?hh4n`57>4d>m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7f4c2909wS=l2e9>7f4b2:ln7p}82783>7}Y?;<01>m=e;1eb>{t;>nn6=4={_14``=:;j8n69>?;|q0<71=838pR>6=7:?0g7c=<9;0q~:7}Y<:ii70=l2d8;=5=zuk9=jn4>:483>5}#1h918;64$2d1>2?73-3=o7=nj6:086>5<7s-3j?7:98:&0b7<0kh1/5;m52b`;?M5bn2dj;94>b`28m6`b2900e>hi:188m1672900e9>>:188k=?72900qo0<729q/5l=547:8 6`52>ij7)79c;0`f==O;ll0bl9;:0`b5>o4nl0;66g>o3890;66g;0083>>i?190;66sm2bf`>4<2290;w)7n3;65<>"4n;05<5<::k74d6=931d>4h>:088f7?a93:197>50z&:e6<3>11/?k<57ec8 <0d2883n6F;51o;1?kk4}r0a4c<72;qU>o>i;<0:b4<4no1v{t<9k;6=4={_63e5=::0l:69>>;|q1=c7=838pR?7i1:?1=c7=00:0qpl>53695?3=93?p(4o<:2da?M?>l2dj;94>b`78m16>k3;17d>o6kli1=75f41c3>4<<,:l96:jn;%;5g?750k1C?hh4n`57>4df>2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p05?d2909wS:?9b9>6d712:ln7p}=b1g94?4|V;h;i63=a0497c`vP;0`2897g6>3>;=6s|2`35>5<5sW8j=;522`35>=?73twi=8<<:086>4<2s-3j?7=ib:J:=a=ii>>1=oo8;h63=f<622c9n=j51;9j5fce2800e9>n0;39?j4f9:0:66l=a0194?3=83:p(4o<:54;?!5a:3=om6*66b826=d<@:om7co84;3ae==n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs52:g?6=:rT?<4m4=3c27?5am2wx>o>k:181[4e8m16>l?<:2de?xu6klh1<7;5i8918=>4}r63e5<72;qU8=o?;<0b56<3881v?o>3;296~X5i8901?o>3;::4>{zj8?:j7?55;391~">i:08jo5G98f8jd1328hj56g;08a95?=n:k:h6<44i0afe?7=3`>;m=4>::m1e54=931i>l>=:186>5<7s-3j?7:98:&0b7<0lh1/5;m513:a?M5bn2dj;94>b`c8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~:?9b83>7}Y<93h7052z\1f5e<5;k;>7=if:p5fcf2909wS?le`9>6d652=:;7p};0`294?4|V=:j<63=a109057:4y'=d5=;oh0D47k;oc40?7eik1b8=7l:088m7d7j3;17d?le882>>o38h:1=75`28d5>4<55;294~">i:0?:55+3g093ag<,0>1=ool;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty?<4m50;0xZ16>k2795k853gg8yv4e8k0;6?uQ2c2a?84>n?08jk5rs0af=?6=:rT:oh74=3;e2?2782wx8=o?:181[27i916>4h9:522?xu51o<1<7;51o<144>4}|`215`=93?1=7;t$8c0>6`e3A32h6`n7582fdbmo0;684?:1y'=d5=h=:6fb?!?1k3;94o5G3dd8jd1328hji6g>o4no0;66g;0183>>o3880;66a79183>>{t<93h6=4={_63=f=::0om6>hj;|q1f5g=838pR?l?a:?1=``=;ol0q~?le983>7}Y9jo370<6eg8745=z{=:j<7>52z\74d6<5;3nj7:?1:p664<2290;w)7n3;65<>"4n;05<5<<,:l96:mn;%;5g?7cjm1C?hh4n`57>4de82c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a5ac6280>6=4?{%;b7?2102.8j?48c`9'=3e=9mho7E=jf:lb31<6jk;0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg7d;10:684?:1y'=d5=h=:6ab?!?1k3;h=:5G3dd8jd1328hi>6g>o4no0;66g;0183>>o3880;66a79183>>{e9j9j6<4::183!?f;3>=46*hf?=0:no=4i2df>5<5<5<:483>5}#1h918;64$2d1>2ef3-3=o7?l169K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``51;794?6|,0k86987;%1e6?>792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``k51;794?6|,0k86987;%1e6?>792.2:n4mb09K7``>51;794?6|,0k86987;%1e6?>792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``51;794?6|,0k86987;%1e6?>792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``51;794?6|,0k86987;%1e6?>792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``o51;794?6|,0k86987;%1e6?>792.2:n4mb09K7``792.2:n4mb09K7``51;794?6|,0k86987;%1e6?>792.2:n4mb09K7``792.2:n4mb09K7``792.2:n4mb09K7``5<7s-3j?7:98:&0b7<01l1/5;m533;6?M5bn2dj;94>be`8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo0<729q/5l=547:8 6`52>3n7)79c;037f=O;ll0bl9;:0`gg>o4nl0;66g>o3890;66g;0083>>i?190;66sm29f1>4<2290;w)7n3;65<>"4n;03>o5+97a962>03A9nj6`n7582fab5<5<::k1171=931d>9hl:088f72ak3:197>50z&:e6<3>11/?k<58228 <0d2;;8<6F;58<7;<07bf<4no1v?8l2;296~X5>j801?:ic;634>{t:<8<6=4={_0662=::=lh69>>;|q10ce=838pR?:ic:?10ce=00:0qpl>6`295?2=93>p(4o<:2da?M?>l2dj;94>bd28m6c5k3;17d=62482>>o409l1=75`3d0g>4<54;294~">i:0?:55+3g09<14<,0
    =k5:J0ac=ii>>1=ok>;h1ea?6=@:i376g>o3890;66a79183>>{t;l8h6=4={_1f6f=:;l8o6>hj;|q0=73=838pR>7=5:?0a7b=;ol0q~=70g83>7}Y;1:m70=j2e8745=z{:o9h7>52z\0a7b<5:o9h7660:~f6?3k3;197>50z&:e6<3>11/?k<57d28 <0d2:9o96F">>j08?i;4H2ge?kg0<3;ii>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:3<57?55;294~">i:0?:55+3g093`6<,0
    =k5:J0ac=ii>>1=ok;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th98?951;694?6|,0k86987;%1e6?1c=2.2:n4=1228L6ca3gk<87?me49j7cc=831b?kh50;9j056=831d44>50;9~f412:3;197?55z&:e6<4nk1C54j4n`57>4db>2c?=ij51;9j6dg42800e?6l6;39?l25>m0:66a;1d295?=e<8o;6=4::183!?f;3>=46*hf?=0:nh94i2df>5<>83:17p};1ef94?4|V=;oh63;1d297cc4?:3y]6dg434>:i=4d>3:1>vP=8b48917b83>;<6s|434g>5<5sW>9:i5240g3>1663ty?=h>50;0xZ17b827?=h>58828yxd6nh91=7;50;2x ">>j0:ill4H2ge?kg0<3;ii55f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:3=:7?54;294~">i:0?:55+3g093`g<,0
    =k5:J0ac=ii>>1=ok6;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`0=32=93?1<7>t$8c0>10?3-9m>79j0:&:2f<4;m?0D>ki;oc40?7emh1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f455n3;187?54z&:e6<4nk1C54j4n`57>4dbj2c9:oo51;9j63d?2800e?8m9;39?j0?k3;17o<:0183>1<729q/5l=547:8 6`521=87)79c;0275=O;ll0bl9;:0`fg>o4nl0;66g>o3890;66a79183>>{t:?hj6=4={_05fd=::<:;6>hj;|q12g>=838pR?8m8:?1156=;ol0q~<9b883>7}Y:?h270<:018745=z{?2h6=4={_4;g>;5=9:144>4}|`2367=93?1=7;t$8c0>6`e3A32h6`n7582f`b;h:4>::k75=0=931d8=mn:088f16c;3:197>50z&:e6<3>11/?k<57c38 <0d2=:ij6Fm7;:k745<722c?<<4?::m;=5<722wx8=ml:181[27kj168=j<:2df?xu10o0;6?uQ69d8916c;39mj6s|41f4>5<5sW>;h:5241f0>1673ty?=5850;0xZ17?>27?<,:l96:m7;%;5g?54l<1C?hh4n`57>4dbn2c8jh4?::k0bc<722c?<=4?:I1`<>=n<9;1<75`88294?=zj8?m=7?55;391~">i:08jo5G98f8jd1328hm<6g99182>>o18;0:66g<5gd95?=n;?l:6<44o24ff?7=3k9=j94?:483>5}#1h918;64$2d1>=2f3-3=o7=50;0xZ3?7349=j947}Y;52z\02c7<5:73`3213;7psmeda95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0`e6>o4nl0;66g>o3890;66g;0083>>i?190;66smed`95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0`e7>o4nl0;66g>o3890;66g;0083>>i?190;66smeed95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0`e0>o4nl0;66g>o3890;66g;0083>>i?190;66smeeg95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0`e1>o4nl0;66g>o3890;66g;0083>>i?190;66smee095?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0`e2>o4nl0;66g>o3890;66g;0083>>i?190;66smee395?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0`e3>o4nl0;66g>o3890;66g;0083>>i?190;66smeb795?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0`e<>o4nl0;66g>o3890;66g;0083>>i?190;66smeb695?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0`e=>o4nl0;66g>o3890;66g;0083>>i?190;66smec:95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0`ee>o4nl0;66g>o3890;66g;0083>>i?190;66smec595?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0`ef>o4nl0;66g>o3890;66g;0083>>i?190;66sme``95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0`eg>o4nl0;66g>o3890;66g;0083>>i?190;66sme`c95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0`e`>o4nl0;66g>o3890;66g;0083>>i?190;66sme8g95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0`ea>o4nl0;66g>o3890;66g;0083>>i?190;66sme8f95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0`eb>o4nl0;66g>o3890;66g;0083>>i?190;66sme8395?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a34>o4nl0;66g>o3890;66g;0083>>i?190;66sme8295?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a35>o4nl0;66g>o3890;66g;0083>>i?190;66sme9695?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a36>o4nl0;66g>o3890;66g;0083>>i?190;66sme9195?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a37>o4nl0;66g>o3890;66g;0083>>i?190;66sme6595?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a30>o4nl0;66g>o3890;66g;0083>>i?190;66sme6495?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a31>o4nl0;66g>o3890;66g;0083>>i?190;66sme7c95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a32>o4nl0;66g>o3890;66g;0083>>i?190;66sme7;95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a33>o4nl0;66g>o3890;66g;0083>>i?190;66sme4f95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a3<>o4nl0;66g>o3890;66g;0083>>i?190;66sme4a95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a3=>o4nl0;66g>o3890;66g;0083>>i?190;66sme4295?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a3e>o4nl0;66g>o3890;66g;0083>>i?190;66sme5d95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a3f>o4nl0;66g>o3890;66g;0083>>i?190;66sme5195?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a3g>o4nl0;66g>o3890;66g;0083>>i?190;66sme5095?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a3`>o4nl0;66g>o3890;66g;0083>>i?190;66sme2795?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a3a>o4nl0;66g>o3890;66g;0083>>i?190;66sme2695?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a3b>o4nl0;66g>o3890;66g;0083>>i?190;66sme3;95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a24>o4nl0;66g>o3890;66g;0083>>i?190;66sme3:95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a25>o4nl0;66g>o3890;66g;0083>>i?190;66sme0a95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a26>o4nl0;66g>o3890;66g;0083>>i?190;66sme0`95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a27>o4nl0;66g>o3890;66g;0083>>i?190;66sme1d95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a20>o4nl0;66g>o3890;66g;0083>>i?190;66sme1g95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a21>o4nl0;66g>o3890;66g;0083>>i?190;66sme1095?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a22>o4nl0;66g>o3890;66g;0083>>i?190;66sme1395?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a23>o4nl0;66g>o3890;66g;0083>>i?190;66smdg795?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a2<>o4nl0;66g>o3890;66g;0083>>i?190;66smdg695?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a2=>o4nl0;66g>o3890;66g;0083>>i?190;66smdd:95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a2e>o4nl0;66g>o3890;66g;0083>>i?190;66smdd595?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a2f>o4nl0;66g>o3890;66g;0083>>i?190;66smde`95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a2g>o4nl0;66g>o3890;66g;0083>>i?190;66smdec95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a2`>o4nl0;66g>o3890;66g;0083>>i?190;66smdbg95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a2a>o4nl0;66g>o3890;66g;0083>>i?190;66smdbf95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a2b>o4nl0;66g>o3890;66g;0083>>i?190;66smdb395?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a14>o4nl0;66g>o3890;66g;0083>>i?190;66smdb295?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a15>o4nl0;66g>o3890;66g;0083>>i?190;66smdc695?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a16>o4nl0;66g>o3890;66g;0083>>i?190;66smdc195?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a17>o4nl0;66g>o3890;66g;0083>>i?190;66smd`495?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a10>o4nl0;66g>o3890;66g;0083>>i?190;66smd`795?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a11>o4nl0;66g>o3890;66g;0083>>i?190;66smd8c95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a12>o4nl0;66g>o3890;66g;0083>>i?190;66smd8;95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a13>o4nl0;66g>o3890;66g;0083>>i?190;66smd9f95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a1<>o4nl0;66g>o3890;66g;0083>>i?190;66smd9a95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a1=>o4nl0;66g>o3890;66g;0083>>i?190;66smd9295?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a1e>o4nl0;66g>o3890;66g;0083>>i?190;66smd6d95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a1f>o4nl0;66g>o3890;66g;0083>>i?190;66smd6195?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a1g>o4nl0;66g>o3890;66g;0083>>i?190;66smd6095?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a1`>o4nl0;66g>o3890;66g;0083>>i?190;66smd7795?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a1a>o4nl0;66g>o3890;66g;0083>>i?190;66smd7695?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a1b>o4nl0;66g>o3890;66g;0083>>i?190;66smd4;95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a04>o4nl0;66g>o3890;66g;0083>>i?190;66smd4:95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a05>o4nl0;66g>o3890;66g;0083>>i?190;66smd5a95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a06>o4nl0;66g>o3890;66g;0083>>i?190;66smd5`95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a07>o4nl0;66g>o3890;66g;0083>>i?190;66smd2d95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a00>o4nl0;66g>o3890;66g;0083>>i?190;66smd2g95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a01>o4nl0;66g>o3890;66g;0083>>i?190;66smd2095?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a02>o4nl0;66g>o3890;66g;0083>>i?190;66smd2395?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a03>o4nl0;66g>o3890;66g;0083>>i?190;66smd3795?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a0<>o4nl0;66g>o3890;66g;0083>>i?190;66smd3695?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a0=>o4nl0;66g>o3890;66g;0083>>i?190;66smd0:95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a0e>o4nl0;66g>o3890;66g;0083>>i?190;66smd0595?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a0f>o4nl0;66g>o3890;66g;0083>>i?190;66smd1c95?3=83:p(4o<:54;?!5a:3=hm6*66b8af4=O;ll0bl9;:0a0g>o4nl0;66g>o3890;66g;0083>>i?190;66smd1;95?3=83:p(4o<:54;?!5a:3=<;6*66b8af4=O;ll0bl9;:0a0`>o4nl0;66g>o3890;66g;0083>>i?190;66sm1210>4<2280>w)7n3;1ef>N>1m1em::51b1f?l40:10:66g=6`f95?=n:?h;6<44i37g`?7=3f<,:l965?9;%;5g?46;91C?hh4n`57>4e4n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p624?2909wS<8299>61`62:ln7p}=6`f94?4|V;vP=5ef8972a93>;=6s|6c`94?4|V?hi70<;f08;=5=zuk9:483>5}#1h918;64$2d1>2e?3-3=o7=5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94>c538m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?92282>0<627<9;39?l518o0:66g<61g95?=h;09=6<44b2;065c=2B8ik5aa6695f243`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0=61=838pR>7<7:?0=6>=;oo0q~=63883>7}Y;09270=63980bc=z{:<;j7>52z\025`<5:3847:?0:p736b2909wS=90d9>7<5?2=::7p}<92494?4|V:38:63<92:9<<6hm;I;:`>hf?=0:o9:4i3;1a?7=3`82>k4>::k15jk:088k7?5l3;17o<63183>0<729q/5l=547:8 6`521887)79c;04<2=O;ll0bl9;:0a71>o4nl0;66g>o3890;66g;0083>>i?190;66s|280f>5<5sW82>h522813>6`b3ty95?h50;0xZ7?5n2795>>53gd8yv4?ll0;6?uQ29ff?84>;90?<=5rs3:g`?6=:rT94ij4=3;04?2792wx>4:m16>4=?:9;3?x{e9?nj6<4::086!?f;39mn6F69e9me22=9j>=7d=i0282>>o4mol1=75f39dg>4<5}#1h918;64$2d1>=243-3=o7=?:2de?xu40on1<7;4n9:18=>4}r467?6=:rT=9>523g23>1663ty8j=>50;0xZ6`78278j=>58828yxd6>lo1=7;51;7x h;b;39?l5a<>0:66g<8gg95?=n><91=75`3g6;>4<55;294~">i:0?:55+3g09<15<,0
    =k5:J0ac=ii>>1=n:6;h1ea?6=@:i376g>o3890;66g;0083>>i?190;66s|3g6a>5<5sW9m8o523g6;>6`b3ty8j9950;0xZ6`3?278j9653gd8yv5?nl0;6?uQ39df?85a<10?<=5rs770>5<5sW<>?63:4y'=d5=;oh0D47k;oc40?7d>o1=:0:66a=46*j:;I1fb>hf?=0:o9l4i2df>5>83:17p}4?:3y]7c04349m:94an3:1>vP<8gd896`1<3>;<6s|64194?4|V??870=i658744=z{:l=87>52z\0b32<5:l=87660:~f40am3;197?55z&:e6<4nk1C54j4n`57>4e3k2c8j5=51;9j7c1a2800e>7?0;39?l02;3;17b=i8182>>d4n1:1<7;50;2x ">>j08?i;4H2ge?kg0<3;h8i5f3gg94?N4k110e>hi:188m1672900e9>>:188k=?72900q~=i8283>7}Y;o2870=i8180b`=z{:l52z\0b2`<5:l3<7=if:p7<672909wS=6019>7c>72=:;7p}95283>7}Y><901>h70;635>{t;o2;6=4={_1e<5=:;o2;657?;|aa`0=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e3m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa`3=93?1<7>t$8c0>10?3-9m>7978:&:2f4e3n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aaa?=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e282c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aaa>=93?1<7>t$8c0>10?3-9m>7978:&:2f4e292c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aafe=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e2:2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aafd=93?1<7>t$8c0>10?3-9m>7978:&:2f4e2;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aag`=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e2<2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aagc=93?1<7>t$8c0>10?3-9m>7978:&:2f4e2=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aag4=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e2>2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aag7=93?1<7>t$8c0>10?3-9m>7978:&:2f4e2?2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aad3=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e202c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aad2=93?1<7>t$8c0>10?3-9m>7978:&:2f4e212c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa<>=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e2i2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa<1=93?1<7>t$8c0>10?3-9m>7978:&:2f4e2j2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa=d=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e2k2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa=g=93?1<7>t$8c0>10?3-9m>7978:&:2f4e2l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa2c=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e2m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa2b=93?1<7>t$8c0>10?3-9m>7978:&:2f4e2n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa27=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e182c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa26=93?1<7>t$8c0>10?3-9m>7978:&:2f4e192c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa32=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e1:2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa35=93?1<7>t$8c0>10?3-9m>7978:&:2f4e1;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa01=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e1<2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa00=93?1<7>t$8c0>10?3-9m>7978:&:2f4e1=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa1g=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e1>2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa1?=93?1<7>t$8c0>10?3-9m>7978:&:2f4e1?2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa6b=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e102c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa6e=93?1<7>t$8c0>10?3-9m>7978:&:2f4e112c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa7`=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e1i2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa7c=93?1<7>t$8c0>10?3-9m>7978:&:2f4e1j2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa75=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e1k2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa74=93?1<7>t$8c0>10?3-9m>7978:&:2f4e1l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa40=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e1m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa43=93?1<7>t$8c0>10?3-9m>7978:&:2f4e1n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa5?=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e082c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::aa5>=93?1<7>t$8c0>10?3-9m>7978:&:2f4e092c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`ce=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e0:2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`cd=93?1<7>t$8c0>10?3-9m>7978:&:2f4e0;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a```=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e0<2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a``c=93?1<7>t$8c0>10?3-9m>7978:&:2f4e0=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a``4=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e0>2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a``7=93?1<7>t$8c0>10?3-9m>7978:&:2f4e0?2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`a3=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e002c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`a2=93?1<7>t$8c0>10?3-9m>7978:&:2f4e012c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`f>=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e0i2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`f1=93?1<7>t$8c0>10?3-9m>7978:&:2f4e0j2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`gd=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e0k2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`gg=93?1<7>t$8c0>10?3-9m>7978:&:2f4e0l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`dc=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e0m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`db=93?1<7>t$8c0>10?3-9m>7978:&:2f4e0n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`d6=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e?82c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`<`=93?1<7>t$8c0>10?3-9m>7978:&:2f4e?92c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`<2=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e?:2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`<5=93?1<7>t$8c0>10?3-9m>7978:&:2f4e?;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`=1=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e?<2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`=0=93?1<7>t$8c0>10?3-9m>7978:&:2f4e?=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`2g=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e?>2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`2?=93?1<7>t$8c0>10?3-9m>7978:&:2f4e??2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`3b=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e?02c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`3e=93?1<7>t$8c0>10?3-9m>7978:&:2f4e?12c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`0`=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e?i2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`0c=93?1<7>t$8c0>10?3-9m>7978:&:2f4e?j2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`05=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e?k2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`04=93?1<7>t$8c0>10?3-9m>7978:&:2f4e?l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`10=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e?m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`13=93?1<7>t$8c0>10?3-9m>7978:&:2f4e?n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`6?=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e>82c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`6>=93?1<7>t$8c0>10?3-9m>7978:&:2f4e>92c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`7e=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e>:2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`7d=93?1<7>t$8c0>10?3-9m>7978:&:2f4e>;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`4`=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e><2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`4c=93?1<7>t$8c0>10?3-9m>7978:&:2f4e>=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`44=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e>>2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`47=93?1<7>t$8c0>10?3-9m>7978:&:2f4e>?2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`52=93?1<7>t$8c0>10?3-9m>76?e:&:2f4e>02c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a`55=93?1<7>t$8c0>10?3-9m>7978:&:2f4e>12c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a74`?280>6=4?{%;b7?2102.8j?48eg9'=3e=;8:>7E=jf:lb31<6k0k0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg50>h0:684?:1y'=d5=h=:6a;?!?1k398h85G3dd8jd1328i2n6g>o4no0;66g;0183>>o3880;66a79183>>{e;>?m6<4::183!?f;3>=46*j:;I1fb>hf?=0:o4m4i2df>5<>83:17pl<7dg95?3=83:p(4o<:54;?!5a:32846*66b807a3<@:om7co84;3`=a=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb043o4?ll1=75f6d`95?=n;1o:6<44i2:f0?7=3f9::`03`b=83?1<7>t$8c0>10?3-9m>76;0:&:2f<4;m?0D>ki;oc40?7d1o1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w61bn3:1>vP<7dd8961bl39mi6s|6d`94?4|V?oi70=8ee80bc=z{:2n=7>52z\0<`7<5:=nh7:?0:p7=c32909wS=7e59>72cc2=::7p}<7da94?4|V:=no63<7df9<<6hm;I;:`>hf?=0:ol>4i2:55?7=3`939k4>::k6a7<622c84;>51;9l7=0f2800n>69b;291?6=8r.2m>4;699'7c4=0>:0(48l:21g1>N4mo1em::51bc2?l5am3:1D>m7;:k0bc<722c?<=4?::k744<722e35=4?::p7=062909wS=7609>7=0e2:ln7p}<84d94?4|V:2>j63<87`97c`7>52z\6a7=:;1?;|q0<36=838pR>690:?0<3d=<9;0q~=76`83>7}Y;1:482>0}#1h91?kl4H8;g?kg0<3;hm?5f1b:2>4<4;699'7c4=0>l0(48l:0fbb>N4mo1em::51bc0?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}>c9394?4|V8i3=63>e3397cc>4?:3y]6g4434;n><4vP>dc;894c593>;<6s|1e`6>5<5sW;on8521d02>1663ty:i?>50;0xZ4c5827:i??58828yxd6<=>1=7;51;7x 0ec0195?=n9j:m6<44o0a4e?7=3k;h;o4?:483>5}#1h918;64$2d1>=1a3-3=o7?l089K7``;6k>h1?kh4}r3`56<72;qU=n?<;<3`3g<3891v{t9j=j6=4={_3`3d=:9j=i657?;|a513c280>6<4:{%;b7?5aj2B25i5aa6695fg13`;n4>4>::k2a2b=931b=h:i:088m7d5;3;17b?j7182>>d6m?l1<7;50;2x ">>j0:i8<4H2ge?kg0<3;hm:5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{8o3?7>52z\2a=5<58o=j7=ie:p5`1c2909wS?j7e9>5`0a2:lm7p}>e5d94?4|V8o?j63>e7d9056>4?:3y]6g4434;n:k4;009~w4c083:1>vP>e62894c1n322<6srb0g2b?7==3:14bfn2B8ik5aa6695fg?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2g2?=93?1<7>t$8c0>10?3-9m>79j3:&:2f<6k930D>ki;oc40?7di01b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6?0j3;197>50z&:e6<3>11/?k<57c08 <0d2:9o96F">>j08?i;4H2ge?kg0<3;hmo5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:?o:7?55;294~">i:0?:55+3g09<01<,0
    =k5:J0ac=ii>>1=nol;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th88oo51;794?6|,0k86987;%1e6?>2>2.2:n4<3e78L6ca3gk<87?lae9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>;67;391?6=8r.2m>4;699'7c4=0<=0(48l:21g1>N4mo1em::51bcf?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<5e595?3=83:p(4o<:54;?!5a:32>;6*66b807a3<@:om7co84;3`ec=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb26:g?7==3:165c=2B8ik5aa6695fd73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`01<>=93?1<7>t$8c0>10?3-9m>76:7:&:2f<4;m?0D>ki;oc40?7dj81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f63c03;197>50z&:e6<3>11/?k<58458 <0d2:9o96F">>j08?i;4H2ge?kg0<3;hn>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:?257?55;294~">i:0?:55+3g09<01<,0
    =k5:J0ac=ii>>1=nl;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th89i751;794?6|,0k86987;%1e6?>2?2.2:n4<3e78L6ca3gk<87?lb49j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>:6e;391?6=8r.2m>4;699'7c4=0<<0(48l:21g1>N4mo1em::51b`5?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<56`95?3=83:p(4o<:54;?!5a:32>;6*66b807a3<@:om7co84;3`f2=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb27af?7==3:165c=2B8ik5aa6695fd?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`00<`=93?1<7>t$8c0>10?3-9m>76:6:&:2f<4;m?0D>ki;oc40?7dj01b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f630k3;197>50z&:e6<3>11/?k<58458 <0d2:9o96F">>j08?i;4H2ge?kg0<3;hno5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:>j<7?55;294~">i:0?:55+3g09<00<,0
    =k5:J0ac=ii>>1=nll;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th89:j51;794?6|,0k86987;%1e6?>2?2.2:n4<3e78L6ca3gk<87?lbe9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>;md;391?6=8r.2m>4;699'7c4=0<=0(48l:21g1>N4mo1em::51b`f?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<4`395?3=83:p(4o<:54;?!5a:32>:6*66b807a3<@:om7co84;3`fc=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb274a?7==3:165c=2B8ik5aa6695fe73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`01gc=93?1<7>t$8c0>10?3-9m>76:7:&:2f<4;m?0D>ki;oc40?7dk81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f62f:3;197>50z&:e6<3>11/?k<58448 <0d2:9o96Fl1=7;50;2x ">>j08?i;4H2ge?kg0<3;ho>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:?ij7?55;294~">i:0?:55+3g09<01<,0
    =k5:J0ac=ii>>1=nm;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th88l=51;794?6|,0k86987;%1e6?>2>2.2:n4<3e78L6ca3gk<87?lc49j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>;70;391?6=8r.2m>4;699'7c4=0<=0(48l:21g1>N4mo1em::51ba5?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<5b295?3=83:p(4o<:54;?!5a:32>;6*66b807a3<@:om7co84;3`g2=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb26b0?7==3:165c=2B8ik5aa6695fe?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`01=7=93?1<7>t$8c0>10?3-9m>76:7:&:2f<4;m?0D>ki;oc40?7dk01b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f63d93;197>50z&:e6<3>11/?k<58458 <0d2:9o96F">>j08?i;4H2ge?kg0<3;hoo5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:?3>7?55;294~">i:0?:55+3g09<01<,0
    =k5:J0ac=ii>>1=nml;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th89n<51;794?6|,0k86987;%1e6?>2?2.2:n4<3e78L6ca3gk<87?lce9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>:n7;391?6=8r.2m>4;699'7c4=0<<0(48l:21g1>N4mo1em::51baf?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<59195?3=83:p(4o<:54;?!5a:32>;6*66b807a3<@:om7co84;3`gc=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb27`7?7==3:165c=2B8ik5aa6695fb73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`00d>=93?1<7>t$8c0>10?3-9m>76:6:&:2f<4;m?0D>ki;oc40?7dl81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f63?<3;197>50z&:e6<3>11/?k<58458 <0d2:9o96F1=7;50;2x ">>j08?i;4H2ge?kg0<3;hh>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:>j57?55;294~">i:0?:55+3g09<00<,0
    =k5:J0ac=ii>>1=nj;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th895851;794?6|,0k86987;%1e6?>2?2.2:n4<3e78L6ca3gk<87?ld49j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>;l6;391?6=8r.2m>4;699'7c4=0<=0(48l:21g1>N4mo1em::51bf5?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<4`c95?3=83:p(4o<:54;?!5a:32>:6*66b807a3<@:om7co84;3``2=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb27;3?7==3:165c=2B8ik5aa6695fb?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`01f1=93?1<7>t$8c0>10?3-9m>76:7:&:2f<4;m?0D>ki;oc40?7dl01b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f62fj3;197>50z&:e6<3>11/?k<58448 <0d2:9o96F">>j08?i;4H2ge?kg0<3;hho5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:?h47?55;294~">i:0?:55+3g09<01<,0
    =k5:J0ac=ii>>1=njl;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th88lm51;794?6|,0k86987;%1e6?>2>2.2:n4<3e78L6ca3gk<87?lde9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>;79;391?6=8r.2m>4;699'7c4=0<=0(48l:21g1>N4mo1em::51bff?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<5b;95?3=83:p(4o<:54;?!5a:32>;6*66b807a3<@:om7co84;3``c=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb26b`?7==3:165c=2B8ik5aa6695fc73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`01=g=93?1<7>t$8c0>10?3-9m>76:7:&:2f<4;m?0D>ki;oc40?7dm81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f63di3;197>50z&:e6<3>11/?k<58458 <0d2:9o96F">>j08?i;4H2ge?kg0<3;hi>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:?3n7?55;294~">i:0?:55+3g09<01<,0
    =k5:J0ac=ii>>1=nk;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th89nl51;794?6|,0k86987;%1e6?>2?2.2:n4<3e78L6ca3gk<87?le49j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>:nf;391?6=8r.2m>4;699'7c4=0<<0(48l:21g1>N4mo1em::51bg5?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<59a95?3=83:p(4o<:54;?!5a:32>;6*66b807a3<@:om7co84;3`a2=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb27`g?7==3:165c=2B8ik5aa6695fc?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`00g6=93?1<7>t$8c0>10?3-9m>76:6:&:2f<4;m?0D>ki;oc40?7dm01b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f63?l3;197>50z&:e6<3>11/?k<58458 <0d2:9o96F">>j08?i;4H2ge?kg0<3;hio5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:>i>7?55;294~">i:0?:55+3g09<00<,0
    =k5:J0ac=ii>>1=nkl;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th895k51;794?6|,0k86987;%1e6?>2?2.2:n4<3e78L6ca3gk<87?lee9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>;le;391?6=8r.2m>4;699'7c4=0<=0(48l:21g1>N4mo1em::51bgf?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<59d95?3=83:p(4o<:54;?!5a:32>;6*66b807a3<@:om7co84;3`ac=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb27`b?7==3:165c=2B8ik5aa6695f`73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`01<7=93?1<7>t$8c0>10?3-9m>76:7:&:2f<4;m?0D>ki;oc40?7dn81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f63c93;197>50z&:e6<3>11/?k<58458 <0d2:9o96F">>j08?i;4H2ge?kg0<3;hj>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:?o>7?55;294~">i:0?:55+3g09<01<,0
    =k5:J0ac=ii>>1=nh;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8i<<51;794?6|,0k86987;%1e6?10m2.2:n4<3e78L6ca3gk<87?lf49j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>h?4;391?6=8r.2m>4;699'7c4=?>o0(48l:21g1>N4mo1em::51bd5?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa6695f`?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0b=2=93?1<7>t$8c0>10?3-9m>798e:&:2f<4;m?0D>ki;oc40?7dn01b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6b3>3;197>50z&:e6<3>11/?k<576g8 <0d2:9o96F">>j08?i;4H2ge?kg0<3;hjo5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:ni:0?:55+3g0932c<,0
    =k5:J0ac=ii>>1=nhl;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8hl<51;794?6|,0k86987;%1e6?10m2.2:n4<3e78L6ca3gk<87?lfe9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>jm7;391?6=8r.2m>4;699'7c4=?>o0(48l:21g1>N4mo1em::51bdf?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa6695a673`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0`c0=93?1<7>t$8c0>10?3-9m>798e:&:2f<4;m?0D>ki;oc40?7c881b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6c7j3;197>50z&:e6<3>11/?k<576g8 <0d2:9o96F">>j08?i;4H2ge?kg0<3;o<>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:o>;7?55;294~">i:0?:55+3g0932c<,0
    =k5:J0ac=ii>>1=i>;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8i;m51;794?6|,0k86987;%1e6?10m2.2:n4<3e78L6ca3gk<87?k049j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>:m3;391?6=8r.2m>4;699'7c4=0<<0(48l:21g1>N4mo1em::51e25?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=ec095?3=83:p(4o<:54;?!5a:3=jh6*66b81`c2<@:om7co84;3g42=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3ga4?7==3:17ba<2B8ik5aa6695a6?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1adc=93?1<7>t$8c0>10?3-9m>79nf:&:2f<5lo>0D>ki;oc40?7c801b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7cfk3;197>50z&:e6<3>11/?k<57`d8 <0d2;nm86F">>j09hk:4H2ge?kg0<3;oi:0?:55+3g093d`<,0>1=i>l;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th9il851;794?6|,0k86987;%1e6?1fn2.2:n4=dg68L6ca3gk<87?k0e9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?kn4;391?6=8r.2m>4;699'7c4=?hl0(48l:3fe0>N4mo1em::51e2f?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=e8:95?3=83:p(4o<:54;?!5a:3=jh6*66b81`c2<@:om7co84;3g4c=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3g:2?7==3:17ba<2B8ik5aa6695a773`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1a<2=93?1<7>t$8c0>10?3-9m>79nd:&:2f<5lo>0D>ki;oc40?7c981b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7c>:3;197>50z&:e6<3>11/?k<57`f8 <0d2;nm86F">>j09hk:4H2ge?kg0<3;o=>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;o3i7?55;294~">i:0?:55+3g093db<,0>1=i?;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th9i5m51;794?6|,0k86987;%1e6?1fl2.2:n4=dg68L6ca3gk<87?k149j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn?k7a;391?6=8r.2m>4;699'7c4=?hn0(48l:3fe0>N4mo1em::51e35?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=e9:95?3=83:p(4o<:54;?!5a:3=jh6*66b81`c2<@:om7co84;3g52=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb3g;=?7==3:17ba<2B8ik5aa6695a7?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`1a=3=93?1<7>t$8c0>10?3-9m>79nf:&:2f<5lo>0D>ki;oc40?7c901b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f7c>i3;197>50z&:e6<3>11/?k<57`f8 <0d2;nm86F">>j09hk:4H2ge?kg0<3;o=o5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;o2j7?55;294~">i:0?:55+3g093db<,0>1=i?l;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8;;h51;794?6|,0k86987;%1e6?1cn2.2:n4<3e78L6ca3gk<87?k1e9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>9:4;391?6=8r.2m>4;699'7c4=?h>0(48l:21g1>N4mo1em::51e3f?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<74095?3=83:p(4o<:54;?!5a:3=oj6*66b807a3<@:om7co84;3g5c=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb2564?7==3:165c=2B8ik5aa6695a473`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`031c=93?1<7>t$8c0>10?3-9m>79kf:&:2f<4;m?0D>ki;oc40?7c:81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f613k3;197>50z&:e6<3>11/?k<57ed8 <0d2:9o96F1=1=7;50;2x ">>j08?i;4H2ge?kg0<3;o>>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:o3=7?55;294~">i:0?:55+3g0932c<,0
    =k5:J0ac=ii>>1=i<;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8i4851;794?6|,0k86987;%1e6?10m2.2:n4<3e78L6ca3gk<87?k249j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>knb;391?6=8r.2m>4;699'7c4=?>o0(48l:21g1>N4mo1em::51e05?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa6695a4?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0a`>=93?1<7>t$8c0>10?3-9m>798e:&:2f<4;m?0D>ki;oc40?7c:01b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6cal3;197>50z&:e6<3>11/?k<576g8 <0d2:9o96F">>j08?i;4H2ge?kg0<3;o>o5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:l?97?55;294~">i:0?:55+3g0932c<,0
    =k5:J0ac=ii>>1=i5;h634?6=3`>;=7>5;n::4?6=3th:88m51;695?2|,0k86>hm;I;:`>hf?=0:h?j4i0g;7?7=3`;n8k4>::k2a2b=931d=h8k:088f4c1m3:187>50z&:e6<3>11/?k<58758 <0d28o>>6F>83:17p}>e9194?4|V8o3?63>e7g97ccvP>e6f894c1m3>;<6s|1d4g>5<5sW;n:i521d4f>=?73twi>ho>:086>5<7s-3j?7:98:&0b7<0im1/5;m52ed7?M5bn2dj;94>d3d8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=82982>0<729q/5l=547:8 6`52>hi7)79c;10`0=O;ll0bl9;:0f04>o4nl0;66g>o3890;66g;0083>>i?190;66sm3605>4<2290;w)7n3;65<>"4n;05<5<<,:l96:lm;%;5g?54l<1C?hh4n`57>4b4:2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7245280>6=4?{%;b7?2102.8j?48bc9'=3e=;:n>7E=jf:lb31<6l:90e>hj:188m6`a2900e9>?:188m1662900c57?:188yg50:90:684?:1y'=d5=h=:6`a?!?1k398h85G3dd8jd1328n886g>o4no0;66g;0183>>o3880;66a79183>>{e;>;n6<4::183!?f;3>=46*j:;I1fb>hf?=0:h>;4i2df>5<5<5<:483>5}#1h918;64$2d1>2de3-3=o7=5<7s-3j?7:98:&0b7d258m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=m6182>0<729q/5l=547:8 6`521;i7)79c;10`0=O;ll0bl9;:0f0<>o4nl0;66g>o3890;66g;0083>>i?190;66sm151:>4<2280>w)7n3;1ef>N>1m1em::51e1:?l4f1k0:66g;0`295?=n<;::`2ec3=83?1<7>t$8c0>10?3-9m>76=e:&:2f<6im20D>ki;oc40?7c;h1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w7g>j3:1>vP=a8`894ga=39mi6s|41c3>5<5sW>;m=521`d6>6`a3ty?>;j50;0xZ141l27:mk;54128yv25>10;6?uQ434;?87fn<0?<<5rs0ce0?6=:rT:mk:4=0ce1?>>82wvn<:j5;391?7==r.2m>49=6:088m14103;17d:=6e82>>i5>>i1=75m275g>5<2290;w)7n3;65<>"4n;03>?5+97a964573A9nj6`n7582`6e5<5<l7l;<053a<4nl1v?:<9;296~X5<:301?88d;1eb>{t<;<36=4={_612==::?=o69>?;|q763b=838pR9<9d:?122b=<9;0q~<97b83>7}Y:?=h70<97e8;=5=zuk;?844>:482>0}#1h91?kl4H8;g?kg0<3;o?i5f2`c2>4<;651;9l5f??2800n4;699'7c4=0;o0(48l:0a4g>N4mo1em::51e1f?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=a`394?4|V;kj=63>c8;97cc;m=4?:3y]05g734;h544vP;27f894e>13>;<6s|434;>5<5sW>9:5521b;:>1663ty:o4650;0xZ4e>027:o4758828yxd6?;h1=7;51;7x 10:66g;27f95?=n<8n?6<44o52`7?7=3k>:?>4?:483>5}#1h918;64$2d1>=453-3=o7:?bg9K7``;39:91?kh4}r612a<72;qU8?8k;<6276<3891v9?k4;296~X39m>019?<3;635>{t<9i86=4={_63g6=:<898657?;|a525e280>6<4:{%;b7?5aj2B25i5aa6695a263`>:n<4>::k74f6=931b8<:7:088m17313;17b:>3782>>d39:=1<7;50;2x ">>j0?52z\75g7<5=;8;7=ie:p05e72909wS:?c19>04502:lm7p};15:94?4|V=;?463;1259056:844?:3y]042>34>:?:4;009~w174>3:1>vP;12489174?322<6srb2042?7==3:167al2B8ik5aa6695a243`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`144b=93?1<7>t$8c0>10?3-9m>79kf:&:2f<6nh>0D>ki;oc40?7c<=1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f77a:3;197>50z&:e6<3>11/?k<57c78 <0d2;;8<6F>7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd5mh91=7;50;2x ">>j09hk:4H2ge?kg0<3;o8;5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:2=47?55;294~">i:0?:55+3g093fd<,0
    =k5:J0ac=ii>>1=i:8;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8mo=51;794?6|,0k86987;%1e6?>4i2.2:n4<98d8L6ca3gk<87?k499j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<9=9;391?7==r.2m>4e;39?j27jm0:66l;0cg94?3=83:p(4o<:54;?!5a:32><6*66b874=?<@:om7co84;3g0d=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs`:;>5<5sWk3463;0cg97cc;nh43m3:1>vP<85g8916em3>;<6s|183f>5<5sW;2=h5241`f>1663ty?ke;39?l260o0:66g;0ed95?=n<83;6<44o4d3>4<55;294~">i:0?:55+3g093<4<,0mf:J0ac=ii>>1=i:l;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty?of010:66g<92795?=n;>oi6<44i0;2a?7=3f939?4>::`0<05=83?1<7>t$8c0>10?3-9m>7693:&:2f<4;m?0D>ki;oc40?7c50;9j057=831d44>50;9~wd>?2909wSo78:?0<05=;oo0q~=63483>7}Y;09>70=75280bc=z{:=nn7>52z\03`d<5:2>?7:?0:p5<7b2909wS?61d9>7=342=::7p}<84094?4|V:2>>63<8419<<69>3;390?6=8r.2m>4;699'7c4=0;n0(48l:21g1>N4mo1em::51e73?l5am3:17d=if;29?l2783:17b660;29?xd6=ok1=7;51;7x 6k9;39?l5?no0:66a<70095?=e;>;96=4::183!?f;3>=46*j:;I1fb>hf?=0:h8<4i2df>5<5<5<52z\670=:;>;96>hj;|q5af<72;qU:hm4=2526?5an2wx?5j6:181[5?l016?:?=:523?xu40ol1<7;4?8818=?4}r1457<72;qU?:?=;<14571<729q/5l=547:8 6`5218o7)79c;10`0=O;ll0bl9;:0f67>o4nl0;66g>o3890;66a79183>>{e9>o40oo1=75`362b>4<55;294~">i:0?:55+3g09<7g<,0
    =k5:J0ac=ii>>1=i;:;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty>?84?:3y]163<5:=;m7=ie:p2`b=838pR;kk;<144d<4no1v>6k9;296~X40m301>9?a;634>{t;1ln6=4={_1;b`=:;>:j69>>;|q035g=838pR>9?a:?035g=00:0qpl<71195?2=83:p(4o<:54;?!5a:329h6*66b807a3<@:om7co84;3g13=n;oo1<75f3gd94?=n<9:1<75`88294?=zj8?m:7?55;391~">i:08jo5G98f8jd1328n>;6g:3482>>o1ml0:66g<8e;95?=n;1lo6<44o2536?7=3k9<5}#1h918;64$2d1>=4f3-3=o7=;50;0xZ052349<7}Y;1n270=8038745=z{:2mh7>52z\07:?1:p72652909wS=8039>7265213;7psm37da>4<3290;w)7n3;65<>"4n;03>i5+97a976b23A9nj6`n7582`0?5<5<j94>:482>0}#1h91?kl4H8;g?kg0<3;o9l5f52795?=n>ll1=75f39f:>4<5i2.2:n4<3e78L6ca3gk<87?k5c9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v8=::181[34=278:k653gg8yv0bn3:1>vP9eg9>73`?2:lm7p}<8e;94?4|V:2o563<6g:9056vP<6g:8960a0322<6srb0102?7==3;19v*6a280bg=O10n0bl9;:0f6g>o618o1=75f434;>4<::`2e13=83?1<7>t$8c0>10?3-9m>76:0:&:2f<61:>0D>ki;oc40?7c=m1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w4?6m3:1>vP>90g894g3=39mi6s|434;>5<5sW>9:5521`66>6`a3ty?>;j50;0xZ141l27:m9;54128yv27i90;6?uQ41c3?87f<<0?<<5rs7d3>5<5sWa579<<6=51;795?3|,0k86>hm;I;:`>hf?=0:h8k4i7d3>4<4<5}#1h918;64$2d1>=033-3=o7?6359K7``50;0xZ3`734;j8944:?2e12=;ol0q~o78;296~Xf0116=l:;:523?xu2m90;6?uQ5d2894g3<3>;=6s|1`67>5<5sW;j89521`67>=?73twi=>=8:086>4<2s-3j?7=ib:J:=a=ii>>1=i8?;h3``4<622c:oi<51;9j5fb42800e0<729q/5l=547:8 6`521>>7)79c;3`ed=O;ll0bl9;:0f55>o4nl0;66g>o3890;66g;0083>>i?190;66s|1bf2>5<5sW;hh<521e0g>6`b3ty:oi<50;0xZ4ec:27:h?j53gd8yv7dl:0;6?uQ1bf0?87c:m0?<=5rs0fa=?6=:rT:ho74=0f1`?2792wx:k?50;0xZ3`634;o>i47919~yg7c:j0:684?:1y'=d5=h=:6d`?!?1k3;hml5G3dd8jd1328n=>6g>o4no0;66g;0183>>o3880;66a79183>>{e9:936<4::086!?f;39mn6F69e9me22=9m<87d?m2c82>>o6j;i1=75f1c0g>4<5}#1h918;64$2d1>=223-3=o7?m059K7``;6j1=1?kh4}r3a6a<72;qU=o3;296~X6k8901{t>o81<7035=5r}c3a<3<62<0;6=u+9`1903><,:l96:hl;%;5g?7e8=1C?hh4n`57>4b1=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a50d428036>4n{%;b7?5aj2B25i5aa6695a013`?:87?5;h7f7?7=3`99mh4>::k1bc2=931b8=o?:088m141l3;17d:=6982>>i4:k81=75m33`1>5<2290;w)7n3;65<>"4n;0<5=5+97a977?23A9nj6`n7582`315<5<<,:l965=9;I1fb>hf?=0:h;64i2df>5<5<5}#1h918;64$2d1>=343A9nj6`n7582`3?5<5<52z\651=:;;h96>hj;|q6a6<72;qU9h=4=20a6?2792wx??oj:181[55il16??l9:2df?xu5no>1<7;4:k<1?kh4}r63e5<72;qU8=o?;<11f=<4nl1v9<9d;296~X3:?n01>{t<;<36=4={_612==:;;h369>?;|q06g4=838pR>7}:;;h96>hi;<11f34=20a>82wvn<=<9;391?7==r.2m>4>i1n=0:66l=6b694?3=83:p(4o<:54;?!5a:32396*66b81566<@:om7co84;3g2g=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs52b7?6=:rT?116>;m;:2de?xu3:?n1<7;5>j>18=>4}r63e7<72;qU8=o=;<05g1<3881v;h;:181[0a<279:n:58828yxd6>o38h:1=75`27a0>4<55;294~">i:0?:55+3g09<66<,0>1=i8k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9m4m50;0xZ7g>k279:n=53gg8yv0a<3:1>vP9f59>63e42:lm7p};0`394?4|V=:j=63=6b19056;m=4?:3y]05g7348=o>4;009~w70d;3:1>vP=6b18970d;322<6srb06f7?7==3;19v*6a280bg=O10n0bl9;:0f5a>o5i0i1=75f6g695?=n<9k;6<44i52b5?7=3f8=:i4>::`123b=83?1<7>t$8c0>10?3-9m>76=e:&:2f<59::0D>ki;oc40?7c>o1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w7g>k3:1>vP=a8a89701l39mi6s|6g694?4|V?l?70<96e80bc=z{=:j<7>52z\74d6<5;<=h7:?0:p05g62909wS:?a09>630c2=::7p}=67f94?4|V;<=h63=67f9<<6l51;795?3|,0k86>hm;I;:`>hf?=0:h:>4i52b5?7=3`>9:54>::k763b=931b8=o=:088k3`12800noo6:186>5<7s-3j?7:98:&0b7n1;296~X38h;01oo6:2df?xu3:?21<7;ei008jk5rs505`?6=:rT?>;j4=cc:>1673ty?0<62n0;39?jdf?3;17oln7;291?6=8r.2m>4;699'7c4=0;o0(48l:`:g?M5bn2dj;94>d618m6`b2900e>hi:188m1672900e9>>:188k=?72900q~7}Y:hk970ln7;1ea>{t>o<1<7{t<9k;6=4={_63e5=:jh=18=?4}r`b3?6=:rTim:52b`59<<6>51;795?3|,0k86>hm;I;:`>hf?=0:h::4i3`17?7=3`>8>l4>::k74a1=931b8<69:088k16d13;17o:?eg83>0<729q/5l=547:8 6`521=>7)79c;63fc=O;ll0bl9;:0f41>o4nl0;66g>o3890;66g;0083>>i?190;66s|2c00>5<5sW8i>>5241ge>6`b3ty???o50;0xZ155i27?0;6?uQ41f4?827mo0?<=5rs53;2?6=:rT?=584=52fb?2792wx8=m6:181[27k0168=ki:9;3?x{e;k:?6<4::183!?f;3>=46*hf?=0:h:84i2df>5<5>83:17pl=f6795?3=83:p(4o<:54;?!5a:3=im6*66b81`c2<@:om7co84;3g32=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb00fg?7=<3;18v*6a280bg=O10n0bl9;:0f4<>o40;o1=75f396;>4<5}#1h918;64$2d1>=4?3-3=o7=69g9K7``7dc72:ln7p}<85:94?4|V:2?463k4?:3y]7=4a349ji=4;019~w0b02909wS;k7:?0e`6=00:0qpl>2df95?2=93>p(4o<:2da?M?>l2dj;94>d6c8m6>303;17d=72d82>>o40;l1=75`5e:95?=e;hno6=4;:183!?f;3>=46*hf?=0:h:l4i2df>5<5<6=e;296~X40;o01>okd;1eb>{t;18m6=4={_1;6c=:;hno69>?;|q6`=<72;qU9i64=2cg`?>>82wvn<4=931b?55m3;17b;ka;39?g5flh0;694?:1y'=d5=h=:910?!?1k3925k5G3dd8jd1328n>o4no0;66g;0183>>i?190;66s|396;>5<5sW9385523`fb>6`b3ty84?h50;0xZ6>5n278mio53gd8yv5?:l0;6?uQ390f?85flh0?<=5rs4fb>5<5sW?om63k851;695?2|,0k86>hm;I;:`>hf?=0:h:k4i25ef?7=3`93>h4>::k0<7`=931d9h751;9a7c?d290?6=4?{%;b7?2102.8j?47329'=3e=;:n>7E=jf:lb31<6l>l0e>hj:188m6`a2900e9>?:188k=?72900q~=8fc83>7}Y;>li70=i9b80b`=z{:29i7>52z\0<7c<5:l2o7=if:p7=4a2909wS=72g9>7c?d2=:;7p}:e883>7}Y=l301>h6c;::4>{zj8<2h7?55;391~">i:08jo5G98f8jd1328n3<6g<85095?=n<;2<6<44i2:35?7=3`>95>4>::m0a77=931i?h<>:186>5<7s-3j?7:98:&0b7d938m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=74383>7}Y;1>970=j2080b`=z{=83;7>52z\76=1<5:o9=7=if:p7=662909wS=7009>7`462=:;7p};28194?4|V=82?63<4?:3y]7`46349n><47919~yg71ll0:684>:4y'=d5=;oh0D47k;oc40?7c0;1b?5:=:088m14??3;17d=70082>>o3:0o1=75`3g30>4<55;294~">i:0?:55+3g09<74<,0
    =k5:J0ac=ii>>1=i6<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty849<50;0xZ6>3:278j<=53gg8yv250>0;6?uQ43:4?85a9:08jk5rs2:35?6=:rT84=?4=2d27?2782wx8?7j:181[251l16?k?<:522?xu4n891<7;4n89144>4}|`22c4=93?1=7;t$8c0>6`e3A32h6`n7582`=27?5;h61<2<622c84=?51;9j07g62800c>h:b;39?g5a=k0;684?:1y'=d5=h=:901?!?1k398h85G3dd8jd1328n396g>o4no0;66g;0183>>o3880;66a79183>>{t;1>96=4={_1;07=:;o?i6>hj;|q76=1=838pR9<77:?0b0d=;ol0q~=70083>7}Y;1::70=i5c8745=z{=8j=7>52z\76d7<5:l>n7:?1:p7c3e2909wS=i5c9>7c3e213;7psm17db>4<2280>w)7n3;1ef>N>1m1em::51e:5?l5?<;0:66g;29595?=n;1::6<44i50b6?7=3f9m;:4>::`0b21=83?1<7>t$8c0>10?3-9m>76=2:&:2f<4;m?0D>ki;oc40?7c0>1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6>3:3:1>vP<850896`0?39mi6s|43:4>5<5sW>94:523g54>6`a3ty84=?50;0xZ6>79278j:954128yv25i;0;6?uQ43c1?85a?>0?<<5rs2d43?6=:rT8j:94=2d43?>>82wvn<9?2;391?7==r.2m>4793;17d:=a282>>i4n091=75m3g;0>5<2290;w)7n3;65<>"4n;03>?5+97a976b23A9nj6`n7582`=?5<5<h63;1eb>{t;1::6=4={_1;44=:;o3869>?;|q76d5=838pR97}Y;o3870=i928;=5=zuk;<=94>:482>0}#1h91?kl4H8;g?kg0<3;o4l5f3961>4<l851;9l7cc42800n>hj3;291?6=8r.2m>4;699'7c4=0;80(48l:21g1>N4mo1em::51e:a?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<85094?4|V:2?>6394:4?:3y]07>0349mi>4793:1>vP<813896`b;3>;<6s|43c5>5<5sW>9m;523gg0>1663ty8jh=50;0xZ6`b;278jh=58828yxd6?8h1=7;51;7x 6;2;39?l250>0:66g<81395?=n<;k<6<44o2dea?7=3k9mjh4?:483>5}#1h918;64$2d1>=453-3=o7=;4noo1?kh4}r1;44<72;qU?5>>;<1eb`<3891v9hie;635>{t;oln6=4={_1eb`=:;oln657?;|a5300280>6<4:{%;b7?5aj2B25i5aa6695a>b3`938?4>::k76=1=931b?5>>:088m14?13;17b=k2782>>d4l;<1<7;50;2x ">>j08?i;4H2ge?kg0<3;o4k5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:2?>7>52z\0<14<5:n9:7=ie:p07>02909wS:=869>7a412:lm7p}<81394?4|V:2;=639444?:3y]07>>349o>;4;009~w6b5>3:1>vP322<6srb045`?7==3;19v*6a280bg=O10n0bl9;:0f:4>o40=81=75f43:4>4<6=4?{%;b7?2102.8j?47239'=3e=;:n>7E=jf:lb31<6l0;0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5?<;0;6?uQ3961?85c<808jh5rs50;3?6=:rT?>594=2f75?5an2wx?5>>:181[5?8816?i:>:523?xu3:1k1<7;4l=;18=?4}r1g04<72;qU?i:>;<1g040<6265c=2B8ik5aa6695a?43`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0<14=838pR>6;2:?0`0?=;oo0q~:=8683>7}Y<;2<70=k5880bc=z{:2;=7>52z\0<57<5:n>57:?0:p07>e2909wS:=8c9>7a3>2=::7p}563hm;I;:`>hf?=0:h4:4i2:76?7=3`>94:4>::k0<57=931b8?6l:088k6b0=3;17o=k7483>0<729q/5l=547:8 6`521897)79c;10`0=O;ll0bl9;:0f:1>o4nl0;66g>o3890;66g;0083>>i?190;66s|3961>5<5sW938?523e56>6`b3ty?>5950;0xZ14??278h:;53gd8yv5?880;6?uQ3922?85c?<0?<=5rs50;g?6=:rT?>5m4=2f41?2792wx?i9::181[5c?<16?i9::9;3?x{e9?=n6<4::086!?f;39mn6F69e9me22=9m3=7d=74382>>o3:1=1=75f3922>4<5:2.2:n4<3e78L6ca3gk<87?k969j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>6;2;296~X40=801>j7f;1ea>{t<;2<6=4={_61<2=:;m2m6>hi;|q0<57=838pR>6?1:?0`=`=<9:0q~:=8e83>7}Y<;2o70=k8g8744=z{:n3j7>52z\0`=`<5:n3j7660:~f40?;3;197?55z&:e6<4nk1C54j4n`57>4b>02c849<51;9j07>02800e>6?1;39?l250l0:66a=46*j:;I1fb>hf?=0:h474i2df>5<5<5<vP;295896bf<39mj6s|3922>5<5sW93<<523ec7>1673ty?>5k50;0xZ14?m278hl:54138yv5ci=0;6?uQ3ec7?85ci=035=5r}c35<=<62<0:68u+9`197cd<@03o7co84;3g=d=n;1>96<44i50;3?7=3`93<<4>::k76=`=931d?il6:088f6be13:197>50z&:e6<3>11/?k<58308 <0d2:9o96F;4lk31?kk4}r61<2<72;qU8?68;<1gf<<4no1v>6?1;296~X409;01>jm9;634>{t<;2m6=4={_61>;|q0`g?=838pR>jm9:?0`g?=00:0qpl>69a95?3=93?p(4o<:2da?M?>l2dj;94>d8a8m6>3:3;17d:=8682>>o409;1=75f43;3>4<<,:l965<=;%;5g?54l<1C?hh4n`57>4b>l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7=252909wS=7439>7aeb2:ln7p};29594?4|V=83;6383:1>vP;282896bdm3>;=6s|3eaf>5<5sW9ooh523eaf>=?73twi=;7>:086>4<2s-3j?7=ib:J:=a=ii>>1=i7j;h1;07<622c?>5951;9j7=662800e9<61;39?j5cm:0:66l6*66b807a3<@:om7co84;3g=c=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs2:76?6=:rT849<4=2ff7?5am2wx8?68:181[250>16?ik<:2de?xu409;1<7;4ll918=>4}r61=4<72;qU8?7>;<1ga6<3881v>jj3;296~X4ll901>jj3;::4>{zj8<2:7?55;391~">i:08jo5G98f8jd1328nj<6g<85095?=n<;2<6<44i2:35?7=3`>95?4>::m0`c>=931i?ih7:186>5<7s-3j?7:98:&0b7d`38m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=74383>7}Y;1>970=kf980b`=z{=83;7>52z\76=1<5:nm47=if:p7=662909wS=7009>7a`?2=:;7p};28094?4|V=82>63:4y'=d5=;oh0D47k;oc40?7ci;1b?5:=:088m14??3;17d=70082>>o3:0>1=75`3d0e>4<55;294~">i:0?:55+3g09<74<,0
    =k5:J0ac=ii>>1=io<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty849<50;0xZ6>3:278i?h53gg8yv250>0;6?uQ43:4?85b:o08jk5rs2:35?6=:rT84=?4=2g1b?2782wx8?7;:181[251=16?h;4m;l144>4}|`22d0=93?1=7;t$8c0>6`e3A32h6`n7582`d27?5;h61<2<622c84=?51;9j07?22800c>k;4;39?g5b<=0;684?:1y'=d5=h=:901?!?1k398h85G3dd8jd1328nj96g>o4no0;66g;0183>>o3880;66a79183>>{t;1>96=4={_1;07=:;l>?6>hj;|q76=1=838pR9<77:?0a12=;ol0q~=70083>7}Y;1::70=j458745=z{=8297>52z\76<3<5:o?87:?1:p7`232909wS=j459>7`23213;7psm17ca>4<2280>w)7n3;1ef>N>1m1em::51ec5?l5?<;0:66g;29595?=n;1::6<44i50:2?7=3f9n944>::`0a0?=83?1<7>t$8c0>10?3-9m>76=2:&:2f<4;m?0D>ki;oc40?7ci>1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6>3:3:1>vP<850896c2139mi6s|43:4>5<5sW>94:523d7:>6`a3ty84=?50;0xZ6>79278i8754128yv251?0;6?uQ43;5?85b=00?<<5rs2g6=?6=:rT8i874=2g6=?>>82wvn<8m1;391?7==r.2m>4793;17d:=9682>>i4m?o1=75m3d4f>5<2290;w)7n3;65<>"4n;03>?5+97a976b23A9nj6`n7582`d?5<5<k9e;1eb>{t;1::6=4={_1;44=:;l?;|q76<1=838pR9<67:?0a3c=<9;0q~=j6d83>7}Y;l:482>0}#1h91?kl4H8;g?kg0<3;oml5f3961>4<4651;9l7`>42800n>k73;291?6=8r.2m>4;699'7c4=0;80(48l:21g1>N4mo1em::51eca?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<85094?4|V:2?>6394:4?:3y]07>0349n4>4793:1>vP<813896c?;3>;<6s|43;;>5<5sW>955523d:0>1663ty8i5=50;0xZ6c?;278i5=58828yxd6>kn1=7;51;7x 6;2;39?l250>0:66g<81395?=n<;326<44o2g:5}#1h918;64$2d1>=453-3=o7=;4m021?kh4}r1;44<72;qU?5>>;<1f==<3891v9<69;296~X3:0301>k68;635>{t;l336=4={_1f===:;l33657?;|a53e4280>6<4:{%;b7?5aj2B25i5aa6695agb3`938?4>::k76=1=931b?5>>:088m14>i3;17b=jae82>>d4mhn1<7;50;2x ">>j08?i;4H2ge?kg0<3;omk5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:2?>7>52z\0<14<5:ojh7=ie:p07>02909wS:=869>7`gc2:lm7p}<81394?4|V:2;=6395l4?:3y]07?f349nmi4;009~w6cfl3:1>vP65c=2B8ik5aa6695ad73`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th::nk51;795?3|,0k86>hm;I;:`>hf?=0:ho?4i2:76?7=3`>94:4>::k0<57=931b8?7l:088k6cc=3;17o=jd483>0<729q/5l=547:8 6`521897)79c;10`0=O;ll0bl9;:0fa6>o4nl0;66g>o3890;66g;0083>>i?190;66s|3961>5<5sW938?523df6>6`b3ty?>5950;0xZ14??278ii;53gd8yv5?880;6?uQ3922?85bl<0?<=5rs50:g?6=:rT?>4m4=2gg1?2792wx?hj::181[5bl<16?hj::9;3?x{e9?n?6<4::086!?f;39mn6F69e9me22=9mh87d=74382>>o3:1=1=75f3922>4<5:2.2:n4<3e78L6ca3gk<87?kb59j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>6;2;296~X40=801>kja;1ea>{t<;2<6=4={_61<2=:;loj6>hi;|q0<57=838pR>6?1:?0a`g=<9:0q~:=9e83>7}Y<;3o70=je`8744=z{:onm7>52z\0a`g<5:onm7660:~f40b:3;197?55z&:e6<4nk1C54j4n`57>4be=2c849<51;9j07>02800e>6?1;39?l251o0:66a=46*j:;I1fb>hf?=0:ho84i2df>5<5<5<vP;295896`6j39mj6s|3922>5<5sW93<<523g3a>1673ty?>4h50;0xZ14>n278j96<44i50;3?7=3`93<<4>::k76d6=931d?k=?:088f6`483:197>50z&:e6<3>11/?k<58308 <0d2:9o96F;4n::1?kk4}r61<2<72;qU8?68;<1e75<4no1v>6?1;296~X409;01>h<0;634>{t<;k;6=4={_61e5=:;o9;69>>;|q0b66=838pR>h<0:?0b66=00:0qpl>67095?3=93?p(4o<:2da?M?>l2dj;94>dc;8m6>3:3;17d:=8682>>o409;1=75f43:;>4<<,:l965<=;%;5g?54l<1C?hh4n`57>4bei2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7=252909wS=7439>7a7>2:ln7p};29594?4|V=83;63vP;29:896b613>;=6s|3e3:>5<5sW9o=4523e3:>=?73twi>hl<:080>5<7s-3j?7:98:&0b7dc`8m6`b2900e>hi:188k=?72900qo6<729q/5l=547:8 6`521?j7)79c;0gb1=O;ll0bl9;:0fag>o4nl0;66g>i?190;66sm2da0>4<4290;w)7n3;65<>"4n;039l5+97a96a`33A9nj6`n7582`gb5<<,:l965;n;%;5g?4cn=1C?hh4n`57>4bem2c8jh4?::k0bc<722e35=4?::a6`e228086=4?{%;b7?2102.8j?475`9'=3e=:ml?7E=jf:lb31<6lkl0e>hj:188m6`a2900c57?:188yg4bk?0:6>4?:1y'=d5=h=:97b?!?1k38oj95G3dd8jd1328nh<6g>o4no0;66a79183>>{e:li<6<4<:183!?f;3>=46*ih;;I1fb>hf?=0:hn?4i2df>5<5<:283>5}#1h918;64$2d1>=3f3-3=o7n>;:080>5<7s-3j?7:98:&0b7db18m6`b2900e>hi:188k=?72900qo6<729q/5l=547:8 6`521?j7)79c;0gb1=O;ll0bl9;:0f`0>o4nl0;66g>i?190;66sm2b2a>4<4290;w)7n3;65<>"4n;039l5+97a96g423A9nj6`n7582`f35<<,:l965;n;%;5g?4cn=1C?hh4n`57>4bd>2c8jh4?::k0bc<722e35=4?::a5162280>6<4:{%;b7?5aj2B25i5aa6695ae03`k347?5;h612=<622c?>;j51;9j5<7b2800c<>;5;39?g77<<0;684?:1y'=d5=h=:973?!?1k3hi=6F5<5sW>9:5521166>6`a3ty?>;j50;0xZ141l27:<9;54128yv7>9l0;6?uQ183f?877<<0?<<5rs0271?6=:rT:<9;4=0271?>>82wvn?m?c;397?6=8r.2m>4;699'7c4=0N4mo1em::51ea:?l5am3:17d=if;29?j>>83:17pl=ec695?5=83:p(4o<:54;?!5a:32>m6*66b81`c2<@:om7co84;3ggd=n;oo1<75f3gd94?=h00:1<75rb3a3`?7=;3:17d5=2B8ik5aa6695aee3`9mi7>5;h1eb?6=3f22<7>5;|`1ag3=9391<7>t$8c0>10?3-9m>76:a:&:2f<5lo>0D>ki;oc40?7ckj1b?kk50;9j7c`=831d44>50;9~f7e7m3;1?7>50z&:e6<3>11/?k<584c8 <0d2;h996F">>j09hk:4H2ge?kg0<3;ooh5f3gg94?=n;ol1<75`88294?=zj;i;j7?53;294~">i:0?:55+3g09<0g<,0>1=imi;h1ea?6=3`9mj7>5;n::4?6=3th9io951;194?6|,0k86987;%1e6?>2i2.2:n4=dg68L6ca3gk<87?kd19j7cc=831b?kh50;9l<<6=831vn?m>0;397?6=8r.2m>4;699'7c4=0N4mo1em::51ef2?l5am3:17d=if;29?j>>83:17pl=ec:95?5=83:p(4o<:54;?!5a:32>m6*66b81`c2<@:om7co84;3g`7=n;oo1<75f3gd94?=h00:1<75rb077g?7==3;19v*6a280bg=O10n0bl9;:0fg7>o38h;1=75f2b;3>4<6=4?{%;b7?2102.8j?47229'=3e=:k8?7E=jf:lb31<6lm>0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv27i80;6?uQ41c2?84alk08jh5rs3a:4?6=:rT9o4>4=3dgf?5an2wx8=o?:181[27i916>kjm:523?xu38h<1<7;5nmh18=?4}r0bb2<72;qU>lh8;<0e`g0<627d5<2B8ik5aa6695ab13`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q74d7=838pR9>n1:?1bag=;oo0q~7}Y:j2m7052z\74d6<5;lom7:?0:p05g12909wS:?a79>6cbf2=::7p}=ag494?4|V;km:63=fec9<<6hm;I;:`>hf?=0:hi94i52b5?7=3`8h4i4>::k74d6=931b8=o9:088k7ga<3;17o0<729q/5l=547:8 6`521887)79c;0a61=O;ll0bl9;:0fg<>o4nl0;66g>o3890;66g;0083>>i?190;66s|41c2>5<5sW>;m<522gf5>6`b3ty9o5j50;0xZ7e?l279ji853gd8yv27i90;6?uQ41c3?84al?0?<=5rs52b2?6=:rT?lh;:181[4fn=16>kj9:9;3?x{e9<>26<4::086!?f;39mn6F69e9me22=9mn27d:?a082>>o5k1i1=75f41c3>4<5;2.2:n4=b368L6ca3gk<87?kd`9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v9>n1;296~X38h;01?hk5;1ea>{t:j2h6=4={_0`6>hi;|q74d6=838pR9>n0:?1ba3=<9:0q~:?a783>7}Y<9k=7052z\1ec5<5;lo97660:~f43303;197?55z&:e6<4nk1C54j4n`57>4bcj2c?e2800e9>n0;39?l27i?0:66a=ag095?=e:on?6=4::183!?f;3>=46*o<;;I1fb>hf?=0:him4i2df>5<5<5<;m<4?:3y]05g6348mh94vP=c9`897`c<39mj6s|41c3>5<5sW>;m=522gf7>1673ty?279ji:54138yv4fn;0;6?uQ2`d1?84al=035=5r}c3602<62<0:68u+9`197cd<@03o7co84;3g`a=n<9k:6<44i3a;e?7=3`>;m=4>::k74d0=931d>lh>:088f7`c;3:197>50z&:e6<3>11/?k<58318 <0d2;h986F;5nm91?kk4}r0`n6n;<0e`6<4no1v9>n0;296~X38h:01?hk3;634>{t<9k=6=4={_63e3=::on869>>;|q1ec7=838pR?oi1:?1ba5=00:0qpl>55495?3=93?p(4o<:2da?M?>l2dj;94>ded8m16f93;17d>o38h:1=75f41c5>4<<,:l965<<;%;5g?4e:=1C?hh4n`57>4bb82c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p05g62909wS:?a09>6cb52:ln7p}=c9;94?4|V;i3563=fe097c`;m=4?:3y]05g7348mh?4;019~w16f>3:1>vP;0`4897`c:3>;=6s|2`d3>5<5sW8jj=522gf1>=?73twi=8:::086>4<2s-3j?7=ib:J:=a=ii>>1=ik>;h63e4<622c9o5651;9j05g72800e9>n6;39?j4fmo0:66l=fe394?3=83:p(4o<:54;?!5a:329?6*66b81f72<@:om7co84;3ga7=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs52b5?6=:rT?n67:181[4d0116>kj>:2de?xu38h:1<7;5nm;18=>4}r63e3<72;qU8=o9;<0e`4<3881v?ojf;296~X5ill01?hk1;::4>{zj;i:=7?53;294~">i:0?:55+3g09<0g<,0>1=ik<;h1ea?6=3`9mj7>5;n::4?6=3th9io751;194?6|,0k86987;%1e6?>2i2.2:n4=dg68L6ca3gk<87?ke59j7cc=831b?kh50;9l<<6=831vn?m>2;397?6=8r.2m>4;699'7c4=0N4mo1em::51eg6?l5am3:17d=if;29?j>>83:17pl=ecc95?5=83:p(4o<:54;?!5a:32>m6*66b81`c2<@:om7co84;3ga3=n;oo1<75f3gd94?=h00:1<75rb3a27?7=;3:17d5=2B8ik5aa6695ac03`9mi7>5;h1eb?6=3f22<7>5;|`1agd=9391<7>t$8c0>10?3-9m>76:a:&:2f<5lo>0D>ki;oc40?7cm11b?kk50;9j7c`=831d44>50;9~f7e7=3;1?7>50z&:e6<3>11/?k<584c8 <0d2;h996F">>j09hk:4H2ge?kg0<3;oil5f3gg94?=n;ol1<75`88294?=zj;i;:7?53;294~">i:0?:55+3g09<0g<,0>1=ikm;h1ea?6=3`9mj7>5;n::4?6=3th9ioj51;194?6|,0k86987;%1e6?>2i2.2:n4=dg68L6ca3gk<87?keb9j7cc=831b?kh50;9l<<6=831vn?m?7;397?6=8r.2m>4;699'7c4=0N4mo1em::51egg?l5am3:17d=if;29?j>>83:17pl=ecd95?5=83:p(4o<:54;?!5a:32>m6*66b81`c2<@:om7co84;3ga`=n;oo1<75f3gd94?=h00:1<75rb3a37d5=2B8ik5aa6695aca3`9mi7>5;h1eb?6=3f22<7>5;|`1af6=9391<7>t$8c0>10?3-9m>76:a:&:2f<5lo>0D>ki;oc40?7cn91b?kk50;9j7c`=831d44>50;9~f7e713;1?7>50z&:e6<3>11/?k<584c8 <0d2;h996F">>j09hk:4H2ge?kg0<3;oj?5f3gg94?=n;ol1<75`88294?=zj88nm7?54;390~">i:08jo5G98f8jd1328nm?6g<8d;95?=n;>oi6<44i2;01?7=3f?o97?5;c1a1`<72=0;6=u+9`1903><,:l965<7;%;5g?54l<1C?hh4n`57>4ba<2c8jh4?::k0bc<722c?<=4?::m;=5<722wx?5k6:181[5?m016?o;j:2df?xu4?lh1<7;4j?88;39?l56i90:66g<17:95?=h;8=n6<44b234b?6=<3:1677>2B8ik5aa6695a`13`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty8=:650;0xZ6700278=:h53gg8yv56i90;6?uQ30c3?856?o08jk5rs235>o6n=<1=75f1g2f>4<<,:l965:8;%;5g?7bij1C?hh4n`57>4ba02c8jh4?::k0bc<722c?<=4?::m;=5<722wx=k?j:181[7a9l16=k<::2df?xu6n=<1<7;6n;?1?kh4}r3e4`<72;qU=k>j;<3e60<3891v01{zj8=9=7?55;391~">i:08jo5G98f8jd1328nm56g;07295?=n<9<:6<44i25ff?7=3`92?84>::m740e=931i8=;l:186>5<7s-3j?7:98:&0b7<0j81/5;m532f6?M5bn2dj;94>dgc8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~:?6183>7}Y<9<;70:?5b80b`=z{=:==7>52z\7437<5=:>o7=if:p72ce2909wS=8ec9>053d2=:;7p}<92794?4|V:38963;04a9057;9n4?:3y]053d34>;9n47919~yg4d8h0:6>4?:1y'=d5=h=:97b?!?1k38i>85G3dd8jd1328nmn6g>o4no0;66a79183>>{e:li96<4<:183!?f;3>=46*ih;;I1fb>hf?=0:hkm4i2df>5<5<:483>5}#1h918;64$2d1>=7>3-3=o7=5<7s-3j?7:98:&0b7dgg8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?=f382>1<62=q/5l=53g`8Lh=:96;?!?1k38i>85G3dd8jd1328o;<6g>o4no0;66g;0183>>i?190;66s|183f>5<5sW;2=h522ef`>6`b3tyj454?:3y]e=><5;noo7=if:p6a3?2909wS6abd2=:;7p}:dd83>7}Y=mo01?jkc;::4>{zj883o7?55;391~">i:08jo5G98f8jd1328o;=6g<8ef95?=n;18<6<44i25ff?7=3`92?84>::m7<=<622h8n4650;794?6|,0k86987;%1e6?>5:2.2:n4<3e78L6ca3gk<87?j039j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>6kd;296~X40mn01>l68;1ea>{t;18<6=4={_1;62=:;k336>hi;|q03`d=838pR>9jb:?0f<>=<9:0q~=63483>7}Y;09>70=m998744=z{=236=4={_6;<>;4j02144>4}|`75a5=9391<7>t$8c0>10?3-9m>7688:&:2f<38kl0D>ki;oc40?7b8:1b?kk50;9j7c`=831d44>50;9~f412k3;187?54z&:e6<4nk1C54j4n`57>4c7<2c????51;9je=>=931b=4?j:088k155;3;17o:<2583>1<729q/5l=547:8 6`521><7)79c;6055=O;ll0bl9;:0g31>o4nl0;66g>o3890;66a79183>>{t<:8:6=4={_6064=:<:8?6>hj;|qb<=<72;qUm564=5110?5an2wx=4?j:181[7>9l168><;:523?xu3;;91<7;3;;>144>4}|`230g=93>1=7:t$8c0>6`e3A32h6`n7582a50::m7740=931i8>?8:187>5<7s-3j?7:98:&0b71/5;m54233?M5bn2dj;94>e158m6`b2900e>hi:188m1672900c57?:188yv249<0;6?uQ4236?8249>08jh5rs`:;>5<5sWk3463;30597c`8=:4;019~w156>3:1>vP;30489156?322<6srb07bo49h:1=75fa9:95?=n90;n6<44i2371?7=3f9:ih4>::`05``=83?1<7>t$8c0>10?3-9m>76;4:&:2f<49ml0D>ki;oc40?7b801b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w67f83:1>vP<1`28967bn39mi6s|a9:94?4|Vh2370=>eg80bc=z{83:i7>52z\2=4c<5:;nj7:?0:p74222909wS=>449>74ca2=::7p}<1dg94?4|V:;ni63<1dd9<<6hm;I;:`>hf?=0:i=o4i3deg?7=3`;2=h4>::kb<=<622c8=n751;9l75472800n>>=1;291?6=8r.2m>4;699'7c4=0=?0(48l:3de6>N4mo1em::51d2a?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=fga94?4|V;lmo63<03397cc<4?2909wSo78:?0477=<9:0q~=>c883>7}Y;8i270=?208744=z{::9<7>52z\0476<5::9=7660:~f42?:3;197?55z&:e6<4nk1C54j4n`57>4c7k2c:j9851;9je=>=931b=4?j:088m4cbj3;17b?i9482>>d6n0<1<7;50;2x ">>j0:j584H2ge?kg0<3;n52z\2b10<58l2:7=ie:pe=>=838pRl67;<3e=3<4no1v<7>e;296~X618o01{t9loi6=4={_3fag=:9o3=69>>;|q2b<3=838pR44c95?3=93?p(4o<:2da?M?>l2dj;94>e1g8m4c5k3;17d?61d82>>of010:66g>f7d95?=h9l?;6<44b0g65?6==3:14c5:2B8ik5aa6695`6a3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2a7e=838pR7}Y90;n70?j5080bc=z{h236=4={_c;<>;6m<;18=>4}r3e2c<72;qU=k8i;<3f14<3881v{zj8=>47?54;390~">i:08jo5G98f8jd1328o:<6g>90g95?=n;1>m6<44i`:;>4<<,:l96588;%;5g?27001C?hh4n`57>4c692c8jh4?::k0bc<722c?<=4?::m;=5<722wx=4?j:181[7>9l168>>n:2df?xu40=l1<7;3;9k1?kh4}rc;1673ty??=750;0xZ157127??=o58828yxd6?<>1=7:51;6x >i3:?o1=75m434e>5<3290;w)7n3;65<>"4n;03;>5+97a905>>3A9nj6`n7582a455<5<9o54?:3y]07e?34>9:k4?2909wSo78:?763`=;ol0q~?61d83>7}Y90;n70:=6g8745=z{=8=i7>52z\763c<5=8=j7660:~f43dl3;187?54z&:e6<4nk1C54j4n`57>4c6<2c:51<729q/5l=547:8 6`521><7)79c;11a2=O;ll0bl9;:0g21>o4nl0;66g>o3890;66a79183>>{t90;n6=4={_3:5`=:;:2;6>hj;|q066e=838pR><6?:523?xu4;>l1<7;4;1:144>4}|`21d0=93?1=7;t$8c0>6`e3A32h6`n7582a40?lb;39?g56kj0;684?:1y'=d5=h=:6;3?!?1k39:<85G3dd8jd1328o:;6g>o4no0;66g;0183>>o3880;66a79183>>{t;8l86=4={_12b6=:;8ih6>hj;|q044`=838pR>>>f:?05fe=;ol0q~=>f783>7}Y;8l=70=>cb8745=z{:;m<7>52z\05c6<5:;ho7:?1:p74ee2909wS=>cc9>74ed213;7psm15c5>4<3280?w)7n3;1ef>N>1m1em::51d3;?l7>9l0:66g>fd195?=ni121=75`2005>4<54;294~">i:0?:55+3g09<11<,07e:J0ac=ii>>1=h?6;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q2=4c=838pR<7>e:?1571=;oo0q~?ie283>7}Y9oo870<>2680bc=z{h236=4={_c;<>;59;=18=>4}r0263<72;qU><<9;<02620<62f8595?=h9o=:6<44b0d46?6==3:14$84`>4cfj2B8ik5aa6695`7e3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2b7}Y9l>m70?i7380bc=z{8l2h7>52z\2b7:?0:p5c?02909wS?i969>5c152=::7p}>f6394?4|V8l<=63>f609<<64>2.2:n4;0cd8L6ca3gk<87?j1b9j7cc=831b?kh50;9l<<6=831vn>om0;390?6=8r.2m>4;699'7c4=0;n0(48l:2;:b>N4mo1em::51d3g?l5am3:17d=if;29?l2783:17b660;29?xd4ihn1=7:50;2x ">>j0854h4H2ge?kg0<3;n=h5f3gg94?=n;ol1<75f41294?=h00:1<75rb043b?7=<3;18v*6a280bg=O10n0bl9;:0g2b>o41:?1=75f39g3>4<50;694?6|,0k86987;%1e6?>502.2:n4<3e78L6ca3gk<87?j219j7cc=831b?kh50;9j056=831d44>50;9~w6?4=3:1>vP<927896>7839mi6s|39g3>5<5sW93i=523923>6`a3ty8;hl50;0xZ61bj2784=>54128yv50no0;6?uQ36de?85?89035=5r}c02b5<62=0;6=u+9`1903><,:l96:7:;%;5g?46;91C?hh4n`57>4c592c8jh4?::k0bc<722c?<=4?::m;=5<722wi=9hj:086>4<2s-3j?7=ib:J:=a=ii>>1=h<=;h0;g<<622c>o84>::k1<23=931b>598:088k7>0:3;17o<77283>0<729q/5l=547:8 6`521<97)79c;04<2=O;ll0bl9;:0g17>o4nl0;66g>o3890;66g;0083>>i?190;66s|29a:>5<5sW83o4522950>6`b3ty>o84?:3y]1f3<5;26=142=:;7p}=86594?4|V;2<;63=861905747919~yg40m00:684?:1y'=d5=h=:91`?!?1k38<4:5G3dd8jd1328o986g>o4no0;66g;0183>>o3880;66a79183>>{e;;2?6<4::183!?f;3>=46*hf?=0:i?;4i2df>5<5<5<o4>:483>5}#1h918;64$2d1>2ba3-3=o7?ia59K7``4<3s-3j?7=ib:J:=a=ii>>1=h<8;h123=<622c8=l>51;9j740a2800c>?7c;39?g560m0;694?:1y'=d5=h=:964?!?1k39:<;5G3dd8jd1328o946g>o4no0;66g;0183>>i?190;66s|305;>5<5sW9:;55230:g>6`b3ty8=l>50;0xZ67f8278=5j53gd8yv56>o0;6?uQ304e?8560m0?<=5rs23;g?6=:rT8=5m4=23;`?>>82wvn<:81;390?7=4>d6n:91<7:50;2x ">>j0:ilm4H2ge?kg0<3;n>l5f3gg94?=n;ol1<75f41294?=h00:1<75rs0d2a?6=:rT:j;6n:918=>4}r3e77<72;qU=k==;<3e760<729q/5l=547:8 6`52>nm7)79c;12ba=O;ll0bl9;:0g1f>o4nl0;66g>o3890;66g;0083>>i?190;66sm210g>4<2290;w)7n3;65<>"4n;05<5<::m05=c=931i?<6i:187>5<7s-3j?7:98:&0b71/5;m53025?M5bn2dj;94>e3g8m6`b2900e>hi:188m1672900c57?:188yv56?10;6?uQ305;?8560o08jh5rs23b4?6=:rT8=l>4=23;b?5an2wx?<9?:181[56?916?<6i:523?xu491o1<7;491l144>4}|`2024=93>1=7:t$8c0>6`e3A32h6`n7582a7`4;699'7c4=0==0(48l:0gbg>N4mo1em::51d13?l5am3:17d=if;29?l2783:17b660;29?xu6n8o1<7;6n:?1?kk4}r3e03<72;qU=k:9;<3e70<4no1v6;296~X6n8<01{t9o9?6=4={_3e71=:9o9>657?;|a77>?280>6=4?{%;b7?2102.8j?48dg9'=3e=;8lo7E=jf:lb31<6m:;0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg47:o0:684?:1y'=d5=h=:6fe?!?1k3;mm95G3dd8jd1328o8>6g>o4no0;66g;0183>>o3880;66a79183>>{e9<326<4;:087!?f;39mn6F69e9me22=9l987d=>7982>>o49h:1=75f3052>4<<,:l965:8;%;5g?568?1C?hh4n`57>4c4<2c8jh4?::k0bc<722c?<=4?::m;=5<722wx?<97:181[56?116?<7>:2df?xu49h:1<7;490;1?kh4}r1234<72;qU?<9>;<12=4<3891v>?60;296~X490:01>?61;::4>{zj8>i:08jo5G98f8jd1328o896g>f0g95?=n9o>=6<44i0d23?7=3f;m?;4>::`2b61=83>1<7>t$8c0>10?3-9m>76;7:&:2f<6mhi0D>ki;oc40?7b;?1b?kk50;9j7c`=831b8=>50;9l<<6=831ve;296~X6n8o01{t9o>=6=4={_3e03=:9o9<6>hi;|q2b41=838pR7:?2b61=<9:0q~?i3783>7}Y9o9=70?i368;=5=zuk994l4>:483>5}#1h918;64$2d1>2ba3-3=o7=>fe9K7``==>:086>5<7s-3j?7:98:&0b7<0lo1/5;m51gc7?M5bn2dj;94>e2:8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo==8b82>0<729q/5l=547:8 6`52>o97)79c;12ba=O;ll0bl9;:0g0=>o4nl0;66g>o3890;66g;0083>>i?190;66sm2110>4<2290;w)7n3;65<>"4n;05<5<<,:l96:k=;%;5g?56nm1C?hh4n`57>4c4j2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a6552280>6=4?{%;b7?2102.8j?48e39'=3e=9ok?7E=jf:lb31<6m:i0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg721h0:694>:5y'=d5=;oh0D47k;oc40?7b;m1b?<97:088m67f83;17d=>7382>>i49081=75m30;0>5<3290;w)7n3;65<>"4n;03;?5+97a974613A9nj6`n7582a6c5<5<4vP<1`28967>;39mj6s|3051>5<5sW9:;?5230;0>1673ty8=4<50;0xZ67>:278=4=58828yxd6<>>1=7:51;6x e;39?l7af0:95?=h9o936<44b0d0=?6=<3:14cfk2B8ik5aa6695`273`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty:j753gg8yv7a=46*hf?=0:i9?4i2df>5<5<5<:483>5}#1h918;64$2d1>2c53-3=o7?ia59K7``4<3s-3j?7=ib:J:=a=ii>>1=h:<;h123=<622c8=l>51;9j74142800c>?64;39?g561<0;694?:1y'=d5=h=:951?!?1k39:<;5G3dd8jd1328o?86g>o4no0;66g;0183>>i?190;66s|305;>5<5sW9:;55230;6>6`b3ty8=l>50;0xZ67f8278=4;53gd8yv56?:0;6?uQ3050?8561<0?<=5rs23:0?6=:rT8=4:4=23:1?>>82wvn<:85;390?7=4>d6n:h1<7:50;2x ">>j0:ilm4H2ge?kg0<3;n8;5f3gg94?=n;ol1<75f41294?=h00:1<75rs0d2a?6=:rT:j;6n:h18=>4}r3e7d<72;qU=k=n;<3e7g0<729q/5l=547:8 6`52>o97)79c;12ba=O;ll0bl9;:0g73>o4nl0;66g>o3890;66g;0083>>i?190;66sm211:>4<2290;w)7n3;65<>"4n;05<5<::m05<0=931i?<78:187>5<7s-3j?7:98:&0b7e5c8m6`b2900e>hi:188m1672900c57?:188yv56?=0;6?uQ3057?8561>08jh5rs23b4?6=:rT8=l>4=23:3?5an2wx?<97:181[56?116?<78:523?xu490<1<7;490=144>4}|`2020=93>1=7:t$8c0>6`e3A32h6`n7582a1d4;699'7c4=0:>0(48l:0gbg>N4mo1em::51d6`?l5am3:17d=if;29?l2783:17b660;29?xu6n8k1<7;6n:n1?kk4}r3e03<72;qU=k:9;<3e7a<4no1ve;296~X6n8o01{t9o9h6=4={_3e7f=:9o9o657?;|a77?3280>6=4?{%;b7?2102.8j?48e39'=3e=;8lo7E=jf:lb31<6m=n0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg47;k0:684?:1y'=d5=h=:6g1?!?1k3;mm95G3dd8jd1328o?i6g>o4no0;66g;0183>>o3880;66a79183>>{e;>?o6<4::183!?f;3>=46*j:;I1fb>hf?=0:i9h4i2df>5<5<>83:17pl<78g95?3=83:p(4o<:54;?!5a:3=<;6*66b807a3<@:om7co84;3f15=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb244b?7==3:165c=2B8ik5aa6695`363`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`030?=93?1<7>t$8c0>10?3-9m>7690:&:2f<4;m?0D>ki;oc40?7b=;1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f60083;197>50z&:e6<3>11/?k<57ed8 <0d2:9o96F0e>>9l0:66g<00d95?=ni121=75`31a:>4<55;294~">i:0?:55+3g09<3c<,0
    >=a:J0ac=ii>>1=h;:;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty8<>m50;0xZ664k2789l0;6?uQ183f?857k>08jk5rs222b?6=:rT8<?349;o:4;009~w66d13:1>vP<0b;8966d?322<6srb00:o0:90:66g<7g`95?=n;18m6<44i2:1a?7=3f>i?7?5;c1g5`<72<0;6=u+9`1903><,:l965=l;%;5g?54l<1C?hh4n`57>4c2?2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p376=838pR:9ib;296~X4?oh01>j>e;1eb>{t;18m6=4={_1;6c=:;m;n69>?;|q0<7c=838pR>6=e:?0`4c=<9;0q~:m3;296~X3j:16?i?j:9;3?x{e9>?o6<4::086!?f;39mn6F69e9me22=9l?37d=75d82>>of010:66g;33395?=n90;n6<44o5118>44?:483>5}#1h918;64$2d1>=1a3-3=o7:<119K7``<6:2df?xuf010;6?uQa9:89155139mj6s|4202>5<5sW>8><52420:>1673ty:5<,:l96:k=;%;5g?56nm1C?hh4n`57>4c2i2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a654>280>6=4?{%;b7?2102.8j?48e39'=3e=9ok?7E=jf:lb31<6mhj:188m6`a2900e9>?:188m1662900c57?:188yg55090:684?:1y'=d5=h=:6g1?!?1k39:ji5G3dd8jd1328o>o6g>o4no0;66g;0183>>o3880;66a79183>>{e:98<6<4::183!?f;3>=46*hf?=0:i8j4i2df>5<5<5<i:0?:55+3g093`c<,0hf?=0:i8k4i2df>5<5<5<:483>5}#1h918;64$2d1>2c53-3=o7=>fe9K7``=<::086>5<7s-3j?7:98:&0b7<0m;1/5;m51gc7?M5bn2dj;94>e728m6`b2900e>hi:188m1672900e9>>:188k=?72900qo==7b82>0<729q/5l=547:8 6`52>o97)79c;12ba=O;ll0bl9;:0g55>o4nl0;66g>o3890;66g;0083>>i?190;66sm2100>4<2290;w)7n3;65<>"4n;05<5<<,:l96:k=;%;5g?56nm1C?hh4n`57>4c1;2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a6546280>6=4?{%;b7?2102.8j?48e39'=3e=9ok?7E=jf:lb31<6m?>0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg55?10:684?:1y'=d5=h=:6g1?!?1k39:ji5G3dd8jd1328o=96g>o4no0;66g;0183>>o3880;66a79183>>{e:9;m6<4::183!?f;3>=46*hf?=0:i;84i2df>5<5<5<:482>0}#1h91?kl4H8;g?kg0<3;n::5f60c95?=n;1nn6<44i25ff?7=3`92?84>::m03`?=931i?:kn:186>5<7s-3j?7:98:&0b7e7:8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~8>a;296~X19h16?:kn:2df?xu40mo1<7;4?lk1?kh4}r14ag<72;qU?:km;<14ad<3891v>7<5;296~X41:?01>9ja;635>{t;>o26=4={_14a<=:;>oj657?;|a50g0280>6<4:{%;b7?5aj2B25i5aa6695`0>3`8i>>4>::k05c5=931b?<:::088m67a>3;17b=>d782>>d49m=1<7;50;2x ">>j08==;4H2ge?kg0<3;n:l5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;h9?7>52z\1f75<5:;o;7=ie:p74`42909wS=>f29>74b02:lm7p}<15794?4|V:;?963<1e590563:1>vP<1e48967c?322<6srb07b0?7==3;19v*6a280bg=O10n0bl9;:0g5f>o5j;91=75f30d0>4<6=4?{%;b7?2102.8j?47659'=3e=;8:>7E=jf:lb31<6m?i0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv4e::0;6?uQ2c00?856k108jh5rs23e7?6=:rT8=k=4=23`;49j218=?4}r12g3<72;qU?0<62f8f95?=h9o=o6<44b0d4a?6==3:14cfj2B8ik5aa6695`0b3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q1f75=838pR?l=3:?2b2c=;oo0q~?i9`83>7}Y9o3j70?i7d80bc=z{8onn7>52z\2a`d<58l5c1b2=::7p}>f6f94?4|V8lf6g9<<6hm;I;:`>hf?=0:i;h4i3`17?7=3`;m5l4>::k2b0<729q/5l=547:8 6`521o4nl0;66g>o3890;66g;0083>>i?190;66s|2c00>5<5sW8i>>521g4f>6`b3ty:j4o50;0xZ4`>i27:j;k53gd8yv7a1m0;6?uQ1g;g?87a>l0?<=5rs0d:3?6=:rT:j494=0d5a?2792wx=k8l:181[7a>j16=k8j:9;3?x{e<8n=6<4::183!?f;3>=46*hf?=0:i:?4i2df>5<5<5<:482>0}#1h91?kl4H8;g?kg0<3;n;?5f3e1:>4<;51;9l7a422800n>j<3;291?6=8r.2m>4;699'7c4=0:i0(48l:21g1>N4mo1em::51d50?l5am3:17d=if;29L6e?32c?<=4?::k744<722e35=4?::p7a5>2909wS=k389>7a542:ln7p}4;019~w6?4=3:1>vP<927896b4;3>;=6s|3e06>5<5sW9o>8523e10>=?73twi=:?n:086>4<2s-3j?7=ib:J:=a=ii>>1=h9;;h6356<622c?<=l51;9j72ce2800e>7<5;39?j5anm0:66l;01f94?3=83:p(4o<:54;?!5a:328o6*66b807a3<@:om7co84;3f30=n;oo1<75f3gd94?N4k110e9>?:188m1662900c57?:188yv279:0;6?uQ4130?8278m08jh5rs523f?6=:rT?<=l4=523`?5an2wx?:km:181[50mk168=>k:523?xu41:?1<7;389n18=?4}r1eba<72;qU?khk;<634a0<62hje;39?l50mk0:66g<92795?=h;oo96<44b2de4?6==3:1m4$84`>65c=2B8ik5aa6695`103`9mi7>5;h1eb?6=@:i376g;0183>>o3880;66a79183>>{t;ol=6=4={_1eb3=:;ol;6>hj;|q0b`c=838pR>hje:?0bc6=;ol0q~=8ec83>7}Y;>oi70=if18745=z{:3897>52z\0=63<5:lm<7:?1:p7cc52909wS=ie39>7c`7213;7psm162`>4<2280>w)7n3;1ef>N>1m1em::51d5;?l5alo0:66goi6<44i2;01?7=3f9mo94>::`0ba4=83?1<7>t$8c0>10?3-9m>76ki;oc40?7b?01b?kk50;9j7c`=83B8o554i523>5<5<vP5<5sW91673ty85>;50;0xZ6?4=278ji<54138yv5ak=0;6?uQ3ga7?85al;035=5r}c3440<62<0:68u+9`197cd<@03o7co84;3f3d=n;oi;6<44i2db`?7=3`9::k0=63=931d?k7n:088f6`fn3:197>50z&:e6<3>11/?k<582a8 <0d2:9o96F;4nhl1?kk4}r1eea<72;qU?kok;<1eec<4no1v>9jb;296~X4?lh01>hnf;634>{t;09>6=4={_1:70=:;okm69>>;|q0bh6a:?0bd`=00:0qplh=:6g6?!?1k3925k5G3dd8jd1328o>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn<9<8;390?7=4k8;39?g27l00;694?:1y'=d5=h=:964?!?1k3>;nk5G3dd8jd1328o>o4no0;66g;0183>>i?190;66s|41ab>5<5sW>;ol5241f:>6`b3tyj454?:3y]e=><5=:o57=if:p5<7b2909wS?61d9>05b>2=:;7p};0e:94?4|V=:o463;0e;9<<6hm;I;:`>hf?=0:i5>4i3a73?7=3`k347?5;h3:5`<622e9hh851;9a6ac0290?6=4?{%;b7?2102.8j?47469'=3e=:k8>7E=jf:lb31<6m1;0e>hj:188m6`a2900e9>?:188k=?72900q~7}Y:j><70;5ll=1?kh4}r3:5`<72;qU=4?j;<0ga2<3891v?jj6;296~X5ll<01?jj7;::4>{zj8<9m7?54;390~">i:08jo5G98f8jd1328o3>6g<5gd95?=ni121=75f183f>4<<,:l965:8;%;5g?54l<1C?hh4n`57>4c?;2c8jh4?::k0bc<722c?<=4?::m;=5<722wx?8hi:181[52no16?468:2df?xuf010;6?uQa9:896???39mj6s|183f>5<5sW;2=h5238:4>1673ty855850;0xZ6??>27855958828yxd6=>h1=7:51;6x 0e?kj7;39?lg?03;17d?61d82>>i5n0<1=75m2g;4>5<3290;w)7n3;65<>"4n;038:5+97a96a`33A9nj6`n7582a=35<5<?2909wSo78:?1b<1=;ol0q~?61d83>7}Y90;n7052z\1b<0<5;l2;7660:~f43013;187?54z&:e6<4nk1C54j4n`57>4c?>2c9ih951;9j05g62800e9>n0;39?j4a0j0:66l=f8294?2=83:p(4o<:54;?!5a:328?6*66b81`c2<@:om7co84;3f<2=n;oo1<75f3gd94?=n<9:1<75`88294?=z{;on;7>52z\1a`1<5;l2<7=ie:p05g62909wS:?a09>6c?72:lm7p};0`294?4|V=:j<63=f829056d348m5=47919~yg70;l0:694>:5y'=d5=;oh0D47k;oc40?7b011b8=m7:088m16cm3;17d:>8g82>>i39:i1=75m401g>5<3290;w)7n3;65<>"4n;03>55+97a905da3A9nj6`n7582a=?5<5<;o54?:3y]05e?34>:?i4vP;0eg89174l39mj6s|40:e>5<5sW>:4k52401g>1673ty?=>m50;0xZ174k27?=>j58828yxd6<<:1=7;51;7x 9l0:66gn8982>>o6m8k1=75`1e`0>4<55;294~">i:0?:55+3g09<=6<,0>1=h6m;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:o5?50;0xZ4e?927:ho:53gg8yv7>9l0;6?uQ183f?87cj=08jk5rs`:;>5<5sWk3463>dc69056vP>dc1894be<322<6srb0674?7==3;19v*6a280bg=O10n0bl9;:0g;g>o6imn1=75f183f>4<::`2g5c=83?1<7>t$8c0>10?3-9m>7670:&:2f<6k930D>ki;oc40?7b0m1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w4gcl3:1>vP>aef894e7m39mi6s|183f>5<5sW;2=h521b2f>6`a3tyj454?:3y]e=><58i;i7:?0:p5f132909wS?l759>5f6b2=::7p}>c1f94?4|V8i;h63>c1g9<<6hm;I;:`>hf?=0:i5k4i52`3?7=3`>;i54>::k7550z&:e6<3>11/?k<583:8 <0d2=:ij6F>83:17p};0b594?4|V=:h;63;14:97cc;i54?:3y]05c?34>:95413:1>vP;18;8917203>;<6s|4074>5<5sW>:9:52407;>=?73twi=8l8:086>4<2s-3j?7=ib:J:=a=ii>>1=h7?;h101<<622c:5=931b??=l:088k655?3;17o=<2983>0<729q/5l=547:8 6`5212;7)79c;11a2=O;ll0bl9;:0g:5>o4nl0;66g>o3890;66g;0083>>i?190;66s|327:>5<5sW989452320;>6`b3ty:5vPn899>764?2=:;7p}<22a94?4|V:88o63<33:9057:4?:3y]76403498>547919~yg73190:684>:4y'=d5=;oh0D47k;oc40?7b1;1b><>?:088m4?6m3;17do78;39?l7am:0:66a=0bg95?=e:9im6=4::183!?f;3>=46*=6j;I1fb>hf?=0:i4=4i2df>5<5<5<vP>90g8976dn39mj6s|a9:94?4|Vh237052z\2b`5<5;:hj7:?1:p65eb2909wS65ea213;7psm14`6>4<2280>w)7n3;1ef>N>1m1em::51d;7?l4an=0:66g>90g95?=ni121=75f33cf>4<<,:l965=?;%;5g?551<1C?hh4n`57>4c>=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p6c`32909wS77ef2:ln7p}>90g94?4|V83:i63<2bc97c`52z\b<==:;;ij69>?;|q06dc=838pR>7}Y;;i270==c`8;=5=zuk;?4h4>:482>0}#1h91?kl4H8;g?kg0<3;n5;5f1d07>4<::m1426=931i>=9>:186>5<7s-3j?7:98:&0b7e858m6`b2900e>hi:188m1672900e9>>:188k=?72900q~?j2583>7}Y9l8?7052z\2=4c<5;:<=7=if:pe=>=838pRl67;<0334<3891v?>:5;296~X5881;635>{t:9=;6=4={_0335=::9=:657?;|a5221280?6<4;{%;b7?5aj2B25i5aa6695`??3`>;o=4>::k74`3=931b8<79:088k17293;17o:>5383>1<729q/5l=547:8 6`521837)79c;63fc=O;ll0bl9;:0g:=>o4nl0;66g>o3890;66a79183>>{t<9i;6=4={_63g5=:<8?96>hj;|q74`3=838pR9>j5:?7504=;ol0q~:>9783>7}Y<83=70:>538745=z{=;>=7>52z\7507<5=;>>7660:~f40693;197?55z&:e6<4nk1C54j4n`57>4c>i2c8n5j51;9j7=402800e>9jb;39?l5>;<0:66a<81795?=e;1:=6=4::183!?f;3>=46*j:;I1fb>hf?=0:i4l4i2df>5<5<5<c3493<;45?3:1>vP<835896>7>39mj6s|36ga>5<5sW91673ty85>;50;0xZ6?4=2784=854138yv5?8<0;6?uQ3926?85?8?035=5r}c36=a<62=0:69u+9`197cd<@03o7co84;3f=f=n;8=>6<44i23b4?7=3`9:;54>::m05<>=931i?<76:187>5<7s-3j?7:98:&0b7e8f8m6`b2900e>hi:188m1672900c57?:188yv56?<0;6?uQ3056?8561008jh5rs23b4?6=:rT8=l>4=23:=?5an2wx?<97:181[56?116?<76:523?xu49021<7;4903144>4}|`2021=93>1=7:t$8c0>6`e3A32h6`n7582a4;699'7c4=0:>0(48l:0gbg>N4mo1em::51d;e?l5am3:17d=if;29?l2783:17b660;29?xu6n8h1<7;6n:l1?kk4}r3e03<72;qU=k:9;<3e7c<4no1ve;296~X6n8o01{t9o9n6=4={_3e7`=:9o9m657?;|a727f280>6=4?{%;b7?2102.8j?487g9'=3e=;:n>7E=jf:lb31<6mh:0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg50900:684?:1y'=d5=h=:6g1?!?1k398h85G3dd8jd1328oj=6g>o4no0;66g;0183>>o3880;66a79183>>{e9<=?6<4::086!?f;39mn6F69e9me22=9lk97d>of010:66g=f1795?=n90;n6<44o3d46?7=3k8m;>4?:483>5}#1h918;64$2d1>=4a3-3=o7?348m;>4vP=f17897`0;3>;<6s|183f>5<5sW;2=h522g50>1663ty9j:<50;0xZ7`0:279j:=58828yxd3;;=1=7;50;2x ">>j0??<>4H2ge?kg0<3;nm95f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8?>n7?55;391~">i:08jo5G98f8jd1328oj96g=c5195?=ni121=75f2e2e>4<50;794?6|,0k86987;%1e6?>5n2.2:n4=b378L6ca3gk<87?ja79j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v?m;3;296~X5k=901?j70;1ea>{ti121<79l16>i6?:522?xu5l>l1<7;5l1:144>4}|`2305=93?1=7;t$8c0>6`e3A32h6`n7582ad1::k2=4c=931d8?8m:088f141k3:197>50z&:e6<3>11/?k<57g`8 <0d2=:356F;3:?i1?kk4}r62`2<72;qU8;m54128yv7>9l0;6?uQ183f?825>j0?<<5rs505f?6=:rT?>;l4=505g?>>82wvn<94=931b88g82>>i39:o1=75m401e>5<2290;w)7n3;65<>"4n;03>:5+97a905da3A9nj6`n7582adg5<5<019?{t<9nn6=4={_63``=:<89m69>?;|q75=`=838pR9?7f:?756`=<9;0q~:>3d83>7}Y<89n70:>3g8;=5=zuk;?o;4>:582>1}#1h91?kl4H8;g?kg0<3;nmo5f2773>4<?h4>::`116`=83>1<7>t$8c0>10?3-9m>7672:&:2f<59::0D>ki;oc40?7bij1b?kk50;9j7c`=831b8=>50;9l<<6=831v?8:0;296~X5><:01?;{ti121<78=j:181[42;l16>8=i:9;3?x{e9>>j6<4::086!?f;39mn6F69e9me22=9lko7d:?c682>>o39kh1=75f41g;>4<5?2.2:n4;0cd8L6ca3gk<87?jad9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v9>l7;296~X38j=019?:a;1ea>{t<8hi6=4={_62fg=:<8?j6>hi;|q74`>=838pR9>j8:?750g=<9:0q~:>9883>7}Y<83270:>5`8744=z{=;>57>52z\750?<5=;>m7660:~f414k3;197?55z&:e6<4nk1C54j4n`57>4cfn2c?kb;39?l260j0:66a;12:95?=e<8926=4::183!?f;3>=46*hf?=0:io>4i2df>5<5<5<;o;4?:3y]05e134>:?44vP;0b689174139mj6s|41fa>5<5sW>;ho52401:>1673ty?=5m50;0xZ17?k27?=>754138yv26;10;6?uQ401;?826;0035=5r}c36ed<62=0:69u+9`197cd<@03o7co84;3ff4=n;;4<>k50;694?6|,0k86987;%1e6?>?:2.2:n4<1gf8L6ca3gk<87?jb39j7cc=831b?kh50;9j056=831d44>50;9~w641n3:1>vP<27d89644m39mi6s|a9:94?4|Vh2370==3d80bc=z{83:i7>52z\2=4c<5:88i7:?0:p775c2909wS==3e9>775b213;7psm15:7>4<3280?w)7n3;1ef>N>1m1em::51d`0?l479?0:66gn8982>>o618o1=75`1gg7>4<54;294~">i:0?:55+3g09<=4<,0>1=hl;;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q1440=838pR?>>6:?2b`3=;oo0q~o78;296~Xf0116=kk::2de?xu618o1<7;6nl?18=>4}r3ea1<72;qU=kk;;<3ea00<6216en2B8ik5aa6695`d13`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q74f6=838pR9>l0:?7502=;oo0q~:>b983>7}Y<8h370:>5580bc=z{=:n97>52z\74`3<5=;>87:?0:p04?12909wS:>979>04332=::7p};14194?4|V=;>?63;1469<<6hm;I;:`>hf?=0:io94i2342?7=3`9:m=4>::k052>=931d?<7n:088f67>j3:187>50z&:e6<3>11/?k<58268 <0d2:;;:6F>83:17p}<16494?4|V:;<:63<18`97ccvP<16:8967>j3>;<6s|30;b>5<5sW9:5l5230;a>=?73twi=997:087>4<3s-3j?7=ib:J:=a=ii>>1=hl6;h3e5f<622c:j9851;9j5c7b2800ch=:917?!?1k3;nmn5G3dd8jd1328oim6g>o4no0;66g;0183>>i?190;66s|1g3`>5<5sW;m=n521g62>6`b3ty:j9850;0xZ4`3>27:j9?53gd8yv7a9l0;6?uQ1g3f?87a<80?<=5rs0d74?6=:rT:j9>4=0d75?>>82wvn<;6f;390?7=49b82>>d490n1<7:50;2x ">>j08==84H2ge?kg0<3;nnn5f3gg94?=n;ol1<75f41294?=h00:1<75rs2343?6=:rT8=:94=23:`?5am2wx?21<7;490n18=>4}r12=f<72;qU?<7l;<12=a1<62=q/5l=53g`8Lf5095?=e9o>86=4;:183!?f;3>=46*hf?=0:iok4i2df>5<5<{t9o;n6=4={_3e5`=:9o>869>?;|q2b14=838pR32a95?2=93>p(4o<:2da?M?>l2dj;94>ecd8m6>cn3;17d=7d882>>o40oh1=75`6g:95?=e;?on6=4;:183!?f;3>=46*j:;I1fb>hf?=0:in>4i2df>5<5<6k9;296~X40m301>8je;1eb>{t;1li6=4={_1;bg=:;?on69>?;|q5b=<72;qU:k64=24fa?>>82wvn<;i2;391?7==r.2m>49jb;39?j51mm0:66l<6df94?3=83:p(4o<:54;?!5a:3=i=6*66b807a3<@:om7co84;3fg7=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs24fg?6=:rT8:hm4=24f`?5am2wx:k650;0xZ3`?349=ii4vP<9278960bl3>;<6s|36ga>5<5sW91663ty8:hj50;0xZ60bl278:hj58828yxd6;:n1=7;51;7x m<6;39?l5d;=0:66g6<44o7d:>4<55;294~">i:0?:55+3g09<13<,0
    =k5:J0ac=ii>>1=hm;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty8o>850;0xZ6e4>278;5753gg8yv5d;=0;6?uQ3b17?8500008jk5rs2a07?6=:rT8o>=4=25;=?2782wx?n=::181[5d;<16?:66:522?xu1n00;6?uQ6g;8961?1322<6srb37g0?7==3:177482B8ik5aa6695`e23`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`11a5=93?1<7>t$8c0>10?3-9m>79m8:&:2f<59::0D>ki;oc40?7bk?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f454m3;197?55z&:e6<4nk1C54j4n`57>4cd?2c?>d5n>h1<7;50;2x ">>j09hk:4H2ge?kg0<3;no55f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{=:j=7>52z\74d7<5;l6c1e2:lm7p};27f94?4|V=8=h63=f6`90569i;4?:3y]07c1348m;o4;009~w3`e2909wS8ib:?1b2d=00:0qpl>27195?3=83:p(4o<:54;?!5a:3=o<6*66b8af4=O;ll0bl9;:0g`=>o4nl0;66g>o3890;66g;0083>>i?190;66sm1341>4<2290;w)7n3;65<>"4n;0310:684?:1y'=d5=h=:6f3?!?1k3hi=6F">>j0in<5G3dd8jd1328oho6g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn<;:d;393?5=1r.2m>4n:;:088md>?2800e<7>e;39?l4c;80:66g=d1g95?=h:m2?6<44b3f;1?6==3:17d5=2B8ik5aa6695`eb3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=@:i376a79183>>{e:m2=6=4<:183!?f;3>=46*o4nl0;66g>i?190;66sm2e:;>5<4290;w)7n3;65<>"4n;03?;5G3dd8jd1328oo<6g>o4no0;66a79183>>{t:m226=4={_0g<<=::m2>6>hi;|q1g12=838pR?m;4:?1`=3=<9;0q~o78;296~Xf0116>i69:2df?xu618o1<7;5l1<1?kh4}r0g74<72;qU>i=>;<0g<=<4nl1v?j?e;296~X5l9o01?j78;1eb>{t:m2?6=4={_0g<1=::m2>657?;|q1`=0=838p1?j75;1ea>;5l1<144>4}r0g<=<72;q6>i6::523?84c01035=5r}c1eb1<62=0;6=u+9`1903><,:l9654cc92c8jh4?::k0bc<722c?<=4?::m;=5<722wi8=?>:087>5<7s-3j?7:98:&0b7ee08m6`b2900e>hi:188m1672900c57?:188yg5c;>0:694?:1y'=d5=h=:90g?!?1k398h85G3dd8jd1328oo?6g>o4no0;66g;0183>>i?190;66sm3e6e>4<3290;w)7n3;65<>"4n;03>i5+97a976b23A9nj6`n7582aa25<5<:583>5}#1h918;64$2d1>=4c3-3=o7=2280?6=4?{%;b7?2102.8j?472e9'=3e=;:n>7E=jf:lb31<6mm<0e>hj:188m6`a2900e9>?:188k=?72900qo=k9b82>1<729q/5l=547:8 6`5218o7)79c;10`0=O;ll0bl9;:0gg3>o4nl0;66g>o3890;66a79183>>{e;mh:6<4;:183!?f;3>=46*j:;I1fb>hf?=0:ii64i2df>5<5<<,:l9654cc12c8jh4?::k0bc<722c?<=4?::m;=5<722wi?ijm:087>5<7s-3j?7:98:&0b7eec8m6`b2900e>hi:188m1672900c57?:188yg5cn90:694?:1y'=d5=h=:90g?!?1k398h85G3dd8jd1328oon6g>o4no0;66g;0183>>i?190;66sm3d26>4<3290;w)7n3;65<>"4n;03>i5+97a976b23A9nj6`n7582aae5<5<:583>5}#1h918;64$2d1>=4c3-3=o7=7E=jf:lb31<6mmo0e>hj:188m6`a2900e9>?:188k=?72900qo=j6782>1<729q/5l=547:8 6`5218o7)79c;10`0=O;ll0bl9;:0ggb>o4nl0;66g>o3890;66a79183>>{e;l=i6<4;:183!?f;3>=46*j:;I1fb>hf?=0:ih>4i2df>5<5<<,:l9654cb92c8jh4?::k0bc<722c?<=4?::m;=5<722wi?ho::087>5<7s-3j?7:98:&0b7ed08m6`b2900e>hi:188m1672900c57?:188yg5bjh0:694?:1y'=d5=h=:90g?!?1k398h85G3dd8jd1328on?6g>o4no0;66g;0183>>i?190;66sm3dab>4<3290;w)7n3;65<>"4n;03>i5+97a976b23A9nj6`n7582a`25<5<:483>5}#1h918;64$2d1>2b13-3=o7=5<7s-3j?7:98:&0b7ed48m6`b2900e>hi:188m1672900c57?:188yg5bn>0:694?:1y'=d5=h=:90g?!?1k398h85G3dd8jd1328on;6g>o4no0;66g;0183>>i?190;66sm3g0;>4<3290;w)7n3;65<>"4n;03>i5+97a976b23A9nj6`n7582a`>5<5<:583>5}#1h918;64$2d1>=4c3-3=o7=6=4?{%;b7?2102.8j?48d19'=3e=jk;0D>ki;oc40?7bmh1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f444;3;197>50z&:e6<3>11/?k<581f8 <0d2kh:7E=jf:lb31<6mlh0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg75;>0:684?:1y'=d5=h=:6f3?!?1k3hi=6F">>j0in<5G3dd8jd1328onh6g>o4no0;66g;0183>>o3880;66a79183>>{e9:>;6<4;:087!?f;39mn6F69e9me22=9lon7d:?1`82>>o41;31=75f3812>4<;j6<44b2aeg?6=<3:165c=2B8ik5aa6695`ca3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty?<:00;6?uQ380:?85dnj08jk5rs2;05?6=:rT85>?4=2aeg?2782wx;:088m27f2800e>7=8;39?l27;h0:66g<93595?=n<:ih6<44i525=?7=3`92>k4>::k0=65=931d?nhn:088f6eai3:187>50z&:e6<3>11/?k<58558 <0d2:9o96F>83:17pl>83:17plN4mo1em::51dd7?l5am3:17d=if;29L6e?32c?<=4?::k744<722e35=4?::p7f`62909wS=lf09>7f`f2=:;7p}81`83>7}Y?8k01>mib;1ea>{t;0836=4={_1:6==:;jli6>hi;|q746g=838pR9>7}Y;08<70=lf780b`=z{=9ho7>52z\77fe<5:im:7=if:p050>2909wS:?689>7f`02:lm7p}<93d94?4|V:39j634?:3y]7<54349hj:4;009~w6eai3:1>vP5<5s49hjl47f`e213;7p}7}:;jl=657?;<1`b2<4nl1vqo?<4082>1<62=q/5l=53g`8L2800e>7=9;39?l5>;80:66a81c82>>d389i1<7:50;2x ">>j08?i;4H2ge?kg0<3;nj;5f3gg94?=n;ol1<75f41294?=h00:1<75rs520=?6=:rT?<>74=523g?5am2wx?4<6:181[5>:0168=>l:2de?xu41:;1<7;389i18=>4}r52f?6=:rT<=o52412`>=?73twi=>:=:087>4<3s-3j?7=ib:J:=a=ii>>1=hh8;h637=<622c85?751;9j7<562800c:?l:088f6`bn3:187>50z&:e6<3>11/?k<583f8 <0d2:9o96F>83:17p};02:94?4|V=:846344?:3y]7<4>349mik4vP<923896`bn3>;<6s|70a94?4|V>;h70=ieg8;=5=zuk;88>4>:582>1}#1h91?kl4H8;g?kg0<3;nj45f4114>4<::`0ba7=83>1<7>t$8c0>10?3-9m>76=d:&:2f<4;m?0D>ki;oc40?7bnh1b?kk50;9j7c`=831b8=>50;9l<<6=831v9><7;296~X38:=01>hk1;1ea>{t;0826=4={_1:6<=:;on:6>hi;|q0=67=838pR>7<1:?0ba7=<9:0q~9>d;296~X09m16?kj>:9;3?x{e9:>?6<4;:087!?f;39mn6F69e9me22=9lli7d:?3782>>o41;31=75f3812>4<;n6<44b2dba?6=<3:165c=2B8ik5aa6695``d3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty?<>850;0xZ164>278jlk53gg8yv5>:00;6?uQ380:?85ail08jk5rs2;05?6=:rT85>?4=2dba?2782wx;:4y'=d5=;oh0D47k;oc40?7bnm1b=lh<:088m4d?;3;17d:?a182>>o6io<1=75`70d95?=e9hl96=4::183!?f;3>=46*hf?=0:ikk4i2df>5<5<5<4?:3y]5d`434;jj?4vP>b91894ga:39mj6s|41c3>5<5sW>;m=521`d1>1673ty:mk850;0xZ4ga>27:mk<54138yv16n3:1>vP81g9>5d`5213;7psm151;>4<2280>w)7n3;1ef>N>1m1em::51dde?l7fl00:66g81g82>>of010:66g>90g95?=h9hl;6<44b0ce4?6==3:1?4$84`>4gc02B8ik5aa6695c673`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q2ea?=838pRf;296~X09o16=lh?:2de?xuf010;6?uQa9:894ga83>;<6s|183f>5<5sW;2=h521`d3>1663ty:mk>50;0xZ4ga827:mk>58828yxd6;=<1=7;51;7x 4<55;294~">i:0?:55+3g093c`<,0>1=k>=;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:o4950;0xZ4e>?27:o4853gg8yv7c:00;6?uQ1e0:?87d1?08jk5rs52b4?6=:rT?4=0a:2?2782wx=n7n:181[7d1h16=n79:522?xu0:80;6?uQ733894e>>322<6srb067o6k>n1=75f73395?=ni121=75f183f>4<<,:l965=>;%;5g?7d?j1C?hh4n`57>4`7<2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p5f1c2909wS?l7e9>5f?32:ln7p}82083>7}Y?;;01{ti121<7<6<4;:087!?f;39mn6F69e9me22=9o:>7d=7e882>>o40ml1=75f39g6>4<896<44b25f0?6=<3:165c=2B8ik5aa6695c613`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty84h750;0xZ6>b1278;h:53gg8yv5?lo0;6?uQ39fe?850m=08jk5rs2:f1?6=:rT84h;4=25f0?2782wx;?<50;0xZ245349h=:6`3?!?1k398h85G3dd8jd1328l;;6g>o4no0;66g;0183>>o3880;66a79183>>{e9?3i6<4::086!?f;39mn6F69e9me22=9o:37d:<3782>>o3;8?1=75f3922>4<7?5;n1f5f<622h8i5;2.2:n4<3e78L6ca3gk<87?i089j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v9=<6;296~X3;:<01>k>c;1ea>{t<:;>6=4={_6050=:;l;h6>hi;|q0<57=838pR>6?1:?0a4e=<9:0q~=74383>7}Y;1>970=j1b8744=z{:o:o7>52z\0a4e<5:o:o7660:~f40ck3;197?55z&:e6<4nk1C54j4n`57>4`7i2c??9?51;9j06722800e>6?1;39?l5?<;0:66a=46*j:;I1fb>hf?=0:j=l4i2df>5<5<5<88<4?:3y]0626349mvP;307896`7k39mj6s|3922>5<5sW93<<523g2`>1673ty849<50;0xZ6>3:278j=m54138yv5a8j0;6?uQ3g2`?85a8j035=5r}c35b5<62<0:68u+9`197cd<@03o7co84;3e4f=n<:>?6<44i5121?7=3`93<<4>::k0<14=931d?k;;:088f6`2<3:197>50z&:e6<3>11/?k<58318 <0d2:9o96F1<7;4n<>1?kk4}r6050<72;qU8>?:;<1e11<4no1v>6?1;296~X409;01>h:4;634>{t;1>96=4={_1;07=:;o??69>>;|q0b02=838pR>h:4:?0b02=00:0qpl>6g:95?3=93?p(4o<:2da?M?>l2dj;94>f1g8m153=3;17d:<1482>>o409;1=75f3961>4<<,:l965<<;%;5g?54l<1C?hh4n`57>4`7n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p06222909wS:<449>7c172:ln7p};30794?4|V=9:9633:3:1>vP<850896`083>;=6s|3g53>5<5sW9m;=523g53>=?73twi=:>?:086>4<2s-3j?7=ib:J:=a=ii>>1=k??;h6003<622c??<;51;9j7=662800e>6;2;39?j5a0j0:66l?::181[249<16?k6l:2de?xu409;1<7;4n1i18=>4}r1;07<72;qU?5:=;<1eh7c;296~X4n1i01>h7c;::4>{zj8<>o7?55;391~">i:08jo5G98f8jd1328l:>6g;33`95?=n<:;>6<44i2:35?7=3`938?4>::m0`51=931i?i>8:186>5<7s-3j?7:98:&0b7f018m6`b2900e>hi:188m1672900e9>>:188k=?72900q~:<2c83>7}Y<:8i70=k0680b`=z{=9:97>52z\7743<5:n;;7=if:p7=662909wS=7009>7a602=:;7p}<85094?4|V:2?>63:5y'=d5=;oh0D47k;oc40?7a9=1b8=mn:088md>?2800e<7>e;39?j260=0:66l;19794?2=83:p(4o<:54;?!5a:32886*66b874g`<@:om7co84;3e50=n;oo1<75f3gd94?=n<9:1<75`88294?=z{=:hm7>52z\74fg<5=;397=ie:pe=>=838pRl67;<62<0<4no1v<7>e;296~X618o019?75;634>{t<82?6=4={_62<1=:<82>657?;|a50?1280?6<4;{%;b7?5aj2B25i5aa6695c713`9::h4>::k05d6=931b?<97:088k67?i3;17o=>8c83>1<729q/5l=547:8 6`5219?7)79c;1243=O;ll0bl9;:0d23>o4nl0;66g>o3890;66a79183>>{t;8hj;|q05d6=838pR>?n0:?05=d=;ol0q~=>7983>7}Y;8=370=>8c8745=z{:;3m7>52z\05=g<5:;3n7660:~f42083;187?54z&:e6<4nk1C54j4n`57>4`602c:j<:51;9j5c212800ee;39?j7a;90:66l>f2394?2=83:p(4o<:54;?!5a:32886*66b82ade<@:om7co84;3e5<=n;oo1<75f3gd94?=n<9:1<75`88294?=z{8l:87>52z\2b42<58l8=7=ie:p5c212909wS?i479>5c562:lm7p}>f0g94?4|V8l:i63>f239056:5y'=d5=;oh0D47k;oc40?7a9h1b?<8k:088m67f83;17d=>7982>>i49121=75m30::>5<3290;w)7n3;65<>"4n;03?95+97a974613A9nj6`n7582b4d5<5<vP<1`28967?139mj6s|305;>5<5sW9:;55230::>1673ty8=5650;0xZ67?0278=5758828yxd63;39?l7af0g95?=h9o8n6<44b0d1b?6=<3:1:4$84`>4cfk2B8ik5aa6695c7c3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty:j<=50;0xZ4`6;27:j?h53gg8yv7a>o38h:1=75fa9:95?=n90;n6<44o0cgf?7=3k;jhn4?:483>5}#1h918;64$2d1>=563-3=o7?nd99K7``f:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wx=lj6:181[7fl016=ljl:2df?xu38h:1<7;6imi1?kh4}rc;1673ty:57290>6=4?{%;b7?2102.8j?47309'=3e=9j=h7E=jf:lb31<6n;;0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv7d?m0;6?uQ1b5g?87d0908jh5rs52b4?6=:rT?4=0a;4?5an2wxm5650;0xZd>?34;h4=4;019~w4?6m3:1>vP>90g894e?83>;=6s|1b5e>5<5sW;h;k521b:3>=?73twi=87;:087>4<3s-3j?7=ib:J:=a=ii>>1=k<=;h122f<622c8=l>51;9j741?2800c>?76;39?g560>0;694?:1y'=d5=h=:917?!?1k39:<;5G3dd8jd1328l9?6g>o4no0;66g;0183>>i?190;66s|304`>5<5sW9::n5230:4>6`b3ty8=l>50;0xZ67f8278=5953gd8yv56?10;6?uQ305;?8560>0?<=5rs23;2?6=:rT8=584=23;3?>>82wvn<:9e;390?7=4>d6n;n1<7:50;2x ">>j0:ilm4H2ge?kg0<3;m>85f3gg94?=n;ol1<75f41294?=h00:1<75rs0d26?6=:rT:j<<4=0d1`?5am2wx=k:9:181[7a;6n;n18=>4}r3e6f<72;qU=k1<62=q/5l=53g`8L?n0;39?l56?10:66a<19695?=e;82>6=4;:183!?f;3>=46*9;I1fb>hf?=0:j?94i2df>5<5<?n0;296~X49h:01>?75;1eb>{t;8=36=4={_123==:;82>69>?;|q05=2=838pR>?74:?05=3=00:0qpl>47f95?2=93>p(4o<:2da?M?>l2dj;94>f3:8m4`693;17d?i4782>>o6n8o1=75`1g0b>4<54;294~">i:0?:55+3g09<62<,0>1=k<6;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q2b47=838pR1:?2b7d=;oo0q~?i4783>7}Y9o>=70?i2c80bc=z{8l:i7>52z\2b4c<58l9n7:?0:p5c4f2909wS?i2`9>5c4e213;7psm14;1>4<3280?w)7n3;1ef>N>1m1em::51g0b?l56>h0:66g<1`295?=n;8=36<44o23;6?7=3k9:4>4?:583>5}#1h918;64$2d1>=533-3=o7=>079K7``6`9>74>42:ln7p}<1`294?4|V:;j<63<19197c`4;019~w67?:3:1>vP<1908967?;322<6srb065g?7=<3;18v*6a280bg=O10n0bl9;:0d1g>o6n8:1=75f1g65>4<4<2.2:n4>e`a8L6ca3gk<87?i2e9j7cc=831b?kh50;9j056=831d44>50;9~w4`683:1>vP>f02894`5139mi6s|1g65>5<5sW;m8;521g0:>6`a3ty:j::m05=6=931i?<6>:187>5<7s-3j?7:98:&0b7f3d8m6`b2900e>hi:188m1672900c57?:188yv56>00;6?uQ304:?8560808jh5rs23b4?6=:rT8=l>4=23;5?5an2wx?<97:181[56?116?<6>:523?xu491:1<7;491;144>4}|`203d=93>1=7:t$8c0>6`e3A32h6`n7582b664;699'7c4=0:>0(48l:0gbg>N4mo1em::51g12?l5am3:17d=if;29?l2783:17b660;29?xu6n9l1<7;6n;=1?kk4}r3e03<72;qU=k:9;<3e62<4no1ve;296~X6n8o01{t9o8=6=4={_3e63=:9o8<657?;|a512a280>6<4:{%;b7?5aj2B25i5aa6695c553`;ho44>::k2ga`=931bm5651;9j5<7b2800ch=:912?!?1k3;hml5G3dd8jd1328l8?6g>o4no0;66g;0183>>o3880;66a79183>>{t9ji26=4={_3`g<=:9m836>hj;|q2ga`=838pR=;ol0q~o78;296~Xf0116=i<7:523?xu618o1<7;6l;218=?4}r3g62<72;qU=i<8;<3g6=0<62>i6j1;1=75m1c:1>5<2290;w)7n3;65<>"4n;03?<5+97a95g633A9nj6`n7582b635<5<{ti121<7:181[7e0816=o6=:9;3?x{e9?8i6<47:28b!?f;39mn6F69e9me22=9o9=7d;;3;39?l5>110:66g6<44i2a02?7=3`9h?94>::k0g65=931d?467:088f6??03:197>50z&:e6<3>11/?k<58778 <0d2:9o96FN4mo1em::51g1;?l5am3:17d=if;29?l2783:17b660;29?xd411n1<7=50;2x N4mo1em::51g1:?l5am3:17d=if;29?j>>83:17p}:4283>7}Y==901>778;1eb>{t;0336=4={_1:===:;02369>?;|q0g61=838pR>m<7:?0==?=;oo0q~=l3483>7}Y;j9>70=68880bc=z{:i8:7>52z\0g60<5:3357:?0:p7f532909wS=l359>7<>c2:ln7p}?34924547919~w6??13:1>v3<99:97cc<5:3357660:p7<>c2909w0=6898744=:;02o657?;|a562?280?6<4;{%;b7?5aj2B25i5aa6695c5f3`>;=o4>::k0=7?=931b?4=>:088k2432800n>j<2;290?6=8r.2m>4;699'7c4=0;n0(48l:21g1>N4mo1em::51g1a?l5am3:17d=if;29?l2783:17b660;29?xu388h1<7;4l:81?kk4}r1:6<<72;qU?4<6;<1g77<4no1v>7<1;296~X41:;01>j<2;634>{t?;>1<70965u+9`197cd<@03o7co84;3e7f=n9l:n6<44i0g2e?7=3`;on=4>::k2g=7=931b=4?j:088md>?2800ch=:973?!?1k3;omk5G3dd8jd1328l8h6g>o4no0;66g;0183>>o3880;66a79183>>{e9mhi6=4;:183!?f;3>=46*o4nl0;66g>o3890;66a79183>>{t9l:n6=4={_3f4`=:9mhj6>hi;|q2a4g=838pRa:?2`gg=<9:0q~?kb183>7}Y9mh;70?kb`8744=z{8i3=7>52z\2g=7<58nin7=ie:p5<7b2909wS?61d9>5ade2:lm7p}n8983>7}Yi1201{t9mhj6=4={_3gfd=:9mhj657?;|q2`gd=838p1;6lkh144>4}|`2007=93=1>76t$8c0>6`e3A32h6`n7582b6`62800el67:088m4?6m3;17b?kb782>>d6lk<1<7;50;2x ">>j0:hlh4H2ge?kg0<3;m8=5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8ni;7>54;294~">i:0?:55+3g09<=4<@:om7co84;3e04=n;oo1<75f3gd94?=n<9:1<75`88294?=z{8o;i7>52z\2a5c<58ni:7=if:p5ad72909wS?kb19>5ad12=:;7p}>e0c94?4|V8o:m63>dc49057634;on:4?2909wSo78:?2`g1=;ol0q~?61d83>7}Y90;n70?kb68745=z{8ni:7>52z\2`g0<58ni:7660:p5ad02909w0?kb780b`=:9mh<657?;|a5125280<6?47{%;b7?5aj2B25i5aa6695c253`;h:54>::k2g22=931b=n>n:088m4gcl3;17d?61d82>>of010:66a>c0695?=e9j;?6=4::183!?f;3>=46*6;I1fb>hf?=0:j9=4i2df>5<5<5<5}#1h918;64$2d1>=>53A9nj6`n7582b125<5<vP>c66894e6<3>;<6s|1b2b>5<5sW;h1663ty:mij50;0xZ4gcl27:o<;53gg8yv7>9l0;6?uQ183f?87d9<08jk5rs`:;>5<5sWk3463>c079056v3>c0697cc<58i:97660:~f42393;1;7<58z&:e6<4nk1C54j4n`57>4`3=2c:o;651;9j5f6f2800e>o618o1=75`1b33>4<55;294~">i:0?:55+3g09<07<,0>1=k:9;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:o?:2B8ik5aa6695c203`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty:o;650;0xZ4e1027:o<>53gd8yv7d8h0;6?uQ1b2b?87d990?<=5rs0a40?6=:rT:o::4=0a24?2792wx=ljk:181[7flm16=n?>:2df?xuf010;6?uQa9:894e6939mj6s|183f>5<5sW;2=h521b32>1673ty:o<>50;0xZ4e6827:o<>58828yv7d980;6?u21b33>6`b34;h=<47919~yg74<00:684>:4y'=d5=;oh0D47k;oc40?7a<11b>4:>:088m711k3;17d?nfc82>>o6k0l1=75`73c95?=e<8o:6=4::183!?f;3>=46*hf?=0:j974i2df>5<5<5<:i<4vP=77a8917b939mj6s|1`da>5<5sW;jjo5240g2>1673ty:o4h50;0xZ4e>n27?=h?54138yv15i3:1>vP82`9>04c6213;7psm162;>4N>1m1em::51g6b?l5ak:0:66g;484>::k0=7`=931b?4=<:088m6`d:3;17d=7f782>>o41;>1=75`3gc4>4<55;294~">i:0?:55+3g09<12<,0
    =k5:J0ac=ii>>1=k:m;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8jl650;194?6|,0k86987;%1e6?>4>2B8ik5aa6695c2d3`9mi7>5;h1eb?6=3f22<7>5;|`0bd?=83?1<7>t$8c0>10?3-9m>76>1=k:k;h1ea?6=3`9mj7>5H2a;?>o3890;66g;0083>>i?190;66sm3gc7>5<3290;w)7n3;65<>"4n;038<5G3dd8jd1328l?i6g>o4no0;66g;0183>>i?190;66s|3ga0>5<5sW9mo>523gc4>1673ty8j4j50;0xZ6`>l278jl954138yv5>:>0;6?uQ3804?85ai108jh5rs51f;4nh318=>4}r1:76<72;qU?4=<;<1ee<<3881v>hl2;296~X4nj801>hn4;1ea>{t;1l=6=4={_1;b3=:;ok?6>hi;|q0=72=838pR>7=4:?0bd2=<9:0q~=ia683>7}Y;ok<70=ia68;=5=z{:lj57>52z?0bd1=;oo01>hn9;::4>{t;ok?6=4={<1ee2<4no16?ko;:9;3?xu4nh21<7>8278jl753gg8yxd6?9l1=7l54;gx hj1;39?l5ak?0:66g<93595?=n<:o26<44i52;2?7=3`92>k4>::k0=65=931b?kk?:088m6>a?3;17d=62582>>i4njk1=75m3gab>5<2290;w)7n3;65<>"4n;03895+97a976b23A9nj6`n7582b065<5<<,:l965=9;I1fb>hf?=0:j8?4i2df>5<5<5}#1h918;64$2d1>=5b3A9nj6`n7582b045N4mo1em::51g70?l5am3:17d=if;29?l2783:17b660;29?xu4nl;1<7;4njk18=>4}r1eg3<72;qU?km9;<1egd<3881v>7=7;296~X41;=01>hlb;1ea>{t<:o26=4={_60a<=:;oii6>hi;|q74=0=838pR9>76:?0bfe=;ol0q~=62g83>7}Y;08m70=icb8745=z{:38?7>52z\0=65<5:lho7:?1:p7cc72909wS=ie19>7ce>2:ln7p}<8g594?4|V:2m;6394?:3y]7<43349mo44;019~w6`di3:1>vP5<5s49mol47ced213;7p}7}:;oii657?;<1egf<4nl1vqo?81782>g<32lq/5l=53g`8Lhi9;39?l5>:>0:66g;3dc95?=n<92<6<44i2;1b?7=3`92?>4>::k0bc1=931b?5h7:088m6?5<3;17b=ie982>>d4nl21<7;50;2x ">>j08?i;4H2ge?kg0<3;m985f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:ln57>53;294~">i:0?:55+3g09<60<@:om7co84;3e13=n;oo1<75f3gd94?=h00:1<75rb2dfe?6==3:1k4H2ge?kg0<3;m9:5f3gg94?=n;ol1<7F>:188k=?72900qo=ifb83>1<729q/5l=547:8 6`521>:7E=jf:lb31<6n<20e>hj:188m6`a2900e9>?:188k=?72900q~=if983>7}Y;ol370=ie98745=z{:lm57>52z\0bc?<5:ln47:?1:p7<402909wS=6269>7cc>2:ln7p};3dc94?4|V=9nm63;4:4?:3y]05>0349mil4vP<93d896`bi3>;<6s|3810>5<5sW92?>523ggb>1663ty8jk950;0xZ6`a?278jkm53gg8yv5?n10;6?uQ39d;?85anj08jk5rs2;10?6=:rT85?:4=2deg?2782wx?kk7:181[5am116?kk7:9;3?xu4nlk1<76`a349mjn47919~w6`b13:1>v34`212c?<<;51;9j05712800e>7=7;39?l24mk0:66g;09:95?=n;08m6<44i2;07?7=3`>;=94>::k00<729q/5l=547:8 6`521>?7)79c;10`0=O;ll0bl9;:0d6e>o4nl0;66g>o3890;66g;0083>>i?190;66sm4127>5<4290;w)7n3;65<>"4n;03?;5G3dd8jd1328l>n6g>o4no0;66a79183>>{e<9:>6=4::183!?f;3>=46*o4nl0;66g>o3890;66g;0083>>i?190;66sm413:>5<3290;w)7n3;65<>"4n;038<5G3dd8jd1328l>h6g>o4no0;66g;0183>>i?190;66s|4136>5<5sW>;=8524120>1673ty?<<850;0xZ166>27?<==54138yv5>:>0;6?uQ3804?8278=08jh5rs51ff?6=:rT??hl4=5230?5an2wx8=67:181[2701168=>::2de?xu41;l1<7;389?18=>4}r1:76<72;qU?4=<;<6340<3881v9>>4;296~X388>019>>9;1ea>{t;1l26=4={_1;b<=:<9;26>hi;|q0=72=838pR>7=4:?744?=<9:0q~:?0283>7}Y<9:870:?028;=5=z{=:;97>52z?7455=;oo019>?5;::4>{t<9;26=4={<6346<4no168=?6:9;3?xu389>1<7>827?<=;53gg8yxd6>?31=7l54;gx jk4>::k0=65=931b?i=n:088m6>bm3;17d=62582>>i4l;h1=75m3e0a>5<2290;w)7n3;65<>"4n;03895+97a976b23A9nj6`n7582b0`5<5<<,:l965=9;I1fb>hf?=0:j;>4i2df>5<5<i4?:483>5}#1h918;64$2d1>=5b3A9nj6`n7582b375N4mo1em::51g41?l5am3:17d=if;29?l2783:17b660;29?xu4l:h1<7;4l;h18=>4}r1g7f<72;qU?i=l;<1g6g<3881v>7=7;296~X41;=01>j=c;1ea>{t<:io6=4={_60ga=:;m8h6>hi;|q743g=838pR9>9a:?0`7b=;ol0q~=62g83>7}Y;08m70=k2e8745=z{:38?7>52z\0=65<5:n9h7:?1:p7a5f2909wS=k3`9>7a5a2:ln7p}<8dg94?4|V:2ni6394?:3y]7<43349o?k4;019~w6b5j3:1>vP5<5s49o>o47a4c213;7p}7}:;m8h657?;<1g6a<4nl1vqo=8eg82>0<729q/5l=547:8 6`52>=<7)79c;10`0=O;ll0bl9;:0d57>o4nl0;66g>o3890;66g;0083>>i?190;66sm1514>4<02;03w)7n3;1ef>N>1m1em::51g47?l4f1k0:66g;0`295?=n<;<36<44i0ce2?7=3`k347?5;h3:5`<622e:mh951;9a5dc0290>6=4?{%;b7?2102.8j?47509'=3e=9hn37E=jf:lb31<6n??0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg7fm10;694?:1y'=d5=h=:964?M5bn2dj;94>f748m6`b2900e>hi:188m1672900c57?:188yv4f1k0;6?uQ2`;a?87fm>08jk5rs52b4?6=:rT?4=0cf3?2782wx8?87:181[25>116=lk8:522?xu6io<1<7;6il21?kk4}rc;6`a3ty:50;6?uQ1`g4?87fm>035=5rs0cfo5ih;1=75f41c3>4<::k2=4c=931d=n6m:088f4e?j3:197>50z&:e6<3>11/?k<58438 <0d28iN4mo1em::51g4:?l5am3:17d=if;29?l2783:17b660;29?xu5ih;1<7;6k1h1?kh4}r63e5<72;qU8=o?;<3`{t9j3j6=4={_3`=d=:9j2h6>hj;|qb<=<72;qUm564=0a;g?5an2wx=4?j:181[7>9l16=n6l:523?xu6k1h1<7;6k1h144>4}r3`<,:l96:7;;%;5g?54l<1C?hh4n`57>4`1i2c8jh4?::k0bc<722c?<=4?::m;=5<722wi?4;=:086>5<7s-3j?7:98:&0b7f7`8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?:f882>0<62=:088m63an3;17d=81582>>i4?8;1=75m3636>5<2290;w)7n3;65<>"4n;038l5+97a976b23A9nj6`n7582b3b;4?8?1?kk4}r436?6=:rT=6`a3ty89kh50;0xZ63an278;<;54128yv509=0;6?uQ3637?8509<0?<<5rs2525?6=:rT8;>82wvn<;i7;391?7==r.2m>49?c;39?j50800:66l<71f94?3=83:p(4o<:54;?!5a:32?m6*66b807a3<@:om7co84;3e2c=n;oo1<7F?:188m1662900c57?:188yv50990;6?uQ3633?8508m08jh5rs721>5<5sW<;>63<71f97c`jk4?:3y]70`a349<vP<71a89617l3>;=6s|362:>5<5sW9<<452362g>=?73twi=8h::086>4<2s-3j?7=ib:J:=a=ii>>1=k9?;h144=<622c=::k01c`=931b?:>;:088k61793;17o=80483>0<729q/5l=547:8 6`521>j7)79c;10`0=O;ll0bl9;:0d45>o4nl0;6E=l8:9j7c`=831b8=>50;9j057=831d44>50;9~w61703:1>vP<71:89617=39mi6s|61094?4|V?:970=80480bc=z{:?mj7>52z\01c`<5:=;97:?0:p72632909wS=8059>72622=::7p}<71394?4|V:=;=63<7179<<6hm;I;:`>hf?=0:j:<4i2534?7=3`<;>7?5;h16bc<622c8:km51;9l73`02800n>8id;291?6=8r.2m>4;699'7c4=0=k0(48l:21g1>N4mo1em::51g50?l5am3:1D>m7;:k0bc<722c?<=4?::k744<722e35=4?::p72672909wS=8019>73`c2:ln7p}90383>7}Y>9801>8id;1eb>{t;?;|q02ce=838pR>8ic:?02cb=<9;0q~=9f683>7}Y;?l<70=9fe8;=5=zuk>:h54>:483>5}#1h918;64$2d1>=6b3-3=o7:?889K7``:n:086>4<2s-3j?7=ib:J:=a=ii>>1=k9:;h61=g<622c8;k651;9j7=662800e9<77;39?j14i3;17o=jc783>0<729q/5l=547:8 6`52>2n7)79c;10`0=O;ll0bl9;:0d42>o4nl0;66g>o3890;66g;0083>>i?190;66s|43;a>5<5sW>95o523da5>6`b3ty8;k650;0xZ61a0278in853gd8yv5?880;6?uQ3922?85bk?0?<=5rs50;3?6=:rT?>594=2g`2?2792wx;>o50;0xZ25f349no;47919~yg5bk;0:684?:1y'=d5=h=:6f5?!?1k398h85G3dd8jd1328l<;6g>o4no0;66g;0183>>o3880;66a79183>>{e9:>i6<4;:087!?f;39mn6F69e9me22=9o=37d=k1782>>o4l881=75f3e36>4<9i6<44b2f3a?6=<3:165c=2B8ik5aa6695c1>3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty8h<850;0xZ6b6>278h=k53gg8yv5c9;0;6?uQ3e31?85c8l08jk5rs2f21?6=:rT8h<;4=2f3a?2782wx;>l50;0xZ25e349oh=:654?!?1k398h85G3dd8jd1328l>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn>hif;391?6=8r.2m>4;699'7c4=?>=0(48l:21g1>N4mo1em::51g5a?l5am3:17d=if;29?l2783:17d:?1;29L6e?32e35=4?::a7a40280>6=4?{%;b7?2102.8j?48769'=3e=;:n>7E=jf:lb31<6n>i0e>hj:188m6`a2900e9>?:188m166290C?n64;n::4?6=3th:?9m51;795?3|,0k86>hm;I;:`>hf?=0:j:j4i2f75?7=3`<:;7?5;h14b=<622c84>?51;9l36`=931i?i:::186>5<7s-3j?7:98:&0b7f6g8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=k4083>7}Y;m>:70=k4480b`=z{?;<6=4={_423>;4l=?1?kh4}r14b=<72;qU?:h7;<1g00<3891v>6<1;296~X40:;01>j;5;635>{t?:l1<7<,:l96:98;%;5g?54l<1C?hh4n`57>4`0n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7a3f280>6=4?{%;b7?2102.8j?48769'=3e=;:n>7E=jf:lb31<6n1:0e>hj:188m6`a2900e9>?:188m166290C?n64;n::4?6=3th8h:851;794?6|,0k86987;%1e6?10?2.2:n4<3e78L6ca3gk<87?i809j7cc=831b?kh50;9j056=831b8=?50;J0g==<,:l96:98;%;5g?54l<1C?hh4n`57>4`?:2c8jh4?::k0bc<722c?<=4?::k744<72A9h465`88294?=zj:nj97?55;294~">i:0?:55+3g09321<,0
    =k5:J0ac=ii>>1=k6<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5H2a;?>i?190;66sm3e`b>4<2290;w)7n3;65<>"4n;0<;:5+97a976b23A9nj6`n7582b=25<5">>j08?i;4H2ge?kg0<3;m485f3gg94?=n;ol1<75f41294?=n<9;1<7F0<729q/5l=547:8 6`52>=<7)79c;10`0=O;ll0bl9;:0d;2>o4nl0;66g>o3890;66g;0083>M5d021d44>50;9~f6ba13;197>50z&:e6<3>11/?k<57658 <0d2:9o96Fm7;:m;=5<722wi?h=?:086>5<7s-3j?7:98:&0b7<0?>1/5;m532f6?M5bn2dj;94>f9:8m6`b2900e>hi:188m1672900e9>>:18K7f><3f22<7>5;|`0a13=93?1<7>t$8c0>10?3-9m>7987:&:2f<4;m?0D>ki;oc40?7a001b?kk50;9j7c`=831b8=>50;9j057=83B8o554o9;3>5<:483>5}#1h918;64$2d1>2103-3=o7==h00:1<75rb2g5b?7==3:165c=2B8ik5aa6695c>e3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=@:i376a79183>>{e;l2?6<4::183!?f;3>=46*j:;I1fb>hf?=0:j5m4i2df>5<5<>83:17plh=:654?!?1k398h85G3dd8jd1328l3i6g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn>kk6;391?6=8r.2m>4;699'7c4=?>=0(48l:21g1>N4mo1em::51g:e?l5am3:17d=if;29?l2783:17d:?1;29L6e?32e35=4?::a7`ce280>6=4?{%;b7?2102.8j?48769'=3e=;:n>7E=jf:lb31<6n0:0e>hj:188m6`a2900e9>?:188m166290C?n64;n::4?6=3th8j<,:l96:98;%;5g?54l<1C?hh4n`57>4`>:2c8jh4?::k0bc<722c?<=4?::k744<72A9h465`88294?=zj8?m<7?55;391~">i:08jo5G98f8jd1328l2?6g<8e195?=n=ml1=75f3777>4<1<2.2:n4<3e78L6ca3gk<87?i959j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>6k3;296~X40m901>8j8;1ea>{t=ml1<7l21<7;4>l2144>4}|`21`c=93?1=7;t$8c0>6`e3A32h6`n7582b<3::k0<73=931d?;k=:088f60b:3:197>50z&:e6<3>11/?k<58768 <0d2:9o96F;4>l81?kk4}r7gb?6=:rT>hk5237g1>6`a3ty8:8:50;0xZ602<278:h<54128yv5?:<0;6?uQ3906?851m;0?<<5rs24f6?6=:rT8:h<4=24f6?>>82wvn<;jc;391?7==r.2m>46>a;39?j51lj0:66l<6ea94?3=83:p(4o<:54;?!5a:32=86*66b807a3<@:om7co84;3e===n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs2:g7?6=:rT84i=4=24gg?5am2wx9ih50;0xZ0ba349=hn4vP<6468960ck3>;<6s|393b>5<5sW93=l5237f`>1663ty8:im50;0xZ60ck278:im58828yxd6=lk1=7;51;7x 6k3;39?l3cn3;17d=95582>>o409l1=75`37f5>4<55;294~">i:0?:55+3g09<32<,0
    =k5:J0ac=ii>>1=k7n;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty84i=50;0xZ6>c;278:i853gg8yv3cn3:1>vP:dg9>73b12:lm7p}<64694?4|V:<>863<6e490563:1>vP<6e48960c>322<6srb07fo40m91=75f5ed95?=n;???6<44i2:27?7=3f9=h=4>::`02a6=83?1<7>t$8c0>10?3-9m>7694:&:2f<4;m?0D>ki;oc40?7a1j1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6>c;3:1>vP<8e18960c839mi6s|5ed94?4|V87>52z\0202<5:73b72=::7p}<6e294?4|V:hm;I;:`>hf?=0:j4j4i2:g7?7=3`?oj7?5;h1511<622c84<<51;9l73ef2800n>8la;291?6=8r.2m>4;699'7c4=0?>0(48l:21g1>N4mo1em::51g;f?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<8e194?4|V:2o?63<6bc97cc52z\6`c=:;?ij6>hi;|q0202=838pR>8:4:?02fg=<9:0q~=71383>7}Y;1;970=9c`8744=z{:52z\02fg<5:4`>n2c84i=51;9j1a`=931b?;;;:088m6>693;17b=9c582>>d4>j>1<7;50;2x ">>j08?i;4H2ge?kg0<3;mm=5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:2o?7>52z\08:4;296~X4><>01>8l4;634>{t;1;:6=4={_1;54=:;?i?69>>;|q02f2=838pR>8l4:?02f2=00:0qpl>5d195?3=93?p(4o<:2da?M?>l2dj;94>f`38m6>c;3;17d;kf;39?l51==0:66g<80295?=h;?hn6<44b24aa?6==3:165c=2B8ik5aa6695cg53`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q06k3:?02gc=;oo0q~;kf;296~X2lo16?;lj:2de?xu4><>1<7;4>ko18=>4}r1;55<72;qU?5??;<15f`<3881v>8me;296~X4>ko01>8me;::4>{zj8?n=7?55;391~">i:08jo5G98f8jd1328lj?6g<8e195?=n=ml1=75f3777>4<1<2.2:n4<3e78L6ca3gk<87?ia59j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>6k3;296~X40m901>8m6;1ea>{t=ml1<7j:181[5?8l16?;l9:522?xu4>k?1<7;4>k<144>4}|`230d=93?1=7;t$8c0>6`e3A32h6`n7582bd3h=:946?!?1k398h95G3dd8jd1328lj:6g>o4no0;66g;0183>>o3880;66a79183>>{t;1n86=4={_1;`6=:<:>i6>hj;|q01c`=838pR>;if:?771d=;ol0q~=95583>7}Y;???70:<4c8745=z{;h9?7>52z\1f75<5=9?n7:?1:p06452909wS:<239>062e213;7psm14da>4<2280>w)7n3;1ef>N>1m1em::51gc4?l5?l:0:66g<5gd95?=n;1n<6<44i2460?7=3f9<>44>::`037g=83?1<7>t$8c0>10?3-9m>7680:&:2f<4;m?0D>ki;oc40?7ai11b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6>c;3:1>vP<8e189615i39mi6s|34de>5<5sW9>jk52360b>6`a3ty84i950;0xZ6>c?278;?o54128yv51==0;6?uQ3777?850:h0?<<5rs251=?6=:rT8;?74=251e?>>82wvn<9;e;391?7==r.2m>40:66l;19:94?3=83:p(4o<:54;?!5a:3=m;6*66b874g`<@:om7co84;3eed=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs52`g?6=:rT?a34>:454vP;0e58917?03>;<6s|40:5>5<5sW>:4;5240:;>1663ty?=5950;0xZ17??27?=5658828yxd3:?>1=7;50;2x ">>j0?<574H2ge?kg0<3;mmo5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8=897?55;391~">i:08jo5G98f8jd1328ljo6g;0bf95?=n<9n96<44i53a=?7=3`>;o94>::m74f`=931i8=mi:186>5<7s-3j?7:98:&0b7f`f8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~:?ce83>7}Y<9io70:?cg80b`=z{=:o>7>52z\74a4<5=:hj7=if:p04d>2909wS:>b89>05ea2=:;7p};0b694?4|V=:h863;0bd9057;ok4?:3y]05ea34>;ok47919~yg5ak80:684?:1y'=d5=h=:903?!?1k398h85G3dd8jd1328lji6g>o4no0;66g;0183>>o3880;66a79183>>{e9>o40mo1=75f36ga>4<<,:l965<7;%;5g?54l<1C?hh4n`57>4`e82c8jh4?::k0bc<722c?<=4?::m;=5<722wx?4=::181[5>;<16?o;k:2df?xu40mo1<7;4j8?3;296~X4>9901>l:d;::4>{zj:38h7?55;294~">i:0?:55+3g09<2d<,0
    =k5:J0ac=ii>>1=kl>;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8n8>51;794?6|,0k86987;%1e6?1b<2.2:n4<98d8L6ca3gk<87?ib39j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn<9=8;391?7==r.2m>4e;39?j27jk0:66l;0ca94?3=83:p(4o<:54;?!5a:32=?6*66b874=?<@:om7co84;3ef1=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs`:;>5<5sWk3463;0ca97cc;nn43m3:1>vP<85g8916ek3>;<6s|183f>5<5sW;2=h5241``>1663ty?">>j09=>>4H2ge?kg0<3;mn85f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8??87?55;391~">i:08jo5G98f8jd1328li:6g=77595?=n:><26<44i52b4?7=3`>;m<4>::m1egd=931i>:8n:186>5<7s-3j?7:98:&0b7fc58m6`b2900e>hi:188m1672900e9>>:188k=?72900q~<86683>7}Y:><<70<86`80b`=z{;==57>52z\133?<5;==m7=if:p05g72909wS:?a19>620f2=:;7p};0`394?4|V=:j=63=77c9057:4y'=d5=;oh0D47k;oc40?7aj11b>:8>:088m711;3;17d:?a182>>o38h;1=75`2``b>4<55;294~">i:0?:55+3g09<6e<,0>1=kl6;h1ea?6=@:i376g>o3890;66g;0083>>i?190;66s|2642>5<5sW8<:<522647>6`b3ty9;;=50;0xZ711;279;;:53gd8yv27i90;6?uQ41c3?840>=0?<=5rs52b5?6=:rT?lln:181[4fjh16>:8;:9;3?x{e9<>96<4::086!?f;39mn6F69e9me22=9ohj7d<85`82>>o5?4<4k2.2:n4=1228L6ca3gk<87?ibc9j7cc=83B8o554i2de>5<5<:;n;<041a<4nl1v?9:c;296~X5?{t<9k;6=4={_63e5=::>?o69>?;|q74d7=838pR9>n1:?130b=<9;0q~7}Y:hh270<85e8;=5=zuk;>o;4>:482>0}#1h91?kl4H8;g?kg0<3;mnn5f327:>4<>7?5;hc;::m0727=931i?>9=:186>5<7s-3j?7:98:&0b7fcf8m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=<5883>7}Y;:?270=<7380b`=z{:9>>7>52z\0704<5:9<>7=if:pe=>=838pRl67;<1037<3891v<7>e;296~X618o01>=82;635>{t;:=:6=4={_1034=:;:=9657?;|a51?a280>6<4:{%;b7?5aj2B25i5aa6695cdb3`8:<=4>::k14c?=931bm5651;9j5<7b2800c??>8;39?g46900;684?:1y'=d5=h=:967?!?1k38;4h5G3dd8jd1328lij6g>o4no0;66g;0183>>o3880;66a79183>>{t:8:;6=4={_0245=::8;26>hj;|q14c?=838pR?>i9:?154?=;ol0q~o78;296~Xf0116>;598318=?4}r025=<72;qU>0<622800e>=:1;39?lg?03;17d?61d82>>i4;?l1=75m3253>5<2290;w)7n3;65<>"4n;03895+97a977c03A9nj6`n7582bf75<5<;6;<1035<4nl1v>=:1;296~X4;<;01>=80;1eb>{ti121<78i:181[54>o16?>9?:9;3?x{e9=3n6<4::086!?f;39mn6F69e9me22=9oi97d<>0182>>o58o21=75fa9:95?=n90;n6<44o3322?7=3k8:=:4?:483>5}#1h918;64$2d1>=233-3=o7<>?:181[468916>;598=1?kh4}rc;1673ty:5035=5r}c36g1<62<0:68u+9`197cd<@03o7co84;3eg1=n;:?26<44i2164?7=3`k347?5;h3:5`<622e8?;j51;9a760b290>6=4?{%;b7?2102.8j?47459'=3e=;;o<7E=jf:lb31<6nj?0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv54=00;6?uQ327:?854>l08jh5rs2164?6=:rT8?8>4=215a?5an2wxm5650;0xZd>?3498:h4;019~w4?6m3:1>vP>90g89651m3>;=6s|324g>5<5sW98:i52324f>=?73twi=97k:086>4<2s-3j?7=ib:J:=a=ii>>1=km9;h0245<622c9=931b=4?j:088k776<3;17o<>1483>0<729q/5l=547:8 6`521>?7)79c;03<`=O;ll0bl9;:0d`3>o4nl0;66g>o3890;66g;0083>>i?190;66s|2023>5<5sW8:<=522036>6`b3ty9vPn899>64722=:;7p}>90g94?4|V83:i63=1079057:4y'=d5=;oh0D47k;oc40?7ak11b>o<<:088m6?4=3;17d=7dd82>>o4?lh1=75`381b>4<55;294~">i:0?:55+3g09<07<,0
    =k5:J0ac=ii>>1=km6;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9n?=50;0xZ7d5;2785>l53gg8yv5>;<0;6?uQ3816?85>;k08jk5rs2:ga?6=:rT84ik4=2;0f?2782wx?:km:181[50mk16?4=m:522?xu41:k1<7;41:h144>4}|`216c=93>1=7:t$8c0>6`e3A32h6`n7582bfg;5h4>::m1ed6=931i8?6?:187>5<7s-3j?7:98:&0b7fb`8m6`b2900e>hi:188m1672900c57?:188yv36<3:1>vP:159>07>72:ln7p};08d94?4|V=:2j63;29297c`;5h4?:3y]05?b34>94=4;019~w7gf83:1>vP=a`28914?8322<6srb0667?7=<3;18v*6a280bg=O10n0bl9;:0d`g>o6k1;1=75fa9:95?=n90;n6<44o0g2f?7=3k;n=n4?:583>5}#1h918;64$2d1>=>53-3=o7?kag9K7``62909wS?l809>5`7d2:ln7p}n8983>7}Yi1201c;1eb>{t90;n6=4={_3:5`=:9l;h69>?;|q2a4d=838pRb:?2a4e=00:0qpl>45195?2=93>p(4o<:2da?M?>l2dj;94>fbg8m4gcl3;17do78;39?l7>9l0:66a>c6795?=e9j==6=4;:183!?f;3>=46*6;I1fb>hf?=0:jnh4i2df>5<5<9l0;6?uQ183f?87d??0?<=5rs0a41?6=:rT:o:;4=0a42?>>82wvn<;?e;391?7==r.2m>4<;0:66l<11694?3=83:p(4o<:54;?!5a:328<6*66b81bc4<@:om7co84;3e`4=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs437>5<5sW?:863<11697cc;5k4?:3y]05?a349:<94m3:1>vP;08g89677<3>;<6s|434;>5<5sW>9:5523027>1663ty959<50;0xZ7?3:278==:58828yxd6;=n1=7;51;7x l7a;39?l5>;<0:66g<7d`95?=n;1o26<44o677>4<55;294~">i:0?:55+3g09<74<,0
    =k5:J0ac=ii>>1=kj<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty8n5o50;0xZ6d?i278n:l53gg8yv5>;<0;6?uQ3816?85e?k08jk5rs25ff?6=:rT8;hl4=2`4f?2782wx?5k6:181[5?m016?o9m:522?xu0==0;6?uQ746896d0j322<6srb056b?7==3;19v*6a280bg=O10n0bl9;:0dg0>o0==0:66g4<<,:l965:<;%;5g?54l<1C?hh4n`57>4`c=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p302=838pR:;;;<1a3d<4nl1v>l8e;296~X4j>o01>l8a;1eb>{t;0;36=4={_1:5==:;k=j69>?;|q6ac<72;qU9hh4=2`4e?2792wx8>9::181[24?<16?o9n:9;3?x{e9:>n6<4::086!?f;39mn6F69e9me22=9on=7d=m8c82>>o41:?1=75f36ga>4<5}#1h918;64$2d1>=453-3=o7=;4j1:1?kh4}r14ag<72;qU?:km;<1a<5<3891v>6j9;296~X40l301>l70;635>{t?4<8;;4>::`0f2`=83?1<7>t$8c0>10?3-9m>76;3:&:2f<4;m?0D>ki;oc40?7al01b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w2322909wS9:5:?0f2`=;oo0q~=m8283>7}Y;k2870=m7g80bc=z{:3:57>52z\0=4?<5:h<01>l8f;::4>{zj89?j7?55;391~">i:08jo5G98f8jd1328lom6g6<44i25ff?7=3`93i44>::m413<622h8n8:50;794?6|,0k86987;%1e6?>5:2.2:n4<3e78L6ca3gk<87?idc9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>l7c;296~X4j1i01>l:4;1ea>{t;09>6=4={_1:70=:;k??6>hi;|q03`d=838pR>9jb:?0f02=<9:0q~=7e883>7}Y;1o270=m558744=z{>?=6=4={_562>;4j<>144>4}|`230c=93?1=7;t$8c0>6`e3A32h6`n7582bae?=6<44i2`6g?7=3`92=<4>::k6ac<622e??;k51;9a7g34290>6=4?{%;b7?2102.8j?47429'=3e=;:n>7E=jf:lb31<6nmn0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv12>3:1>vP8579>7g342:ln7p}o634;019~w0ca2909wS;jf:?0f05=<9;0q~:<6d83>7}Y<::582>1}#1h91?kl4H8;g?kg0<3;mhh5f397f>4<8=>4>::`7742=83>1<7>t$8c0>10?3-9m>7683:&:2f<3;8:0D>ki;oc40?7alo1b?kk50;J0g==5<9l0;6?uQ183f?8249=0?<=5rs5127?6=:rT??<=4=5120?>>82wvn<;l3;391?7==r.2m>4;6:088md>?2800e<7>e;39?j54>k0:66l<37a94?3=83:p(4o<:54;?!5a:328=6*66b806`1<@:om7co84;3ea4=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs217b?6=:rT8?9h4=215g?5am2wx?>;6:181[54=016?>8l:2de?xuf010;6?uQa9:89651k3>;<6s|183f>5<5sW;2=h52324`>1663ty8?;l50;0xZ651j278?;m58828yxd6<0i1=7;51;7x i6;39?l46890:66gn8982>>o618o1=75`2031>4<55;294~">i:0?:55+3g09<67<,07e:J0ac=ii>>1=kk<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9279=<=53gg8yv46890;6?uQ2023?8469:08jk5rs`:;>5<5sWk3463=10190564;009~w776:3:1>vP=10089776;322<6srb07`6?7==3;19v*6a280bg=O10n0bl9;:0df0>o4;=o1=75f327:>4<::`073g=83?1<7>t$8c0>10?3-9m>76<1:&:2f<4:l=0D>ki;oc40?7am<1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w653m3:1>vP<35g89651i39mi6s|327:>5<5sW989452324b>6`a3tyj454?:3y]e=><5:9=m7:?0:p5<7b2909wS?61d9>760f2=::7p}<37;94?4|V:9=563<37c9<<6hm;I;:`>hf?=0:jh84i32e1?7=3`8:<=4>::kb<=<622c:51;291?6=8r.2m>4;699'7c4=0:;0(48l:32;a>N4mo1em::51gg4?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=0g794?4|V;:m963=10397cc?2909wSo78:?1547=<9:0q~?61d83>7}Y90;n70<>108744=z{;;:<7>52z\1546<5;;:=7660:~f43d93;197?55z&:e6<4nk1C54j4n`57>4`b02c8?9j51;9j763>2800el67:088m4?6m3;17b=<6682>>d4;?21<7;50;2x ">>j08>h94H2ge?kg0<3;mi45f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:9?h7>52z\071b<5:9=47=ie:p763>2909wS=<589>760?2:lm7p}n8983>7}Yi1201>=98;634>{t90;n6=4={_3:5`=:;:<369>>;|q0731=838pR>=97:?073>=00:0qpl>48c95?3=93?p(4o<:2da?M?>l2dj;94>fdc8m76a<3;17d<>0182>>of010:66g>90g95?=h:8:n6<44b333b?6==3:1?4$84`>76?m2B8ik5aa6695cce3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q14c2=838pR?>i4:?155`=;oo0q~<>0183>7}Y:8:;70<>0g80bc=z{h236=4={_c;<>;599l18=>4}r3:5`<72;qU=4?j;<024c<3881v???e;296~X599o01???f;::4>{zj8?h<7?55;391~">i:08jo5G98f8jd1328lno6g<35a95?=n;:?26<44i`:;>4<492.2:n4<2d58L6ca3gk<87?iee9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>=;c;296~X4;=i01>=96;1ea>{t;:?26=4={_101<=:;:<=6>hi;|qb<=<72;qUm564=2152?2782wx=4?j:181[7>9l16?>89:522?xu4;??1<7;4;?<144>4}|`206`e3A32h6`n7582b`c::k2=4c=931d><>l:088f777l3:197>50z&:e6<3>11/?k<58238 <0d2;:3i6F;599n1?kk4}r0245<72;qU><>?;<024a<4no1vl67:181[g?0279==j54128yv7>9l0;6?uQ183f?8468m0?<<5rs333g?6=:rT9==m4=333`?>>82wvn<;mf;391?7==r.2m>4;6:088md>?2800e<7>e;39?j54>:0:66l<37694?3=83:p(4o<:54;?!5a:328=6*66b806`1<@:om7co84;3eb4=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs217f?6=:rT8?9l4=2150?5am2wx?>;6:181[54=016?>8;:2de?xuf010;6?uQa9:89651<3>;<6s|183f>5<5sW;2=h523247>1663ty8?;=50;0xZ651;278?;:58828yxd6<021=7;51;7x i2;39?l46890:66gn8982>>o618o1=75`202b>4<55;294~">i:0?:55+3g09<67<,07e:J0ac=ii>>1=kh<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty95<5sWk3463=11`9056vP=11c89777j322<6srb07aa?7==3;19v*6a280bg=O10n0bl9;:0de0>o4;=k1=75f327:>4<::`0734=83?1<7>t$8c0>10?3-9m>76<1:&:2f<4:l=0D>ki;oc40?7an<1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w653i3:1>vP<35c89651:39mi6s|327:>5<5sW9894523241>6`a3tyj454?:3y]e=><5:9=>7:?0:p5<7b2909wS?61d9>76052=::7p}<37394?4|V:9==63<3709<<6hm;I;:`>hf?=0:jk84i32e5?7=3`8:<=4>::kb<=<622c:54;699'7c4=0:;0(48l:32;a>N4mo1em::51gd4?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=0g394?4|V;:m=63=11;97cc?2909wSo78:?155?=<9:0q~?61d83>7}Y90;n70<>088744=z{;;;47>52z\155><5;;;57660:~f43dk3;197?55z&:e6<4nk1C54j4n`57>4`a02c8?8651;9j763>2800el67:088m4?6m3;17b=<7e82>>d4;>o1<7;50;2x ">>j08>h94H2ge?kg0<3;mj45f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:9>47>52z\070><5:92909wS=<589>761b2:lm7p}n8983>7}Yi1201>=8e;634>{t90;n6=4={_3:5`=:;:=n69>>;|q072b=838pR>=8d:?072c=00:0qpl>4`795?3=93?p(4o<:2da?M?>l2dj;94>fgc8m76an3;17d<>0182>>of010:66g>90g95?=h:88?6<44b3311?6==3:1?4$84`>76?m2B8ik5aa6695c`e3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q14c`=838pR?>if:?1573=;oo0q~<>0183>7}Y:8:;70<>2480bc=z{h236=4={_c;<>;59;?18=>4}r3:5`<72;qU=4?j;<0260<3881v??=4;296~X59;>01??=5;::4>{zj8?hn7?55;391~">i:08jo5G98f8jd1328lmo6g<34595?=n;:?26<44i`:;>4<492.2:n4<2d58L6ca3gk<87?ife9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>=:7;296~X4;<=01>=8c;1ea>{t;:?26=4={_101<=:;:=h6>hi;|qb<=<72;qUm564=214g?2782wx=4?j:181[7>9l16?>9l:522?xu4;>h1<7;4;>i144>4}|`20d2=93?1=7;t$8c0>6`e3A32h6`n7582bcc::k2=4c=931d><<=:088f775;3:197>50z&:e6<3>11/?k<58238 <0d2;:3i6F;59;91?kk4}r0245<72;qU><>?;<0266<4no1vl67:181[g?0279=?=54128yv7>9l0;6?uQ183f?846::0?<<5rs3316?6=:rT9=?<4=3317?>>82wvn<;la;391?7==r.2m>4?0:k0700=931b?>;6:088md>?2800e<7>e;39?j54?00:66l<36c94?3=83:p(4o<:54;?!5a:328=6*66b806`1<@:om7co84;0344=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs2162?6=:rT8?884=214e?5am2wx?>;6:181[54=016?>9n:2de?xuf010;6?uQa9:89650i3>;<6s|183f>5<5sW;2=h52325b>1663ty8?:750;0xZ6501278?:o58828yxd6id;39?l46890:66gn8982>>o618o1=75`2003>4<55;294~">i:0?:55+3g09<67<,07e:J0ac=ii>>1>=><;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty95<5sWk3463=1339056<4;009~w77583:1>vP=132897759322<6srb07`=?7==3;19v*6a280bg=O10n0bl9;:3230>o4;4<::`072>=83?1<7>t$8c0>10?3-9m>76<1:&:2f<4:l=0D>ki;oc40?478<1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w652=3:1>vP<34789650039mi6s|327:>5<5sW989452325;>6`a3tyj454?:3y]e=><5:9<47:?0:p5<7b2909wS?61d9>761?2=::7p}<36594?4|V:9<;63<36:9<<6hm;I;:`>hf?=09<=84i32eg?7=3`8:<=4>::kb<=<622c:5f;291?6=8r.2m>4;699'7c4=0:;0(48l:32;a>N4mo1em::52124?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=0ga94?4|V;:mo63=10d97cc?2909wSo78:?154`=<9:0q~?61d83>7}Y90;n70<>1g8744=z{;;:i7>52z\154c<5;;:j7660:~f43d03;197?55z&:e6<4nk1C54j4n`57>76702c8?8751;9j76332800el67:088m4?6m3;17b=<7482>>d4;><1<7;50;2x ">>j08>h94H2ge?kg0<38;<45f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:9>57>52z\070?<5:9<:7=ie:p76332909wS=<559>76112:lm7p}n8983>7}Yi1201>=86;634>{t90;n6=4={_3:5`=:;:==69>>;|q0723=838pR>=85:?0720=00:0qpl>4`395?3=93?p(4o<:2da?M?>l2dj;94=01c8m77783;17d>of010:66g>90g95?=h:8;h6<44b332`?6==3:176?m2B8ik5aa669656e3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q1556=838pR???0:?154b=;oo0q~7}Y:9li70<>1e80bc=z{h236=4={_c;<>;598n18=>4}r3:5`<72;qU=4?j;<025a<3881v??>c;296~X598i01??>d;::4>{zj8?h;7?55;391~">i:08jo5G98f8jd132;:;o6g<34;95?=n;:?86<44i`:;>4<1=2.2:n4<2d58L6ca3gk<87=:9;296~X4;<301>=84;1ea>{t;:?86=4={_1016=:;:=?6>hi;|qb<=<72;qUm564=2140?2782wx=4?j:181[7>9l16?>9;:522?xu4;>91<7;4;>>144>4}|`20d6=93?1=7;t$8c0>6`e3A32h6`n758145c::k2=4c=931d>50z&:e6<3>11/?k<58778 <0d2;:3i6F;598h1?kk4}r03bd<72;qU>=hn;<025g<4no1vl67:181[g?0279=9l0;6?uQ183f?8469k0?<<5rs332e?6=:rT9=>82wvn<;md;391?7==r.2m>4>0:k070?=931b?>:6:088md>?2800e<7>e;39?j54=o0:66l<37294?3=83:p(4o<:54;?!5a:32?86*66b806`1<@:om7co84;0354=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs216=?6=:rT8?874=2154?5am2wx?>:6:181[54<016?>8?:2de?xuf010;6?uQa9:8965183>;<6s|183f>5<5sW;2=h523243>1663ty8?8h50;0xZ652n278?;>58828yxd6<0<1=7;51;7x >o618o1=75`2025>4<55;294~">i:0?:55+3g09<12<,07e:J0ac=ii>>1>=?<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9==>50;0xZ7778279==953gg8yv47n90;6?uQ21d3?8468>08jk5rs`:;>5<5sWk3463=11590563:1>vP=11489777?322<6srb0164?7==3;19v*6a280bg=O10n0bl9;:3220>o4?1n1=75f6g;95?=n;j9<6<44i2;:;7?5;c14<,:l9659i;%;5g?54l<1C?hh4n`57>766=2c8jh4?:I1`<>=n;ol1<75f41294?=n<9;1<75`88294?=z{:=3h7>52z\03=b<5:=3m7=ie:p2c?=838pR;h6;<14m<7;296~X4k:=01>97a;634>{t;0336=4={_1:===:;>2j69>>;|q412<72;qU;894=25;e?>>82wvn>978;391?6=8r.2m>4;699'7c4=?h>0(48l:21g1>N4mo1em::52135?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17plh=:654?!?1k398h85G3dd8jd132;::46g>o4no0;66g;0183>>o3880;6E=l8:9l<<6=831vn>hk9;391?6=8r.2m>4;699'7c4=?m<0(48l:21g1>N4mo1em::5213:?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>34395?3=93?p(4o<:2da?M?>l2dj;94=00c8m6c0:3;17d:?2882>>o41;31=75f3812>4<?j6<44b2g43?6==3:1k4$84`>65c=2B8ik5aa669657e3`9mi7>5H2a;?>o4no0;66g;0183>>o3880;66a79183>>{t;l=96=4={_1f37=:;l=<6>hj;|q747?=838pR9>=9:?0a21=;ol0q~=62883>7}Y;08270=j768745=z{:38=7>52z\0=67<5:o<;7:?1:p30g=838pR:;n;<1f320<62>i4m?n1=75m3d55>5<2290;w)7n3;65<>"4n;03?n5+97a976b23A9nj6`n758144b5<5<;4=2g42?2792wx?h8k:181[5b>m16?h99:9;3?x{e9:?96<4::086!?f;39mn6F69e9me22=:9;n7d=j8682>>o38;k1=75f380:>4<5}#1h918;64$2d1>=5b3-3=o7=>f:k0b`<72A9h465f3gd94?=n<9:1<75f41394?=h00:1<75rs2g;3?6=:rT8i594=2g;g?5am2wx8=;4m1i18=>4}r1:74<72;qU?4=>;<1fk<1=7;51;7x k62;39?l12j3;17d=8ec82>>o41:?1=75`3d:1>4<55;294~">i:0?:55+3g09<6e<,0
    =k5:J0ac=ii>>1>=<>;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty8i4<50;0xZ6c>:278i5l53gg8yv12j3:1>vP85c9>7`>e2:lm7p}<7d`94?4|V:=nn63vPo4m0i1=75f410a>4<::`0ad7=83?1<7>t$8c0>10?3-9m>76ki;oc40?47::1b?kk50;J0g==5<5<vP;03`896cf939mj6s|380:>5<5sW92>4523dc2>1673ty85>?50;0xZ6?49278il?54138yv12k3:1>vP85b9>7`g6213;7psm17``>4<2280>w)7n3;1ef>N>1m1em::52107?l5bi>0:66g85b82>>o4?lh1=75f3816>4<<,:l965=l;%;5g?54l<1C?hh4n`57>765=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7`g02909wS=ja69>7`g72:ln7p}85b83>7}Y?kn0;1eb>{t;>oi6=4={_14ag=:;lk;69>?;|q0=63=838pR>7<5:?0ad6=<9;0q~=j9683>7}Y;l3<70=ja18;=5=zuk;=o?4>:482>0}#1h91?kl4H8;g?kg0<38;>;5f3d``>4<;51;9l7`gd2800n>km3;291?6=8r.2m>4;699'7c4=0:i0(48l:21g1>N4mo1em::52104?l5am3:17d=if;29L6e?32c?<=4?::k744<722e35=4?::p7`dd2909wS=jbb9>7`d42:ln7p}4;019~w6?4=3:1>vP<927896ce;3>;=6s|3dc`>5<5sW9nmn523d`0>=?73twi=>;;:086>4<2s-3j?7=ib:J:=a=ii>>1>=<7;h1fg0<622c?2800e>7<1;39?j12m3;17o=jc983>0<729q/5l=547:8 6`5219n7)79c;10`0=O;ll0bl9;:321=>o4nl0;6E=l8:9j7c`=831b8=>50;9j057=831d44>50;9~w6cd=3:1>vP5<5sW>;>i523da;>6`a3ty85?750;0xZ6?51278in654128yv5>;80;6?uQ3812?85bk10?<<5rs67f>5<5sW=>i63hm;I;:`>hf?=09i7?5;h14ag<622c85>;51;9l7`e62800n>kl7;291?6=8r.2m>4;699'7c4=0:i0(48l:21g1>N4mo1em::5210a?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}i7>52z\41`=:;li<6>hi;|q03`d=838pR>9jb:?0af1=<9:0q~=63483>7}Y;09>70=jc68744=z{:oh=7>52z\0af7<5:oh;7660:~f452=3;197?55z&:e6<4nk1C54j4n`57>765k2c8ii751;9j054b2800e>7=9;39?l5>;80:66a85g82>>d4mmo1<7;50;2x ">>j08?i;4H2ge?kg0<38;>i5f3gg94?N4k110e>hi:188m1672900e9>>:188k=?72900q~=jd883>7}Y;ln270=jdd80b`=z{=:9i7>52z\747c<5:ooi7=if:p7<4>2909wS=6289>7`bb2=:;7p}<92394?4|V:38=63j7>52z\41c=:;lnn657?;|a53ec280>6<4:{%;b7?5aj2B25i5aa669654b3`9ni94>::k41c<622c8;hl51;9j7<522800c>kk4;39?g5blm0;684?:1y'=d5=h=:91`?!?1k398h85G3dd8jd132;:9j6g>o4no0;66g;0183>>o3880;66a79183>>{t;lo?6=4={_1fa1=:;lno6>hj;|q41c<72;qU;8h4=2gg`?5an2wx?:km:181[50mk16?hjk:523?xu41:?1<7;4mmn18=?4}r1f`1<72;qU?hj;;<1f`a0<62=f;39?l5>:00:66g<92395?=h??:1=75m3dd0>5<2290;w)7n3;65<>"4n;03?h5+97a976b23A9nj6`n7581467;4mo91?kk4}r636c<72;qU8=7=9;296~X41;301>ki3;634>{t;09:6=4={_1:74=:;ll869>>;|q425<72;qU;;>4=2ge7?>>82wvn<8k3;391?7==r.2m>4<2:k0ac?=931b;;>51;9j72ce2800e>7<5;39?j5bm00:66l50;0xZ207349nj?4vP<7d`896ca:3>;<6s|3816>5<5sW92?8523dd1>1663ty8ih750;0xZ6cb1278ik<58828yxd6;<=1=7;51;7x 0e>h>f;39?l27;80:66g<93;95?=n;09:6<44o642>4<55;294~">i:0?:55+3g09<6c<,0
    =k5:J0ac=ii>>1>==:;h1ea?6=@:i376g>o3890;66g;0083>>i?190;66s|3g3e>5<5sW9m=k523g07>6`b3ty?<>?50;0xZ1649278j?:53gd8yv5>:00;6?uQ380:?85a:=0?<=5rs2;05?6=:rT85>?4=2d10?2792wx;;?50;0xZ206349m>947919~yg71m80:684>:4y'=d5=;oh0D47k;oc40?47;?1b?k9jb;39?l5>;<0:66a=46*j:;I1fb>hf?=09<>94i2df>5<5<5<l4?:3y]7c4f349m>>47}Y;>oi70=i228745=z{:3897>52z\0=63<5:l9?7:?1:p7c7f2909wS=i1`9>7c44213;7psm127;>4<2280>w)7n3;1ef>N>1m1em::5211;?l5a;>0:66g;02095?=n;0826<44i2;05?7=3f==>7?5;c1e7<<72<0;6=u+9`1903><,:l965=j;%;5g?54l<1C?hh4n`57>76412c8jh4?:I1`<>=n;ol1<75f41294?=n<9;1<75`88294?=z{:l8;7>52z\0b61<5:l857=ie:p05552909wS:?339>7c5>2:lm7p}<93;94?4|V:395636d595?3=93?p(4o<:2da?M?>l2dj;94=02c8m6`4n3;17d992;39?l50mk0:66g<92795?=h;o8m6<44b2d0m4$84`>65c=2B8ik5aa669655e3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0b6`=838pR>h=;oo0q~992;296~X0>;16?k=7:2de?xu4?lh1<7;4n:218=>4}r1:70<72;qU?4=:;<1e7=<3881v>h=f;296~X4n;l01>h<8;::4>{zj:=j47?55;294~">i:0?:55+3g093a`<,0
    =k5:J0ac=ii>>1>==l;h1ea?6=3`9mj7>5;h634?6=@:i376g;0083>>i?190;66sm3874>4<2290;w)7n3;65<>"4n;05<5<<,:l96:7m;%;5g?54l<1C?hh4n`57>764m2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a50b2280?6<4;{%;b7?5aj2B25i5aa669655a3`93h>4>::k0202=931b?8hi:088k602=3;17o=9f783>1<729q/5l=547:8 6`521=97)79c;10`0=O;ll0bl9;:3274>o4nl0;66g>o3890;66a79183>>{t;1n86=4={_1;`6=:;?l=6>hj;|q0202=838pR>8:4:?02c0=;ol0q~=:fg83>7}Y;97>52z\0203<5:50z&:e6<3>11/?k<57e48 <0d2=:356F:7d=ie;29?l5an3:17d:?0;29L6e?32c?<<4?::m;=5<722wi85<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900qo?<5`82>0<625<2290;w)7n3;65<>"4n;03:;5+97a905>>3A9nj6`n75814125<5<019?j3;1eb>{t<93m6=4={_63=c=:<8o869>?;|q746c:?75`5=<9;0q~997;296~X0>>168326<4;:183!?f;3k=?6*66b807a3<@:om7co84;0300=n;oo1<75f3gd94?=n1<31<75`76194?=zj:=2m7?53;294~">i:0?:55+3g09<0g<,0
    =k5:J0ac=ii>>1>=:9;h1ea?6=3`9mj7>5;n::4?6=3th8;4l51;794?6|,0k86987;%1e6?>702.2:n4<3e78L6ca3gk<87m;d;390?6=8r.2m>4n629'=3e=;:n>7E=jf:lb31<58=20e>hj:188m6`a2900e4;6:188k2142900qo=l4d82>1<729q/5l=547:8 6`521:87)79c;10`0=O;ll0bl9;:327=>o4nl0;66g>o3890;66a79183>>{e;j>m6<4;:183!?f;3>=46*j:;I1fb>hf?=09<9o4i2df>5<5<=k5:J0ac=ii>>1>=:m;h1ea?6=3`9mj7>5;h;6=?6=3f=5;|`0g1d=93>1<7>t$8c0>10?3-9m>76?3:&:2f<4;m?0D>ki;oc40?4750;9l<<6=831vn>m;c;390?6=8r.2m>4;699'7c4=0990(48l:21g1>N4mo1em::5216g?l5am3:17d=if;29?l2783:17b660;29?xd4k==1=7:50;2x o4nl0;66g>o>=00;66a87283>>{e;j>36<4;:183!?f;3>=46*j:;I1fb>hf?=09<9h4i2df>5<5<<,:l965><;%;5g?54l<1C?hh4n`57>76282c8jh4?::k0bc<722c?<=4?::m;=5<722wi?n:;:087>5<7s-3j?7o93:&:2f<4;m?0D>ki;oc40?47=81b?kk50;9j7c`=831b58750;9l325=831vn>m;5;390?6=8r.2m>4;699'7c4=0990(48l:21g1>N4mo1em::52171?l5am3:17d=if;29?l2783:17b660;29?xd4k=<1=7:50;2x ">>j08?i;4H2ge?kg0<38;9>5f3gg94?=n;ol1<75f41294?=h00:1<75rb2;7j:;I1fb>hf?=09<8:4i2df>5<5<=86=44}c1:0<<62<0;6=u+9`1903><,:l96:mn;%;5g?54l<1C?hh4n`57>762=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7<2f280?6=4?{%;b7?2102.8j?48959'=3e=;:n>7E=jf:lb31<58<<0e>hj:188m6`a2900e9>?:188k=?72900qo=66682>1<729q/5l=5a718 <0d2:9o96F29f95?2=93>p(4o<:2da?M?>l2dj;94=04:8m602:3;17d=61g82>>o4><;1=75`49d95?=e;0<36=4;:183!?f;3>=46*j:;I1fb>hf?=09<874i2df>5<5<7>f;296~X418l01>798;1eb>{t;??:6=4={_1514=:;0<369>?;|q7>82wvn>799;391?6=8r.2m>4;699'7c4=?k80(48l:21g1>N4mo1em::5217b?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=74395?2=83:p(4o<:`40?!?1k38:?=5G3dd8jd132;:>n6g>o4no0;66g65883>>i0?:0;66sm2671>4<2290;w)7n3;65<>"4n;03=h5+97a964573A9nj6`n758140e5<5<;m<4>::m7=7<622h9;8=50;694?6|,0k86987;%1e6?>4;2.2:n4=1228L6ca3gk<8750;9~w70fi3:1>vP=6`c89712;39mi6s|41c0>5<5sW>;m>522670>6`a3ty?:3:1>vP;939>6234213;7psm266b>4<3290;w)7n3;c57>">>j09=>>4H2ge?kg0<38;9k5f3gg94?=n;ol1<75f94;94?=h?>91<75rb357f?7==3:177482B8ik5aa66965073`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`131e=93?1<7>t$8c0>10?3-9m>7971:&:2f<59::0D>ki;oc40?47>81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f75283;187>50z&:e6:1/5;m52013?M5bn2dj;94=0708m6`b2900e>hi:188m<3>2900c:9<:188yg44=80:684?:1y'=d5=h=:6`5?!?1k38:?=5G3dd8jd132;:=?6g>o4no0;66g;0183>>o3880;66a79183>>{e::?96<4::183!?f;3>=46*<=?;I1fb>hf?=09<;:4i2df>5<5<5<:583>5}#1h91m;=4$84`>77482B8ik5aa66965023`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th9?9o51;794?6|,0k86987;%1e6?1fk2.2:n4=1228L6ca3gk<874;699'7c4=?hh0(48l:3304>N4mo1em::52144?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=34195?2=83:p(4o<:`40?!?1k38:?=5G3dd8jd132;:=46g>o4no0;66g65883>>i0?:0;66sm2277>4<2290;w)7n3;65<>"4n;0<;o5+97a964573A9nj6`n758143?5<5<<,:l96:7k;%;5g?46;91C?hh4n`57>761i2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7<12280?6=4?{%;b7?g1;2.2:n4<3e78L6ca3gk<8783;187?54z&:e6<4nk1C54j4n`57>761k2c8:8<51;9j7<462800e>8:1;39?j2>k3;17o=67783>1<729q/5l=547:8 6`521<<7)79c;10`0=O;ll0bl9;:325`>o4nl0;66g>o3890;66a79183>>{t;??96=4={_1517=:;0==6>hj;|q0=77=838pR>7=1:?0=20=;ol0q~=95083>7}Y;??:70=6778745=z{=3h6=4={_6:g>;41><144>4}|`0=21=93>1<7>t$8c0>10?3-9m>79l7:&:2f<4;m?0D>ki;oc40?47>l1b?kk50;9j7c`=831b8=>50;9l<<6=831vn>764;390?6=8r.2m>4n629'=3e=;:n>7E=jf:lb31<58?l0e>hj:188m6`a2900e4;6:188k2142900qo=69782>0<729q/5l=547:8 6`52>kj7)79c;10`0=O;ll0bl9;:3244>o4nl0;66g>o3890;66g;0083>>i?190;66sm38;4>4<2290;w)7n3;65<>"4n;05<5<>1>=9=;h1ea?6=3`9mj7>5;h;6=?6=3f=5;|`26<7=93?1=7;t$8c0>6`e3A32h6`n758142550z&:e6<3>11/?k<583a8 <0d2;;8<6F;5=mh1?kk4}r06gg<72;qU>8mm;<06`g<4no1v?8n7;296~X5>h=01?;kb;634>{t:?k36=4={_05e==::>;|q7e5<72;qU8l>4=37gf?>>82wvnook:087>5<7s-3j?7o93:&:2f760=2c8jh4?::k0bc<722c2944?::m436<722wi=?7=:087>4<3s-3j?7=ib:J:=a=ii>>1>=99;hcab?7=3`kh=7?5;hc`4?7=3f>j>7?5;c`ba?6=<3:1d>c3A9nj6`n75814215<5<52z\bfc=:jho1?kk4}rc`5?6=:rTjo<52b`g97c`52z\bg5=:jho18=>4}r6b6?6=:rT?m?52b`g9<<64=51;795?3|,0k86>hm;I;:`>hf?=09<:64i3cb6?7=3`h;651;9l0d5=931inlh50;794?6|,0k86987;%1e6?>5:2.2:n4n8e9K7``89:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wx>lo=:181[4fi;16nlh53gg8yvd0;3:1>vPm729>fd`=;ol0q~:=6e83>7}Y<;{t<;<36=4={_612==:jhl18=?4}r6b7?6=:rT?m>52b`d9<<6760i2c8jh4?::k0bc<722c2944?::m436<722wi=?7;:086>4<2s-3j?7=ib:J:=a=ii>>1>=9m;h73=?7=3`9h?;4>::k0g66=931b?n=;:088k1g32800n>99c;291?6=8r.2m>4;699'7c4=0:;0(48l:21g1>N4mo1em::5215`?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}:0883>7}Y=9301>99c;1ea>{t;j9=6=4={_1`73=:;>
    hi;|q0g66=838pR>m<0:?033e=<9:0q~=l3583>7}Y;j9?70=86b8744=z{=k?6=4={_6b0>;4??i144>4}|`033b=93?1<7>t$8c0>10?3-9m>7978:&:2f<4;m?0D>ki;oc40?47?m1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f64b<3;187>50z&:e6:1/5;m533;6?M5bn2dj;94=06g8m6`b2900e>hi:188m<3>2900c:9<:188yg55m<0:684?:1y'=d5=h=:6d6?!?1k399585G3dd8jd132;:>o4no0;66g;0183>>o3880;66a79183>>{e9;3>6<4::086!?f;39mn6F69e9me22=:92;7d==e182>>o4:ki1=75f33;4>4<5}#1h918;64$2d1>=5a3-3=o7==949K7``71:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wx??k?:181[55m916??k9:2df?xu4:ki1<7;4:l<1?kh4}r11=2<72;qU??78;<11a3<3891v><68;296~X4:0201>{t>1>=6=;h1ea?6=3`9mj7>5;h;6=?6=3f=5;|`14=e=93?1<7>t$8c0>10?3-9m>79i5:&:2f<58:i0D>ki;oc40?470:1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f44>?3;197?55z&:e6<4nk1C54j4n`57>76?<2c9<5951;9j65042800e?>>d581n1<7;50;2x ">>j09<>m4H2ge?kg0<38;485f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;:3;7>52z\14=1<5;:3h7=ie:p65042909wS65>c2:lm7p}=02g94?4|V;:8i63=09f9056;445G3dd8jd132;:3:6g>o4no0;66g65883>>i0?:0;66sm437g>4<3290;w)7n3;65<>"4n;03<>5+97a905>>3A9nj6`n75814=15<5<99h4>:483>5}#1h918;64$2d1>2>63-3=o7:?889K7``78:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wi8?;7:087>5<7s-3j?7o93:&:2f<38130D>ki;oc40?47001b?kk50;9j7c`=831b58750;9l325=831vn9<:9;390?6=8r.2m>4;699'7c4=0990(48l:52;=>N4mo1em::521:b?l5am3:17d=if;29?l2783:17b660;29?xd3:">>j0?<574H2ge?kg0<38;4o5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj=8>87?54;294~">i:0j:>5+97a905>>3A9nj6`n75814=e5<5<9984>:583>5}#1h918;64$2d1>=643-3=o7:?889K7``7d:k0b`<722c8jk4?::k745<722e35=4?::a0731280>6=4?{%;b7?2102.8j?48809'=3e=<9227E=jf:lb31<581o0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg25N4mo1em::521:e?l5am3:17d=if;29?l?213:17b983;29?xd3:=n1=7:50;2x ">>j0?<574H2ge?kg0<38;5=5f3gg94?=n;ol1<75f41294?=h00:1<75rb507a?7==3:116?12B8ik5aa66965?63`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`7606=93>1<7>t$8c0>d043-3=o7:?889K7``62:k0b`<722c8jk4?::k:1<<722e<;>4?::a0736280?6=4?{%;b7?2102.8j?47089'=3e=<9227E=jf:lb31<58090e>hj:188m6`a2900e9>?:188k=?72900qo:=5382>0<729q/5l=547:8 6`52>2:7)79c;63<<=O;ll0bl9;:32:0>o4nl0;66g>o3890;66g;0083>>i?190;66sm4363>4<3290;w)7n3;c57>">>j0?<574H2ge?kg0<38;585f3gg94?=n;ol1<75f94;94?=h?>91<75rb5075?7=<3:116?12B8ik5aa66965?13`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th?>9<51;794?6|,0k86987;%1e6?1?92.2:n4;09;8L6ca3gk<874;390?6=8r.2m>4n629'=3e=<9227E=jf:lb31<58020e>hj:188m6`a2900e4;6:188k2142900qo:=1482>1<729q/5l=547:8 6`521:87)79c;63<<=O;ll0bl9;:32:=>o4nl0;66g>o3890;66a79183>>{e<;;=6<4::183!?f;3>=46*hf?=09<4o4i2df>5<5<5<:i84>:583>5}#1h91m;=4$84`>16?12B8ik5aa66965?e3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th?=h851;694?6|,0k86987;%1e6?>7;2.2:n4;09;8L6ca3gk<8750;9~f17b?3;197>50z&:e6<3>11/?k<57938 <0d2=:356Fo4nl0;66g>o>=00;66a87283>>{e<8oj6<4;:183!?f;3>=46*hf?=09<4h4i2df>5<5<<,:l96:6>;%;5g?27001C?hh4n`57>76f82c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a622b280?6=4?{%;b7?g1;2.2:n4=1228L6ca3gk<87i3;187?54z&:e6<4nk1C54j4n`57>76f:2c9:n<51;9j05g52800e9>n3;39?j2en3;17o<84g83>1<729q/5l=547:8 6`521987)79c;0275=O;ll0bl9;:32b7>o4nl0;66g>o3890;66a79183>>{t:?i96=4={_05g7=::>>m6>hj;|q74d4=838pR9>n2:?131`=;ol0q~:?a283>7}Y<9k870<84g8745=z{=hm6=4={_6ab>;5?=l144>4}|`1306=93?1<7>t$8c0>10?3-9m>79l5:&:2f<59::0D>ki;oc40?47i=1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f73793;187>50z&:e6:1/5;m52013?M5bn2dj;94=0`78m6`b2900e>hi:188m<3>2900c:9<:188yg428;0:684?:1y'=d5=h=:97a?!?1k38:?=5G3dd8jd132;:j:6g>o4no0;66g;0183>>o3880;66a79183>>{e9;3i6<4;:087!?f;39mn6F69e9me22=:9k<7d<;fd82>>o5>?81=75f2404>4<:4$84`>77482B8ik5aa66965g?3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty98kk50;0xZ72am2799==53gg8yv41>;0;6?uQ2741?8428:08jk5rs3713?6=:rT99?94=3737?2782wx8n<50;0xZ1e5348><>47919~yg25><0:694?:1y'=d5=i?90(48l:52;=>N4mo1em::521c:?l5am3:17d=if;29?l?213:17b983;29?xd3:?<1=7:50;2x ">>j0?<574H2ge?kg0<38;ml5f3gg94?=n;ol1<75f41294?=h00:1<75rb5053?7==3:116?12B8ik5aa66965ge3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`7636=93>1<7>t$8c0>d043-3=o7:?889K7``nc:k0b`<722c8jk4?::k:1<<722e<;>4?::a0706280?6=4?{%;b7?2102.8j?470`9'=3e=<9227E=jf:lb31<58hn0e>hj:188m6`a2900e9>?:188k=?72900qo:=6382>0<729q/5l=547:8 6`52>2:7)79c;63<<=O;ll0bl9;:32ba>o4nl0;66g>o3890;66g;0083>>i?190;66sm40df>4<3290;w)7n3;c57>">>j0?<574H2ge?kg0<38;mk5f3gg94?=n;ol1<75f94;94?=h?>91<75rb53eb?7=<3:116?12B8ik5aa66965d73`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th?>=>51;794?6|,0k86987;%1e6?1?92.2:n4;09;8L6ca3gk<874n629'=3e=<9227E=jf:lb31<58k80e>hj:188m6`a2900e4;6:188k2142900qo:>fc82>1<729q/5l=547:8 6`521:j7)79c;63<<=O;ll0bl9;:32a7>o4nl0;66g>o3890;66a79183>>{e<8lh6<4::183!?f;3>=46*hf?=095<5<5<:j;4>:583>5}#1h91m;=4$84`>16?12B8ik5aa66965d23`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th?=k951;694?6|,0k86987;%1e6?>7i2.2:n4;09;8L6ca3gk<8750;9~f17a03;197>50z&:e6<3>11/?k<57938 <0d2=:356Fo4nl0;66g>o>=00;66a87283>>{e<8l86<4;:183!?f;3>=46*hf?=095<5<<,:l96:6>;%;5g?27001C?hh4n`57>76ei2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a04cb280?6=4?{%;b7?g1;2.2:n4;09;8L6ca3gk<8750z&:e6<3>11/?k<581c8 <0d2=:356F>83:17pl;1g295?3=83:p(4o<:54;?!5a:3=3=6*66b874=?<@:om7co84;03fa=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb503hf?=095<5<=86=44}c614<<62=0;6=u+9`1903><,:l965>n;%;5g?27001C?hh4n`57>76en2c8jh4?::k0bc<722c?<=4?::m;=5<722wi=?7k:087>4<3s-3j?7=ib:J:=a=ii>>1>=m?;h6142<622c?=?h51;9j05g62800c9j<:088f147i3:187>50z&:e6<3>11/?k<58538 <0d2=:356F>83:17p};21594?4|V=8;;63;21c97cc:>k4?:3y]044a34>9vP;0`389147i3>;<6s|4e194?4|V=n870:=0`8;=5=zuk>9<>4>:583>5}#1h91m;=4$84`>16?12B8ik5aa66965e53`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th?>=:51;694?6|,0k86987;%1e6?>7i2.2:n4;09;8L6ca3gk<8750;9~f44>n3;187?54z&:e6<4nk1C54j4n`57>76d<2c?>=<51;9j044b2800e9>n1;39?j2c>3;17o:=0483>1<729q/5l=547:8 6`521>:7)79c;63<<=O;ll0bl9;:32`1>o4nl0;66g>o3890;66a79183>>{t<;:96=4={_6147=:<;:>6>hj;|q757c=838pR9?=e:?7653=;ol0q~:?a083>7}Y<9k:70:=048745=z{=n=6=4={_6g2>;3:9?144>4}|`1b`e=93>1<7>t$8c0>d043-3=o7l6:k0b`<722c8jk4?::k:1<<722e<;>4?::a57g7280>6<4:{%;b7?5aj2B25i5aa66965e03`>;m=4>::k1b`d=931b>i?j:088m16f93;17b:k7;39?g4amm0;684?:1y'=d5=h=:6fb?!?1k38i>95G3dd8jd132;:h46g>o4no0;66g;0183>>o3880;66a79183>>{t<9k;6=4={_63e5=::ooo6>hj;|q1b`d=838pR?hjb:?1b`b=;ol0q~7}Y:m;n7052z\74d7<5;lnh7:?1:p0a1=838pR9j8;<0eaa0<62c;39?l27i90:66g=f2695?=h5<2290;w)7n3;65<>"4n;05<5<;<0ea`<4nl1v?h>c;296~X5n8i01?hje;1eb>{t<9k;6=4={_63e5=::oon69>?;|q1b62=838pR?h<4:?1b`c=<9;0q~:k8;296~X3l116>kkj:9;3?x{e:oh86<4;:183!?f;3k=?6*66b81f72<@:om7co84;03gg=n;oo1<75f3gd94?=n1<31<75`76194?=zj88j>7?55;391~">i:08jo5G98f8jd132;:ho6g;0`295?=n:oh96<44i3f23?7=3`>;m<4>::m7`<<622h9jo:50;794?6|,0k86987;%1e6?1ci2.2:n4=b368L6ca3gk<87n0;296~X38h:01?hm4;1ea>{t:oh96=4={_0ef7=::oh?6>hi;|q1`41=838pR?j>7:?1bg2=<9:0q~:?a083>7}Y<9k:70;5nk>144>4}|`26d5=93?1=7;t$8c0>6`e3A32h6`n75814fc51;9j6c422800c9jn:088f7`e=3:197>50z&:e6<3>11/?k<57ec8 <0d2;h986F;5nk?1?kk4}r0e4a<72;qU>k>k;<0ef0<4no1v9>n0;296~X38h:01?hm5;634>{t:o8>6=4={_0e60=::oh>69>>;|q7`d<72;qU8io4=3da1?>>82wvn?hnf;390?6=8r.2m>4n629'=3e=:k8?7E=jf:lb31<58m:0e>hj:188m6`a2900e4;6:188k2142900qo?=a582>0<625<2290;w)7n3;65<>"4n;05<5<{t:m;=6=4={_0g53=::oh;69>?;|q74d7=838pR9>n1:?1bg6=<9;0q~:kb;296~X3lk16>kl?:9;3?x{e9;k>6<4::086!?f;39mn6F69e9me22=:9n87d:?a082>>o5n9i1=75f41c3>4<5}#1h918;64$2d1>2bf3-3=o7k4:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wx8=o>:181[27i816>kl>:2df?xu5n9i1<7;5nk;1?kh4}r63e5<72;qU8=o?;<0ef4<3891v?h=4;296~X5n;>01?hm1;635>{t>1>=j:;h1ea?6=3`9mj7>5;h;6=?6=3f=5;|`26d0=93?1=7;t$8c0>6`e3A32h6`n75814a050z&:e6<3>11/?k<57ec8 <0d2;h986F;5nhi1?kk4}r0eed<72;qU>kon;<0eef<4no1v?j>5;296~X5l8?01?hnc;634>{t<9k:6=4={_63e4=::okh69>>;|q7`a<72;qU8ij4=3dbg?>>82wvn<4k8:k74d7=931b>k>m:088m16f83;17d>i3ll0:66l=f`f94?3=83:p(4o<:54;?!5a:3=om6*66b81f72<@:om7co84;03`<=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs52b5?6=:rT?k>m:181[4a8k16>kok:2de?xu38h:1<7;5nhn18=>4}r0e66<72;qU>k<<;<0eea<3881v9jj:181[2cm279jlj58828yxd5nh=1=7:50;2x o4nl0;66g>o>=00;66a87283>>{e9;k36<4::086!?f;39mn6F69e9me22=:9ni7d:?a182>>o5nh<1=75f2e37>4<5}#1h918;64$2d1>2bf3-3=o7kc:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wx8=o?:181[27i916>ko7:2df?xu5nh<1<7;5nh21?kh4}r0g51<72;qU>i?;;<0ee=<3891v9>n1;296~X38h;01?hn8;635>{t;m=4>::k1b74=931d8h>51;9a6cg>290>6=4?{%;b7?2102.8j?48d`9'=3e=:k8?7E=jf:lb31<58mo0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv27i80;6?uQ41c2?84ai008jh5rs3d3e?6=:rT9j=o4=3db=?5an2wx8=o?:181[27i916>ko6:523?xu5n;81<7;5nh318=?4}r6f4?6=:rT?i=522gc:>=?73twi>ko<:087>5<7s-3j?7o93:&:2f<5j;>0D>ki;oc40?47lo1b?kk50;9j7c`=831b58750;9l325=831vn<4j0:k74d6=931b>ko=:088m7b6;3;17d:?a082>>i3m80:66l=f`694?3=83:p(4o<:54;?!5a:3=om6*66b81f72<@:om7co84;03a4=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs52b4?6=:rT?4=3db0?5am2wx>ko=:181[4ai;16>ko;:2de?xu5l891<7;5nh>18=>4}r63e4<72;qU8=o>;<0ee1<3881v9k>:181[2b9279jl:58828yxd6:hh1=7;51;7x n1;39?l4a800:66g;0`295?=n:o8:6<44o5g1>4<55;294~">i:0?:55+3g093ag<,0>1>=k<;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty?4=3db1?2782wx>k<>:181[4a:816>ko::522?xu3m;0;6?uQ4d0897`f=322<6srb0aab?7=<3:1hf?=095<5<=86=44}c3`g5<62<0;6=u+9`1903><,:l965??;%;5g?7dih1C?hh4n`57>76b=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a57gd280>6<4:{%;b7?5aj2B25i5aa66965c13`;hh>4>::k2gf1=931b=n7?:088m4e>93;17b:j4;39?g7dk80;684?:1y'=d5=h=:926?!?1k3;hml5G3dd8jd132;:n;6g>o4no0;66g;0183>>o3880;66a79183>>{t9jn86=4={_3``6=:9ji:6>hj;|q2gf1=838pR7}Y9j3;70?lc08745=z{8i2=7>52z\2g<7<58ih=7:?1:p0`2=838pR9k;;<3`g41<729q/5l=5a718 <0d28h;86Fb0c95?3=83:p(4o<:54;?!5a:32:<6*66b82f52<@:om7co84;03a<=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb00b`?7==3;19v*6a280bg=O10n0bl9;:32fe>o6j;n1=75f1c02>4<::`2f4d=83?1<7>t$8c0>10?3-9m>76?5:&:2f<6j9>0D>ki;oc40?47mk1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w4d5l3:1>vP>b3f894d6j39mi6s|1c02>5<5sW;i><521c3a>6`a3ty:mhm50;0xZ4gbk27:n5<5sW>n:63>b0`9<<676bk2c8jh4?::k0bc<722c2944?::m436<722wi=?oj:087>4<3s-3j?7=ib:J:=a=ii>>1>=kk;h1:=4<622c8o>;51;9j70bd2800c9k8:088f61f=3:187>50z&:e6<3>11/?k<58608 <0d2:9o96F>83:17p}<98394?4|V:32=63<7`797ccvP<5ea8961f=3>;<6s|4d594?4|V=o<70=8a48;=5=zuk9:583>5}#1h918;64$2d1>=103-3=o7=jf:k0b`<722c8jk4?::k745<722e35=4?::a6cd>280?6=4?{%;b7?g1;2.2:n4=b368L6ca3gk<8776a92c?1<729q/5l=547:8 6`521837)79c;0a61=O;ll0bl9;:32e6>o4nl0;66g>o3890;66a79183>>{t<9k=6=4={_63e3=::ohj6>hj;|q74d6=838pR9>n0:?1bgg=;ol0q~7}Y:o8<70;5nkk144>4}|`26g6=93?1=7;t$8c0>6`e3A32h6`n75814c551;9j6f>72800c9kn:088f7`ej3:197>50z&:e6<3>11/?k<57ec8 <0d2;h986F;5nkh1?kk4}r0e4c<72;qU>k>i;<0efg<4no1v9>n0;296~X38h:01?hmb;634>{t:j2;6=4={_0`<5=::ohi69>>;|q7ad<72;qU8ho4=3daf?>>82wvn?hm6;390?6=8r.2m>4n629'=3e=:k8?7E=jf:lb31<58o?0e>hj:188m6`a2900e4;6:188k2142900qo?=b082>1<62=q/5l=53g`8Ln0;39?l4a:?0:66a;ec82>>d5nk=1<7:50;2x ">>j09n?:4H2ge?kg0<38;j:5f3gg94?=n;ol1<75f41294?=h00:1<75rs52b2?6=:rT?kl8:2de?xu5n;<1<7;5nk=18=>4}r6ff?6=:rT?io522g`4>=?73twi=?l=:086>4<2s-3j?7=ib:J:=a=ii>>1>=h7;h63e3<622c9j=k51;9j05g72800e?m8f;39?j2bk3;17o0<729q/5l=547:8 6`52>nj7)79c;0a61=O;ll0bl9;:32e=>o4nl0;66g>o3890;66g;0083>>i?190;66s|41c5>5<5sW>;m;522g`;>6`b3ty9j=k50;0xZ7`7m279jo653gd8yv27i90;6?uQ41c3?84aj10?<=5rs3a4b?6=:rT9o:h4=3daN4mo1em::521db?l5am3:17d=if;29?l?213:17b983;29?xd6:k91=7:51;6x n6;39?l27i90:66g=f3g95?=h5<3290;w)7n3;65<>"4n;03>55+97a96g433A9nj6`n75814ce5<5<;m;4?:3y]05g1348mok4vP;0`2897`dn39mj6s|2g0f>5<5sW8m>h522gae>1673ty?ii4?:3y]0`b<5;lhj7660:~f44e<3;197?55z&:e6<4nk1C54j4n`57>76al2c?n0;39?l4d0>0:66a;ed82>>d5nm:1<7;50;2x ">>j09n?:4H2ge?kg0<38;jh5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{=:j:7>52z\74d0<5;lo<7=ie:p6c712909wS6cb72:lm7p};0`294?4|V=:j<63=fe290560348mh=4;009~w1cb2909wS:je:?1ba6=00:0qpl=fb`95?2=83:p(4o<:`40?!?1k38i>95G3dd8jd132;:mj6g>o4no0;66g65883>>i0?:0;66sm13`6>4<3280?w)7n3;1ef>N>1m1em::52023?l27i?0:66g;0`295?=n:o8o6<44o5ge>4<54;294~">i:0?:55+3g09<7><,0>1><>>;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q74d0=838pR9>n6:?1bfe=;oo0q~:?a183>7}Y<9k;7052z\1b7b<5;lho7:?0:p0``=838pR9ki;<0egf0<62039j05g12800e?h>5;39?l27i90:66g=c9495?=h5<2290;w)7n3;65<>"4n;05<5<5;296~X5n8?01?hld;1eb>{t<9k;6=4={_63e5=::oio69>?;|q1g=0=838pR?m76:?1bfb=<9;0q~:i0;296~X3n916>kmk:9;3?x{e:oi36<4;:183!?f;3k=?6*66b81f72<@:om7co84;0241=n;oo1<75f3gd94?=n1<31<75`76194?=zj88i;7?54;390~">i:08jo5G98f8jd132;;;96g;0`495?=n<9k;6<44i3d1g?7=3f>m=7?5;c0eg<<72=0;6=u+9`1903><,:l965<7;%;5g?4e:=1C?hh4n`57>777>2c8jh4?::k0bc<722c?<=4?::m;=5<722wx8=o9:181[27i?16>km6:2df?xu38h:1<7;5nj31?kh4}r0e6f<72;qU>k:181[2a9279jn758828yxd6:k21=7;51;7x n6;39?l4a9=0:66g;0`295?=n:j2>6<44o5d1>4<55;294~">i:0?:55+3g093ag<,0>1><>7;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty?279jno53gg8yv4a9=0;6?uQ2g37?84akh08jk5rs52b4?6=:rT?4=3d`e?2782wx>n6::181[4d0<16>kmn:522?xu3n;0;6?uQ4g0897`di322<6srb3d`1?7=<3:1o<;;I1fb>hf?=09==74i2df>5<5<=86=44}c31f<<62=0:69u+9`197cd<@03o7co84;024d=n<9k=6<44i52b4?7=3`8m>o4>::m7b0<622h9jn850;694?6|,0k86987;%1e6?>502.2:n4=b368L6ca3gk<87<>0c9j7cc=831b?kh50;9j056=831d44>50;9~w16f>3:1>vP;0`4897`d>39mi6s|41c3>5<5sW>;m=522ga5>6`a3ty9j?l50;0xZ7`5j279jn854128yv2a=3:1>vP;f49>6ce1213;7psm13`b>4<2280>w)7n3;1ef>N>1m1em::5202`?l27i?0:66g=f0195?=n<9k;6<44i3a;0?7=3f>m:7?5;c0eg2<72<0;6=u+9`1903><,:l96:jn;%;5g?4e:=1C?hh4n`57>777l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p05g12909wS:?a79>6ce02:ln7p}=f0194?4|V;l:?63=fb597c`;m=4?:3y]05g7348mo:4;019~w7e?<3:1>vP=c96897`d?3>;=6s|4g494?4|V=l=70:583>5}#1h91m;=4$84`>7d5<2B8ik5aa669646b3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th:>ol51;695?2|,0k86>hm;I;:`>hf?=09==h4i52b2?7=3`>;m=4>::k1b7?=931d8k951;9a6ce7290?6=4?{%;b7?2102.8j?47299'=3e=:k8?7E=jf:lb31<598:0e>hj:188m6`a2900e9>?:188k=?72900q~:?a783>7}Y<9k=7052z\74d6<5;lh<7=if:p6c4>2909wS6ce72=:;7p};f683>7}Y{zj88io7?55;391~">i:08jo5G98f8jd132;;:=6g;0`495?=n:o;:6<44i52b4?7=3`8h4?4>::m7b=<622h9jn?50;794?6|,0k86987;%1e6?1ci2.2:n4=b368L6ca3gk<87<>139j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v9>n6;296~X38h<01?hl1;1ea>{t:o;:6=4={_0e54=::oi:6>hi;|q74d6=838pR9>n0:?1bf7=<9:0q~7}Y:j2970;5nj;144>4}|`1bge=93>1<7>t$8c0>d043-3=o73:k0b`<722c8jk4?::k:1<<722e<;>4?::a57dc280?6<4;{%;b7?5aj2B25i5aa66964733`>;m;4>::k74d6=931b>k<7:088k1`>2800n?hmd;290?6=8r.2m>4;699'7c4=0;20(48l:3`10>N4mo1em::52036?l5am3:17d=if;29?l2783:17b660;29?xu38h<1<7;5nkn1?kk4}r63e5<72;qU8=o?;<0efa<4no1v?h=8;296~X5n;201?hmd;634>{t;m=4>::k1g=7=931d8ko51;9a6cdb290>6=4?{%;b7?2102.8j?48d`9'=3e=:k8?7E=jf:lb31<598=0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv27i?0;6?uQ41c5?84ajl08jh5rs3d24?6=:rT9j<>4=3daa?5an2wx8=o?:181[27i916>klj:523?xu5k1;1<7;5nko18=?4}r6ee?6=:rT?jl522g`f>=?73twi8?<;:087>5<7s-3j?7o93:&:2f<38130D>ki;oc40?46911b?kk50;9j7c`=831b58750;9l325=831vn9<=5;391?6=8r.2m>4;699'7c4=?130(48l:52;=>N4mo1em::5203:?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl;23495?3=83:p(4o<:54;?!5a:3=hj6*66b874=?<@:om7co84;025d=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb5014?7=<3:1hf?=09=5<5<=86=44}c6164<62<0;6=u+9`1903><,:l96:66;%;5g?27001C?hh4n`57>776k2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a0745280>6=4?{%;b7?2102.8j?48cg9'=3e=<9227E=jf:lb31<598n0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg259j0:694?:1y'=d5=i?90(48l:52;=>N4mo1em::5203f?l5am3:17d=if;29?l?213:17b983;29?xd3:8n1=7;50;2x ">>j0?<574H2ge?kg0<38:=k5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj=8:i7?55;294~">i:0?:55+3g093f`<,079:J0ac=ii>>1><5;h634?6=3`>;=7>5;n::4?6=3th?><651;694?6|,0k86l8<;%;5g?27001C?hh4n`57>77592c8jh4?::k0bc<722c2944?::m436<722wi8??6:086>5<7s-3j?7:98:&0b7<0001/5;m541::?M5bn2dj;94=1308m6`b2900e>hi:188m1672900e9>>:188k=?72900qo:=1`82>0<729q/5l=547:8 6`52>im7)79c;63<<=O;ll0bl9;:3317>o4nl0;66g>o3890;66g;0083>>i?190;66sm4333>4<3290;w)7n3;c57>">>j0?<574H2ge?kg0<38:>95f3gg94?=n;ol1<75f94;94?=h?>91<75rb5025?7==3:116?12B8ik5aa66964423`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`7644=93?1<7>t$8c0>10?3-9m>79lf:&:2f<38130D>ki;oc40?46:?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f147k3;187>50z&:e6:1/5;m541::?M5bn2dj;94=1358m6`b2900e>hi:188m<3>2900c:9<:188yg258m0:684?:1y'=d5=h=:6::?!?1k3>;445G3dd8jd132;;946g>o4no0;66g;0183>>o3880;66a79183>>{e<;:n6<4::183!?f;3>=46*hf?=09=?74i2df>5<5<5<9854>:583>5}#1h91m;=4$84`>16?12B8ik5aa669644f3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th?>9751;794?6|,0k86987;%1e6?1?12.2:n4;09;8L6ca3gk<87<>2c9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn9<;a;391?6=8r.2m>4;699'7c4=?jl0(48l:52;=>N4mo1em::5200`?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl;25695?2=83:p(4o<:`40?!?1k3>;445G3dd8jd132;;9h6g>o4no0;66g65883>>i0?:0;66sm4366>4<2290;w)7n3;65<>"4n;0<445+97a905>>3A9nj6`n758157c5<5<<,:l96:mi;%;5g?27001C?hh4n`57>775n2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a075d280?6=4?{%;b7?g1;2.2:n4;09;8L6ca3gk<87<>319j7cc=831b?kh50;9j=0?=831d;:=50;9~f144l3;197>50z&:e6<3>11/?k<579;8 <0d2=:356F">>j0?<574H2ge?kg0<38:??5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj=8847?54;294~">i:0j:>5+97a905>>3A9nj6`n75815655<5<9?44>:483>5}#1h918;64$2d1>2>>3-3=o7:?889K7``5<7s-3j?7:98:&0b7<0ko1/5;m541::?M5bn2dj;94=1278m6`b2900e>hi:188m1672900e9>>:188k=?72900qo:=3582>1<729q/5l=5a718 <0d2=:356F16?12B8ik5aa669645?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`7666=93>1<7>t$8c0>d043-3=o7:?889K7``4?::a0756280>6=4?{%;b7?2102.8j?48889'=3e=<9227E=jf:lb31<59:k0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg25;;0:684?:1y'=d5=h=:6ae?!?1k3>;445G3dd8jd132;;8n6g>o4no0;66g;0183>>o3880;66a79183>>{e<;8h6<4;:183!?f;3k=?6*66b874=?<@:om7co84;027f=n;oo1<75f3gd94?=n1<31<75`76194?=zj=89h7?55;294~">i:0?:55+3g093=?<,079:J0ac=ii>>1><=k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th?>?k51;794?6|,0k86987;%1e6?1dn2.2:n4;09;8L6ca3gk<87<>3d9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn9<=8;390?6=8r.2m>4n629'=3e=<9227E=jf:lb31<59:l0e>hj:188m6`a2900e4;6:188k2142900qo:=2882>0<729q/5l=547:8 6`52>227)79c;63<<=O;ll0bl9;:3374>o4nl0;66g>o3890;66g;0083>>i?190;66sm430b>4<2290;w)7n3;65<>"4n;0>3A9nj6`n75815175<5<=k5:J0ac=ii>>1><:=;h1ea?6=3`9mj7>5;h;6=?6=3f=5;|`26f7=93?1=7;t$8c0>6`e3A32h6`n758151550z&:e6<3>11/?k<58238 <0d2:9o96F?7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xu4l8k1<7;4l8i1?kk4}r14b=<72;qU?:h7;<1g5f<4no1v>9ib;296~X4?oh01>j>c;634>{t;1:?6=4={_1;41=:;m;h69>>;|q65d<72;qU9>82wvn>j>d;390?6=8r.2m>4;699'7c4=08?0(48l:21g1>N4mo1em::52066?l5am3:17d=if;29?l2783:17b660;29?xd4kl91=7:50;2x o4nl0;66g>o>=00;66a87283>>{e;jo>6<4::183!?f;3>=46*j:;I1fb>hf?=09=994i2df>5<5<5<:583>5}#1h91m;=4$84`>65c=2B8ik5aa669642?3`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th8oh>51;794?6|,0k86987;%1e6?1?82.2:n4<3e78L6ca3gk<87<>489j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>mk9;390?6=8r.2m>4n629'=3e=;:n>7E=jf:lb31<59=k0e>hj:188m6`a2900e4;6:188k2142900qo=ldc82>0<729q/5l=547:8 6`52>2;7)79c;10`0=O;ll0bl9;:337f>o4nl0;66g>o3890;66g;0083>>i?190;66sm3bf7>4<3290;w)7n3;c57>">>j08?i;4H2ge?kg0<38:8n5f3gg94?=n;ol1<75f94;94?=h?>91<75rb2ag2?7==3:14$84`>65c=2B8ik5aa669642c3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0gf`=93>1<7>t$8c0>d043-3=o7=4?::a7fb6280>6=4?{%;b7?2102.8j?48819'=3e=;:n>7E=jf:lb31<59=l0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg5dk90:694?:1y'=d5=i?90(48l:21g1>N4mo1em::52073?l5am3:17d=if;29?l?213:17b983;29?xd4kj81=7;50;2x ">>j08?i;4H2ge?kg0<38:9<5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:i357?54;294~">i:0j:>5+97a976b23A9nj6`n75815045<5<:483>5}#1h918;64$2d1>2>73-3=o7=5<7s-3j?7o93:&:2f<4;m?0D>ki;oc40?46==1b?kk50;9j7c`=831b58750;9l325=831vn>m:4;391?6=8r.2m>4;699'7c4=?1:0(48l:21g1>N4mo1em::52076?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl:6g>o4no0;66g65883>>i0?:0;66sm13a0>4<3280?w)7n3;1ef>N>1m1em::52074?l5dmj0:66g<7ef95?=n;>l36<44o40g>4<54;294~">i:0?:55+3g09<14<,0
    =k5:J0ac=ii>>1><;7;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q0g`e=838pR>mjc:?0g`c=;oo0q~=8de83>7}Y;>no70=led80bc=z{:=m47>52z\03c><5:ini7:?0:p17b=838pR80<729q/5l=547:8 6`52>2;7)79c;10`0=O;ll0bl9;:336=>o4nl0;66g>o3890;66g;0083>>i?190;66sm3bg;>4<3290;w)7n3;c57>">>j08?i;4H2ge?kg0<38:9l5f3gg94?=n;ol1<75f94;94?=h?>91<75rb00`0?7=<3;18v*6a280bg=O10n0bl9;:336f>o4kl=1=75f36f`>4<5}#1h918;64$2d1>=253-3=o7=7fc>2:ln7p}<7ea94?4|V:=oo63j:;I1fb>hf?=09=8k4i2df>5<5<=86=44}c31g0<62=0:69u+9`197cd<@03o7co84;021c=n;ji26<44i25g3?7=3`9::m674<622h8onl50;694?6|,0k86987;%1e6?>3:2.2:n4<3e78L6ca3gk<87<>619j7cc=831b?kh50;9j056=831d44>50;9~w6ed13:1>vP5<5sW96`a3ty8;k650;0xZ61a0278onl54128yv3493:1>vP:309>7fee213;7psm3ba`>4<2290;w)7n3;65<>"4n;0<4=5+97a976b23A9nj6`n75815375<5<=k5:J0ac=ii>>1><8=;h1ea?6=3`9mj7>5;h;6=?6=3f=5;|`26f0=93>1=7:t$8c0>6`e3A32h6`n75815355<7s-3j?7:98:&0b7hi:188m1672900c57?:188yv5dk=0;6?uQ3ba7?85dk?08jh5rs25g2?6=:rT8;i84=2a`2?5an2wx?:h7:181[50n116?nm9:523?xu2;:0;6?uQ521896ed>322<6srb2a`3?7==3:14$84`>65c=2B8ik5aa66964023`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0ggd=93>1<7>t$8c0>d043-3=o7=4?::a57e0280?6<4;{%;b7?5aj2B25i5aa66964003`9hnl4>::k03a2=931b?:h7:088k0512800n>mmc;290?6=8r.2m>4;699'7c4=0=80(48l:21g1>N4mo1em::5204;?l5am3:17d=if;29?l2783:17b660;29?xu4kkk1<7;4kki1?kk4}r14`1<72;qU?:j;;<1`ff<4no1v>9i8;296~X4?o201>mmc;634>{t=:<1<7<,:l96:6?;%;5g?54l<1C?hh4n`57>77112c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7fd1280?6=4?{%;b7?g1;2.2:n4<3e78L6ca3gk<87<>6`9j7cc=831b?kh50;9j=0?=831d;:=50;9~f44d13;187?54z&:e6<4nk1C54j4n`57>771j2c8oo;51;9j72b42800e>9i8;39?j3413;17o=lb683>1<729q/5l=547:8 6`521>97)79c;10`0=O;ll0bl9;:335g>o4nl0;66g>o3890;66a79183>>{t;jh>6=4={_1`f0=:;jh<6>hj;|q03a5=838pR>9k3:?0gg1=;ol0q~=8f983>7}Y;>l370=lb68745=z{<926=4={_70=>;4kk=144>4}|`0gg>=93?1<7>t$8c0>10?3-9m>7970:&:2f<4;m?0D>ki;oc40?46>m1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6ee93;187>50z&:e6:1/5;m532f6?M5bn2dj;94=17g8m6`b2900e>hi:188m<3>2900c:9<:188yg75kh0:694>:5y'=d5=;oh0D47k;oc40?46>o1b?nl?:088m61c:3;17d=8f982>>i2;k0:66l6*66b807a3<@:om7co84;0235=n;oo1<75f3gd94?=n<9:1<75`88294?=z{:ii<7>52z\0gg6<5:ii>7=ie:p72b52909wS=8d39>7fd52:lm7p}<7g:94?4|V:=m46352z\67g=:;jh9657?;|a7fd4280>6=4?{%;b7?2102.8j?48819'=3e=;:n>7E=jf:lb31<59>;0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg5dij0:694?:1y'=d5=i?90(48l:21g1>N4mo1em::52051?l5am3:17d=if;29?l?213:17b983;29?xd6:jh1=7:51;6x 90e>mnb;39?l50l80:66g<7g:95?=h=:n1=75m3bcg>5<3290;w)7n3;65<>"4n;038?5+97a976b23A9nj6`n75815225<5<vP<7e3896efl39mj6s|36d;>5<5sW91673ty>?i4?:3y]16b<5:ijh7660:~f6efm3;197>50z&:e6<3>11/?k<57928 <0d2:9o96F7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd4kh=1=7:50;2x o4nl0;66g>o>=00;66a87283>>{e9;ih6<4;:087!?f;39mn6F69e9me22=:8=<7d=la782>>o4?m:1=75f36d;>4<65c=2B8ik5aa669641?3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty8ol850;0xZ6ef>278ol653gg8yv50l90;6?uQ36f3?85di108jk5rs25eh50;0xZ05a349hm547919~yg5di00:684?:1y'=d5=h=:6:3?!?1k398h85G3dd8jd132;;<56g>o4no0;66g;0183>>o3880;66a79183>>{e;jk96<4;:183!?f;3k=?6*66b807a3<@:om7co84;023d=n;oo1<75f3gd94?=n1<31<75`76194?=zj88hi7?54;390~">i:08jo5G98f8jd132;;im6<44i25e7?5;c1`e6<72=0;6=u+9`1903><,:l965:=;%;5g?54l<1C?hh4n`57>770k2c8jh4?::k0bc<722c?<=4?::m;=5<722wx?no>:181[5di816?no<:2df?xu4?jl1<7;4kh91?kh4}r14b=<72;qU?:h7;<1`e6<3891v8:=:181[33:278ol=58828yxd4kh>1=7;50;2x ">>j08?i;4H2ge?kg0<38:;i5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:i2h7?54;294~">i:0j:>5+97a976b23A9nj6`n758152c5<5<:582>1}#1h91?kl4H8;g?kg0<38:;k5f3b;`>4<884>::`0g1<7>t$8c0>10?3-9m>76;2:&:2f<4;m?0D>ki;oc40?46091b?kk50;9j7c`=831b8=>50;9l<<6=831v>m6c;296~X4k0i01>m6e;1ea>{t;>in6=4={_14g`=:;j3n6>hi;|q03c>=838pR>9i8:?0g=46*j:;I1fb>hf?=09=5?4i2df>5<5<5<:583>5}#1h91m;=4$84`>65c=2B8ik5aa66964>53`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th:>i?51;695?2|,0k86>hm;I;:`>hf?=09=5=4i2a:3?7=3`9::k03c>=931d99951;9a7f?>290?6=4?{%;b7?2102.8j?47439'=3e=;:n>7E=jf:lb31<591>0e>hj:188m6`a2900e9>?:188k=?72900q~=l9683>7}Y;j3<70=l9880b`=z{:=hh7>52z\03fb<5:i257=if:p72`?2909wS=8f99>7f?>2=:;7p}:4683>7}Y===01>m69;::4>{zj:i2m7?55;294~">i:0?:55+3g093=6<,0
    =k5:J0ac=ii>>1><6:;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8o4=51;694?6|,0k86l8<;%;5g?54l<1C?hh4n`57>77?>2c8jh4?::k0bc<722c2944?::m436<722wi=?j=:087>4<3s-3j?7=ib:J:=a=ii>>1><68;h1`=7<622c8;nm51;9j72`?2800c8:6:088f6e><3:187>50z&:e6<3>11/?k<58508 <0d2:9o96F>83:17p}63vP<7g:896e><3>;<6s|55;94?4|V<>270=l958;=5=zuk9h584>:483>5}#1h918;64$2d1>2>73-3=o7=5<7s-3j?7o93:&:2f<4;m?0D>ki;oc40?460h1b?kk50;9j7c`=831b58750;9l325=831vn<4h=:961?!?1k398h85G3dd8jd132;;3o6g>o4no0;66g;0183>>i?190;66s|3b:g>5<5sW9h4i523b:e>6`b3ty8;nl50;0xZ61dj278o5h53gd8yv50n10;6?uQ36d;?85d0o0?<=5rs46a>5<5sW??n6351;794?6|,0k86987;%1e6?1?82.2:n4<3e78L6ca3gk<87<>8e9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>m74;390?6=8r.2m>4n629'=3e=;:n>7E=jf:lb31<591o0e>hj:188m6`a2900e4;6:188k2142900qo?=d482>1<62=q/5l=53g`8L8g9j7f>42800e>9l9;39?l50n10:66a:4d82>>d4k1?1<7:50;2x ">>j08?i;4H2ge?kg0<38:5=5f3gg94?=n;ol1<75f41294?=h00:1<75rs2a;7?6=:rT8o5=4=2a;1?5am2wx?:m6:181[50k016?n6::2de?xu4?o21<7;4k1?18=>4}r77a?6=:rT>8h523b:6>=?73twi?n69:086>5<7s-3j?7:98:&0b7<0091/5;m532f6?M5bn2dj;94=1838m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=l7g82>1<729q/5l=5a718 <0d2:9o96F2e595?2=93>p(4o<:2da?M?>l2dj;94=1818m6e0m3;17d=8c982>>o4?o21=75`54395?=e;j2;6=4;:183!?f;3>=46*j:;I1fb>hf?=09=4:4i2df>5<5<9l8;296~X4?j201>m70;1eb>{t;>l36=4={_14b==:;j2;69>?;|q614<72;qU98?4=2a;4?>>82wvn>m71;391?6=8r.2m>4;699'7c4=?1:0(48l:21g1>N4mo1em::520;6?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>o4no0;66g65883>>i0?:0;66sm13f;>4<3280?w)7n3;1ef>N>1m1em::520;4?l5d?00:66g<7b595?=n;>l36<44o470>4<54;294~">i:0?:55+3g09<14<,0
    =k5:J0ac=ii>>1><77;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|q0g2?=838pR>m89:?0g2d=;oo0q~=8c683>7}Y;>i<70=l7c80bc=z{:=m47>52z\03c><5:i0<729q/5l=547:8 6`52>2;7)79c;10`0=O;ll0bl9;:33:=>o4nl0;66g>o3890;66g;0083>>i?190;66sm3b56>4<3290;w)7n3;c57>">>j08?i;4H2ge?kg0<38:5l5f3gg94?=n;ol1<75f94;94?=h?>91<75rb00g=?7=<3;18v*6a280bg=O10n0bl9;:33:f>o4k>>1=75f36a5>4<5}#1h918;64$2d1>=253-3=o7=7f112:ln7p}<7b494?4|V:=h:63j:;I1fb>hf?=09=4k4i2df>5<5<=86=44}c31`d<62=0:69u+9`197cd<@03o7co84;02=c=n;j::m612<622h8o:?50;694?6|,0k86987;%1e6?>3:2.2:n4<3e78L6ca3gk<87<>a19j7cc=831b?kh50;9j056=831d44>50;9~w6e1n3:1>vP5<5sW96`a3ty8;k650;0xZ61a0278o:?54128yv32?3:1>vP:569>7f16213;7psm3b51>4<2290;w)7n3;65<>"4n;0<4=5+97a976b23A9nj6`n75815d75<5<=k5:J0ac=ii>>1>5;h;6=?6=3f=5;|`26ae=93>1=7:t$8c0>6`e3A32h6`n75815d55<7s-3j?7:98:&0b7hi:188m1672900c57?:188yv5d>h0;6?uQ3b4b?85d>j08jh5rs25`0?6=:rT8;n:4=2a5g?5an2wx?:h7:181[50n116?n8l:523?xu2=h0;6?uQ54c896e1k322<6srb2a5`?7==3:14$84`>65c=2B8ik5aa66964g23`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0g30=93>1<7>t$8c0>d043-3=o7=4?::a57bc280?6<4;{%;b7?5aj2B25i5aa66964g03`9h:84>::k03f5=931b?:h7:088k03c2800n>m97;290?6=8r.2m>4;699'7c4=0=80(48l:21g1>N4mo1em::520c;?l5am3:17d=if;29?l2783:17b660;29?xu4k??1<7;4k?=1?kk4}r14g6<72;qU?:m<;<1`22<4no1v>9i8;296~X4?o201>m97;634>{t=>035=5r}c1`2=<62<0;6=u+9`1903><,:l96:6?;%;5g?54l<1C?hh4n`57>77f12c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7f06280?6=4?{%;b7?g1;2.2:n4<3e78L6ca3gk<87<>a`9j7cc=831b?kh50;9j=0?=831d;:=50;9~f44cm3;187?54z&:e6<4nk1C54j4n`57>77fj2c8o;>51;9j72e52800e>9i8;39?j32n3;17o=l6383>1<729q/5l=547:8 6`521>97)79c;10`0=O;ll0bl9;:33bg>o4nl0;66g>o3890;66a79183>>{t;j<;6=4={_1`25=:;j<96>hj;|q03f4=838pR>9l2:?0g34=;ol0q~=8f983>7}Y;>l370=l638745=z{;4k?8144>4}|`0g35=93?1<7>t$8c0>10?3-9m>7970:&:2f<4;m?0D>ki;oc40?46im1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6e2k3;187>50z&:e6:1/5;m532f6?M5bn2dj;94=1`g8m6`b2900e>hi:188m<3>2900c:9<:188yg75lo0:694>:5y'=d5=;oh0D47k;oc40?46io1b?n;m:088m61d93;17d=8f982>>i2>80:66l6*66b807a3<@:om7co84;02f5=n;oo1<75f3gd94?=n<9:1<75`88294?=z{:i>n7>52z\0g0d<5:i>h7=ie:p72e62909wS=8c09>7f3c2:lm7p}<7g:94?4|V:=m46352z\624=:;j?o657?;|a7f3b280>6=4?{%;b7?2102.8j?48819'=3e=;:n>7E=jf:lb31<59k;0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg5d=>0:694?:1y'=d5=i?90(48l:21g1>N4mo1em::520`1?l5am3:17d=if;29?l?213:17b983;29?xd6:l:1=7:51;6x m:6;39?l50k90:66g<7g:95?=h=?91=75m3b7;>5<3290;w)7n3;65<>"4n;038?5+97a976b23A9nj6`n75815g25<5<vP<7b2896e2039mj6s|36d;>5<5sW91673ty>:>4?:3y]135<5:i>47660:~f6e213;197>50z&:e6<3>11/?k<57928 <0d2:9o96F7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd5;==1=7:50;2x o4nl0;66g>o>=00;66a87283>>{e::>36<4::183!?f;3>=46*<=?;I1fb>hf?=09=o94i2df>5<5<5<:483>5}#1h918;64$2d1>2g>3-3=o7<>319K7``>:k:087>5<7s-3j?7o93:&:2f<59::0D>ki;oc40?46j01b?kk50;9j7c`=831b58750;9l325=831vn?=;e;391?6=8r.2m>4;699'7c4=?jn0(48l:3304>N4mo1em::520`b?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=35d95?3=83:p(4o<:54;?!5a:3=j>6*66b81566<@:om7co84;02fg=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb36e7?7=<3:1<=?;I1fb>hf?=09=om4i2df>5<5<=86=44}c07b1<62=0;6=u+9`1903><,:l965?7;%;5g?46;91C?hh4n`57>77el2c8jh4?::k0bc<722c?<=4?::m;=5<722wi=?k=:085>7<0s-3j?7=ib:J:=a=ii>>1>>d5">>j09=>>4H2ge?kg0<38:nk5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj;>m:7>53;294~">i:0?:55+3g09<02<@:om7co84;02g5=n;oo1<75f3gd94?=h00:1<75rs3713?6=:rT99?94=36e1?5am2wx>8jk:181[42lm16>9h::523?xu5>k81<7;5;oi;<07b3<4nl1v?9=a;296~X5?;k01?:i6;1eb>{t=?i1<7322<6srb2663?7=:3:165c=2B8ik5aa66964e63`9mi7>5;n::4?6=3th88<751;094?6|,0k86987;%1e6?>?j2.2:n4<3e78L6ca3gk<87<>c39j7cc=831d44>50;9~f63b?3;1>7>50z&:e6<3>11/?k<589`8 <0d2:9o96F>83:17pl<5d;95?4=83:p(4o<:54;?!5a:323n6*66b807a3<@:om7co84;02g1=n;oo1<75`88294?=zj:?nn7?52;294~">i:0?:55+3g09<=d<,0
    =k5:J0ac=ii>>1>5;|`01`b=9381<7>t$8c0>10?3-9m>767b:&:2f<4;m?0D>ki;oc40?46k?1b?kk50;9l<<6=831vn>;jf;396?6=8r.2m>4;699'7c4=01h0(48l:21g1>N4mo1em::520a4?l5am3:17b660;29?xd4=o;1=7<50;2x ">>j08?i;4H2ge?kg0<38:o55f3gg94?=h00:1<75rb27e7?7=:3:165c=2B8ik5aa66964e>3`9mi7>5;n::4?6=3th89k;51;094?6|,0k86987;%1e6?>?j2.2:n4<3e78L6ca3gk<87<>c`9j7cc=831d44>50;9~f7>5n3;1>7>50z&:e6<3>11/?k<589`8 <0d2;=3;6F>83:17pl=7d595?4=83:p(4o<:54;?!5a:323n6*66b813=1<@:om7co84;02gf=n;oo1<75`88294?=zj;2;o7?52;294~">i:0?:55+3g09<=d<,0>1>5;|`13t$8c0>10?3-9m>767b:&:2f<5?1=0D>ki;oc40?46kl1b?kk50;9l<<6=831vn?96b;396?6=8r.2m>4;699'7c4=01h0(48l:35;3>N4mo1em::520ae?l5am3:17b660;29?xd5;9l1=7<50;2x ">>j09=>>4H2ge?kg0<38:h=5f3gg94?=h00:1<75rb33gf?7=:3:177482B8ik5aa66964b63`9mi7>5;n::4?6=3th9>hl51;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<>d39j7cc=831d44>50;9~f74d?3;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=29095?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;02`1=n;oo1<75`88294?=zj;83<7?52;294~">i:0?:55+3g09<=d<,0>1>5;|`162e=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?46l?1b?kk50;9l<<6=831vn?<8a;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::520f4?l5am3:17b660;29?xd5:>21=7<50;2x ">>j09=>>4H2ge?kg0<38:h55f3gg94?=h00:1<75rb3042?7=:3:177482B8ik5aa66964b>3`9mi7>5;n::4?6=3th9>::51;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<>d`9j7cc=831d44>50;9~f740:3;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=26295?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;02`f=n;oo1<75`88294?=zj;8=i7?52;294~">i:0?:55+3g09<=d<,0>1>5;|`163e=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?46ll1b?kk50;9l<<6=831vn?<9a;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::520fe?l5am3:17b660;29?xd5:?<1=7<50;2x ">>j09=>>4H2ge?kg0<38:i=5f3gg94?=h00:1<75rb3050?7=:3:177482B8ik5aa66964c63`9mi7>5;n::4?6=3th9>;<51;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<>e39j7cc=831d44>50;9~f74183;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=24g95?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;02a1=n;oo1<75`88294?=zj;8>o7?52;294~">i:0?:55+3g09<=d<,0>1>5;|`160g=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?46m?1b?kk50;9l<<6=831vn?<:8;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::520g4?l5am3:17b660;29?xd5:<<1=7<50;2x ">>j09=>>4H2ge?kg0<38:i55f3gg94?=h00:1<75rb3060?7=:3:177482B8ik5aa66964c>3`9mi7>5;n::4?6=3th9>4>51;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<>e`9j7cc=831d44>50;9~f74?m3;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=29a95?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;02af=n;oo1<75`88294?=zj;83m7?52;294~">i:0?:55+3g09<=d<,0>1>5;|`16=>=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?46ml1b?kk50;9l<<6=831vn?<76;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::520ge?l5am3:17b660;29?xd5:1>1=7<50;2x ">>j09=>>4H2ge?kg0<38:j=5f3gg94?=h00:1<75rb304a?7=:3:177482B8ik5aa66964`63`9mi7>5;n::4?6=3th9>;651;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<>f39j7cc=831d44>50;9~f75fk3;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=3`c95?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;02b1=n;oo1<75`88294?=zj;9ii7?52;294~">i:0?:55+3g09<=d<,0>1>5;|`17ge=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?46n?1b?kk50;9l<<6=831vn?=ma;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::520d4?l5am3:17b660;29?xd5;k21=7<50;2x ">>j09=>>4H2ge?kg0<38:j55f3gg94?=h00:1<75rb31a2?7=:3:177482B8ik5aa66964`>3`9mi7>5;n::4?6=3th9?o:51;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<>f`9j7cc=831d44>50;9~f75e:3;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=3c295?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;02bf=n;oo1<75`88294?=zj;9ji7?52;294~">i:0?:55+3g09<=d<,0>1>5;|`17ag=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?46nl1b?kk50;9l<<6=831vn?=k8;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::520de?l5am3:17b660;29?xd5;li1=7<50;2x ">>j09=>>4H2ge?kg0<389<=5f3gg94?=h00:1<75rb31fe?7=:3:177482B8ik5aa66967663`9mi7>5;n::4?6=3th9?h651;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<=039j7cc=831d44>50;9~f75b>3;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=3d695?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;0141=n;oo1<75`88294?=zj;9n>7?52;294~">i:0?:55+3g09<=d<,0>1>?>:;h1ea?6=3f22<7>5;|`17`6=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?458?1b?kk50;9l<<6=831vn?=ke;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::52324?l5am3:17b660;29?xd5;mi1=7<50;2x ">>j09=>>4H2ge?kg0<389<55f3gg94?=h00:1<75rb314e?7=:3:177482B8ik5aa669676>3`9mi7>5;n::4?6=3th9?:651;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<=0`9j7cc=831d44>50;9~f750>3;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=36695?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;014f=n;oo1<75`88294?=zj;9<>7?52;294~">i:0?:55+3g09<=d<,0>1>?>k;h1ea?6=3f22<7>5;|`1726=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?458l1b?kk50;9l<<6=831vn?=7c;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::5232e?l5am3:17b660;29?xd5;1k1=7<50;2x ">>j09=>>4H2ge?kg0<389==5f3gg94?=h00:1<75rb31;77482B8ik5aa66967763`9mi7>5;n::4?6=3th9?5851;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<=139j7cc=831d44>50;9~f75?<3;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=39095?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;0151=n;oo1<75`88294?=zj;93<7?52;294~">i:0?:55+3g09<=d<,0>1>??:;h1ea?6=3f22<7>5;|`172c=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?459?1b?kk50;9l<<6=831vn?=8c;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::52334?l5am3:17b660;29?xd5<921=7<50;2x ">>j09=>>4H2ge?kg0<389=55f3gg94?=h00:1<75rb3632?7=:3:177482B8ik5aa669677>3`9mi7>5;n::4?6=3th98?j2.2:n4=1228L6ca3gk<87<=1`9j7cc=831d44>50;9~f72603;1>7>50z&:e6<3>11/?k<589`8 <0d2;;8<6F>83:17pl=40495?4=83:p(4o<:54;?!5a:323n6*66b81566<@:om7co84;015f=n;oo1<75`88294?=zj;>:87?52;294~">i:0?:55+3g09<=d<,0>1>??k;h1ea?6=3f22<7>5;|`1044=9381<7>t$8c0>10?3-9m>767b:&:2f<59::0D>ki;oc40?459l1b?kk50;9l<<6=831vn?:>0;396?6=8r.2m>4;699'7c4=01h0(48l:3304>N4mo1em::5233e?l5am3:17b660;29?xd5<9o1=7<50;2x ">>j09=>>4H2ge?kg0<389>=5f3gg94?=h00:1<75rb363g?7=:3:177482B8ik5aa66967463`9mi7>5;n::4?6=3th98=o51;094?6|,0k86987;%1e6?>?j2.2:n4=1228L6ca3gk<87<=239j7cc=831d44>50;9~f4bc83;1>7>50z&:e6<3>11/?k<589`8 <0d28nih6F>83:17pl>c3c95?4=83:p(4o<:54;?!5a:323n6*66b82g41<@:om7co84;0161=n;oo1<75`88294?=zj::287?52;294~">i:0?:55+3g09<=d<,0
    >=a:J0ac=ii>>1>?<:;h1ea?6=3f22<7>5;|`04<0=9381<7>t$8c0>10?3-9m>767b:&:2f<48;k0D>ki;oc40?45:?1b?kk50;9l<<6=831vn>>68;396?6=8r.2m>4;699'7c4=01h0(48l:221e>N4mo1em::52304?l5am3:17b660;29?xd480k1=7<50;2x ">>j0855f3gg94?=h00:1<75rb22:g?7=:3:1665i2B8ik5aa669674>3`9mi7>5;n::4?6=3th8<4k51;094?6|,0k86987;%1e6?>?j2.2:n4<03c8L6ca3gk<87<=2`9j7cc=831d44>50;9~f66f83;1>7>50z&:e6<3>11/?k<589`8 <0d2::9m6F>83:17pl<0`095?4=83:p(4o<:54;?!5a:323n6*66b8047g<@:om7co84;016f=n;oo1<75`88294?=zj::j87?52;294~">i:0?:55+3g09<=d<,0
    >=a:J0ac=ii>>1>?5;|`04<4=9381<7>t$8c0>10?3-9m>767b:&:2f<48;k0D>ki;oc40?45:l1b?kk50;9l<<6=831vn>>:7;396?6=8r.2m>4;699'7c4=01h0(48l:221e>N4mo1em::5230e?l5am3:17b660;29?xd48>21=7<50;2x ">>j0867al2B8ik5aa66967563`9mi7>5;n::4?6=3th8>?j2.2:n4<1gf8L6ca3gk<87<=339j7cc=831d44>50;9~f646n3;1>7>50z&:e6<3>11/?k<589`8 <0d2:;mh6F>83:17pl<23395?4=83:p(4o<:54;?!5a:323n6*66b805cb<@:om7co84;0171=n;oo1<75`88294?=zj:89?7?52;294~">i:0?:55+3g09<=d<,0
    ?id:J0ac=ii>>1>?=:;h1ea?6=3f22<7>5;|`0673=9381<7>t$8c0>10?3-9m>767b:&:2f<49on0D>ki;oc40?45;?1b?kk50;9l<<6=831vn><=7;396?6=8r.2m>4;699'7c4=01h0(48l:23e`>N4mo1em::52314?l5am3:17b660;29?xd4:;31=7<50;2x ">>j08=kj4H2ge?kg0<389?55f3gg94?=h00:1<75rb201f?7=:3:167al2B8ik5aa669675>3`9mi7>5;n::4?6=3th8>=h51;094?6|,0k86987;%1e6?>?j2.2:n4<1gf8L6ca3gk<87<=3`9j7cc=831d44>50;9~f64693;1>7>50z&:e6<3>11/?k<589`8 <0d2:;mh6F>83:17pl<20195?4=83:p(4o<:54;?!5a:323n6*66b805cb<@:om7co84;017f=n;oo1<75`88294?=zj:8:97?52;294~">i:0?:55+3g09<=d<,0
    ?id:J0ac=ii>>1>?=k;h1ea?6=3f22<7>5;|`0641=9381<7>t$8c0>10?3-9m>767b:&:2f<49on0D>ki;oc40?45;l1b?kk50;9l<<6=831vn><>9;396?6=8r.2m>4;699'7c4=01h0(48l:23e`>N4mo1em::5231e?l5am3:17b660;29?xd4;9?1=7<50;2x ">>j08>h94H2ge?kg0<3898=5f3gg94?=h00:1<75rb2133?7=:3:164b?2B8ik5aa66967263`9mi7>5;n::4?6=3th8?=751;094?6|,0k86987;%1e6?>?j2.2:n4<2d58L6ca3gk<87<=439j7cc=831d44>50;9~f657j3;1>7>50z&:e6<3>11/?k<589`8 <0d2:8n;6F87d=ie;29?j>>83:17pl<31f95?4=83:p(4o<:54;?!5a:323n6*66b806`1<@:om7co84;0101=n;oo1<75`88294?=zj:9;j7?52;294~">i:0?:55+3g09<=d<,0
    >1>?::;h1ea?6=3f22<7>5;|`0747=9381<7>t$8c0>10?3-9m>767b:&:2f<4:l=0D>ki;oc40?45=>3;396?6=8r.2m>4;699'7c4=01h0(48l:20f3>N4mo1em::52364?l5am3:17b660;29?xd4;8?1=7<50;2x ">>j08>h94H2ge?kg0<389855f3gg94?=h00:1<75rb20e=?7=:3:164b?2B8ik5aa669672>3`9mi7>5;n::4?6=3th8>kl51;094?6|,0k86987;%1e6?>?j2.2:n4<2d58L6ca3gk<87<=4`9j7cc=831d44>50;9~f64al3;1>7>50z&:e6<3>11/?k<589`8 <0d2:8n;6Fi7d=ie;29?j>>83:17pl<2gd95?4=83:p(4o<:54;?!5a:323n6*66b806`1<@:om7co84;010f=n;oo1<75`88294?=zj:9;=7?52;294~">i:0?:55+3g09<=d<,0
    >1>?:k;h1ea?6=3f22<7>5;|`0755=9381<7>t$8c0>10?3-9m>767b:&:2f<4:l=0D>ki;oc40?45?=4;396?6=8r.2m>4;699'7c4=01h0(48l:2332>N4mo1em::5236e?l5am3:17b660;29?xd49;<1=7<50;2x ">>j08==84H2ge?kg0<3899=5f3gg94?=h00:1<75rb231677>2B8ik5aa66967363`9mi7>5;n::4?6=3th8=?o51;094?6|,0k86987;%1e6?>?j2.2:n4<1148L6ca3gk<87<=539j7cc=831d44>50;9~f675k3;1>7>50z&:e6<3>11/?k<589`8 <0d2:;;:6F>83:17pl<13g95?4=83:p(4o<:54;?!5a:323n6*66b80550<@:om7co84;0111=n;oo1<75`88294?=zj:;8<7?52;294~">i:0?:55+3g09<=d<,0
    ??6:J0ac=ii>>1>?;:;h1ea?6=3f22<7>5;|`0564=9381<7>t$8c0>10?3-9m>767b:&:2f<499<0D>ki;oc40?45=?1b?kk50;9l<<6=831vn>?<4;396?6=8r.2m>4;699'7c4=01h0(48l:2332>N4mo1em::52374?l5am3:17b660;29?xd49821=7<50;2x ">>j08==84H2ge?kg0<389955f3gg94?=h00:1<75rb232e?7=:3:1677>2B8ik5aa669673>3`9mi7>5;n::4?6=3th8=?j2.2:n4<1148L6ca3gk<87<=5`9j7cc=831d44>50;9~f676m3;1>7>50z&:e6<3>11/?k<589`8 <0d2:;;:6F>83:17pl<13295?4=83:p(4o<:54;?!5a:323n6*66b80550<@:om7co84;011f=n;oo1<75`88294?=zj:;9>7?52;294~">i:0?:55+3g09<=d<,0
    ??6:J0ac=ii>>1>?;k;h1ea?6=3f22<7>5;|`1fg2=9381<7>t$8c0>10?3-9m>767b:&:2f<5j;?0D>ki;oc40?45=l1b?kk50;9l<<6=831vn?mlb;396?6=8r.2m>4;699'7c4=01h0(48l:3aa<>N4mo1em::5237e?l5am3:17b660;29?xd6nj81=7<50;2x ">>j0:jl:4H2ge?kg0<389:=5f3gg94?=h00:1<75rb0d`0?7=:3:14`f<2B8ik5aa66967063`9mi7>5;n::4?6=3th:jn851;094?6|,0k86987;%1e6?>?j2.2:n4>f`68L6ca3gk<87<=639j7cc=831d44>50;9~f4`d03;1>7>50z&:e6<3>11/?k<589`8 <0d28lj86F>83:17pl>fbc95?4=83:p(4o<:54;?!5a:323n6*66b82bd2<@:om7co84;0121=n;oo1<75`88294?=zj8lho7?52;294~">i:0?:55+3g09<=d<,0>1>?8:;h1ea?6=3f22<7>5;|`2bfc=9381<7>t$8c0>10?3-9m>767b:&:2f<6nh>0D>ki;oc40?45>?1b?kk50;9l<<6=831vn4;699'7c4=01h0(48l:0db0>N4mo1em::52344?l5am3:17b660;29?xd6nm81=7<50;2x ">>j0:jl:4H2ge?kg0<389:55f3gg94?=h00:1<75rb0da2?7=:3:14`f<2B8ik5aa669670>3`9mi7>5;n::4?6=3th:jo651;094?6|,0k86987;%1e6?>?j2.2:n4>f`68L6ca3gk<87<=6`9j7cc=831d44>50;9~f4`ei3;1>7>50z&:e6<3>11/?k<589`8 <0d28lj86F>83:17pl>fca95?4=83:p(4o<:54;?!5a:323n6*66b82bd2<@:om7co84;012f=n;oo1<75`88294?=zj8lii7?52;294~">i:0?:55+3g09<=d<,0>1>?8k;h1ea?6=3f22<7>5;|`2bf6=9381<7>t$8c0>10?3-9m>767b:&:2f<6nh>0D>ki;oc40?45>l1b?kk50;9l<<6=831vn?>nc;396?6=8r.2m>4;699'7c4=01h0(48l:32;a>N4mo1em::5234e?l5am3:17b660;29?xd58ho1=7<50;2x ">>j09<5k4H2ge?kg0<389;=5f3gg94?=h00:1<75rb32a4?7=:3:176?m2B8ik5aa66967163`9mi7>5;n::4?6=3th9?j2.2:n4=09g8L6ca3gk<87<=739j7cc=831d44>50;9~f76e<3;1>7>50z&:e6<3>11/?k<589`8 <0d2;:3i6F>83:17pl=0c495?4=83:p(4o<:54;?!5a:323n6*66b814=c<@:om7co84;0131=n;oo1<75`88294?=zj;:i47?52;294~">i:0?:55+3g09<=d<,07e:J0ac=ii>>1>?9:;h1ea?6=3f22<7>5;|`14gg=9381<7>t$8c0>10?3-9m>767b:&:2f<581o0D>ki;oc40?45??1b?kk50;9l<<6=831vn?>mc;396?6=8r.2m>4;699'7c4=01h0(48l:32;a>N4mo1em::52354?l5am3:17b660;29?xd58h:1=7<50;2x ">>j09<5k4H2ge?kg0<389;55f3gg94?=h00:1<75rb32b6?7=:3:176?m2B8ik5aa669671>3`9mi7>5;n::4?6=3th9?j2.2:n4=09g8L6ca3gk<87<=7`9j7cc=831d44>50;9~f76f>3;1>7>50z&:e6<3>11/?k<589`8 <0d2;:3i6F>83:17pl=0`:95?4=83:p(4o<:54;?!5a:323n6*66b814=c<@:om7co84;013f=n;oo1<75`88294?=zj;:jm7?52;294~">i:0?:55+3g09<=d<,07e:J0ac=ii>>1>?9k;h1ea?6=3f22<7>5;|`2afg=9381<7>t$8c0>10?3-9m>767b:&:2f<6mhi0D>ki;oc40?45?l1b?kk50;9l<<6=831vn4;699'7c4=01h0(48l:0gbg>N4mo1em::5235e?l5am3:17b660;29?xd6mjo1=7<50;2x ">>j0:ilm4H2ge?kg0<3894=5f3gg94?=h00:1<75rb0gg4?7=:3:14cfk2B8ik5aa66967>63`9mi7>5;n::4?6=3th:ii<51;094?6|,0k86987;%1e6?>?j2.2:n4>e`a8L6ca3gk<87<=839j7cc=831d44>50;9~f4cc<3;1>7>50z&:e6<3>11/?k<589`8 <0d28ojo6F>83:17pl>ee495?4=83:p(4o<:54;?!5a:323n6*66b82ade<@:om7co84;01<1=n;oo1<75`88294?=zj8oo47?52;294~">i:0?:55+3g09<=d<,0>1>?6:;h1ea?6=3f22<7>5;|`2aag=9381<7>t$8c0>10?3-9m>767b:&:2f<6mhi0D>ki;oc40?450?1b?kk50;9l<<6=831vn4;699'7c4=01h0(48l:0gbg>N4mo1em::523:4?l5am3:17b660;29?xd6mj:1=7<50;2x ">>j0:ilm4H2ge?kg0<389455f3gg94?=h00:1<75rb0g`6?7=:3:14cfk2B8ik5aa66967>>3`9mi7>5;n::4?6=3th:in:51;094?6|,0k86987;%1e6?>?j2.2:n4>e`a8L6ca3gk<87<=8`9j7cc=831d44>50;9~f4cd>3;1>7>50z&:e6<3>11/?k<589`8 <0d28ojo6F>83:17pl>eb:95?4=83:p(4o<:54;?!5a:323n6*66b82ade<@:om7co84;01;7?52;294~">i:0?:55+3g09<=d<,0>1>?6k;h1ea?6=3f22<7>5;|`2=67=9391<7>t$8c0>10?3-9m>76:4:&:2f<60ki;oc40?450l1b?kk50;9j7c`=831d44>50;9~fd6228096=4?{%;b7?27:2.2:n4>29`8 13c2=:<7E=jf:lb31<5:1l0e>hk:188k2142900qoo?6;397?6=8r.2m>4n649'=3e=9;2i7)::d;::4>N4mo1em::523;3?l5al3:17d=n8;29L13032e<;>4?::ab=e=93<1<7>t$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?45181b>9j50;9j673=831b>>o50;9j=53=831b>5<7s-9m>7=k4:&:2f3;76?M5bn2dj;94=2808m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188ygg703;1>7>50z&:e6<38;1/5;m513:a?!22l3>;;6F6<729q/5l=5a778 <0d2883n6*;5e8;=5=O;ll0bl9;:30:0>o4nm0;66gM22?21d;:=50;9~fc>c280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;3?>7E=jf:lb31<5:0?0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qoj86;392?6=8r.8j?4?332:6*;12861>N4mo1em::523;5?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdf8k0:6?4?:1y'=d5=<980(48l:00;f>"3=m0?<:5G3dd8jd132;82;6g>i0?:0;66sma1a95?5=83:p(4o<:`46?!?1k3;94o5+44f9<<6<@:om7co84;01===n;on1<75f3`:94?N3=>10c:9<:188yg`?m3;1:7>50z&0b7<4l=1/5;m5bc38 "39:0>96Fc;29?j?7l3:17plk8282>3<729q/?k<53e68 <0d2kh:7)7n3;c55>">1>025;5+401910=O;ll0bl9;:30:e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{ei9o1=7<50;2x 91<75rb`2e>4<4290;w)7n3;c51>">>j0:>5l4$57g>=?73A9nj6`n7581650(48l:c`2?!?f;3k==6*6968:=3=#<891985G3dd8jd132;82h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smd8295?0=83:p(>h=:2f7?!?1k3hi=6*6a28b24=#10=15484$530>03<@:om7co84;01=`=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjh;:6<4=:183!?f;3>;>6*66b826=d<,=?o69>8;I1fb>hf?=09>4h4i2dg>5<=86=44}cc26?7=;3:1>82B8ik5aa66967g73`9mh7>5;h1b>{en0;1=7850;2x 6`52:n?7)79c;`a5>">i:0j:<5+9859=<0<,=;868;4H2ge?kg0<389m<5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbecb>4<1290;w)=i2;1g0>">>j0in<5+9`19e37<,03<6479;%627?323A9nj6`n75816d4o6=44i306>5<5<5<i:0?e3->>h7:?7:J0ac=ii>>1>?o<;h1e`?6=3f=5;|`b50<62:0;6=u+9`19e33<,074f<2c8ji4?::k0e=<72A>>;65`76194?=zjo396<49:183!5a:39o86*66b8af4=#1h91m;?4$8;4>:?7;:;I1fb>hf?=09>l;4i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7766:&756<2=2B8ik5aa66967g13`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th2h;4>:383>5}#1h918=<4$84`>44?j2.?9i4;069K7``4?::a=a1=9391<7>t$8c0>d023-3=o7?=8c9'00b=00:0D>ki;oc40?45i11b?kj50;9j7d>=83B?9:54o650>5<;%;:3??>>2.?=>4:5:J0ac=ii>>1>?o6;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`g0c<62?0;6=u+3g097a2<,0;%;b7?g192.25:46979'045==<1C?hh4n`57>74fi2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi5i=51;094?6|,0k869>=;%;5g?750k1/88j54158L6ca3gk<87<=ac9j7cb=831d;:=50;9~f29`8 13c213;7E=jf:lb31<5:hi0e>hk:188m6g?290C8894;n547?6=3thm;k4>:783>5}#;o81?i:4$84`>gd63-3j?7o91:&:=2<>1?1/8<=5549K7``84?::k17d<722c2<84?::k15f<722e2t$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?45il1b>9j50;9j673=831b>>o50;9j=53=831b>5<7s-3j?7:?2:&:2f<6:1h0(9;k:524?M5bn2dj;94=2`d8m6`c2900c:9<:188yg?c93;1?7>50z&:e6<1/5;m513:a?!22l322<6Fj;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<87<=b09j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fa52280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;3?>7E=jf:lb31<5:k80e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo7ld;396?6=8r.2m>4;039'=3e=9;2i7)::d;633>N4mo1em::523`0?l5al3:17b983;29?xd>kl0:6>4?:1y'=d5=i??0(48l:00;f>"3=m035=5G3dd8jd132;8i86g>o4i10;6E::7:9l325=831vnk9k:085>5<7s-9m>7=k4:&:2f3;76?M5bn2dj;94=2c78m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188ygb503;1:7>50z&0b7<4l=1/5;m5bc38 "39:0>96Fc;29?j?7l3:17pl6c`82>7<729q/5l=54108 <0d2883n6*;5e8742=O;ll0bl9;:30a3>o4nm0;66a87283>>{e1jh1=7=50;2x 7)79c;314H2ge?kg0<389n55f3gf94?=n;h21<7F;5698k2142900qoh8c;392?6=8r.8j?4?332:6*;12861>N4mo1em::523`:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdc9k0:6;4?:1y'7c4=;m>0(48l:c`2?!?f;3k==6*6968:=3=#<891985G3dd8jd132;8im6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm9b595?4=83:p(4o<:521?!?1k3;94o5+44f9051<@:om7co84;01fg=n;on1<75`76194?=zj0i36<4<:183!?f;3k=96*66b826=d<,=?o657?;I1fb>hf?=09>om4i2dg>5<3<729q/?k<53e68 <0d2kh:7)7n3;c55>">1>025;5+401910=O;ll0bl9;:30a`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{el9o1=7850;2x 6`52:n?7)79c;`a5>">i:0j:<5+9859=<0<,=;868;4H2ge?kg0<389nh5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb8d2>4<5290;w)7n3;636>">>j0:>5l4$57g>1603A9nj6`n75816g`5<7?53;294~">i:0j:85+97a957>e3->>h7660:J0ac=ii>>1>?m?;h1e`?6=3`9j47>5H574?>i0?:0;66smf``95?0=83:p(>h=:2f7?!?1k3hi=6*6a28b24=#10=15484$530>03<@:om7co84;01g4=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjlo96<49:183!5a:39o86*66b8af4=#1h91m;?4$8;4>:?7;:;I1fb>hf?=09>n<4i36g>5<6=44i31b>5<6=44i33`>5<5;n547?6=3th2ik4>:283>5}#1h91m;;4$84`>44?j2.?9i47919K7``=h?>91<75rbgcb>4<1290;w)=i2;1g0>">>j0in<5+9`19e37<,03<6479;%627?323A9nj6`n75816f3o6=44i306>5<5<5<;%;:3??>>2.?=>4:5:J0ac=ii>>1>?m9;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`:ag<62;0;6=u+9`19054<,01C?hh4n`57>74d?2c8ji4?::m436<722wi5hm51;194?6|,0k86l8:;%;5g?750k1/88j58828L6ca3gk<87<=c99j7cb=831b?l650;J712==86=44}cdb=?7=>3:1d063-32;7766:&756<2=2B8ik5aa66967e>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thno54>:783>5}#;o81?i:4$84`>gd63-3j?7o91:&:=2<>1?1/8<=5549K7``84?::k17d<722c2<84?::k15f<722e2=9381<7>t$8c0>1653-3=o7?=8c9'00b=<9=0D>ki;oc40?45kk1b?kj50;9l325=831vn4k6:080>5<7s-3j?7o95:&:2f<6:1h0(9;k:9;3?M5bn2dj;94=2ba8m6`c2900e>o7:18K001<3f=5;|`ee=<62?0;6=u+3g097a2<,0;%;b7?g192.25:46979'045==<1C?hh4n`57>74dl2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wiiol51;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<87<=cd9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f29`8 13c2=:<7E=jf:lb31<5:jl0e>hk:188k2142900qo7j6;397?6=8r.2m>4n649'=3e=9;2i7)::d;::4>N4mo1em::523f3?l5al3:17d=n8;29L13032e<;>4?::abd1=93<1<7>t$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?45l81b>9j50;9j673=831b>>o50;9j=53=831b>5<7s-9m>7=k4:&:2f3;76?M5bn2dj;94=2e08m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg?b:3;1>7>50z&:e6<38;1/5;m513:a?!22l3>;;6F6<729q/5l=5a778 <0d2883n6*;5e8;=5=O;ll0bl9;:30g0>o4nm0;66gM22?21d;:=50;9~fc?c280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;3?>7E=jf:lb31<5:m?0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qok<8;392?6=8r.8j?4?332:6*;12861>N4mo1em::523f5?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd>lo0:6?4?:1y'=d5=<980(48l:00;f>"3=m0?<:5G3dd8jd132;8o;6g>i0?:0;66sm9d295?5=83:p(4o<:`46?!?1k3;94o5+44f9<<6<@:om7co84;01`==n;on1<75f3`:94?N3=>10c:9<:188yg`>83;1:7>50z&0b7<4l=1/5;m5bc38 "39:0>96Fc;29?j?7l3:17plka882>3<729q/?k<53e68 <0d2kh:7)7n3;c55>">1>025;5+401910=O;ll0bl9;:30ge>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e1mi1=7<50;2x 91<75rb8fg>4<4290;w)7n3;c51>">>j0:>5l4$57g>=?73A9nj6`n75816ae50(48l:c`2?!?f;3k==6*6968:=3=#<891985G3dd8jd132;8oh6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smd7:95?0=83:p(>h=:2f7?!?1k3hi=6*6a28b24=#10=15484$530>03<@:om7co84;01``=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj0n26<4=:183!?f;3>;>6*66b826=d<,=?o69>8;I1fb>hf?=09>ih4i2dg>5<=86=44}c;ge?7=;3:1>82B8ik5aa66967c73`9mh7>5;h1b>{en>k1=7850;2x 6`52:n?7)79c;`a5>">i:0j:<5+9859=<0<,=;868;4H2ge?kg0<389i<5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbe2g>4<1290;w)=i2;1g0>">>j0in<5+9`19e37<,03<6479;%627?323A9nj6`n75816`4o6=44i306>5<5<5<i:0?e3->>h7:?7:J0ac=ii>>1>?k<;h1e`?6=3f=5;|`:g0<62:0;6=u+9`19e33<,074b<2c8ji4?::k0e=<72A>>;65`76194?=zjo=<6<49:183!5a:39o86*66b8af4=#1h91m;?4$8;4>:?7;:;I1fb>hf?=09>h;4i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7766:&756<2=2B8ik5aa66967c13`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thj?l4>:383>5}#1h918=<4$84`>44?j2.?9i4;069K7``4?::ae6d=9391<7>t$8c0>d023-3=o7?=8c9'00b=00:0D>ki;oc40?45m11b?kj50;9j7d>=83B?9:54o650>5<;%;:3??>>2.?=>4:5:J0ac=ii>>1>?k6;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`f=1<62?0;6=u+3g097a2<,0;%;b7?g192.25:46979'045==<1C?hh4n`57>74bi2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wim>951;094?6|,0k869>=;%;5g?750k1/88j54158L6ca3gk<87<=ec9j7cb=831d;:=50;9~fd5?28086=4?{%;b7?g1=2.2:n4>29`8 13c213;7E=jf:lb31<5:li0e>hk:188m6g?290C8894;n547?6=3thmm94>:783>5}#;o81?i:4$84`>gd63-3j?7o91:&:=2<>1?1/8<=5549K7``84?::k17d<722c2<84?::k15f<722e2t$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?45ml1b>9j50;9j673=831b>>o50;9j=53=831b>5<7s-3j?7:?2:&:2f<6:1h0(9;k:524?M5bn2dj;94=2dd8m6`c2900c:9<:188ygg4=3;1?7>50z&:e6<1/5;m513:a?!22l322<6Fj;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<87<=f09j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f`1f280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;3?>7E=jf:lb31<5:o80e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qoo<1;396?6=8r.2m>4;039'=3e=9;2i7)::d;633>N4mo1em::523d0?l5al3:17b983;29?xdf;;0:6>4?:1y'=d5=i??0(48l:00;f>"3=m035=5G3dd8jd132;8m86g>o4i10;6E::7:9l325=831vnko=:085>5<7s-9m>7=k4:&:2f3;76?M5bn2dj;94=2g78m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188ygc1l3;1:7>50z&0b7<4l=1/5;m5bc38 "39:0>96Fc;29?j?7l3:17pln2d82>7<729q/5l=54108 <0d2883n6*;5e8742=O;ll0bl9;:30e3>o4nm0;66a87283>>{ei;l1=7=50;2x 7)79c;314H2ge?kg0<389j55f3gf94?=n;h21<7F;5698k2142900qohn1;392?6=8r.8j?4?332:6*;12861>N4mo1em::523d:?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdb>90:6;4?:1y'7c4=;m>0(48l:c`2?!?f;3k==6*6968:=3=#<891985G3dd8jd132;8mm6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sma3`95?4=83:p(4o<:521?!?1k3;94o5+44f9051<@:om7co84;01bg=n;on1<75`76194?=zjh8h6<4<:183!?f;3k=96*66b826=d<,=?o657?;I1fb>hf?=09>km4i2dg>5<3<729q/?k<53e68 <0d2kh:7)7n3;c55>">1>025;5+401910=O;ll0bl9;:30e`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{em<91=7850;2x 6`52:n?7)79c;`a5>">i:0j:<5+9859=<0<,=;868;4H2ge?kg0<389jh5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb`76>4<5290;w)7n3;636>">>j0:>5l4$57g>1603A9nj6`n75816c`5<:7?53;294~">i:0j:85+97a957>e3->>h7660:J0ac=ii>>1>>>?;h1e`?6=3`9j47>5H574?>i0?:0;66smf8d95?0=83:p(>h=:2f7?!?1k3hi=6*6a28b24=#10=15484$530>03<@:om7co84;0044=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjl>=6<49:183!5a:39o86*66b8af4=#1h91m;?4$8;4>:?7;:;I1fb>hf?=09?=<4i36g>5<6=44i31b>5<6=44i33`>5<5;n547?6=3thj9>4>:283>5}#1h91m;;4$84`>44?j2.?9i47919K7``=h?>91<75rbg;f>4<1290;w)=i2;1g0>">>j0in<5+9`19e37<,03<6479;%627?323A9nj6`n7581753o6=44i306>5<5<5<;%;:3??>>2.?=>4:5:J0ac=ii>>1>>>9;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`b0c<62;0;6=u+9`19054<,01C?hh4n`57>757?2c8ji4?::m436<722wim8>51;194?6|,0k86l8:;%;5g?750k1/88j58828L6ca3gk<87<<099j7cb=831b?l650;J712==86=44}cd:g?7=>3:1d063-32;7766:&756<2=2B8ik5aa669666>3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3thn=k4>:783>5}#;o81?i:4$84`>gd63-3j?7o91:&:=2<>1?1/8<=5549K7``84?::k17d<722c2<84?::k15f<722e2t$8c0>1653-3=o7?=8c9'00b=<9=0D>ki;oc40?448k1b?kj50;9l325=831vnl:k:080>5<7s-3j?7o95:&:2f<6:1h0(9;k:9;3?M5bn2dj;94=31a8m6`c2900e>o7:18K001<3f=5;|`e=g<62?0;6=u+3g097a2<,0;%;b7?g192.25:46979'045==<1C?hh4n`57>757l2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wii<<51;494?6|,:l96>j;;%;5g?de92.2m>4n609'=<1=10<0(9?<:478L6ca3gk<87<<0d9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~fd2>28096=4?{%;b7?27:2.2:n4>29`8 13c2=:<7E=jf:lb31<5;9l0e>hk:188k2142900qoo;a;397?6=8r.2m>4n649'=3e=9;2i7)::d;::4>N4mo1em::52233?l5al3:17d=n8;29L13032e<;>4?::abt$2d1>6b33-3=o7lm1:&:e681/54959848 1742ki;oc40?44981b>9j50;9j673=831b>>o50;9j=53=831b>::085>5<7s-9m>7=k4:&:2f3;76?M5bn2dj;94=3008m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188ygg3>3;1>7>50z&:e6<38;1/5;m513:a?!22l3>;;6F6<729q/5l=5a778 <0d2883n6*;5e8;=5=O;ll0bl9;:3120>o4nm0;66gM22?21d;:=50;9~fc?>280=6=4?{%1e6?5c<2.2:n4mb09'=d5=i?;0(478:8;5?!26;3?>7E=jf:lb31<5;8?0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qoji8;392?6=8r.8j?4?332:6*;12861>N4mo1em::52235?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xdf<:0:6?4?:1y'=d5=<980(48l:00;f>"3=m0?<:5G3dd8jd132;9:;6g>i0?:0;66sma5695?5=83:p(4o<:`46?!?1k3;94o5+44f9<<6<@:om7co84;005==n;on1<75f3`:94?N3=>10c:9<:188yg`>03;1:7>50z&0b7<4l=1/5;m5bc38 "39:0>96Fc;29?j?7l3:17plkec82>3<729q/?k<53e68 <0d2kh:7)7n3;c55>">1>025;5+401910=O;ll0bl9;:312e>o5>o5;h0;66g60483>>o59j0;66a60e83>>{ei=:1=7<50;2x 91<75rb`62>4<4290;w)7n3;c51>">>j0:>5l4$57g>=?73A9nj6`n758174e50:6;4?:1y'7c4=;m>0(48l:c`2?!?f;3k==6*6968:=3=#<891985G3dd8jd132;9:h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66smdeg95?0=83:p(>h=:2f7?!?1k3hi=6*6a28b24=#10=15484$530>03<@:om7co84;005`=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zjh9o6<4=:183!?f;3>;>6*66b826=d<,=?o69>8;I1fb>hf?=09?5<=86=44}cc0a?7=;3:1>82B8ik5aa66966473`9mh7>5;h1b>{en0<1=7850;2x 6`52:n?7)79c;`a5>">i:0j:<5+9859=<0<,=;868;4H2ge?kg0<388><5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rbef2>4<1290;w)=i2;1g0>">>j0in<5+9`19e37<,03<6479;%627?323A9nj6`n7581774o6=44i306>5<5<5<i:0?e3->>h7:?7:J0ac=ii>>1>><<;h1e`?6=3f=5;|`b6<<62:0;6=u+9`19e33<,0755<2c8ji4?::k0e=<72A>>;65`76194?=zjo386<49:183!5a:39o86*66b8af4=#1h91m;?4$8;4>:?7;:;I1fb>hf?=09??;4i36g>5<6=44i31b>5<6=44i33`>5<3:1d063-32;7766:&756<2=2B8ik5aa66966413`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th??5<7s-9m>77>8:&:2f<3;820(9;;:29'003=;2.?=94>c:&750<5?2.?=;4n3:&752<6l2.?=54>bc9'04?=9h:0(9?n:5a8 17e2120(9?l:ba8 17c2lk0(9?j:32;?!26n3n:7):=0;;a?!2593nm7):=2;f0?!25;3i0(9<;:99'073=?2.?>;48;%613?1<,=836:5+43;93>"3:h0<7):=b;58 14d2>1/8?j57:&76`<03->9j794$513>2=#<:;1;6*;3384?!24;3=0(9=;:69'063=?2.??;48;%603?1<,=936:5+42;93>"3;h0<7):1/8>j57:&77`<03->8j794$563>2=#<=;1;6*;4384?!23;3=0(9:;:69'013=?2.?8;48;%673?1<,=>36:5+45;93>"31/89j57:&70`<03->?j794$573>2=#<<;1;6*;5384?!22;3=0(49>:29'=24=;2.j954n569'e0?=i<=0(4o<:83;?M5bn2P96l65a88~jd132;99;6g=2b83>>o>j90;66g>o>?=0;66g=2e83>>o>j80;66g>o>?<0;66g>4983>!?7:3;>86`60083?>o6<>0;6)7?2;360>h>880:76g>4783>!?7:3;>86`60081?>o6<<0;6)7?2;360>h>880876g>5183>!?7:3;>86`60087?>o6h>880>76g>4d83>!?7:3;>86`60085?>o6h>880<76g>4b83>!?7:3;>86`6008;?>o6h>880276g>4`83>!?7:3;>86`6008b?>o6<00;6)7?2;360>h>880i76g>4583>>o6<:0;66g>6883>!?7:3;<96`60083?>o6>10;6)7?2;341>h>880:76g>6683>!?7:3;<96`60081?>o6>?0;6)7?2;341>h>880876g>7083>!?7:3;<96`60087?>o6?90;6)7?2;341>h>880>76g>6g83>!?7:3;<96`60085?>o6>l0;6)7?2;341>h>880<76g>6e83>!?7:3;<96`6008;?>o6>j0;6)7?2;341>h>880276g>6c83>!?7:3;<96`6008b?>o6>h0;6)7?2;341>h>880i76g>6483>>o6>=0;66g=7483>>o5?=0;66g=7383>>o5?80;66g=7183>>o5>o0;66g=6d83>>o5>m0;66g=6b83>>o5>k0;66g=6`83>>o5>00;66g=6683>>o5>?0;66g=6483>>o5>=0;66g=6283>>o5>;0;66g=6083>>o5>90;66g=5g83>>o5=l0;66g=7c83>>o5?h0;66g=7883>>o5?10;66g=7683>>o5??0;66g=7283>!?7:382;6`60083?>o5>10;6)7?2;0:3>h>880:76g=5e83>!?7:382;6`60081?>o5=j0;6)7?2;0:3>h>880876g=e883>>o5m10;66g=e683>>o5m?0;66g=b`83>>o5j00;66g=b683>>o5j?0;66g=b483>>o5j=0;66g=b283>>o5j;0;66g=b083>>o5j90;66g=ag83>>o5il0;66g=ab83>>o5ik0;66g=a`83>>o5i00;66g=a983>>o5i>0;66g=a783>>o5i<0;66g=a583>>o5i:0;66g=c183>>o5jo0;66g=bd83>>o5jm0;66g=bb83>>o5jk0;66g=b983>>o5im0;66g=a383>>o5i80;66g=f583>>o5n:0;66g=f383>>o5n80;66a<1683>>i49?0;66a<1583>>i49:0;66a<1383>>i4980;66a<1183>>i48o0;66a<0d83>>i48m0;66a<0b83>>i48k0;66a<0883>>i4810;66a<0683>>i48?0;66a<0483>>i48=0;66a<0283>>i48;0;66a<0083>>i4890;66a<1e83>>i49j0;66a<1c83>>i49h0;66a<1883>>i4910;66a<1483>!?7:39856`60083?>i48h0;6)7?2;10=>h>880:76a=fg83>!?7:39856`60081?>i5nl0;6)7?2;10=>h>880876a<8b83>>i40k0;66a<8`83>>i4000;66a<5b83>>i4=k0;66a<5883>>i4=10;66a<5683>>i4=?0;66a<5483>>i4==0;66a<5283>>i4=;0;66a<5083>>i4=90;66a<4d83>>i4>i4>i4<00;66a<4983>>i4<>0;66a<4783>>i4<<0;66a<6383>>i4>80;66a<6183>>i4=o0;66a<5d83>>i4=m0;66a<5`83>!?7:39i4h>880:76a<4583>!?7:39i4<:0;6)7?2;14a>h>880876a<9683>>i41?0;66a<9483>>i41=0;66sm4203>4?7;%660?5<,=?>6>5+406965=#<8?1>l5+40496g=#<8=1=?5+40:90c=#<831>n5+40c955b<,=;i6o>4$53`>fb<,=;o6h84$53f>1b<,=;m6<>=;%614?ee3->9=7:9;%616?c>3->9?7j4$507>2=#<;?1;6*;2784?!25?3=0(9<7:69'07?=?2.?>l48;%61f?1<,=8h6:5+43f93>"3:l0<7):=f;58 1572>1/8>?57:&777<03->8?794$517>2=#<:?1;6*;3784?!24?3=0(9=7:69'06?=?2.??l48;%60f?1<,=9h6:5+42f93>"3;l0<7):1/89?57:&707<03->??794$567>2=#<=?1;6*;4784?!23?3=0(9:7:69'01?=?2.?8l48;%67f?1<,=>h6:5+45f93>"31/88?57:&717<03->>?794$852>6=#1>81?6*n598b12=#i<31m894$8c0><7?3A9nj6T=0g850g==k0j87o::`:9e<7?:4:l:44<732c:8:4?:%;36?72<2d2<<4>;:k203<72-3;>7?:4:l:44<532c:884?:%;36?72<2d2<<4<;:k215<72-3;>7?:4:l:44<332c:8k4?:%;36?72<2d2<<4:;:k20`<72-3;>7?:4:l:44<132c:8i4?:%;36?72<2d2<<48;:k20f<72-3;>7?:4:l:447?:4:l:444?::k22<<72-3;>7?85:l:44<732c::54?:%;36?70=2d2<<4>;:k222<72-3;>7?85:l:44<532c::;4?:%;36?70=2d2<<4<;:k234<72-3;>7?85:l:44<332c:;=4?:%;36?70=2d2<<4:;:k22c<72-3;>7?85:l:44<132c::h4?:%;36?70=2d2<<48;:k22a<72-3;>7?85:l:447?85:l:447<67:l:44<732c9:54?:%;36?4>?2d2<<4>;:k11a<72-3;>7<67:l:44<532c99n4?:%;36?4>?2d2<<4<;:k1a<<722c9i54?::k1a2<722c9i;4?::k1fd<722c9n44?::k1f2<722c9n;4?::k1f0<722c9n94?::k1f6<722c9n?4?::k1f4<722c9n=4?::k1ec<722c9mh4?::k1ef<722c9mo4?::k1ed<722c9m44?::k1e=<722c9m:4?::k1e3<722c9m84?::k1e1<722c9m>4?::k1g5<722c9nk4?::k1f`<722c9ni4?::k1ff<722c9no4?::k1f=<722c9mi4?::k1e7<722c9m<4?::k1b1<722c9j>4?::k1b7<722c9j<4?::m052<722e8=;4?::m051<722e8=>4?::m057<722e8=<4?::m055<722e87=<9:l:44<732e8;:m1bc<72-3;>7=<9:l:44<532e9jh4?:%;36?5412d2<<4<;:m07=8e:l:44<732e88k4?:%;36?50m2d2<<4>;:m001<72-3;>7=8e:l:44<532e88>4?:%;36?50m2d2<<4<;:m0=2<722e85;4?::m0=0<722e8594?::a067c280i87>50z&0b7<>911/5;m5423;?!22<390(9;::29'042=9o1/8<;5249'040=;?1/8<95209'04>=jl1/8<75389'04g=1m1/8:728 1452;?=7):=3;`8 1432>1/8?;57:&763<03->9;794$50;>2=#<;31;6*;2`84?!25j3=0(9h48;%61b?1<,=9;6:5+42393>"3;;0<7):<3;58 1532>1/8>;57:&773<03->8;794$51;>2=#<:31;6*;3`84?!24j3=0(9=l:69'06b=?2.??h48;%60b?1<,=>;6:5+45393>"3<;0<7):;3;58 1232>1/89;57:&703<03->?;794$56;>2=#<=31;6*;4`84?!23j3=0(9:l:69'01b=?2.?8h48;%67b?1<,=?;6:5+44393>"3=;0<7)::3;58 <162:1/5:<53:&b1=1/m875a458 d>=i00vbl9;:311=>o5:j0;66g6b183>>o4j80;66g67583>>o5:m0;66g6b083>>o4j;0;66g67483>>o6<10;6)7?2;360>h>880;76g>4683>!?7:3;>86`60082?>o6h>880976g>4483>!?7:3;>86`60080?>o6=90;6)7?2;360>h>880?76g>4g83>!?7:3;>86`60086?>o6h>880=76g>4e83>!?7:3;>86`60084?>o6h>880376g>4c83>!?7:3;>86`6008:?>o6h>880j76g>4883>!?7:3;>86`6008a?>o6<=0;66g>4283>>o6>00;6)7?2;341>h>880;76g>6983>!?7:3;<96`60082?>o6>>0;6)7?2;341>h>880976g>6783>!?7:3;<96`60080?>o6?80;6)7?2;341>h>880?76g>7183>!?7:3;<96`60086?>o6>o0;6)7?2;341>h>880=76g>6d83>!?7:3;<96`60084?>o6>m0;6)7?2;341>h>880376g>6b83>!?7:3;<96`6008:?>o6>k0;6)7?2;341>h>880j76g>6`83>!?7:3;<96`6008a?>o6><0;66g>6583>>o5?<0;66g=7583>>o5?;0;66g=7083>>o5?90;66g=6g83>>o5>l0;66g=6e83>>o5>j0;66g=6c83>>o5>h0;66g=6883>>o5>>0;66g=6783>>o5><0;66g=6583>>o5>:0;66g=6383>>o5>80;66g=6183>>o5=o0;66g=5d83>>o5?k0;66g=7`83>>o5?00;66g=7983>>o5?>0;66g=7783>>o5?:0;6)7?2;0:3>h>880;76g=6983>!?7:382;6`60082?>o5=m0;6)7?2;0:3>h>880976g=5b83>!?7:382;6`60080?>o5m00;66g=e983>>o5m>0;66g=e783>>o5jh0;66g=b883>>o5j>0;66g=b783>>o5j<0;66g=b583>>o5j:0;66g=b383>>o5j80;66g=b183>>o5io0;66g=ad83>>o5ij0;66g=ac83>>o5ih0;66g=a883>>o5i10;66g=a683>>o5i?0;66g=a483>>o5i=0;66g=a283>>o5k90;66g=bg83>>o5jl0;66g=be83>>o5jj0;66g=bc83>>o5j10;66g=ae83>>o5i;0;66g=a083>>o5n=0;66g=f283>>o5n;0;66g=f083>>i49>0;66a<1783>>i49=0;66a<1283>>i49;0;66a<1083>>i4990;66a<0g83>>i48l0;66a<0e83>>i48j0;66a<0c83>>i4800;66a<0983>>i48>0;66a<0783>>i48<0;66a<0583>>i48:0;66a<0383>>i4880;66a<0183>>i49m0;66a<1b83>>i49k0;66a<1`83>>i4900;66a<1983>>i49<0;6)7?2;10=>h>880;76a<0`83>!?7:39856`60082?>i5no0;6)7?2;10=>h>880976a=fd83>!?7:39856`60080?>i40j0;66a<8c83>>i40h0;66a<8883>>i4=j0;66a<5c83>>i4=00;66a<5983>>i4=>0;66a<5783>>i4=<0;66a<5583>>i4=:0;66a<5383>>i4=80;66a<5183>>i4>i4>i4>i4<10;66a<4683>>i4>i4>;0;66a<6083>>i4>90;66a<5g83>>i4=l0;66a<5e83>>i4=h0;6)7?2;14a>h>880;76a<4g83>!?7:39i4<=0;6)7?2;14a>h>880976a<4283>!?7:39i41>0;66a<9783>>i41<0;66a<9583>>{e<:;n6<4m4;294~"4n;02=55+97a9067?3->>87=4$576>6=#<8>1=95+40796<=#<8<1895+40595g=#<821?oj4$53:>`e<,=;j6h94$53a>g4<,=;h6:h4$53g>1d<,=;n6k;4$53e><2<,=8;6o64$502>a2<,=8965=4$500><=#<;>1;6*;2484?!25>3=0(9<8:69'07>=?2.?>448;%61e?1<,=8i6:5+43a93>"3:m0<7):=e;58 14a2>1/8>>57:&774<03->8>794$510>2=#<:>1;6*;3484?!24>3=0(9=8:69'06>=?2.??448;%60e?1<,=9i6:5+42a93>"3;m0<7):1/89>57:&704<03->?>794$560>2=#<=>1;6*;4484?!23>3=0(9:8:69'01>=?2.?8448;%67e?1<,=>i6:5+45a93>"31/88>57:&714<03->>>794$570>2=#1>;1?6*67380?!g203k>;6*n588b12=#1h915<64H2ge?_47n3d3=i10j57saa669664f3`89o7>5;h;a4?6=3`9i=7>5;h;40?6=3`89h7>5;h;a5?6=3`9i>7>5;h;41?6=3`;?47>5$821>4333g3;=7>4;h373?6=,0:96<;;;o;35?7<3`;?:7>5$821>4333g3;=7<4;h371?6=,0:96<;;;o;35?5<3`;><7>5$821>4333g3;=7:4;h37b?6=,0:96<;;;o;35?3<3`;?i7>5$821>4333g3;=784;h37`?6=,0:96<;;;o;35?1<3`;?o7>5$821>4333g3;=764;h37f?6=,0:96<;;;o;35??<3`;?m7>5$821>4333g3;=7o4;h37=?6=,0:96<;;;o;35?d<3`;?87>5;h377?6=3`;=57>5$821>4123g3;=7>4;h355$821>4123g3;=7<4;h352?6=,0:96<9:;o;35?5<3`;<=7>5$821>4123g3;=7:4;h344?6=,0:96<9:;o;35?3<3`;=j7>5$821>4123g3;=784;h35a?6=,0:96<9:;o;35?1<3`;=h7>5$821>4123g3;=764;h35g?6=,0:96<9:;o;35??<3`;=n7>5$821>4123g3;=7o4;h35e?6=,0:96<9:;o;35?d<3`;=97>5;h350?6=3`8<97>5;h040?6=3`8<>7>5;h045?6=3`8<<7>5;h05b?6=3`8=i7>5;h05`?6=3`8=o7>5;h05f?6=3`8=m7>5;h05=?6=3`8=;7>5;h052?6=3`8=97>5;h050?6=3`8=?7>5;h056?6=3`8==7>5;h054?6=3`8>j7>5;h06a?6=3`85;h04e?6=3`8<57>5;h045;h042?6=3`85$821>7?03g3;=7>4;h05h7>5$821>7?03g3;=7<4;h06g?6=,0:96?78;o;35?5<3`8n57>5;h0f5;h0f2?6=3`8im7>5;h0a=?6=3`8i;7>5;h0a2?6=3`8i97>5;h0a0?6=3`8i?7>5;h0a6?6=3`8i=7>5;h0a4?6=3`8jj7>5;h0ba?6=3`8jo7>5;h0bf?6=3`8jm7>5;h0b=?6=3`8j47>5;h0b3?6=3`8j:7>5;h0b1?6=3`8j87>5;h0b7?6=3`8h<7>5;h0ab?6=3`8ii7>5;h0a`?6=3`8io7>5;h0af?6=3`8i47>5;h0b`?6=3`8j>7>5;h0b5?6=3`8m87>5;h0e7?6=3`8m>7>5;h0e5?6=3f9:;7>5;n122?6=3f9:87>5;n127?6=3f9:>7>5;n125?6=3f9:<7>5;n13b?6=3f9;i7>5;n13`?6=3f9;o7>5;n13f?6=3f9;57>5;n135;n132?6=3f9;97>5;n130?6=3f9;?7>5;n136?6=3f9;=7>5;n134?6=3f9:h7>5;n12g?6=3f9:n7>5;n12e?6=3f9:57>5;n125$821>65>3g3;=7>4;n13e?6=,0:96>=6;o;35?7<3f8mj7>5$821>65>3g3;=7<4;n0ea?6=,0:96>=6;o;35?5<3f93o7>5;n1;f?6=3f93m7>5;n1;=?6=3f9>o7>5;n16f?6=3f9>57>5;n16;7>5;n162?6=3f9>97>5;n160?6=3f9>?7>5;n166?6=3f9>=7>5;n164?6=3f9?i7>5;n17`?6=3f9?o7>5;n17f?6=3f9?m7>5;n17=?6=3f9?47>5;n173?6=3f9?:7>5;n171?6=3f9=>7>5;n155?6=3f9=<7>5;n16b?6=3f9>i7>5;n16`?6=3f9>m7>5$821>61b3g3;=7>4;n17b?6=,0:96>9j;o;35?7<3f9?87>5$821>61b3g3;=7<4;n177?6=,0:96>9j;o;35?5<3f92;7>5;n1:2?6=3f9297>5;n1:0?6=3th??<751;`7>5<7s-9m>77>8:&:2f<3;820(9;;:29'003=;2.?=94>5:&750<5:2.?=;4<4:&752<6;2.?=54"3:802j6*;238:g>"3::0n7):=4;58 1422>1/8?857:&762<03->94794$50:>2=#<;k1;6*;2c84?!25k3=0(9k48;%604?1<,=9:6:5+42093>"3;:0<7):<4;58 1522>1/8>857:&772<03->84794$51:>2=#<:k1;6*;3c84?!24k3=0(9=k:69'06c=?2.??k48;%674?1<,=>:6:5+45093>"3<:0<7):;4;58 1222>1/89857:&702<03->?4794$56:>2=#<=k1;6*;4c84?!23k3=0(9:k:69'01c=?2.?8k48;%664?1<,=?:6:5+44093>"3=:0<7)781;18 <152:1/m865a458 d3>2h?<7)7n3;;2<>N4mo1Q>=h56z7b>0d=i=0j97o7:`;9ykg0<388>o5f23a94?=n1k:1<75f3c394?=n1>>1<75f23f94?=n1k;1<75f3c094?=n1>?1<75f15:94?">8;0:995a91394>=n9==1<7*6038211=i19;1=65f15494?">8;0:995a91396>=n9=?1<7*6038211=i19;1?65f14294?">8;0:995a91390>=n9=l1<7*6038211=i19;1965f15g94?">8;0:995a91392>=n9=n1<7*6038211=i19;1;65f15a94?">8;0:995a9139<>=n9=h1<7*6038211=i19;1565f15c94?">8;0:995a9139e>=n9=31<7*6038211=i19;1n65f15694?=n9=91<75f17;94?">8;0:;85a91394>=n9?21<7*6038230=i19;1=65f17594?">8;0:;85a91396>=n9?<1<7*6038230=i19;1?65f16394?">8;0:;85a91390>=n9>:1<7*6038230=i19;1965f17d94?">8;0:;85a91392>=n9?o1<7*6038230=i19;1;65f17f94?">8;0:;85a9139<>=n9?i1<7*6038230=i19;1565f17`94?">8;0:;85a9139e>=n9?k1<7*6038230=i19;1n65f17794?=n9?>1<75f26794?=n:>>1<75f26094?=n:>;1<75f26294?=n:?l1<75f27g94?=n:?n1<75f27a94?=n:?h1<75f27c94?=n:?31<75f27594?=n:?<1<75f27794?=n:?>1<75f27194?=n:?81<75f27394?=n:?:1<75f24d94?=n:k1<75f26;94?=n:>21<75f26594?=n:><1<75f26194?">8;095:5a91394>=n:?21<7*60381=2=i19;1=65f24f94?">8;095:5a91396>=n:1<75f2c194?=n:k81<75f2c394?=n:k:1<75f2`d94?=n:ho1<75f2`a94?=n:hh1<75f2`c94?=n:h31<75f2`:94?=n:h=1<75f2`494?=n:h?1<75f2`694?=n:h91<75f2b294?=n:kl1<75f2cg94?=n:kn1<75f2ca94?=n:kh1<75f2c:94?=n:hn1<75f2`094?=n:h;1<75f2g694?=n:o91<75f2g094?=n:o;1<75`30594?=h;8<1<75`30694?=h;891<75`30094?=h;8;1<75`30294?=h;9l1<75`31g94?=h;9n1<75`31a94?=h;9h1<75`31;94?=h;921<75`31594?=h;9<1<75`31794?=h;9>1<75`31194?=h;981<75`31394?=h;9:1<75`30f94?=h;8i1<75`30`94?=h;8k1<75`30;94?=h;821<75`30794?">8;08?45a91394>=h;9k1<7*603807<=i19;1=65`2gd94?">8;08?45a91396>=h:oo1<7*603807<=i19;1?65`39a94?=h;1h1<75`39c94?=h;131<75`34a94?=h;1<75`34194?=h;<81<75`34394?=h;<:1<75`35g94?=h;=n1<75`35a94?=h;=h1<75`35c94?=h;=31<75`35:94?=h;==1<75`35494?=h;=?1<75`37094?=h;?;1<75`37294?=h;8;08;h5a91394>=h;=l1<7*603803`=i19;1=65`35694?">8;08;h5a91396>=h;=91<7*603803`=i19;1?65`38594?=h;0<1<75`38794?=h;0>1<75rb512e?7=j=0;6=u+3g09=4><,08:&711<43->>97=4$537>41<,=;>6<74$535>6`<,=;<6<64$53;>=2<,=;26k=4$53b>c><,=;i6o?4$53`><5<,=;o6>o4$53f>1><,=;m6i94$503><7<,=8:6h64$501>17<,=886k5+43693>"3:<0<7):=6;58 1402>1/8?657:&76<<03->9m794$50a>2=#<;i1;6*;2e84?!25m3=0(9"3;<0<7):<6;58 1502>1/8>657:&77<<03->8m794$51a>2=#<:i1;6*;3e84?!24m3=0(9=i:69'016=?2.?8<48;%676?1<,=>86:5+45693>"3<<0<7):;6;58 1202>1/89657:&70<<03->?m794$56a>2=#<=i1;6*;4e84?!23m3=0(9:i:69'006=?2.?9<48;%666?1<,=?86:5+96397>">?;087)o:8;c63>"f=00j9:5+9`19=4><@:om7W>1>>5;h1a5?6=3`3<87>5;h01`?6=3`3i=7>5;h1a6?6=3`3<97>5;h375$821>4333g3;=7?4;h372?6=,0:96<;;;o;35?4<3`;?97>5$821>4333g3;=7=4;h364?6=,0:96<;;;o;35?2<3`;?j7>5$821>4333g3;=7;4;h37a?6=,0:96<;;;o;35?0<3`;?h7>5$821>4333g3;=794;h37g?6=,0:96<;;;o;35?><3`;?n7>5$821>4333g3;=774;h37e?6=,0:96<;;;o;35?g<3`;?57>5$821>4333g3;=7l4;h370?6=3`;??7>5;h35=?6=,0:96<9:;o;35?6<3`;=47>5$821>4123g3;=7?4;h353?6=,0:96<9:;o;35?4<3`;=:7>5$821>4123g3;=7=4;h345?6=,0:96<9:;o;35?2<3`;<<7>5$821>4123g3;=7;4;h35b?6=,0:96<9:;o;35?0<3`;=i7>5$821>4123g3;=794;h35`?6=,0:96<9:;o;35?><3`;=o7>5$821>4123g3;=774;h35f?6=,0:96<9:;o;35?g<3`;=m7>5$821>4123g3;=7l4;h351?6=3`;=87>5;h041?6=3`8<87>5;h046?6=3`8<=7>5;h044?6=3`8=j7>5;h05a?6=3`8=h7>5;h05g?6=3`8=n7>5;h05e?6=3`8=57>5;h053?6=3`8=:7>5;h051?6=3`8=87>5;h057?6=3`8=>7>5;h055?6=3`8=<7>5;h06b?6=3`8>i7>5;h04f?6=3`85;h04=?6=3`8<47>5;h043?6=3`8<:7>5;h047?6=,0:96?78;o;35?6<3`8=47>5$821>7?03g3;=7?4;h06`?6=,0:96?78;o;35?4<3`8>o7>5$821>7?03g3;=7=4;h0f=?6=3`8n47>5;h0f3?6=3`8n:7>5;h0ae?6=3`8i57>5;h0a3?6=3`8i:7>5;h0a1?6=3`8i87>5;h0a7?6=3`8i>7>5;h0a5?6=3`8i<7>5;h0bb?6=3`8ji7>5;h0bg?6=3`8jn7>5;h0be?6=3`8j57>5;h0b5;h0b2?6=3`8j97>5;h0b0?6=3`8j?7>5;h0`4?6=3`8ij7>5;h0aa?6=3`8ih7>5;h0ag?6=3`8in7>5;h0a5;h0b6?6=3`8j=7>5;h0e0?6=3`8m?7>5;h0e6?6=3`8m=7>5;n123?6=3f9::7>5;n120?6=3f9:?7>5;n126?6=3f9:=7>5;n124?6=3f9;j7>5;n13a?6=3f9;h7>5;n13g?6=3f9;n7>5;n13=?6=3f9;47>5;n133?6=3f9;:7>5;n131?6=3f9;87>5;n137?6=3f9;>7>5;n135?6=3f9;<7>5;n12`?6=3f9:o7>5;n12f?6=3f9:m7>5;n12=?6=3f9:47>5;n121?6=,0:96>=6;o;35?6<3f9;m7>5$821>65>3g3;=7?4;n0eb?6=,0:96>=6;o;35?4<3f8mi7>5$821>65>3g3;=7=4;n1;g?6=3f93n7>5;n1;e?6=3f9357>5;n16g?6=3f9>n7>5;n16=?6=3f9>47>5;n163?6=3f9>:7>5;n161?6=3f9>87>5;n167?6=3f9>>7>5;n165?6=3f9><7>5;n17a?6=3f9?h7>5;n17g?6=3f9?n7>5;n17e?6=3f9?57>5;n175;n172?6=3f9?97>5;n156?6=3f9==7>5;n154?6=3f9>j7>5;n16a?6=3f9>h7>5;n16e?6=,0:96>9j;o;35?6<3f9?j7>5$821>61b3g3;=7?4;n170?6=,0:96>9j;o;35?4<3f9??7>5$821>61b3g3;=7=4;n1:3?6=3f92:7>5;n1:1?6=3f9287>5;|`774d=93h?6=4?{%1e6??602.2:n4;30:8 1332:1/88;53:&751<6i2.?=84=8:&753<4;2.?=:4>e:&75=<0>2.?=44=179'04g=:890(9?m:b;8 17d2;;>7):>d;g2?!26m3;;;6*;1g866>"3:90:5l5+433906=#<;81>9k4$500>46<,=8?6:5+43793>"3:?0<7):=7;58 14?2>1/8?757:&76d<03->9n794$50`>2=#<;n1;6*;2d84?!25n3=0(9=?:69'067=?2.???48;%607?1<,=9?6:5+42793>"3;?0<7):<7;58 15?2>1/8>757:&77d<03->8n794$51`>2=#<:n1;6*;3d84?!24n3=0(9:?:69'017=?2.?8?48;%677?1<,=>?6:5+45793>"31/89757:&70d<03->?n794$56`>2=#<=n1;6*;4d84?!23n3=0(9;?:69'007=?2.?9?48;%667?1<,0=:6>5+96097>"f=10j9:5+a4;9e01<,0k864?7;I1fb>\58o0=w8o55c8b0?g22h21m44rn`57>755l2c9>n4?::k:f5<722c8n<4?::k:31<722c9>i4?::k:f4<722c8n?4?::k:30<722c:854?:%;36?72<2d2<<4?;:k202<72-3;>7?:4:l:44<632c:8;4?:%;36?72<2d2<<4=;:k200<72-3;>7?:4:l:44<432c:9=4?:%;36?72<2d2<<4;;:k20c<72-3;>7?:4:l:44<232c:8h4?:%;36?72<2d2<<49;:k20a<72-3;>7?:4:l:44<032c:8n4?:%;36?72<2d2<<47;:k20g<72-3;>7?:4:l:44<>32c:8l4?:%;36?72<2d2<<4n;:k20<<72-3;>7?:4:l:447?85:l:44<632c:::4?:%;36?70=2d2<<4=;:k223<72-3;>7?85:l:44<432c:;<4?:%;36?70=2d2<<4;;:k235<72-3;>7?85:l:44<232c::k4?:%;36?70=2d2<<49;:k22`<72-3;>7?85:l:44<032c::i4?:%;36?70=2d2<<47;:k22f<72-3;>7?85:l:44<>32c::o4?:%;36?70=2d2<<4n;:k22d<72-3;>7?85:l:444?::k127<722c9:<4?::k125<722c99k4?::k11`<722c9;o4?::k13d<722c9;44?::k13=<722c9;:4?::k133<722c9;>4?:%;36?4>?2d2<<4?;:k12=<72-3;>7<67:l:44<632c99i4?:%;36?4>?2d2<<4=;:k11f<72-3;>7<67:l:44<432c9i44?::k1a=<722c9i:4?::k1a3<722c9nl4?::k1f<<722c9n:4?::k1f3<722c9n84?::k1f1<722c9n>4?::k1f7<722c9n<4?::k1f5<722c9mk4?::k1e`<722c9mn4?::k1eg<722c9ml4?::k1e<<722c9m54?::k1e2<722c9m;4?::k1e0<722c9m94?::k1e6<722c9o=4?::k1fc<722c9nh4?::k1fa<722c9nn4?::k1fg<722c9n54?::k1ea<722c9m?4?::k1e4<722c9j94?::k1b6<722c9j?4?::k1b4<722e8=:4?::m053<722e8=94?::m056<722e8=?4?::m054<722e8==4?::m04c<722e84?::m047<722e8<<4?::m045<722e8=i4?::m05f<722e8=o4?::m05d<722e8=44?::m05=<722e8=84?:%;36?5412d2<<4?;:m04d<72-3;>7=<9:l:44<632e9jk4?:%;36?5412d2<<4=;:m1b`<72-3;>7=<9:l:44<432e84n4?::m04?::m017<722e89<4?::m015<722e88h4?::m00a<722e88n4?::m00g<722e88l4?::m00<<722e8854?::m002<722e88;4?::m000<722e8:?4?::m024<722e8:=4?::m01c<722e89h4?::m01a<722e89l4?:%;36?50m2d2<<4?;:m00c<72-3;>7=8e:l:44<632e8894?:%;36?50m2d2<<4=;:m006<72-3;>7=8e:l:44<432e85:4?::m0=3<722e8584?::m0=1<722wi8>?l:08a0?6=8r.8j?46199'=3e=<:;37)::4;18 1322:1/8<:5109'043=::1/8<85419'041=9?1/8<65de9'04?=:8o0(9?n:020?!26j3h>7):>c;32`>"39m0nn6*;1d824f=#<8l1i95+4329bc=#<;;1o:5+430907=#<;91m6*;2584?!25=3=0(9<9:69'071=?2.?>548;%61=?1<,=8j6:5+43`93>"3:j0<7):=d;58 14b2>1/8?h57:&775<03->8=794$511>2=#<:91;6*;3584?!24=3=0(9=9:69'061=?2.??548;%60=?1<,=9j6:5+42`93>"3;j0<7):1/8>h57:&705<03->?=794$561>2=#<=91;6*;4584?!23=3=0(9:9:69'011=?2.?8548;%67=?1<,=>j6:5+45`93>"31/89h57:&715<03->>=794$571>2=#<<91;6*67080?!?0:390(l;7:`74?!g213k>;6*6a28:5==O;ll0V?>i:7y6e?3e2h>1m84n8;c:>xhf?=09??k4i30`>5<5<5<5<6=44i06;>5<#1981=8:4n822>5=<6=4+91095025<#1981=8:4n822>7=>6=4+910950254i073>5<#1981=8:4n822>1=m6=4+91095025<#1981=8:4n822>3=o6=4+91095025<#1981=8:4n822>==i6=4+91095025<#1981=8:4n822>d=26=4+91095025<86=44i04:>5<#1981=:;4n822>5=5<#1981=:;4n822>7=54i052>5<#1981=:;4n822>1=5<#1981=:;4n822>3=5<#1981=:;4n822>==5<#1981=:;4n822>d=5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<#1981>494n822>5=5<#1981>494n822>7=54i3g:>5<5<5<5<5<5<5<5<5<5<5<5<6=44i3c7>5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<#1981?>74n822>5=5<#1981?>74n822>7=54o2:`>5<5<5<5<5<5<5<5<5<o6=44o26`>5<i6=44o26b>5<26=44o26;>5<<6=44o265>5<>6=44o241>5<5<5<5<#1981?:k4n822>5=m6=4+910972c5<#1981?:k4n822>7=86=4+910972c54o2;4>5<5<1<7>t$2d1><713-3=o7<79e9'002=;2.?984<;%620?1<,=;>6:5+40493>"39>0<7):>8;58 17>2>1/8:o794$53g>2=#<8o1;6*;1g84?!2583=0(9<>:69'074=?2.?>>48;%610?1<,=8>6:5+43493>"3:>0<7):=8;58 14>2>1/8?o57:&76g<03->9o794$50g>2=#<;o1;6*;2g84?!2483=0(9=>:69'064=?2.??>48;%600?1<,=9>6:5+42493>"3;>0<7):<8;58 15>2>1/8>o57:&77g<03->8o794$51g>2=#<:o1;6*;3g84?!2383=0(9:>:69'014=?2.?8>48;%670?1<,=>>6:5+45493>"3<>0<7):;8;58 12>2>1/89o57:&70g<03->?o794$56g>2=#<=o1;6*;4g84?!2283=0(9;>:69'004=?2.?9>48;%;45?5<,0=96>5+a4:9e01<,h?26l;8;%;b7??6>2B8ik5U21d92~062?81:n49d;53>27=ugk<87<<2g9j67e=831b5o>50;9j7g7=83B?9:54i857>5<5<=:074?k?793;07d?;6;29 <6528?<7c7?1;08?l73=3:1(4>=:074?k?793907d?:0;29 <6528?<7c7?1;68?l73n3:1(4>=:074?k?793?07d?;e;29 <6528?<7c7?1;48?l73l3:1(4>=:074?k?793=07d?;c;29 <6528?<7c7?1;:8?l73j3:1(4>=:074?k?793307d?;a;29 <6528?<7c7?1;c8?l7313:1(4>=:074?k?793h07d?;4;29 <6528?<7c7?1;a8?l73;3:1(4>=:074?k?793n07d?99;29 <6528=37c7?1;28?l7103:1(4>=:05;?k?793;07d?97;29 <6528=37c7?1;08?l71>3:1(4>=:05;?k?793907d?81;29 <6528=37c7?1;68?l7083:1(4>=:05;?k?793?07d?9f;29 <6528=37c7?1;48?l71m3:1(4>=:05;?k?793=07d?9d;29 <6528=37c7?1;:8?l71k3:1(4>=:05;?k?793307d?9b;29 <6528=37c7?1;c8?l71i3:1(4>=:05;?k?793h07d?95;29 <6528=37c7?1;a8?l71<3:1(4>=:05;?k?793n07d<85;29?l40<3:17d<82;29?l4093:17d<80;29?l41n3:17d<9e;29?l41l3:17d<9c;29?l41j3:17d<9a;29?l4113:17d<97;29?l41>3:17d<95;29?l41<3:17d<93;29?l41:3:17d<91;29?l4183:17d<:f;29?l42m3:17d<8b;29?l40i3:17d<89;29?l4003:17d<87;29?l40>3:17d<83;29?l4103:17d<:d;29?l42k3:1(4>=:35`?k?793:07d3:17d3:17d7;29?j56>3:17b=>4;29?j56;3:17b=>2;29?j5693:17b=>0;29?j57n3:17b=?e;29?j57l3:17b=?c;29?j57j3:17b=?9;29?j5703:17b=?7;29?j57>3:17b=?5;29?j57<3:17b=?3;29?j57:3:17b=?1;29?j5783:17b=>d;29?j56k3:17b=>b;29?j56i3:17b=>9;29?j5603:17b=>5;29?j57i3:17b=:23f?k?793:07b=7c;29?j5?j3:17b=7a;29?j5?13:17b=:c;29?j52j3:17b=:9;29?j5203:17b=:7;29?j52>3:17b=:5;29?j52<3:17b=:3;29?j52:3:17b=:1;29?j5283:17b=;e;29?j53l3:17b=;c;29?j53j3:17b=;a;29?j5313:17b=;8;29?j53?3:17b=;6;29?j53=3:17b=92;29?j5193:17b=90;29?j52n3:17b=:e;29?j52l3:17b=:a;29?j53n3:17b=;4;29?j53;3:1(4>=:240?k?793:07b=67;29?j5>>3:17b=65;29?j5><3:17pl=88d95?d3290;w)=i2;;22>">>j0944j4$577>6=#<"39j0<7):>d;58 17b2>1/89=794$501>2=#<;91;6*;2584?!25=3=0(9<9:69'071=?2.?>548;%61=?1<,=8j6:5+43`93>"3:j0<7):=d;58 14b2>1/8?h57:&775<03->8=794$511>2=#<:91;6*;3584?!24=3=0(9=9:69'061=?2.??548;%60=?1<,=9j6:5+42`93>"3;j0<7):1/8>h57:&705<03->?=794$561>2=#<=91;6*;4584?!23=3=0(9:9:69'011=?2.?8548;%67=?1<,=>j6:5+45`93>"31/89h57:&715<03->>=794$571>2=#<<91;6*67080?!?0:390(l;7:`74?!g213k>;6*6a28:53=O;ll0V?>i:7y55?052?i1:i480;52>xhf?=09?>>4i30`>5<57?:7:l:44<632c:8;4?:%;36?72?2d2<<4=;:k200<72-3;>7?:7:l:44<432c:9=4?:%;36?72?2d2<<4;;:k20c<72-3;>7?:7:l:44<232c:8h4?:%;36?72?2d2<<49;:k20a<72-3;>7?:7:l:44<032c:8n4?:%;36?72?2d2<<47;:k20g<72-3;>7?:7:l:44<>32c:8l4?:%;36?72?2d2<<4n;:k20<<72-3;>7?:7:l:447?:7:l:447?88:l:44<632c:::4?:%;36?7002d2<<4=;:k223<72-3;>7?88:l:44<432c:;<4?:%;36?7002d2<<4;;:k235<72-3;>7?88:l:44<232c::k4?:%;36?7002d2<<49;:k22`<72-3;>7?88:l:44<032c::i4?:%;36?7002d2<<47;:k22f<72-3;>7?88:l:44<>32c::o4?:%;36?7002d2<<4n;:k22d<72-3;>7?88:l:447?88:l:444?::k127<722c9:<4?::k125<722c99k4?::k11`<722c9;o4?::k13d<722c9;44?::k13=<722c9;:4?::k133<722c9;>4?::k12=<722c99i4?::k11f<72-3;>7<8c:l:44<732c9i44?::k1a=<722c9i:4?::k1a3<722c9nl4?::k1f<<722c9n:4?::k1f3<722c9n84?::k1f1<722c9n>4?::k1f7<722c9n<4?::k1f5<722c9mk4?::k1e`<722c9mn4?::k1eg<722c9ml4?::k1e<<722c9m54?::k1e2<722c9m;4?::k1e0<722c9m94?::k1e6<722c9o=4?::k1fc<722c9nh4?::k1fa<722c9nn4?::k1fg<722c9n54?::k1ea<722c9m?4?::k1e4<722c9j94?::k1b6<722c9j?4?::k1b4<722e8=:4?::m053<722e8=94?::m056<722e8=?4?::m054<722e8==4?::m04c<722e84?::m047<722e8<<4?::m045<722e8=i4?::m05f<722e8=o4?::m05d<722e8=44?::m05=<722e8=84?::m04d<722e9jk4?::m1b`<72-3;>7=>e:l:44<732e84n4?::m04?::m017<722e89<4?::m015<722e88h4?::m00a<722e88n4?::m00g<722e88l4?::m00<<722e8854?::m002<722e88;4?::m000<722e8:?4?::m024<722e8:=4?::m01c<722e89h4?::m01a<722e89l4?::m00c<722e8894?::m006<72-3;>7=93:l:44<732e85:4?::m0=3<722e8584?::m0=1<722wi>5o?:08a0?6=8r.8j?46179'=3e=:13o7)::4;18 1322:1/8<:57:&750<03->::794$534>2=#<821;6*;1884?!26i3=0(9?m:69'04e=?2.?=i48;%62a?1<,=;m6:5+43293>"3:80<7):=2;58 1442>1/8?:57:&760<03->9:794$504>2=#<;21;6*;2884?!25i3=0(9i48;%61a?1<,=8m6:5+42293>"3;80<7):<2;58 1542>1/8>:57:&770<03->8:794$514>2=#<:21;6*;3884?!24i3=0(9=m:69'06e=?2.??i48;%60a?1<,=9m6:5+45293>"3<80<7):;2;58 1242>1/89:57:&700<03->?:794$564>2=#<=21;6*;4884?!23i3=0(9:m:69'01e=?2.?8i48;%67a?1<,=>m6:5+44293>"3=80<7)::2;58 1342>1/5:?53:&:37<43-k>47o:7:&b1<1/5l=59048L6ca3S8;j78t60856?0d2?n1;=481;me22=::9:7d<=c;29?l?e83:17d=m1;29L13032c2;94?::k16a<722c2n<4?::k0f7<72A>>;65f96794?=n9=21<7*6038212=i19;1<65f15594?">8;0:9:5a91395>=n9=<1<7*6038212=i19;1>65f15794?">8;0:9:5a91397>=n9<:1<7*6038212=i19;1865f15d94?">8;0:9:5a91391>=n9=o1<7*6038212=i19;1:65f15f94?">8;0:9:5a91393>=n9=i1<7*6038212=i19;1465f15`94?">8;0:9:5a9139=>=n9=k1<7*6038212=i19;1m65f15;94?">8;0:9:5a9139f>=n9=>1<7*6038212=i19;1o65f15194?">8;0:9:5a9139`>=n9?31<7*603823==i19;1<65f17:94?">8;0:;55a91395>=n9?=1<7*603823==i19;1>65f17494?">8;0:;55a91397>=n9>;1<7*603823==i19;1865f16294?">8;0:;55a91391>=n9?l1<7*603823==i19;1:65f17g94?">8;0:;55a91393>=n9?n1<7*603823==i19;1465f17a94?">8;0:;55a9139=>=n9?h1<7*603823==i19;1m65f17c94?">8;0:;55a9139f>=n9??1<7*603823==i19;1o65f17694?">8;0:;55a9139`>=n:>?1<75f26694?=n:>81<75f26394?=n:>:1<75f27d94?=n:?o1<75f27f94?=n:?i1<75f27`94?=n:?k1<75f27;94?=n:?=1<75f27494?=n:??1<75f27694?=n:?91<75f27094?=n:?;1<75f27294?=n:h1<75f26c94?=n:>31<75f26:94?=n:>=1<75f26494?=n:>91<75f27:94?=n:8;09;n5a91394>=n:l31<75f2d:94?=n:l=1<75f2d494?=n:kk1<75f2c;94?=n:k=1<75f2c494?=n:k?1<75f2c694?=n:k91<75f2c094?=n:k;1<75f2c294?=n:hl1<75f2`g94?=n:hi1<75f2``94?=n:hk1<75f2`;94?=n:h21<75f2`594?=n:h<1<75f2`794?=n:h>1<75f2`194?=n:j:1<75f2cd94?=n:ko1<75f2cf94?=n:ki1<75f2c`94?=n:k21<75f2`f94?=n:h81<75f2`394?=n:o>1<75f2g194?=n:o81<75f2g394?=h;8=1<75`30494?=h;8>1<75`30194?=h;881<75`30394?=h;8:1<75`31d94?=h;9o1<75`31f94?=h;9i1<75`31`94?=h;931<75`31:94?=h;9=1<75`31494?=h;9?1<75`31694?=h;991<75`31094?=h;9;1<75`31294?=h;8n1<75`30a94?=h;8h1<75`30c94?=h;831<75`30:94?=h;8?1<75`31c94?=h:ol1<75`2gg94?">8;08=h5a91394>=h;1i1<75`39`94?=h;1k1<75`39;94?=h;1<75`35194?">8;08:>5a91394>=h;0=1<75`38494?=h;0?1<75`38694?=zj;2j=7?5b583>5}#;o815<84$84`>7>>l2.?994<;%661?5<,=;?6:5+40793>"39?0<7):>7;58 17?2>1/8<757:&75d<03->:n794$53`>2=#<8n1;6*;1d84?!26n3=0(9?48;%617?1<,=8?6:5+43793>"3:?0<7):=7;58 14?2>1/8?757:&76d<03->9n794$50`>2=#<;n1;6*;2d84?!25n3=0(9=?:69'067=?2.???48;%607?1<,=9?6:5+42793>"3;?0<7):<7;58 15?2>1/8>757:&77d<03->8n794$51`>2=#<:n1;6*;3d84?!24n3=0(9:?:69'017=?2.?8?48;%677?1<,=>?6:5+45793>"31/89757:&70d<03->?n794$56`>2=#<=n1;6*;4d84?!23n3=0(9;?:69'007=?2.?9?48;%667?1<,0=:6>5+96097>"f=10j9:5+a4;9e01<,0k864?9;I1fb>\58o0=w;?56385g?0c2>:1;<4rn`57>754:2c9>n4?::k:f5<722c8n<4?:I663>=n1>>1<75f23f94?=n1k;1<75f3c094?N3=>10e49::188m42?290/5=<51458j<662910e<:8:18'=54=9<=0b4>>:098m421290/5=<51458j<662;10e<:::18'=54=9<=0b4>>:298m437290/5=<51458j<662=10e<:i:18'=54=9<=0b4>>:498m42b290/5=<51458j<662?10e<:k:18'=54=9<=0b4>>:698m42d290/5=<51458j<662110e<:m:18'=54=9<=0b4>>:898m42f290/5=<51458j<662h10e<:6:18'=54=9<=0b4>>:c98m423290/5=<51458j<662j10e<:<:18'=54=9<=0b4>>:e98m40>290/5=<516:8j<662910e<87:18'=54=9>20b4>>:098m400290/5=<516:8j<662;10e<89:18'=54=9>20b4>>:298m416290/5=<516:8j<662=10e<9?:18'=54=9>20b4>>:498m40a290/5=<516:8j<662?10e<8j:18'=54=9>20b4>>:698m40c290/5=<516:8j<662110e<8l:18'=54=9>20b4>>:898m40e290/5=<516:8j<662h10e<8n:18'=54=9>20b4>>:c98m402290/5=<516:8j<662j10e<8;:18'=54=9>20b4>>:e98m7122900e?9;:188m7152900e?9>:188m7172900e?8i:188m70b2900e?8k:188m70d2900e?8m:188m70f2900e?86:188m7002900e?89:188m7022900e?8;:188m7042900e?8=:188m7062900e?8?:188m73a2900e?;j:188m71e2900e?9n:188m71>2900e?97:188m7102900e?99:188m7142900e?87:188m73c2900e?;l:18'=54=:>i0b4>>:198m7c>2900e?k7:188m7c02900e?k9:188m7df2900e?l6:188m7d02900e?l9:188m7d22900e?l;:188m7d42900e?l=:188m7d62900e?l?:188m7ga2900e?oj:188m7gd2900e?om:188m7gf2900e?o6:188m7g?2900e?o8:188m7g12900e?o::188m7g32900e?o<:188m7e72900e?li:188m7db2900e?lk:188m7dd2900e?lm:188m7d?2900e?ok:188m7g52900e?o>:188m7`32900e?h<:188m7`52900e?h>:188k6702900c>?9:188k6732900c>?<:188k6752900c>?>:188k6772900c>>i:188k66b2900c>>k:188k66d2900c>>m:188k66>2900c>>7:188k6602900c>>9:188k6622900c>>;:188k6642900c>>=:188k6662900c>>?:188k67c2900c>?l:188k67e2900c>?n:188k67>2900c>?7:188k6722900c>>n:188k7`a2900c?hj:18'=54=;8o0b4>>:198k6>d2900c>6m:188k6>f2900c>66:188k63d2900c>;m:188k63>2900c>;7:188k6302900c>;9:188k6322900c>;;:188k6342900c>;=:188k6362900c>;?:188k62b2900c>:k:188k62d2900c>:m:188k62f2900c>:6:188k62?2900c>:8:188k6212900c>:::188k6052900c>8>:188k6072900c>;i:188k63b2900c>;k:188k63f2900c>:i:188k6232900c>:<:18'=54=;?90b4>>:198k6?02900c>79:188k6?22900c>7;:188yg4?i;0:6o:50;2x 6`520;=7)79c;0;=a=#<<>1?6*;5480?!26<3=0(9?::69'040=?2.?=:48;%62"39k0<7):>c;58 17c2>1/89<794$502>2=#<;81;6*;2284?!25<3=0(9<::69'070=?2.?>:48;%61"3:k0<7):=c;58 14c2>1/8?k57:&76c<03->8<794$512>2=#<:81;6*;3284?!24<3=0(9=::69'060=?2.??:48;%60"3;k0<7):1/8>k57:&77c<03->?<794$562>2=#<=81;6*;4284?!23<3=0(9:::69'010=?2.?8:48;%6726:5+45c93>"31/89k57:&70c<03->><794$572>2=#<<81;6*;5284?!?09390(49=:29'e0>=i<=0(l;6:`74?!?f;33::6Fr<:6;<56b85`?172>;1qco84;0076=n:;i1<75f9c294?=n;k;1<7F;5698m<132900e?l=:18K001<3`3<97>5;h375$821>4303g3;=7?4;h372?6=,0:96<;8;o;35?4<3`;?97>5$821>4303g3;=7=4;h364?6=,0:96<;8;o;35?2<3`;?j7>5$821>4303g3;=7;4;h37a?6=,0:96<;8;o;35?0<3`;?h7>5$821>4303g3;=794;h37g?6=,0:96<;8;o;35?><3`;?n7>5$821>4303g3;=774;h37e?6=,0:96<;8;o;35?g<3`;?57>5$821>4303g3;=7l4;h370?6=,0:96<;8;o;35?e<3`;??7>5$821>4303g3;=7j4;h35=?6=,0:96<97;o;35?6<3`;=47>5$821>41?3g3;=7?4;h353?6=,0:96<97;o;35?4<3`;=:7>5$821>41?3g3;=7=4;h345?6=,0:96<97;o;35?2<3`;<<7>5$821>41?3g3;=7;4;h35b?6=,0:96<97;o;35?0<3`;=i7>5$821>41?3g3;=794;h35`?6=,0:96<97;o;35?><3`;=o7>5$821>41?3g3;=774;h35f?6=,0:96<97;o;35?g<3`;=m7>5$821>41?3g3;=7l4;h351?6=,0:96<97;o;35?e<3`;=87>5$821>41?3g3;=7j4;h041?6=3`8<87>5;h046?6=3`8<=7>5;h044?6=3`8=j7>5;h05a?6=3`8=h7>5;h05g?6=3`8=n7>5;h05e?6=3`8=57>5;h053?6=3`8=:7>5;h051?6=3`8=87>5;h057?6=3`8=>7>5;h055?6=3`8=<7>5;h06b?6=3`8>i7>5;h04f?6=3`85;h04=?6=3`8<47>5;h043?6=3`8<:7>5;h047?6=3`8=47>5;h06`?6=3`8>o7>5$821>71d3g3;=7>4;h0f=?6=3`8n47>5;h0f3?6=3`8n:7>5;h0ae?6=3`8i57>5;h0a3?6=3`8i:7>5;h0a1?6=3`8i87>5;h0a7?6=3`8i>7>5;h0a5?6=3`8i<7>5;h0bb?6=3`8ji7>5;h0bg?6=3`8jn7>5;h0be?6=3`8j57>5;h0b5;h0b2?6=3`8j97>5;h0b0?6=3`8j?7>5;h0`4?6=3`8ij7>5;h0aa?6=3`8ih7>5;h0ag?6=3`8in7>5;h0a5;h0b6?6=3`8j=7>5;h0e0?6=3`8m?7>5;h0e6?6=3`8m=7>5;n123?6=3f9::7>5;n120?6=3f9:?7>5;n126?6=3f9:=7>5;n124?6=3f9;j7>5;n13a?6=3f9;h7>5;n13g?6=3f9;n7>5;n13=?6=3f9;47>5;n133?6=3f9;:7>5;n131?6=3f9;87>5;n137?6=3f9;>7>5;n135?6=3f9;<7>5;n12`?6=3f9:o7>5;n12f?6=3f9:m7>5;n12=?6=3f9:47>5;n121?6=3f9;m7>5;n0eb?6=3f8mi7>5$821>67b3g3;=7>4;n1;g?6=3f93n7>5;n1;e?6=3f9357>5;n16g?6=3f9>n7>5;n16=?6=3f9>47>5;n163?6=3f9>:7>5;n161?6=3f9>87>5;n167?6=3f9>>7>5;n165?6=3f9><7>5;n17a?6=3f9?h7>5;n17g?6=3f9?n7>5;n17e?6=3f9?57>5;n175;n172?6=3f9?97>5;n156?6=3f9==7>5;n154?6=3f9>j7>5;n16a?6=3f9>h7>5;n16e?6=3f9?j7>5;n170?6=3f9??7>5$821>6043g3;=7>4;n1:3?6=3f92:7>5;n1:1?6=3f9287>5;|`12.2:n4=88f8 1332:1/88;53:&751<03->:9794$535>2=#<8=1;6*;1984?!2613=0(9?n:69'04d=?2.?=n48;%62`?1<,=;n6:5+40d93>"3:90<7):=1;58 1452>1/8?=57:&761<03->99794$505>2=#<;=1;6*;2984?!2513=0(9n48;%61`?1<,=8n6:5+43d93>"3;90<7):<1;58 1552>1/8>=57:&771<03->89794$515>2=#<:=1;6*;3984?!2413=0(9=n:69'06d=?2.??n48;%60`?1<,=9n6:5+42d93>"3<90<7):;1;58 1252>1/89=57:&701<03->?9794$565>2=#<==1;6*;4984?!2313=0(9:n:69'01d=?2.?8n48;%67`?1<,=>n6:5+45d93>"3=90<7)::1;58 1352>1/88=57:&:34<43-3<>7=4$`7;>d303-k>57o:7:&:e6<>9?1C?hh4Z32e>3}193<96;m56e844?162tdj;94=3268m74d2900e4l?:188m6d6290C8894;h;40?6=3`89h7>5;h;a5?6=3`9i>7>5H574?>o>?<0;66g>4983>!?7:3;>;6`60083?>o6<>0;6)7?2;363>h>880:76g>4783>!?7:3;>;6`60081?>o6<<0;6)7?2;363>h>880876g>5183>!?7:3;>;6`60087?>o6h>880>76g>4d83>!?7:3;>;6`60085?>o6h>880<76g>4b83>!?7:3;>;6`6008;?>o6h>880276g>4`83>!?7:3;>;6`6008b?>o6<00;6)7?2;363>h>880i76g>4583>!?7:3;>;6`6008`?>o6<:0;6)7?2;363>h>880o76g>6883>!?7:3;<46`60083?>o6>10;6)7?2;34<>h>880:76g>6683>!?7:3;<46`60081?>o6>?0;6)7?2;34<>h>880876g>7083>!?7:3;<46`60087?>o6?90;6)7?2;34<>h>880>76g>6g83>!?7:3;<46`60085?>o6>l0;6)7?2;34<>h>880<76g>6e83>!?7:3;<46`6008;?>o6>j0;6)7?2;34<>h>880276g>6c83>!?7:3;<46`6008b?>o6>h0;6)7?2;34<>h>880i76g>6483>!?7:3;<46`6008`?>o6>=0;6)7?2;34<>h>880o76g=7483>>o5?=0;66g=7383>>o5?80;66g=7183>>o5>o0;66g=6d83>>o5>m0;66g=6b83>>o5>k0;66g=6`83>>o5>00;66g=6683>>o5>?0;66g=6483>>o5>=0;66g=6283>>o5>;0;66g=6083>>o5>90;66g=5g83>>o5=l0;66g=7c83>>o5?h0;66g=7883>>o5?10;66g=7683>>o5??0;66g=7283>>o5>10;66g=5e83>>o5=j0;6)7?2;04g>h>880;76g=e883>>o5m10;66g=e683>>o5m?0;66g=b`83>>o5j00;66g=b683>>o5j?0;66g=b483>>o5j=0;66g=b283>>o5j;0;66g=b083>>o5j90;66g=ag83>>o5il0;66g=ab83>>o5ik0;66g=a`83>>o5i00;66g=a983>>o5i>0;66g=a783>>o5i<0;66g=a583>>o5i:0;66g=c183>>o5jo0;66g=bd83>>o5jm0;66g=bb83>>o5jk0;66g=b983>>o5im0;66g=a383>>o5i80;66g=f583>>o5n:0;66g=f383>>o5n80;66a<1683>>i49?0;66a<1583>>i49:0;66a<1383>>i4980;66a<1183>>i48o0;66a<0d83>>i48m0;66a<0b83>>i48k0;66a<0883>>i4810;66a<0683>>i48?0;66a<0483>>i48=0;66a<0283>>i48;0;66a<0083>>i4890;66a<1e83>>i49j0;66a<1c83>>i49h0;66a<1883>>i4910;66a<1483>>i48h0;66a=fg83>>i5nl0;6)7?2;12a>h>880;76a<8b83>>i40k0;66a<8`83>>i4000;66a<5b83>>i4=k0;66a<5883>>i4=10;66a<5683>>i4=?0;66a<5483>>i4==0;66a<5283>>i4=;0;66a<5083>>i4=90;66a<4d83>>i4>i4>i4<00;66a<4983>>i4<>0;66a<4783>>i4<<0;66a<6383>>i4>80;66a<6183>>i4=o0;66a<5d83>>i4=m0;66a<5`83>>i4>i4<:0;6)7?2;157>h>880;76a<9683>>i41?0;66a<9483>>i41=0;66sm29c7>457k;%660?5<,=?>6>5+40693>"39<0<7):>6;58 1702>1/8<657:&75<<03->:m794$53a>2=#<8i1;6*;1e84?!26m3=0(9?i:69'076=?2.?><48;%616?1<,=886:5+43693>"3:<0<7):=6;58 1402>1/8?657:&76<<03->9m794$50a>2=#<;i1;6*;2e84?!25m3=0(9"3;<0<7):<6;58 1502>1/8>657:&77<<03->8m794$51a>2=#<:i1;6*;3e84?!24m3=0(9=i:69'016=?2.?8<48;%676?1<,=>86:5+45693>"3<<0<7):;6;58 1202>1/89657:&70<<03->?m794$56a>2=#<=i1;6*;4e84?!23m3=0(9:i:69'006=?2.?9<48;%666?1<,=?86:5+96397>">?;087)o:8;c63>"f=00j9:5+9`19=40<@:om7W>1>>=:;h01g?6=3`3i<7>5;h1a5?6=@=?<76g67583>>o5:m0;66g6b083>>o4j;0;6E::7:9j=23=831b=9650;&:47<6=>1e5=?50:9j511=83.2569m=57=921b=9850;&:47<6=>1e5=?52:9j513=83.2569m=57=;21b=8>50;&:47<6=>1e5=?54:9j51`=83.2569m=57==21b=9k50;&:47<6=>1e5=?56:9j51b=83.2569m=57=?21b=9m50;&:47<6=>1e5=?58:9j51d=83.2569m=57=121b=9o50;&:47<6=>1e5=?5a:9j51?=83.2569m=57=j21b=9:50;&:47<6=>1e5=?5c:9j515=83.2569m=57=l21b=;750;&:47<6?11e5=?50:9j53>=83.2799m=57=921b=;950;&:47<6?11e5=?52:9j530=83.2799m=57=;21b=:?50;&:47<6?11e5=?54:9j526=83.2799m=57==21b=;h50;&:47<6?11e5=?56:9j53c=83.2799m=57=?21b=;j50;&:47<6?11e5=?58:9j53e=83.2799m=57=121b=;l50;&:47<6?11e5=?5a:9j53g=83.2799m=57=j21b=;;50;&:47<6?11e5=?5c:9j532=83.2799m=57=l21b>:;50;9j622=831b>:<50;9j627=831b>:>50;9j63`=831b>;k50;9j63b=831b>;m50;9j63d=831b>;o50;9j63?=831b>;950;9j630=831b>;;50;9j632=831b>;=50;9j634=831b>;?50;9j636=831b>8h50;9j60c=831b>:l50;9j62g=831b>:750;9j62>=831b>:950;9j620=831b>:=50;9j63>=831b>8j50;9j60e=83.2h750;9j6`>=831b>h950;9j6`0=831b>oo50;9j6g?=831b>o950;9j6g0=831b>o;50;9j6g2=831b>o=50;9j6g4=831b>o?50;9j6g6=831b>lh50;9j6dc=831b>lm50;9j6dd=831b>lo50;9j6d?=831b>l650;9j6d1=831b>l850;9j6d3=831b>l:50;9j6d5=831b>n>50;9j6g`=831b>ok50;9j6gb=831b>om50;9j6gd=831b>o650;9j6db=831b>l<50;9j6d7=831b>k:50;9j6c5=831b>k<50;9j6c7=831d?<950;9l740=831d?<:50;9l745=831d?<<50;9l747=831d?<>50;9l75`=831d?=k50;9l75b=831d?=m50;9l75d=831d?=750;9l75>=831d?=950;9l750=831d?=;50;9l752=831d?==50;9l754=831d?=?50;9l756=831d?=831d?<;50;9l75g=831d>kh50;9l6cc=83.2=831d?8950;9l700=831d?8;50;9l702=831d?8=50;9l704=831d?8?50;9l706=831d?9k50;9l71b=831d?9m50;9l71d=831d?9o50;9l71?=831d?9650;9l711=831d?9850;9l713=831d?;<50;9l737=831d?;>50;9l70`=831d?8k50;9l70b=831d?8o50;9l71`=831d?9:50;9l715=83.2"39h0<7):>b;58 17d2>1/8:j794$503>2=#<;;1;6*;2384?!25;3=0(9<;:69'073=?2.?>;48;%613?1<,=836:5+43;93>"3:h0<7):=b;58 14d2>1/8?j57:&76`<03->9j794$513>2=#<:;1;6*;3384?!24;3=0(9=;:69'063=?2.??;48;%603?1<,=936:5+42;93>"3;h0<7):1/8>j57:&77`<03->8j794$563>2=#<=;1;6*;4384?!23;3=0(9:;:69'013=?2.?8;48;%673?1<,=>36:5+45;93>"31/89j57:&70`<03->?j794$573>2=#<<;1;6*;5384?!22;3=0(49>:29'=24=;2.j954n569'e0?=i<=0(4o<:835?M5bn2P95708~jd132;98:6g=2b83>>o>j90;66gM22?21b5::50;9j67b=831b5o?50;9j7g4=83B?9:54i856>5<36=4+91095015<#1981=894n822>4==6=4+91095015<#1981=894n822>6=5<#1981=894n822>0=n6=4+91095015<#1981=894n822>2=h6=4+91095015<#1981=894n822><=j6=4+91095015<#1981=894n822>g=?6=4+91095015<#1981=894n822>a=5<#1981=:64n822>4=5<#1981=:64n822>6=5<#1981=:64n822>0=5<#1981=:64n822>2=5<#1981=:64n822><=5<#1981=:64n822>g=6=4+910952>5<#1981=:64n822>a=6=44i357>5<5<5<5<5<5<5<6=44i347>5<5<5<5<5<5<5<5<5<#1981>:m4n822>5=5<5<5<5<6=44i3`7>5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<6=44o227>5<5<5<5<5<5<6=44o22b>5<5<#1981?5=5<5<5<5<5<6=44o277>5<5<5<n6=44o26g>5<h6=44o26a>5<j6=44o26:>5<36=44o264>5<=6=44o266>5<5<5<5<5<?6=44o260>5<#1981?;=4n822>5=5<6=44o2;7>5<:c694?6|,:l964?7;%;5g?4?i?1/88:53:&710<43->:8794$536>2=#<8<1;6*;1684?!2603=0(9?6:69'04g=?2.?=o48;%62g?1<,=;o6:5+40g93>"39o0<7):=0;58 1462>1/8?<57:&766<03->98794$506>2=#<;<1;6*;2684?!2503=0(9<6:69'07g=?2.?>o48;%61g?1<,=8o6:5+43g93>"3:o0<7):<0;58 1562>1/8><57:&776<03->88794$516>2=#<:<1;6*;3684?!2403=0(9=6:69'06g=?2.??o48;%60g?1<,=9o6:5+42g93>"3;o0<7):;0;58 1262>1/89<57:&706<03->?8794$566>2=#<=<1;6*;4684?!2303=0(9:6:69'01g=?2.?8o48;%67g?1<,=>o6:5+45g93>"31/88<57:&716<03-3<=7=4$851>6=#i<21m894$`7:>d303-3j?77>8:J0ac=]:9l1:v;n:4`9e11b>?m50;9j=g6=831b?o?50;9j=22=831b>?j50;9j=g7=831b?o<50;9j=23=831b=9650;&:47<6==1e5=?50:9j511=83.2559m=57=921b=9850;&:47<6==1e5=?52:9j513=83.2559m=57=;21b=8>50;&:47<6==1e5=?54:9j51`=83.2559m=57==21b=9k50;&:47<6==1e5=?56:9j51b=83.2559m=57=?21b=9m50;&:47<6==1e5=?58:9j51d=83.2559m=57=121b=9o50;&:47<6==1e5=?5a:9j51?=83.2559m=57=j21b=9:50;9j515=831b=;750;&:47<6?<1e5=?50:9j53>=83.2749m=57=921b=;950;&:47<6?<1e5=?52:9j530=83.2749m=57=;21b=:?50;&:47<6?<1e5=?54:9j526=83.2749m=57==21b=;h50;&:47<6?<1e5=?56:9j53c=83.2749m=57=?21b=;j50;&:47<6?<1e5=?58:9j53e=83.2749m=57=121b=;l50;&:47<6?<1e5=?5a:9j53g=83.2749m=57=j21b=;;50;9j532=831b>:;50;9j622=831b>:<50;9j627=831b>:>50;9j63`=831b>;k50;9j63b=831b>;m50;9j63d=831b>;o50;9j63?=831b>;950;9j630=831b>;;50;9j632=831b>;=50;9j634=831b>;?50;9j636=831b>8h50;9j60c=831b>:l50;9j62g=831b>:750;9j62>=831b>:950;9j620=831b>:=50;&:47<51>1e5=?50:9j63>=83.28j50;&:47<51>1e5=?52:9j60e=83.2h750;9j6`>=831b>h950;9j6`0=831b>oo50;9j6g?=831b>o950;9j6g0=831b>o;50;9j6g2=831b>o=50;9j6g4=831b>o?50;9j6g6=831b>lh50;9j6dc=831b>lm50;9j6dd=831b>lo50;9j6d?=831b>l650;9j6d1=831b>l850;9j6d3=831b>l:50;9j6d5=831b>n>50;9j6g`=831b>ok50;9j6gb=831b>om50;9j6gd=831b>o650;9j6db=831b>l<50;9j6d7=831b>k:50;9j6c5=831b>k<50;9j6c7=831d?<950;9l740=831d?<:50;9l745=831d?<<50;9l747=831d?<>50;9l75`=831d?=k50;9l75b=831d?=m50;9l75d=831d?=750;9l75>=831d?=950;9l750=831d?=;50;9l752=831d?==50;9l754=831d?=?50;9l756=831d?=831d?<;50;&:47<4;01e5=?50:9l75g=83.2kh50;&:47<4;01e5=?52:9l6cc=83.2=831d?8950;9l700=831d?8;50;9l702=831d?8=50;9l704=831d?8?50;9l706=831d?9k50;9l71b=831d?9m50;9l71d=831d?9o50;9l71?=831d?9650;9l711=831d?9850;9l713=831d?;<50;9l737=831d?;>50;9l70`=831d?8k50;9l70b=831d?8o50;&:47<4?l1e5=?50:9l71`=83.2"39h0<7):>b;58 17d2>1/8:j794$503>2=#<;;1;6*;2384?!25;3=0(9<;:69'073=?2.?>;48;%613?1<,=836:5+43;93>"3:h0<7):=b;58 14d2>1/8?j57:&76`<03->9j794$513>2=#<:;1;6*;3384?!24;3=0(9=;:69'063=?2.??;48;%603?1<,=936:5+42;93>"3;h0<7):1/8>j57:&77`<03->8j794$563>2=#<=;1;6*;4384?!23;3=0(9:;:69'013=?2.?8;48;%673?1<,=>36:5+45;93>"31/89j57:&70`<03->?j794$573>2=#<<;1;6*;5384?!22;3=0(49>:29'=24=;2.j954n569'e0?=i<=0(4o<:83;?M5bn2P96l65a88~jd132;9846g=2b83>>o>j90;66g>o>?=0;66g=2e83>>o>j80;66g>o>?<0;66g>4983>!?7:3;>86`60083?>o6<>0;6)7?2;360>h>880:76g>4783>!?7:3;>86`60081?>o6<<0;6)7?2;360>h>880876g>5183>!?7:3;>86`60087?>o6h>880>76g>4d83>!?7:3;>86`60085?>o6h>880<76g>4b83>!?7:3;>86`6008;?>o6h>880276g>4`83>!?7:3;>86`6008b?>o6<00;6)7?2;360>h>880i76g>4583>>o6<:0;66g>6883>!?7:3;<96`60083?>o6>10;6)7?2;341>h>880:76g>6683>!?7:3;<96`60081?>o6>?0;6)7?2;341>h>880876g>7083>!?7:3;<96`60087?>o6?90;6)7?2;341>h>880>76g>6g83>!?7:3;<96`60085?>o6>l0;6)7?2;341>h>880<76g>6e83>!?7:3;<96`6008;?>o6>j0;6)7?2;341>h>880276g>6c83>!?7:3;<96`6008b?>o6>h0;6)7?2;341>h>880i76g>6483>>o6>=0;66g=7483>>o5?=0;66g=7383>>o5?80;66g=7183>>o5>o0;66g=6d83>>o5>m0;66g=6b83>>o5>k0;66g=6`83>>o5>00;66g=6683>>o5>?0;66g=6483>>o5>=0;66g=6283>>o5>;0;66g=6083>>o5>90;66g=5g83>>o5=l0;66g=7c83>>o5?h0;66g=7883>>o5?10;66g=7683>>o5??0;66g=7283>!?7:382;6`60083?>o5>10;6)7?2;0:3>h>880:76g=5e83>!?7:382;6`60081?>o5=j0;6)7?2;0:3>h>880876g=e883>>o5m10;66g=e683>>o5m?0;66g=b`83>>o5j00;66g=b683>>o5j?0;66g=b483>>o5j=0;66g=b283>>o5j;0;66g=b083>>o5j90;66g=ag83>>o5il0;66g=ab83>>o5ik0;66g=a`83>>o5i00;66g=a983>>o5i>0;66g=a783>>o5i<0;66g=a583>>o5i:0;66g=c183>>o5jo0;66g=bd83>>o5jm0;66g=bb83>>o5jk0;66g=b983>>o5im0;66g=a383>>o5i80;66g=f583>>o5n:0;66g=f383>>o5n80;66a<1683>>i49?0;66a<1583>>i49:0;66a<1383>>i4980;66a<1183>>i48o0;66a<0d83>>i48m0;66a<0b83>>i48k0;66a<0883>>i4810;66a<0683>>i48?0;66a<0483>>i48=0;66a<0283>>i48;0;66a<0083>>i4890;66a<1e83>>i49j0;66a<1c83>>i49h0;66a<1883>>i4910;66a<1483>!?7:39856`60083?>i48h0;6)7?2;10=>h>880:76a=fg83>!?7:39856`60081?>i5nl0;6)7?2;10=>h>880876a<8b83>>i40k0;66a<8`83>>i4000;66a<5b83>>i4=k0;66a<5883>>i4=10;66a<5683>>i4=?0;66a<5483>>i4==0;66a<5283>>i4=;0;66a<5083>>i4=90;66a<4d83>>i4>i4>i4<00;66a<4983>>i4<>0;66a<4783>>i4<<0;66a<6383>>i4>80;66a<6183>>i4=o0;66a<5d83>>i4=m0;66a<5`83>!?7:39i4h>880:76a<4583>!?7:39i4<:0;6)7?2;14a>h>880876a<9683>>i41?0;66a<9483>>i41=0;66sm424g>48l;%660?0<,=?>6;5+40693>"39<0<7):>6;58 1702>1/8<657:&75<<03->:m794$53a>2=#<8i1;6*;1e84?!26m3=0(9?i:69'076=?2.?><48;%616?1<,=886:5+43693>"3:<0<7):=6;58 1402>1/8?657:&76<<03->9m794$50a>2=#<;i1;6*;2e84?!25m3=0(9"3;<0<7):<6;58 1502>1/8>657:&77<<03->8m794$51a>2=#<:i1;6*;3e84?!24m3=0(9=i:69'016=?2.?8<48;%676?1<,=>86:5+45693>"3<<0<7):;6;58 1202>1/89657:&70<<03->?m794$56a>2=#<=i1;6*;4e84?!23m3=0(9:i:69'006=?2.?9<48;%666?1<,=?86:5+41`93>"38j0<7):?d;58 16b2>1/8=h57:&755<03->:=794$531>2=#1>;1:6*67385?!g203k>;6*n588b12=#1?:1=5j4$8c0><703A9nj6T=0g8b46328:>65o58c8b2?g021i1ml47d;ca>xhf?=09?>74i30`>5<5<5<5<6=44i06;>5<#1981=;>4n822>5=<6=4+91095365<#1981=;>4n822>7=>6=4+910953654i073>5<#1981=;>4n822>1=m6=4+91095365<#1981=;>4n822>3=o6=4+91095365<#1981=;>4n822>==i6=44i06b>5<26=44i067>5<86=44i04:>5<#1981=5?4n822>5=5<#1981=5?4n822>7=54i052>5<#1981=5?4n822>1=5<#1981=5?4n822>3=5<#1981=5?4n822>==5<5<5<#1981>4;4n822>5=5<#1981>4;4n822>7=54i353>5<#1981>4;4n822>1=5<#1981>4;4n822>3=5<#1981>4;4n822>==5<#1981>4;4n822>d=5<#1981>4;4n822>f=5<#1981>4;4n822>`=5<#1981>4;4n822>46<3`8=>7>5$821>7?23g3;=7?>;:k124<72-3;>7<65:l:44<6:21b>;>50;&:47<51<1e5=?51298m73a290/5=<52878j<6628>07d<:e;29 <652;3>7c7?1;36?>o5?k0;6)7?2;0:1>h>880::65f26c94?">8;09585a913952=32c9;:4?:%;36?4>=2d2<<4>a:9j620=83.2>:0a8?l4103:1(4>=:3;6?k?793;o76g=5e83>!?7:38296`60082a>=n:5<#1981>hk4n822>5=5<#1981>hk4n822>7=54i3`b>5<#1981>io4n822>5=5<#1981>io4n822>7=54i3`6>5<#1981>io4n822>1=5<#1981>io4n822>3=5<#1981>io4n822>==5<#1981>io4n822>d=5<#1981>io4n822>f=5<#1981>io4n822>`=5<#1981>io4n822>46<3`8j;7>5$821>7bf3g3;=7?>;:k1e3<72-3;>7l;50;&:47<5lh1e5=?51298m7g3290/5=<52ec8j<6628>07do5k90;6)7?2;0ge>h>880::65f2cd94?">8;09hl5a913952=32c9nn4?:%;36?4ci2d2<<4>a:9j6gd=83.2>:0a8?l4fl3:1(4>=:3fb?k?793;o76g=a383>!?7:38om6`60082a>=n:h;1<7*60381`d=i19;1=k54i3d7>5<#1981>k74n822>5=5<#1981>k74n822>7=54o234>5<#1981?>94n822>5=5<#1981?>94n822>7=54o231>5<#1981?>94n822>1=5<#1981?>94n822>3=5<#1981?>94n822>==5<#1981?>94n822>d=5<#1981?>94n822>f=5<#1981?>94n822>`=5<#1981?>94n822>46<3f9;87>5$821>6503g3;=7?>;:m046<72-3;>7=<7:l:44<6:21d?=<50;&:47<4;>1e5=?51298k666290/5=<53258j<6628>07b=?0;29 <652:9<7c7?1;36?>i49m0;6)7?2;103>h>880::65`30a94?">8;08?:5a913952==8;o;35?7>32e8=44?:%;36?54?2d2<<4>a:9l74>=83.2?::18'=54=;:=0b4>>:0a8?j57i3:1(4>=:214?k?793;o76a=fg83>!?7:398;6`60082a>=h:oo1<7*6038072=i19;1=k54o2:`>5<5<5<#1981?:m4n822>5=5<#1981?:m4n822>7=54o274>5<#1981?:m4n822>1=5<#1981?:m4n822>3=5<#1981?:m4n822>==5<#1981?:m4n822>d=5<#1981?:m4n822>f=o6=4+910972e5<#1981?:m4n822>`=i6=4+910972e5<#1981?:m4n822>46<3f9?57>5$821>61d3g3;=7?>;:m00=<72-3;>7=8c:l:44<6:21d?9950;&:47<4?j1e5=?51298k621290/5=<536a8j<6628>07b=;5;29 <652:=h7c7?1;36?>i4>;0;6)7?2;14g>h>880::65`37394?">8;08;n5a913952=9l;o;35?7>32e89h4?:%;36?50k2d2<<4>a:9l70b=83.2;n:18'=54=;>i0b4>>:0a8?j53n3:1(4>=:25`?k?793;o76a<4583>!?7:39=h;=91<7*603803f=i19;1=k54o2;4>5<5<1<7>t$2d1><7>3-3=o7=?2`9'002=<2.?984;;%620?1<,=;>6:5+40493>"39>0<7):>8;58 17>2>1/8:o794$53g>2=#<8o1;6*;1g84?!2583=0(9<>:69'074=?2.?>>48;%610?1<,=8>6:5+43493>"3:>0<7):=8;58 14>2>1/8?o57:&76g<03->9o794$50g>2=#<;o1;6*;2g84?!2483=0(9=>:69'064=?2.??>48;%600?1<,=9>6:5+42493>"3;>0<7):<8;58 15>2>1/8>o57:&77g<03->8o794$51g>2=#<:o1;6*;3g84?!2383=0(9:>:69'014=?2.?8>48;%670?1<,=>>6:5+45493>"3<>0<7):;8;58 12>2>1/89o57:&70g<03->?o794$56g>2=#<=o1;6*;4g84?!2283=0(9;>:69'004=?2.?9>48;%63f?1<,=:h6:5+41f93>"38l0<7):?f;58 1772>1/81=#i<215d303-3j?77>9:J0ac=]:9l1mv;7:4;9a`91qco84;007d=n:;i1<75f9c294?=n;k;1<75f96694?=n:;n1<75f9c394?=n;k81<75f96794?=n9=21<7*6038217=i19;1<65f15594?">8;0:9?5a91395>=n9=<1<7*6038217=i19;1>65f15794?">8;0:9?5a91397>=n9<:1<7*6038217=i19;1865f15d94?">8;0:9?5a91391>=n9=o1<7*6038217=i19;1:65f15f94?">8;0:9?5a91393>=n9=i1<7*6038217=i19;1465f15`94?">8;0:9?5a9139=>=n9=k1<7*6038217=i19;1m65f15;94?=n9=>1<75f15194?=n9?31<7*6038236=i19;1<65f17:94?">8;0:;>5a91395>=n9?=1<7*6038236=i19;1>65f17494?">8;0:;>5a91397>=n9>;1<7*6038236=i19;1865f16294?">8;0:;>5a91391>=n9?l1<7*6038236=i19;1:65f17g94?">8;0:;>5a91393>=n9?n1<7*6038236=i19;1465f17a94?">8;0:;>5a9139=>=n9?h1<7*6038236=i19;1m65f17c94?=n9??1<75f17694?=n:>?1<75f26694?=n:>81<75f26394?=n:>:1<75f27d94?=n:?o1<75f27f94?=n:?i1<75f27`94?=n:?k1<75f27;94?=n:?=1<75f27494?=n:??1<75f27694?=n:?91<75f27094?=n:?;1<75f27294?=n:h1<75f26c94?=n:>31<7*60381=f=i19;1<65f26:94?">8;095n5a91395>=n:>=1<7*60381=f=i19;1>65f26494?">8;095n5a91397>=n:>91<7*60381=f=i19;1865f27:94?">8;095n5a91391>=n:8;095n5a91393>=n:l31<75f2d:94?=n:l=1<75f2d494?">8;09il5a91394>=n:kk1<75f2c;94?=n:k=1<75f2c494?=n:k?1<75f2c694?=n:k91<75f2c094?=n:k;1<75f2c294?=n:hl1<75f2`g94?=n:hi1<75f2``94?=n:hk1<75f2`;94?=n:h21<75f2`594?=n:h<1<75f2`794?=n:h>1<75f2`194?=n:j:1<75f2cd94?=n:ko1<75f2cf94?=n:ki1<75f2c`94?=n:k21<75f2`f94?=n:h81<75f2`394?=n:o>1<75f2g194?=n:o81<75f2g394?=h;8=1<75`30494?=h;8>1<75`30194?=h;881<75`30394?=h;8:1<75`31d94?=h;9o1<75`31f94?=h;9i1<75`31`94?=h;931<75`31:94?=h;9=1<75`31494?=h;9?1<75`31694?=h;991<75`31094?=h;9;1<75`31294?=h;8n1<75`30a94?=h;8h1<75`30c94?=h;831<75`30:94?=h;8?1<75`31c94?=h:ol1<75`2gg94?=h;1i1<75`39`94?=h;1k1<75`39;94?=h;8;084>5a91395>=h;65`34f94?">8;084>5a91397>=h;8;084>5a91391>=h;=>1<7*60380<6=i19;1:65`35194?">8;084>5a91393>=h;0=1<75`38494?=h;0?1<75`38694?=zj8?;;7?55;391~">i:08jo5G98f8jd132;98n6g=85c95?=n:1>h6<44i3:7=?7=3`83854>::m1=931i>5h6:186>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900q~<74`83>7}Y:1>j70<7f880b`=z{;2?o7>52z\1<1e<5;2m57=if:p6=2>2909wS<7489>6=`>2=:;7p}=85:94?4|V;2?463=8g;9057N4mo1em::5221g?l5am3:17d=if;29?l?213:17b983;29?xde<;0:684?:1y'=d5=h=:6:g?!?1k3k3h6F6=4?{%;b7?2102.8j?48969'=3e=i1n0D>ki;oc40?44<91b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~wg052909wSl92:?a06<4nl1vo>j:181[d7m27i8>4c2909wSl7d:?a06<3891voon:181[dfi27i8>4;009~wg252909wSl;2:?a064n629'=3e=i1n0D>ki;oc40?44<81b?kk50;9j7c`=831b58750;9l325=831vn<:l7;391?7==r.2m>48<8:088m73503;17d<:3e82>>i5==91=75m2460>5<2290;w)7n3;65<>"4n;03885+97a964573A9nj6`n75817155<5<8<6;<0606<4nl1v?;=7;296~X5=;=01?;;3;1eb>{t:<836=4={_066==::<>869>?;|q116b=838pR?;7}Y:<>870<:428;=5=zuk8>894>:583>5}#1h91m;=4$84`>77482B8ik5aa66966233`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th:9l?51;795?3|,0k86>hm;I;:`>hf?=09?9;4i3de2?7=3`8mj:4>::k05d2=931b?a`83>0<729q/5l=547:8 6`521>?7)79c;1240=O;ll0bl9;:3172>o4nl0;66g>o3890;66g;0083>>i?190;66s|2gd5>5<5sW8mj;5230cb>6`b3ty9jk950;0xZ7`a?278=lo53gd8yv56i=0;6?uQ30c7?856ih0?<=5rs23b7?6=:rT8=l=4=23be?2792wx?<7d>o49h>1=75f2gd6>4<<,:l965:l;%;5g?568<1C?hh4n`57>75302c8jh4?::k0bc<722c?<=4?::m;=5<722wx>kh9:181[4an?16?1<7;49hi1?kh4}r0eb0<72;qU>kh:;<12ef<3891v>?nc;296~X49hi01>?nc;::4>{zj:;ji7?54;294~">i:0j:>5+97a974623A9nj6`n758171?5<5<:482>0}#1h91?kl4H8;g?kg0<3888l5f1d05>4<4;699'7c4=0=>0(48l:0gbf>N4mo1em::5226a?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}>e3494?4|V8o9:63>f4297cc:4?:3y]5`4034;m9=4vP>f5c894`283>;<6s|1g6:>5<5sW;m84521g73>1663ty:j8>50;0xZ4`2827:j8>58828yxd6<>i1=7:51;6x e3795?=h9o?96<44b0d66?6=<3:14cfj2B8ik5aa669662c3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty:i?850;0xZ4c5>27:j8<53gg8yv7ai:08jo5G98f8jd132;9?j6g<28:95?=n;;3<6<44i20:=?7=3`995;4>::m06g5=931i??l;:186>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900q~==9983>7}Y;;3370==b580b`=z{:82;7>52z\06<1<5:8i87=if:p77?>2909wS==989>77d32=:;7p}<28494?4|V:82:63<2c690574?:3y]77d43499n947919~yg55j<0:694?:1y'=d5=i?90(48l:20:1>N4mo1em::52272?l5am3:17d=if;29?l?213:17b983;29?xd6<1n1=7;51;7x 5}#1h918;64$2d1>=173-3=o7==i:181[47;o16>=;m:2df?xu58:o1<7;58=:?;<031g<3891v?>:b;635>{t:9?j6=4={_031d=::9?i657?;|a653d280?6=4?{%;b7?g1;2.2:n4=02a8L6ca3gk<87<<559j7cc=831b?kh50;9j=0?=831d;:=50;9~f762l3;197>50z&:e6<3>11/?k<57d`8 <0d2;:8o6F7d=ie;29?l5an3:17d:?0;29?l2793:17b660;29?xd58">>j09<>m4H2ge?kg0<3889;5f3gg94?=n;ol1<75`88294?=zj;:>j7?54;294~">i:0j:>5+97a9655d3A9nj6`n75817015<5<:483>5}#1h918;64$2d1>2g43-3=o7?la`9K7``5<7s-3j?7o93:&:2f<6khk0D>ki;oc40?44=01b?kk50;9j7c`=831b58750;9l325=831vn1;391?6=8r.2m>4;699'7c4=?h90(48l:0`30>N4mo1em::5227b?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>b0095?2=83:p(4o<:`40?!?1k3;i<95G3dd8jd132;9>n6g>o4no0;66g65883>>i0?:0;66sm3975>4<2290;w)7n3;65<>"4n;05<5<<,:l965>n;%;5g?54l<1C?hh4n`57>752l2c8jh4?::k0bc<722c?<=4?::m;=5<722wi?5;7:087>5<7s-3j?7o93:&:2f<4;m?0D>ki;oc40?44=l1b?kk50;9j7c`=831b58750;9l325=831vn<9:6;391?7==r.2m>4l3;17d:?9b82>>i3:><1=75m4354>5<2290;w)7n3;65<>"4n;03>>5+97a905>>3A9nj6`n75817365<5<6e;296~X380o019<87;1eb>{t<93o6=4={_63=a=:<;=<69>?;|q746c:?7621=<9;0q~:=7783>7}Y<;==70:=768;=5=zuk>9;54>:583>5}#1h91m;=4$84`>16?12B8ik5aa66966063`9mi7>5;h1eb?6=3`3>57>5;n547?6=3thi9h4>:483>5}#1h918;64$2d1>2g73-3=o7o7d:J0ac=ii>>1>>8=;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3thi9k4>:583>5}#1h91m;=4$84`>d>c3A9nj6`n75817355<5<n7?55;294~">i:0?:55+3g093d6<,0hf?=09?;:4i2df>5<5<5<o7?54;294~">i:0j:>5+97a9e=b<@:om7co84;0020=n;oo1<75f3gd94?=n1<31<75`76194?=zjk?36<4::183!?f;3>=46*o4nl0;66g>o>=00;66a87283>>{ej">>j0j4i5G3dd8jd132;9=46g>o4no0;66g;0183>>o3880;66a79183>>{ej<<1=7:50;2x N4mo1em::5224:?l5am3:17d=if;29?l?213:17b983;29?xde=;0:684?:1y'=d5=h=:6c3?!?1k3k3h6Fhi:188m<3>2900c:9<:188ygd3n3;197>50z&:e6<3>11/?k<57`28 <0d2h2o7E=jf:lb31<5;?i0e>hj:188m6`a2900e9>?:188m1662900c57?:188ygd283;187>50z&:e6:1/5;m5a9f8L6ca3gk<87<<6e9j7cc=831b?kh50;9j=0?=831d;:=50;9~fg2d280>6=4?{%;b7?2102.8j?48a19'=3e=i1n0D>ki;oc40?44>l1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~fg2c280?6=4?{%;b7?g1;2.2:n4n8e9K7``4?::af1?=93?1<7>t$8c0>10?3-9m>79n0:&:2f75082c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::af1g=93>1<7>t$8c0>d043-3=o7o7d:J0ac=ii>>1>>9>;h1ea?6=3`9mj7>5;h;6=?6=3f=5;|`a03<62<0;6=u+9`1903><,:l96:o?;%;5g?g?l2B8ik5aa66966153`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`a02<62=0;6=u+9`19e35<,0hf?=09?:=4i2df>5<5<=86=44}c`0a?7==3:14$84`>d>c3A9nj6`n75817225<5<91<75rbc1a>4<2290;w)7n3;65<>"4n;04<3290;w)7n3;c57>">>j0j4i5G3dd8jd132;9<;6g>o4no0;66g65883>>i0?:0;66smb2:95?3=83:p(4o<:54;?!5a:3=j<6*66b8bo4nl0;66g>o3890;66g;0083>>i?190;66smb2;95?2=83:p(4o<:`40?!?1k3k3h6F0<729q/5l=547:8 6`52>k;7)79c;c;`>N4mo1em::5225b?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17plm3782>1<729q/5l=5a718 <0d2h2o7E=jf:lb31<5;>h0e>hj:188m6`a2900e4;6:188k2142900qol<2;391?6=8r.2m>4;699'7c4=?h:0(48l:`:g?M5bn2dj;94=36a8m6`b2900e>hi:188m1672900e9>>:188k=?72900qol<3;390?6=8r.2m>4n629'=3e=i1n0D>ki;oc40?44?m1b?kk50;9j7c`=831b58750;9l325=831vno5<7s-3j?7:98:&0b7<0i91/5;m5a9f8L6ca3gk<87<<7d9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vno=?:087>5<7s-3j?7o93:&:2f750n2c8jh4?::k0bc<722c2944?::m436<722wi>97::086>5<7s-3j?7:98:&0b7<0kl1/5;m52013?M5bn2dj;94=3928m6`b2900e>hi:188m1672900e9>>:188k=?72900qo<;9782>0<729q/5l=547:8 6`52>o=7)79c;0275=O;ll0bl9;:31;5>o4nl0;66g>o3890;66g;0083>>i?190;66sm25;f>4<3290;w)7n3;c57>">>j09=>>4H2ge?kg0<3884?5f3gg94?=n;ol1<75f94;94?=h?>91<75rb2;7b?7==3:165c=2B8ik5aa66966>43`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0=06=93?1<7>t$8c0>10?3-9m>76>f:&:2f<4;m?0D>ki;oc40?440=1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6?293;187>50z&:e6:1/5;m532f6?M5bn2dj;94=3978m6`b2900e>hi:188m<3>2900c:9<:188yg70=<0:684>:4y'=d5=;oh0D47k;oc40?440?1b8=7i:088m16>m3;17d:?9e82>>o380i1=75`4353>4<55;294~">i:0?:55+3g09<26<,079:J0ac=ii>>1>>68;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty?<4h50;0xZ16>n27?>:?53gg8yv271l0;6?uQ41;f?825?808jk5rs52:`?6=:rT?<4j4=5045?2782wx8=7l:181[271j168?9>:522?xu3:>:1<7;3:>;144>4}|`7624=93>1<7>t$8c0>d043-3=o7:?889K7``4?::a51b3280>6<4:{%;b7?5aj2B25i5aa66966>>3`8>mk4>::k11dc=931b>8ok:088m73>k3;17b<:a282>>d5=h>1<7;50;2x ">>j09=>>4H2ge?kg0<3884l5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{;?jj7>52z\11d`<5;?j87=ie:p60gb2909wS<:ad9>60g32:lm7p}=5`f94?4|V;?jh63=5`690565n4?:3y]60?d348>m94;009~w73f;3:1>vP=5`18973f<322<6srb37b1?7=<3:1<=?;I1fb>hf?=09?5l4i2df>5<5<=86=44}c37`6<62<0:68u+9`197cd<@03o7co84;00mi4>::k118o?:088f73f93:197>50z&:e6<3>11/?k<58308 <0d2;;8<6F;5=h;1?kk4}r06e`<72;qU>8oj;<06e4<4no1v?;nd;296~X5=hn01?;n1;634>{t:<3h6=4={_06=f=::>;|q11d6=838pR?;n0:?11d7=00:0qpl=5`095?2=83:p(4o<:`40?!?1k38:?=5G3dd8jd132;93i6g>o4no0;66g65883>>i0?:0;66sm24c5>4<2290;w)7n3;65<>"4n;0<4h5+97a964573A9nj6`n75817=`5<5<<,:l96:6j;%;5g?46;91C?hh4n`57>75>82c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a60g?280?6=4?{%;b7?g1;2.2:n4=1228L6ca3gk<87<<909j7cc=831b?kh50;9j=0?=831d;:=50;9~f73><3;197>50z&:e6<3>11/?k<57ef8 <0d2;;8<6F">>j09=>>4H2ge?kg0<3885>5f3gg94?=n;ol1<75`88294?=zj;?2:7?54;294~">i:0j:>5+97a964573A9nj6`n75817<25<5<5l4>:483>5}#1h918;64$2d1>=7f3-3=o7<>319K7``87m:087>5<7s-3j?7o93:&:2f<59::0D>ki;oc40?441?1b?kk50;9j7c`=831b58750;9l325=831vn4;699'7c4=?>k0(48l:0abe>N4mo1em::522;4?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>cbf95?2=83:p(4o<:`40?!?1k3;hml5G3dd8jd132;9246g>o4no0;66g65883>>i0?:0;66sm1c06>4<2290;w)7n3;65<>"4n;0<;l5+97a95g633A9nj6`n758175<5<>1>>7n;h1ea?6=3`9mj7>5;h;6=?6=3f=5;|`2077=93?1=7;t$8c0>6`e3A32h6`n75817;m94>::k74d7=931d=<>k:088f477m3:197>50z&:e6<3>11/?k<585g8 <0d2kh:7E=jf:lb31<5;0i0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv`em3:1>vPibd9>546b2:ln7p};08794?4|V=:2963>11g97c`;m94?:3y]05g334;:vP;0`389477m3>;=6s|102g>5<5sW;:=?73twi=<>i:087>5<7s-3j?7o93:&:2f75>l2c8jh4?::k0bc<722c2944?::m436<722wi?o7::086>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900qo=m9782>0<729q/5l=547:8 6`521;97)79c;10`0=O;ll0bl9;:31:b>o4nl0;66g>o3890;66g;0083>>i?190;66sm3c;4>4<3290;w)7n3;c57>">>j08?i;4H2ge?kg0<388m=5f3gg94?=n;ol1<75f94;94?=h?>91<75rb2;5f?7==3:165c=2B8ik5aa66966g63`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0=3e=93>1<7>t$8c0>10?3-9m>7964:&:2f<4;m?0D>ki;oc40?44i;1b?kk50;9j7c`=831b8=>50;9l<<6=831vn>79d;390?6=8r.2m>4n629'=3e=;:n>7E=jf:lb31<5;h90e>hj:188m6`a2900e4;6:188k2142900qo?92982>0<628:1;39?l51=:0:66g<64095?=h;0=n6<44b2;4a?6==3:165c=2B8ik5aa66966g23`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0=74=838pR>7=2:?0=2c=;oo0q~=95083>7}Y;??:70=67d80bc=z{:<>?7>52z\0205<5:37<1b2=::7p}<96g94?4|V:3hm;I;:`>hf?=09?l84i2;16?7=3`9=9>4>::k0207=931b?;;=:088k6?0n3;17o=67g83>0<729q/5l=547:8 6`5219:7)79c;10`0=O;ll0bl9;:31b3>o4nl0;66g>o3890;66g;0083>>i?190;66s|3801>5<5sW92>?52385e>6`b3ty8:8=50;0xZ602;2785:h53gd8yv51=80;6?uQ3772?85>?o0?<=5rs2466?6=:rT8:8<4=2;4b?2792wx?49i:181[5>?o16?49i:9;3?x{e;02;6<4;:183!?f;3k=?6*66b807a3<@:om7co84;00e==n;oo1<75f3gd94?=n1<31<75`76194?=zj8?=>7?55;391~">i:08jo5G98f8jd132;9j56g=c5695?=n<9k?6<44i52b7?7=3`>;m?4>::m1`ac=931i>iji:186>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900q~7}Y:j>?7052z\74d2<5;noj7=if:p05g42909wS:?a29>6aba2=:;7p};0`094?4|V=:j>63=ded9057N4mo1em::522ca?l5am3:17d=if;29?l?213:17b983;29?xd6::o1=7:50;2x ">>j0in<5G3dd8jd132;9jo6g>o4no0;66g;0183>>i?190;66sm131e>4<3290;w)7n3;65<>"4n;03<>5+97a9fg7<@:om7co84;00ea=n;oo1<75f3gd94?=n<9:1<75`88294?=zj88?<7?54;294~">i:0j:>5+97a9fg7<@:om7co84;00e`=n;oo1<75f3gd94?=n1<31<75`76194?=zj88:h7?54;294~">i:0?:55+3g09<55<,0;I1fb>hf?=09?lh4i2df>5<5<<,:l965><;%;5g?de92B8ik5aa66966d73`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th:>5;h1eb?6=3`3>57>5;n547?6=3th:>?851;694?6|,0k86987;%1e6?>7;2.2:n4mb09K7``ki;oc40?44j:1b?kk50;9j7c`=831b8=>50;9l<<6=831vn<<=8;390?6=8r.2m>4n629'=3e=jk;0D>ki;oc40?44j=1b?kk50;9j7c`=831b58750;9l325=831vn<<=d;390?6=8r.2m>4;699'7c4=0990(48l:c`2?M5bn2dj;94=3c78m6`b2900e>hi:188m1672900c57?:188yg75:l0:694?:1y'=d5=h=:920?!?1k3hi=6F>83:17pl>23d95?2=83:p(4o<:`40?!?1k3hi=6F22;95?3=83:p(4o<:54;?!5a:32<86*66b8af4=O;ll0bl9;:31a<>o4nl0;66g>o3890;66g;0083>>i?190;66sm131b>4<2290;w)7n3;65<>"4n;0<;i5+97a9fg7<@:om7co84;00f<=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb000f?7=<3:191<75rb53216en2B8ik5aa66966de3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`754?=9391<7>t$8c0>10?3-9m>76<6:&:2f<38kl0D>ki;oc40?44jj1b?kk50;9j7c`=831d44>50;9~f176i3;187>50z&:e6:1/5;m541`e?M5bn2dj;94=3cf8m6`b2900e>hi:188m<3>2900c:9<:188yg269:0:684?:1y'=d5=h=:6g:?!?1k3>;nk5G3dd8jd132;9ii6g>o4no0;66g;0183>>o3880;66a79183>>{e<8;?6<4<:183!?f;3>=46*hf?=09?oh4i2df>5<5<:=84>:583>5}#1h91m;=4$84`>16en2B8ik5aa66966e73`9mi7>5;h1eb?6=3`3>57>5;n547?6=3th?=ik51;794?6|,0k86987;%1e6?1?12.2:n4;09;8L6ca3gk<87<4n629'=3e=<9227E=jf:lb31<5;j80e>hj:188m6`a2900e4;6:188k2142900qo<<5e82>0<729q/5l=547:8 6`52>2<7)79c;0275=O;ll0bl9;:31`7>o4nl0;66g>o3890;66g;0083>>i?190;66sm227f>4<3290;w)7n3;c57>">>j09=>>4H2ge?kg0<388o95f3gg94?=n;ol1<75f94;94?=h?>91<75rb3163?7==3:177482B8ik5aa66966e23`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`170>=93>1<7>t$8c0>d043-3=o7<>319K7``4?::a5717280?6=4?{%;b7?2102.8j?47029'=3e=jk;0D>ki;oc40?44k>1b?kk50;9j7c`=831b8=>50;9l<<6=831vn<<81;390?6=8r.2m>4;699'7c4=0990(48l:c`2?M5bn2dj;94=3b:8m6`b2900e>hi:188m1672900c57?:188yg75?;0:694?:1y'=d5=i?90(48l:c`2?M5bn2dj;94=3b;8m6`b2900e>hi:188m<3>2900c:9<:188yg75h=:920?!?1k3hi=6F>83:17pl>25595?2=83:p(4o<:54;?!5a:32;?6*66b8af4=O;ll0bl9;:31`f>o4nl0;66g>o3890;66a79183>>{e9;>36<4;:183!?f;3k=?6*66b8af4=O;ll0bl9;:31`g>o4nl0;66g>o>=00;66a87283>>{e9;?:6<4;:183!?f;3>=46*gd63A9nj6`n75817fc5<5<4>:583>5}#1h91m;=4$84`>gd63A9nj6`n75817f`5<5<:583>5}#1h918;64$2d1>=643-3=o7lm1:J0ac=ii>>1>>j?;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`260?=93>1<7>t$8c0>10?3-9m>76?3:&:2f75c92c8jh4?::k0bc<722c?<=4?::m;=5<722wi=?;n:087>5<7s-3j?7o93:&:2f75c:2c8jh4?::k0bc<722c2944?::m436<722wi=?8n:086>5<7s-3j?7:98:&0b74;699'7c4=?>n0(48l:c`2?M5bn2dj;94=3e68m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?=6b82>1<729q/5l=5a718 <0d2kh:7E=jf:lb31<5;m?0e>hj:188m6`a2900e4;6:188k2142900qo?:6182>0<627d5=2B8ik5aa66966b03`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q1g12=838pR?m;4:?1`<2=;oo0q~7}Y:j>=7052z\74d7<5;n287:?0:p05g72909wS:?a19>6a?32=::7p}=d8694?4|V;n2863=d869<<675c02c8jh4?::k0bc<722c2944?::m436<722wi>9hn:086>5<7s-3j?7:98:&0b7<0l:1/5;m52013?M5bn2dj;94=3e;8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo<;fc82>0<729q/5l=547:8 6`52>2:7)79c;0275=O;ll0bl9;:31ge>o4nl0;66g>o3890;66g;0083>>i?190;66sm25dg>4<3290;w)7n3;c57>">>j09=>>4H2ge?kg0<388ho5f3gg94?=n;ol1<75f94;94?=h?>91<75rb316e?7==3:177482B8ik5aa66966bd3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`170d=93>1<7>t$8c0>d043-3=o7<>319K7``4?::a5362280>6<4:{%;b7?5aj2B25i5aa66966bb3`9h?<4>::k0g64=931b?n=<:088m6e483;17b=8b782>>d4?k<1<7;50;2x ">>j08?i;4H2ge?kg0<388hk5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=z{:i8=7>52z\0g67<5:=i:7=ie:p7f552909wS=l339>72d12:lm7p}3:1>vP<7c48961e>322<6srb25a3?7=<3:1j:;I1fb>hf?=09?h>4i2df>5<5<=86=44}c36`f<62<0:68u+9`197cd<@03o7co84;00a4=n;j996<44i2a04?7=3`9h?<4>::k0g65=931d?;7;:088f60><3:197>50z&:e6<3>11/?k<58718 <0d2:9o96F;4>0>1?kk4}r1`75<72;qU?n=?;<15=1<4no1v>m<1;296~X4k:;01>864;634>{t;j986=4={_1`76=:;?3?69>>;|q02<2=838pR>864:?02<2=00:0qpl<68795?2=83:p(4o<:`40?!?1k398h85G3dd8jd132;9n?6g>o4no0;66g65883>>i0?:0;66sm17gb>4<2280>w)7n3;1ef>N>1m1em::522g7?l5>:l0:66g<80d95?=n;08=6<44i2:3a?7=3f9m8<4>::`0b17=83?1<7>t$8c0>10?3-9m>7962:&:2f<4;m?0D>ki;oc40?44m<1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w6?5m3:1>vP<93g896`3939mi6s|393e>5<5sW93=k523g62>6`a3ty85?850;0xZ6?5>278j9?54128yv5?8l0;6?uQ392f?85a<80?<<5rs2d75?6=:rT8j9?4=2d75?>>82wvn>h;2;390?6=8r.2m>4n629'=3e=;:n>7E=jf:lb31<5;l<0e>hj:188m6`a2900e4;6:188k2142900qo=85682>0<729q/5l=547:8 6`52>oh7)79c;10`0=O;ll0bl9;:31f3>o4nl0;66g>o3890;66g;0083>>i?190;66sm367;>4<3290;w)7n3;c57>">>j08?i;4H2ge?kg0<388i55f3gg94?=n;ol1<75f94;94?=h?>91<75rb2550?7=;3:165c=2B8ik5aa66966c>3`9mi7>5;h1eb?6=3f22<7>5;|`0333=93?1<7>t$8c0>10?3-9m>79ne:&:2f<4;m?0D>ki;oc40?44mh1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f611>3;187>50z&:e6:1/5;m532f6?M5bn2dj;94=3d`8m6`b2900e>hi:188m<3>2900c:9<:188yg73=o0:684>:4y'=d5=;oh0D47k;oc40?44mj1b=h6=:088m4c?=3;17d?j8582>>o6m0o1=75`1d5f>4<55;294~">i:0?:55+3g09<66<,0>1>>kk;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty:i5<50;0xZ4c?:27:i:k53gg8yv7b0<0;6?uQ1d:6?87b?l08jk5rs0g;0?6=:rT:i5:4=0g4a?2782wx=h7j:181[7b1l16=h9j:522?xu6m>o1<7;6m>o144>4}|`2036=93?1=7;t$8c0>6`e3A32h6`n75817`c22800ch=:90f?!?1k3;n9?5G3dd8jd132;9nj6g>o4no0;66g;0183>>o3880;66a79183>>{t9l<86=4={_3f26=:9l=m6>hj;|q2a=2=838pR7}Y9l3n70?j7g8745=z{8o397>52z\2a=3<58o5`1a213;7psm1d:3>4<3290;w)7n3;c57>">>j0:i8<4H2ge?kg0<388j=5f3gg94?=n;ol1<75f94;94?=h?>91<75rb2;46?7==3:165c=2B8ik5aa66966`63`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0=25=93>1<7>t$8c0>d043-3=o7=4?::a7<3c280>6=4?{%;b7?2102.8j?48d79'=3e=;:n>7E=jf:lb31<5;o90e>hj:188m6`a2900e9>?:188m1662900c57?:188yg5>=l0:694?:1y'=d5=i?90(48l:21g1>N4mo1em::522d7?l5am3:17d=if;29?l?213:17b983;29?xd6>7=e;39?l5>;90:66g<92395?=n;0996<44o2f24?7=3k9o==4?:483>5}#1h918;64$2d1>=173-3=o7=:l16?i??:2df?xu41::1<7;4l8:1?kh4}r1:74<72;qU?4=>;<1g55<3891v>7<2;296~X41:801>j>0;635>{t;m;;6=4={_1g55=:;m;;657?;|a7a76280?6=4?{%;b7?g1;2.2:n4<3e78L6ca3gk<87<75a02c:j9751;9j5c2f2800ef`295?=e9ok;6=4::183!?f;3>=46*hf?=09?k74i2df>5<5<5<34;mm=4vP>f5c894`f839mj6s|1g6a>5<5sW;m8o521gc3>1673ty:ihl50;0xZ4cbj27:jl>54138yv7ai90;6?uQ1gc3?87ai9035=5r}c3ee4<62:0;6=u+9`1903><,:l9656n;%;5g?7bik1C?hh4n`57>75ai2c8jh4?::k0bc<722e35=4?::a5cg5280?6=4?{%;b7?g1;2.2:n4>e``8L6ca3gk<87<50z&:e6<3>11/?k<584;8 <0d28ijm6F">>j0:olo4H2ge?kg0<388ji5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8iih7?54;294~">i:0j:>5+97a95fgf3A9nj6`n75817cc5<5<:283>5}#1h918;64$2d1>=3>3-3=o7?m059K7``5<7s-3j?7:98:&0b7<0mo1/5;m51c27?M5bn2dj;94=4128m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?m1682>1<729q/5l=5a718 <0d28h;86F;4$84`>65c=2B8ik5aa66961643`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th8:;m51;694?6|,0k86l8<;%;5g?54l<1C?hh4n`57>727<2c8jh4?::k0bc<722c2944?::m436<722wi=njm:086>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900qo?ldb82>1<729q/5l=5a718 <0d28ijm6Fb2795?3=83:p(4o<:54;?!5a:329n6*66b82f52<@:om7co84;0742=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb0`02?7=<3:1;;I1fb>hf?=098=64i2df>5<5<=86=44}c36e<<62<0:68u+9`197cd<@03o7co84;074<=n;8k86<44i23b1?7=3`9:m94>::k0513=931d?50z&:e6<3>11/?k<58578 <0d2:;;96F;49ok1?kk4}r12e0<72;qU??n4;296~X49h>01>?ia;634>{t;8>>6=4={_1200=:;8lj69>>;|q05c?=838pR>?i9:?05cg=00:0qpl<1g`95?5=83:p(4o<:54;?!5a:323m6*66b80553<@:om7co84;074g=n;oo1<75f3gd94?=h00:1<75rb23eg?7=<3:1:;I1fb>hf?=098=m4i2df>5<5<=86=44}c1:<6<62:0;6=u+9`1903><,:l965;;;%;5g?54l<1C?hh4n`57>727l2c8jh4?::k0bc<722e35=4?::a7<>3280>6=4?{%;b7?2102.8j?48909'=3e=;:n>7E=jf:lb31<5<9o0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg5>0<0:694?:1y'=d5=i?90(48l:21g1>N4mo1em::5252e?l5am3:17d=if;29?l?213:17b983;29?xd6=><1=7;51;7x oh1=75`2g5`>4<55;294~">i:0?:55+3g09<2`<,0>1>9?>;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty9m4h50;0xZ7g>n279j:j53gg8yv4a?o0;6?uQ2g5e?84a?m08jk5rs52b4?6=:rT?4=3d4`?2782wx:kl50;0xZ3`e348m;i4;009~w7`0k3:1>vP=f6a897`0l322<6srb3d4a?7=<3:1ih;;I1fb>hf?=098<<4i2df>5<5<=86=44}c354<<62=0:69u+9`197cd<@03o7co84;0756=n;>li6<44i25f6?7=3`9::m03c6=931i?:h>:187>5<7s-3j?7:98:&0b71/5;m532f6?M5bn2dj;94=4068m6`b2900e>hi:188m1672900c57?:188yv50nk0;6?uQ36da?850n808jh5rs25f6?6=:rT8;h<4=25e5?5an2wx?:k7:181[50m116?:h>:523?xu4?o:1<7;4?o;144>4}|`225g=93?1=7;t$8c0>6`e3A32h6`n75810437?5;h14a=<622c8;hl51;9j72`e2800c>9i1;39?g50n;0;684?:1y'=d5=h=:967?!?1k398h85G3dd8jd132;>::6g>o4no0;66g;0183>>o3880;66a79183>>{t;>o96=4={_14a7=:;>l96>hj;|q03`>=838pR>9j8:?03c4=;ol0q~=8ec83>7}Y;>oi70=8f38745=z{:=mn7>52z\03cd<5:=m>7:?1:p72`62909wS=8f09>72`5213;7psm36d0>4<3290;w)7n3;c57>">>j08?i;4H2ge?kg0<38?=:5f3gg94?=n;ol1<75f94;94?=h?>91<75rb23a4?7==3:1677=2B8ik5aa669617?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`21d5=93?1=7;t$8c0>6`e3A32h6`n758104?51;9j74`12800c>?m2;39?g56j;0;684?:1y'=d5=h=:6;3?!?1k39:<85G3dd8jd132;>:m6g>o4no0;66g;0183>>o3880;66a79183>>{t;8>>6=4={_1200=:;8h96>hj;|q05d2=838pR>?n4:?05g4=;ol0q~=>f183>7}Y;8l;70=>b38745=z{:;m:7>52z\05c0<5:;i>7:?1:p74d52909wS=>b39>74d5213;7psm30`0>4<3290;w)7n3;c57>">>j08==;4H2ge?kg0<38?=o5f3gg94?=n;ol1<75f94;94?=h?>91<75rb0d62?7==3:14cfj2B8ik5aa669617d3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`202b=93?1=7;t$8c0>6`e3A32h6`n758104bh=:6;3?!?1k3;nmo5G3dd8jd132;>:i6g>o4no0;66g;0183>>o3880;66a79183>>{t9loi6=4={_3fag=:9o?36>hj;|q2b1g=838pR=;ol0q~?i9683>7}Y9o3<70?i598745=z{8l2h7>52z\2b47:?1:p5c3?2909wS?i599>5c3?213;7psm1g7:>4<3290;w)7n3;c57>">>j0:ill4H2ge?kg0<38?=k5f3gg94?=n;ol1<75f94;94?=h?>91<75rb25ae?7=;3:194$84`>65c=2B8ik5aa66961473`9mi7>5;h1eb?6=3f22<7>5;|`2250=93?1=7;t$8c0>6`e3A32h6`n75810779mb;39?g50jk0;684?:1y'=d5=h=:94f?!?1k398h85G3dd8jd132;>9>6g>o4no0;66g;0183>>o3880;66a79183>>{t;hi6>hj;|q0202=838pR>8:4:?03gd=;ol0q~:<2483>7}Y<:8>70=8bc8745=z{:<;87>52z\0252<5:=in7:?1:p72de2909wS=8bc9>72de213;7psm36``>4<3290;w)7n3;c57>">>j08?i;4H2ge?kg0<38?>>5f3gg94?=n;ol1<75f94;94?=h?>91<75rb2c:7?7=13:16?>n2.?=>4:;I1fb>hf?=098?:4i36g>5<6=44i33`>5<5<5<5<:783>5}#;o81?nk4$84`>6?>n2.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2028026=4?{%;b7??1n2.8j?466g9'=3e=;03m7):>3;78L6ca3gk<87<;279j61b=831b>?;50;9j64e=831b==l50;9j55`=831b=<650;9j54?=831d5=j50;9l=5c=831vn>l=f;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::52504?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4i121=7750;2x ">>j0854h4$530>0=O;ll0bl9;:361<>o5>o59j0;66g>0c83>>o68o0;66g>1983>>o6900;66a60e83>>i>8l0;66sm3c13>4<1290;w)=i2;1`a>">>j0854h4$8c0>d063-32;7?7f:&756<43A9nj6`n758107?o6=44i306>5<5<5<:883>5}#1h915;h4$2d1><0a3-3=o7=69g9'045==2B8ik5aa669614f3`8?h7>5;h011?6=3`8:o7>5;h33f?6=3`;;j7>5;h325;n;3`?6=3f3;i7>5;|`0f10=93<1<7>t$2d1>6eb3-3=o7=69g9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?43:k1b>9j50;9j673=831b>>o50;9j=53=831b>on1;39=?6=8r.2m>466g9'7c4=1?l0(48l:2;:b>"39:0>7E=jf:lb31<5<;i0e?:k:188m7422900e??l:188m46e2900e<>i:188m47?2900ej:188yg5e<00:6;4?:1y'7c4=;jo0(48l:2;:b>">i:0j:<5+98595=`<,=;86>5G3dd8jd132;>9h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm3`c1>4<>290;w)7n3;;5b>"4n;02:k5+97a97:?7;4H2ge?kg0<38?>h5f25f94?=n:;?1<75f20a94?=n99h1<75f11d94?=n9821<75f10;94?=h19n1<75`91g94?=zj:h?m7?56;294~"4n;08oh5+97a97>1>95;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0ed5=9331<7>t$8c0><0a3-9m>779f:&:2f<410l0(9?<:49K7``84?::k15f<722c:5<7s-9m>7=le:&:2f<410l0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94=4238m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5fi=0:644?:1y'=d5=1?l0(>h=:84e?!?1k3925k5+40191>N4mo1em::52511?l43l3:17d<=5;29?l46k3:17d??b;29?l77n3:17d?>8;29?l7613:17b7?d;29?j?7m3:17plh=:2af?!?1k3925k5+9`19e37<,03<6<6i;%627?5<@:om7co84;0776=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:kj97?59;294~">i:02:k5+3g09=3`<,0
    76f:&756<23A9nj6`n7581062o6=44i306>5<5<5<5<76f:&:e681/549519d8 1742:1C?hh4n`57>724=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?l6n:08:>5<7s-3j?779f:&0b7<>>o1/5;m538;e?!26;3?0D>ki;oc40?43;?1b>9j50;9j673=831b>=831b=<750;9l=5b=831d5=k50;9~f6d4:3;1:7>50z&0b7<4kl1/5;m538;e?!?f;3k==6*69682c;29?j?7l3:17pl846g=4e83>>o5:<0;66g=1b83>>o68k0;66g>0g83>>o6910;66g>1883>>i>8m0;66a60d83>>{e;k>n6<49:183!5a:39hi6*66b80=<`<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=098>74i36g>5<6=44i31b>5<6=44i33`>5<1o1/8<=55:J0ac=ii>>1>9=n;h07`?6=3`8997>5;h02g?6=3`;;n7>5;h33b?6=3`;:47>5;h32=?6=3f3;h7>5;n;3a?6=3th8n>?51;494?6|,:l96>mj;%;5g?5>1o1/5l=5a738 3;18L6ca3gk<87<;3c9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6g?j3;157>50z&:e6<>>o1/?k<597d8 <0d2:32j6*;1286?M5bn2dj;94=42a8m72c2900e?<::188m77d2900e<>m:188m46a2900e2900c4>k:188k<6b2900qo=m3282>3<729q/?k<53bg8 <0d2:32j6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:360`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;h2h6<46:183!?f;33=j6*3:18g9'045=;2B8ik5aa669615a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8m5j51;;94?6|,0k8648i;%1e6??1n2.2:n4<98d8 1742<1C?hh4n`57>72382c98i4?::k160<722c9=n4?::k24g<722c:"39:087E=jf:lb31<5<=;0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo=n8d82><<729q/5l=597d8 6`52097d<;d;29?l45=3:17d<>c;29?l77j3:17d??f;29?l7603:17d?>9;29?j?7l3:17b7?e;29?xd4j:<1=7850;2x 6`52:in7)79c;1:=c=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<38?8>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb2c;b?7=13:16?>n2.?=>4:;I1fb>hf?=0989:4i36g>5<6=44i33`>5<5<5<5<:783>5}#;o81?nk4$84`>6?>n2.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e23;78L6ca3gk<87<;479j61b=831b>?;50;9j64e=831b==l50;9j55`=831b=<650;9j54?=831d5=j50;9l=5c=831vn>l<8;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::52564?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd4i0>1=7750;2x ">>j0854h4$530>0=O;ll0bl9;:367<>o5>o59j0;66g>0c83>>o68o0;66g>1983>>o6900;66a60e83>>i>8l0;66sm3c1`>4<1290;w)=i2;1`a>">>j0854h4$8c0>d063-32;7?7f:&756<43A9nj6`n758101?o6=44i306>5<5<5<:883>5}#1h915;h4$2d1><0a3-3=o7=69g9'045==2B8ik5aa669612f3`8?h7>5;h011?6=3`8:o7>5;h33f?6=3`;;j7>5;h325;n;3`?6=3f3;i7>5;|`0f6?=93<1<7>t$2d1>6eb3-3=o7=69g9'=d5=i?;0(478:0:e?!26;390D>ki;oc40?439j50;9j673=831b>>o50;9j=53=831b>o62;39=?6=8r.2m>466g9'7c4=1?l0(48l:2;:b>"39:0>7E=jf:lb31<5<=i0e?:k:188m7422900e??l:188m46e2900e<>i:188m47?2900ej:188yg5e;h0:6;4?:1y'7c4=;jo0(48l:2;:b>">i:0j:<5+98595=`<,=;86>5G3dd8jd132;>?h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm3`;6>4<>290;w)7n3;;5b>"4n;02:k5+97a97:?7;4H2ge?kg0<38?8h5f25f94?=n:;?1<75f20a94?=n99h1<75f11d94?=n9821<75f10;94?=h19n1<75`91g94?=zj:h8h7?56;294~"4n;08oh5+97a97>1>9:i;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`0e<0=9331<7>t$8c0><0a3-9m>779f:&:2f<410l0(9?<:49K7``84?::k15f<722c:5<7s-9m>7=le:&:2f<410l0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94=4438m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg5f1>0:644?:1y'=d5=1?l0(>h=:84e?!?1k3925k5+40191>N4mo1em::52571?l43l3:17d<=5;29?l46k3:17d??b;29?l77n3:17d?>8;29?l7613:17b7?d;29?j?7m3:17plh=:2af?!?1k3925k5+9`19e37<,03<6<6i;%627?5<@:om7co84;0716=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj:k247?59;294~">i:02:k5+3g09=3`<,0
    76f:&756<23A9nj6`n7581002o6=44i306>5<5<5<5<76f:&:e681/549519d8 1742:1C?hh4n`57>722=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi?l76:08:>5<7s-3j?779f:&0b7<>>o1/5;m538;e?!26;3?0D>ki;oc40?43=?1b>9j50;9j673=831b>=831b=<750;9l=5b=831d5=k50;9~f6d393;1:7>50z&0b7<4kl1/5;m538;e?!?f;3k==6*69682c;29?j?7l3:17pl>46g=4e83>>o5:<0;66g=1b83>>o68k0;66g>0g83>>o6910;66g>1883>>i>8m0;66a60d83>>{e;k>96<49:183!5a:39hi6*66b80=<`<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=098874i36g>5<6=44i31b>5<6=44i33`>5<1o1/8<=55:J0ac=ii>>1>9;n;h07`?6=3`8997>5;h02g?6=3`;;n7>5;h33b?6=3`;:47>5;h32=?6=3f3;h7>5;n;3a?6=3th8n9;51;494?6|,:l96>mj;%;5g?5>1o1/5l=5a738 3;18L6ca3gk<87<;5c9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f6g>j3;157>50z&:e6<>>o1/?k<597d8 <0d2:32j6*;1286?M5bn2dj;94=44a8m72c2900e?<::188m77d2900e<>m:188m46a2900e2900c4>k:188k<6b2900qo=m4282>3<729q/?k<53bg8 <0d2:32j6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:366`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e;h3h6<46:183!?f;33=j6*3:18g9'045=;2B8ik5aa669613a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th8m4h51;;94?6|,0k8648i;%1e6??1n2.2:n4<98d8 1742<1C?hh4n`57>72182c98i4?::k160<722c9=n4?::k24g<722c:"39:087E=jf:lb31<5<<729q/5l=597d8 6`520c;29?l77j3:17d??f;29?l7603:17d?>9;29?j?7l3:17b7?e;29?xd4j=21=7850;2x 6`52:in7)79c;1:=c=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<38?:>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0:6e?7=13:14>2?2.?=>4:;I1fb>hf?=098;:4i36g>5<6=44i33`>5<5<5<5<:783>5}#;o81?nk4$84`>4>2?2.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e23;78L6ca3gk<87<;679j61b=831b>?;50;9j64e=831b==l50;9j55`=831b=<650;9j54?=831d5=j50;9l=5c=831vn<6:6;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::52544?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd60<:1=7750;2x ">>j0:49j4$530>0=O;ll0bl9;:365<>o5>o59j0;66g>0c83>>o68o0;66g>1983>>o6900;66a60e83>>i>8l0;66sm1972>4<1290;w)=i2;1`a>">>j0:49j4$8c0>d063-32;7?7f:&756<43A9nj6`n758103?o6=44i306>5<5<5<:883>5}#1h915;h4$2d1><0a3-3=o7?7499'045==2B8ik5aa669610f3`8?h7>5;h011?6=3`8:o7>5;h33f?6=3`;;j7>5;h325;n;3`?6=3f3;i7>5;|`2<1e=93<1<7>t$2d1>6eb3-3=o7?7499'=d5=i?;0(478:0:e?!26;390D>ki;oc40?43>k1b>9j50;9j673=831b>>o50;9j=53=831b>466g9'7c4=1?l0(48l:0:0=>"39:0>7E=jf:lb31<5i:188m47?2900ej:188yg7?;m0:6;4?:1y'7c4=;jo0(48l:0:0=>">i:0j:<5+98595=`<,=;86>5G3dd8jd132;>=h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm1965>4<>290;w)7n3;;5b>"4n;02:k5+97a95=243->:?7;4H2ge?kg0<38?:h5f25f94?=n:;?1<75f20a94?=n99h1<75f11d94?=n9821<75f10;94?=h19n1<75`91g94?=zj82?;7?56;294~"4n;08oh5+97a95=243-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1>98i;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`2<17=9331<7>t$8c0><0a3-9m>779f:&:2f<60:o0(9?<:49K7``84?::k15f<722c:5<7s-9m>7=le:&:2f<60:o0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94=4638m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg7?;>0:644?:1y'=d5=1?l0(>h=:84e?!?1k3;3?95+40191>N4mo1em::52551?l43l3:17d<=5;29?l46k3:17d??b;29?l77n3:17d?>8;29?l7613:17b7?d;29?j?7m3:17pl>82:95?0=83:p(>h=:2af?!?1k3;3?95+9`19e37<,03<6<6i;%627?5<@:om7co84;0736=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj828>7?59;294~">i:02:k5+3g09=3`<,0o6=44i306>5<5<5<5<81/549519d8 1742:1C?hh4n`57>720=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=55<7s-3j?779f:&0b7<>>o1/5;m5190b?!26;3?0D>ki;oc40?43??1b>9j50;9j673=831b>=831b=<750;9l=5b=831d5=k50;9~f4>5m3;1:7>50z&0b7<4kl1/5;m5190b?!?f;3k==6*69682c;29?j?7l3:17pl>83:95??=83:p(4o<:84e?!5a:33=j6*66b82<73<,=;8685G3dd8jd132;><46g=4e83>>o5:<0;66g=1b83>>o68k0;66g>0g83>>o6910;66g>1883>>i>8m0;66a60d83>>{e91826<49:183!5a:39hi6*66b82<73<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=098:74i36g>5<6=44i31b>5<6=44i33`>5<>1>99n;h07`?6=3`8997>5;h02g?6=3`;;n7>5;h33b?6=3`;:47>5;h32=?6=3f3;h7>5;n;3a?6=3th:4?:51;494?6|,:l96>mj;%;5g?7?:91/5l=5a738 3;18L6ca3gk<87<;7c9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f4>6m3;157>50z&:e6<>>o1/?k<597d8 <0d282:n6*;1286?M5bn2dj;94=46a8m72c2900e?<::188m77d2900e<>m:188m46a2900e2900c4>k:188k<6b2900qo?71g82>3<729q/?k<53bg8 <0d282:n6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:364`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e91:m6<46:183!?f;33=j6*l;%627?3<@:om7co84;073`=n:=n1<75f23794?=n:8i1<75f11`94?=n99l1<75f10:94?=n9831<75`91f94?=h19o1<75rb0:24?7=>3:1l;%;b7?g192.25:4>8g9'045=;2B8ik5aa669611a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:4<751;;94?6|,0k8648i;%1e6??1n2.2:n4>8048 1742<1C?hh4n`57>72?82c98i4?::k160<722c9=n4?::k24g<722c:8048 "39:087E=jf:lb31<5<1;0e?:k:188m7422900e?=n:188m<622900e??l:188k<6c2900qo?71582><<729q/5l=597d8 6`520c;29?l77j3:17d??f;29?l7603:17d?>9;29?j?7l3:17b7?e;29?xd608?1=7850;2x 6`52:in7)79c;3;54=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<38?4>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb0:3e?7=13:14>7?2.?=>4:;I1fb>hf?=0985:4i36g>5<6=44i33`>5<5<5<5<:783>5}#;o81?nk4$84`>4>7?2.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e23;78L6ca3gk<87<;879j61b=831b>?;50;9j64e=831b==l50;9j55`=831b=<650;9j54?=831d5=j50;9l=5c=831vn<6?6;392?6=8r.8j?4">1>0:4k5+40197>N4mo1em::525:4?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd609:1=7750;2x ">>j0:;kj4$530>0=O;ll0bl9;:36;<>o5>o59j0;66g>0c83>>o68o0;66g>1983>>o6900;66a60e83>>i>8l0;66sm1922>4<1290;w)=i2;1`a>">>j0:;kj4$8c0>d063-32;7?7f:&756<43A9nj6`n75810=?o6=44i306>5<5<5<:883>5}#1h915;h4$2d1><0a3-3=o7?8f99'045==2B8ik5aa66961>f3`8?h7>5;h011?6=3`8:o7>5;h33f?6=3`;;j7>5;h325;n;3`?6=3f3;i7>5;|`23ce=93<1<7>t$2d1>6eb3-3=o7?8f99'=d5=i?;0(478:0:e?!26;390D>ki;oc40?430k1b>9j50;9j673=831b>>o50;9j=53=831b>466g9'7c4=1?l0(48l:05e7>"39:0>7E=jf:lb31<5<1i0e?:k:188m7422900e??l:188m46e2900e<>i:188m47?2900ej:188yg70n>0:6;4?:1y'7c4=;jo0(48l:05e7>">i:0j:<5+98595=`<,=;86>5G3dd8jd132;>3h6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm16d2>4<>290;w)7n3;;5b>"4n;02:k5+97a952cb3->:?7;4H2ge?kg0<38?4h5f25f94?=n:;?1<75f20a94?=n99h1<75f11d94?=n9821<75f10;94?=h19n1<75`91g94?=zj8=m>7?56;294~"4n;08oh5+97a952cb3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1>96i;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`23fc=9331<7>t$8c0><0a3-9m>779f:&:2f<6?jh0(9?<:49K7``84?::k15f<722c:5<7s-9m>7=le:&:2f<6?jh0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94=4838m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg70l10:644?:1y'=d5=1?l0(>h=:84e?!?1k3;N4mo1em::525;1?l43l3:17d<=5;29?l46k3:17d??b;29?l77n3:17d?>8;29?l7613:17b7?d;29?j?7m3:17pl>7e;95?0=83:p(>h=:2af?!?1k3;i:02:k5+3g09=3`<,0o6=44i306>5<5<5<5<81/549519d8 1742:1C?hh4n`57>72>=2c98i4?::k160<722c9?l4?::k:40<722c9=n4?::m:4a<722wi=:m6:08:>5<7s-3j?779f:&0b7<>>o1/5;m516a5?!26;3?0D>ki;oc40?431?1b>9j50;9j673=831b>=831b=<750;9l=5b=831d5=k50;9~f41di3;1:7>50z&0b7<4kl1/5;m516a5?!?f;3k==6*69682c;29?j?7l3:17pl>7b695??=83:p(4o<:84e?!5a:33=j6*66b823f7<,=;8685G3dd8jd132;>246g=4e83>>o5:<0;66g=1b83>>o68k0;66g>0g83>>o6910;66g>1883>>i>8m0;66a60d83>>{e9>i>6<49:183!5a:39hi6*66b823f7<,0k86l8>;%;:3?7?n2.?=>4<;I1fb>hf?=098474i36g>5<6=44i31b>5<6=44i33`>5<>1>97n;h07`?6=3`8997>5;h02g?6=3`;;n7>5;h33b?6=3`;:47>5;h32=?6=3f3;h7>5;n;3a?6=3th:;n>51;494?6|,:l96>mj;%;5g?70jj1/5l=5a738 3;18L6ca3gk<87<;9c9j61b=831b>?;50;9j66g=831b5=;50;9j64e=831d5=j50;9~f41ei3;157>50z&:e6<>>o1/?k<597d8 <0d28=i;6*;1286?M5bn2dj;94=48a8m72c2900e?<::188m77d2900e<>m:188m46a2900e2900c4>k:188k<6b2900qo?8bc82>3<729q/?k<53bg8 <0d28=i;6*6a28b24=#10=1=5h4$530>6=O;ll0bl9;:36:`>o5>o5;h0;66g60483>>o59j0;66a60e83>>{e9>h>6<46:183!?f;33=j6*3:18g9'045=;2B8ik5aa66961?a3`8?h7>5;h011?6=3`88m7>5;h;31?6=3`8:o7>5;n;3`?6=3th:;o>51;;94?6|,0k8648i;%1e6??1n2.2:n4>7`f8 1742<1C?hh4n`57>72f82c98i4?::k160<722c9=n4?::k24g<722c:7`f8 "39:087E=jf:lb31<5<<729q/5l=597d8 6`520c;29?l77j3:17d??f;29?l7603:17d?>9;29?j?7l3:17b7?e;29?xd6?h81=7850;2x 6`52:in7)79c;34=`=#1h91m;?4$8;4>4>a3->:?7=4H2ge?kg0<38?m>5f25f94?=n:;?1<75f22c94?=n19?1<75f20a94?=h19n1<75rb05bf?7=13:141f02.?=>4:;I1fb>hf?=098l:4i36g>5<6=44i33`>5<5<5<5<:783>5}#;o81?nk4$84`>41f02.2m>4n609'=<1=91l0(9?<:29K7``84?::k17d<722c2<84?::k15f<722e2k87):>3;78L6ca3gk<87<;a79j61b=831b>?;50;9j64e=831b==l50;9j55`=831b=<650;9j54?=831d5=j50;9l=5c=831vn<9n7;392?6=8r.8j?4k87)7n3;c55>">1>0:4k5+40197>N4mo1em::525c4?l43l3:17d<=5;29?l44i3:17d7?5;29?l46k3:17b7?d;29?xd6?0i1=7750;2x ">>j0:;474$530>0=O;ll0bl9;:36b<>o5>o59j0;66g>0c83>>o68o0;66g>1983>>o6900;66a60e83>>i>8l0;66sm16;g>4<1290;w)=i2;1`a>">>j0:;474$8c0>d063-32;7?7f:&756<43A9nj6`n75810d?o6=44i306>5<5<5<:883>5}#1h915;h4$2d1><0a3-3=o7?8959'045==2B8ik5aa66961gf3`8?h7>5;h011?6=3`8:o7>5;h33f?6=3`;;j7>5;h325;n;3`?6=3f3;i7>5;|`23<>=93<1<7>t$2d1>6eb3-3=o7?8959'=d5=i?;0(478:0:e?!26;390D>ki;oc40?43ik1b>9j50;9j673=831b>>o50;9j=53=831b>466g9'7c4=1?l0(48l:05;b>"39:0>7E=jf:lb31<5i:188m47?2900ej:188yg701:0:6;4?:1y'7c4=;jo0(48l:05;b>">i:0j:<5+98595=`<,=;86>5G3dd8jd132;>jh6g=4e83>>o5:<0;66g=3`83>>o>8<0;66g=1b83>>i>8m0;66sm16:g>4<>290;w)7n3;;5b>"4n;02:k5+97a952>f3->:?7;4H2ge?kg0<38?mh5f25f94?=n:;?1<75f20a94?=n99h1<75f11d94?=n9821<75f10;94?=h19n1<75`91g94?=zj8=3i7?56;294~"4n;08oh5+97a952>f3-3j?7o91:&:=2<60o1/8<=53:J0ac=ii>>1>9oi;h07`?6=3`8997>5;h00e?6=3`3;97>5;h02g?6=3f3;h7>5;|`262g=9331<7>t$8c0><0a3-9m>779f:&:2f<6:>=0(9?<:49K7``84?::k15f<722c:5<7s-9m>7=le:&:2f<6:>=0(4o<:`42?!?>?3;3j6*;1280?M5bn2dj;94=4c38m72c2900e?<::188m75f2900e4>::188m77d2900c4>k:188yg75?o0:644?:1y'=d5=1?l0(>h=:84e?!?1k3;9;n5+40191>N4mo1em::525`1?l43l3:17d<=5;29?l46k3:17d??b;29?l77n3:17d?>8;29?l7613:17b7?d;29?j?7m3:17pl>29295?0=83:p(>h=:2af?!?1k3;9;n5+9`19e37<,03<6<6i;%627?5<@:om7co84;07f6=n:=n1<75f23794?=n::k1<75f91794?=n:8i1<75`91f94?=zj8ii:7?52;294~">i:0?:55+3g09<=d<,0>1>9l;;h1ea?6=3f22<7>5;|`2f46=9381<7>t$8c0>10?3-9m>767b:&:2f<6j9>0D>ki;oc40?43j<1b?kk50;9l<<6=831vn4;699'7c4=01h0(48l:0abe>N4mo1em::525`5?l5am3:17b660;29?xd6j;<1=7<50;2x ">>j0:n=:4H2ge?kg0<38?n:5f3gg94?=h00:1<75rb01e5?7=<3;18v*6a280bg=O10n0bl9;:36a<>oajl0:66g;08795?=n<9k<6<44oga3>4<=46*5<5sWlii63ib780b`=z{=:297>52z\74<3<5oh=6>hi;|q74d1=838pR9>n7:?ef3<3891vkm?:181[`d827mn;47919~yg74n90:694>:5y'=d5=;oh0D47k;oc40?43jh1bjn>51;9j05g32800e9>n0;39?j`en3;17ohm4;290?6=8r.2m>4;699'7c4=0==0(48l:c`2?M5bn2dj;94=4c`8m6`b2900e>hi:188m1672900c57?:188yv`d83:1>vPic19>bg2=;oo0q~:?a583>7}Y<9k?70hm4;1eb>{t<9k;6=4={_63e5=:nk>18=>4}rdab?6=:rTmnk52fc69<<6hm;I;:`>hf?=098om4i3gf0?7=3`>9i;4>::k74d6=931b8=o>:088k7`?j3;17o0<729q/5l=547:8 6`521=m7)79c;0gb1=O;ll0bl9;:36a`>o4nl0;66g>o3890;66g;0083>>i?190;66s|2dg7>5<5sW8ni9522g::>6`b3ty?>h850;0xZ14b>279j5753gd8yv27i90;6?uQ41c3?84a000?<=5rs52b5?6=:rT?k6m:181[4a0k16>k66:9;3?x{e<;=26<4<:183!?f;3>=46*hf?=098ok4i2df>5<5<?i4>:482>0}#1h91?kl4H8;g?kg0<38?nk5f5d195?=n<93h6<44i53g0?7=3`>;5i4>::m1e<`=931i8?9l:186>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900q~;j3;296~X2m:168?9l:2df?xu380i1<7;3:>i1?kh4}r62`1<72;qU86d;296~X380n019<8c;635>{t:h3m6=4={_0b=c=:<;=h657?;|a57b3280?6<4;{%;b7?5aj2B25i5aa66961e63`==:7?5;h1`70<622c8o>851;9l11b=931i?;78:187>5<7s-3j?7:98:&0b7hi:188m1672900c57?:188yv11>3:1>vP8679>73?02:ln7p}5ef95?2=93>p(4o<:2da?M?>l2dj;94=4b18m02c2800e>m<0;39?l3713;17b=99e82>>d4>0h1<7:50;2x ">>j08?i;4H2ge?kg0<38?o95f3gg94?=n;ol1<75f41294?=h00:1<75rs46g>5<5sW??h63<68`97cc2909wS;?9:?027}Y;?3o70=99c8;=5=zuk;>ho4>:582>1}#1h91?kl4H8;g?kg0<38?o85f55f95?=n;j9?6<44i2a05?7=3f9=5<4>::`02=`=83>1<7>t$8c0>10?3-9m>76<3:&:2f<4;m?0D>ki;oc40?43k?1b?kk50;9j7c`=831b8=>50;9l<<6=831v8:k:181[33l278:5h53gg8yv5d;=0;6?uQ3b17?8510o08jk5rs2a05?6=:rT8o>?4=24;b?2782wx?;7>:181[511816?;6i:9;3?x{e;ohj6<4<:183!?f;3>=46*j:;I1fb>hf?=098n94i2df>5<5<:582>1}#1h91?kl4H8;g?kg0<38?o55f77495?=n;j9>6<44i2a02?7=3f?>57?5;c1434<72=0;6=u+9`1903><,:l965=;;%;5g?54l<1C?hh4n`57>72d12c8jh4?::k0bc<722c?<=4?::m;=5<722wx;;850;0xZ201349<;<4vP5<5sW9h?;523652>1673ty>944?:3y]10?<5:=<=7660:~f406?3;197?55z&:e6<4nk1C54j4n`57>72di2c8;ik51;9j72c22800e>6?7;39?l50n10:66a<87795?=e;1<86=4::183!?f;3>=46*j:;I1fb>hf?=098nl4i2df>5<5<5<4vP<7d7896>1;39mj6s|3924>5<5sW93<:523940>1673ty8;k650;0xZ61a02784;=54138yv5?><0;6?uQ3946?85?>:035=5r}c1;24<62:0;6=u+9`1903><,:l965=9;%;5g?54l<1C?hh4n`57>72dk2c8jh4?::k0bc<722e35=4?::a50`b280?6<4;{%;b7?5aj2B25i5aa66961ec3`?>57?5;h77b?7=3`925<4>::m032?=931i?:98:187>5<7s-3j?7:98:&0b7hi:188m1672900c57?:188yv3213:1>vP:589>72102:ln7p}:4g83>7}Y==l01>987;1eb>{t;03:6=4={_1:=4=:;>=<69>?;|q032?=838pR>989:?0321=00:0qpl=f9395?3=83:p(4o<:54;?!5a:329=6*66b81`c2<@:om7co84;07gc=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb2`:4?7==3:165c=2B8ik5aa66961b73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0f07=93?1<7>t$8c0>10?3-9m>7988:&:2f<4;m?0D>ki;oc40?43l81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6d0:3;197>50z&:e6<3>11/?k<57b:8 <0d2:9o96F">>j08?i;4H2ge?kg0<38?h>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:h=47?55;294~">i:0?:55+3g093f><,0
    =k5:J0ac=ii>>1>9j;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:8=751;695?2|,0k86>hm;I;:`>hf?=098i;4i0273?7=3`>;m=4>::k74d2=931d==;=:088f46283:187>50z&:e6<3>11/?k<58408 <0d2kh:7E=jf:lb31<5hj:188m6`a2900e9>?:188k=?72900q~??4683>7}Y99><70??5180b`=z{=:j<7>52z\74d6<58:><7=if:p05g32909wS:?a59>55372=:;7p}>04094?4|V8:>>63>0429<<6hm;I;:`>hf?=098i94i52b0?7=3`>;m84>::k74d4=931b8=o<:088k7e3>3;17o0<729q/5l=547:8 6`521=;7)79c;0a60=O;ll0bl9;:36g<>o4nl0;66g>o3890;66g;0083>>i?190;66s|41c7>5<5sW>;m9522e5g>6`b3ty?n:9:181[4di9k:9;3?x{e9<=:6<4::086!?f;39mn6F69e9me22=:=n27d>o2n=0:66g=f8c95?=n:o336<44o3gf3?7=3k8m4i4?:483>5}#1h918;64$2d1>=4b3-3=o7ih=:181[4cn;16>k6k:2df?xu2n=0;6?uQ5g6897`?l39mj6s|2g;b>5<5sW8m5l522g:g>1673ty9j4650;0xZ7`>0279j5j54138yv4bm>0;6?uQ2dg4?84a0m035=5r}c3742<62=0:69u+9`197cd<@03o7co84;07`g=n:h3n6<44i0276?7=3`;;884>::m2411=931i==:<:187>5<7s-3j?7:98:&0b750;9~w7g>m3:1>vP=a8g89463;39mi6s|1161>5<5sW;;8?521160>6`a3ty:<9;50;0xZ463=27:<9=54128yv77<>0;6?uQ1164?877<:035=5r}c1`50<62<0;6=u+9`1903><,:l96:m7;%;5g?54l<1C?hh4n`57>72cl2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7f76280>6=4?{%;b7?2102.8j?48c99'=3e=;:n>7E=jf:lb31<5hj:188m6`a2900e9>?:188m1662900c57?:188yg5d8m0:684?:1y'=d5=h=:6a;?!?1k398h85G3dd8jd132;>oj6g>o4no0;66g;0183>>o3880;66a79183>>{e;j:26<4::183!?f;3>=46*j:;I1fb>hf?=098h>4i2df>5<5<5<:483>5}#1h918;64$2d1>2e?3-3=o7=>:086>5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94=4d08m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=mfe82>0<729q/5l=547:8 6`52>i37)79c;10`0=O;ll0bl9;:36f7>o4nl0;66g>o3890;66g;0083>>i?190;66sm3cd5>4<2290;w)7n3;65<>"4n;05<5<<,:l96:m7;%;5g?54l<1C?hh4n`57>72b=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7gcb280>6=4?{%;b7?2102.8j?48c99'=3e=;:n>7E=jf:lb31<5hj:188m6`a2900e9>?:188m1662900c57?:188yg5emh0:684?:1y'=d5=h=:6a;?!?1k398h85G3dd8jd132;>n;6g>o4no0;66g;0183>>o3880;66a79183>>{e;ko=6<4::183!?f;3>=46*j:;I1fb>hf?=098h64i2df>5<5<5<:483>5}#1h918;64$2d1>2e?3-3=o7=5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94=4dc8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=md`82>0<729q/5l=547:8 6`52>i37)79c;10`0=O;ll0bl9;:36ff>o4nl0;66g>o3890;66g;0083>>i?190;66sm3cf5>4<2290;w)7n3;65<>"4n;05<5<<,:l96:m7;%;5g?54l<1C?hh4n`57>72bl2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7geb280>6=4?{%;b7?2102.8j?48c99'=3e=;:n>7E=jf:lb31<5hj:188m6`a2900e9>?:188m1662900c57?:188yg5ekh0:684?:1y'=d5=h=:6a;?!?1k398h85G3dd8jd132;>nj6g>o4no0;66g;0183>>o3880;66a79183>>{e;ki=6<4::183!?f;3>=46*j:;I1fb>hf?=098k>4i2df>5<5<5<:483>5}#1h918;64$2d1>2e?3-3=o7=5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94=4g08m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=mb`82>0<729q/5l=547:8 6`52>i37)79c;10`0=O;ll0bl9;:36e7>o4nl0;66g>o3890;66g;0083>>i?190;66sm3c`5>4<2290;w)7n3;65<>"4n;05<5<<,:l96:m7;%;5g?54l<1C?hh4n`57>72a=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7ggb280>6=4?{%;b7?2102.8j?48c99'=3e=;:n>7E=jf:lb31<5hj:188m6`a2900e9>?:188m1662900c57?:188yg5eih0:684?:1y'=d5=h=:6a;?!?1k398h85G3dd8jd132;>m;6g>o4no0;66g;0183>>o3880;66a79183>>{e;kk=6<4::183!?f;3>=46*j:;I1fb>hf?=098k64i2df>5<5<5<:483>5}#1h918;64$2d1>2e?3-3=o7=5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94=4gc8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=m9`82>0<729q/5l=547:8 6`52>i37)79c;10`0=O;ll0bl9;:36ef>o4nl0;66g>o3890;66g;0083>>i?190;66sm3c55>4<2290;w)7n3;65<>"4n;05<5<<,:l96:m?;%;5g?54l<1C?hh4n`57>72al2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7g1d280>6=4?{%;b7?2102.8j?48c19'=3e=;:n>7E=jf:lb31<5hj:188m6`a2900e9>?:188m1662900c57?:188yg5e=h0:684?:1y'=d5=h=:6a3?!?1k398h85G3dd8jd132;>mj6g>o4no0;66g;0183>>o3880;66a79183>>{e<8io6<4<:183!?f;3>=46*hf?=099=>4i2df>5<5<:o54>:283>5}#1h918;64$2d1>=513-3=o7:?bg9K7``5<7s-3j?7:98:&0b7hi:188k=?72900qo:>c282>6<729q/5l=547:8 6`5219=7)79c;63fc=O;ll0bl9;:3737>o4nl0;66g>i?190;66sm40a2>4<4290;w)7n3;65<>"4n;03?;5+97a905da3A9nj6`n75811525<<,:l965=9;%;5g?27jo1C?hh4n`57>737=2c8jh4?::k0bc<722e35=4?::a51ga280?6<4;{%;b7?5aj2B25i5aa66960613`8?>h4>::k74d5=931b8=o?:088k724;3;17o<98583>1<729q/5l=547:8 6`521987)79c;0275=O;ll0bl9;:3733>o4nl0;66g>o3890;66a79183>>{t:=8n6=4={_076`=::?2?6>hj;|q74d5=838pR9>n3:?12=2=;ol0q~:?a183>7}Y<9k;70<9858745=z{;>8?7>52z\1065<5;<387660:~f42fk3;187?54z&:e6<4nk1C54j4n`57>73702c9m4m51;9j070?2800e9<9d;39?j43:l0:66l=64`94?2=83:p(4o<:54;?!5a:32946*66b81566<@:om7co84;064<=n;oo1<75f3gd94?=n<9:1<75`88294?=z{;k2o7>52z\1en7=ie:p070?2909wS:=699>633e2:lm7p};27f94?4|V=8=h63=64`9056h4?:3y]614b348=9o47919~yg42l10:6>4?:1y'=d5=h=:914?!?1k38:?=5G3dd8jd132;?;m6g>o4no0;66a79183>>{e<;2:6<4<:183!?f;3>=46*hf?=099=l4i2df>5<5<8<4>:582>1}#1h91?kl4H8;g?kg0<38>4>::`76=3=83>1<7>t$8c0>10?3-9m>76<3:&:2f<38130D>ki;oc40?428m1b?kk50;9j7c`=831b8=>50;9l<<6=831v8?;:181[36<27?>5;53gg8yv271l0;6?uQ41;f?8250<08jk5rs52:b?6=:rT?<4h4=50;1?2782wx>lo<:181[4fi:168?6::9;3?x{e9;i;6<4;:087!?f;39mn6F69e9me22=:<:n7d:>d582>>o380n1=75f41;`>4<=4$84`>16?12B8ik5aa669606a3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty?=i:50;0xZ17c<27?>::53gg8yv271m0;6?uQ41;g?825?=08jk5rs52:g?6=:rT?<4m4=5040?2782wx9<:50;0xZ07334>9;947919~yg74nh0:694>:5y'=d5=;oh0D47k;oc40?42991bjn>51;9j05g32800e9>n0;39?j`a03;17ohi6;290?6=8r.2m>4;699'7c4=0<90(48l:c`2?M5bn2dj;94=5038m6`b2900e>hi:188m1672900c57?:188yv`d83:1>vPic19>bc0=;oo0q~:?a583>7}Y<9k?70hi6;1eb>{t<9k;6=4={_63e5=:no<18=>4}rdehm;I;:`>hf?=099<<4iga3>4<::`eb6<72=0;6=u+9`1903><,:l965:7;%;5g?de92B8ik5aa66960743`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3tymo=4?:3y]bf6<5ol86>hj;|q74d6=838pR9>n0:?eb6<4no1v9>n4;296~X38h>01kh<:523?xuan<0;6?uQfg789c`4213;7psm15`7>4<2280>w)7n3;1ef>N>1m1em::52437?l27i:0:66g;0`295?=n<9k96<44i52b5?7=3f8??44>::`131>=83?1<7>t$8c0>10?3-9m>76=3:&:2f<59::0D>ki;oc40?429<1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w16f;3:1>vP;0`189713039mi6s|41c3>5<5sW>;m=52266;>6`a3ty?74=357>82wvn?9:f;391?6=8r.2m>4;699'7c4=?j20(48l:3304>N4mo1em::52435?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl=74:95?3=83:p(4o<:54;?!5a:3=h46*66b81566<@:om7co84;0652=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb07g7?7==3;19v*6a280bg=O10n0bl9;:372<>o40l?1=75f39fa>4<6=4?{%;b7?2102.8j?47459'=3e=;:n>7E=jf:lb31<5=830e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5?m<0;6?uQ39g6?8518908jh5rs2:gf?6=:rT84il4=2434?5an2wx?5ji:181[5?lo16?;>?:523?xu40m31<7;4>9:18=?4}r1547<72;qU?;>=;<15450<627=8;39?l5>:00:66g<93c95?=h;m;>6<44b2f27?6==3:14$84`>65c=2B8ik5aa669607e3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q0=71=838pR>7=7:?0`45=;oo0q~=62983>7}Y;08370=k1280bc=z{:3957>52z\0=7?<5:n:?7:?0:p7<4f2909wS=62`9>7a742=::7p}>2.2:n4<3e78L6ca3gk<87<:1b9j7cc=831b?kh50;9j056=831d44>50;9~f42fl3;187?54z&:e6<4nk1C54j4n`57>736l2c?n0;39?j43;90:66l=74794?2=83:p(4o<:54;?!5a:32=;6*66b81566<@:om7co84;065`=n;oo1<75f3gd94?=n<9:1<75`88294?=z{=:j=7>52z\74d7<5;=>97=ie:p05g52909wS:?a39>62322:lm7p};0`294?4|V=:j<63=7479056:5y'=d5=;oh0D47k;oc40?429o1b?5>n:088m6>4i3;17d=74482>>i3k00:66l52z\0<5g<5:kn97=ie:p7=5f2909wS=73`9>7dc22:lm7p}<85794?4|V:2?963h57>52z\7g<=:;ho>657?;|a57?>280?6<4;{%;b7?5aj2B25i5aa66960463`93?l4>::k0<5g=931b?5:::088k1db2800n>ol7;290?6=8r.2m>4;699'7c4=0:90(48l:2;:b>N4mo1em::52401?l5am3:17d=if;29?l2783:17b660;29?xu40:k1<7;4ij=1?kk4}r1;4d<72;qU?5>n;<1bg2<4no1v>6;5;296~X40=?01>ol7;634>{t035=5r}c31=`<62=0:69u+9`197cd<@03o7co84;0666=n;1:j6<44i2:71?7=3`93?l4>::m7`1<622h8mol50;694?6|,0k86987;%1e6?>1?2.2:n4<98d8L6ca3gk<87<:259j7cc=831b?kh50;9j056=831d44>50;9~w6>7i3:1>vP<81c896gej39mi6s|3966>5<5sW9388523``a>6`a3ty84>o50;0xZ6>4i278mol54128yv2c<3:1>vP;d59>7dde213;7psm360e>4<2290;w)7n3;65<>"4n;03:45+97a976b23A9nj6`n75811735<5<<,:l96:hk;%;5g?54l<1C?hh4n`57>735>2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7a6228086=4?{%;b7?2102.8j?47559'=3e=;:n>7E=jf:lb31<5=;=0e>hj:188m6`a2900c57?:188yg40<=0:684?:1y'=d5=h=:6;e?!?1k38:?=5G3dd8jd132;?946g>o4no0;66g;0183>>o3880;66a79183>>{e:>9n6<4::183!?f;3>=46*<=?;I1fb>hf?=099?74i2df>5<5<5<:483>5}#1h918;64$2d1>2?a3-3=o7<>319K7``:=n:086>5<7s-3j?7:98:&0b7<01o1/5;m52013?M5bn2dj;94=53`8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?;c`82>0<62>0:66g=67:95?=h:<2;6<44b374a?6==3:14$84`>77482B8ik5aa669604c3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q1233=838pR?895:?112c=;oo0q~<96783>7}Y:?<=70<:7d80bc=z{;<=;7>52z\1231<5;?601b2=::7p}=59294?4|V;?3<63=56g9<<6002.2:n4<98d8L6ca3gk<87<:2d9j7cc=831b?kh50;9l<<6=831vn<4n:088m6>4i3;17b;<7;39?g5fnk0;694?:1y'=d5=h=:950?!?1k3925k5G3dd8jd132;?8<6g>o4no0;66g;0183>>i?190;66s|3966>5<5sW9388523`da>6`b3ty84=o50;0xZ6>7i278mkl53gd8yv5?;h0;6?uQ391b?85fnk0?<=5rs414>5<5sW?8;632l2.2:n4<3e78L6ca3gk<87<:309j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>8jf;391?6=8r.2m>4;699'7c4=?on0(48l:21g1>N4mo1em::52411?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl>25;95?3=83:p(4o<:54;?!5a:32:<6*66b8af4=O;ll0bl9;:3707>o4nl0;66g>o3890;66g;0083>>i?190;66sm137a>4<2290;w)7n3;65<>"4n;03==5+97a9fg7<@:om7co84;0671=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb061o699<1=75f16d`>4<;?51;9a573a290>6=4?{%;b7?2102.8j?47229'=3e=jk;0D>ki;oc40?42;?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~w477>3:1>vP>11489442n39mi6s|16d`>5<5sW;6`a3ty:==950;0xZ477?27:>8h54128yv76800;6?uQ102:?875=o0?<<5rs0055?6=:rT:>;?4=006b?>>82wvn<:=9;391?7==r.2m>4>:088m477?3;17d?>0882>>i6:?<1=75m1347>5<2290;w)7n3;65<>"4n;03>>5+97a9fg7<@:om7co84;067==n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs0332?6=:rT:==84=0050?5am2wx=5>>:181[7?8816=?8;:2de?xu699=1<7;6:?>18=>4}r324<<72;qU=<>6;<3121<3881v<<96;296~X6:?<01<<94;::4>{zj88=h7?55;294~">i:0?:55+3g0932?<,0;I1fb>hf?=099>74i2df>5<5<5<9n4>:482>0}#1h91?kl4H8;g?kg0<38>?l5f2b67>4<51;9l6a>62800n?j72;291?6=8r.2m>4;699'7c4=0;?0(48l:3`11>N4mo1em::5241a?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}=c5694?4|V;i?863=d9097ccvP;0`3897b?:3>;<6s|41c3>5<5sW>;m=522e:1>1663ty9h5?50;0xZ7b?9279h5<58828yxd4m891=7;50;2x ">>j08?i;4H2ge?kg0<38>?n5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:o9?7?55;294~">i:0?:55+3g09<50<,0
    =k5:J0ac=ii>>1>8=k;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th::4h51;795?3|,0k86>hm;I;:`>hf?=099>k4i2;17?7=3`92<>4>::k0=7g=931b?5>j:088k6c5k3;17o=j2`83>0<729q/5l=547:8 6`52>397)79c;10`0=O;ll0bl9;:370b>o4nl0;66g>o3890;66g;0083>>i?190;66s|3800>5<5sW92>>523d0b>6`b3ty85==50;0xZ6?7;278i?o53gd8yv5>:h0;6?uQ380b?85b:h0?<=5rs2:3a?6=:rT84=k4=2g1e?2792wx?h=46*j:;I1fb>hf?=0999>4i2df>5<5<5<:483>5}#1h918;64$2d1>2e73-3=o7=5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900qo=i0782>0<729q/5l=547:8 6`52>n=7)79c;10`0=O;ll0bl9;:3777>o4nl0;66g>o3890;66g;0083>>i?190;66sm3g75>4<2290;w)7n3;65<>"4n;05<5<<,:l965?n;%;5g?54l<1C?hh4n`57>733=2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7c2b280>6=4?{%;b7?2102.8j?48d79'=3e=;:n>7E=jf:lb31<5==<0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg51m=0:684?:1y'=d5=h=:6a3?!?1k398h85G3dd8jd132;??;6g>o4no0;66g;0183>>o3880;66a79183>>{e;?nn6<4::183!?f;3>=46*j:;I1fb>hf?=099964i2df>5<5<5<:483>5}#1h918;64$2d1>2e73-3=o7=5<7s-3j?7:98:&0b7<0k11/5;m532f6?M5bn2dj;94=55c8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo=9cb82>0<729q/5l=547:8 6`52>i;7)79c;10`0=O;ll0bl9;:377f>o4nl0;66g>o3890;66g;0083>>i?190;66sm37a5>4<2290;w)7n3;65<>"4n;05<5<<,:l96:m?;%;5g?54l<1C?hh4n`57>733l2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a73df280>6=4?{%;b7?2102.8j?48c19'=3e=;:n>7E=jf:lb31<5==o0e>hj:188m6`a2900e9>?:188m1662900c57?:188yg73il0:684>:4y'=d5=;oh0D47k;oc40?429ki:088m701:3;17d<:2682>>o5=;21=75`2512>4<55;294~">i:0?:55+3g09<66<,0>1>8;?;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty98hh50;0xZ72bn2799=953gg8yv41>;0;6?uQ2741?8428>08jk5rs3713?6=:rT99?94=3733?2782wx>8<7:181[42:116>8>8:522?xu5<:;1<7;5=9=144>4}|`0b24=93?1<7>t$8c0>10?3-9m>79l0:&:2f<4;m?0D>ki;oc40?42=81b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6`003;197>50z&:e6<3>11/?k<580c8 <0d2:9o96F">>j08?i;4H2ge?kg0<38>9>5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:l3i7?55;294~">i:0?:55+3g093f6<,0
    =k5:J0ac=ii>>1>8;;;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8j4:51;794?6|,0k86987;%1e6?>6i2.2:n4<3e78L6ca3gk<87<:549j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>h76;391?6=8r.2m>4;699'7c4=?m<0(48l:21g1>N4mo1em::52475?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl4$84`>65c=2B8ik5aa669603?3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0ba5=93?1<7>t$8c0>10?3-9m>79l8:&:2f<4;m?0D>ki;oc40?42=01b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f44b=3;187?54z&:e6<4nk1C54j4n`57>732i2c<:;4>::k0g63=931b?n=9:088k0g22800n>8ne;290?6=8r.2m>4;699'7c4=0:90(48l:21g1>N4mo1em::5247a?l5am3:17d=if;29?l2783:17b660;29?xu0>?0;6?uQ7748960fm39mi6s|3b16>5<5sW9h?85237cf>6`a3ty8o>850;0xZ6e4>278:lk54128yv3f=3:1>vP:a49>73gb213;7psm13a1>4<3280?w)7n3;1ef>N>1m1em::5247`?l11>3;17d=l3782>>o4k:?1=75`53395?=e;?k86=4;:183!?f;3>=46*j:;I1fb>hf?=0998j4i2df>5<5<6`b3ty8o>850;0xZ6e4>278:l=53gd8yv5d;<0;6?uQ3b16?851i:0?<=5rs402>5<5sW?9=63<6`19<<6hm;I;:`>hf?=0998k4i2;:5?7=3`9h?=4>::k64<<622e8:5=51;9a73>6290?6=4?{%;b7?2102.8j?47739'=3e=;:n>7E=jf:lb31<5=hj:188m6`a2900e9>?:188k=?72900q~=69083>7}Y;03:70=98080b`=z{:i8<7>52z\0g66<5:<3=7=if:p15?=838pR8>6;<15<4<3891v>873;296~X4>1901>871;::4>{zj8?o47?54;390~">i:08jo5G98f8jd132;?=<6g:4282>>o4k:91=75f38;2>4<<,:l965<6;%;5g?54l<1C?hh4n`57>73192c8jh4?::k0bc<722c?<=4?::m;=5<722wx99=50;0xZ024349=;l4vP5<5sW925<52375b>1673ty8::m50;0xZ600k278::o58828yxd6>9:1=7:51;6x m<1;39?l5d;90:66g2o6<44b25;f?6=<3:1=4$84`>65c=2B8ik5aa66960043`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3ty8o>?50;0xZ6e49278;5l53gg8yv5d;90;6?uQ3b13?8500k08jk5rs2a06?6=:rT8o><4=25;f?2782wx?:6k:181[500m16?:6m:9;3?x{e9=h:6<4::086!?f;39mn6F69e9me22=:<>o5=;21=75f27`a>4<492.2:n4=1228L6ca3gk<87<:649j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v?:jf;296~X5{t:<836=4={_066==::<:j6>hi;|q12gd=838pR?8mb:?115g=<9:0q~<:2683>7}Y:<8<70<:0`8744=z{;>897>52z\1063<5;?;m7660:~f42a03;197?55z&:e6<4nk1C54j4n`57>731>2c9:4851;9j63?02800e?868;39?l41100:66a=73695?=e:>896=4::183!?f;3>=46*<=?;I1fb>hf?=099;94i2df>5<5<5<?4?3:1>vP=68589715:39mj6s|27;;>5<5sW8=55522601>1673ty9:4750;0xZ70>1279;?<54138yv40:=0;6?uQ2607?840:;035=5r}c37b1<62<0:68u+9`197cd<@03o7co84;062==n:?2=6<44i34;3?7=3`8=454>::k12=?=931d>:?>:088f717n3:197>50z&:e6<3>11/?k<58628 <0d2;;8<6F1<1<7;5?9l1?kk4}r05<2<72;qU>;68;<044c<4no1v?878;296~X5>1201?9?f;634>{t:?226=4={_05<<=::>:m69>>;|q1347=838pR?9>1:?135`=00:0qpl>4g295?3=93?p(4o<:2da?M?>l2dj;94=57c8m70293;17d<95382>>o5><91=75f2777>4<<,:l9659?;%;5g?46;91C?hh4n`57>731j2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p63362909wS<9509>63`d2:ln7p}=64094?4|V;<>>63=6ga97c`4?:3y]6334348=jn4;019~w702<3:1>vP=6468970ak3>;=6s|27df>5<5sW8=jh5227d`>=?73twi=?j9:087>4<3s-3j?7=ib:J:=a=ii>>1>88l;h1`75<622c8o><51;9j7f542800c8:i:088f610;3:187>50z&:e6<3>11/?k<58218 <0d2:9o96F>83:17p}4vP;<6s|55d94?4|V<>m70=8728;=5=zuk;=;=4>:482>0}#1h91?kl4H8;g?kg0<38>:h5f393a>4<j:3;291?6=8r.2m>4;699'7c4=?090(48l:21g1>N4mo1em::5244e?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}<80`94?4|V:2:n63;4?:3y]7<41349o9>4693:1>vP<803896b2;3>;<6s|3806>5<5sW92>8523e70>1663ty8h8;50;0xZ6b2=278h8=58828yxd4l==1=7;50;2x ">>j08?i;4H2ge?kg0<38>;=5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj8<<:7?55;391~">i:08jo5G98f8jd132;?<=6g<80;95?=n;08=6<44i2:26?7=3`92>84>::m0`27=931i?i8i:186>5<7s-3j?7:98:&0b7<01:1/5;m532f6?M5bn2dj;94=5608m6`b2900e>hi:188m1672900e9>>:188k=?72900q~=71883>7}Y;1;270=k6g80b`=z{:39:7>52z\0=70<5:n=j7=if:p7=752909wS=7139>7a0a2=:;7p}<93794?4|V:39963>o41;=1=75f42ae>4<h7?5;c1g1g<72<0;6=u+9`1903><,:l965:;;%;5g?54l<1C?hh4n`57>730<2c8jh4?::k0bc<72A9h465f41294?=n<9;1<75`88294?=zj:n>i7>53;294~">i:0?:55+3g09<60<@:om7co84;0630=n;oo1<75f3gd94?=h00:1<75rs2f5a?6=:rT8h;k4=2f6f?5am2wx?i9>:181[5c?816?i;m:2de?xu4l>91<7;4lj:e;1eb>{t;m?o6=4={_1g1a=:;m?i657?;|q0`0c=838p1>j:b;634>;4l4}|`0`32=93?1<7>t$8c0>10?3-9m>76>a:&:2f<4;m?0D>ki;oc40?42??1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6b0l3;197>50z&:e6<3>11/?k<57e38 <0d2:9o96F">>j08?i;4H2ge?kg0<38>;55f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:nj:7?55;294~">i:0?:55+3g093a7<,0
    =k5:J0ac=ii>>1>896;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8hoh51;794?6|,0k86987;%1e6?>6i2.2:n4<3e78L6ca3gk<87<:7`9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>jk0;391?6=8r.2m>4;699'7c4=?m;0(48l:21g1>N4mo1em::5245a?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17plo4k:81=75f3b12>4<5}#1h918;64$2d1>=143-3=o7=763f95?3=93?p(4o<:2da?M?>l2dj;94=56d8m6e4<3;17d=l3682>>o4k:<1=75f3b16>4<<,:l965=>;%;5g?54l<1C?hh4n`57>73?82c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7f532909wS=l359>7vP:3>;=6s|38;2>5<5sW925<5238;1>=?73twi?ihj:086>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900qo=j3082>0<729q/5l=547:8 6`52>n:7)79c;10`0=O;ll0bl9;:37;6>o4nl0;66g>o3890;66g;0083>>i?190;66sm39`4>4<3290;w)7n3;65<>"4n;03<>5+97a976b23A9nj6`n75811=55<5<:483>5}#1h918;64$2d1>2b63-3=o7=5<7s-3j?7:98:&0b7hi:188m1672900c57?:188yg5b=o0:684?:1y'=d5=h=:93b?!?1k398h85G3dd8jd132;?3:6g>o4no0;66g;0183>>o3880;66a79183>>{e;1h96<4;:183!?f;3>=46*j:;I1fb>hf?=099594i2df>5<5<<,:l96:j>;%;5g?54l<1C?hh4n`57>73?02c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::a7`>2280>6=4?{%;b7?2102.8j?48d09'=3e=;:n>7E=jf:lb31<5=130e>hj:188m6`a2900e9>?:188m1662900c57?:188yg5?io0:694?:1y'=d5=h=:920?!?1k398h85G3dd8jd132;?3m6g>o4no0;66g;0183>>i?190;66sm3d;b>4<2290;w)7n3;65<>"4n;05<5<<,:l965;;;%;5g?54l<1C?hh4n`57>73?k2c8jh4?::k0bc<722e35=4?::a5371280>6<4:{%;b7?5aj2B25i5aa66960>c3`93:<4>::k0<0`=931b9h<51;9j7=072800c>6:e;39?g5?>?0;684?:1y'=d5=h=:967?!?1k398h85G3dd8jd132;?3i6g>o4no0;66g;0183>>o3880;66a79183>>{t;1<:6=4={_1;24=:;1<=6>hj;|q0<0`=838pR>6:f:?0<30=;ol0q~;j2;296~X2m;16?589:523?xu40?:1<7;40?<18=?4}r1;1`<72;qU?5;j;<1;231<729q/5l=547:8 6`521:87)79c;10`0=O;ll0bl9;:37;b>o4nl0;66g>o3890;66a79183>>{e;>k96<4<:183!?f;3>=46*j:;I1fb>hf?=0994>4i2df>5<5<n4>:482>0}#1h91?kl4H8;g?kg0<38>5<5f3b17>4<<51;9l7<>b2800n>77f;291?6=8r.2m>4;699'7c4=0:;0(48l:21g1>N4mo1em::524;1?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17p}vP;<6s|3b11>5<5sW9h??5238:e>1663ty855k50;0xZ6??m27855h58828yxd40hh1=7:50;2x ">>j08?i;4H2ge?kg0<38>5>5f3gg94?=n;ol1<75f41294?=h00:1<75rb2g`g?7==3:165c=2B8ik5aa66960?33`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0af5=93?1<7>t$8c0>10?3-9m>79k1:&:2f<4;m?0D>ki;oc40?421<1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6>f13;187>50z&:e6<3>11/?k<58118 <0d2:9o96F>83:17pl65c=2B8ik5aa66960??3`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th8ihm51;794?6|,0k86987;%1e6?1c92.2:n4<3e78L6ca3gk<87<:989j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>6n5;390?6=8r.2m>4;699'7c4=0990(48l:21g1>N4mo1em::524;b?l5am3:17d=if;29?l2783:17b660;29?xd4n8n1=7;50;2x ">>j08?i;4H2ge?kg0<38>5o5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:2j?7?54;294~">i:0?:55+3g09<55<,0
    =k5:J0ac=ii>>1>87l;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`0b63=93?1<7>t$8c0>10?3-9m>79k1:&:2f<4;m?0D>ki;oc40?421m1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6>f83;187>50z&:e6<3>11/?k<58118 <0d2:9o96F>83:17pl<88g95?2=83:p(4o<:54;?!5a:32;?6*66b807a3<@:om7co84;06=c=n;oo1<75f3gd94?=n<9:1<75`88294?=zj:22o7?54;294~">i:0?:55+3g09<55<,0
    =k5:J0ac=ii>>1>8o?;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`0<1<7>t$8c0>10?3-9m>76?3:&:2f<4;m?0D>ki;oc40?42i81b?kk50;9j7c`=831b8=>50;9l<<6=831vn>668;390?6=8r.2m>4;699'7c4=0990(48l:21g1>N4mo1em::524c1?l5am3:17d=if;29?l2783:17b660;29?xd400<1=7:50;2x ">>j08?i;4H2ge?kg0<38>m>5f3gg94?=n;ol1<75f41294?=h00:1<75rb2::0?7=<3:165c=2B8ik5aa66960g33`9mi7>5;h1eb?6=3`>;<7>5;n::4?6=3th844<51;694?6|,0k86987;%1e6?>7;2.2:n4<3e78L6ca3gk<87<:a49j7cc=831b?kh50;9j056=831d44>50;9~f6>>83;187>50z&:e6<3>11/?k<58118 <0d2:9o96F>83:17pl<89g95?2=83:p(4o<:54;?!5a:32;?6*66b807a3<@:om7co84;06e2=n;oo1<75f3gd94?=n<9:1<75`88294?=zj:2h?7?54;294~">i:0?:55+3g09<55<,0
    =k5:J0ac=ii>>1>8o7;h1ea?6=3`9mj7>5;h634?6=3f22<7>5;|`01<7>t$8c0>10?3-9m>76?3:&:2f<4;m?0D>ki;oc40?42i01b?kk50;9j7c`=831b8=>50;9l<<6=831vn>6mf;390?6=8r.2m>4;699'7c4=0990(48l:21g1>N4mo1em::524cb?l5am3:17d=if;29?l2783:17b660;29?xd40kn1=7:50;2x ">>j08?i;4H2ge?kg0<38>mo5f3gg94?=n;ol1<75f41294?=h00:1<75rb256e?7==3:165c=2B8ik5aa66960gd3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0<=e=93>1<7>t$8c0>10?3-9m>76?3:&:2f<4;m?0D>ki;oc40?42im1b?kk50;9j7c`=831b8=>50;9l<<6=831vn>j?9;391?6=8r.2m>4;699'7c4=?j:0(48l:21g1>N4mo1em::524cf?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa66960d73`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`235?=93?1=7;t$8c0>6`e3A32h6`n75811g788:4>::k6`4<622e8jo=51;9a7cd6290>6=4?{%;b7?2102.8j?47429'=3e=;:n>7E=jf:lb31<5=k80e>hj:188m6`a2900e9>?:188m1662900c57?:188yv0?l3:1>vP98e9>7cd62:ln7p}88:4?:3y]0620349mn<4;019~w0b62909wS;k1:?0bg7=<9;0q~=ib283>7}Y;oh870=ib08;=5=zuk;<==4>:482>0}#1h91?kl4H8;g?kg0<38>n>5f69g95?=n;oi>6<44i5173;2.2:n4<3e78L6ca3gk<87<:b59j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v;6j:181[0?m278ji853gg8yv5ak<0;6?uQ3ga6?85al?08jk5rs517vP322<6srb0104?7=<3;18v*6a280bg=O10n0bl9;:37a1>o38jl1=75f41af>4<;h94?:583>5}#1h918;64$2d1>=203-3=o7:?bg9K7``05b32:ln7p};0bg94?4|V=:hi63;0e697c`;h;4?:3y]05b134>;h94;019~w3>a2909wS87f:?74a2=00:0qpl>6e`95?3=93?p(4o<:2da?M?>l2dj;94=5c58m6`603;17d=i1882>>o41;=1=75f42g1>4<<,:l965:<;%;5g?54l<1C?hh4n`57>73e02c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7c7?2909wS=i199>7c662:ln7p}:4?:3y]7<40349m<<4;019~w15b:3:1>vP;3d0896`793>;=6s|3g20>5<5sW9m<>523g22>=?73twi=;ki:086>4<2s-3j?7=ib:J:=a=ii>>1>8l6;h1e25<622c8j;?51;9j7<402800e9=j5;39?j5a4=2d7=?5am2wx?k8>:181[5a>816?k:6:2de?xu41;=1<7;4n=318=>4}r60a0<72;qU8>k:;<1e0<<3881v>h;b;296~X4n=h01>h;9;::4>{zj8i:08jo5G98f8jd132;?in6g8i;4>::m0b31=931i?k8::186>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900q~=i7b83>7}Y;o=h70=i6480b`=z{:l52z\0b2b<5:l=97=if:p7<402909wS=6269>7c022=:;7p};3d494?4|V=9n:63:4y'=d5=;oh0D47k;oc40?42jm1b?k77:088m6`>13;17d=62682>>o3;l=1=75`3g:0>4<55;294~">i:0?:55+3g09<15<,0
    =k5:J0ac=ii>>1>8lj;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty8j4650;0xZ6`>0278j5?53gg8yv5a100;6?uQ3g;:?85a0808jk5rs2;13?6=:rT85?94=2d;5?2782wx8>k8:181[24m>16?k6>:522?xu4n191<7;4n1;144>4}|`21ce=93>1=7:t$8c0>6`e3A32h6`n75811g`;51;9l72052800n>990;290?6=8r.2m>4;699'7c4=0=80(48l:21g1>N4mo1em::524a3?l5am3:17d=if;29?l2783:17b660;29?xu4??21<7;4??:1?kk4}r1`73<72;qU?n=9;<1425<4no1v>m<5;296~X4k:?01>990;634>{t;><96=4={_1427=:;><;657?;|a50bb280>6<4:{%;b7?5aj2B25i5aa66960e63`==:7?5;h1`75<622c8o>851;9j7f522800c>8n7;39?g51i<0;684?:1y'=d5=h=:90f?!?1k398h85G3dd8jd132;?h>6g>o4no0;66g;0183>>o3880;66a79183>>{t??<1<7>4=24b1?5an2wx?n=9:181[5d;?16?;o::523?xu4k:?1<7;4>h?18=?4}r15e2<72;qU?;o8;<15e00<62>i4>1n1=75m37:a>5<2290;w)7n3;65<>"4n;03>h5+97a976b23A9nj6`n75811f25<5<6`b3ty8o>850;0xZ6e4>278:5l53gd8yv5d;<0;6?uQ3b16?8510k0?<=5rs2a00?6=:rT8o>:4=24;f?2792wx?;6k:181[510m16?;6m:9;3?x{e9;io6<4::086!?f;39mn6F69e9me22=:7d=7d282>>o40?i1=75f36df>4<87?5;n775?7=3k9=n54?:483>5}#1h918;64$2d1>=0b3-3=o7=;4>k21?kh4}r14b`<72;qU?:hj;<15f=<3891v>8:4;296~X4><>01>8m8;635>{t==;1<7<,:l96573d?2c8jh4?::k0bc<722c?<=4?::m;=5<722wi?;l>:087>5<7s-3j?7:98:&0b7hi:188m1672900c57?:188yg51??0:694?:1y'=d5=h=:90g?!?1k398h85G3dd8jd132;?h56g>o4no0;66g;0183>>i?190;66sm3751>4<3290;w)7n3;65<>"4n;03>i5+97a976b23A9nj6`n75811fg5<5<4>:583>5}#1h918;64$2d1>=4c3-3=o7=6<4:{%;b7?5aj2B25i5aa66960ed3`==:7?5;h1`71<622c8o>851;9j7f522800c>961;39?g500o0;684?:1y'=d5=h=:913?!?1k398h85G3dd8jd132;?hh6g>o4no0;66g;0183>>o3880;66a79183>>{t??<1<7:4=25;b?5an2wx?n=9:181[5d;?16?:6i:523?xu4k:?1<7;4?1l18=?4}r14=4<72;qU?:7>;<140<62:088m6e4>3;17d=l3482>>i2l?0:66l<76794?3=83:p(4o<:54;?!5a:328=6*66b807a3<@:om7co84;06gc=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rs645>5<5sW==:63<76797cc3:1>vP;<6s|3b16>5<5sW9h?8523656>1663ty>h;4?:3y]1a0<5:=<97660:~f44bm3;187?54z&:e6<4nk1C54j4n`57>73c82c84?k51;9j7=2?2800e>6=f;39?j3c13;17o=nd783>1<729q/5l=547:8 6`521<<7)79c;1:=c=O;ll0bl9;:37g5>o4nl0;66g>o3890;66a79183>>{t;18n6=4={_1;6`=:;hn=6>hj;|q0<1>=838pR>6;8:?0ea0=;ol0q~=72g83>7}Y;18m70=nd78745=z{;4im<144>4}|`26c3=93>1=7:t$8c0>6`e3A32h6`n75811a4=931i?lmj:187>5<7s-3j?7:98:&0b7>1/5;m538;e?M5bn2dj;94=5e18m6`b2900e>hi:188m1672900c57?:188yv5?<10;6?uQ396;?85fkl08jh5rs2:1a?6=:rT84?k4=2c`a?5an2wx?5o40;l1=75f396;>4<4?:583>5}#1h918;64$2d1>=543-3=o7=69g9K7``7de42:ln7p}<85:94?4|V:2?463h4?:3y]7=4b349jo>4;019~w0bd2909wS;kc:?0ef5=00:0qpl>2g395?2=93>p(4o<:2da?M?>l2dj;94=5e48m6>303;17d=72g82>>o40;o1=75`5ef95?=e;hhn6=4;:183!?f;3>=46*hf?=099i94i2df>5<5<6=f;296~X40;l01>ome;1eb>{t;18n6=4={_1;6`=:;hhn69>?;|q6`a<72;qU9ij4=2caa?>>82wvn<45n3;17b;jc;39?g5ai<0;694?:1y'=d5=h=:944?!?1k398h85G3dd8jd132;?o56g>o4no0;66g;0183>>i?190;66s|390f>5<5sW93>h523gc6>6`b3ty8;kl50;0xZ61aj278jl;53gd8yv5?:o0;6?uQ390e?85ai<0?<=5rs4g`>5<5sW?no63k951;695?2|,0k86>hm;I;:`>hf?=099io4i25ef?7=3`93>k4>::k0<7c=931d9hl51;9a7cg6290?6=4?{%;b7?2102.8j?47329'=3e=;:n>7E=jf:lb31<5=mh0e>hj:188m6`a2900e9>?:188k=?72900q~=8fc83>7}Y;>li70=ia080b`=z{:29j7>52z\0<7`<5:lj=7=if:p7=4b2909wS=72d9>7cg62=:;7p}:ec83>7}Y=lh01>hn1;::4>{zj88mi7?55;391~">i:08jo5G98f8jd132;?oo6g<93f95?=n;0::6<44i25ff?7=3`92?84>::m552<622h8j4h50;794?6|,0k86987;%1e6?>5:2.2:n4<3e78L6ca3gk<87<:de9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831v>7=d;296~X41;n01>h6f;1ea>{t;0::6=4={_1:44=:;o3m6>hi;|q03`d=838pR>9jb:?0b<`=<9:0q~=63483>7}Y;09>70=i9g8744=z{?;<6=4={_423>;4n0l144>4}|`0a71=93?1<7>t$8c0>10?3-9m>7987:&:2f<4;m?0D>ki;oc40?42ll1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6`a93;197>50z&:e6<3>11/?k<57e48 <0d2:9o96F">>j08?i;4H2ge?kg0<38>i=5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:n887?55;294~">i:0?:55+3g093a0<,0
    =k5:J0ac=ii>>1>8k>;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8h9m51;794?6|,0k86987;%1e6?1c>2.2:n4<3e78L6ca3gk<87<:e39j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>j98;391?6=8r.2m>4;699'7c4=?m<0(48l:21g1>N4mo1em::524g0?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa66960c23`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0`dc=93?1<7>t$8c0>10?3-9m>79k6:&:2f<4;m?0D>ki;oc40?42m?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6bd;3;197>50z&:e6<3>11/?k<57e48 <0d2:9o96F">>j08?i;4H2ge?kg0<38>i55f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:nnh7?55;294~">i:0?:55+3g093a0<,0
    =k5:J0ac=ii>>1>8k6;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8i=<51;794?6|,0k86987;%1e6?1c>2.2:n4<3e78L6ca3gk<87<:e`9j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>k<9;391?6=8r.2m>4;699'7c4=?m<0(48l:21g1>N4mo1em::524ga?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa66960cc3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0a2>=93?1<7>t$8c0>10?3-9m>79k6:&:2f<4;m?0D>ki;oc40?42ml1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6c?l3;197>50z&:e6<3>11/?k<57e48 <0d2:9o96F">>j08?i;4H2ge?kg0<38>j=5f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:oi;7?55;294~">i:0?:55+3g093a0<,0
    =k5:J0ac=ii>>1>8h>;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th8iih51;794?6|,0k86987;%1e6?1c>2.2:n4<3e78L6ca3gk<87<:f39j7cc=831b?kh50;9j056=831b8=?50;9l<<6=831vn>ki4;391?6=8r.2m>4;699'7c4=?m<0(48l:21g1>N4mo1em::524d0?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl65c=2B8ik5aa66960`23`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`745?=93?1<7>t$8c0>10?3-9m>79k6:&:2f<4;m?0D>ki;oc40?42n?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f6`bk3;197>50z&:e6<3>11/?k<57e48 <0d2:9o96F">>j08?i;4H2ge?kg0<38>j55f3gg94?=n;ol1<75f41294?=n<9;1<75`88294?=zj:ljn7?55;294~">i:0?:55+3g093a0<,0
    =k5:J0ac=ii>>1>8h6;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3th:8i651;695?2|,0k86>hm;I;:`>hf?=099ko4i37`g?7=3`8=m44>::k11f4=931d>8mi:088f73dl3:187>50z&:e6<3>11/?k<58278 <0d2;;8<6F>83:17p}=5ba94?4|V;?ho63=5bf97cc348>oi4vP=5b08973dl3>;<6s|24ae>5<5sW8>ok5224ag>=?73twi?i5<7s-3j?7:98:&0b7<0l?1/5;m532f6?M5bn2dj;94=5ga8m6`b2900e>hi:188m1672900e9>>:188k=?72900qo?:5682>0<62n6;39?l25>10:66g;27f95?=h:j>?6<44b3f:5?6==3:17d5=2B8ik5aa66960`b3`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|q1e<`=838pR?o6f:?1`<7=;oo0q~:?a783>7}Y<9k=7052z\763><5;n2=7:?0:p070c2909wS:=6e9>6a?62=::7p}=c5694?4|V;i?863=d839<<69?e;391?6=8r.2m>4;699'7c4=?j20(48l:21g1>N4mo1em::52723?l5am3:17d=if;29?l2783:17d:?1;29?j>>83:17pl<71495?3=83:p(4o<:54;?!5a:3=h46*66b807a3<@:om7co84;0544=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb24ea?7==3:165c=2B8ik5aa66963653`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`2763=93?1=7;t$8c0>6`e3A32h6`n7581255::k0=65=931d:h:51;9a7a6d290>6=4?{%;b7?2102.8j?48fd9'=3e=;:n>7E=jf:lb31<5>9>0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5c8o0;6?uQ3e2e?85c8j08jh5rs61a>5<5sW=8n63vP<921896b7k3>;=6s|6d694?4|V?o?70=k0b8;=5=zuk;<=84>:482>0}#1h91?kl4H8;g?kg0<38=<85f3gg0>4<::m0b`1=931i?kk::186>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900q~=ie283>7}Y;oo870=ie480b`=z{?;<6=4={_423>;4nl?1?kh4}r14b=<72;qU?:h7;<1ea0<3891v>6;c;296~X40=i01>hj5;635>{t;oo<6=4={_1ea2=:;oo>657?;|a527d280>6<4:{%;b7?5aj2B25i5aa66963603`9mjh4>::k552<622c8;k651;9j7=2c2800c9>?2;39?g27890;684?:1y'=d5=h=:960?!?1k398h85G3dd8jd132;<;46g>o4no0;66g;0183>>o3880;66a79183>>{t;oln6=4={_1eb`=:<9:;6>hj;|q552<72;qU:<94=5234?5an2wx?:h7:181[50n1168=>?:523?xu40=n1<7;389:18=?4}r6347<72;qU8=>=;<63450<62>i4l;k1=75m3e0;>5<2290;w)7n3;65<>"4n;038>5+97a976b23A9nj6`n758125g5<5<>4=2f1>o19>0:66g<7g:95?=n;1996<44o2f55?7=3k9o9k4?:483>5}#1h918;64$2d1>=243-3=o7=0;6?uQ605896b2n39mj6s|36d;>5<5sW91673ty84><50;0xZ6>4:278h8h54138yv5c>80;6?uQ3e42?85c=o035=5r}c353d<62<0:68u+9`197cd<@03o7co84;054a=n;m=>6<44i734>4<290>6=4?{%;b7?2102.8j?47429'=3e=;:n>7E=jf:lb31<5>9o0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5c?<0;6?uQ3e56?85c?008jh5rs734>5<5sW<:;634;3:1>vP<821896b013>;=6s|3e5a>5<5sW9o;o523e5:>=?73twi=;9i:086>4<2s-3j?7=ib:J:=a=ii>>1>;>i;h1g::k03c>=931b?5=;:088k6b>>3;17o=k9583>0<729q/5l=547:8 6`521>87)79c;10`0=O;ll0bl9;:3424>o4nl0;66g>o3890;66g;0083>>i?190;66s|3e:e>5<5sW9o4k523e;7>6`b3ty==:4?:3y]241<5:n287=if:p72`?2909wS=8f99>7a?32=:;7p}<82694?4|V:28863:4y'=d5=;oh0D47k;oc40?41981b?io;:088m3702800e>9i8;39?l5?;<0:66a=46*j:;I1fb>hf?=09:<<4i2df>5<5<5<7:?0`d?=;ol0q~=8f983>7}Y;>l370=ka88745=z{:2897>52z\0<63<5:nj57:?1:p7age2909wS=kac9>7ag>213;7psm17::>4<2280>w)7n3;1ef>N>1m1em::52730?l5cj00:66g91682>>o4?o21=75f3915>4<<,:l965:<;%;5g?54l<1C?hh4n`57>706<2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7ad>2909wS=kb89>7add2:ln7p}91683>7}Y>8=01>jmc;1eb>{t;>l36=4={_14b==:;mhh69>?;|q0<60=838pR>6<6:?0`ge=<9;0q~=kbd83>7}Y;mhn70=kbb8;=5=zuk;=4i4>:482>0}#1h91?kl4H8;g?kg0<38==85f3eaf>4<::m0`a3=931i?ij<:186>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900q~=kcd83>7}Y;min70=kd280b`=z{?;<6=4={_423>;4lm91?kh4}r14b=<72;qU?:h7;<1g`6<3891v>6<7;296~X40:=01>jk3;635>{t;mn>6=4={_1g`0=:;mn8657?;|a53?5280>6<4:{%;b7?5aj2B25i5aa66963703`9oi>4>::k552<622c8;k651;9j7=5?2800c>jja;39?g5cm10;684?:1y'=d5=h=:960?!?1k398h85G3dd8jd132;<:46g>o4no0;66g;0183>>o3880;66a79183>>{t;mo86=4={_1ga6=:;mo36>hj;|q552<72;qU:<94=2ff;4ll218=?4}r1gad<72;qU?ikn;<1ga=0<62>i4lon1=75m3eda>5<2290;w)7n3;65<>"4n;038>5+97a976b23A9nj6`n758124g5<5<74=2fef?2792wx?ihk:181[5cnm16?ihm:9;3?x{e9?k96<4::086!?f;39mn6F69e9me22=:?;i7d=j2g82>>o19>0:66g<7g:95?=n;19i6<44o2g02?7=3k9n?94?:483>5}#1h918;64$2d1>=243-3=o7=c:k0b`<722c8jk4?::k745<722c?<<4?::m;=5<722wx?h0;6?uQ605896c4<39mj6s|36d;>5<5sW91673ty84>l50;0xZ6>4j278i>:54138yv5b;?0;6?uQ3d15?85b;=035=5r}c35e2<62<0:68u+9`197cd<@03o7co84;055a=n;l>?6<44i734>4<290>6=4?{%;b7?2102.8j?47429'=3e=;:n>7E=jf:lb31<5>8o0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5b<=0;6?uQ3d67?85b<008jh5rs734>5<5sW<:;634k3:1>vP<82a896c313>;=6s|3d6a>5<5sW9n8o523d6:>=?73twi=;ol:086>4<2s-3j?7=ib:J:=a=ii>>1>;?i;h1f1<<622c==:4>::k03c>=931b?5=k:088k6c2m3;17o=j5b83>0<729q/5l=547:8 6`521>87)79c;10`0=O;ll0bl9;:3414>o4nl0;66g>o3890;66g;0083>>i?190;66s|3d7:>5<5sW9n94523d7`>6`b3ty==:4?:3y]241<5:o>o7=if:p72`?2909wS=8f99>7`3d2=:;7p}<82f94?4|V:28h63:4y'=d5=;oh0D47k;oc40?41:81b?h8j:088m3702800e>9i8;39?l5?;l0:66a=46*j:;I1fb>hf?=09:?<4i2df>5<5<5<47:?0a25=;ol0q~=8f983>7}Y;>l370=j728745=z{:28i7>52z\0<6c<5:o7`14213;7psm17`;>4<2280>w)7n3;1ef>N>1m1em::52700?l5b0:0:66g91682>>o4?o21=75f391e>4<<,:l965:<;%;5g?54l<1C?hh4n`57>705<2c8jh4?::k0bc<722c?<=4?::k744<722e35=4?::p7`>42909wS=j829>7`>?2:ln7p}91683>7}Y>8=01>k78;1eb>{t;>l36=4={_14b==:;l2369>?;|q0<6`=838pR>6=<9;0q~=j8`83>7}Y;l2j70=j898;=5=zuk;=nh4>:482>0}#1h91?kl4H8;g?kg0<38=>85f3d;;>4<::m0a<`=931i?h7k:186>5<7s-3j?7:98:&0b7hi:188m1672900e9>>:188k=?72900q~=j9983>7}Y;l3370=j9e80b`=z{?;<6=4={_423>;4m0n1?kh4}r14b=<72;qU?:h7;<1f=a<3891v>6;0;296~X40=:01>k6d;635>{t;l3m6=4={_1f=c=:;l3o657?;|a53e3280>6<4:{%;b7?5aj2B25i5aa66963403`9nmi4>::k552<622c8;k651;9j7=262800c>km2;39?g5bj90;684?:1y'=d5=h=:960?!?1k398h85G3dd8jd132;<946g>o4no0;66g;0183>>o3880;66a79183>>{t;lko6=4={_1fea=:;lh;6>hj;|q552<72;qU:<94=2ga4?5an2wx?:h7:181[50n116?hl?:523?xu40=;1<7;4mk:18=?4}r1ff7<72;qU?hl=;<1ff50<62>i4mmi1=75m3dfb>5<2290;w)7n3;65<>"4n;038>5+97a976b23A9nj6`n758127g5<5<6<4::086!?f;39mn6F69e9me22=:?8i7d=je`82>>o19>0:66g<7g:95?=n;1>?6<44o2ge5?7=3k9nik4?:483>5}#1h918;64$2d1>=243-3=o7=0;6?uQ605896cbn39mj6s|36d;>5<5sW91673ty849:50;0xZ6>3<278ihh54138yv5bn80;6?uQ3dd2?85bmo035=5r}c35a6<62<0:68u+9`197cd<@03o7co84;056a=n;o;i6<44i734>4<6=4?{%;b7?2102.8j?47429'=3e=;:n>7E=jf:lb31<5>;o0e>hj:188m6`a2900e9>?:188m1662900c57?:188yv5a9k0;6?uQ3g3a?85a:908jh5rs734>5<5sW<:;63=4;019~w6>3>3:1>vP<854896`583>;=6s|3g01>5<5sW9m>?523g03>=?73twi=;k6:086>4<2s-3j?7=ib:J:=a=ii>>1>;::k03c>=931b?5:8:088k6`4<3;17o=i3383>0<729q/5l=547:8 6`521>87)79c;10`0=O;ll0bl9;:3404>o4nl0;66g>o3890;66g;0083>>i?190;66s|3g13>5<5sW9m?=523g11>6`b3ty==:4?:3y]241<5:l8>7=if:p72`?2909wS=8f99>7c552=:;7p}<85594?4|V:2?;63:4y'=d5=;oh0D47k;oc40?41;81b8=jn:088m17?j3;17d:?e382>>o39091=75`41f1>4<55;294~">i:0?:55+3g093<4<,0mf:J0ac=ii>>1>;==;h1ea?6=3`9mj7>5;h634?6=3`>;=7>5;n::4?6=3ty?53gg8yv260k0;6?uQ40:a?827l908jk5rs52f6?6=:rT?;38m:144>4}|`26c2=93>1=7:t$8c0>6`e3A32h6`n75812655<7s-3j?7:98:&0b7hi:188m1672900c57?:188yv5?<10;6?uQ396;?85fk008jh5rs2:1b?6=:rT84?h4=2c`=?5an2wx?50;6?uQ5d5896gd1322<6srb24e=?7==3:14$84`>65c=2B8ik5aa66963523`9mi7>5;h1eb?6=3`>;<7>5;h635?6=3f22<7>5;|`0bg3=93?1<7>t$8c0>10?3-9m>7987:&:2f<4;m?0D>ki;oc40?41;?1b?kk50;9j7c`=831b8=>50;9j057=831d44>50;9~f40d=3;197?55z&:e6<4nk1C54j4n`57>704?2c8ilh51;9j054d2800e>7=9;39?l5>;80:66a=46*j:;I1fb>hf?=09:>64i2df>5<5<5<vP;03a896ce<39mj6s|380:>5<5sW92>4523d`7>1673ty85>?50;0xZ6?49278io:54138yv5bj?0;6?uQ3d`5?85bj=035=5r}c3541<62<0:68u+9`197cd<@03o7co84;057<=n;>k?6<44i25b3?7=3`9h?:4>::k0=<>=931d?:om:088f61f13:197>50z&:e6<3>11/?k<57ca8 <0d2:9o96F1<7;4?h31?kk4}r14e2<72;qU?:o8;<14e<<4no1v>m<7;296~X4k:=01>9n9;634>{t;0336=4={_1:===:;>k269>>;|q03dd=838pR>9nb:?03d?=00:0qpl;1ec95?3=83:p(4o<:54;?!5a:3=h<6*66b874=?<@:om7co84;057g=n;oo1<75f3gd94?=n<9:1<75f41394?=h00:1<75rb306>4<6290;w)7n3;66a>"3=j0?;>5+44f9051<,=<865mi;I1fb>hf?=09:>m4o84a>5<i:0?9h5+44a9023<,=?o69>8;%657?>dm2B8ik5aa669635c3f3=n7>5;|`:20<6280;6=u+9`19<=`<,=?h699;;o1b1?>=?73->=?76n3:J0ac=ii>>1>;=j;h;50?6=3th2:;4>:083>5}#1h9188k4$57`>1133g9j9764$57g>1603->=?76m4:J0ac=ii>>1>;=i;n;5f?6=3th2:54>:083>5}#1h9145h4$57`>1133->>h7660:&72670382c2:94?::a=3?=93;1<7>t$8c0>=>a3->>o7:84:l0e0>82.?:>47b79K7``>h7660:&726703:2c2:94?::a=g4=93;1<7>t$8c0>76f3->>o7:84:l0e0>k2B8ik5aa66963243"2mo7>5;|`:f6<6280;6=u+9`1965g<,=?h699;;o1b1?>4$540>=e73A9nj6`n7581212<#1lh6=44}c;a0?7=93:1==i1?919=5+47194<6290;w)7n3;03e>"3=j0?;95a3`79<>h>>:0><6*;628;g0=O;ll0bl9;:3472>-?nj0;66sm9c495?7=83:p(4o<:32b?!22k3><86`N4mo1em::52764?.>ak3:17pl6b682>4<729q/5l=521c8 13d2==?7c=n5;:8j<042<:0(98<:9aa?M5bn2dj;94=65:8/=`d2900qo7m9;395?6=8r.2m>4=0`9'00e=<>>0b>o::99m=35==91/8;=58bf8L6ca3gk<87<9489(5<7s-3j?747a39K7``n;%66g?20<2d8m847;o;57?373->=?76n5:J0ac=ii>>1>;:m;*:eg?6=3th2nn4>:083>5}#1h91>=o4$57`>1133g9j9764n840>06<,=<865ok;I1fb>hf?=09:9m4+9d`>5<i:09655a971915=#:183!?f;38;m6*;5b8731=i;h?146`662864>"3>:03n?5G3dd8jd132;>{e1kl1=7?50;2x h4i<037c793;73?!21;32i;6Fm7&6ic;29?xd>k90:6<4?:1y'=d5=:9k0(9;l:557?k5f=320b48<:428 10421h27E=jf:lb31<5><:0'5hl:188yg?d93;1=7>50z&:e6<58h1/88m54668j6g2211e5;=5519'035=0ki0D>ki;oc40?41=81 4km50;9~f4:0:&726702:2!3jn4?::a=c5=93;1<7>t$8c0>=>a3->>o7:84:l0e0>82.?:>47cb9K7``n;%66g?20<2d8m847;o;57?373->=?76m3:J0ac=ii>>1>;;;;*:eg?6=3th2j84>:083>5}#1h91>=o4$57`>1133g9j9764n840>06<,=<865l?;I1fb>hf?=09:8;4+9d`>5<i:09655a971915=#:183!?f;38;m6*;5b8731=i;h?146`662864>"3>:03mn5G3dd8jd132;<>;6%7fb83>>{e1o21=7?50;2x h4i<037c793;73?!21;32j86Fnh0:6<4?:1y'=d5=:9k0(9;l:557?k5f=320b48<:428 10421k:7E=jf:lb31<5><30'5hl:188yg?aj3;1=7>50z&:e6478g9'00e=<>>0b>o::99m=35==91/88j58828 10421i<7E=jf:lb31<5>50z&:e6478g9'00e=<>>0b>o::99m=35==91/88j58828 10421i87E=jf:lb31<5>50z&:e6478g9'00e=<>>0b>o::99m=35==91/88j58828 10421hm7E=jf:lb31<5>50z&:e6478g9'00e=<>>0b>o::99m=35==91/88j58828 10421hj7E=jf:lb31<5>?;0e48;:188ygg7;3;1=7>50z&:e66;395?6=8r.2m>4=0`9'00e=<>>0b>o::99m=35==91/8;=58e08L6ca3gk<87<9629(5<7s-3j?747959K7``n;%66g?20<2d8m847;o;57?373->=?7665:J0ac=ii>>1>;8:;*:eg?6=3thj=44>:083>5}#1h91>=o4$57`>1133g9j9764n840>06<,=<86579;I1fb>hf?=09:;84+9d`>5<i:09655a971915=#:183!?f;38;m6*;5b8731=i;h?146`662864>"3>:035l5G3dd8jd132;<=46%7fb83>>{ei8n1=7?50;2x h4i<037c793;73?!21;322n6F?k0'5hl:188ygg6n3;1=7>50z&:e6<58h1/88m54668j6g2211e5;=5519'035=0m>0D>ki;oc40?41>k1 4km50;9~fd47280:6=4?{%;b7?47i2.?9n4;759m7d3=02d2:>4:0:&726701k2!3jn4?::ae77=93;1<7>t$8c0>76f3->>o7:84:l0e0c>2B8ik5aa669630c3"2mo7>5;|`b67<6280;6=u+9`1965g<,=?h699;;o1b1?>4$540>=?b3A9nj6`n758123c<#1lh6=44}cc17?7=93:1==i1?919=5+47194<6290;w)7n3;03e>"3=j0?;95a3`79<>h>>:0><6*;628;f4=O;ll0bl9;:3444>-?nj0;66sma3795?7=83:p(4o<:32b?!22k3><86`N4mo1em::52752?.>ak3:17pln2782>4<729q/5l=521c8 13d2==?7c=n5;:8j<042<:0(98<:9f3?M5bn2dj;94=6608/=`d2900qo?:4e82>7<62:q/5l=53g`8Lo<=:088fd1c290?6=4?{%;b7?g182.2:n4n7`9K7``>;65`76194?=z{h2?6=4={_c;0>;f?m08jh5rs3`16?6=:rT9n?<4=`5g>2143tyj;h4?:0y>e2b=1<30qpl>34`95?4=939p(4o<:2da?M?>l2dj;94=6678md>62800cl6=:088fd1a290?6=4?{%;b7?g182.2:n4n7`9K7``vPn839>e2`=?>90q~o70;295~;f?o02945r}c36g`<62;0:6>u+9`197cd<@03o7co84;0532=ni1k1=75`32:7>4<o4nl0;66g>o>=00;6E::7:9l325=831vl6n:181[g?i27j4o4vP<39689d>e2>=87p}n8b83>4}:i1h15874}|`17`<6290;6=uGa7f8 >1>;96;|`:5`<6290;6=uGa7d8 >1>;9n;|q7<3<728>;w0<7ce810a=:<:;>6?:k;<61g=<5j098i52195g>73f34;3454=5`9>5=?42;?j70?794811d=:913=6?;n;<3;=2<5=h16=577:37b?87?10099l5219;b>73f34;35o4=5`9>5=1b2;?j70?77g811d=:912;6?;n;<3;<4<5=h16=56=:37b?87?0:099l5219:7>73f34;3484=5`9>5=>12;?j70?786811d=:91226?;n;<3;73f34;34h4=5`9>5=>a2;?j70?791811d=:913:6?;n;<3;=7<5=h16=57;:37b?853<>099l5232de>73f349>hi4=229>70`12;8870=:dg811d=:;73f349>i84=5`9>705f2;8870=:5`8166=:;<3j6?<<;<0;5a<5=h16>:j<:37b?840n?099l5226;3>73f348<5>4=5`9>67`>2;?j70<>be811d=::;n>6?;n;<01f4<5=h16>?>m:37b?84580099l52232;>73f3489<:4=5`9>67612;?j70<=04811d=::;:?6?;n;<0146<5=h16>?>=:37b?84588099l522323>73f348:jh4=5`9>64`c2;?j70<>fb811d=::8li6?;n;<02bd<5=h16>73f348:j;4=5`9>64`22;?j70<=13811d=::;;:6?;n;<0155<5=h16>?>i:37b?8458l099l52232g>73f3489676f2;?j70<>fg811d=::;3:6?<<;<02b6<5::16><7i:300?846>5220:4>744348::k4=229>66?a2;?j70<>o::37b?844i=099l5222c0>73f3488m?4=5`9>66g62;?j70<>mk:37b?844l?099l5222f6>73f3488h94=5`9>66b42;?j70<>mi:37b?844kl099l5222gg>7443488oo4=229>66022;?j70<<65811d=:::<86?;n;<0027<5=h16>>8>:37b?844>l099l52224g>73f3488:n4=5`9>660e2;?j70<<6`811d=:::<26?;n;<002=<5=h16>>88:37b?844>?099l5222:g>74434889k4=229>66`e2;?j70<;05811d=::=:86?;n;<0747<5=h16>9>>:37b?84389099l5222de>73f3488jh4=5`9>66`c2;?j70<8:8:36g?842<8098i522463>72c348>m44=4e9>605c2;>o70?kb8810a=:9mh>6?:k;<3f5d<572c34;h;94=4e9>5f7?2;?j70=?878166=:;9k>6?<<;<13<=<5=h16?=66:37b?8570h099l5231:a>73f349;4n4=5`9>75>c2;?j70=?8d811d=:;92m6?;n;<13=5<5=h16?=73f349;?:4=229>775d2;>o70=>fd8166=:;;8h6?<<;<1140<5=h16??>9:37b?8558>099l52332;>73f3499<44=5`9>776f2;?j70==0c811d=:;;:h6?;n;<114a<5=h16??>?:37b?85588099l523321>73f3499<>4=5`9>77632;?j70=<27810a=:;;o36?<<;<1053<5::16??ki:37b?855n9099l5233d2>73f3499j?4=5`9>77`42;?j70==f5811d=:;;l>6?;n;<11b3<5=h16??h8:37b?855mh099l5233ga>73f3499in4=5`9>77cc2;?j70==ed811d=:;8>>6?:k;<1242<5::16?<=::300?8568l099l52302e>73f349:==4=5`9>74762;?j70=>13811d=:;8;86?;n;<1251<5=h16?73f349:746e2;?j70=>0b811d=:;8:o6?;n;<12e5<572c348o6g412;8870nl6:37b?84a88098i522ed6>744348n?i4=229>6`6c2;8870?ie2810a=:9ok>6?<<;<3e`6<5::16=kol:37b?87aim099l521gcf>73f34;mmk4=5`9>5cd72;?j70?ib0811d=:9oh96?;n;<3ef6<5=h16=kl;:37b?87ai>099l521gc;>73f34;mm44=5`9>5cgf2;?j70?iac811d=::9io6?:k;<03=lk:300?8471?099l5221;4>73f348;554=5`9>65?>2;?j70=7k:37b?8471l099l5221;2>73f348;5?4=5`9>65?42;?j706?;n;<3fag<5>521d`7>73f34;nn84=5`9>5`d12;?j70?jb6811d=:9lh36?;n;<3ff<<5=h16=hln:37b?87bjk099l521d``>73f34;nmk4=5`9>5`d72;?j70?jb0811d=:9lh96?;n;<3ff6<5=h16=k:9:36g?87a1>098i521d6e>72c3493:i4=229>5<472;8870<9418166=:;92>6>l>;<13<0<4j;16>8:;:2df?8768o08jk523g61>6`a348m;h47d?428:i70=n86824g=:;h236<>m;<1b=`<68k16?lo>:02a?85fi;0:46e349jm94>0c9>7dg228:i70=n8`824g=:;hk=6<>m;<1b<<<68k16?l6m:02a?85f0j0:46e349j4h4>0c9>7d>a28:i7p}<39694?2es483oi4=249>6=`a2;8>70<6018160=::0::6?<:;<0;aa<5:<16>5kj:306?84?n;09>85229d0>7423483j94=249>6=g>2;8>70<7cb8160=::1i26?<:;<0;b0<5:<16>4=<:306?84?<909>85229fe>7423482?n4=249>6<5c2;8>70<63d8160=::1o;6?<:;<0;a4<5:<16>5k=:306?84?m:09>85229g5>7423483i94=249>6=c22;8>70<7e68160=::1=n6?<:;<0;3c<5:<16>56?:306?84?8522973>74234839?4=249>6=342;8>70<7558160=::1?>6?<:;<0;13<5:<16>5;6:306?84?=>09>852297;>7423483:l4=249>6=0e2;8>70<76b8160=::158i:306?84??909>8522952>7423483:;4=249>6=002;8>70<7698160=::1<26?<:;<0:6=<5:<16>4<6:306?84>:h09>852280a>7423482>n4=249>6<442;8>70<6258160=::08>6?<:;<0:63<5:<16>4<8:306?84?m109>8522972>74234835h4=2e9>6=?a2;8o70<7a1816a=::1k:6?5o<:30g?84?i=09>i5229c6>74c3483m:4=2e9>6=g?2;8o70?:cd807=26=ec2;9j70<7d28;=5=z{;2hh7>59z?1;519:1>>o4=3;35?44i2794hj522c897>bm388m63=8g0966g<5;2m?7<6}:<:8:6?:k;<6062<38816=:;m:5116>{t:k896=4687y>06462;8>70:<148160=:<;k36?<:;<61e<<5:<168?l;:306?825jo09>85243a1>74234>9o>4=249>07e32;8>70:=c48160=:<;i=6?<:;<61g2<5:<168?on:306?825ik09>85243c`>74234>9mi4=249>07gb2;8>70:=ag8160=:<;h;6?<:;<61f4<5:<168?l=:306?825j:09>85243`6>74234>9n;4=249>07d02;8>70:=b98160=:<;h26?<:;<61fd<5:<168?lm:306?825jj09>85243`g>74234>9nh4=249>07e72;8>70:=c08160=:<;i36?<:;<612=<5:<16?4??:306?85?nh09>85229a5>74234>8>84=249>07>02;8>70=70d8160=:;1:m6?<:;<1;5d<5:<16?5<::306?85?:109>852390:>7423493>l4=249>7=4e2;8>70=72b8160=:;18o6?<:;<1;55<5:<16?5?>:306?85?9;09>8523930>7423493=94=249>7=722;8>70=7178160=:;1;<6?<:;<1;5=<5:<16?5?6:306?85?9k09>852393`>7423493=i4=249>7=7b2;8>70=71g8160=:;18;6?<:;<1;64<5:<16?5<=:306?85?::09>8523907>7423493>;4=249>7=402;8>70=9048160=:;?;;6?<:;<1550<5:<16?;?9:306?8519>09>852373;>742349==44=249>73612;8>70=9068160=:;?:36?<:;<154<<5:<16?;>n:306?8518k09>852372`>742349=736b2;8>70=90g8160=:;?;:6?<:;<1557<5:<16?;?<:306?8519=09>8523727>742349=?h4=249>735a2;8>70=9458160=:;?>>6?<:;<1503<5:<16?;:8:306?851<109>852376:>742349=8l4=249>732e2;8>70=9418160=:;?>:6?<:;<1507<5:<16?;:<:306?851>109>852396e>742349=>54=249>737f2;8>70=91c8160=:;?8;6?<:;<1564<5:<16?;<=:306?851::09>8523707>742349=>84=249>73412;8>70=9268160=:;?;h6?<:;<155a<5:<16?;?j:306?8519o09>852376`>742349=8i4=249>732b2;8>70=94g8160=:;??;6?<:;<631d<5:<16?:lj:306?850jo09>85236ab>74234972b?2;8>70=8d88160=:;>nj6?<:;<14`g<5:<16?:jl:306?850lm09>85236a3>74234972e52;8>70=8c28160=:;>i?6?<:;<14g0<5:<16?:m9:306?850k>09>85236a;>74234972ee2;8>70=8cb8160=:;>io6?<:;<14g`<5:<16?:mi:306?850l909>85236f2>74234972b42;8>70=8d58160=:;>n=6?<:;<14`2<5:<16?;<6:306?851:h09>852370a>742349=>n4=249>734c2;8>70=92d8160=:;?8m6?<:;<1:5g<5:<16?4?l:306?85>9m09>852383f>7423492=k4=249>7<472;8>70=6208160=:;0896?<:;<1:5d<5:<16?;;>:306?851=;09>8523770>742349=9;4=249>73302;8>70=9618160=:;?<:6?<:;<1527<5:<16?;8<:306?851>=09>8523746>742349=:;4=249>73002;8>70=9598160=:;??26?<:;<151d<5:<16?;;m:306?851=j09>852377g>742349=9h4=249>733a2;8>70=74d8160=:;>ho6?<:;<1beg<5:<16?loj:306?85>9;09>8523830>7423492=94=249>7<722;8>70=6178160=:;0;<6?<:;<1:5=<5:<16?4?6:306?85>9809>8523713>742349=?<4=249>73512;8>70=9368160=:;?936?<:;<157<<5:<16?;=n:306?851;k09>852371`>742349=?i4=249>73552;8>70=9328160=:;?9?6?<:;<1570<5:<16?5hm:306?85?nj09>85239dg>7423493jh4=249>7=`a2;8>70=6018160=:;0::6?<:;<1:66<5:<16?4<;:306?85>:m09>852380f>7423492>k4=249>7<572;8>70=6308160=:;0996?<:;<1:76<5:<16?4=;:306?85>:<09>8523805>7423492>:4=249>7<4?2;8>70=6288160=:;08j6?<:;<1:6g<5:<16?409>85239g`>7423493ii4=249>7=`52;8>70=7f28160=:;1l?6?<:;<1;b0<5:<16?5h9:306?85?n>09>85239d;>7423493j44=249>7=cb2;8>70=7eg8160=:;1l;6?<:;<1;b4<5:<16?5852391b>7423493884=249>7=2?2;8>70=7488160=:;1>j6?<:;<1;0g<5:<16?5:l:306?85?8523913>7423493?<4=249>7=552;8>70=7328160=:;19?6?<:;<1;70<5:<16?5=9:306?85?;>09>852391;>7423493?44=249>7=5e2;8>70=73b8160=:;19o6?<:;<1;7`<5:<16?5=i:306?85?<909>8523962>74234938?4=249>7=242;8>70=7458160=:;1>=6?<:;<1;02<5:<16?4=::306?85?l109>85239g1>7423493i:4=249>7=c?2;8>70=7e88160=:;1oj6?<:;<1;ag<5:<16?5j6:306?85?lh09>85239fa>7423493hn4=249>7=bc2;8>70=7dd8160=:;1nm6?<:;<1;a5<5:<16?5k>:306?85?m:09>85239g7>7423493i84=249>7=c12;8>70=nb08160=:;0:96?<:;<1:46<5:<16?4>7:306?85>8009>852382b>74234927<6d2;8>70=60e8160=:;0:n6?<:;<1:4c<5:<16?4>;:306?85>8<09>8523825>7423492<:4=249>07c>2;8>70:=e`8160=:<;l>6?<:;<6045<5:<168>><:306?8248=09>8524226>74234>8<;4=249>06602;8>70:<098160=:<;oi6?<:;<61af<5:<168?kk:306?825ml09>85243ge>74234>9j=4=249>07`62;8>70:=f38160=:<;l86?<:;<61b1<5:<168?h9:306?825n>09>85243d;>74234>9j44=249>07`f2;8>70:=fc8160=:<;lh6?<:;<61ba<5:<168?hj:306?825no09>8524222>74234>805ga2;8>70:?b58160=:<9h>6?<:;<63f3<5:<168=l8:306?827j109>85241`:>74234>;nl4=249>05g?2;8>70:?a88160=:<9kj6?<:;<63eg<5:<168=ol:306?827im09>85241cf>74234>;n=4=249>05d62;8>70:?b38160=:<9h86?<:;<62`1<5:<168?8k:306?84?0=09>8523`cb>74234;2=h4=249>620d2;8>70=6dc8160=:;h;<6?<:;<1b2=<5:<16=5hl:306?87?nm09>852182;>74234;2=>4=249>5<7?2;8>70?6178160=:90;<6?<:;<3:5<<5:<16=4?n:306?87>9k09>85219df>74234;3jk4=249>5<672;8>70?6008160=:90:96?<:;<3:46<5:<16=4>;:306?87>8<09>8521825>74234;25<602;8>70?6088160=:90:i6?<:;<3:4f<5:<16=4>k:306?87>8l09>8521832>74234;25<772;8>70?6138160=:90;?6?<:;<3:50<5:<16?l=::306?85f;=09>8523`10>742349j??4=249>7d562;8>70=n318160=:;h8m6?<:;<1b6`<5:<16?l8523`0a>742349j>l4=249>7d4>2;8>70=n298160=:;h8<6?<:;<1b32<5:<16?l99:306?85f?<09>8523`57>742349j;>4=249>7d152;8>70=n708160=:;h=;6?<:;<1b2c<5:<16?l8j:306?85f>m09>8523`4`>742349j:o4=249>7d0f2;8>70=n688160=:;???6?<:;<14``<5:<16?:h7:306?850nk09>85236df>7423493<<4=249>7=632;8>70=7068160=:;1:j6?<:;<14`c<5:<16?:k=:306?850m<09>85236g;>742349ad7=:;?01;69931>?;4=033389963>1179673<58;;87<=5:?24f>=:;?01<>l7;011>;68j<1>?;4=02`1?45=27:0b29673<58:ij7<=5:?24gc=:;?01<>md;011>;68ki1>?;4=02af?45=27:==?5237894778389963>0gd9673<58:mi7<=5:?24cb=:;?01<>ic;011>;68oh1>?;4=02a4?45=27:0`f9673<58:jo7<=5:?24dd=:;?01<>n8;011>;68h=1>?;4=02b2?45=27:0`19673<58:i47<=5:?24g1=:;?01<>m6;011>;68k?1>?;4=02a0?45=27:0389963>0859673<58:2:7<=5:?24<3=:;?01<>64;011>;68091>?;4=02:4?45=27:<5h52378946?m389963>09f9673<58:3o7<=5:?24=d=:;?01<>n0;011>;680l1>?;4=02:a?45=27:<4j52378946>k389963>08`9673<58:<47<=5:?2421=:;?01<>86;011>;68>?1>?;4=0240?45=27:<:=5237894608389963>07d9673<58:=i7<=5:?243b=:;?01<>9c;011>;68?h1>?;4=02;4?45=27:<:h523789460m389963>06f9673<58:90;011>;68?;4=026a?45=27:<8j523789462k389963>04`9673<58:>47<=5:?2401=:;?01<>:6;011>;68?;4=0260?45=27:<8=5237894610389963>0759673<58:=:7<=5:?2433=:;?01<>94;011>;68?91>?;4=020a?45=27:<>j523789464k389963>02`9673<58:8m7<=5:?246?=:;?01<><6;011>;68:?1>?;4=0200?45=27:<>=523789464:389963>0239673<58:?h7<=5:?241e=:;?01<>;b;011>;68=k1>?;4=027=?45=27:<96523789466m389963>00f9673<58::o7<=5:?244d=:;?01<>>a;011>;68831>?;4=0222?45=27:<<;523789466<389963>0019673<58::>7<=5:?2447=:;?01<>=e;011>;68;n1>?;4=021g?45=27:03;9673<58:;:7<=5:?2453=:;?01<>?4;011>;68991>?;4=0236?45=27:<=?523789467m389963>01f9673<58:;o7<=5:?245d=:;?01<>?a;011>;68931>?;4=gg;>74234ln;7<=5:?ea3<5:<16jh;523789cc32;8>70hj3;011>;am909>852fed9673<5onn6?<:;bad=:;?01kh?:306?8`bn389963ied8160=:nln1>?;4=gg`>74234lnn7<=5:?eg`<5:<16jnj523789ced2;8>70hlb;011>;akh09>852fb;9673<5oi=6?<:;bf5=:;?01km=:306?8`d9389963id98160=:nm=1>?;4=gf5>74234lo97<=5:?e`1<5:<16ji=52378946a8389963>0dd9673<58:ni7<=5:?24`b=:;?01<>jc;011>;68lh1>?;4=02f389963>0d79673<58:n87<=5:?24`5=:;?01<>i8;011>;68o=1>?;4=02e2?45=27:0g19673<58:n<7<=5:?24a`=:;?01<>ke;011>;68mn1>?;4=02gg?45=27:0e59673<58:o:7<=5:?24a3=:;?01<>k4;011>;68m91>?;4=02;389963>0979673<58:387<=5:?24=5=:;?01<>=6;011>;68;?1>?;4=0210?45=27:0339673<58:o<7<=5:?24f`=:;?01<>le;011>;68jn1>?;4=02`g?45=27:70hm0;011>;aio09>852f`g9673<5oko6?<:;70hid;011>;anj09>852fg`9673<5olj6?<:;=o523789447n389963>2029673<588;i7<=5:?265>=:;?01<;6:9=1>?;4=003g?45=27:>=j523789447=389963>1gd9673<588;:7<=5:?25cc=:;?01<;6:9>1>?;4=0036?45=27:=km52378947al389963>1g`9673<58;mm7<=5:?2657=:;?01;69o91>?;4=03e0?45=27:=k<52378947a?389963>1g:9673<58;m:7<=5:?25c6=:;?01;69l=1>?;4=03fg?45=27:=hj52378947bj389963>1d79673<58;n:7<=5:?25`2=:;?01;69l31>?;4=03f7?45=27:=h<52378947ck389963>1d39673<58;on7<=5:?25`6=:;?01;69ml1>?;4=03g=?45=27:=ik52378947c0389963>1e59673<58;o=7<=5:?25a0=:;?01;69m?1>?;4=03`b?45=27:=i:52378947dm389963>1e19673<58;ho7<=5:?25fb=:;?01;69j91>?;4=03`0?45=27:=n<52378947d9389963>1b:9673<58;h:7<=5:?25f6=:;?01;69kl1>?;4=03a1cf9673<58;ii7<=5:?25g0=:;?01;69kh1>?;4=03a0?45=27:=o;52378947fi389963>18d9673<58;i?7<=5:?ee3<5:<16=<7;:306?8`?j389963i858160=:n1k1>?;4=g::>74234;9b=5=:;?01k67:306?8758909>852f909673<5o2<6?<:;<32b0<5:<16j5?523789c>12;8>70?>e98160=:98no6?<:;<32`7<5:<16=85210;0>74234;:5=4=249>54d52;8>70?>b08160=:98h;6?<:;<32e<<5:<16=85210c;>74234;:m:4=249>54gc2;8>70?>a78160=:98kh6?<:;<32e0<5:<16=85210c7>74234;:5i4=249>54g42;8>70?>9b8160=:98k:6?<:;<32e7<5:<16=85210;a>74234;:554=249>54?02;8>70?>988160=:983>6?<:;70h;a;o09>852f249673<5o9i6?<:;b62=:;?01k=::306?8`4;389963i398160=:n:31>?;4=g12>74234l9:7<=5:?e77<5:<16j>>523789c422;8>70h=a;011>;a::09>852f369673<5o836?<:;<4=249>b76=:;?01k?i:306?8`6l389963i1b8160=:n8o1>?;4=g66>74234l?87<=5:?e07<5:<16j9?523789c242;8>70h=7;011>;a:;09>852f259673<5o;=6?<:;<3152<5:<16j2009673<588::7<=5:?2e=`=:;?01;6i1o1>?;4=0c;g?45=27:m5l5237894g?l389963>a9c9673<58k357<=5:?2e<1=:;?01;6i121>?;4=0c:1?45=27:m4:5237894g>:389963>a839673<58k2?7<=5:?2e<6=:;?01;6i1<1>?;4=0c5e?45=27:m;75237894g1?389963>a749673<58k=47<=5:?2e33=:;?01;6i>81>?;4=0c45?45=27:m:=5237894g08389963>a7d9673<58k=h7<=5:?2e3e=:;?01;6i?91>?;4=0c56?45=27:m9h5237894g3m389963>abd9673<58khi7<=5:?2efb=:;?01;6ijh1>?;4=0c`e?45=27:mi95237894gc>389963>ae79673<58ko87<=5:?2ea5=:;?01;6im;1>?;4=0cg4?45=27:mn75237894gd0389963>a`d9673<58kji7<=5:?2edb=:;?01;6ihh1>?;4=0cbe?45=27:mo95237894ge>389963>ac79673<58ki87<=5:?2eg5=:;?01;6ik;1>?;4=0ca4?45=27:ml75237894gf0389963>a5`9673<58k?47<=5:?2=cd=:;?01<7ie;011>;61o21>?;4=0c12?45=27:m?=5237894?a:389963>9g79673<583nj7<=5:?2e4b=:;?01;6i8k1>?;4=0;f=?45=27:5hm5237894g6?389963>9e69673<583o=7<=5:?2=`7=:;?01;61l>1>?;4=0c0`?45=27:5il5237894?cm389963>a2c9673<583o47<=5:?2=a3=:;?01;6i:=1>?;4=0c1a?45=27:m?l5237894g49389963>a039673<58k??7<=5:?2e40=:;?01o>::306?8d7<389963m028160=:j981>?;4=c22>74234h;<7<=5:?a4a<5:<16n=m523789g6e2;8>70l?a;011>;e8009>852b1:9673<5k:<6?<:;<`32?45=27jjk4=249>ecc=:;?01lk=:306?8gb9389963ne18160=:iml1>?;4=`ff>74234koh7<=5:?bad<5:<16mh7523789dc?2;8>70oj7;011>;fm?09>852ad79673<5ho?6?<:;ead=:;?01o7j:306?8d>l389963m9b8160=:j0h1>?;4=c;b>74234h257<=5:?ae3<5:<16nl;523789gg32;8>70ln3;011>;ei;09>852b`39673<5kk;6?<:;<`:b?45=27i554=249>f<1=:;?01lm;:306?8gd;389963nc38160=:jhk1>?;4=c52>74234h<<7<=5:?a2c<5:<16n;k523789g0c2;8>70l9c;011>;e>k09>852b7c9673<5k8>6?<:;<`10?45=27i>>4=249>f74=:;?01o<>:306?8d58389963m2e8160=:j;i1>?;4=c0a>74234h9m7<=5:?a6<<5:<16n?6523789g402;8>70l=6;011>;e9o09>852b0g9673<5;;5>lh1>?;4=34fe?45=279:h752378970b0389963=6d59673<5;;5>l;1>?;4=3755?45=2799;>523789732n389963=54g9673<5;?>h7<=5:?110e=:;?01?;99;011>;5=?21>?;4=3753?45=2799;8523789731=389963=5769673<5;?=?7<=5:?1134=:;?01?;:b;011>;5=?;4=34g2?45=279:i;52378970cm389963=6ef9673<5;;5>m31>?;4=34g;5>jl1>?;4=34`a?45=279:nj52378970dk389963=6b`9673<5;;5>j?1>?;4=37`389963=5b79673<5;?h87<=5:?10`c=:;?01?:jd;011>;5?;4=36ff?45=2798ho52378972b1389963=4d:9673<5;>n;7<=5:?10`0=:;?01?:j5;011>;5=;i1>?;4=371f?45=2799?o5237897041389963=6249673<5;<897<=5:?126>=:;?01?8<4;011>;5>:91>?;4=3406?45=279:>?5237897048389963=63d9673<5;<9h7<=5:?127d=:;?01?8=e;011>;5>;k1>?;4=341=?45=279:?6523789705?389963=6349673<5;<997<=5:?1275=:;?01?8=2;011>;5>;>1>?;4=340b?45=279:>k523789704l389963=62a9673<5;<8n7<=5:?126g=:;?01?8=c;011>;5>;;1>?;4=3403?45=279:?>52378973e=389963=5c69673<5;?i?7<=5:?11g4=:;?01?;m1;011>;5?;4=36g3?45=2798i852378972c=389963=4e69673<5;>o?7<=5:?10a4=:;?01?:k1;011>;5?;4=37;1?45=2798975237897230389963=4559673<5;>?:7<=5:?1013=:;?01?:;4;011>;5<=91>?;4=3676?45=2799>m523789734j389963=52c9673<5;?857<=5:?116>=:;?01?;<7;011>;5=:<1>?;4=3701?45=2799k852378973a=389963=5g69673<5;?m?7<=5:?11c4=:;?01?;i1;011>;5=o:1>?;4=37ea?45=2799kj52378973ak389963=5g`9673<5;?mm7<=5:?11c?=:;?01?;i8;011>;5=o=1>?;4=37fb?45=2799hk523789733?389963=67a9673<5;<=n7<=5:?123g=:;?01?899;011>;5>?21>?;4=3453?45=279:;8523789701=389963=64:9673<5;<>;7<=5:?1200=:;?01?8:5;011>;5><>1>?;4=3467?45=279:8<5237897029389963=6709673<5;<==7<=5:?1236=:;?01?8:f;011>;5>?;4=3775?45=27999>5237897149389963=73g9673<5;=9n7<=5:?11gg=:;?01?;n9;011>;5=0i1>?;4=34`6?45=279:n?52378970d8389963=6cd9673<5;;5>k31>?;4=34a7<=5:?12g7=:;?01?8m0;011>;5>hl1>?;4=34ba?45=279:lj523789734l389963=6`c9673<5;=:;?01?8n7;011>;5>h<1>?;4=34b7?45=279:l<52378970f9389963=6`29673<5;<2h7<=5:?12;5>0k1>?;4=34:=?45=279:4652378970>?389963=6849673<5;<2?7<=5:?12<4=:;?01?861;011>;5>0:1>?;4=344f?45=279::o5237897001389963=66:9673<5;<3h7<=5:?12=e=:;?01?87b;011>;5>1k1>?;4=34;=?45=279:5652378970??389963=6949673<5;<<97<=5:?1222=:;?01?883;011>;5>>81>?;4=3445?45=279::>523789701n389963=67g9673<5;<3=7<=5:?12=6=:;?01?88f;011>;5>>o1>?;4=21g7?45=278?i<52378965c9389963<3bd9673<5:9hi7<=5:?07a6=:;?01>=lc;011>;4;jh1>?;4=21``?45=278?no52378965en389963<3cg9673<5:9io7<=5:?07gd=:;?01>=md;011>;4;k31>?;4=21ai8;011>;38o?1>?;4=52e6?45=27?=?9523789175<389963;0e59673<5=;9=7<=5:?75a6=:;?019?>e;011>;391<1>?;4=5322?45=27?=;39h81>?;4=53b5?45=27?=l>52378917>n389963;1`g9673<5=;jh7<=5:?75de=:;?019?nb;011>;39hk1>?;4=53b=?45=27?=l652378917f?389963;18g9673<5=;2h7<=5:?755c=:;?019?=d;011>;39;i1>?;4=52e5?45=27?=:;?019?87;011>;39><1>?;4=5341?45=27?=::52378917?;389963;1909673<5=;3=7<=5:?75=6=:;?019?8f;011>;39>o1>?;4=534`?45=27?=:m523789170;389963;1609673<5=;887<=5:?7566=:;?019??9;011>;399>1>?;4=`:;>74234k357<=5:?13=6=:;?01?98f;011>;5?>o1>?;4=354`?45=279;:m523789710j389963=76c9673<5;=<57<=5:?2a44=:;?013;011>;6m8>1>?;4=0fa=?45=27:ho;5237894c6?389963>e0c9673<58o:h7<=5:?2`g6=:;?01;6m9<1>?;4=0g31?45=27:i=:5237894c7;389963>e109673<58o;=7<=5:?2a56=:;?01;6loo1>?;4=0ffb?45=27:hk?5237894ba8389963>ddg9673<58nm?7<=5:?2``b=:;?01;6kml1>?;4=0f1=?45=27:h9k5237894b3n389963>d4c9673<58n=97<=5:?2`3>=:;?01;6l?k1>?;4=0f5f?45=27:h;m5237894b1l389963>d429673<58n>=7<=5:?2`04=:;?01;6l<>1>?;4=0f61?45=27:h885237894b2?389963>d4:9673<58n>57<=5:?2`0d=:;?01;6l?;4=0f6a?45=27:h8h5237894b18389963>d739673<58n=>7<=5:?2`35=:;?01;6l?<1>?;4=0f53?45=27:h=95237894b70389963>d019673<58n:i7<=5:?2`77=:;?01;6l;91>?;4=0f10?45=27:h?;5237894b5>389963>d1;9673<58n;m7<=5:?2`5d=:;?01;6l9n1>?;4=0f3a?45=27:h=h5237894b68389963>d039673<58n:>7<=5:?2`42=:;?015;011>;6l8<1>?;4=0f23?45=27:h<65237894b61389963>d0c9673<58n:n7<=5:?2`4e=:;?01d;011>;6l8l1>?;4=0f14?45=27:oh;5237894ec<389963>ce79673<58io:7<=5:?2ga1=:;?01;6km31>?;4=0a;3?45=27:o585237894e?=389963>c9d9673<58i3i7<=5:?2g<1=:;?01;6k121>?;4=0a:7?45=27:o4<5237894e>i389963>c6g9673<58i=o7<=5:?2g3b=:;?01;6k891>?;4=0a3b?45=27:o:?5237894e0<389963>c659673<58i;m7<=5:?2g37=:;?01;6k?;4=0a6a?45=27:o8j5237894e2k389963>c4`9673<58i>m7<=5:?2g0?=:;?01;6k=31>?;4=0a7f?45=27:o9o5237894e30389963>c5f9673<58i?;7<=5:?2g1e=:;?01;6j191>?;4=0`bbcd9673<58hh>7<=5:?2ff5=:;?01;6jj?1>?;4=0``2?45=27:nn95237894dfi389963>b``9673<58hjo7<=5:?2fdb=:;?01;6jhl1>?;4=0`a4?45=27:no?5237894de:389963>bc19673<58hi97<=5:?2fg0=:;?01;6jk21>?;4=0`a=?45=27:noo5237894dej389963>bca9673<58hih7<=5:?2fgc=:;?01;6jj;1>?;4=0`55?45=27:n;<5237894d1l389963>b6:9673<58h;6j>o1>?;4=0`4b?45=27:n5>5237894d1;389963>b769673<58h=97<=5:?2f30=:;?01;6j?21>?;4=0`5=?45=27:n;o5237894d1j389963>b7a9673<58h=i7<=5:?2f3`=:;?01;6j>;1>?;4=0`46?45=27:n:=5237894d0<389963>b679673<58h<:7<=5:?2f21=:;?01;6j>k1>?;4=0`0b?45=27:n?k5237894d5n389963>b229673<58h8=7<=5:?2f64=:;?01;6il91>?;4=0cf6?45=27:mh?5237894gbj389963>adc9673<58km?7<=5:?2ecd=:;?01;6ill1>?;4=0cfa?45=27:mk85237894gci389963<0bc9673<5::n=7<=5:?04`4=:;?01>>j4;011>;48l?1>?;4=22f2?45=278>j3;011>;48o31>?;4=22ee?45=278??1;011>;49981>?;4=2337?45=278<7<=5:?0601=:;?01><:8;011>;4:<31>?;4=206e?45=278>8l523789642k389963<24f9673<5:8>i7<=5:?0607=:;?01><:2;011>;4:<91>?;4=2060?45=278>8;523789642>389963<3349673<5:9857<=5:?076g=:;?01>=;1;011>;4;=81>?;4=2177?45=278?9:523789653=389963<3549673<5:9?;7<=5:?071>=:;?01>=;4;:i1>?;4=210`?45=278?>k523789654n389963<3529673<5:;?97<=5:?050>=:;?01>?:9;011>;49?:1>?;4=2355?45=278=;<523789671;389963<1769673<5:;=97<=5:?0530=:;?01>?97;011>;49?;4=236f?45=278=8m523789672l389963<14g9673<5:;>j7<=5:?05c0=:;?01>?i3;011>;49lh1>?;4=23fe?45=278=h752378967b0389963<1`49673<5:;j;7<=5:?05d>=:;?01>?n0;011>;49j31>?;4=23ga?45=278=ij52378967ck389963<1e`9673<5:;om7<=5:?05a?=:;?01>?k8;011>;49m?1>?;4=23aa?45=278=oh52378967d8389963<1b39673<5:;h>7<=5:?05f5=:;?01>?l4;011>;49j?1>?;4=23e4?45=278>i=52378964c<389963<2e79673<5:8o:7<=5:?06a1=:;?01>;4:m31>?;4=20ge?45=278>l852378964cl389963<2`g9673<5:82m7<=5:?06<6c;011>;4:0n1>?;4=20bf?45=278>n?52378964d:389963<2b19673<5:8h87<=5:?06f3=:;?01>;4:j=1>?;4=20`7<=5:?0447=:;?01>>>0;011>;489l1>?;4=222g?45=278<>>6;011>;488?1>?;4=223a?45=278<=j523789666n389963=d209673<5;n8?7<=5:?1`5`=:;?01?j:8;011>;5l:;1>?;4=3f6=?45=279h8o5237897b19389963=d709673<5;n=?7<=5:?1`32=:;?01?j95;011>;5l?<1>?;4=3f53?45=279h;65237897b2j389963=d4a9673<5;n>h7<=5:?1`0c=:;?01?j:f;011>;5l?:1>?;4=3f03?45=279h=o5237897b40389963=d2;9673<5;n?<7<=5:?1`17=:;?01?j;2;011>;5l=91>?;4=3f70?45=279h9;5237897b3>389963=d559673<5;n8m7<=5:?1`6d=:;?01?j;5l:n1>?;4=3f0a?45=279h>h5237897b68389963=d039673<5;n:47<=5:?1`4?=:;?01?j>a;011>;5l8h1>?;4=3f2g?45=279h7<=5:?1`45=:;?01?j>4;011>;5l8?1>?;4=3f22?45=279h<95237897b48389963=d6c9673<5;n;5l:<1>?;4=3f5=?45=279h;o5237897b09389963=d609673<5;n;5l><1>?;4=3f43?45=279h:65237897b1j389963=d7a9673<5;n=h7<=5:?1`3c=:;?01?j9f;011>;5l>:1>?;4=3f7>7<=5:?1`05=:;?01?j:4;011>;5l?;4=3f62?45=279h895237897b3i389963=d5`9673<5;n?o7<=5:?1`1b=:;?01?j;e;011>;5l=l1>?;4=3f4=?45=279h?>5237897b59389963=d3:9673<5;n957<=5:?1`7g=:;?01?j=b;011>;5l;i1>?;4=3f1`?45=279h?k5237897b5n389963=d309673<5;n9?7<=5:?1`72=:;?01?j=5;011>;5l;<1>?;4=3f13?45=279o465237897e>1389963=c`69673<5;ijj7<=5:?1gg4=:;?01?mm3;011>;5kk>1>?;4=3aa1?45=279oo85237897ee?389963=c8c9673<5;i2n7<=5:?1g;5k0o1>?;4=3a:b?45=279ol>5237897ef9389963=c`09673<5;ij?7<=5:?1gd3=:;?01?mn6;011>;5kh=1>?;4=3ab;5kk:1>?;4=3aa5?45=279h=<5237897b79389963=d129673<5;imj7<=5:?1gcc=:;?01?mid;011>;5koi1>?;4=3aef?45=279oko5237897ea1389963=cdc9673<5;ino7<=5:?1g`d=:;?01?mj9;011>;5klo1>?;4=3af;5n?n1>?;4=3d02?45=279j>j5237897`4m389963=f5;9673<5;l?i7<=5:?1b1`=:;?01?h:0;011>;5n<;1>?;4=3d66?45=279j8=5237897`2<389963=f2d9673<5;l?<7<=5:?1b17=:;?01?h;2;011>;5n=91>?;4=3d70?45=279j9;5237897`3>389963=f559673<5;l?47<=5:?1b1g=:;?01?h;b;011>;5n=i1>?;4=3d7`?45=279j=85237897`7?389963=f009673<5;l:;7<=5:?1b4>=:;?01?h>9;011>;5n8k1>?;4=3d2f?45=279j;5n9i1>?;4=3d3`?45=279j=k5237897`7n389963=f029673<5;l:=7<=5:?1b45=:;?01?h>4;011>;5n8?1>?;4=3d22?45=279j>o5237897`4j389963=f2a9673<5;l=i7<=5:?1b3`=:;?01?h:5;011>;5n<<1>?;4=3d55?45=279j;85237897`1?389963=f7:9673<5;l=57<=5:?1b3g=:;?01?h9b;011>;5n?i1>?;4=3d63?45=279j865237897`21389963=f4c9673<5;l>n7<=5:?1b0e=:;?01?h:d;011>;5n?;4=3d6b?45=279j;>5237897`1:389963=f719673<5;l=87<=5:?1b33=:;?01?h>e;011>;5n8l1>?;4=3d1e?45=279j?h5237897`48389963=f239673<5;l8>7<=5:?1b65=:;?01?h<4;011>;5n:?1>?;4=3d14?45=279j??5237897`5:389963=f319673<5;l987<=5:?1b73=:;?01?h=6;011>;5n;=1>?;4=3d1;6m0<1>?;4=0g:3?45=27:i465237894c>1389963>e8c9673<58o2n7<=5:?2a;6me4d9=dc<58o=>77ne:?2a36=1ho01;6mh81>?;4=0gb7?45=27:il:5237894cf=389963>e9:9673<58o357<=5:?2a=g=:;?01;6nl91>?;4=0de2?45=27:jk95237894`am389963>fgd9673<5;:;<7<=5:?1457=:;?01?>?2;011>;58991>?;4=3230?45=279<=;5237894`a0389963>fg;9673<58lmm7<=5:?2bcd=:;?01;6non1>?;4=32``?45=27952378976b9389963=0d:9673<5;:n57<=5:?14`g=:;?01?>jb;011>;58li1>?;4=32f`?45=279j5;011>;58l<1>?;4=32f3?45=27:ihl5237894cam389963>egd9673<58l;:7<=5:?2b51=:;?01;6n931>?;4=0d3e?45=27:j=l5237894`7k389963>f1f9673<58l;<7<=5:?2b57=:;?01;6n991>?;4=0d30?45=27:j=;5237894`>l389963>f8c9673<58l2>7<=5:?2b<7=:;?01;6n1l1>?;4=0d7g?45=27:j9j5237894`3m389963>f549673<58l=j7<=5:?2b=3=:;?01;6n191>?;4=0d;6?45=27:j5?5237894`?8389963>f6d9673<58l;6n?<1>?;4=0d53?45=27:j;65237894`11389963>f7c9673<58l=n7<=5:?2b<1=:;?01?>8a;011>;58>h1>?;4=324g?45=279<:j523789760m389963=06d9673<5;:3<7<=5:?14=7=:;?01?>;d;011>;581>1>?;4=3261?45=279<9?523789763:389963=0519673<5;:?87<=5:?1404=:;?01?>98;011>;58?31>?;4=325e?45=279<;l523789761k389963=07f9673<5;:=i7<=5:?143`=:;?01;6m=>1>?;4=0g77?45=27:i9<5237894c39389963>e529673<58o8j7<=5:?2a1e=:;?01;6m=k1>?;4=0g7=?45=27:i965237894c3?389963>e549673<58o?97<=5:?2a6c=:;?01;6m=l1>?;4=g:`>74234n=57<=5:?eb2;8>70j73;011>;a0o09>852d829673<5o3:6?<:;`g1=:;?01k6?:306?8b3n389963i7g8160=:l=81>?;4=g5f>74234n897<=5:?e3a<5:<16h?6523789c1d2;8>70j>b;011>;a?k09>852d1g9673<5oki6?<:;aa3=:;?01ko6:306?8cd0389963ia98160=:mkh1>?;4=gc4>74234oji7<=5:?e=a<5:<16i>6523789c?72;8>70jn9;011>;a0<09>852d7:9673<5o=j6?<:;`56=:;?01ko::306?8c><389963ia58160=:m1=1>?;4=gc0>74234o70k90;011>;ai909>852e419673<5o3m6?<:;a6?=:;?01k7l:306?8c6n389963i9c8160=:m881>?;4=g;b>74234o;97<=5:?e=<<5:<16hk6523789c??2;8>70jjb;011>;a1>09>852deg9673<5o3=6?<:;4=249>`f2=:;?019=>f;01g>;3;8l1>?j4=5114?45k27???>523f89156l389o63;30f967b<5=9:i7<=c:?774c=:;n019=>9;01g>;3;831>?j4=512e?45k27??;500l1>?m4=3:b4?45k2794l?523a897>f:389o63=8`1967e<5;2j87<=c:?1;50h21>?m4=515`?45k27??;j523f8966?=389o63<097967b<5:k2?7<=5:?0f6d=:;?01>o77;011>;4j;l1>?;4=2c;>5237896g>m389963on2;011>;4j=k1>?;4=2cb7?45=278n9l5237896gf<389963o7a;011>;4j:81>?;4=2cb2?45=278n9k5237896g?1389963o7c;011>;4j:>1>?;4=2c;`?45=278n>;5237896g?m389963o60;011>;4j:21>?;4=2c:0?45=278n>m5237896g>93899637<=5:?0f6g=:;?01>o65;011>;4j:n1>?;4=2c:2?45=278n>k5237896g>?389963o69;011>;4j=;1>?;4=2c:e?45=278n9<5237896g>l389963o6c;011>;4j=>1>?;4=2c:b?45=278n995237896gf8389963m7<=5:?2<0d=:;?01<6:5;011>;60<<1>?;4=0:64?45=27:48?5237894>3j389963>85a9673<5828o7<=5:?2<6b=:;?01<6;6;011>;60==1>?;4=0:75?45=27:49<5237894>4?389963>82:9673<5828>7<=5:?2<65=:;?01<6=d;011>;60;o1>?;4=0:15;389963>8369673<582:i7<=5:?2<4`=:;?01<6?f;011>;608:1>?;4=0:2=?45=27:46<389963>8079673<582;m7<=5:?2<5d=:;?01<6?5;011>;609<1>?;4=0:34?45=27:4=?52378941aj389963>7ga9673<58=m:7<=5:?23c1=:;?01<9i1;011>;6?o81>?;4=05`a?45=27:;nh52378941c0389963>7e;9673<58=o?7<=5:?23a2=:;?01<9l9;011>;6?jk1>?;4=05`0?45=27:;n;52378941en389963>7b29673<58=im7<=5:?23gd=:;?01<9m5;011>;6?k<1>?;4=05a4?45=27:;o?52378941f9389963>7`09673<58=jn7<=5:?23de=:;?01<9n6;011>;6?h=1>?;4=05:g?45=27:;4j52378941>?389963>78:9673<58=2>7<=5:?23<5=:;?01<97d;011>;6?1o1>?;4=004e?45=27:>:l523789440n389963>2929673<58??h7v3;333966g<58=>o7:<229~w156;3:1mv3;333964e<58=>57:<129>067a2:h970:<2180f7=:<:;o6>l=;<605`<4j;168>?6:2`1?8249h08n?52423a>6d534>8=n4o7:<209>523c2=99=63;33597cc8=;4?:3y>06722;9j70?85`87740;:54?:`y>06722;;h70:<0d8;=5=:<:;m6>l>;<6065<4j8168>?k:2`2?8249l08n<52423:>6d634>8=l4067e2:h:70:<1b80f4=z{=9:97>5dz?7743=19n019=>2;1ea>;6:l218>?:;<604a<4nl16=:;n:5121>;6>0h18>?:;<35`f<3;8?01<8i0;6050=:9?l369=>5:?2356=<:;>70?95b87743<5:lh=7:?1:?0`4b=;ol0q~:>e583>7}:<;k36?:k;<62a0<0?:1v9<78;296~;3:h215=j4=0456?25011v9<>3;296~;3:h31>9j4=5020?10;2wx8?7<:181825i00214>;2wx8?=i:181825j=098i524363>2143ty?>4k50;0x914e<33;h63>6eg907?b3ty?>9l50;0x914en38?h63;25a93259m<4?:3y>07da20:o70?9f3876d798k4?:3y>07e52;>o70:=518436=z{=8j>7>52z?76f4=19n01<8ia;61e7=z{=8>?7>52z?76f5=:=n019<:4;547>{t<;k86=4={<61g6<>8m16=:>=:50b7>{t<;?<6=4={<61g1<51<772c34>99n48729~w14f=3:1>v3;2b79=5b<5:lo57=ie:p073a2909w0:=c7810a=:<;<;6:9<;|q76d0=838p19;6?8>18?o9;|q7635=838p19;3:??1;:=4}r61e2<72;q68?m8:82g?8709k0?>l94}r62a=<72;q68?on:36g?826m00<;>5rs50;=?6=:r7?>lo591f89401?3>9445rs53fg?6=:r7?>ll525f8917bm3=5<5s4>9mo460e9>530c2=83m6s|40d2>5<5s4>9mn4=4e9>04`52>=87p};29`94?4|5=8jo77?d:?2224=<;2i7p};1g794?4|5=8jh7<;d:?75c0=?>90q~:=8b83>7}:<;ko64>k;<353=<3:1i0q~:>f883>7}:<;kn6?:k;<62bd<0?:1v9<7d;296~;3:ho15=j4=044a?250m1v9?id;296~;3:hl1>9j4=53ea?10;2wx8?6j:181825io0214?m2wx8?>>:181825j9098i524320>2143ty?>5h50;0x914e833;h63>69:907>a3ty?>=850;0x914e938?h63;21:932595=4?:3y>07d620:o70?98b876<6907d52;>o70:=0b8436=z{=82=7>52z?76g4=19n01<861;61=4=z{=8;j7>52z?76g5=:=n019<>0;547>{t<;396=4={<61f6<>8m16=;79:50:6>{t<;;<6=4={<61f0<51<7<6c34;=m;4;2878yv259o0;6?u243`4>72c34>9>=48729~w14>>3:1>v3;2c59=5b<58v3;2c:961b<5=8987983:p07?02909w0:=b98:4a=:9?h:69<67:p07402909w0:=b8810a=:<;836:9<;|q76<>=838p19;6>k=18?77;|q767d=838p19;3:;i1;:=4}r61=<<72;q68?ln:82g?871jm0?>474}r616c<72;q68?lm:36g?825;90<;>5rs50:e?6=:r7?>ol591f8940d;3>95l5rs5007?6=:r7?>om525f89144<3=5<5s4>9nn460e9>562f2=82n6s|4314>5<5s4>9ni4=4e9>075?2>=87p};28a94?4|5=8ih77?d:?22fc=<;3h7p};22`94?4|5=8ii7<;d:?766e=?>90q~:=9e83>7}:<;hn64>k;<35`1<3:0n0q~:=4283>7}:<;i;6?:k;<6101<0?:1v9<6f;296~;3:j:15=j4=04f6?251o1v9<;7;296~;3:j;1>9j4=50714f82wx8=lk:181825k109?l52160:>16el2wx8?m7:186825k10272c34;<994;2b:8917c>39mi63>741907e?3ty?>;l50;0x9141038:o63>7419070e3ty?>;650;05825>102141027:?><5434;?873nj0?>;64=060e?25>116=>=;:505<>;6<:>18?87;<3777<3:?201<=j69<98:?2063=<;<370?=e88763><58?;?7:=699>51222=8=463>34a9070?34;89i4;27:89437l3>9:55212ge>141027:9:m5434;?873;00?>;64=06f1?25>116=9:6:505<>;6?;h18?87;<3073<3:?201<;m3;612==:9:9269<98:?276d=<;<370?;048763><5898i7:=699>51502=8=463>4559070?34>:h54506b2=8=463;1e;97cc<5=;n>7=ie:?26<5=<;<370?;ab8763><58?>;7:=699~w6?4i3:1>v3<902966g<58<9:7=63`9~w6?683:1?v3<9029=5b<5:38o7=ie:?0==3=1<30q~=63783>7}:;1lj6?:k;<3566<41:<0q~=76`83>1e|5:2mm7<>c:?06ic;02g>;40on1>c:?0=72=:8i01>7=d;02g>;41;o1>>520a896?4938:o63<920964e<5:38?7<>c:?0=62=:8i01>7=5;02g>;41;<1>c:?0=7e=:8i01>6k7;02g>;40li1>a;38:o63<8g6964e<5:2m97<>c:?06i7;02g>;40o21>bn38:o63<8g2964e<5:2m=7<>c:?0=:8i01>6j2;02g>;40l=1>bi38:o63<8d`964e<5:2o57<>c:?06kb;02g>;40mi1>cn38:o63<8d2964e<5:2n=7<>c:?0<`5=:8i01>6j4;02g>;40l?1>c:?0=5g=:8i01>7?b;02g>;419i1>c:?0=50=:8i01>7?7;02g>;6>831?58n;|q06ia;;3`>;40?:1?kk4}r0:53<72;q6>5hi:36g?84?io035=5rs3:eg?6=:r794kh591f8941b139mh6s|2834>5<5s482<=4=4e9>6=d7213;7p}=8gf94?4|5;3;<77?d:?23`d=;on0q~<61983>7}::0::6?:k;<0;f44?>:18184?mm098i5229cb>=?73ty94hl50;0x97>bl33;h63>7ed97cb6=cb2;>o70<7ac8;=5=z{;2no7>52z?1<`c=19n01<9j1;1e`>{t:0;86=4={<0;b7<55ol:9;3?xu50ll1<79=0;6?u229d0>72c3483mi47919~w7>a83:1>v3=8g19=5b<58=n97=id:p6<722909w0<7f5810a=::1kn657?;|q1;6?l=1?kj4}r0:42<72jq6>5o6:36g?840k10<;>5229;f>6d534835k46=g72:h970<7a080f7=::1k96>l=;<0;e6<4j;16>5o;:2`1?84?i<08n?5229c4>6d53483m54f13:15v3=8`;9=5b<5;2i=7=ie:?1;50ho1?kk4=3:b`?5am2794lm53gg897>fj39mi63=8`c97cc3?7>52b6x97>dk38?h63<9e`9676<5:3on77=3:?0=ad=1;>01>7kb;;11>;41mh1=<94=2;gf?7>k278m<95232896g6?339?63=:;:01>o98;;17>;4i?215?:4=2c5m63=7c3960g<5;=i?7<:a:?13g3=:;5?hn1>8o4=21g2?45;278?k?523189620k389?63<4c`9675<5:>?;7<=3:?000>=:;901>:;8;06e>;4<=k1>8o4=267g?42i27889k524c89622838>m63<440960g<5:>>87<:a:?07c`=:;901>:>a;017>;4<9<1>8o4=263m63<41g960g<5:>:<7<:a:?0044=::>4;06e>;4<8<1>8o4=2634?42i2788=<524c89627<38>m63<43f9675<5:>8h7<=3:?0064=::<4;06e>;4<:<1>8o4=2656?45;2788:<523189621?38>m63<47;960g<5:>=n7<:a:?01ab=:;;4==;1>8o4=2776?42i27899=524c89633<38>m63<557960g<5:??:7<:a:?0111=:;;8;06e>;4==31>8o4=270f?42i2789>m524c89634l38>m63<52g960g<5:?8j7<:a:?0116=:d;017>;50:81>?=4=3:2a?42i2794?>524c897>5:38>m63=836960g<5;29:7<:a:?1<7>=:;50;i1>8o4=35g7?45;279;ho52318971c<38>m63=7e4960g<5;=o47<:a:?13ag=:;5?mo1>8o4=35f4?42i279;h<524c8971b<38>m63=7g49675<5;2;h7<=3:?13c?=:;5?on1>8o4=35eb?42i2794=?524c897>7;38>m63=817960g<5;2;;7<:a:?1<5?=:;5?0:1>?=4=35b6?45;279;4?524c8971><38>m63=784960g<5;=347<:a:?13=g=:;5?1o1>8o4=2;bb?45;2785nm5231896?bj389?63on7<=3:?014g=:;901<763;654>;611h1>?=4=0;5=?45;27:58h5472894?2?389?63>9279675<5;8mm7<:a:?175e=:;5;921>8o4=3132?42i279?=:524c89757:38>m63=312960g<5;8mi7<:a:?16ce=:0;017>;5:o31>?=4=33`2?42i279=n:524c8977d:38>m63=1b2960g<5;;ii7<:a:?15a>=:;59m>1>8o4=33g6?42i279=i>524c8977dm38>m63=1ba960g<5;;hm7<:a:?15f>=:;59kn1>?=4=330=?42i279=9=5231897749389?63=2e4960g<5;8n47<:a:?16`0=:;5:l81>8o4=30f4?42i279>ik524c8974ck38>m63=2ec960g<5;8o47<:a:?16`e=:;901?;5:k81>8o4=30`0?42i279>n<524c8974d838>m63=2cg960g<5;8io7<:a:?16gg=:;5:k<1>8o4=30a0?42i279>n652318974e9389?63=1g1960g<5;92h7<:a:?17fd=:;5;o31>8o4=21b0?218278?4l52318965?1389?63;1e2961b<5h=h6?=?;e2e=19h01l9l:82:?8g0j388<63n7c8:67=:i>h15=m4=`5a><6e34k73f34;oo:4=5`9>5ae22;?j70?kc2811d=:9mi:6?;n;<3gfc<5=h16=ij>:300?87cjl09>>521b;e>72c34;h5l4=4e9>5f402;?j70?l24811d=:9j886?;n;<3`64<5=h16=n?i:37b?87d9m099l521b3a>73f34;h=44=5`9>5f4e2;8870?l198166=:9hli6?:k;<3bb3<573f349;>i4=5`9>754b2;?j70=?2g811d=:;99;6?;n;<1306<5::16?=;7:300?857<=099l523165>73f349;854=5`9>752f2;?j70=?4b811d=:;9>n6?;n;<1315<5=h16?=;=:37b?857==099l523147>744349;;44=229>75022;?j70=?66811d=:;9<26?;n;<132g<5=h16?=8k:37b?857>o099l523152>73f349;;>4=5`9>75122;?j70=?36811d=:;9936?;n;<137<<5=h16?==n:37b?857;k099l52311`>73f349:jh4=5`9>77c?2;?j70=>06811d=::k8=6?;n;<0a62<5=h16>o<7:37b?84e:0099l522c0b>73f348i>o4=5`9>6g4d2;?j70o6m:37b?84ei8099l522cc6>73f348im:4=5`9>6gg>2;?j70ol>:37b?84e?>099l522c5:>73f348i;o4=5`9>6g1c2;?j70o6::37b?84e0>099l522c::>73f348i4i4=5`9>6g>a2;?j70o78:37b?84e10099l522c;a>73f348i5i4=5`9>6g?a2;?j70nm;:37b?84dk;099l522ba3>73f348hnh4=5`9>6fdd2;?j70ih::37b?84cn1099l522ed:>73f348ojl4=5`9>6a`e2;?j70ihi:37b?84b89099l522ed5>73f348oj:4=5`9>5cg22;?j70o099l523953>73f3493;<4=5`9>7=152;?j70=772811d=:;1=?6?;n;<3:65<5=h16=4<>:37b?87>:;099l521800>73f34;2>94=5`9>5<422;?j70?627811d=:908<6?;n;<0505<5=h16>;:>:37b?841<;099l522760>73f348=894=5`9>63222;?j70<947811d=::?><6?;n;<605c<>?=168>?i:8`2?8249o02;8524203><1334>8>=46b09>064720=>70:<1e8:31=:<:;o64l>;<605a<>?<168>?j:857?8249l02n<52423f><1234>8=446759>067>20h:70:<188:30=:<:;j649;;<605d<>j8168>?n:856?8249k02;952423a>8=o46749>067d20=?70:<1b8:f4=:<:;h649:;<0;=`<>?=16>57j:8`2?84?1l02;85229;e><1334835k46b09>6=?a20=>70<7a18:31=::1k;64l>;<0;e5<>?<16>5o>:857?84?i802n<5229c2><123483m?46759>6=g520h:70<7a38:30=::1k8649;;<0;e6<>j816>5o<:856?84?i=02;95229c7>6=g220=?70<7a48:f4=::1k>649:;<0;e2<>?=16>5o8:8`2?84?i>02;85229c;><133483m546b09>6=g?20=>70:<6e8:31=:<:;<602a<>?<168>8k:3g:?824>m09i552424g>7c034>8:i4=e79>75>220=?70=?848:f4=:;92>649:;<13<0<5m?16>5hn:2df?855j<08jh52217`>6`b34>9;5407152:ln70<:a480bc=::hj;<0ga5<4nl1686`b348o584663e2:ln70=8b680bc=:;?3>6>hj;<1g54<4no16?l7<:02e?85f1:0:=5523`;0>47>349j4:4>0g9>7d>028;370=n86825<=:;h236<>i;<1b<=<69116?l67:03:?85f1l0:47?349j5h4>189>7dg628:m70=na0825==:;hk:646a349jm>4>199>7dg428;270=na5824c=:;hk?647>349j4l4>0g9>7d>f28;370=n8`825<=:;hk=6<>i;<1be3<69116?lo9:03:?85f000:47?349j444>189>7d>e28:m70=n8c825==:;h2i646a349j4i4>199>7d>c28;270=n8d824c=:;h2n647>349j5=4>0c9>7d?728:m70=n91825==:;h3;647>349j5<4>0c9>7d?628:m70=n90825==:;h3:647>349j584>0c9>7d?228:m70=n94825==:;h3>647>349j5:4>0c9>7d?028:m70=n96825==:;h3<647>349j544>0c9>7d?>28:m70=n98825==:;h32647>349j5i4>0c9>7d?c28:m70=n9e825==:;h3o647>349j5n4>0c9>7d?d28:m70=n9b825==:;h3h647>349jm=4>0c9>7dg728:m70=na1825==:;hk;647>34;3984>0c9>5=3228:m70?754825==:91?>647>34;38o4>0c9>5=2e28:m70?74c825==:91>i647>34;38;4>0c9>5=2128:m70?747825==:91>=6:02e?87?<80:=5521962>47>34;3?:4>0c9>5=5028:m70?736825==:919<647>34;3>i4>0c9>5=4c28:m70?72e825==:918o647>34;3>>4>0c9>5=4428:m70?722825==:9188647>34;30c9>5=6a28:m70?70g825==:91:m647>34;3=94>0c9>5=7328:m70?715825==:91;?6n:02e?87?8h0:=552192b>47>34;3<84>0c9>5=6228:m70?704825==:91:>6?:02e?87?890:=5521923>47>34;0c9>52`e28:m70?8fc825==:9>li647>34;0c9>52`628:m70?8f0825==:9>l:647>34;0c9>52b?28:m70?8d9825==:9>n3647>34;0c9>52e>28:m70?8c8825==:9>i2647>34;0c9>52da28:m70?8bg825==:9>hm647>34;0c9>52d228:m70?8b4825==:9>h>647>34;0c9>52g628:m70?8a0825==:9>k:647>34;0c9>52g128:m70?8a7825==:9>k=647>34;<5:4>0c9>52?028:m70?896825==:9>3<647>34;<4i4>0c9>52>c28:m70?88e825==:9>2o647>34;9;k4>0c9>571a28:m70?=7g825==:9;=m6;4>9>1>>o4=240a?44i278:>h522c89603<388m63<657966g<5:8;8;00e>;4>=31>>o4=247e?44i278:9l522c896038388m63<653966g<5:7<898;00e>;4>;21>>o4=242e?44i278:7<8=4;00e>;4>;?1>>o4=2412?44i278:?9522c89606k388m63<60f966g<5:<:i7<7>b;00e>;418i15=;4=2;2`??7=27857>a;;31>;40=o1>>o4=25a`?44i2794n75917896048388m63<623966g<5:<8:7<8<8;00e>;4>:31>>o4=240e?44i278:>l522c89604k388m63<62f966g<5:<8>7<8<4;00e>;4>:?1>>o4=2460?44i278;ik522c8961a0388m63<7g`966g<5:=mi7<6?4;00e>;409=1>>o4=2:3e?44i278;ih522c8961b:388m63<7d7966g<5:=n47<:826?8759809?l52132b>75f34;957772;9j70?=0d817d=:9;:36?=n;<314<<5;h16=?>8:31b?8758j09?l52132g>75f34;9<84=3`9>54`a2;9j70?=07817d=:98ln6?=n;<3146<5;h16=?>;:31b?8758;09?l5210d`>75f34;:ji4=3`9>54`e2;9j70?>f`817d=:9;::6?=n;<32b<<5;h16=75f34;:j:4=3`9>54`?2;9j70?>f7817d=:98l;6?=n;<32b4<5;h16=75f34;:io4=3`9>54c22;9j70?>e7817d=:98o?6?=n;<32ad<5;h16=75f34;:hn4=3`9>54c62;9j70?>dc817d=:98o;6?=n;<32`d<5;h16=75f34;:h54=3`9>54b02;9j70?>d0817d=:98n=6?=n;<32`5<5;h16=75f34;:oh4=3`9>54b42;9j70?>cb817d=:98io6?=n;<32gg<5;h16=75f34;:o<4=3`9>54e?2;9j70?>c7817d=:98i;6?=n;<32g2<5;h16=75f34;:n:4=3`9>54dc2;9j70?>bd817d=:98h=6?=n;<32ff<5;h16=75f34;:ml4=3`9>54?a2;9j70?>b2817d=:nh<15=;4=03:0?44i27m4o46049>b=2=19?01k6n:826?8`?133;963>21`966g<5o2864>:;=>522c89c>520:>70h77;;31>;69o?1>>o4=g:2><6234l3:77?5:?25`>=::k01;69m81>>o4=03ae?44i27:=n;522c8947>;388m63>182966g<58;i>7<;69h31>>o4=03bb?44i27:=lk522c8947f0388m63>1`5966g<58;jh7<;69h?1>>o4=03bf?44i27:=4k522c8947f<388m63>18f966g<58;j?7<;69h81>>o4=03b4?44i27:=4o522c8947>j388m63>18:966g<58;2;7<;690<1>>o4=0023?44i27:><;522c89446:388m63>204966g<58k3j77?5:?2e3d=19?01;6i1i1>>o4=0c;f?44i27:m5j522c894g?i388m63>a9;966g<58k2;7<;6i0?1>>o4=0c:0?44i27:m4<522c894g>9388m63>a81966g<58k2<7<;6i?k1>>o4=0c5=?44i27:m;9522c894g1>388m63>a7:966g<58k=97<;6i>;1>>o4=0c47?44i27:m:>522c894g1n388m63>a7f966g<58k=o7<;6i?81>>o4=0c7b?44i27:m9k522c894g3j388m63>a5:966g<583mn7<;6i;<15=;4=0c17?44i27:5k<522c894?a=388m63>9dd966g<58k:h7<a;00e>;61l31>>o4=0;fg?44i27:m<9522c894?c<388m63>9e3966g<583n=7<;6i:n1>>o4=0;gf?44i27:5ik522c894g4i388m63>9e:966g<583o97<;6i;o1>>o4=0c1f?44i27:m>?522c894g69388m63>a04966g<5k8;64>:;<`1`??7=27i>n46049>f7d=19?01o<6234h:j77?5:?a5`<>8<16=4=>:9;3?8`?k33;963k688:40=:n1n15=;4=e55><6234l3i77?5:?g<6<>8<16j5h591789a?720:>70h61;;31>;cih02<852f809=53<5mh<64>:;b2`=19?01i:=:826?8`0m33;963k348:40=:n>n15=;4=e0;><6234l8<16j:l591789a6b20:>70hnb;;31>;bm;02<852f`c9=53<5ln>64>:;bd>=19?01hlm:826?8`f?33;963jad8:40=:n0n15=;4=d1;><6234l2<77?5:?ge<<>8<16j5;591789a0?20:>70h8a;;31>;c8m02<852f659=53<5m:;64>:;bd2=19?01h68:826?8`f;33;963j7`8:40=:nh815=;4=d4g><6234lj=77?5:?f25<>8<16jl>591789`3420:>70h6f;;31>;b:;bi33;963j048:40=:n0315=;4=ed;><6234l2477?5:?gag<>8<16j49591789abb20:>70h66;;31>;cl802<852f819=53<5mi?64>:;|q1;50j31>9j4}r0;g2<72;q6>5m9:36g?8728=094n94}r0;g3<72;q6>5m9:82g?870=;094n84}r6063<72;q68><::36g?824:>035=5rs5118>55rs5111?6=?7:>d69>04b?213;7p};29594?44s4>94:460e9>57>a2=83;63;31f97c`<58<2h7:=869>53bb2=83;63>6g0907>034;=jl4;29589417:3>94:521637>14??27:;>0?>594=045`?250>16=;9=:50;3>;6>>218?68;<353`<3:1=01<873;61<2=:9?2369<77:?22=e=<;2<70?990876=1<58<2:7:=869>53g62=83;63>6`4907>034;=mo4;2958940e93>94:5217`4>14??27::oj543:4?871k:0?>594=04`a?250>16=;j;:50;3>;6>l818?68;<35a=<3:1=01<892;61<2=:9:>j69<77:?0bf7=<9:01>j>d;634>{t;jl;6=4={<1;4`<5=:9;3?xu6n>91<77?{<1;4`<59j16?5>i:33`?85?9h09=n523906>77d3493>54=1b9>7=4>2;;h70=72`815f=:;18i6??l;<1;6f<59j16?577d3493=?4=1b9>7=742;;h70=715815f=:;1;>6??l;<1;53<59j16?5?8:33`?85?9109=n52393:>77d3493=o4=1b9>7=7d2;;h70=71e815f=:;1;n6??l;<1;5c<59j16?577d3493>>4=1b9>7=432;;h70=727815f=:;18<6??l;<1540<59j16?;??:33`?8519<09=n523735>77d349==:4=1b9>737?2;;h70=918815f=:;?:=6??l;<1542<59j16?;>7:33`?8518009=n52372b>77d349=736d2;;h70=90e815f=:;?:n6??l;<154c<59j16?;?>:33`?8519;09=n523730>77d349==94=1b9>7=2a2;;h70=94b815f=:;?>o6??l;<150`<59j16?;:i:33`?851=909=n52417b>77d34972da2;;h70=8c`815f=:;>n>6??l;<14`=<59j16?:j6:33`?850lh09=n5236fa>77d34972bc2;;h70=8c1815f=:;>i:6??l;<14g7<59j16?:m<:33`?850k=09=n5236a6>77d34972e02;;h70=8c9815f=:;>i26??l;<14gg<59j16?:ml:33`?850km09=n5236af>77d34972b72;;h70=8d0815f=:;>n96??l;<14`6<59j16?:j;:33`?850l?09=n5236f4>77d349=>44=1b9>734f2;;h70=92c815f=:;?8h6??l;<156a<59j16?;77d349=9?4=1b9>73342;;h70=957815f=:;??<6??l;<1525<59j16?;8>:33`?851>;09=n523740>77d349=:94=1b9>73022;;h70=967815f=:;?<<6??l;<151=<59j16?;;6:33`?851=h09=n52377a>77d349=9n4=1b9>733c2;;h70=95d815f=:;??m6??l;<1beg<59j16?loj:33`?85fih09=n5238fa>77a349j=:4=1g9>7d0?2;;m70:>2e815f=:<88h6??l;<04<5<59j16>:9i:33`?840?l09=n52265g>77d348<;n4=1b9>621e2;;h70<87`815f=::>=26??l;<12e3<59j16?75f34;m8n4=1b9>5c2c2;;h70?i4d815f=:<8n8657?;|q0<5c=83;>w0=70d8:4a=:;18n6?:k;<10a6<4nl16?>j9:37b?853?j099l52346b>6`b349>9l4=5`9>70?f2;?j70=;f`80b`=:;=ni6?;n;<3517<409o01<9?e;1;4`=:;m896>hj;<3520<409o01>;8a;1ea>;4=kk1?kk4=26:f?5am27:9h?5392f?871mh084=k4=2`:e?5an27::4h5392f?xu4m8:1<73;7<:a:?011g=;ol01>;95;06e>;4=h?1>8o4=2731?5am2788h8524c89402;3936>7n27:;<75392e?871m<084=h4=27;1?5am2789n;53gg8962f>39mi63>6`297=6a34;>il4<81d896dd>39mj637=7f2;>o70=i0`8;=5=z{:2:m7>516y>7=7f20:o70=73`810a=:;:o26>hj;<10`g<5=h16?97=:37b?852=808jh523453>73f349>n=4=5`9>70772:ln70=;f0811d=:9???6>6>a:?0b41=;oo01<8j0;1;5d=:9?oo6>6>a:?2376=;1;j70?9d680<4g<5:>i=7=ie:?01<6=;oo01>;k0;1ea>;4j?:1?kk4=2;66?5an27:9hm5393b?85en;08jk5rs2d72?6=:r784?;525f896`2:322<6s|3906>5<6?r784?;591f896>3=38?h63<3dc97cc<5:9oo7<:a:?00<2=:;:1;1eb>;4=>91>8o4=27a7?42i2789<=53gg8962a;38>m63>64797=42349m9k453`32:29963>6g797=4234;=:n4<8378940c9393>85235`7>6`b349>5>470b42:ln70=m6280b`=:96=5:?0=00=;oo01>lid;1eb>{t;o<96=4={<1;6=<58m16?5:7:36g?854mk08jh5232fg>73f349?584=5`9>70352:ln70=:75811d=:;;4552387f>6`a349i:547f662:lm7p}41|5:29577?d:?0<1?=:=n01>=jc;1ea>;4;mo1>8o4=26:2?42i27898<53gd89630=38>m63<5c7960g<5:?:97=ie:?00c3=:hj;<1e=2<4nl16=:>;:2:1=>;6?9=1?5<6;<3532<40;301<8l7;1;6<=:;=h=6>hj;<16=0<4nl16?8j::2df?85>>=08jh523c4g>6`b349h<84i3:1>v3<83c961b<58=;97=i9`9~w6>5i3:1=:u2390b><6c34938l4=4e9>76cc2:ln70=6`b349?j;4=5`9>533?2:29m63526b2:29m63>66f97=4f34;=o=4<83c8962e?39mi63<97497cc<5:?2:7=ie:?01a0=;oo01>8ib;1ea>;4j>81?kk4=2a3=?5an2wx?km;:18185?:k098i52162`>6`d<2wx?5m63<48:960g<5:?>?7=if:?0121=:;m7;06e>;4=8=1?kk4=26e3?42i27::875390a?85alo08jh521631>6>5j27:;<75390a?87109084?l4=04ae?5?:k16?9l7:2df?8521>08jh5234f4>6`b349<<>47<142:lm70=l0e80bc=:;k==6>hj;|q0b`4=838p1>6=c;07`>;6?891?kk=;|q0<7e=83;3w0=72b8:4a=:;1>h6?:k;<10ac<4nl16?>k>:37b?85310099l523477>6`b349>;54=5`9>70d?2;?j70=:1980b`=:;=l36?;n;<1`a2<4no16?kh9:2df?87091084?m4=0514?5?:j16=;6::2:1g>;6>k>1?5?008jh5234;;>6`b349>h54726e2:ln70=l1080bc=:;k=h6>hj;|q0bcb=838p1>6=d;07`>;6?8k1?khk;|q0<7b=83;3w0=72e8:4a=:;1>o6?:k;<10b5<4nl16?>k=:37b?8531h099l523477>6`a349>;44=5`9>70d>2;?j70=:1880b`=:;=l26?;n;<1`af<4no168=?<:2df?8709o084?j4=045g?5?:m16=;6n:2:1`>;6>ho1?56`b349<=>47f722:lm70=m8080b`=:;l;86>hj;|q0`73=838p1>6>0;07`>;6>?<1?i<:;|q0<46=83;3w0=7118:4a=:;19;6?:k;<10a1<4nl16?>j8:37b?853?m099l523476>6`b349>9o4=5`9>70?e2;?j70=;fc80b`=:;=nh6?;n;<1`13<4no16?k>::2df?85c;008jh52174a>6>6827:::?53933?8710l084<>4=04b=?5?9916?4:l:2df?8531j08jh52345a>6`b349>no450c42:2:<63m7=ie:p7a272909w0=710810a=:;m>i657?;|q0<47=83;=w0=7108:4a=:;19:6?:k;<10a0<4nl16?>j7:37b?853?l099l523476>6`a349>9n4=5`9>70?d2;?j70=;fb80b`=:;=no6?;n;<1`1g<4no16?k:k:2df?85c=808jh521754>6>6927::4=53932?871i=0845d797=76349im?453172:2:=6s|3e7;>5<5s493=?4=4e9>7a00213;7p}<80094?72s493=?460e9>7=552;>o70=73f349?ji471bb2;?j70=l6180bc=:;o<26>hj;<1g2a<4nl16=;9k:2:26>;4m931?kk4=26:a?5am2789:j53gg8963el39mi63>5d597=75349im;453112:2:>6s|3e57>5<5s493=>4=4e9>7a>6213;7p}<80194?72s493=>460e9>7=542;>o70=6`b349?hk4=5`9>7f022:lm70=i8480b`=:;m2<6>hj;<353f<408901<870;1;56=:9?3?6>6>3:?22d5=;1;870=;9g80b`=:;<=n6>hj;<16f`<4nl16=8k7:2:27>;4jhk1?kh4}r1g<`<72;q6?5?;:36g?85c11035=5rs2:20?6=9:q6?5?;:82g?85?;=098i5235:2>73f349>9:4703a2;?j70=:9g811d=:;=lm6>hj;<17a5<5=h16?n8n:2de?8708?084<:4=2f:a?5am27::5?53937?8710<084<:4=04;b?5?9=16=;o7:2:20>;45<5s493=84=4e9>7agc213;7p}<80794?75s493=8460e9>7=522;>o70=;83811d=:;hi;<1625<5=h16?8o?:37b?8528908jh5235g2>73f349h:k4526c2:2:9637aef2:ln70?9ae80<43<5:>j=7=ie:?01=6=;oo01>;l0;1ea>;4jk81?kh4}r1gf=<72;q6?5?9:36g?85ck;035=5rs2:22?6=9:q6?5?9:82g?85?;?098i5235:0>73f349>95470062;?j70=:a0811d=:;<::6>hj;<17a7<5=h16?n9;:2de?8710?084<84=2f`;:2df?853i;08jh5234:2>6`b349>o<47gd12:lm7p}45|5:2:;77?d:?0<61=:=n01>:74;06e>;4=<21?kh4=2756?42i2789l<524c89637:39mi63<4d1960g<5:i<57=if:?0a4d=;oo01<871;1;52=:;mno6>hj;<356>7:?00d5=;oo01>;72;1ea>;4=j81?kk4=2`ae?5an2wx?ik=:18185?91098i523eg`>=?73ty84<650;3085?910272c349?484=5`9>703>2:ln70=:62811d=:;6`b34;=;n4<80:896ba:39mi63>68697=7?34;=554<80:8940en393=55235c7>6`b349>4>470e42:ln70=mbd80bc=z{:nm;7>52z?0<4?=:=n01>k?1;::4>{t;1;26=4>3z?0<4?=19n01>6<9;07`>;4<1<1>8o4=276=?5an2789;:524c8963f<38>m63<51697cc<5:>n97<:a:?0g=5=;ol01>h:3;1ea>;4m9=1?kk4=04:;6>j<1?5?6;<17e0<4nl16?86;:2df?852k=08jh523ca1>6`a34;=;;4<80;8yv5b:l0;6?u2393a>72c349n?547919~w6>6j3:1=>u2393a><6c3493?o4=4e9>71>?2;?j70=:4c80b`=:;<<=6?;n;<16e3<5=h16?8>9:2df?853m>099l523b:g>6`a349m:k47`5b2:ln70?9a580<4d<5853ef2:2:n63<4`597cc<5:?3:7=ie:?01f0=;oo01>lla;1eb>;6>>:1?5?m;|q0a15=838p1>6>c;07`>;4m=n144>4}r1;5f<7289p1>6>c;;3`>;40:i1>9j4=26;=?42i27899l53gd89631?38>m63<5`5960g<5:?;;7=ie:?00`>=:m62;1eb>;4n1h1?kk4=045f?5?9j16?h;<:2df?871i0084;45<5s493=i4=4e9>7`05213;7p}<80f94?74s493=i460e9>7=5c2;>o70=;8`811d=:;<>h6>hj;<162=<5=h16?8o7:37b?8528108jh5235g:>73f349h5:47cd72:ln70?81g80<4b<5:o=47=ie:?22dc=;1;o70?9b280<4b<5871g>2:ln70=:8980b`=:;hj;<1a`7<4no1v>k9d;296~;408o1>9j4=04a4?5b>m1v>6>e;2956}:;1;n64>k;<1;7`<573f349>m44=5`9>706>2:ln70=;e`811d=:;j3h6>hi;<345=<408o01>k8d;1ea>;6>k>1?5?j;<35f<<408o01<8j4;1;5`=:;=kj6>hj;<16<<<4nl16?8m6:2df?85el?08jk523gf0>6`b3ty8i5<50;0x96>6n38?h63>6c497`>53ty8472c349?4n4=5`9>702c2:ln70=:6`811d=:;6>6n278i4<53gg8940ei393=k5217`e>6>6n278h=:53gd8962fj39mi63<59c97cc<5:?hm7=ie:?22`g=;1;m70=md`80bc=z{:o2;7>52z?0<76=:=n01<8mc;1f=2=z{:29<7>513y>7=4720:o70=741810a=:;=2o6?;n;<160a<4no16?88m:37b?852ik099l52342a>6`b349?in4=5`9>7fg12:lm70=j1c80bc=:9>:i6>6=0:?0ad1=;oo01<8l0;1;65=:9?i=6>6=0:?00de=;oo01>;7b;1ea>;4=jh1?kk4=2`ga?5an2wx?hol:18185?:8098i5217a1>6cfk2wx?5<>:1826~;40;;15=j4=2:75?43l27885k524c89633m39mi63<57a960g<5:?jo7<:a:?015e=;oo01>:jd;06e>;4khh1?kh4=2d3f?5an27:;=:53902?85bjj08jh5217a4>6>5927::no53902?853im08jh5234:`>6`b349>on47gc52:lm7p}7<;d:?22f?=;li:7p}<83094?75s493>?460e9>7=252;>o70=;8g811d=:;<>n6>hi;<162a<5=h16?8ok:37b?8528m08jh5235gf>73f349hn=47c342:lm70?9fb80<74<5:ohj7=ie:?22fd=;18970?9d180<74<5:>ji7=ie:?01=b=;oo01>;ld;1ea>;4jl<1?kh4}r1f`1<72;q6?5<<:36g?871km08ii:4}r1;66<7288p1>6=3;;3`>;40=91>9j4=26:4?42i27899h53gg89631m38>m63<5`g960g<5:?;i7=ie:?00``=:mm5;1eb>;6>o>1?5<<;<1e2c<4no16?hk;:2df?871l8084?=4=04g2?5?::16?9oi:2df?8520l08jh5234af>6`b349iil4v3<836961b<585<3:1=?u23907><6c3493894=4e9>71?62;?j70=:4g80bc=:;<i:2df?853n9099l523b`b>6`a34;=i=4<836896`?j39mj6353c32:29863<4c297cc<5:?3j7=ie:?01f`=;oo01>lje;1eb>{t;o;j6=4={<1;63<5:2d2e>{t;18=6=4>2z?0<70=19n01>6;6;07`>;4<091>8o4=2764?5am2789:?524c8963e938>m63<50397cc<5:>m>7<:a:?0gf2=;ol01<86e;1;63=:;oh;6>hi;<1e6d<4nl16=;k::2:12>;6>lh1?5<9;<17f7<4nl16?87>:2df?852l808jh523cd5>6`a3ty8j?h50;0x96>5?38?h63>6d597c4a3ty84?950;0285?:>0272c349>9=470152;?j70=:1380b`=:;>o<6>hj;<3551<40;=01>6:d;1eb>;4j031?kk4=0424?5?:>16?5>7:2de?85e1;08jh523b0e>6`a34;<>?4<835896e6139mj63j7=ie:?0fcd=;oo01>h;6>lh1?5<8;<3520<40;=01<979;1;62=:;<396>hj;<16`7<4nl16?9l<:2df?85e>:08jk523c43>6`a34;94n4<835894069393>:523975>6`a34939:47g?22:lm70=id280bc=z{:<52z?0253=:=n01>88f;::4>{t;?:>6=4<{<1540<>8m16?5j7:36g?851?o08jk5rs24b4?6=:r78:<>525f8960f9322<6s|3733>57=c52;>o70?88080246<58=3>7=9119>52>42:<:<63>7969737734;<484<6028941?>39===5216:4>606827:;5653733?8700908:<>4=24b5?5am2wx?;o=:1818519<098i5237c1>=?73ty8:<;50;1x9606=33;h63<8d5961b<5:7=ie:p73g?2909w0=917810a=:;?k2657?;|q0240=839p1>8>6;;3`>;40l21>9j4=24b=?5am2wx?;on:1818519>098i5237ca>=?73ty8:<950;6x9606?33;h63<8d;961b<58<997=9169>73ge2:ln7p}<6`a94?4|5:<:47<;d:?02db=00:0q~=91983>6}:;?;364>k;<1;ad<5k:1<7831<7mt=242=??7l2784hl525f8941?939==45216:1>606127:;5=5373:?8700=08:<74=05;1?519016=:69:242=>;6?1=1?;?6;<34<=<4>8301<970;155<=:;?h:6>hj;|q023b=838p1>8?6;07`>;4>?o144>4}r1543<72:q6?;>9:82g?85?l0098i52374f>6`b3ty8:;h50;0x9607?38?h63<6629<<6736020:o70?92580251<5:<<<7=ie:p73162909w0=909810a=:9881:p736?2908w0=9098:4a=:;1nj6?:k;<1537<4nl1v>885;296~;4>931>9j4=07g3?51?<1v>8?9;297~;4>9315=j4=2:gf?43l278::853gg8yv51?00;6?u2372b>72c349=;447919~w607i3:18v3<61c9=5b<5:2oo7<;d:?2272=;?:j70=97880b`=z{:<3<7>52z?025d=:=n01>870;::4>{t;?:i6=4;{<154g<>8m16?5jk:36g?871:<08:=l4=24;4?5am2wx?;6;:1818518j098i5237:7>=?73ty8:=m50;6x9607k33;h63<8eg961b<58<997=90b9>73>32:ln7p}<69494?4|5:<;h7<;d:?02=1=00:0q~=90e83>6}:;?:o64>k;<1;`c<5121<7<6c3493i=4=4e9>73>>2:ln70?9228025c736a2;>o70=98`8;=5=z{:<;j7>54z?025`=19n01>6j1;07`>;4>1k1?kk4=0417?518o1v>87e;296~;4>8;1>9j4=24;a?>>82wx?;?>:187851980272c34;=>94<6038960?m39mi6s|37;1>5<5s49==?4=4e9>73?>213;7p}<60094?2|5:<:>77?d:?0<`2=:=n01<8=5;1557=:;?326>hj;|q028>3;07`>;4>0k144>4}r1556<72:q6?;?<:82g?85?m<098i5237;b>6`b3ty8:4k50;0x9606<38?h63<68g9<<6737320:o70=7e7810a=:9?8?6>8>4:?027}:;?:?6?:k;<152f<0?:1v>8?4;293~;4>9>15=j4=2:g3?43l278:;o53gg89601j39mi63>61497363349=j?473ca2:ln7p}<73`94?4|5:<8i7<;d:?037e=00:0q~=93d83>f}:;?9n64>k;<1575<5<3>349h8<46589>7f5b20?270=l3c8:1<=:;j>o64;6;<1`0d<>=016?n:8:87:?85d<=02945rs250=?6=:r78:>h525f89614i322<6s|371e>5<6h591f89604938?h63<8df961b<5:=8m7=if:?0g6?=;oo01>m;4k=81?kk4=2a77?5am278o>h53gg896e3839mi63m;f;1ea>;4k=h1?kk4=2a7g?5am278o9653gg896e3139mi639h657?;|q0212=83>p1>8;4;;3`>;4>:<1>9j4=2:e6?43l278;>m53gd8yv50;m0;6?u23766>72c3499{t;>9m6=4={<1503<5=<1<7:t=2472??7l278:>6525f896>a<38?h63<75297c`73202;>o70=8438;=5=z{:54z?0211=19n01>8<9;07`>;40o?1>9j4=2576?5an2wx?::<:181851<1098i523667>=?73ty8:9650;6x9603033;h63<62c961b<5:2m:7<;d:?0312=;ol0q~=84483>7}:;?>26?:k;<14038;9;290~;4>=315=j4=240f?43l2784k9525f89613>39mj6s|3664>5<5s49=8l4=4e9>722?213;7p}<65c94?2|5:6i8;07`>;4?=21?kh4}r140<<72;q6?;:m:36g?85099657?;|q0216=83>p1>8;0;;3`>;4>:81>9j4=2:fa?43l278;><53gd8yv50;:0;6?u23762>72c3499<4;1eb>{t;>9>6=4={<1507<5=81<7:t=2476??7l278:>:525f896>a838?h63<72497c`73242;>o70=8398;=5=z{:54z?0215=19n01>8<5;07`>;40o;1>9j4=2501098i5236``>2143ty8:;650;4x9601033;h63<927961b<5:38h7=ie:?0==5=;oo01>774;1ea>;4?kk1?kk4}r1;1d<72;q6?5:i:36g?85?=m035=5rs2:7b?6==r7849h591f89141l38?h63;30097c`<5:2>h7=ie:?230>=;1>m7p}<70;94?4|5:<947<;d:?034?=00:0q~=92983>2}:;?8364>k;<356`<4>;201>9n0;1ea>;6:l;1?;<7;<141<<4nl16?:?n:2de?8509008jh5rs24a0?6=:r78:8k5:p737e290>w0=91c8:4a=:;=:=64;6;<1765<3>916?:=n:2df?851l108jh5rs24gf?6=:r78:?>525f8943bj39=ho5rs2414?6=lr78:?>591f89627033>563<4339036<5:=8o7=ie:?774`=9?k019==0;35e>;3;8n1=;o4=512a?71i27??<7517c89156i3;=m63;30`953g<5=9:o7?9a:?02ac=;oo0q~=9e083>7}:;?8:6?:k;<36aa<4>l;0q~=92083>a}:;?8:64>k;<174d<>=016?9<=:543?850;l08jh52423e>40e34>8>=4>6c9>067c28k168>?m:04a?8249j0::o5237g7>6`b3ty8:h950;0x9605:38?h63>5dd973c03ty8:?<50;fx9605:33;h63<41a9=0?<5:>9?7:90:?0316=;oo01>8ja;1ea>;3;8l1=;m4=5114?71k27??c;35g>{t;?oi6=4={<1566<5:24ff>{t;?886=4k{<1566<>8m16?9>j:87:?853:=0?:=523661>6`b349=j84067a28m168>?6:04g?8249h0::i52423a>40c34>8=n4>6e9~w60a?3:1>v3<636961b<58?m?7=9f69~w605<3:1hv3<6369=5b<5:>:<77:9:?0073=9;4;1ea>;3;8l1=;k4=5114?71m27??c;35a>;4>oo1?kk4}r1444<72;q6?;<::36g?872n<08;=?4}r1560<72mq6?;<::82g?8539;0294523505>107349<8;4067a28o168>?6:04e?8249h0::k52423a>40a34>8=n4>6g9>72612:ln7p}<71;94?4|5:<9:7<;d:?21c1=;>:27p}<63494?b|5:<9:77?d:?0042=1<301>:=7;654>;4?=21?kk4=512b?70827???>516289156l3;<<63;30g9526<5=9:57?80:?774g=9>:019=>b;344>;3;8i1=:>4=253a?5am2wx?:?>:181851:>098i5214d:>61692wx?;<8:18g851:>02<3>349?>54;619>722f2:ln70:<1g8234=:<:8;6<9>;<605a<6?8168>?j:052?824900:;<52423b>41634>8=o4>709>067d28=:70=81780b`=z{:52z?024e=:=n01<;j2;15fa=z{:<:o7>5dz?024e=19n01>:?0;;6=>;4<8h18;>4=2506?5am27??a;352>;3;8h1=;84=512g?71>278:n>53gg8yv51k:0;6?u2373g>72c34;>i94<6b18yv519m0;6iu2373g><6c349?717d2=<;70=83580b`=:<:;m6<88;<6065<6>>168>?k:044?8249l0:::52423:>40034>8=l4>669>067e28<<70:<1b8222=:;?i=6>hj;|q02f?=838p1>8>e;07`>;6=l<1?;m6;|q024c=83np1>8>e;;3`>;4<9>15874=262`?218278;>853gg89156n3;=463;332953><5=9:h7?98:?774c=9?2019=>9;35<>;3;8k1=;64=512f?71027??5<5s49==k4=4e9>73b6213;7p}<60d94?e|5:<:j77?d:?004c=9<8;1ea>;3;8l1=;74=5114?71127??c;35=>;4>m81?kk4}r140g<72;q6?;:l:36g?850732c2;>o70=84d8;=5=z{:53z?021b=19n01>:9e;1eb>;4?=o1?kk4}r140c<72;q6?;:j:36g?850=9035=5rs247a?6=;r78:9k591f89621n39mj63<74297cc732a2;>o70=8538;=5=z{:53z?021`=19n01>:80;1eb>;4?<81?kk4}r1416<72;q6?;;?:36g?850==035=5rs2464?6=;r78:8>591f89620939mj63<74697c`;9o4?:3y>053f2;>o70:?5e8;=5=z{=:>m7>59by>053f20:o70:?5e80b`=:;1h26>hj;<1;f4<4nl16?5ll:2df?85?jk08jh5239`b>6`b3493n;47=g52:ln70=:7`80bc=:;hi;<16<0<4no16?8m::2de?8531k08jk5235c5>6`a349?n<471d32:lm70=;b480bc=:;<3;6>hi;<16`5<4no16?9l9:2de?8521:08jk5234f0>6`a349?n:470?32:lm70=:d580bc=:;=h36>hi;<16=0<4no16?8j::2de?853j008jk5234;5>6`a349>h;471df2:lm70=:9680bc=:;hi;<17=f<4no16?877:2de?852l108jk5235;g>6`a349>54470b>2:lm70=;9d80bc=:;<=i6>hi;<16fg<4no16?97i:2de?852?j08jk5234``>6`a349?m=4701c2:lm70=:be80bc=:;=k:6>hi;<163`<4no16?8lj:2de?853i;08jk52345e>6`a349>nk471g42:lm70=:8180bc=:;hi;<17e1<4no16?86>:2de?852k808jk5235c6>6`a349>4?470e52:lm70=;a680bc=:;<286>hi;<16g6<4no16?9o7:2de?8520=08jk5234a7>6`a349?m4470>12:lm70=:c780bc=:;=kj6>hi;<16<2<4no16?8m8:2de?853ik08jk5234:;>6`a349>o5471gd2:lm70=:8880bc=:;hi;<17ea<4no16?86n:2de?852kh08jk5235cf>6`a349>4o470ee2:lm70=;ag80bc=:;<2h6>hi;<16gf<4no16?9l?:2de?8520m08jk5234ag>6`a349?n?470>b2:lm70=:cd80bc=:;<2m6>hi;<16gc<4no16?87>:2de?852l808jk5234;1>6`a349>h?47`752:ln70=i0580b`=:;o>h6>hj;<1e2=<4nl16?k6;:2df?85c6`b349o;n47ag52:ln70=kb680b`=:;mih6>hj;<1ga4<4nl16?ih9:2df?85b8k08jh523d61>6`b349n9:47`0d2:ln70=;b280bc=:;l2:6>hj;<1f=3<4nl16?hom:2df?85bk908jh523df0>6`b349ni547``c2:ln70=i2d80b`=:;o>>6>hj;<1;f2<4nl16?5l;:2df?85?j;08jh5239ce>6`b3493mi47=ge2:ln70=7a880b`=:;1k<6>hj;<1;e0<4nl16?5o<:2df?85?i908jh5239;f>6`b34935n47=?f2:ln70=79980b`=:;13=6>hj;<1;=1<4nl16?57=:2df?85?1908jh5239:f>6`b3493o>47=e62:ln70=7bg80b`=:;1ho6>hj;<1;m:0;290~;4?ko1>9j4=2;gf?77j278m;6511`896e2:3=507c>2;>o70?920803gc<58<>>7=8bd9>7fc02=:;70=la08745=:;j=?69>?;<1`11<4no168>?6:37`?85e1h08jh5rs2a;3?6=?;<1`e3<38916?n96:523?85d0k08jk52423:>73c349io;48:?0e3>=98201>ml0;547>{t;>ij6=4n{<14gd<>8m168?h::36g?8719o08;no4=0460?50kh16?nom:523?85d?l0?<=523b75>167349ho?4067>2;<370=mf380b`=z{:ihh7>54z?03a3=:=n01>7kb;32=>;4i?21=<74=2a`b?10;2wx?:j::18b850l<0272c34;==h4<7e789402=39167349h4>4;019>7f3e2=:;70=ld080bc=:<:;26?9<;<1aba<4nl1v>mk2;290~;4?m21>9j4=2;gf?76j278m;6510`896ec<3=506642;>o70?91e803a><58<>:7=8d99>7fd22=:;70=l8e8745=:;j<;69>?;<1``3<4no168>?n:37`?85d8808jh5rs2ag3?6=290jw0=8d88:4a=:<::?6?:k;<355f<4?m301<8:7;14`<=:;jhj69>?;<1`=7<38916?n8::523?85dlk08jk52423b>73c349h<84e:?0e3>=98o01>mke;547>{t;>nj6=4n{<14`d<>8m168>>::36g?8719k08;io4=0460?<=523b4b>167349hi=4067f2;<370=l0880b`=z{:in=7>54z?03ad=:=n01>7kb;314>;4i?21=?>4=2af7?10;2wx?:jm:18b850lk0272c34;==l4<7e`89402139167349h5n4;019>7f0a2=:;70=le480bc=:<:;j6?9<;<1`4a<4nl1v>mj6;290~;4?mi1>9j4=2;gf?759278m;65133896eb03=5<0s4906602;>o70?91`803ae<588h87=8db9>7fcf2:lm70:<1c811f=:;j;:6>hj;|q0g`d=83>p1>9kd;07`>;41mh1=?:4=2c5<6c34>8<54=4e9>537f2:=oh63>2b1972bc349hik4067e2;?o70=l1480b`=z{:i>97>54z?03f6=:=n01>7kb;324>;4i?21=<>4=2a63?10;2wx?:m?:184850k90272c34;==l4<7b28944b8396`a34>8=o4=699>7g?b2:ln7p}o98;325>;4kq6?:m>:82g?825mj098i52173a>61d927:>ih536a2?85d=l08jk52423a>714349im?42:?0e3>=98801>m91;547>{t;>i96=48{<14g7<>8m168?kk:36g?8719k08;n<4=00ga?50k;16?n8<:2de?8249j099n523cc5>6`b3ty8o;:50;6x961d;38?h63<9e`9545<5:k=47?>3:?0g30=?>90q~=8c283>2}:;>i864>k;<61a`<5;6:mn1?:m<;<1`2=<4no168>?l:37g?85eih08jh5rs2a5=?6=01<hi;<605f<5>116?ooj:2df?xu4k?o1<7:t=25`1?43l2785il5107896g103;:96372e220:o70:=f1810a=:9?;h6>9l5:?26ag=;>i>70=l7380bc=:<:;h6?9<;<1af7<4nl1v>m83;290~;4?j<1>9j4=2;gf?76>278m;65104896e0=3=5<0s4907`62;>o70?91b803f0<588o57=8c79>7f102:lm70:<1e811f=:;kh=6>hj;|q0g2>=839p1>9l7;07`>;4i8=1==l4=2a4e?10;2wx?:m8:184850k>0272c34;==i4<7b58944c0396`a34>8=i4=5e9>7gdf2:ln7p}m8f;547>{t;>i36=48{<14g=<>8m168?h<:36g?8719m08;n64=00g3?50k116?n6>:2de?8249m09:5523c`f>6`b3ty8o5<50;1x961d138?h63<5:i387983:p72e>290hi;<605a<5?:16?om=:2df?xu4k1i1<7=t=25`f?43l278m<9510;896e?m3=5<0s4907`12;>o70?91d803fd<588o?7=8cc9>7f?72:lm70:<1d811f=:;kij6>hj;|q0g<7=839p1>9lc;07`>;4i8=1=72c34;==h4<7ba8944c:396`a34>8=h4=5e9>7geb2:ln7p}m68;547>{t;>io6=48{<14ga<>8m168?h7:36g?8719l08;nj4=00g5?50km16?n7n:2de?8249l09:5523cf1>6`b3ty8o4l50;1x961dm38?h63hi;<605`<5?:16?oj9:2df?xu4kh:1<7=t=25`b?43l278m<95132896ef:3=5<0s4907`f2;>o70?91g803f`<588hi7=8cg9>7fg32:lm70:<1g811f=:;knj6>hj;|q0gd3=839p1>9k0;07`>;4i8=1=??4=2ab3?10;2wx?:j?:184850l90272c34;==k4<7e28944dk396`a34>8=k4=5e9>7gbb2:ln7p}01>mnc;547>{t;>n:6=48{<14`4<>8m168?hl:36g?871:908;i?4=00`f?50l816?noj:2de?8249o09:5523cg1>6`b3ty8olh50;1x961c:38?h63hi;<605c<5?:16?ok9:2df?xu4kk>1<7=t=25g7?43l278m<95103896ee>3=5<0s49460e9>07`b2;>o70?921803a5<588h57=8d29>7fd?2:lm70:<21811f=:;koj6>hj;|q0gg?=839p1>9k4;07`>;4i8=1=<<4=2aaf?10;2wx?:j;:184850l=0272c34;=><4<7e68944d?396`a34>8>=4=5e9>7gcb2:ln7p}ml5;547>{t;>n=6=48{<14`3<>8m168>>>:36g?871:808;i84=00`2?50l?16?nm8:2de?824:909:5523cd5>6`b3ty8on650;4x961c?38?h636:?0e41=98?01>o>7;320>;4kjk1;:=4}r14`2<72>q6?:j8:82g?8248;098i521702>61c?27::8>536f4?875k<08;i94=2a`g?5an27???>52618yv509k0;6?u2370:>72c349<=n47919~w60513:1?v3<63;9=5b<5:2mn7<;d:?034e=;oo0q~=81e83>7}:;?8j6?:k;<145`8=a;297~;4>;k15=j4=2:eg?43l278;72c349<>=47919~w605j3:1?v3<63`9=5b<5:2mh7<;d:?0376=;oo0q~=82083>7}:;?8h6?:k;<14678=c;297~;4>;i15=j4=2:ea?43l278;?<53gg8yv50::0;6?u2370g>72c349<>947919~w605l3:1?v3<63f9=5b<5:2mj7<;d:?0372=;oo0q~=82483>7}:;?8n6?:k;<14638=e;297~;4>;o15=j4=2;34?43l278;?853gg8yv50:>0;6?u2370e>72c349<>547919~w605n3:1?v3<63d9=5b<5:3;=7<;d:?037>=;oo0q~=64e83>7}:;0;i6?:k;<1:17772;29e~;418h1>c:?0=77=:8i01>7=2;02g>;418k1>9k0272c349?:i471052;?j70=:de8:1<=:;::7;1ea>;41=l1?kk4=2;64?5am2wx?4;;:18185>9j098i523875>=?73ty85?477:9:?000?=:9e;1ea>;48o4=2;61?5an278n;;53gg896?2i39mj63<9729056<58?hj7=61b9>7<0>2=::70=:e680b`=z{:3>47>52z?0=4b=:=n01>7:e;547>{t;0;o6=4l{<1:5a<>8m16?4?;:36g?853107349?:k471032;?j70=m6680b`=:;0?j69>?;<1:25<38816=8mi:2;2`>;41?31?kh4=27f=?5am2wx?4;i:18185>9l098i523847>=?73ty85?o77:9:?000d=:80;1ea>;48o4=2`5g?5am2785;>53gd89405?392=h5214ae>6?6m2785;754128963bj39mi6s|3846>5<5s492=k4=4e9>7<01213;7p}<90d94?d|5:3:j77?d:?0=40=:=n01>:;e;;6=>;4<4=2645?5am2788;8524c896d0939mi63>5bd97<7a34;94i4<90d896?1139mi63<5df97cc7<472;>o70=6728436=z{:39<7>5cz?0=76=19n01>7>7;07`>;4<<:15874=266`?2182788;9594;89620?3>=<63<97d97c`<58?o<7=6219>7<1e2=:;70=67680bc=:;hj;<1a33<4no1v>784;296~;41;;1>9j4=2;4=?>>82wx?4<>:18`85>:80272c349?9?46589>713b2=<;70=;688:1<=:;==3698?;<36`5<41;;01>78b;1eb>;6:0:1?4<>;<1:32<4nl16?8h>:2df?85e?j08jk5rs2;4e?6=:r785?<525f896??9322<6s|3801>5?460e9>7<7>2;>o70=;558:1<=:;=?m698?;<172g<>=016?996:543?85>6`b349>j>4534?2:39>63>63;97<45349i4<4v3<90c961b<5:3?o7660:p7<7f2903w0=61`8:4a=:;0;:6?:k;<1725<3>916?99n:543?85>6`b349>j847g3f2:lm7p}<74794?4|5:<>=7<;d:?030b=00:0q~=95083>45|5:<>=77?d:?0=03=<9;01>7:9;1ea>;41?908jh52385;>6`a349<9i47<302:ln70=6498:1<=:9;2o6>8:1:?26<6=;??:70=64g8744=:;0?;6>hi;<1:2g<4nl16?48l:2df?871:108:8?4=041=?51=81v>9:e;296~;4><81>9j4=256b?>>82wx?;;=:1821~;4><815=j4=2;61?27827858753gd89405?39=9?523840>6`b3492;547<1d2:ln70=85g80b`=:;0?86>hj;<1:12<4no16?4:6:2de?85>602:27:>4>53771?85>1673492:o4534?2:<>>63>63;973353492;?47<3c2:ln7p}<77;94?4|5:<>?7<;d:?033g=00:0q~=95283>46|5:<>?77?d:?0=03=;oo01>7:a;1ea>;41?:1?kk4=0413?51=:16?48i:2df?85>?j08jk52386a>6`b349<:l47<2>2=:;70=6668:1<=:;0=>64;6;<1:0c<4no16?4;?:522?871:108:8=4=041=?51=:1v>99e;296~;4><<1>9j4=255b?>>82wx?;;9:180851=?0272c349<:k4:3:1>v3<645961b<58<;>7=8939~w602?3:1?v3<6459=5b<5:3987<;d:?03<5=;oo0q~=89e83>7}:;?<;6?:k;<14=`890;297~;4>?:15=j4=2;1`?43l278;4k53gd8yv501o0;6?u23742>72c3497}:;?<96?:k;<14e=892;297~;4>?815=j4=2;1b?43l278;l653gg8yv50ij0;6?u23740>72c3497}:;?894;297~;4>?>15=j4=2;05?43l278;lk53gg8yv50j90;6?u23746>72c3497<;d:?03g6=;oo0q~=8b383>7}:;?<=6?:k;<14f7896;297~;4>?<15=j4=2;07?43l278;o<53gg8yv50j=0;6?u23744>72c349=;oo0q~=87183>7}:;??36?:k;<14358:8;297~;4><215=j4=2;11?43l278;:>53gg8yv50?h0;6?u2377:>72c349<;l47919~w60213:1?v3<64;9=5b<5:39:7<;d:?032g=;oo0q~=87b83>7}:;??j6?:k;<143a8:a;297~;4>72c34;>jk4<76g8yv51=k0;6>u2377a><6c3492>54=4e9>721a2:ln7p}<79094?4|5:<>o7<;d:?03=5=00:0q~=95b83>6}:;??h64>k;<1:6<<5u2377g><6c3492>l4=4e9>72>12:ln7p}<79594?4|5:<>i7<;d:?03=>=00:0q~=95d83>6}:;??n64>k;<1:6g<5u2377e><6c3492>n4=4e9>72>b2:ln7p}<84694?4|5:2?i7<;d:?0<0>=?>90q~=74d83>2}:;1>n64>k;<604`<4nl168>>i:2df?870:00849k4=05108jk5rs2:64?6=:r78;oj525f89406:3939=5rs25a`?6=61el278;8753gd8yv5fij0;6?u23`ca>72c349jmi47919~w6gf03:1>v3one;;3`>;4ik;1>9j4}r0;g<<728ip1?6l9;;3`>;50o?1>>o4=3;07?44i27949>520a897>b833;963=8d39=53<5;2n>77?5:?1<`2=19?01?6j5;;31>;50>o1>520a897>3n33;963=8429=53<5;2>>77?5:?1<05=19?01?6:4;;31>;50<<15=;4=3:6=??7=2794865917897>b033;963>51096=e>3482?k46=cf2:lm70<73080b`=::12:6>hj;<37b`<50j301?9j9;1ea>{t;09h6=4n{<1:57<59j16?4?<:33`?85>9=09=n523836>77d3492=;4=1b9>7<702;;h70=619815f=:;0;26??l;<1:54<59j16?4=l:9;3?xu41881<7;t=2;26??7l2788>653gg89625l38>m63857=ie:?007c=:l94;1ea>;6>ln1?4?<;|q0=42=83?p1>7>4;;3`>;4<:k1?kk4=261b?42i27::k;53837?85e>108jk5rs2;21?6==r785<;591f89624j39mi63<422960g<587g0c2:lm7p}<90494?3|5:3::77?d:?0067=:hj;<1a37<4no1v>7>7;291~;418=15=j4=2606??2127889<5472896d0139mi63>70397<703ty85<650;7x96?6033;h63<4269=0?<5:>??7:90:?2341=;0;370?85g80=4>7<7>20:o70=;378:1<=:;=>?698?;<345`<418301<990;1:5<=z{:3:=7>54z?0=47=19n01>:;5;654>;6>?k1?4?>;<341`<418;0q~=82883>c}:;?9;6??l;<1574<59j16?;=9:33`?851;>09=n52371;>77d349=?44=1b9>735f2;;h70=93c815f=:;?9h6??l;<157a<59j16?;==:33`?851;:09=n523717>77d349=?84=1b9>50`e2:=956s|3713>5<5s49=?=460e9>7<652;>o7p}<62394?4|5:<8=77?d:?0=55=:=n0q~=93783>7}:;?9=64>k;<1:4=<58<7;296~;4>:=15=j4=2;3=?43l2wx?;=7:181851;10272c3ty8:>750;0x9604133;h63<91`961b735f20:o70=60b810a=z{:<8n7>52z?026d=19n01>7?d;07`>{t;?9h6=4={<157f<>8m16?4>j:36g?xu4>:n1<7<6c3492<94=4e9~w604;3:1>v3<6219=5b<5:3;97<;d:p73532909w0=9358:4a=:;0:=6?:k;|q0263=838p1>8<5;;3`>;419=1>9j4}r1;bg<72=q6?5hm:82g?853;108jk52177b>6>aj27:?>m539da?xu40oi1<7:t=2:eg??7l2788>753gd8943a<393jn523d04>6`a3ty84kj50;6x96>al33;h63<42c97c`<5850`12:2mh6s|39df>5<3s493jh460e9>715e2:lm70?9ed80an3:18v3<8gd9=5b<5:>8o7=if:?22c0=;1lm70?:f`807<6720:o70?9fd80=567<6620:o70?80680=57<588mi7=6009~w6?5;3:1==u23800><6c34;=:=4<9318940cn392>>5217d0>6?5;27::kl53800?8708:085?=4=053e?5>::16=:?>:2;17>;6?8=1?4<<;<345`<41;901<89a;1:66=:9?7=3:?2223=;08870?97c80=75<58<=87=6229>53?a2:39?6s|3807>5<68r785?:591f894018392>95217;f>6?5<27::ih53807?871n:085?:4=04ef?5>:=16=:><:2;10>;6>?l1?4<;;<3530<41;>01<88b;1:61=:9?7=4:?235>=;08?70?80g80=72<58=::7=6259>527c2:39863>67;97<433ty85?j50;7x96?5l33;h63>67297<4c349o<8457`b2:39h63h4?:0gx96?5m33;h63>71597<4b34;<h521603>6?5m27::;m5380f?871?8085?k4=0443?5>:l16=;9k:2;1a>;6>1:1?47=e:?22<5=;08n70=j088745=:9?k86>7=e:?22d>=;08n70?9ae80=7c<5853d>2:39i63>6cd97<4b34;=o;4<93g8940di392>h5217f3>6?5m27::i85380f?871m=085?k4=04fe?5>:l16=;;k:2;1a>;4l9?1?kh4=2g13?2782wx?4:o026`b34;=:=4<93d89402j392>k52162;>6?5n27:;=h5380e?8709?085?h4=052`?5>:o16=;86:2;1b>{t;09;6=4l{<1:75<>8m16=;j6:2;04>;6>ln1?4=?;<35b0<41::01<8id;1:75=:9>:j6>7<0:?2347=;09;70?81680=66<58=:i7=6319>530f2:38<63h7=6319~w6?493:1=?u23812><6c349hj?456272:38=63>35397<5634;88?4<92389453;392?<521267>6?4927:?9653812?874=8085>?4=0166?5>;816=>;<:2;05>;6;<>1?4=>;<3010<41:;01<=:6;1:74=:9:?<6>7<1:?270>=;09:70?95e80=67<58?u23811><6c349n>?47cd>2:lm70=idc80bc=:;ol>6>hi;<6357<4no16?i=7:2de?85c=908jk523e4`>6`a349o4;47a?c2:lm70=kb380bc=:;mi<6>hi;<1g`f<4no16?ih>:2de?85b8?08jk523d1g>6`a349n9?47`002:lm70=j7b80bc=:;l3:6>hi;<1fe3<4no16?hlm:2de?85bm:08jk523dd;>6`a349m>447c5b2:lm70?95e80=64<5:l:87=if:?0b0e=;ol01>h88;1eb>;4n0>1?kh4=2g`g?5an278h;:0;64u23810><6c349o<>4533e2:38?63>71:97<5434;<392?>52163g>6?4;27::;753810?874;<085>=4}r1:71<72:q6?4=;:82g?85dnm08jk523e32><3>3ty85?;50;0185>:<026?5=27::h>53806?871n=085?;4=04eg?5>:<16=:>;:2;11>;6?9h1?4<:;<3457<41;?01<9>8;1:60=:9>;m6>7=5:?223d=;08>70?97b80=73<58<3=7=6249>53>12:39963>69c97<4234;=4k4<9378940><392>85217;;>6?5=27::l:53806?871i0085?;4=04ba?5>:<16=;l;:2;11>;6>kk1?4<:;<35g5<41;?01<8l7;1:60=:9?ii6>7=5:?22a7=;08>70?9d680=73<5853ce2:39963>67797<4234;=m=4<937894008392>8521755>6?5=2wx?4<9:1816~;41;<15=j4=046a?5>:?16=;7j:2;12>;6>l:1?4<9;<35b1<41;<01<8ic;1:63=:9>:?6>7=6:?235d=;08=70?81380=70<58=:47=6279>527a2:39:63>67`97<4134;=;n4<9348940?9392>;5217:5>6?5>278hno53gd8940?n392>;5217;7>6?5>278i=753gd8940f<392>;5217c:>6?5>27::lk53805?871j=085?84=04ae?5>:?16=;m?:2;12>;6>j=1?4<9;<35gg<41;<01<8k1;1:63=:9?n<6>7=6:?22`3=;08=70?96480=70<5853172:39:63>66497<413ty85?950;0185>:>026?5?27::;k53804?871?0085?94=04;6?5>:>16=;68:2;13>;6>1h1?4<8;<35=5<41;=01<865;1:62=:9?326>7=7:?22d3=;08<70?9a`80=71<5853d22:39;63>6c`97<4034;=o<4<9358940d0392>:5217a`>6?5?27::i<53804?871l1085?94=04f2?5>:>16=;kl:2;13>;6>;=6>7=7:?234b=;08<70?96880=71<58<>j7=6269>53142:39;63>6e`97<4034;=ik4<9358940a?392>:5217de>6?5?2wx?4<7:1816~;41;215=j4=2f57?5am278hol53gg896bai39mi63<5:o::7=ie:?0b50=;oo01>h;e;1ea>;4n?k1?kk4=2d;2?5am278h9953gg896b0l39mi63jj5;1ea>;4m:;1?kk4=2g72?5am278i:>53gg896c?=39mi63kjc;1ea>;4n8n1?kk4=2d01?5am27?<=753gg896`bk39mi6344|5:39577?d:?0gc4=;oo01<=;0;1:6<=:9:>:6>7=9:?2714=;08270?<4280=7?<589?87=6289>562?2:39563>34397<4>34;89?4<93;89452;392>4521277>6?5127:?8;5380:?874=?085?74=0163?5>:016=>;7:2;1=>;6>77|5:39m77?d:?22a?=;08j70?9ee80=7g<5853`c2:39m63>71597<4f34;<l521603>6?5i27::;m5380b?871?8085?o4=0443?5>:h16=;9k:2;1e>;6>1:1?4jla;634>;6>1o1?47=a:?22d>=;08j70?9ae80=7g<5853d>2:39m63>6cd97<4f34;=o;4<93c8940di392>l5217f3>6?5i27::i85380b?871m=085?o4=04ff?5>:h16=;;i:2;1e>;6>0l1?47=b;;3`>;6>7=c;297~;41;i15=j4=046a?5>:j16?nhj:2df?xu40m=1<7:t=2:g3??7l27:9kl539f4?850:o08jk52360g>6`b3ty84hm50;0x96>bk33;h63>67697=cd3ty84hj50;0x96>bl33;h63>68g97=cc3ty84k<50;0x96>a:33;h63>6ed97=`53ty84k=50;0x96>a;33;h63>6g197=`43ty84k:50;0x96>a<33;h63>6g`97=`33ty84k;50;0x96>a=33;h63>71197=`23ty84k850;0x96>a>33;h63>71:97=`13ty84k950;0x96>a?33;h63>71d97=`03ty84k650;0x96>a033;h63>70497=`?3ty84k750;0x96>a133;h63>70f97=`>3ty84hk50;0x96>bm33;h63>67;97=cb3ty84hh50;0x96>bn33;h63>67d97=ca3ty84k>50;0x96>a833;h63>66797=`73ty84k?50;0x96>a933;h63>66`97=`63ty848<50;0285?:l09=n52390e>77d3493?l4=1b9>7=222;;h70=749815f=:;1>26??l;<1;0d<59j16?5:m:33`?85?77d3493?=4=1b9>7=562;;h70=733815f=:;1986??l;<1;71<59j16?5=::33`?85?;?09=n523914>77d3493?54=1b9>7=5>2;;h70=73c815f=:;19h6??l;<1;7a<59j16?5=j:33`?85?;o09=n523963>77d34938<4=1b9>7=252;;h70=742815f=:;1>?6??l;<1;03<59j16?5:8:33`?8719:0848<4}r1;6`<728>p1>6=e;;3`>;4ijn1?kk4=2c`6?5an278moj53gd896ge=39mi63>62c97=4b34;9in4<83g8944bl393>h5213ge>6>5m27:>k85390f?87511084?k4=2ca2?5an278h=754128944bm393>h5213d6>6>5m27:>k>5390f?875n8084?k4=00e;6:o>1?5hi;<1bg7<4nl16?llk:2df?875m=084?h4=040e?5?:o16?h?j:523?875mj084?h4=00f`?5?:o16=?ki:2:1b>;6:o<1?5om6;1ea>;6:lo1?56=f:?26c>=;18m70?=f680<7`<588m87=72g9~w6>4i3:1=<6c34>;mk4=4e9>7g652=:;70=nf`80bc=:<:;m6<:6;<6065<6<0168>?k:06:?8249l0:8452423:>42>34>8=l4>489>067e28>270:<1b820<=:9;3h6>67c6b2=:;7p}<85794?76s49388460e9>05d32;>o70=m0380bc=:;hlj69>?;<605c<642f34>8=44>4`9>067f28>j70:<1c820d=:<:;h6<:n;<31=f<40=?01<<69;1;00=:9;3n6>6;5:?26f>=;1>>70=i578745=z{:2?47>514y>7=2?20:o70:?b4810a=:9;o?6>6;8:?0f52=;oo01<6;8:?26``=;1>370:<1g820g=:<:8;6<:m;<605a<6?j:06a?824900:8o52423b>42e34>8=o4>4c9>067d28>i70=i738745=:9;on6>6;8:?26c3=;1>370?=f180<1><588m=7=7499>57`32:2?46s|396:>505d12;>o70?94680<1?<5=9:j7?;c:?7776=9=i019=>d;37g>;3;8o1=9m4=512=?73k27??f;37`>;3;;:1=9j4=512`?73l27??hmc;634>{t;1>i6=4l{<1;0g<>8m168=l7:36g?871<00849l4=512b?73m27???>515g89156l3;?i63;30g951c<5=9:57?;e:?774g=9=o019=>b;37a>;3;8i1=9k4=2dgg?2782wx?5:l:18`85?72c34;=8l4<85a89156n3;?j63;332951`<5=9:h7?;f:?774c=9=l019=>9;37b>;3;8k1=9h4=512f?73n27??65`97=2c34>8=k4>519>064728?;70:<1e8215=:<:;n6<;?;<605<<6=9168>?n:073?8249k0:9=52423`>43734;<=n4<85f8yv5?;90;6nu23913><6c34>;m54=4e9>53572:28<63;30d9513<5=99<7?;5:?774b=9=?019=>e;371>;3;831=9;4=512e?73=27??67:97=573ty84>?50;ax96>4933;h63;0`;961b<58<8=7=7309>562d2:28=63;30d9510<5=99<7?;6:?774b=9=<019=>e;372>;3;831=984=512e?73>27??505gf2;>o70?93380<64<5=9:j7?;7:?7776=9==019=>d;373>;3;8o1=994=512=?73?27??4;3:1ov3<8219=5b<5=:jn7<;d:?2265=;19870:<1g820==:<:8;6<:7;<605a<6<1168>?j:06;?824900:8552423b>42?34>8=o4>499>067d28>370?97`80<657=5320:o70:?ab810a=:9?9?6>6<4:?222`=;19?7p}<82794?2|5:28977?d:?74db=:=n01<8<5;1;70=:9?2?6>6<5:p7=51290?w0=7378:4a=:<9kn6?:k;<3573<40:<01<879;1;73=z{:28;7>53z?0<61=19n01<8<7;1;72=:9?2o6>6<7:p7=5?2908w0=7398:4a=:9?936>6<8:?22<4=;1937p}<82;94?5|5:28577?d:?226?=;19270?99680<6?7=5e20:o70?93c80<6d<587=73c9~w6>4k3:1?v3<82a9=5b<58<8o7=73b9>53g02:28o6s|391g>5<4s493?i460e9>535c2:28h63>6`a97=5c3ty84>k50;6x96>4m33;h63;0c2961b<58<8i7=73d9>53d52:28i6s|391e>5<3s493?k460e9>05d62;>o70?93g80<6`<58383:18v3<8529=5b<5=:i>7<;d:?2216=;1>;70?9bd80<167=2620:o70:?b2810a=:9?>:6>6;1:?22f2=;1>:7p}<85094?4as4938?460e9>066b2:lm70:<0g80bc=:9;o36>6;2:?26=`=;1>970?94380<14<5=9;h7:?0:?237?=;1>970?99e80<14<5853`52:2?>63>6gc97=2534;<6>3:27::;953961?871>m0849<4=0446?5?<;16=;97:2:76>;6>>o1?5:=;<35<6<40=801<878;1;07=:9?2h6>6;2:?22<7=;1>970?99780<14<5853g12:2?>63>6``97=2534;=n<4<8508940e?3938?5217`g>6>3:27::n=53961?871kl0849<4=04g0?5?<;16=;k=:2:76>;6>l21?5:=;<3527<40=801<86b;1;07=:9?nh6>6;2:?22c6=;1>970?9f980<14<58=;<7=7439>533d2:2?>63=;1>970=k1e80b`=z{:2??7>53z?0<15=19n01<8;3;1;06=:9?im6>6;3:p7=232908w0=7458:4a=:9?>?6>6;4:?22a3=;1>?7p}<85494?5|5:2?:77?d:?2213=;1>=70?9e280<107=2020:o70?94780<11<583:1>v3<927966g<58<9m7=6879~w6?4=3:18iu23816><6c34;==94<92789406=392?8523c;1>167349h>k452452:3896353742:38963>2dc97<5234;<><4<927896>713>;<63>29a97<5234;=6?4=27:;;4=053g?5>;<16=:>::2;01>;6>8;1?4=:;<36b7<41:?01>9j3;1eb>;6=m>1?4=:;<1:7a<38816=;<9:2;01>;6;=n1?4=:;<300`<41:?01<=;f;1:70=:9?h;6>7<5:?22g0=;09>70?9bb80=63<587=6349>53e>2:38963>6bf97<5234;=h>4<9278940b9392?85217g4>6?4=278oh;53gg896eb839mi63ml2;1ea>;4k1h1?kk4=2a60?5am278ohh53gg896ebi39mi63mm8;1ea>;4kk91?kk4=2aba?5am278ol753gg896ef<39mi63m60;1ea>;4k1<1?kk4=2a;5?5am278o:m53gg896e0?39mi63=;oo01>m93;1ea>;4k>3>;<63<7g19=0?<5:lim7=ie:?26cc=;09>70?<3480=63<5:886>6k8:p7=c52909w0=7e38:4a=:9>886>6j2:p7=c02909w0=7e68:4a=:9>886>6j7:p7=c?2909w0=7e98:4a=:9>8?6>6j8:p7=c>2902w0=7e88:4a=:9?:i6>6j9:?2372=;1o270?94b80<`?<588nm7=7e89>56202:2n563>35f97=c>34;88h4<8d;89453n393i45rs2:fe?6=:r784ho591f896e613>;=6s|39ga>5<5s493io460e9>7f7>2:ln7p}<8e;94?>|5:2o577?d:?2372=;1n270?:f`8050`12:2o563>5g697=b>34;8?n4<8e;8943c;393h45rs2:ge?6=:r784io591f89415<393hl5rs2:gf?6=jr784il591f89407j393ho521772>6>cj278:hm53gd89616;39mj63<71`97c`<5:=;?7=if:?02cd=;ol01>9j3;1ea>;6=m91?5jm;<15b<<4nl1v>6kc;297~;40mi15=j4=043g?5?lj16=;:j:2:gg>{t;1no6=4i{<1;`a<>8m16?:k8:2de?8719<084ij4=043g?5?lm16?o7=:2de?85d:o0?<=521772>6>cl27:;?<539fg?85d900?<=52176`>6>cl27::9h539fg?85?800?<<5213:`>6>cl278n4853gd896d2939mi6s|39ff>5<>s493hh460e9>536d2:2oi63>65a97=bb3493:5453602:2oi63<94097cc<58?o87=7dd9>7<5c2:lm70?927807=ba20:o70?9138053362:2oj63>73197=ba34;8?n4<8ed89453?393hk5214f0>6>cn2wx?5k?:18085?m9026>b827::=h539g3?xu40l;1<7;t=2:f5??7l278;ko53gg894060393i<52176f>6>b927::=6539g2?xu40l91<7=t=2:f7??7l278;h?53gg89407l393i>5rs2:f0?6==r784h:591f8961al39mi63>60:97=c334;=8h4<8d6894070393i95rs2:f1?6=jr784h;591f89407l393i8521772>6>b=278:hm541289616;3>;<63<71`9056<5:=;?7:?0:?02cd=<9:01<=;7;1;a0=:96j5:?02c?=<9;0q~=7e783>6}:;1o=64>k;<1;4f<4nl16=;>k:2:f2>{t;hh96=4={<1bf4<5;h16?ll<:9;3?xu4ik;1<7;t=2ca5??7l2784=m53gd8961b939mj63<87297c`<5:=nj7=if:p6=`02909w0<7f4810a=::1l<657?;|q1;6?mn1?kj4=3:e3?5am2wx>4=8:18184>;:098i52142`>7?4?2wx>4=<:18784>;:026`c3482?54506d2;38?6s|2962>5<4s4838=4=4e9>6=`02=:;70<7f`8436=z{;2?<7>511y>6=2720:o70<7dg815f=::09h6??l;<0:7a<59j16>4=j:33`?84?m909=n5229g2>77d3483i?4=1b9>6=c42;;h70<7e7815f=::1o?6??l;<0;a0<59j16>5k8:33`?84?m109=n52142a>7>382795>h53gd8yv5>8;0;6>u23821><6c3498i>453032:3;>6s|3820>5<4s492<>460e9>76c?2:lm70?99g80=557<6?20:o70=7?8:p7<6>2908w0=6088:4a=:;:oj6>hi;<35b6<41930q~=60`83>6}:;0:j64>k;<10ag<4no16=;hm:2;3e>{t;0:i6=4<{<1:4g<>8m16?>kl:2de?8708:085=l4}r1:4f<72:q6?4>l:82g?854mm08jk52162b>6?7k2wx?4>k:18085>8m026`a34;<=<4<91f8yv5>8l0;6>u2382f><6c3498ik452702:3;i6s|382e>5<4s49276`72:lm70?81d80=5`7<6320:o70=7?4:p7<622908w0=6048:4a=:;:o>6>hi;<352c<419?0q~=60783>6}:;0:=64>k;<10a3<4no16=;9::2;32>{t;0:<6=4<{<1:42<>8m16?>k8:2de?871?k085=94}r604<<72;;p19;3:lk1>520a89157;38:o63;316964e<5=9;97<>c:?7750=:8i019=?7;02g>;3;921>hm520a8914bl38:o63;2dg964e<5=8nj7<>c:?76c6=:8i019;3:o81>k:520a8914a>38:o63;2g5964e<5=8m47<>c:?76c?=:8i019;3:oh1>kj520a8914am38:o63;2gd964e<5=9;=7<>c:?7754=:8i01<9:8;604<=z{=8h57>55by>07c>20:o70?>05810a=:99i86?:k;<33fg<572c34;;n>4=4e9>55?42;>o70??8c810a=:993i6?:k;<3336<572c34;;9>4=4e9>55042;>o70??38810a=:999:6?:k;<330=<572c34;;<<4=4e9>556>2;>o70hj3;07`>;alk098i52fd`961b<5oi26?:k;4=4e9>55ce2;>o70??e2810a=:99l86?:k;<33`g<572c34;;oo4=4e9>bde=:=n01kh6:36g?876mm098i5210a;>72c34;:5=4=4e9>b7g=:=n01k?9:36g?8759;098i521`6;>72c34h2;7<;d:?1233=:=n01?8:1;07`>;5>9j4=34aa?43l279:o9525f8970fl38?h63=6`4961b<5;;5>>21>9j4=34;2?43l279:;k525f89700m38?h63;0e5961b<5=;2h7<;d:?06a5=:=n01>>?d;07`>;5l:;1>9j4=3f6=?43l279h<>525f897b1138?h63=f25961b<5;l8h7<;d:?1b50=:=n01?h:5;07`>;58>k1>9j4=0g0`?43l27:5kj5412894?c;39mj63m778745=:9mhj;<3ag<<4nl16>k7;:522?875n:0?>n74=3ff1?279279nh853gg897c?=39mi63i76810a=:n091>9j4=3::a?42k2794l9524a89g572:lm7p};2e694?3fs4>9il460e9>54622;>o70??c5810a=:99hh6?:k;<33bf<572c34;;594=4e9>55>d2;>o70??9b810a=:99=?6?:k;<332f<572c34;;:94=4e9>555f2;>o70??33810a=:99>26?:k;<335d<572c34;;b`2=:=n01kjl:36g?8`bk38?h63ic`810a=:nj81>9j4=gf7>72c34;;in4=4e9>55c32;>o70??f5810a=:99nh6?:k;<33`1<572c34ljh7<;d:?ebd<572c34l8<7<;d:?e5g<5;5>kl1>9j4=34a;5>1=1>9j4=345b?43l279::h525f8917>m38?h63>c97961b<58kn=7<;d:?06a2=:=n01>>?e;07`>;5l9l1>9j4=3f6e?43l279h;58>h1>9j4=0g0a?43l27:m=>5412894?b039mj63m798745=:9m2=6>hj;<3aa5<4nl16>okn:2df?84b0008jh52f6c961b<5o3=6?:k;<0;=c<5=j16>5o8:37g?8d3?39mj6s|43fe>5<2ir7?>k;591f89477>38?h63>0b7961b<58:ih7<;d:?24cb=:=n01<>nd;07`>;68h?1>9j4=02a1?43l27:<4;525f8946?l38?h63>08f961b<58:<97<;d:?243b=:=n01<>8d;07`>;689j4=0261?43l27:<;;525f89464j38?h63>021961b<58:?m7<;d:?244d=:=n01<>>3;07`>;68;h1>9j4=0237?43l27:<=l525f89cc22;>o70hkd;07`>;amm098i52fb`961b<5oi86?:k;0g7961b<58:oh7<;d:?24a3=:=n01<>75;07`>;68;91>9j4=02``?43l27mmh4=4e9>bcd=:=n01;69ji1>9j4=03ae?43l27m?<4=4e9>b74=:=n01<<>6;07`>;6i=91>9j4=c;e>72c348=::4=4e9>63342;>o70<961810a=::?i;6?:k;<05f<<5;oi:36g?841i1098i5227c1>72c348=554=4e9>63?52;>o70<97`810a=::?236?:k;<0535<5;6?:36g?826i>098i521b:5>72c34;ji?4=4e9>77b22;>o70=?14810a=::m<:6?:k;<0g5=<5i=?:36g?84c?8098i522g15>72c348m844=4e9>6c752;>o70l>0?<=52b6c9056<58n2o7=ie:?2fc0=;oo01?li5;1ea>;5mh>1?kk4=g:6>72c34l2;7<;d:?1;e>?:82g?8768>098i5211a5>72c34;;nh4=4e9>55`b2;>o70??ad810a=:99k=6?:k;<33f3<572c34;;;;4=4e9>550b2;>o70??7d810a=:99?n6?:k;<3313<572c34;;8o4=4e9>557d2;>o70??15810a=:998h6?:k;<3341<5l:36g?8`b>38?h63idd810a=:nlo1>9j4=ga`>72c34lh87<;d:?e`3<572c34;;hh4=4e9>55b12;>o70??87810a=:998?6?:k;<33g`<5o70?>f3810a=:98io6?:k;<32g0<5<525f89c402;>o70?=16810a=:jh:1>9j4=345;5>h91>9j4=34:=?43l279:4=525f89700j38?h63=69;961b<5;<<=7<;d:?12=7=:=n019?n8;07`>;6k1=1>9j4=0cf7?43l278>i8525f89666>38?h63=d20961b<5;n=>7<;d:?1`4?=:=n01?j82;07`>;5n:21>9j4=3d7a?43l279j<9525f897`1>38?h63=06f961b<58o?:7<;d:?2=`c=;ol01<7ka;634>;e?j0?<=521ec1>6`b34;ijn46g`02:ln709j4=g;;>72c3483m<4=5b9>6=g02;=870l;d;1eb>{t<;o86=4:5z?7755=19n01;68j=1>9j4=02ab?43l27:0`5961b<58:i;7<;d:?24<1=:=n01<>7f;07`>;680l1>9j4=0243?43l27:<;h525f89460n38?h63>04d961b<58:>;7<;d:?2431=:=n01<>;68:?1>9j4=027g?43l27:<03f961b<58:;97<;d:?245b=:=n01kk8:36g?8`cn38?h63ieg810a=:njn1>9j4=ga6>72c34lo;7<;d:?24``=:=n01<>j7;07`>;68o=1>9j4=02gb?43l27:037961b<58:hj7<;d:?ef5<51bg961b<58;o>7<;d:?25<3=:=n01k=<:36g?8`4?38?h63ma0810a=::?<26?:k;<0510<5;8=:36g?841k;098i5227`a>72c348=n<4=4e9>63gf2;>o70<99`810a=::?2j6?:k;<0537<572c3499h:4=4e9>75702;>o70i9<:36g?84a72c348m?l4=4e9>6c002;>o70<6?:k;<3:b4<4no16=4jk:523?8d0m3>;<63>d`697cc<58hmi7=ie:?1fc?=;oo01?kn8;1ea>;a1m098i52f8;961b<5;2j>7<:c:?1=:1<7;>{<6041<>8m16=<>6:36g?877k1098i5211a3>72c34;:<=4=4e9>55d72;>o70??a9810a=:99h36?:k;<33==<572c34;;;=4=4e9>55>72;>o70??61810a=:99?36?:k;<332=<572c34;;=h4=4e9>55712;>o70??2d810a=:99:=6?:k;<334`<5o70hi0;07`>;akl098i52fb4961b<5on36?:k;<33b5<572c34;;h54=4e9>55>?2;>o70??27810a=:99n;6?:k;54`32;>o70?>cg810a=:98no6?:k;<32=3<5:525f89c262;>o70ln2;07`>;5>?k1>9j4=3462?43l279:o<525f8970>j38?h63=69`961b<5;<;6ilh1>9j4=20g;5n831>9j4=3d0f?43l279j;6525f89760n38?h63>e5:961b<583m87=if:?2=`6=<9:01o6?:523?87ci?08jh521b23>6`b348ijo46`gf2:ln70hn7;07`>;a1h098i5229c0>73d3483m54=5e9>f05=;ol0q~:=e483>7d|5=9;977?d:?255g=:=n01;69o<1>9j4=03g4?43l27:=h6525f8947>?38?h63i34810a=:n=81>9j4=cc0>72c348=:o4=4e9>63302;>o70<9b2810a=::?3h6?:k;<05;9;:36g?826ik098i521b:f>72c34;jil4=4e9>77b>2;>o70=?18810a=::m<>6?:k;<0g5f<5i=9:36g?84c?<098i522g72>72c348m=l4=4e9>6c5d2;>o70m:0?<=52b909056<58nj47=ie:?2g54=;oo01?lid;1ea>;5mhi1?kk4=gc;>72c34l2n7<;d:?1;e=?08jk5rs50f2?6=:kq68>>9:82g?876n>098i5210f2>72c34;:j84=4e9>54??2;>o70h<6;07`>;a<:098i52b`6961b<5;<=o7<;d:?120>=:=n01?8m4;07`>;5>0n1>9j4=34;`?43l279::;525f8917fk38?h63>c85961b<58km?7<;d:?06ag=:=n01>>>a;07`>;5l?<1>9j4=3f2`?43l279h:8525f897`2:38?h63=f0`961b<5;l=m7<;d:?14=7=:=n01;e0=08jk5218db>6`a34;2i;4;019>5agf2:ln70?l0580b`=::m2m6>hi;<0abc<4nl16>hoj:2df?874;l0?>h84=gc:>72c34l2o7<;d:?1;e=008jk522e;6><3>34;>;54;2d48yv25m>0;6212961b<58;257<;d:?e7=<5o70:>ae810a=:;9;i6?:k;<0g22<5i?j:36g?84c?>098i522g70>72c348m=n4=4e9>6c0e2;>o70?j4c810a=:90lo6>hi;<3:`6<38916=iol:2df?87d8?08jh522b22>6`b348nn=4bdg=:=n01k7j:36g?8d2k39mj6s|43g;>5<6kr7??=6591f8947a138?h63>1e6961b<588;n7<;d:?25i87:36g?84c9o098i522e5b>72c348o;54=4e9>6c332;>o7016734;omh45f6?2:ln70hj;f0`=;ol0q~:=c`83>4e|5=8nn77?d:?25cg=:=n01;690>1>9j4=03:f?43l27m?l4=4e9>b4e=:=n01o76:36g?8261o098i52312e>72c348o9o4=4e9>6a752;>o70k>7:36g?84a>o098i522g74>72c34;n?k4=4e9>5hj;<3agf<4nl16>ok6:2df?84b0108jh52f6`961b<5ok;6?:k;<`07?5an2wx8?mm:182e~;3:li15=j4=03ef?43l27:=i8525f8947>n38?h63>18a961b<5o9i6?:k;04g72;>o70=?11810a=::m?h6?:k;<0g56<5i8l:36g?84a<9098i522g2:>72c348m954=4e9>5`272;>o70?6ed8745=:90nj6>hi;<3g31<4nl16=omj:2df?84emk08jh522d:b>6`b34l853gd8yv25kj0;61`c961b<58;2h7<;d:?e7f<5o70:>a0810a=:;9;:6?:k;<0g1a<5i?;:36g?84c>m098i522g62>72c348m6c3>2;>o70?j40810a=:90l:69>?;<3:`a<4no16=i99:2df?87el908jh522cgg>6`b348n4n4b2b=:=n01ko=:36g?8d4139mj6s|43ag>5<6ir7?>hk591f8947al38?h63>1e:961b<58;i?7<;d:?25i;j:36g?84c9<098i522e4f>72c348m8?4=4e9>6c6e2;>o7096?:k;<3:b1<38916=4k?:2de?87c?108jh521cf1>6`b348iik46`>b2:ln70h8e;07`>;ai:098i52b2a97c`9oh4?:0cx914bn33;h63>1gg961b<58;o57<;d:?25g2=:=n01;a;l098i52f32961b<5k3o6?:k;<62e6<572c348o:k4=4e9>6c242;>o70m:08jk521e5b>6`b34;ih946g`62:ln70l1>9j4=gc7>72c34h8j7=if:p07ea290:mv3;2g29=5b<58;mj7<;d:?25ag=:=n01;69h;1>9j4=g1e>72c34l9=7<;d:?a=`<572c348o=:4=4e9>6a172;>o70nh0?<=5218g5>6`a34;o;n45gb12:ln70hj;f12=1<30q~:=d183>44|5=8m=77?d:?2657=:=n01;69k<1>9j4=gc5>72c34l3=7<;d:?25d4=:=n01k:?:36g?826i<098i524013>72c348m884=4e9>6c6b2;>o70?;<3b56<4no16=i9j:2df?87el108jh522d;7>6`b3ty?>i?50;33825n;0272c34;:hn4=4e9>54d02;>o70h72;07`>;69h91>9j4=53b2?43l27?=>:525f897`3>38?h63=f1d961b<5;l>i7<;d:?2e14=<9:01;6l1:1?kk4=0`ge?5am279i4853gg8yv25l;0;6hu243d0><6c34;9<>4=4e9>54bb2;>o70?>b9810a=:n191>9j4=03b0?43l279j99525f897`6838?h63=f4d961b<58k9h7=if:?2e4?=<9:01;6jmi1?kk4=3g:72c34;:hk4=4e9>54d>2;>o70h74;07`>;69h?1>9j4=3d7a2297c`<58k:o7:?0:?2`=2=;oo01;5m0k1?kk4}r61`0<72lq68?h9:82g?8758<098i5210g3>72c34;:no4=4e9>b=0=:=n01;5n=k1>9j4=3d27?43l279j;<525f894g4;39mj63>a0d9056<58n347=ie:?2f`4=;oo01?k6d;1ea>{t<;n=6=4j{<61b2<>8m16=?>9:36g?876m8098i5210``>72c34l3;7<;d:?25d1=:=n01?h;b;07`>;5n8>1>9j4=3d57?43l27:m>853gd894g5:3>;<63>d9c97cc<58hn87=ie:?1a<`=;oo0q~:=d683>`}:<;l364>k;<3142<55;07`>;5n?>1>9j4=0c0=?5an27:m?;5412894b?k39mi63>bd497cc<5;oj=7=ie:p07b?290nw0:=f88:4a=:9;:36?:k;<32a6<51`;961b<5;l?h7<;d:?1b40=:=n01?h95;07`>;6i:i1?kh4=0c19h44?:`y>07`f20:o70?=08810a=:98o?6?:k;<32fc<5a2d97c`<58k:?7:?0:?2`<6=;oo01{t<;nj6=4n{<61bg<>8m16=?>n:36g?876m<098i5210a3>72c34l3n7<;d:?25de=:=n01;6i;k18=>4=0f:6?5am27:nhm53gg8yv25lk0;6ou243d`><6c34;954c12;>o70?>c0810a=:98ko6?:k;d8697cc<58hni7=ie:?e1`g961b<5o8?6?:k;<3b75<38916=l?l:2de?87c1?08jh521cd3>6`b34l3h7<;d:p07bc290iw0:=fd8:4a=:9;:n6?:k;<32a<<5;6jo81?kk4=g:f>72c3ty?>ik50;`x914an33;h63>21d961b<58;nm7<;d:?25f2=:=n01;a:?098i521`15>16734;j>?45a?f2:ln70?mf580b`=:n1l1>9j4}r61a5<72kq68>>>:82g?87599098i5210ga>72c34;:o;4=4e9>54d62;>o70h=8;07`>;6i:318=>4=0c11?5an27:h4k53gg894da039mi63i90810a=z{=8n=7>5bz?7754=19n01<<>1;07`>;69li1>9j4=03`3?43l27:=o<525f89c4>2;>o70?n3b8745=:9h836>hi;<3ge5<4nl16=ohn:2df?8`>:38?h6s|41`a>5<6c:?74g1=:8i019>m8;02g>;38k31>c:?74de=:8i019>nd;02g>;38ho1>g=5=;oo01n6;:2de?8ed;39mj63l1380b`=:k1l1?kk4=b;b>6`a34ih87=if:?`5a<4nl16ol;53gg89fd72:lm70mlf;1eb>;d:108jh52cc`97cc<5ji;6>hi;4gf7=;oo01nm=:2de?8ec;39mj63l3780b`=:k1?1?kk4=b:5>6`a34io87=if:?`72<4nl16o5953gg89f>?2:lm70mk5;1eb>;d;108jh52c9;97cc<5j2j6>hi;56062=:j<63l1580b`=:k1n1?kk4=0156?27i916o<853gg89f?72:ln70?<62874d6<5j;36>hj;4ga0=;ol01n?6:2df?8e><39mi63>376905g734i:n7=ie:?`=3<4nl16=>8::52b4>;d9l08jh52c8:97cc<589=:7:?a19>g76=;oo01n7m:2df?874>>0?4=b01>6`b34i2h7=ie:?273>=<9k;70m=4;1ea>;d1o08jh52124:>16f827h>;4gd7=;oo01<=9a;63e5=:9:=?69>n0:?`62<4nl16ol=53gg89451j3>;m=521256>16f827h>44gd0=;oo01<=9c;63e5=:9:=;69>n0:?`6d<4nl16ol653gg89451l3>;m=521252>16f827h>o4gdg=;oo01nom:2de?8ed?39mj63l2b80b`=:khi1?kk4=015a?27i916=>9=:52b4>;d:m08jh52c`g97cc<589=j7:?a19>56142=:j<63l2d80b`=:kk;1?kk4=b`1>6`a34ihm7=if:?`6c<4nl16oo=53gg89fd32:lm70mlb;1eb>;d;908jh52cc797cc<5jh=6>hi;gg1=;oo01nl7:2de?8edl39mj63l3380b`=:kk31?kk4=b`b>6`a34ihi7=if:?`71<4nl16oom53gg89fdc2:lm70mk0;1eb>;d;<08jh52ccg97cc<5jhm6>hi;ed4=;oo01l7j:2df?8g>n39mi63n9c80b`=:i0i1?kk4=`;;>6`b34k257=ie:?b=0<4nl16m4853gg89d?52:ln70o63;1ea>;fjj08jh52acf97cc<5hh26>hj;eg1=;oo01ll<:2df?8ge<39mi63nb180b`=:ik;1?kk4=`cg>6`b34kji7=ie:?bed<4nl16mll53gg89dg02:ln70on8;1ea>;f0o08jh52a8297cc<5hk?6>hj;h53gg89753939mi63=35097cc<5;9??7=ie:?176d=;oo01?=;5;:?1?kk4=3103?5am279?>653gg89754139mi63=32397cc<5;98>7=ie:?177d=;oo01?==d;1ea>;5;;o1?kk4=311b?5am279??953gg89755039mi63=33397cc<5;99?7=ie:?1772=;oo01?==5;1ea>;5;8n1?kk4=312a?5am279o?853gg897e5=39mi63=c3a97cc<5;i9n7=ie:?1g63=;oo01?m<4;1ea>;5k;l1?kk4=3a1a?5am279o><53gg897e4939mi63=c2:97cc<5;i8;7=ie:?1g6d=;oo01?m;5k:o1?kk4=3a0`?5am279o9?53gg897e3839mi63=c3;97cc<5;i947=ie:?201d=<9k;70?;3c874d6<58>3j7:?a19>513>2=:j<63>4gf905g734;8?94;0`289452n3>;m=5214`5>16f827:957541c3?872080?4=0657?27i916=9=;:52b4>;6<:818=o?;<307d<38h:01?8nc;1eb>;6;lh18=o?;<30a<<38h:01?h64;1ea>;6:o918=o?;<026c<4no16><6`a348:>o4644f2:ln70<>2880b`=::8836>hj;<3414<38h:01<9:0;63e5=:9>9j69>n0:?2076=<9k;70?;1g874d6<58?50122=:j<63>414905g734;>;>4;0`2894ef139mi63>c`:97cc<58ij;7=ie:?2gd0=;oo01;6kh>1?kk4=0ab7?5am27:ol<53gg894ef939mi63>c`297cc<58h;?7=ie:?2f54=;oo01;6j9:1?kk4=0ceb?5am27:mkk53gg894gal39mi63>aga97cc<5;nn97=ie:?20g6=<9k;70?:62874d6<58?==7:?a19>56`32=:j<63>54g905g734;9;>451`e2=:j<63>54d905g7348ni>46c`72:ln70hj;<0ea6<4nl16>kk?:2df?84alm08jh522gf;>6`b348mo>46cg72:ln70hj;<6147<4nl16?>6<:2df?8540;08jh5232:2>6`b348<8i4g2c=;ol01n6?:2de?8e?:39mj63l5g80bc=:k?;1?kh4=b40>6`a34i=97=if:?`22<4no16>l7>:523?84f190?<=522`:e>167348j4h4;019>6d>c2=:;70hj;<367=<38h:01<;>2;63e5=:9<9<69>n0:?2147=<9k;70?:37874d6<58?897:?a19>50532=:j<63>522905g734;>>;4;0`289435=3>;m=521410>16f827:9?<541c3?872;;0?4=0715?27i916=8=>:52b4>;6=;:18=o?;<366c<38h:01<;>e;63e5=:9<8n69>n0:?214b=<9k;70?:2e874d6<58?:o7:?a19>504d2=:j<63>50`905g734;>>o4;0`289436i3>;m=52140b>16f827:9<7541c3?872:00?4=072;6=8=18=o?;<3662<38h:01<;>6;63e5=:9<9j69>n0:?2142=<9k;70?:38874d6<58?:?7:?a19>50772=:j<63>536905g734;>>>4;0`289436n3>;m=521436>16f827:9=h541c3?873;00?4=067=?27i916=>=9:52b4>;6=k918=o?;<37a`<38h:01<:j3;63e5=:9:<;69>n0:?211e=<9k;70?:4c874d6<58??m7:?a19>502>2=:j<63>55:905g734;>8:4;0`289433>3>;m=521466>16f827:9:7541c3?874<<0?4=0172?27i916=9=9:52b4>;6<=<18=o?;<3772<38h:01<:;7;63e5=:9<>?69>n0:?2115=<9k;70?:43874d6<5=8>i7=ie:?760g=;oo019<:6;1ea>;3:=o1?kk4=5066?5am27?>9<53gg89146>39mi63;1d597cc<5=;nn7=ie:?7631=;oo019<92;1ea>;3:9:1?kk4=53eg?5am27?=k653gg8917a<39mi63;1g297cc<588j<7:?a19>57g62=:j<63>2`0905g734;9m>4;0`28944f<3>;m=5213c6>16f827:>l8541c3?875i>0?4=00b;6:hk18=o?;<31eg<38h:01<n0:?26g7=<9k;70?=b3874d6<588i?7:?a19>57d32=:j<63>2c7905g734;9n;4;0`28944e?3>;m=5213`;>16f827:>o7541c3?875jh0?4=00af?27i916=?ll:52b4>;6:kn18=o?;<31f`<38h:01?66e;377>;500l1=9=4=3:b4?73;2794l?5151897>f:3;??63=8`19515<5;2j87?;3:?1;50h21=974=326`?5an27:9;>541c3?872??0?4=01e4?27i916=897:52b4>;6<9318=o?;<37ec<38h:01<=ia;63e5=:9:l269>n0:?20g2=<9k;70?;ae874d6<58?>o7:?a19~w16f93:1=?mt=52a0??7l27ih?46589>g=2=<9;01nm<:522?8da:33>563l9`8744=:kj>18=?4=cd0><3>34ii<7:?1:?`gc<38816nkk594;89fe72=::70mk2;635>;d80029452cb09057<5jn869>>;ga2=<9;01n>l:87:?8e?03>;=63ld48744=:k9n15874=b:b>16634i=016=>8>:52b5>;d8o0294521241>16f927h==46589>56042=:j=63mf58:1<=:k0918=?4=bf5>16634hm977:9:?2732=<9k:70li6;;6=>;6;??18=o>;<`e3??2127:?;8541c2?8da033>563>375905g634hm577:9:?273>=<9k:70lia;;6=>;6;?318=o>;<`ef??2127:?;o541c2?874?=0?<3>34;8:o4;0`389450=3>;m<52bgf9=0?<589=o7:?a09>56172=:j=63mfg8:1<=:9:n1:?2727=<9k:70m?0;;6=>;dik0?<<52cb59057<5j::64;6;<302`<38h;01<=82;63e4=:k9815874=015b?27i816=>9<:52b5>;d8:029452cc09057<5jij69>>;gfd=<9;01n>::87:?8ee>3>;=63lcb8744=:k9<15874=b`;>16634ihh7:?1:?`42<>=016ooo541389feb2=::70m?8;;6=>;djm0?<<52ce29057<5j:j64;6;665b20?270<<418:1<=:::>?64;6;<0071<>=016>>=9:87:?844;h029452220b><3>3488>n46589>665720?270<<218:1<=:::8964;6;<0063<>=016=n7=:36g?87fml098i522b07><3>348h>l46589>6f5420?270=016>n=6:87:?84d;j0294522b1e><3>348h>:46589>51`c2=:j=63>326905g634;89k4;0`389454i3>;m<521506>16f927:8?:541c2?873::0?kk:52b5>;6;li18=o>;<30ad<38h;01<=j8;63e4=::?kh6>hj;<0e=1<38916=?h<:52b5>;6?<;18=o>;<3415<38h;01<9n1:?2123=<9k:70?:72874d7<58>:47:?a09>51702=:j=63>404905g634;?=84;0`389426<3>;m<521530>16f927:8<<541c2?873980?j:52b5>;6<9n18=o>;<374f<38h;01<:?b;63e4=:9=:j69>n1:?205>=<9k:70?;02874d7<5;nn97:?0:?20`b=<9k:70?;eb874d7<58>nm7:?a09>51c?2=:j=63>4d5905g634;?i;4;0`38942b:3>;m<5215g2>16f927:9;=541c2?872>80?;6<8i18=o>;<375g<38h;01<:>a;63e4=:9=;269>n1:?2046=<9k:70?;03874d7<58>;=7:?a09>51672=:j=63>3gd905g634;8jh4;0`38945al3>;m<5212d`>16f927:?kl541c2?874n10?h9:52b5>;6;o?18=o>;<30b6<38h;01<=i2;63e4=:9:on69>n1:?210c=<9k:70?=7280b`=::><>6>hj;<041`<4nl16>:;;:2df?872=o0?;<63=fd:97c`<5;ln57:?0:?1b`3=;ol01?hj6;634>;5nl81?kh4=3df7?278279jih53gd897`b83>;<63=fea97c`<5;loh7:?0:?1ba1=;ol01?hk8;634>;5nj81?kh4=3d`7?278279j4h53gd897`f83>;<63=f8a97c`<5;l2h7:?0:?7651=<9:019;5nlh1?kk4=3da6?5am279jlk53gg897`fi39mi63=f`497cc<5;lj>7=ie:?`3`<4nl16o5>53gg89f>52:ln70m:f;1ea>;d>808jh52c7197cc<5j<>6>hj;;6==h18=o>;<360d<38h;01<;;9;63e4=:9<>369>n1:?2111=<9k:70?:47874d7<58??97:?a09>501>2=:j=63>32g905g634;>894;0`389433;3>;m<521461>16f9279;8<53gg8944?m3>;m<52266a>167348<8n4;019>073b2=:;70:=5`8745=:<;?=69>?;<610`<389168?;=:523?825<;0?<=524335>16734>:i:4;019>04ce2=:;70<84d8:1<=:<;<<69>?;<6127<389168?>?:523?826nj0?<=5240d;>16734>:j94;019>04`72=:;70?=9e874d7<5882j7:?a09>57g72=:j=63>2`3905g634;9m?4;0`38944f;3>;m<5213c7>16f927:>l;541c2?875i?0?;6:h318=o>;<31ed<38h;01<=016>kmj:87:?84akk0294522ga;><3>348mo846589>6cda20?2705o?:067?84?i80:895229c1>4233483m>4>459>6=g328>?70<7a48201=::1k<6<:n;<0;e=<6:52b5>;6=?:18=o>;<363=<38h;01<:m4;63e4=:9=ko69>n1:?210e=<9k:7p};0`094??7s4>;n8460e9>g6d=1<301n;m:87:?8e2k33>563l6b8:1<=:k>=15874=b5:><3>34i=016o:j594;89f1a20?270m71;;6=>;d=m029452c4g9=0?<5j<;64;6;g30=1<301n87:87:?8e1133>563l6`8:1<=:k?h15874=b4g><3>34i=i77:9:?`2c<>=016o:>594;89f1620?270m82;;6=>;d?:029452c669=0?<5j=>64;6;ed6=1<301l7k:87:?8g>i33>563n968:1<=:i0>15874=`;2><3>34kin77:9:?bf=<>=016mo;594;89dd520?270onf;;6=>;fij029452a`;9=0?<5hk=64;6;46589>665c20?270<<328:1<=:::8264;6;<005c<>=016=n7<:36g?87fmo098i5215dg>16f:27:8i;541c1?874=o0?6`a34;>;:4;0`089425=3>;m?521507>16f:27:8?=541c1?873:;0?kl:52b6>;6;lk18=o=;<30a=<38h801<:jb;63e7=:9=8;69>n2:?204`=<9k970?;19874d4<58>:;7:?a39>51712=:j>63>407905g534;?=94;0`089426;3>;m?521531>16f:27:8k:52b6>;6<9i18=o=;<374g<38h801<:?a;63e7=:9=:369>n2:?2055=<9k970?=fe874d4<58>no7:?a39>51cf2=:j>63>4d:905g534;?i;4;0`08942e83>;m?52153f>16f:27:8;6<8318=o=;<3755<38h801<:?2;63e7=:9=::69>n2:?2056=<9k970?56`c2=:j>63>3ga905g534;8jo4;0`08945a03>;m?5212d4>16f:27:?k8541c1?874n<0?h=:52b6>;6;lo18=o=;<37bg<38h801?999;1eb>;5??91?kh4=356g?5an27:9:m541c1?874;00?:;>:87:?84016f:279;8>53gg897>>m3;?563=88d951?<5;2j<7?;9:?1;50h91=974=3:b0?7312794l;515;897>f?3;?n63=8`:951d<58?=>7:?a39>503?2=:j>63>4c6905g534;?mi4;0`089713<39mi63=72g97cc<5;=8:7=ie:?136g=;oo0q~:?a283>2b|5=:i:77?d:?af7<>=016no=594;89gd320?270lmf;;6=>;ekh029452bba9=0?<5kio64;6;<``a??2127iok46589>fa6=1<301oj>:87:?8de=33>563mb78:1<=:jk=15874=c`;><3>34hi577:9:?afd<>=016nol594;89gdd20?270lmd;;6=>;ejl029452bb29=0?<5ki:64;6;<``6??2127io>46589>ff2=1<301om::87:?8dd>33>563mc68:1<=:jj215874=ca:><3>34hhn77:9:?20cb=<9k870?;d4874d5<58?<;7:?a29>51422=:j?63>436905g434;?>>4;0`189425:3>;m>5212gg>16f;27:?hm541c0?874mh0?;6n3:?204>=<9k870?;16874d5<58>::7:?a29>51722=:j?63>406905g434;?=>4;0`189426:3>;m>521532>16f;27:8=h541c0?8738l0?l:52b7>;6<9h18=o<;<374d<38h901<:?8;63e6=:9=:869>n3:?26cb=<9k870?;ee874d5<58>n;7:?a29>51c52=:j?63>4d3905g434;?=h4;0`189426l3>;m>52153`>16f;27:8;6<9818=o<;<3744<38h901<:?0;63e6=:9:lm69>n3:?27cc=<9k870?56`e2=:j?63>3g:905g434;8j:4;0`18945a>3>;m>5212d6>16f;27:?k=541c0?874n;0?:87:2df?873nk0?o7=ie:?212e=<9k870<84680b`=::>>:6>hj;<047<<4nl16>::=:2df?874;00?5k541c0?8406`b34;95l4;0`189712839mj63=88g951g<5;22j7?;a:?1;50h81=9o4=3:b7?73i2794l:515c897>f=3;?m63=8`5951e<5;2j47?;c:?2134=<9k870?:59874d5<58>jj7:?a29>51d32=:j?6s|41c7>5<60r7?;m9521454>16f<27:8=8541c7?875nm0?;500o1=9l4=3::b?73j2794l>515`897>f93;?n63=8`0951d<5;2j?7?;b:?1;50h=1=9j4=3:b;0?6:52b0>;6=<218=o;;<30bd<38h>01<=i9;63e1=z{=:j97>513y>05d?20:o70?:76874d3<588mh7:?a49>51632=:j963>3dd905g234;>;n4;0`7897>>m3;?o63=88d951e<5;2j<7?;c:?1;50h91=9m4=3:b0?73k2794l;515a897>f?3;?i63=8`:951c<5;nn<77:9:?210>=<9k>7p};0`494?5?s4>;n4460e9>51632=:j:63>3dd905g134;>;n4;0`4897`a939mi63=fdc97cc<5;ln;7=ie:?1b`2=;oo01?hj1;1ea>;5nmo1?kk4=3dg=?5am279jn:53gg897`f939mi63=f8g97cc<58??o7:?a79>502e2=:j:63>55c905g134;>844;0`48943303>;m;521464>16f>27:998541c5?872<<0?563=f``9=0?<5;lj;77:9:?1bd5=1<301<n6:?26g7=<9k=70?=b3874d0<588i?7:?a79>57d32=:j:63>2c7905g134;9n;4;0`48944e?3>;m;5213`;>16f>27:>o7541c5?875jh0?;6:kn18=o9;<31f`<38h<01?66e;37`>;500l1=9j4=3:b4?73l2794l?515f897>f:3;?h63=8`1951b<5;2j87?;d:?1;50h21=9h4=3d4a??2127:989541c5?xu38h=1<7ht=52ae??7l27:>h7541c4?8738=0?f83;?i63=8`3951c<5;2j>7?;e:?1;50h?1=9k4=3:b3?7282794l6514289477n33>563>3g3905g03ty?<4;50;dx916f033;h63>2d;905?234;?<94;087897>>m3;?j63=88d951`<5;2j<7?;f:?1;50h91=9h4=3:b0?73n2794l;515d897>f?3;?963=8`:9513<58>9=7:?949>56`62=:296s|41;5>5;m4460e9>6=?b28?;70<79g8215=::1k;6<;?;<0;e4<6=916>5o=:073?84?i:0:9=5229c7>4373483m84>519>6=g028>=70<7a98203=z{=:2;7>5bz?74dg=19n01?66e;371>;500l1=9;4=3:b4?73=2794l?5157897>f:3;?963=8`19513<5;2j87?;5:?1;50h21=994}r63==<72kq68=om:82g?84?1l0:8;5229;e>4213483m=4>479>6=g628>=70<7a38203=::1k86<:9;<0;e1<65o::065?84?i>0:855229c;>42?3ty?<4750;;x916fk33;h63=88g9511<5;22j7?;7:?1;50h81=994=3:b7?73?2794l:5155897>f=3;?;6s|41;b>5<>s4>;mi460e9>6=?b28>370<79g820==::1k;6<:7;<0;e4<6<116>5o=:06;?84?i:0:855229c7>42?3483m84>499~w16>j3:1ov3;0`g9=5b<5;2387<;d:?1<;50h:1?o?4=3:b5?5e92794l<53c3897>f;39i=63=8`697g7<5;2j97=m1:?1{t<93h6=4mbz?74g6=19n01?o>5;1eb>;5i>h1?kk4=3;f3?5am279m<:53gg897g0i39mi63=9d797cc<5;k:>7=ie:?1e2?=;oo01?o>1;1ea>;5i>21?kk4=3;f6?5am279m<>53gg897g0?39mi63=a1d97cc<5;k<:7=ie:?1=a`=;oo01?o?e;1ea>;5i>?1?kk4=3c3`?5am279m::53gg897?ck39mi63=a1a97cc<5;k;51m21?kk4=3c3e?5am279m:?53gg897g7139mi63=a6297cc<5;3o97=ie:?1e5>=;oo01?o9f;1ea>;51m91?kk4=3c5`?5am2795i?53gg897g1k39mi63=a1797cc<5;k3m7=ie:?1e3d=;oo01?7le;1ea>;5i9>1?kk4=3c5e?5am2795nl53gg897g1139mi63=9b;97cc<5;k=47=ie:?1=f1=;oo01?o97;1ea>;51j?1?kk4=3c52?5am2795n=53gg897g1=39mi63=9b297cc<5;k=87=ie:?1=gc=;oo01?o93;1ea>;51ki1?kk4=3c55?5am2795oo53gg897g1839mi63=9c:97cc<5;k>j7=ie:?1=c>=;oo01?o8e;1ea>;5io7=ie:?1=g6=;oo01?o:b;1ea>;51ho1?kk4=3c6e?5am2795lm53gg897g2139mi63=9da97cc<5;k>47=ie:?1=`g=;oo01?o:5;1ea>;51mk1?kk4=3c5a?5am2795n<53gg897g1:39mi63=9``97cc<5;k>87=ie:?1==5=;oo019>63;1ea>;380:1?kk4=52:0?5am27?<4<53gg8916?j39mi63;09d97cc<58?8j7:?9b9>506c2=:2o63>552905?d34;<9:4;08a89434k3>;5n522`;2>6`b348j5=46d>a2:ln70hj;<0b=1<38916>l7=:523?872;10?<4m4=0726?271j16=8=8:52:g>;6=8;18=7l;<3673<380i01<;<5;63=f=:9<9?69>6c:?2166=<93h70?:2787450542=:2o63>530905?d34;>??4;08a8943593>;5n521412>16>k27:9?>541;`?872:o0?<4m4=072a?271j16=8;6=8n18=7l;<366a<380i01<;>c;63=f=:9<8h69>6c:?214d=<93h70?:2c874504f2=:2o63>50;905?d34;>>44;08a8943603>;5n52140;>16>k27:9<9541;`?872:>0?<4m4=0722?271j16=8=n:52:g>;6=8>18=7l;<367<<380i01<;>3;63=f=:9<;;69>6c:?2172=<93h70?:2287450722=:2o63>51d905?d34>9:94563f2=:2o63;23797c`<5=89:7=if:?7677=;ol019<=2;1eb>;3:8n1?kh4=502a?5an27?><753gd89146i39mj63;20397c`<5=8:>7=if:?765b=;ol019;3:=31?kh4=507e?5an27?>9;53gd89143>39mj63;22f97c`<5=88i7=if:?766?=;ol019<;3::?1?kh4=5002?5an27?>>?53gd89144:39mj63;23f97c`<5=89i7=if:?767?=;ol019<=a;1eb>;5i<=1?kk4=0562?271j16=:;::52:g>;39mo1?kh4=070`?271j16=?m?:52:g>{t<93o6=48az?74g7=19n01?o>5;1ea>;5i=i15874=3;f3??21279m9l594;897?b=33>563=a6;97c`<5;k:=7:?0:?1e1g=1<301?7j2;;6=>;5i>=1?kh4=3c3b?278279m97594;897?cn33>563=a6797c`<5;k;h7:?0:?1e1>=1<301?7kc;;6=>;5i>91?kh4=3c3f?278279m99594;897?c033>563=a6397c`<5;k;57:?0:?1e10=1<301?7k5;;6=>;5i=?15874=3;g7??21279m9:594;897?c933>563=a7a97c`<5;k;97:?0:?1e15=1<301?7le;;6=>;5i=;15874=3;`f??21279m9>594;897?d133>563=a2d9=0?<5;3h;77:9:?1e6c=1<301?7l5;;6=>;5i:n15874=3;`7??21279m>m594;897?d833>563=a2`9=0?<5;3ii77:9:?1e6g=1<301?7mc;;6=>;5i:315874=3;ae??21279m>6594;897?e033>563=a4d97c`<5;3m47:?0:?1e05=1<301?7m4;;6=>;5i<815874=3;a6??21279m8?594;897?e833>563=a429=0?<5;3ji77:9:?1e1`=1<301?7nc;;6=>;5i=o15874=3;fg??21279m9j594;897?bi33>563=a509=0?<5;3om77:9:?1e61=1<301?7l2;;6=>;5i:<15874=3;bf??21279m<9594;897??;33>563;08197c`<5=:2<7:?0:?74<7=1<3019>7b;;6=>;6=:l18=7k;<364a<380n01<;;0;63=a=:9>?<69>6d:?216e=<93o70:=6580b`=:9:?j69>6d:?7673=;oo019<=6;634>;3:;;1?kk4=5016?27827?>;<63;20;97cc<5=8:m7:?0:?7647=;oo019<>2;634>;3:9n1?kk4=503a?27827?>9753gg89143i3>;<63;25797cc<5=8?:7:?0:?766b=;oo019<;3::31?kk4=500e?27827?>>;53gg89144>3>;<63;22397cc<5=88>7:?0:?767b=;oo019<=e;634>;3:;31?kk4=501e?27827:;88541;g?870=<0?<4j4=53ga?5am27:9>j541;g?875k90?<4j4}r63=`<72<9p19>m2;;3`>;51>h15874=3;4e??212795h:594;897?0133>563=9d39=0?<5;3<477:9:?1=ac=1<301?787;;6=>;51mh15874=3;42??212795i9594;897?0=33>563=9669=0?<5;3;51>:15874=3;5b??212795;k594;897?1l33>563=97a9=0?<5;3=n77:9:?1=3g=1<301?799;;6=>;51?215874=3;53??212795o9594;897??:33>563=9939=0?<5;33<77:9:?1=2`=1<301?78e;;6=>;51>n15874=3;4g??212795:?594;897?1>33>563=9779=0?<5;3jm77:9:?1=10=1<3019>7d;;6=>;381k15874=073`?271l16=8=m:52:a>;6?<=18=7j;<6121<38816=8=j:52:a>;6=9o18=7j;<62a7<4no168?<::522?825:?0?<<524302>16634>9>?4;009>077c2=::70:=1d8744=:<;;269>>;<615d<388168??>:522?8259;0?<<52432g>16634>9072>2=::70:=4`8744=:<;>>69>>;<6103<388168?=k:522?825;l0?<<52431:>16634>9?l4;009>07522=::70:=378744=:<;9:69>>;<6177<388168?16634>9>l4;009>52312=:2i63>747905?b34>:hh4;009>071>2:lm70:=8080b`=:9<>:69>6e:p05?a2908ov3;0c19=5b<58?;h7:?9g9>505e2=:2j63>745905?a34>9:94;019>505b2=:2j63>51g905?a34;89l4;08d89142l39mi63;24;97cc<5=8>97=ie:?761b=;oo019<:1;1ea>;3:=;1?kk4=5021?5am27?=h853gg8917bi39mi63;27497cc<5=8==7=ie:?75c`=;oo019?ib;1ea>;39o=1?kk4=53e7?5am27?=hh53gg89147139mi63;21697cc<5=8997:?0:?7670=;oo019<=1;634>;3:;81?kk4=502`?27827?>;<63;20c97cc<5=8:=7:?0:?7644=;oo019;3:9o1?kk4=507=?27827?>9o53gg89143=3>;<63;25497cc<5=88h7:?0:?766c=;oo019<<9;634>;3::k1?kk4=5001?27827?>>853gg8914493>;<63;22097cc<5=89h7:?0:?767c=;oo019<=9;634>;3:;k1?kk4=0562?271o16=:;::52:b>;39mo18=>4=504=?5am27?>5?53gd8943393>;5k5rs513f?6=;r7?=i:525f89157n322<63;1e49057:h84?:3y>04b32;;h70:>d78;=5=z{=;o87>5cz?75a2=19n01<;d59>563f2=;o863;26:9=0?<5=8<>77:9:?75a`=1<301<;??69<9e:p070c2909;v3;27f9=5b<58i3m7=ie:?2e`0=;oo01<:7f;612a=:9=?269<9d:?2152=<;50d12=8=h63>59;9070c34;>4<4;27f89421;3>9:i521517>141l27:8><5434g?874;h0?>;j4=0601?25>m16=>km:505`>;6;l318?8k;<3646<3:?n01<:;5;612a=:9:?h69<9d:?270b=<;52352=8=h63>42;9070c34;?i84;27f8942313>9:i52160a>141l27:?>85434g?872j:0?>;j4=010=?25>m16=>=m:505`>;6<9?18?8k;<307`<3:?n01<<63;612a=::9?m64;6;<37ef<3:?n01<;:7;612a=z{;2387>59z?1<=2=19n01?67c;1ea>;501h1?kk4=3:;e?5am27945753gg897>?039mi63=89597cc<5;23:7=ie:?1<=3=;oo0q~=78c83>g}:;hkj6?:k;<1f5`<38816?5>k:9;3?85?>90?<=523g2f>166349m9;4;009>7c152=::70=i8d8744=:;ohh69>>;<1e`f<38816?i>6:522?xu4ih=1<79o0;6>u2183f>72c34;2>:48729>5<7c2:ln7p}n8983>2b|583:i7<;61921>>o4=0;27?44i27:5<6522c894?6>388m63>905966g<583:57<b;00e>;60oo1>>o4=0:eb?44i27:5=>5917894?79388m63>910966g<583;?7<;619<15=;4=0;3e??7=27:5=9522c894?71388m63>91`966g<583;o7<;618;1>>o4=0;3b?44i27:5<>522c894?6:388m63>906966g<583:97<8m16=4=?:2df?874mo0j45523``0>6`b34;<>44n899>53742h2370?;328b<==:9=:>6l67;<31b7d>?34;>m54n899>50>52h2370?;838b<==:9=?j6l67;<341=d>?34;>454n899>523c2h2370l76;1eb>;39m<1?kh4=05056`9e=><58>><7o78:?2016=i1201<;m7;c;<>;6<0:1m564=07a1?g?027:85k5a9:89430<3k3463>54`9e=><58=>?7o78:?20f0=i1201<;na;c;<>;6<1>1m564=076`?g?027:8>65a9:8942303k3463>75f9e=><58>8:7o78:?2010=i1201<:;f;c;<>;6<:l1m564=0666?g?027:88?5a9:89423:3k3463>4539e=><58>8;7o78:?2011=i1201>l:0;1eb>;6?;21m564=07`2?g?027:84h5a9:8943d=3k3463>48g9e=><58?h87o78:?20;6<=91m564=056=?g?027:9n=5a9:8942>k3k3463>5b09e=><58>2n7o78:?21f7=i1201<:6a;c;<>;6=j:1m564=06:=?g?027:9oh5a9:8942>03k3463>5cg9e=><58>2;7o78:?21fe=i1201<:n5;c;<>;6=jh1m564=06b0?g?027:9no5a9:8942f;3k3463>5b;9e=><58>j>7o78:?21f>=i1201<:n1;c;<>;6=j=1m564=06b4?g?027:9oj5a9:8942>>3k3463>92397cc88a97cc<582;60k81?kk4=0:a1?5am27:4o853gg894>e?39mi63>8c:97cc<582i57=ie:?2;600n1?kk4=0::a?5am27:44h53gg894>f839mi63>8`397cc<582j>7=ie:?2;60h?1?kk4=0:b2?5am27:4l653gg894>f139mi63>8`c97cc<582jn7=ie:?2;60ho1?kk4=0:bb?5am27:4o>53gg894>e939mi63>8c197cc<582i87=ie:?05`b=;oo01<;n0;31<5=:90;o6>hi;<12b=<4no16=?6?:82g?xu618o1<7;l{<3:5`<>8m16=4=?:2de?874mo0:59l16=9>::0;2a>;6:o81=4?j;<62`6<4no16=:;l:0;2a>;6?e:?200g=90;n70?85982=4c<58=>87?61d9>50ec283:i63>4`495<7b34;>454>90g89412l3;2=h52b949056<5=;o:7:?0:?236>=90;n70?:6582=4c<58<9m7?61d9>501e283:i63>44295<7b34;?8=4>90g8943e?3;2=h5215;3>4?6m27:9o;5183f?8730l0:59l16=8;m:0;2a>;6?<91=4?j;<37g3<618o01<;na;3:5`=:9=2?6<7>e:?210b=90;n70?;3982=4c<58>?47?61d9>522c283:i63>42495<7b34;?8;4>90g89423n3;2=h52151e>4?6m27:88<5183f?873=80:59l16=9:>:0;2a>;6<:=1=4?j;<3702<618o01>l:0;634>;6?;21=4?j;<36g3<618o01<:6f;3:5`=:96<7>e:?202h7?61d9>5134283:i63>45195<7b34;<944>90g8943d;3;2=h5215;`>4?6m27:9n<5183f?8731k0:59l16=97n:0;2a>;6=j:1=4?j;<37=<<618o01<;mf;3:5`=:9=336<7>e:?21gc=90;n70?;9682=4c<58?ho7?61d9>51g2283:i63>5b`95<7b34;?m94>90g8943di3;2=h5215c0>4?6m27:9n75183f?873i;0:59l16=9o>:0;2a>;6=j=1=4?j;<37e5<618o01<;md;3:5`=:9=3=6<7>e:?2=67=;ol0q~<86e83>7}::>1}::>k;<3077<5??i01<:ic;042f=:9:>26?99c:p5=bd2908w0?79b80bc=:91lh64>k;<3774<60mi0q~?79b83>6}:913h657?;<3;3a<>=016=5ll:543?xu60>n1<7=t=0:4`?10;27:4565231894>d?389?6s|197g>5<5s4;3nn48729>5=`d2;>o7p}>8d594?5|582j;7=if:?253z?2;60j=18;>4}r3;<=<72:q6=567:650?87?1:09>>5219f1>7443ty:48k50;0x94>d?3=8gf961b5=d52:lm70?6098:4a=:9=8m6<6i2:p5=d52908w0?7b38;=5=:913864;6;<3;`7<3>91v<663;297~;60091;:=4=0::1?45;27:4i;52318yv7?>00;6?u219f1>21434;2<54=4e9~w4>a=3:1?v3>8c797c`<583:?77?d:?207c=91l>7p}>8c794?5|582i97660:?2<<3=1<301<6k5;654>{t913>6=4<{<3;=0<0?:16=579:300?87?l?09>>5rs0:40?6=:r7:4i;5761894?6;38?h6s|19d5>5<4s4;3n;45<7120:o70?;2e825=d1213;70?7978:1<=:91n=698?;|q2<<0=839p1<666;547>;600=1>?=4=0:g3?45;2wx=598:18187?l?0<;>521835>72c3ty:4k950;1x94>e?39mj63>9059=5b<58>9o7?7f69~w4>e?3:1?v3>8c59<<6<5822;77:9:?26}:913<6:9<;<3;==<5::16=5j7:300?xu60>21<7u219`;>6`a34;2=5460e9>514e282m46s|19`;>5<4s4;3n547919>5=??20?270?7d98725=z{82247>53z?2<<>=?>901<669;017>;60m31>?=4}r3;3<<72;q6=5j7:650?87>91098i5rs0:e=?6=;r7:4o753gd894?6133;h63>43c95=`>3ty:4o750;1x94>e1322<63>88;9=0?<582o57:90:p5=?>2908w0?7988436=:913j6?<<;<3;`d<5::1v<68a;296~;60m31;:=4=0;2=?43l2wx=5hn:18087?jh08jk52183b><6c34;?>l4>8gc8yv7?jh0;6>u219`b>=?734;35l46589>5=bf2=<;7p}>88c94?5|5822m7983:?2<{t91=i6=4={<3;`d<0?:16=4?n:36g?xu60oh1<7=t=0:af?5an27:5>j33>563>8e`90365=?e2>=870?77d8166=:91ho6?<<;|q2<2e=838p1<6kb;547>;618h1>9j4}r3;`a<72:q6=57k:2de?87?nl024>cl2wx=57k:18087?1m035=52195f><3>34;3ni4;619~w4>0m3:1?v3>86g9325<5827}:91ho6:9<;<3;b`<5>827:4:h594;894>em3>=<6s|195e>5<4s4;3;k48729>5=>72;8870?7bg8166=z{82=<7>52z?2901<6if;07`>{t91nm6=4<{<3;=c<4no16=4>?:82g?873:k0:4ih4}r3;=c<72:q6=57i:9;3?87?0902945219`e>1073ty:45>50;1x94>?83=8939675<582h<7<=3:p5=062909w0?7bg8436=:90:;6?:k;|q2<`6=839p1<6n0;1eb>;619;15=j4=061f?7?m91v<6n0;297~;60h:144>4=0:;5??2127:4n>54728yv7?080;6>u219:2>21434;34?4=229>5=e62;887p}>87094?4|582h<7983:?2=57=:=n0q~?7e083>6}:91k:6>hi;<3:47<>8m16=9{t91k:6=4<{<3;e4?;389?63>8b096754?:3y>5=e62>=870?603810a=z{82n>7>53z?2;6<;i1=5k=;|q2;601915874=0:`6?2182wx=56<:18087?0:0<;>5219:7>74434;3o>4=229~w4>1<3:1>v3>8b09325<583;?7<;d:p5=c42908w0?7a280bc=:90:?64>k;<376f<60l90q~?7a283>6}:91k8657?;<3;<1<>=016=5m<:543?xu601>1<7=t=0:;0?10;27:45;5231894>d<389?6s|1946>5<5s4;3o>48729>5<632;>o7p}>8d694?5|582j87=if:?2=53=19n01<:=d;3;a1=z{82j87>53z?2;60j>18;>4}r3;<0<72:q6=56::650?87?0?09>>5219a6>7443ty:4;850;0x94>d<3=917961b5=g22:lm70?6078:4a=:9=8o6<6j5:p5=g22908w0?7a48;=5=:912=64;6;<3;g0<3>91v<676;297~;601<1;:=4=0:;3?45;27:4n852318yv7?>>0;6?u219a6>21434;2<;4=4e9~w4>b>3:1?v3>8`497c`<583;;77?d:?207b=91o=7p}>8`494?5|582j:7660:?2<=1=1<301<6l6;654>{t912<6=4<{<3;<2<0?:16=566:300?87?k109>>5rs0:55<4s4;3m545<6>20:o70?;2d82<`>5=g?213;70?7888:1<=:91i3698?;|q2<=?=839p1<679;547>;601k1>?=4=0:`=?45;2wx=58n:18187?k10<;>52182:>72c3ty:4h750;1x94>f139mj63>91c9=5b<58>9i7?7e89~w4>f13:1?v3>8`;9<<6<5823m77:9:?26}:912j6:9<;<3;u219cb>6`a34;2514b282nm6s|19cb>5<4s4;3ml47919>5=>e20?270?7c`8725=z{823n7>53z?2<=d=?>901<67c;017>;60jh1>?=4}r3;2f<72;q6=5mn:650?87>8k098i5rs0:ff?6=;r7:4ll53gd894?7k33;h63>43d95=ce3ty:4ll50;1x94>fj322<63>89a9=0?<582hn7:90:p5=>d2908w0?78b8436=:912o6?<<;<3;gf<5::1v<69d;296~;60jh1;:=4=0;3g?43l2wx=5kl:18087?ij08jk52182g><6c34;?>k4>8da8yv7?ij0;6>u219c`>=?734;34i46589>5=ed2=<;7p}>89f94?5|5823h7983:?2<=c=:;901<6ld;017>{t91k:36g?xu60ln1<7=t=0:b`?5an27:5=k591f89425n3;3ii5rs0:b`?6=;r7:4lj5882894>?m33>563>8bf90365=>b2>=870?78g8166=:91in6?<<;|q2<3`=838p1<6ld;547>;619o1>9j4}r3;a`<72:q6=5oj:2de?87>8o024>bm2wx=5oj:18087?il035=5219:e><3>34;3oh4;619~w4>?n3:1?v3>89d9325<5822<7<=3:?27}:91in6:9<;<3:4c<5>519ge?xu60hl1<7=t=0:bb?>>827:44>594;894>dn3>=<6s|19;3>5<4s4;35=48729>5=?62;8870?7d18166=z{82<=7>52z?2901<7>0;07`>{t91l;6=4<{<3;f5<4no16=4?>:82g?873;90:4k>4}r3;f5<72:q6=5l?:9;3?87?1802945219f3>1073ty:44?50;1x94>>93=8809675<582o=7<=3:p5=152909w0?7d18436=:90;:6?:k;|q2;618815=j4=0605?7?n81v<6m1;297~;60k;144>4=0::6??2127:4i?54728yv7?1;0;6>u219;1>21434;3594=229>5=b42;887p}>86194?4|582o=7983:?2=44=:=n0q~?7f283>6}:91h86>hi;<3:51<>8m16=9=>:0:e7>{t91h86=4<{<3;f6c<389?6s|1956>5<5s4;3h>48729>5<732;>o7p}>8g694?5|582i87=if:?2=43=19n01<:<1;3;b1=z{82i87>52z?2{t91==6=4={<3;`1<0?:16=4?::36g?xu4j=l1<78={<1:`g<5:816?l?8:302?85f>109><523`16>77d349j?94=1b9>7d542;;h70=n33815f=:;h9:6??l;<1b75<59j16?l77d349j>n4=1b9>7d4e2;;h70=n2`815f=:;h826??l;<1b6=<59j16?l<8:33`?85f?>09=n523`55>77d349j;84=1b9>7d132;;h70=n72815f=:;h=96??l;<1b34<59j16?l9?:33`?85f>o09=n523`4f>77d349j:i4=1b9>7d0d2;;h70=n6c815f=:;h77d349j4:4=1b9>7g4a2;;h70=n89815f=:;k9;6??l;<1b=`<59j16?o:9:33`?85fi809=n523c6:>77d349jm?4=1b9>7g2f2;;h70=na2815f=:;k>i6??l;<1be1<59j16?o:l:33`?85fi<09=n523c6g>77d349j4l4=1b9>7g552;;h70=na7815f=:;k>n6??l;<1b<<<59j16?o=>:33`?85f0k09=n523c10>77d349j4n4=1b9>7g532;;h70=n8e815f=:;k9>6??l;<1b<`<59j16?o=9:33`?85f0o09=n523c14>77d349j5=4=1b9>7g5?2;;h70=n95815f=:;k9h6??l;<1b=4<59j16?o=6:33`?85f1;09=n523c1b>77d349j584=1b9>7g5c2;;h70=n97815f=:;k9n6??l;<1b=2<59j16?o=i:33`?85f1109=n523c63>77d349j544=1b9>7g262;;h70=n9`815f=:;k>96??l;<1b=a<59j16?o:::33`?85f1k09=n523c60>77d349j5n4=1b9>7g232;;h70=n9g815f=:;k><6??l;<1be5<59j16?o:7:33`?xu4im>1<7j27::>853`f7?xu4im81<7127::>;53`f1?xu4im:1<7027::>:53`f3?xu4ijh1<7?27::>=53`aa?xu4ij?1<7>27::><53`a6?xu4ij:1<7=27::>?53`a3?xu4ik31<7<27::>>53``:?xu4j9n1<727::9l53c2g?xu4j9h1<7n278n=:58828yv5e880;6?u238fa>4?b349iv3<9e`95oj1:p7dd32909w0=6dc82=4=:;hh>657?;|q0e37=838p1>7kb;:bf>;4i9=1?kh4}r1b25<72;q6?4jm:9cb?85f8?08jk5rs2c6a?6=:r785il58`:896g7=39mj6s|3`7g>5<5s492ho47a69>7d632:lm7p}7}:;0ni65o:;<1b47<4no1v>o:a;296~;41mh14l:4=2c35?5an2wx?l;6:18185>lk03m>523`23>6`a3ty8m8650;0x96?cj32j>63<9gd97c`7:7>52z?0=ad=0h:01>7id;1eb>{t;h?>6=4={<1:`g>l2785kl53gd8yv5f=;0;6?u238fa>=?d3492jl4v3<9e`9<7kb;::=>;4i1o1>9j4}r1b0`<72;q6?4jm:9;;?85f0m098i5rs2c7`?6=:r785il5885896g?k38?h6s|3`6`>5<5s492ho47979>7d>e2;>o7p}7}:;0ni657;;<1b<<<5o97;296~;41mh14i>4=2cb2?43l2wx?l89:18185>lk03o4523`c6>72c3ty8m;;50;0x96?cj32h863752z?0=ad=0k?01>on2;07`>{t;h<96=4={<1:`g:36g?xu4if1278m4k525f8yv5f==0;6?u238fa>=?b349j5>4=4e9~w6g313:1>v3<9e`9<<5<5:k347<;d:p7d2?2909w0=6dc8;=7=:;h2<6?:k;|q0e6`=838p1>7kb;3fg>;4i8=1=n84}r1b7`<72;q6?4jm:0ga?85f9>0:o85rs2c0`?6=:r785il51dc896g6?3;h86s|3`1`>5<5s492ho4>e89>7d7028i87p}7}:;0ni6o<9;296~;41mh1=h84=2c23?7d82wx?l=7:18185>lk0:i8523`34>4da3ty8m9950;0x96?cj3;m863752z?0=ad=9o801>o>7;3`g>{t;h>?6=4={<1:`g<6n816?l?8:0aa?xu4i=91<74ca349j=:4>c89~w6g393:1>v3<9e`95`c<5:k:;7?l8:p7d272909w0=6dc82aa=:;h;<67kb;3f0>;4i8=1=ok4}r1b73<72;q6?4jm:0g0?85f9>0:ni5rs2c2a?6=:r78m<9588:896g7?39mi6s|3`3g>5<4s49j=:47969>7d612:ln70=6f9811d=z{:k:o7>53z?0e41=00<01>o?5;1ea>;41o=1>8o4}r1b5g<72:q6?l?8:9;6?85f8=08jh5238d5>73f3ty8mhj;<1:b1<5=h1v>o=5;297~;4i8=14n74=2c35?5am2785k=524c8yv5f:=0;6>u23`34>=e3349j<=47<`52;?j7p}7i1;06e>{t;h896=4<{<1b52n9099l5rs2c15?6=;r78m<958c3896?al39mi63<9dd960g=4?:2y>7d7021k270=6fb80b`=:;0on6?;n;|q0e4`=839p1>o>7;::a>;41oh1?kk4=2;f`?42i2wx?l?6:18085f9>035>5238db>6`b3492in4=5`9~w6g603:1?v30}:;h<36<7l;<1b2=<61k16?l87:0;:?85f>10:55521765>6gan2wx?lhk:18185f>10:5:521766>6gal2wx?lh8:18185f>10:5;521767>6ga?2wx?lh::18185f>10:58521760>6ga=2wx?lh<:18185f>10:59521761>6ga;2wx?lh>:18185f>10:m;521762>6ga92wx?lki:18185f>10:m8521763>6gbn2wx?lkk:18185f>10:m952171e>6gbl2wx?lkm:18185f>10:m?52171f>6gbj2wx?lk6:18185f>10:m<52171g>6gb12wx?lk8:18185f>10:5k52171`>6gb?2wx?lk<:18185f>10:5h52171a>6gb;2wx?ljj:18185f>10:5i52171:>6gcm2wx?ljm:18185f>10:5>52171;>6gcj2wx?lj7:18185f>10:5<521714>6gc02wx?l9j:18185f>10355523`4:>72c3ty8m:j50;0x96g10322;637d0?213=70=n6c810a=z{:k52z?0e3>=00?01>o9c;07`>{t;h=j6=4={<1b2=c8278m;k525f8yv5f0<0;6?u23`4;>=e>349j:k4=4e9~w6g?<3:1>v342909w0=n698;fg=:;h=:6?:k;|q0e=4=838p1>o98;:a1>;4i>81>9j4}r1b<4<72;q6?l87:9`2?85f?:098i5rs2c;4?6=:r78m;658`;896g0<38?h6s|3`5e>5<5s49j:5479d9>7d122;>o7p}7}:;h<3657=;<1b32<52143ty94>>50;dx97>cn388m63=8d1966g<5;2n:7<;51;31>>o4=3;1e?44i2795?l522c897?5k388m63=931966g<5;3987<;51;=1>>o4=3:05?>>82wx>5j::18784?lo027>c=27:9=o529f6?84?:o08jh5rs3;0=?6=>r795>m525f897?4k33;h63=82g97c`<5;28j7=ie:?215d=:09270?=9781=6?6<5d2;9j70<63e817d=::09n6?=n;<0:7c;m098i52291f>=?73ty95>o50;7x97?4l33;h63=82g97cc<5;28j7:?0:?215d=:09j70?=9781=6g52z?1=6c=:=n01?6{t:09i6=4;{<0:7`<>8m16>5=i:2de?8728k095>l4=00:2?4>;k1v4=9:18184?m9098i522910>2143ty94h750;5x97>b8388m63=8d3966g<5;2n>7<;50l21>>o4=3:fe?>>82wx>5j9:18684?m902<3>3483?>4;619>6<5?2=::70?:0`8152z?1<`7=:=n01?6<4;547>{t:1n<6=4:{<0;a4<>8m16>57>c?27:9=o529f4?xu>;o0;6?u229g1>72c3483?848729~w7>c03:1:v3=8d09=5b<5;29>77:9:?1<63=;6=9k1>5j7;<0;`4<4no1v4:=:18184?m:098i522915>2143ty94i750;4x97>b;33;h63=8369=0?<5;28:7:90:?1=6>=<9:01<;?9;0;`<=::1n:69>?;|q:0a<72;q6>5k9:36g?84?;00<;>5rs3:gg?6=>r794h8591f897>5i33>563=82;9036<589;=7<7db9>506>2;2oo63=8e097c`52z?1<`2=:=n01?6<7;547>{t:1nj6=49{<0;a1<>8m16>5<9:87:?84?;>0?:=521222>7>ci27:9=7529fb?84?l80?<<5rs86:>5<5s483i84=4e9>6=5?2>=87p}=8e`94?0|5;2n977?d:?1<7>=1<301?6<8;654>;6;9;1>5jm;<364<<50mh01?6k1;1ea>{t1<;1<7o57618yv4?lm0;6;u229g4><6c3483>n46589>6=5f2=<;70?<00817:?0:?215>=:1no7p}=86`94?0|5;2b;1eb>;508i1?kk4=0736?4??k16>56=:2de?xu501;1<7:t=3:4a?44i2794:h522c897>?8388m63=8939<<652z?1<2`=:=n01?6>b;::4>{t:1=h6=4:{<0;3c<>8m16>5?m:2df?84?9j0?<=521421>7>0k27945?53gd8yv?4k3:1>v3=892961b<5;2:o7660:p6=1c290?w0<7818:4a=::1;h6>hi;<3647<50>n01?672;1ea>{t1;h1<7522c897>2:388m63=841966g<5;2>87<;50<21>>o4=3:5e?44i2794;l522c897>1k388m63=87f966g<5;2=i7<;50>;1>>o4=3:52?44i2794;9522c897>10388m63=87;966g<58>mi7<7739~w7>3<3:18v3=85d9=5b<5898j7<7459>50662;2?863=7d597cc52z?1<06=:=n01?9jd;547>{t:1>=6=4:{<0;15<>8m16>:j;:87:?840mm0?:=52121e>7>3>27:9=?52965?xu>;m0;6?u22971>72c3483?3:19v3=8409=5b<5;=o:77:9:?13`c=;5?ll1;:=4}r0;0=<72?q6>5;<:82g?840l102945226ge>10734;8?<4=85:89437838385521424>7>302wx59=50;0x97>2<38?h63=7g293256=3320:o70<8d`8:1<=::>l;698?;<3074<50=301<;?0;0;0<=:9<:<6?6;9:p=10=838p1?6:5;07`>;5?o;1;:=4}r04a=<72=q6>5;::31b?84?=>09?l522972>75f3483i3:1:v3=8479=5b<5;=oo77:9:?13c7=j7p}64`83>7}::1?=6?:k;<04b7<0?:1v?6;b;291~;50<<15=j4=35ga??21279;k<547289437>3838o5213g4>7>3j2wx58850;0x97>2138?h63=7g793256=3>20:o70<8e58:1<=::>l>698?;<307c<50=o01<;?1;0;0`=:9<:>6?6;e:p=1c=838p1?6:7;07`>;5?o91;:=4}r0;0f<72?q6>5;8:82g?840m902945226d0>10734;8?<4=85a8944b?3838n521424>7>3k2wx58<50;0x97>2038?h63=7g693256=3?20:o70<8e38:1<=::>l?698?;<3643<50=n01<;?0;0;0a=:9<:>6?6;d:p=7e=838p1?69a;07`>;509n1;:=4}r0;3<<72mq6>58n:33`?84?>k09=n52294`>77d3483:i4=1b9>6=0b2;;h70<76g815f=::1=;6??l;<0;34<59j16>589:33`?84?>>09=n52294;>77d3483:44=1b9>50652;2<56s|297f>56=6d2:ln70<79d8221=::13m6<8;;<0;e5<6>=16>5o>:047?84?i;0::95229c0>4033483m94>659>6=g22858m:36g?84?980<;>5rs3:6b?6==r794;l591f8971fn33>563=7bc9036<5;=m577:9:?1<47=9j4=3:26?10;2wx>58?:18684?>j02<3>34862`e20?270<7138725=z{0>:6=4={<0;2a<55?<:650?xu50?;1<7;t=3:5`??7l279;o=594;8971dk3>=<63=7gf9=0?<5;2:?7:90:p=12=838p1?69e;07`>;508>1;:=4}r0;27<7258j:82g?840j<02945226ag>1073486=732=<;7p}64683>7}::17933>563=807903652z?1<26=:=n01?6>6;547>{t:18m16>:li:2df?840j1099l522920><3>3483=;4;619~w<2a2909w0<770810a=::1;<6:9<;|q1<33=83?p1?681;;3`>;5?j:1?kk4=35a=?42i2794=;594;897>6?3>=<6s|94194?4|5;2=:7<;d:?1<4>=?>90q~<75`83>0}::1<=64>k;<04g4<4nl16>:ln:37b?84?8>029452293;>1073ty29:4?:3y>6=002;>o70<7188436=z{;2>n7>55z?1<31=19n01?9l2;1ea>;5?kh1>8o4=3:3=??212794<754728yv?5m3:1>v3=87:961b<5;2;i7983:p6=3d290>w0<7698:4a=::>hh6>hj;<04e<<5=h16>:h8:87:?84?8l0?:=5rs813>5<5s483:44=4e9>6=6a2>=87p}=84f94?2|5;2=577?d:?13gb=;oo01?9na;06e>;509l18;>4}r;7554=1b9>6<4>2;;h70<62`815f=::08i6??l;<0:6f<59j16>4<<:33`?84>:=09=n522806>77d3482>;4=1b9>6<402;;h70?:0c81=676<4?20:o70<8bd80bc=::>326>hj;|q:0f<72;q6>4<6:36g?840i:0<;>5rs3;2b?6=;5?h>1;:=4}r0:65<72:q6>46`b3ty2994?:3y>6<4e2;>o70<8a48436=z{;39=7>54z?1=7d=19n01?9l1;1eb>;5?0>15874=35b1?2182wx58650;0x97?5k38?h63=7`49325?4?:5y>6<4d20:o70<8c380bc=::>3=64;6;<04e3<3>91v4::098i5226;`>2143ty95<750;6x97?5;33;h63=7ca97c`<5;=3477:9:?131>9j4=35:`?10;2wx>4?n:18784>:=026`a348<4l46589>62?c2=<;7p}63383>7}::08>6?:k;<04=`<0?:1v?7>b;291~;51;?15=j4=35bf??21279;n854728971?k33>563=78g903652z?1=70=:=n01?96f;547>{t:0;h6=4:{<0:63<>8m16>:ok:87:?840k>0?:=5226:f><3>348<5k4;619~w<532909w0<626810a=::>k;6:9<;|q1=4b=839p1?7=7;;3`>;5?j218;>4=35b4?2182wx=4?l:1815~;60oi1>90:964e<583::7<>c:?2=41=:8i01<7>9;02g>;618k1>an38:o63>912964e<583;=7<>c:?2=54=:8i01<7?3;02g>;619>1>915964e<583;57<>c:?2=5d=:8i01<7?c;02g>;619n1>902964e<583:>7<>c:?2=42=:8i01<7>5;02g>;618n144>4}r1:`f<72;q6?l=::36g?85f810<;>5rs2c01?6=:r78m>;591f896?el39mj6s|38fg>5<5s49j?94=4e9>7d6>2>=87p}7}:;h986?:k;<1b4d<0?:1v>o<3;296~;4i:915=j4=2;ab?5an2wx?4ji:18185f;;098i523`2a>2143ty8m><50;0x96g4:33;h63<9b297c`7d562;>o70=n0b8436=z{:k8=7>52z?0e67=19n01>7l1;1eb>{t;0o:6=4={<1b75<5k:650?xu4i::1<7m;0;6?u23`0e>72c349jv3o=e;;3`>;41j>1?kh4}r1:a1<72;q6?l5rs2c1`?6=:r78m?j591f896?d=39mj6s|38g6>5<5s49j>n4=4e9>7d762>=87p}7}:;h8i6?:k;<1b57<0?:1v>o=b;296~;4i;h15=j4=2;`3?5an2wx?4k8:18185f:h098i523`30>2143ty8m?o50;0x96g5i33;h63<9b:97c`7d4>2;>o70=n158436=z{:k957>52z?0e7?=19n01>7l9;1eb>{t;0o26=4={<1b6=<5mh0;6?u23`04>72c349j=;48729~w6g5?3:1>v3hj;<1:ec<5=h1v>o86;297~;4i><15=j4=2;aa?5am2785o>524c8yv5f?<0;6>u23`56><6c3492nk477m2;06e>{t;h=86=4<{<1b36<>8m16?4m>:2df?85>j:099l5rs2c46?6=;r78m:<591f896?d:39mi63<9c6960g7d1620:o70=6c280b`=:;0h>6?;n;|q0e26=839p1>o80;;3`>;41j>1?kk4=2;a2?42i2wx?l8i:18085f>o026`b3492n:4=5`9~w6g1m3:1?v3=:6}:;hk;<1:g2<4nl16?4l6:37b?xu4i?i1<7=t=2c5g??7l2785n653gg896?ei38>m6s|3`4a>5<4s49j:o460e9>72:ln70=6bc811d=z{:k=m7>53z?0e3g=19n01>7la;1ea>;41ki1>8o4}r1b2<<72;q6?l86:82g?85>kk08jh5rs876>5<5s483i54=4e9>6=5e2>=87p}=8eg94?3|5;2n477?d:?1<6d=hj;<364=<50mo0q~7=d;296~;50<;1>9j4=35ff?10;2wx>5:::18684?=80210734;><;4=85789437838388521426>7>3=2wx>:o8:181840io09>>5226ab>7443ty9;lh50;1x971fn3=<16>5o?:046?84?i80::85229c1>4023483m>4>649>6=g328<>70<7a48220=::1k<6<8m;<0;e=<6>k1v?9m1;297~;5?k;1;:=4=35a7?45;279;nm52318yv4>800;6ou226aa>21434835h4>6`9>6=?a28h16>5o<:04b?84?i=0::l5229c6>40f3483m:4>6b9>6=g?28{t:0:j6=4m{<04gf<0?:16>57j:04a?84?1o0::o5229c3>40e3483m<4>6c9>6=g528k16>5o8:04g?84?i10::i5rs35a1?6=;r79;o;57618971e?389?63=7bg967562ec2>=870<79d822f=::13m6<8l;<0;e5<6>j16>5o>:04`?84?i;0::n5229c0>40d3483m94>6b9>6=g228;5?k=15874=35`a?2182wx>:l8:180840j>0<;>5226`;>744348;50h;1=;j4=3:b6?71l2794l=517f897>f<3;=h63=8`7953b<5;2j;7?9f:?1=9?l0q~<8bg83>6}::>hm657?;<04f=<>=016>:mi:543?xu5?k21<7=t=35a56=?b28l16>5o=:04f?84?i:0::h5229c7>40b3483m84>6d9>6=g028=;70<7a98235=z{;=h<7>53z?13f6=00:01?9m9;;6=>;5?m:18;>4}r04f<<72:q6>:l6:650?840jh09>>5226f2>7443ty95=k50;`x971c83=;50h81=;h4=3:b7?71n2794l:517d897>f=3;=j63=8`59527<5;2j47?81:p62e62908w0<8c08;=5=::>hj64;6;<04`4<3>91v?9ma;297~;5?kk1;:=4=35af?45;279;i<52318yv4>8o0;6ou226f2>21434835h4>719>6=?a28=;70<7a18235=::1k:6<9?;<0;e7<6?916>5o<:053?84?i=0:;=5229c6>4173483m:4>679>6=g?28<=7p}=7b094?5|5;=h>7660:?13gd=1<301?9k2;654>{t:>hi6=4<{<04fg<0?:16>:o6:300?840k=09>>5rs3;24?6=jr79;i<5761897>>m3;<=63=88d9527<5;2j<7?81:?1;01?6n2;345>;50h91=:?4=3:b0?7092794l;5163897>f?3;=;63=8`:953162dd213;70<8a88:1<=::>i?698?;|q13d?=839p1?9n9;547>;5?hk1>?=4=35`1?45;2wx>4><:18a840k=0<;>5229;f>40134835k4>679>6=g728<=70<7a08223=::1k96<89;<0;e6<6>?16>5o;:045?84?i<0::;5229c4>40?3483m54>699~w71el3:1?v3=7cf9<<6<5;=jm77:9:?13f3=6}::>kj6:9<;<04eg<5::16>:m9:300?xu519>1<7lt=35`1?10;27944k5175897>>n3;=;63=8`29531<5;2j=7?97:?1;50h>1=;94=3:b1?71?2794l9517;897>f03;=56s|26ca>5<4s4862gc2;8870<8c68166=z{;3;97>59z?13f0=?>901?66e;35<>;500l1=;64=3:b4?7102794l?517:897>f:3;=463=8`1953><5;2j87?98:?17}::>ko6:9<;<04g=<5::1v?7?6;29=~;5?j=1;:=4=3::a?71127944h517;897>f83;=563=8`3953?<5;2j>7?99:?1;50h?1=;74}r10a6<72:q6?>k<:9;3?854l?02945232d2>1073ty8?i850;1x965c>3=68d:p76c?2908w0=91v>=ka;297~;4;mk1;:=4=21gf?45;278?k952318yv5?0;0;6?u232d5>21434;>i44<8908yv54m00;6>u232g:>=?73498ho46589>76`02=<;7p}<3e`94?5|5:9on7983:?07ae=:;901>=i8;017>{t;1286=4={<10b2<0?:16=8km:2:;7>{t;:oj6=4<{<10adjl:87:?854n10?:=5rs21gg?6=;r78?im57618965cl389?63<3g;967576`?2>=870?:ee80<=276ce213;70==kd;547>;4;mo1>?=4=21ee?45;2wx?56::181854n00<;>5214ge>6>?=2wx?>kl:180854mj035=5232ff><3>3498jl4;619~w65cm3:1?v3<3eg9325<5:9oj7<=3:?07cd=:;90q~=78783>7}:;:lj6:9<;<15b4<3891v>=jd;297~;4;ln144>4=21gb??21278?kl54728yv54lo0;6>u232fe>2143498i=4=229>76`d2;887p}<89594?4|5:9mn7983:?02ce=<9;0q~=6}:;:on657?;<10a5<>=016?>hl:543?xu4;l:1<7=t=21f4?10;278?h?52318965al389?6s|39:;>5<5s498jn48729>72632=::7p}<3dd94?5|5:9nj7660:?07`7=1<301>=id;654>{t;:o:6=4<{<10a4<0?:16?>k=:300?854nl09>>5rs2:;=?6=:r78?kj576189617k3>;=6s|32d3>5<4s498j=47919>76c520?270=7>53z?07`4=?>901>=k7;017>;4;o81>?=4}r1;hj:650?8509=0?<<5rs21f0?6=;r78?h:58828965c?33>563<3g0903676b02>=870==i2;547>;6=l81?59j;|q07`3=839p1>=j5;::4>;4;m215874=21e7?2182wx?>j7:180854l10<;>5232f:>7443498j94=229~w6>0n3:1>v3<3g19325<58?n87=77g9~w65b>3:1?v3<3d49<<6<5:9o577:9:?07c2=7}:;:n26:9<;<10b0<5::1v>670;296~;4;o>1;:=4=07f2?5?091v>=j7;296~;4;l=144>4=21e1?2182wx?56>:181854n<0<;>5237f2>1673ty884l50;1x9620k33>563<4c`9036<5:>2n7660:p711d2908w0=;7b8436=:;=2<6?<<;<17g3<5::1v9>>a;296~;4:n6;297~;4<1=15874=26`2?2182788l858828yv530>0;6>u235:4>214349?5?4=229>71b62;887p};03794?4|5:>h:7983:?0a4?=<9:0q~=;b083>6}:;=3964;6;<17`4<3>916?9l>:9;3?xu4<081<7=t=26:6?10;27884:52318962c<389?6s|4113>5<5s49?h<48729>7c6>2=:;7p}<4c694?5|5:>2877:9:?00a2=:m4;::4>{t;=3?6=4<{<17=1<0?:16?97::300?853l<09>>5rs5207?6=:r788i:5761896`293>;<6s|35`6>5<4s49?5846589>71b22=<;70=;b48;=5=z{:>297>53z?00<3=?>901>:66;017>;4?=4}r6371<72;q6?9j::650?85a>m0?<=5rs26a2?6=;r78848594;8962c>3>=<63<4c49<<671?12>=870=;968166=:;=n<6?<<;|q7463=838p1>:k6;547>;4n1318=>4}r17f2<72:q6?978:87:?853l>0?:=5235`4>=?73ty884950;1x962>?3=o47<=3:p05512909w0=;d68436=:9:>?69><6:p71d?2908w0=;998:1<=:;=n3698?;<17f=:68;297~;4<021;:=4=26:=?45;2788i752318yv27;>0;6?u235f;>21434;88>4;0258yv53j00;6>u235;:><3>349?h44;619>71d>213;7p}<48;94?5|5:>257983:?00:ka;017>{t<9936=4={<17`<<0?:16=>:=:520<>{t;=hj6=4<{<17=d<>=016?9jn:543?853jh035=5rs26:e?6=;r7884o576189620l389?63<4ca9675;?44?:3y>71bf2>=870?<408746?711c20?270=;bb8725=:;=3h657?;|q002b=839p1>:8d;547>;4<>o1>?=4=26a`?45;2wx8=?m:181853jj0<;>52126;>166j2wx?97k:180853?l02945235`g>107349?5i47919~w620m3:1?v3<46g9325<5:>7}:;=ho6:9<;<1g0d<3891v>:6e;297~;4<>l15874=26aa?21827884k58828yv53?o0;6>u2355e>214349?4=4=229>71da2;887p};00f94?4|5:>ii7983:?0`32=<9:0q~=;9g83>6}:;=2;64;6;<17fc<3>916?97i:9;3?xu4<1:1<7=t=26;4?10;27885?52318962d8389?6s|413f>5<5s49?nk48729>7a>72=:;7p}<4`294?5|5:>3=77:9:?00f6=:n0;::4>{t;=2:6=4<{<17<4<0?:16?96=:300?853k809>>5rs522b?6=:r788n>5761896b>?3>;<6s|35c2>5<4s49?4?46589>71e62=<;70=;a08;=5=z{:>3>7>53z?00=4=?>901>:73;017>;4?=4}r6365<72;q6?9m>:650?85cij0?<=5rs26b6?6=;r7885=594;8962d:3>=<63<4`09<<64?:2y>71>42>=870=;858166=:;=i86?<<;|q7477=838p1>:l2;547>;4lkl18=>4}r17e6<72:q6?96;:87:?853k:0?:=5235c0>=?73ty885:50;1x962?<3=h87<=3:p05452909w0=;c28436=:;mn=69>?;|q00d2=839p1>:75;;6=>;418;>4=26b0?>>82wx?96::1808530<0<;>5235:5>744349?o84=229~w165;3:1>v3<4b69325<5:nnn7:?0:p71g22908w0=;878:1<=:;=i>698?;<17e0:76;297~;4<1<1;:=4=26;214349ojh4;019~w62f?3:1?v3<49:9=0?<5:>h;7:90:?00d1=00:0q~=;8983>6}:;=236:9<;<17<<<5::16?9m7:300?xu38;<1<7954128yv53i10;6>u235::><3>349?o54;619>71g?213;7p}<49;94?5|5:>357983:?00=g=:;901>:l9;017>{t<98<6=4={<17g=<0?:16?h:l:523?xu45<4s49?4l48729>71>e2;8870=;c`8166=z{=:947>52z?00f?=?>901>k:f;634>{t;=kj6=4<{<17=016?9mn:543?853ih035=5rs26;f?6=;r7885l57618962?k389?63<4b`9675;>44?:3y>71ef2>=870?<508747?71>d20?270=;cc8725=:;=ki657?;|q00=e=839p1>:7c;547>;4<1n1>?=4=26`g?45;2wx8=521271>165i2wx?9ol:1808530m02945235a`>107349?mn47919~w62?l3:1?v3<49f9325<5:>3i7<=3:?00fb=:;90q~:?2c83>7}:;=ih6:9<;<3016<38;h0q~=;ae83>6}:;=2n64;6;<17ga<3>916?9ok:9;3?xu4<1o1<7=t=26;a?10;27885h52318962dm389?6s|410`>5<5s49?oi48729>53e22=:9o6s|35cf>5<4s49?4k46589>71eb2=<;70=;ad8;=5=z{:>3j7>53z?00=`=?>901>:60;017>;4?=4}r636a<72;q6?9mj:650?874==0?=?73ty884>50;1x962>83=o<7<=3:p054b2909w0=;cg8436=:9:?>69>=e:p71d72908w0=;908:1<=:;=n;698?;<17f5:61;297~;4<0;1;:=4=26:7?45;2788i<52318yv27:o0;6?u235f3>21434;89;4;03d8yv53j;0;6>u235;0><3>349?h?4;619>71d5213;7p}<48194?4|5:>2?7983:?00a5=:;90q~:?3083>7}:;=n96:9<;<3012<38:;0q~=;b283>7}:;=n8698?;<17f6<2;296~;4::6;297~;4<==15874=2660;6>u23564>214349?854=229>713>2;887p}>47983:?23=7=;j;j70=6528745=z{:>?47>53z?001>=?>901>:;a;017>;4<?=4}r1`5g<72:q6?9;6:650?8700;08o52356`>744349?9o4=229~w6e6k3:1?v3<44c9325<58=3?7=l1b9>7<3c2=:;7p}<45a94?5|5:>?o7983:?001c=:;901>::c;017>{t;j;o6=4<{<171g<0?:16=:6;:2a2`>;41?91?kh4}r170`<72:q6?9:j:650?853=909>>52357g>7443ty8o79797f7b3492:i46589~w62283:1?v3<4429325<5:>>>7<=3:?000c=:;90q~=l1g83>6}:;=?o6:9<;<34<3<4k8l01>782;634>{t;=?96=4<{<1717<0?:16?9;;:300?853=o09>>5rs2a14?6=;r7888k57618941??39h>=52385;>1663ty888:50;0x9622<3=<4?:2y>713a2>=870?88980g77<5:32;6>m=2:?0=1?=<9;01>7;a;634>{t;=;36=4<{<10bc<>=016?9?n:543?85390035=5rs21eb?6=;r78?kh576189627>389?63<4329675717f2>=870=9b`80bc=z{:>;:7>53z?0050=?>901>:?8;017>;4<;;1>?=4}r1;gd<72;q6?971462>=870=9dd80bc=z{:>;m7>53z?005g=?>901>:?c;017>;4<;91>?=4}r1;gf<72;q6?9<=:650?851m=08jk5rs263g?6=;r788=m576189627m389?63<436967571442>=870=9e`80bc=z{:>;i7>53z?005c=?>901>:>0;017>;4<;?1>?=4}r1;g`<72;q6?9<;:650?851n<08jk5rs2624?6=;r788<>576189626:389?63<434967571422>=870=9fd80bc=z{:>:>7>53z?0044=?>901>:>4;017>;4<;=1>?=4}r1;`5<72;q6?9<9:650?8508?08jk5rs2620?6=;r788<:576189626>389?63<43:967571402>=870=80d80bc=z{:>::7>53z?0040=?>901>:?0;017>;4<8h1>?=4}r1;`7<72;q6?9<7:650?8509?08jk5rs2634?6=;r788=>576189627:389?63<40a9675717e2>=870=9c180bc=z{:>;>7>53z?0054=?>901>:?4;017>;4<8n1>?=4}r1;g2<72;q6?9?l:650?851k?08jk5rs2630?6=:r788=:576189626m389?6s|39a;>5<5s49?=i48729>73ed2=:;7p}<8b;94?4|5:>:i7983:?02a4=<9;0q~=;3983>6}:;=93657?;<176a<>=016?9=k:543?xu4<;n1<7=t=261`?10;2788?k523189624m389?6s|3c:7>5<5s49??i48729>532c2:h386s|351:>5<4s49??447919>714b20?270=;3d8725=z{:>9i7>53z?007c=?>901>:=f;017>;4<:l1>?=4}r1a<0<72;q6?9=j:650?85e><0?<<5rs260e?6=;r788>o588289625n33>563<42d9036k4?:2y>714a2>=870=;318166=:;=>;6?<<;|q0f=0=838p1>:;4j?=18=?4}r177g<72:q6?9=m:9;3?853;90294523563>1073ty88>>50;1x962483=?=7<=3:p7g>02909w0=;418436=:;k>;|q006e=839p1>:<1;;6=>;4<=;18;>4=260g?>>82wx?9=>:180853;80<;>523511>744349?8?4=229~w6d?03:1>v3<4539325<5:h<=7:?1:p71552908w0=;338436=:;=9?6?<<;<1706<5::1v>l79;296~;4<=81;:=4=2`4=?2792wx?9=;:180853;=0<;>523515>744349?894=229~w6d?i3:1>v3<4519325<589?h7=m8`9~w624>3:1>v3<4249325<5:>?97<=3:p7g>e2909w0=;458436=:9:>n6>l7b:p7g>d2909w0=;448436=:9:>m6>l7c:p710c2908w0=;6e8;=5=:;=<964;6;<1737<3>91v>:92;297~;421434;<4<4l0;6>u2354f>=?7349?:>46589>71142=<;7p}<47194?5|5:>=?7983:?0032=:;901>:84;017>{t;j8?6=4={<1736<0?:16=:6=:2a10>{t;=84?:3y>71132>=870?88280g737117213;70=;648:1<=:;==>698?;|q0033=839p1>:95;547>;4?=4=2642?45;2wx?n<9:181853?<0<;>5216:7>6e5>2wx?99>:180853?8035=523545><3>349?;;4;619~w621>3:1?v3<4749325<5:>=;7<=3:?0021=:;90q~=l2683>7}:;===6:9<;<34<0<4k;=0q~=;6683>6}:;=<<6:9<;<172<<5::16?997:300?xu4k;21<75<5s49?;548729>52>02:i956s|354a>5<5s49?:o48729>711f2;887p}<57983:?23=>=;j8j7p};i7;017>{t;09n6=4={<16b3<0?:16?4;>:87:?xu4=l<1<7=t=27gb??212789k954728963b?322<6s|34fe>5<4s49>hk48729>70c72;8870=:f98166=z{:38j7>52z?01c1=?>901>7:7;634>{t;=016?8h7:543?852m0035=5rs27f4?6=;r789h>57618963b9389?63<5g;967570`?2>=870=65c80bc=z{:?nm7>53z?01`7=1<301>;i9;654>;4=lh144>4}r16a4<72:q6?8k>:650?852m;09>>5234db>7443ty859?50;0x963a13=in4?:2y>70c520?270=:f`8725=:;;j2;547>;4=l91>?=4=27ef?45;2wx?4:=:180852nh0<;>52384a>1663492:n4;019~w63bm3:1?v3<5d19=0?<5:?mn7:90:?01``=00:0q~=:e283>6}:;53gd8yv52n90;6>u234g7><3>349>jn4;619>70`6213;7p}<5d694?5|5:?n87983:?01`3=:;901>;id;017>{t;0>?6=4={<16bf<0?:16?497:523?xu4=o81<7=t=27f1??212789kj54728963a;322<6s|34g6>5<5s49>i848729>70`b2;887p}<95794?4|5:?mh7983:?0==6=1<30q~=:f583>7}:;7;6;296~;4=oo1;:=4=2;7e?5an2wx8=86:185852161127::8l5414:?85d==0?<=5239:`>=?7349o=k4;019~w160<3:19v3<55c9057<58==o7:?759>7`452=:;70=7a38;=5=:;j2i69>?;|q011g=838p1>;;a;::4>;4=:k15874}r167d<72;q6?8=n:650?852<809>>5rs524b?6==r7898?541289410?3>;;k5239`5>=?7349ho?4;019>7c732=:;7p};09094?3|5:?>=7:?1:?232?=<92970=7b`8;=5=:;jn:69>?;<1e1f<3891v>;:1;296~;4=<;144>4=2775??212wx?8:>:181852<80<;>523461>7443ty?<5=50;7x9632:3>;<63>76c905>43493no47919>7fb12=:;70=i798745=z{=:387>55z?0104=<9;01<98b;63<1=:;1hh657?;<1``g<38916?k7;:523?xu4=<81<7>827899<594;8yv52<;0;6?u23461>214349>8>4=229~w16?=3:1:v3<5419056<58=7cd>2=:;70?809874=3<5:in<7:?0:?03}:;>;<343a<381<01>hkb;634>;6?9l18=69;<1`a0<38916?5li:9;3?xu4=<91<7>827899=594;8yv52<:0;6?u23460>214349>894=229~w16??3:1:v3<5469056<58=7c`22=:;70?817874=1<5:inm7:?0:?03}:;>;<343c<3812019>>2;634>;6?8n18=67;<1`ac<38916?5m<:9;3?xu4=<>1<7>827899:594;8yv52<=0;6?u23467>214349>884=229~w161i3:1:v3<5479056<58==>7:?6`9>7a5?2=:;70?9688743g<5:i>57:?0:?0<=c=00:0q~:?6c83>0}:;69>>;<3426<38?h01>j:0;634>;4k4=2::4?>>82wx?8;::181852=<035=523466><3>3ty899;50;0x9633=3=;:n4?:4y>70312=:;70?8658743e<5:n=o7:?0:?0g35=<9:01>662;::4>{t<9;4l1<18=>4=2a5=?7349>8;46589~w633>3:1>v3<5549325<5:??;7<=3:p050b290>w0=:568745=:9><=69>9e:?0`m9d;634>;400<144>4}r632c<72>0?<;h4=2fa6?278278o:<5412896>>0322<6s|3474>5<5s49>9:47919>702020?27p}<55594?4|5:??;7983:?011>=:;90q~:?7183>0}:;?;<342=<38>:01>jl7;634>;4k>=18=>4=2::e?>>82wx8=9>:186852=10?<<52164:>1609278him5412896e0k3>;<63<88a9<<6954?:3y>703?213;70=:498:1<=z{:??47>52z?011>=?>901>;;9;017>{t<9=96=4:{<161<<38916=:8n:5246>;4lo;18=>4=2a;5?27827844k58828yv27?:0;68u2347:>16634;<:o4;061896c7>3>;<632909w0=:588;=5=:;<>264;6;|q011?=838p1>;;9;547>;4=:h1>?=4}r6330<72m0?<:;4=2g0`?278278o4>5412896>f;322<6s|4155>5<2s49>8o4;009>520b2=:<:637}:;<>i657?;<167g<>=01v>;160?278i;95412896e>i3>;<63<8`59<<6;;54?:4y>702d2=::70?8718742><5:o6n9;::4>{t;<>h6=4={<160fj52318yv27?00;68u2346g>16734;<;<4;06;896c>93>;<63w0=:4e8744=:9>=969>8a:?0ad0=<9:01>mn9;634>;40hn144>4}r160a<72;q6?8:k:9;3?852;m02945rs270`?6=:r789>j576189634m389?6s|415a>5<2s49>8h4;019>52142=:0}:;<>n69>>;<3431<38>i01>6m1;::4>;4kk918=>4=2g`g?2782wx?8:j:181852<3>3ty89>k50;0x9634m3=;;i4?:4y>702a2=:;70?8748742b<5:on?7:?0:?0gg>=<9:01>6m2;::4>{t<9=n6=4:{<160c<38816=:99:524a>;4mo218=>4=2aa`?2782784o:58828yv52=?7349>?k46589~w634n3:1>v3<52d9325<5:??<7<=3:p05>7290>w0=:518745=:9>=369>70:?0b7?=<9:01>ml7;634>;40k=144>4}r63<4<72?q6?8;?:522?852j;099l52176e>16?92784o75882896`4m3>;<639=4?:3y>7037213;70=:418:1<=z{:??<7>52z?0116=?>901>mid;1ea>{t;<=j6=4={<161d<>=016?89n:9;3?xu4=<3>349>4847919~w631=3:1>v3<5779325<5:?<<7<=3:p70?72909w0=:718:1<=:;<3;657?;|q0126=838p1>;80;547>;4=>91>?=4}r16=6<72;q6?89<:87:?8521:035=5rs2747?6=:r789:=576189630<389?6s|34;7>5<5s49>;946589>70?3213;7p}<56694?4|5:?<87983:?0123=:;90q~=:9483>7}:;<=>64;6;<16=0;85;296~;4=>?1;:=4=2742?45;2wx?879:181852??02945234;5>=?73ty89:850;0x9630>3=5:4?:3y>701020?270=:968;=5=z{:?<;7>52z?0121=?>901>;88;017>{t;<336=4={<163=<>=016?877:9;3?xu4=>21<7<3>349>5447919~w63013:1>v3<56;9325<5:?>n7<=3:p701e2909w0=:5c8:1<=:;<=i657?;|q010d=838p1>;:b;547>;4=?=4}r163f<72;q6?8;l:87:?852?j035=5rs276g?6=:r7898m576189632l389?6s|345g>5<5s49>9i46589>701c213;7p}<54f94?4|5:?>h7983:?010c=:;90q~=:7d83>7}:;;:e;296~;4==?73ty898h50;0x9632n3=4=4?:3y>700720?270=:818;=5=z{:?=<7>52z?0136=?>901>;91;017>{t;<2:6=4={<1624<>=016?86>:9;3?xu4=?;1<7<3>349>4?47919~w631:3:1>v3<5709325<5:?=?7<=3:p70>42909w0=:628:1<=:;<28657?;|q0135=838p1>;93;547>;4=?>1>?=4}r16<1<72;q6?88;:87:?8520=035=5rs2750?6=:r789;:576189631>389?6s|34:5>5<5s49>:;46589>70>1213;7p}<57494?4|5:?=:7983:?0131=:;90q~=:8683>7}:;<<<64;6;<16<2;97;296~;4=?=1;:=4=275102945234:;>=?73ty89;650;0x963103=444?:3y>700>20?270=:888;=5=z{:?=57>52z?013?=?>901>;9a;017>{t;<2j6=4={<162d<>=016?86n:9;3?xu4=?k1<7<3>349>4o47919~w631j3:1>v3<57`9325<5:?=o7<=3:p70>d2909w0=:6b8:1<=:;<2h657?;|q013e=838p1>;9c;547>;4=?n1>?=4}r165<5s49>:h46589>70>b213;7p}<57g94?4|5:?=i7983:?013`=:;90q~=:8g83>7}:;<;9f;296~;4=?l1;:=4=2745?45;2wx?87>:181852?802945234;2>=?73ty89:?50;0x963093=5?4?:3y>701520?270=:938;=5=z{:?<>7>52z?0124=?>901>j?1;1eb>{t;=016?8ln:9;3?xu4=0k1<7<3>349>o847919~w63f=3:1>v3<5`79325<5:?i<7<=3:p70b72909w0=:b18:1<=:;;m0;547>;4=k91>?=4}r16`6<72;q6?8l<:87:?852l:035=5rs27a7?6=:r789o=57618963e<389?6s|34f7>5<5s49>n946589>70b3213;7p}<5c694?4|5:?i87983:?01g3=:;90q~=:d483>7}:;64;6;<16`0;m5;296~;4=k?1;:=4=27a2?45;2wx?8j9:181852j?02945234f5>=?73ty89o850;0x963e>3=h:4?:3y>70d020?270=:d68;=5=z{:?i;7>52z?01g1=?>901>;m8;017>{t;=016?8j7:9;3?xu4=k21<7<3>349>h447919~w63e13:1>v3<5c;9325<5:?2n7<=3:p70de2909w0=:9c8:1<=:;;6b;547>;4=0i1>?=4}r16ff<72;q6?87l:87:?852jj035=5rs27:g?6=:r7894m57618963>l389?6s|34`g>5<5s49>5i46589>70dc213;7p}<58f94?4|5:?2h7983:?017}:;<3n64;6;<16f`;6e;296~;4=0o1;:=4=27:b?45;2wx?8li:1818521o02945234`e>=?73ty894h50;0x963>n3=o=4?:3y>70g720?270=:c18;=5=z{:?j<7>52z?01d6=?>901>;n1;017>{t;=016?8m>:9;3?xu4=h;1<7<3>349>o?47919~w63f:3:1>v3<5`09325<5:?j?7<=3:p70e42909w0=:a28:1<=:;;n3;547>;4=h>1>?=4}r16g1<72;q6?8o;:87:?852k=035=5rs27b0?6=:r789l:57618963f>389?6s|34a5>5<5s49>m;46589>70e1213;7p}<5`494?4|5:?j:7983:?01d1=:;90q~=:c683>7}:;;n7;296~;4=h=1;:=4=27b=?73ty89l650;0x963f03=o44?:3y>70g>20?270=:c88;=5=z{:?j57>52z?01d?=?>901>;na;017>{t;=016?8mn:9;3?xu4=hk1<7<3>349>oo47919~w63fj3:1>v3<5``9325<5:?jo7<=3:p70ed2909w0=:ab8:1<=:;;nc;547>;4=hn1>?=4}r16ga<72;q6?8ok:87:?852km035=5rs27b`?6=:r789lj57618963fm389?6s|34af>5<5s49>mh46589>70eb213;7p}<5`g94?4|5:?ji7983:?01d`=:;90q~=:cg83>7}:;;nf;296~;4=hl1;:=4=27a5?45;2wx?8j>:181852j802945234f2>=?73ty89o?50;0x963e93=h?4?:3y>70d520?270=:d38;=5=z{:?i>7>52z?01g4=?>901>mie;635>{t:18n6=4<{<0;5a<>=016>5==:543?84?:o035=5rs3:2`?6=;r7946m389?63=82196756=7b2>=870<7218166=::19?6?<<;|q1<76=839p1?6=0;547>;50;81>?=4=3:01?45;2wx>5<=:18084?:;0<;>522907>7443483?;4=229~w7>5<3:1?v3=8369325<5;29:7<=3:?1<61=:;90q~<72783>6}::18=6:9<;<0;6=<5::16>5=7:300?xu50;21<7=t=3:141389?6s|290b>5<4s483>l48729>6=4d2;8870<73`8166=z{;29o7>52z?1<7e=?>901?6{t:>o=6=4<{<04`6<>=016>:kn:543?840m>035=5rs35g7?6=;r79;i=57618971c<389?63=7df967562b32>=870<8d78166=::>on6?<<;|q13a0=839p1?9k6;547>;5?m21>?=4=35fb?45;2wx>:j7:180840l10<;>5226fb>7443486}::>nh6:9<;<04``<5::16>:h=:300?xu5?mo1<7=t=35ga?10;279;h>52318971a;389?6s|26g3>5<4s4862c52;8870<8f58166=z{;=n>7>53z?13`4=?>901?9j4;017>;5?o?1>?=4}r04a1<72;q6>:k;:650?840mk09>>5rs3:3f?6=;r79;k8594;897>7l3>=<63=81a9<<662`12>=870<8f88166=::1;:6?<<;|q13c?=839p1?9i9;547>;5?oh1>?=4=3:26?45;2wx>:hm:180840nk0<;>5226dg>7443483=>4=229~w71al3:1?v3=7gf9325<5;=mj7<=3:?1<42=:;90q~<8fg83>6}::>lm6:9<;<0;44<5::16>5?::300?xu509;1<7=t=3:35?10;2794==5231897>6>389?6s|2920>5<4s483<>48729>6=622;8870<7168166=z{;2;97>53z?1<53=?>901?6?7;017>;50821>?=4}r0;42<72:q6>5>8:650?84?8009>>52293:>7443ty94=750;1x97>713==839p1?960;;6=>;5?h818;>4=35:=?>>82wx>:7?:180840190<;>5226;2>7443484=229~w71>93:1?v3=7839325<5;=2?7<=3:?13d2=:;90q~<89`83>6}::>3864;6;<04e1<3>916>:7m:9;3?xu5?091<7=t=35:7?10;279;4:52318971f=389?6s|26;7>5<4s48<5948729>62?12;8870<8a78166=z{;=2:7>53z?13<0=?>901?978;017>;5?0i1>?=4}r04<=<72:q6>:67:650?8400h09>>5226;g>7443ty9;5o50;1x971?i3=d2908w0<88b8436=::>2n6?<<;<04=c<5::1v?97e;296~;5?1o1;:=4=35b4?45;2wx?4lk:18085>jm035=5238ce><3>3492on4;619~w6?fn3:1?v3<9`d9325<5:3i<7<=3:?0=fb=:;90q~=6a183>7}:;0ih6:9<;<1b=5<57me;297~;41ko144>4=2;a4??212785nj54728yv5>j90;6>u238`3>2143492n<4=229>76}:;0hm657?;<1:f4<>=016?4mj:543?xu41k;1<7=t=2;a5?10;2785o<5231896?dn389?6s|38c1>5<5s492oh48729>7d?52;>o7p}<9b294?5|5:3h<7660:?0=g4=1<301>7lf;654>{t;0h96=4<{<1:f7<0?:16?4l<:300?85>l909>>5rs2;b7?6=:r785nh5761896g><38?h6s|38a2>5<4s492o<47919>753z?0=g5=?>901>7m4;017>;41m;1>?=4}r1:e1<72;q6?4j?:650?85f1<098i5rs2;`6?6=;r785n<5882896?e<33>563<9e390367=870=6b48166=:;0n96?<<;|q0=d3=838p1>7k1;547>;4i0<1>9j4}r1:g6<72:q6?4m<:9;3?85>j<02945238f1>1073ty85o;50;1x96?e=3=7l4;::4>;41k<15874=2;g7?2182wx?4l9:18085>j?0<;>5238`4>7443492h94=229~w6?f?3:1>v3<9e19325<5:k247<;d:p791v>7m7;297~;41k=1;:=4=2;ai10;6?u238f7>214349j544=4e9~w6?d>3:1?v3<9b49<<6<5:3i477:9:?0=a3=6}:;0h36:9<;<1:f<<5::16?4j9:300?xu41h31<7k>0;6>u238a4>=?73492n446589>77k7;017>{t;0kj6=4={<1:`3<0?:16?l7m:36g?xu41j21<7=t=2;`>82785oo594;896?c?3>=<6s|38`b>5<4s492nl48729>752z?0=a1=?>901>o6c;07`>{t;0i26=4<{<1:g<l10?:=5rs2;af?6=;r785ol5761896?ek389?63<9e;96757=870=n9e810a=z{:3hm7>53z?0=fg=00:01>7mc;;6=>;41m318;>4}r1:ff<72;q6?4ll:650?85>lh09>>5rs2;b`?6=:r785i75761896g>n38?h6s|38aa>5<5s492oo47919>76}:;0l2657?;<1:ag<>=016?l>7:543?xu41lh1<7=t=2;ff?10;2785hm5231896g71389?6s|38db>5<4s492jl47919>753z?0=`e=?>901>7jd;017>;4i9k1>?=4}r1:bg<72:q6?4hm:9;3?85>mm0294523`2b>1073ty85hj50;1x96?bl3=91v>7je;297~;41lo1;:=4=2;fb?45;278m=m52318yv5>nm0;6>u238dg>=?73492ik46589>7d6d2=<;7p}<9dd94?5|5:3nj7983:?0=c6=:;901>o?d;017>{t;0ln6=4<{<1:b`5761896?a9389?637<`a213;70=6f08:1<=:;h:n698?;|q0=c7=839p1>7i1;547>;41o81>?=4=2c3b?45;2wx?l>?:18085f89035=5238d1><3>349j6}:;h::657?;<1:b6<>=016?l??:543?xu41o91<7=t=2;e7?10;2785k:5231896g69389?6s|3`21>5<4s49j7<`320?270=n108725=z{:3m87>53z?0=c2=?>901>7i5;017>;4i881>?=4}r1b46<72:q6?l><:9;3?85>n<0294523`31>1073ty85k;50;1x96?a=3=91v>7i6;297~;41o<1;:=4=2;e3?45;278m<:52318yv5f8<0;6>u23`26>=?73492j:46589>7d732=<;7p}<9g594?5|5:3m;7983:?0=c>=:;901>o>5;017>{t;h:=6=4<{<1b43389?6s|3`24>5<5s49j<:47919>7d712=<;7p};3ba94?1|5:>mm7=if:?012g=<9;01>;ma;635>;4<0h18=>4=046f?24kj168>8k:260?85?0j08jk5rs26ee?6=;r788ko58828962cj33>563<50c903671be2>=870=;e78166=:;<8>6?<<;|q746g=838p1>;>a;547>;6>;?5;1eb>;6>0k18>j8;<1;e7<4no16?86::522?852k<0?<<5235c5>167349n=?4060c2:>?7p}<51794?5|5:?;97660:?00`0=1<301>;=5;654>{t;=o=6=4<{<17a3<0?:16?9h>:300?852;909>>5rs5271?6=:r789?;5761896c6>3>;<6s|42g1>5==47=d12:lm70=;b08745=:;<3;69>>;<16`5<38816?k>;:2de?824>m088k5217fa>15b:2wx?8??:18085299035=5235d2><3>349>?=4;619~w62a93:1?v3<4g39325<5:>m?7<=3:?0165=:;90q~:?5183>7}:;<9;6:9<;<1e43<3891v9=j5;29<~;4=891?kh4=2:ae?5an2788o:54128963>;3>;=63<5e19057<5:l?o7=if:?773b=;53z?0145=00:01>:i3;;6=>;4=:918;>4}r17b6<72:q6?9h<:650?853n=09>>523417>7443ty?<8=50;0x9634;3=8i;4?:9y>70732:lm70=7bc80bc=:;=h>69>?;<16=1<38816?8j;:522?85a>108jk52424g>63c34;=j:4;3d48yv529=0;6>u23437>=?7349?j946589>70532=<;7p}<4g694?5|5:>m87983:?00c3=:;901>;<5;017>{t<9??6=4={<1671<0?:16?k8n:523?xu3;l=1<76t=2721?5an2784om53gd8962e>3>;<63<5879057<5:?o97:?1:?0b=2=;ol019=9d;16a>;6>ol18>k8;|q0143=839p1>;>5;::4>;45235d5>744349>?;4=229~w162=3:1>v3<5279325<5:l3:7:?0:p06c?290?;<16=3<38816?8j9:522?870810??h64=515`?52n2784oj53gd8yv529?0;6>u23435>=?7349?j;46589>70512=<;7p}<4g494?5|5:>m:7983:?00c1=:;901>;<7;017>{t<9?=6=4={<1673<0?:16?kom:523?xu3;l31<79t=2723?5an2788o654128963>?3>;=63<5e59057<58=;j7:060c2:<;70=7bg80bc=z{:?:;7>53z?0141=00:01>:i7;;6=>;4=:=18;>4}r17b2<72:q6?9h8:650?853n109>>52341;>7443ty?<8950;0x9634?3=8il4?:6y>707?2:lm70=;b88745=:;<3369>>;<16`=<38816=:?9:51fe>;3;?n1?;?4=2:`5?5an2wx?8?7:18085291035=5235d;><3>349>?54;619~w62a03:1?v3<4g:9325<5:>m57<=3:?016?=:;90q~:?5983>7}:;<936:9<;<1eaf<3891v9=jb;293~;4=831?kh4=26ae?27827894754138963c13>;=63>70f906ce34>8:i4<639>7=e42:lm7p}<50;94?5|5:?:57660:?00c?=1<301>;<9;654>{t;=l26=4<{<17b<<0?:16?9jl:300?8529k09>>5rs526=?6=:r789>757618916713>;<6s|42ag>5<0s49?jo471?d2=:;70=:7c8744=:;>;<352<<3;jn019=9d;171>;401o1?kh4}r17bg<72:q6?9hm:9;3?853lj029452343a>1073ty88im50;1x962ck3=?;|q77fc=832p1>:ic;1eb>;6>?o18>mj;<17=a<38916?89l:522?852jj0?<<523e65>6`a34>8:i4<479>7=?72:lm7p}<4ga94?5|5:>mo7660:?00ab=1<301>;>c;654>{t;=no6=4<{<17`a<0?:16?9jj:300?8529m09>>5rs520g?6=:r789;=6s|42ae>571?b2=:;70=:7e8744=:;>;<1g27<4no168>8k:264?871?:0??nh4=2::6?5an2wx?9hk:180853nm035=5235ff><3>349>=i4;619~w62cm3:1?v3<4eg9325<5:>oj7<=3:?014c=:;90q~:?3e83>7}:;<;o6:9<;<1g26<3881v9=k0;29<~;4166349o;n4060c2:>370=79580bc=z{:>mi7>53z?00cc=00:01>:kf;;6=>;4=8o18;>4}r17`c<72:q6?9ji:650?853m909>>52343e>7443ty?<>k50;0x9636m3=8h<4?:9y>71`a2:lm70?983877a7<5:>j<7:?0:?012`=<9;01>;mf;635>;4lh81?kh4=515`?53127844853gd8yv53no0;6>u235de>=?7349?i=46589>707a2=<;7p}<4d294?5|5:>n<7983:?00`7=:;901>;=0;017>{t<99m6=4={<165c<0?:16?i7>:522?xu3;m81<76t=2734?5an27::59542f1?853i80?<=5234:3>166349>o=4;009>7ad02:lm70:<6e800d=:;1336>hi;|q0156=839p1>;?0;::4>;4:180853m80<;>5235g1>744349>><4=229~w16383:1>v3<5329325<5:nj:7:?1:p06b42903w0=:0080bc=:9?2i69=k3:?00d4=<9:01>;71;635>;4=j;18=?4=2f`g?5an27??;j535`896>>i39mj6s|3422>5<4s49><<47919>71c520?270=:208725=z{:>n>7>53z?00`4=?>901>:j3;017>;4=;81>?=4}r6304<72;q6?8<>:650?85cjk0?<<5rs51g0?6=0r789=<53gd8940>83>8h95235c0>167349>4?4;009>70e52=::70=ke080bc=:<::l;<1;=f<4no1v>;?2;297~;4=98144>4=26f7??212789?<54728yv53m:0;6>u235g0>214349?i94=229>70442;887p};05094?4|5:?9>7983:?0`a6=<9;0q~:=}:;<:86>hi;<35=0<3;m?01>:n4;634>;4=1918=?4=27`7?279278hk853gd89151l39?h63<88g97c`<>4?:2y>7064213;70=;e58:1<=:;<88698?;|q00`2=839p1>:j4;547>;4?=4=2710?45;2wx8=:<:181852::0<;>523eg6>1663ty??i850;:x9637<39mj63>68;906b1349?m84;019>70>32=::70=:c58744=:;l:i6>hi;<602a<41<7=t=2730?>>82788h;594;89635<3>=<6s|35g6>5<4s49?i848729>71c02;8870=:278166=z{=:?87>52z?0172=?>901>jia;635>{t<:n36=47{<1643<4no16=;o::51g<>;44=27;2?2792789n85413896c3:39mj63;37f9706<5:2j?7=if:p70612908w0=:078;=5=:;=o<64;6;<1663<3>91v>:j7;297~;4214349n?<4;009~w15c13:14v3<51597c`<5871g?2=:;70=:868744=:;>;<1f12<4no168>8k:272?85?i<08jk5rs2733?6=;r789=958828962b033>563<535903671c?2>=870=;e88166=:;<836?<<;|q7411=838p1>;=7;547>;4m=<18=?4}r60`d<721q6?8>7:2de?871io0??io4=26b=?27827895654138963d03>;=636}:;<:3657?;<17a<<>=016?8<7:543?xu45<5s49>>548729>7`3e2=::7p};3e`94?>|5:?;57=if:?22g3=<:ni70=;a`8745=:;<2269>>;<16g<<38816?h6>:2de?824>m089>5239c:>6`a3ty89=750;1x96371322<63<4dc9=0?<5:?957:90:p71cf2908w0=;e`8436=:;=oi6?<<;<166d<5::1v9>;9;296~;4=;31;:=4=2g44?2792wx8>jl:18;8528h08jk5217`a>15ck2788ll54128963?i3>;=63<5bc9057<5:o2:7=if:?773b=;<>01>6nb;1eb>{t;<:j6=4<{<164d;8l4?:3y>704f2>=870=j848744=z{=9oh7>58z?015d=;ol01<8l1;60`a=:;=kh69>?;<166323493mi4no77:9:?017d=6}:;=oh6:9<;<17aa<5::16?86`a34;=o54;3eg8962fl3>;<63<59a9057<5:?ho7:?1:?0af6=;ol019=9d;162>;40hl1?kh4}r164f<72:q6?8>l:9;3?853mm029452340`>1073ty88hj50;1x962bl3=>;|q77a`=832p1>;?d;1eb>;40k;1?kh4=04`g?24lo16?9oj:523?8520m0?<<5234ag>166349nh>4060c2:?<7p}<51f94?5|5:?;h7660:?00`c=1<301>;=d;654>{t;=on6=4<{<17a`<0?:16?9ki:300?852:l09>>5rs527`?6=:r789?j5761896cd;3>;=6s|42g3>553b52=9n<63<4`d9056<5:?3i7:?1:?01fc=<9;01>kj8;1eb>;3;?n1?864=2:a6?5an2wx?8>j:1808528l035=5235ge><3>349>>h4;619~w62bn3:1?v3<4dd9325<5:>m<7<=3:?017`=:;90q~:?4d83>7}:;<8n6:9<;<1f`2<3881v9=j1;29<~;4=9l1?kh4=04g166349nji4060c2:?270=7b580bc=z{:?;j7>53z?015`=00:01>:i0;;6=>;4=;l18;>4}r17b5<72:q6?9h?:650?853n;09>>523412>7443ty?<9h50;0x9635n3=8i>4?:9y>70762:lm70?9e7877`5<5:>i>7:?0:?01<7=<9;01>;k1;635>;4n;o1?kh4=515`?52j2784o953gd8yv52980;6>u23432>=?7349?j?46589>70562=<;7p}<4g094?4|5:>m>7983:?0164=:;90q~:?5083>7}:;<9:6:9<;<1e5a<3881v9=j4;29<~;4=881?kh4=2:a=?5an27::hm542g7?8521;0?<<5234f1>166349?n>4;019>7c222:lm70:<6e801f=z{:?:>7>52z?0144=00:01>;<2;654>{t<9?96=4={<1677<0?:16?k=::522?xu51o:1<7>82795lo53gd8yv4f:o0;6?u2285a>6`b348j8n48729~w7?f03:1>v3=96`97c`<5;3n;7983:p6<3b2909w0<67c8436=:<;<=69>?;|q1e<2=838p1?o;c;1ea>;5i0>144>4}r0b3g<72;q6>l:l:2de?84f?k035=5rs3cga?6=:r79m:l53gd89gee2>=87p}=agg94?4|5;k7}::0o<6>hi;<0b511?kh4=0ab=?>>82wx>o?7:18184f9=0?<=52ac`9325i4?:3y>6<1f2:ln7052z?1=2g=;ol01?7j5;547>{t:0?h6=4={<0:3d<0?:168?8>:523?xu5i081<76`a348j;l47919~w7gcl3:1>v3=a6c97c`<5ki26:9<;|q1ecb=838p1?o8a;634>;5nli1;:=4}r0b57<72;q6>4k::2de?84f9;035=5rs3`33?6=:r79m<<53gd894ef0322<6s|2c34>5<5s48j=?4;019>eg>=?>90q~7}::0o?6>hj;<0b3<1;296~;51l>1?kh4=3c25?>>82wx>4o::18184>m=0<;>52437g>1673ty9n?>50;0x97g013>;<63=89a9<<64?:3y>6d1>2=::70<>2g8;=5=z{;=<47>52z?1e47=;ol01?970;;3`>{t:hhi6=4={<0b54<38816=8:;:3caf>{t:h8h6=4={<0:3<<4nl16>l:n:650?xu51h>1<7=k0;6?u2285:>21434>99i493:1>v3=a5c97cc<5;k2=7660:p6d1?2909w0;ek10<;>5rs3ceg?6=:r79m:65412897`bi322<6s|2`33>5<5s482i?46d77213;7p}=b1494?4|5;k:<7=if:?2gd1=00:0q~7}::h;;69>?;l98:18184>m808jh522`54>=?73ty9m=h50;0x97?b939mj63=a1d9<<64?:3y>6=870:=588745=z{;h:j7>52z?1e21=<9:01?67b;::4>{t:hh96=4={<0b32<38816><=1<716634;>8>4=acc8yv4f:k0;6?u2285;>6`b348j8448729~w7?f:3:1>v3=96:97c`<5;3oj7983:p6<3f2909w0<6798436=:<;?26>hi;|q1e<6=838p1?o;9;1ea>;5i0:144>4}r0b33<72;q6>l:6:2de?84f??035=5rs3cgf?6=:r79m:853gd89ge02>=87p}=ag`94?4|5;k<:7:?0:?1b`1=00:0q~7}::0nm6>hi;<0b4`>82wx>o?::18184f8l0?<=52ac09325652z?1=ac=;ol01?o?d;::4>{t:0k:6=4={<0:``<0?:168?;::523?xu5j8o1<7166348:>i47919~w710>3:1>v3=a1f97c`<5;=2909w096?om9:p6d4f2909w0<67680b`=::h>36:9<;|q1=d6=838p1?787;1eb>;51mi1;:=4}r0:1<<72;q6>498:650?825=<08jk5rs3c;b?6=:r79m9653gg897g?n322<6s|2`57>5<5s48j8546d13213;7p}=aec94?4|5;k<87=if:?ag3<0?:1v?oia;296~;5i>>18=>4=3df0?>>82wx>l>l:18184>lj08jk522`2`>=?73ty9n=:50;0x97g7k39mj63>c`79<<66d6d2=:;70onf;547>{t:h=86=4={<0:`g<4nl16>l9<:9;3?xu5i9h1<71o0;6?u228fa>21434>99<4v3=a619056<5;2357660:p6dd72909w0;5?>n15=j4}r0bf=<72;q6>l>m:522?8405<5s482;;46=87p}=94:94?4|5;3<:7983:?7607=<9:0q~7}::h><6>hj;<0b<`>82wx>lj6:18184f?;08jk52bb793256d152=:;7052z?1=a>=;ol01?o?a;::4>{t:k:86=4={<0b4d<4no16=no;:9;3?xu5j891<7v3=9e597cc<5;k<=7660:p6d6>2909w0<6d680bc=::h:2657?;|q1=;3:=n18=>4}r0a5f<72;q6>l9>:523?84?01035=5rs3cbb?6=:r79m:?541389775j322<6s|2657>5<5s48j<44621d20:o7p}=ac594?4|5;k;57:?1:?1311=00:0q~7}::0=>6>hj;<0b03<0?:1v?76c;296~;51>?1?kh4=3;g1?10;2wx>4;8:18184>?<0<;>52436g>6`a3ty9m5j50;0x97g3>39mi63=a9f9<<66d212:lm7052z?1e26=;ol01om;:650?xu5io21<76`a348j<547919~w7d7:3:1>v3=a1:97c`<58ij?7660:p6g752909w049;:2df?84f<<0<;>5rs3;:f?6=:r795::53gd897?c;3=5<5s482;948729>072?20?27p}=a9a94?4|5;k?97=ie:?2165=:h2h7p}=a7d94?4|5;k?97=if:?1e3`=00:0q~7}::hhi;<``7?10;2wx>lh8:18184f>o0?<=52146`>7ga?2wx>l>8:18184>l:08jk521401>7g7?2wx>l<9:18184>?:08jh522`67>2143ty954o50;0x97?0;39mj63=9e393256<142>=870:=458:1<=z{;k3n7>52z?1e12=;oo01<;<2;0b52z?1e12=;ol01?o9d;::4>{t:hn=6=4={<0b2a<4no16nn<57618yv4fn?0;6?u22`4g>16734;>8o4=ag48yv4f8?0;6?u228f2>6`a34;>><4=a148yv4f>j0;6?u228f3>6`b348j:n47919~w7g7=3:1>v3=9e297c`<5;k;97660:p62909w0<6d18436=:<;>:69>?;|q1f4d=838p1?o9c;634>;501=144>4}r0be`<72;q6>l8l:522?846:h035=5rs3547?6=:r79m=;53gd89710j33;h6s|2``5>5<5s48j<84;009>6226213;7p}=a3794?4|5;3<>7=ie:?1e15=?>90q~<69983>7}::0=96>hi;<0:g`<0?:1v?7:4;296~;51>81;:=4=5075?5an2wx>l6n:18184f<:08jh522`:b>=?73ty9m;l50;0x97g3;39mj63=a7`9<<66d>f2:lm70?nfd8;=5=z{;kii7>52z?1e=g=<9:01>=73;::4>{t:hn>6=4={<0b2g<4no16nn?57618yv4fn<0;6?u22`4a>167348mh447919~w7g7<3:1>v3=9bg97c`<5;k;87660:p6g662909w0;fi?0<;>5rs3c10?6=:r795:>53gg897g393=5<5s482;=46=87p}=94194?4|5;3<<7983:?766e=1<30q~7}::h>:6>hj;<3674<5i130q~7}::h>:6>hi;<0b2d2143ty9mk:50;0x97g1i3>;<63>55c96d`33ty9m==50;0x97?dj39mj63>53296d643ty9m?<50;0x97?1n39mi63=a5293256<0a2:lm70<6c88436=z{;3>=7>52z?1=3`=?>9019<<8;;6=>{t:h2<6=4={<0b05<4nl16=8{t:h<26=4={<0b05<4no16>l86:9;3?xu5im91<7v3=a7;9056<58??57v3=9b;97c`<58?:i7v3=97g97cc<5;k8j7983:p6;3::>15874}r0b<3<72;q6>l=i:2df?872:l09m584}r0b2=<72;q6>l=i:2de?84f>1035=5rs3cg6?6=:r79m;653gd89gdc2>=87p}=ag094?4|5;k=47:?0:?211>=:hl97p}=a1294?4|5;3h;7=if:?214b=:h:;7p}=a3294?4|5;3=h7=ie:?1e6c=?>90q~<69283>7}::0hi;<0:g0<0?:1v?7;f;296~;51?n1;:=4=5004??212wx>l6::18184f;l08jh52140g>7g?=2wx>l88:18184f;l08jk522`44>=?73ty9mi?50;0x97g1?39mj63mbb8436=z{;km=7>52z?1e31=<9:01<;;7;0bb4=z{;3mj7>52z?1=f3=;ol01<;>c;0:bc=z{;k:j7>52z?1=3e=;oo01?o{t:0396=4={<0:2f<4no16>4m<:650?xu51=o1<7?m594;8yv4f0=0;6?u22`1g>6`b34;>>n4=a968yv4f>?0;6?u22`1g>6`a348j:;47919~w7gc83:1>v3=a7497c`<5khi6:9<;|q1ec6=838p1?o96;634>;6==<1>lh?;|q1=cc=838p1?7l3;1eb>;6=8h1>4hj;|q1e4c=838p1?79b;1ea>;5i:i1;:=4}r0:=4<72;q6>48m:2de?84>k90<;>5rs3;7`?6=:r795;l576189145033>56s|2`:0>5<5s48j?n4504e2;k3?6s|2`46>5<5s48j?n46d02213;7p}=abd94?4|5;k=97=if:?afd<0?:1v?ojf;296~;5i??18=>4=0771?4fmo1v?7id;296~;51j:1?kh4=072e?4>nm1v?o>d;296~;51?k1?kk4=3c0f?10;2wx>47?:18184>>h08jk5228`f>2143ty959m50;0x97?1i3=6d5e2:ln70?:2`81e=46d5e2:lm7052z?1e32=;ol01?hle;547>{t:hin6=4={<0b21<38916no757618yv4>nj0;6?u228`f>6`a34;>=44=9ga8yv4f9j0;6?u2284:>6`b348j?l48729~w7??n3:1>v3=97;97c`<5;3io7983:p6<2e2909w0<6688436=:<;8;64;6;|q1e=7=838p1?o;6=;31>l6>;|q1e35=838p1?o;5i?9144>4}r0baa<72;q6>l8<:2de?84akk0<;>5rs3c``?6=:r79m;=541289gd?2>=87p}=9g`94?4|5;3io7=if:?214>=:0li7p}=a0`94?4|5;3=47=ie:?1e6?=?>90q~<68d83>7}::0<36>hi;<0:fd<0?:1v?7;a;296~;51?21;:=4=502g??212wx>l6?:18184f;008jh52140;>7g?82wx>l8>:18184f;008jk522`42>=?73ty9mhm50;0x97g1939mj63=fb:93256d062=:;70lm7;547>{t:0lj6=4={<0:fd<4no16=8?8:3;ee>{t:h;j6=4={<0:22<4nl16>l=7:650?xu511n1<7<00;6?u22844>21434>9=546589~w7g0n3:1>v3=a2:97cc<58?9;7v3=a2:97c`<5;k=<7660:p6dce2909w06:9<;|q1efd=838p1?o90;634>;ej?0<;>5rs3;e=?6=:r795o653gd89436>382j45rs3c6b?6=:r795o953gg897g2n322<6s|28d;>5<5s482n:46<`?213;7p}=99a94?4|5;3i;7983:?7643=<9:0q~7}::h?m69>?;<0;<3>82wx>:9=:18184>n108jk52265b><6c3ty9mo;50;0x97?a03>;=63=72;9<<66<>52:ln7052z?1==4=;ol01?7m4;547>{t:0>36=4={<0:<7<0?:168??::2de?xu5i>o1<76`a348j9h47919~w7gf=3:1>v3=a6g97c`<58kmh7660:p6ddc2909w0;ej<0<;>5rs3cfe?6=:r79m8k5412897`d<322<6s|28d4>5<5s482n946<`0213;7p}=b1294?4|5;3m;7=if:?2gd7=00:0q~7}::0l<69>?;l=::18184>0808jh522`71>2143ty955750;0x97??939mj63=9c093256<>62>=870:=118:1<=z{;k2m7>52z?1e04=;oo01<;h7>52z?1e04=;ol01?o:d;::4>{t:ho26=4={<0b1a<4no16>kli:650?xu5ij31<7v3=9c097c`<58?:87<6f49~w7g4<3:1>v3=99297cc<5;k>=7983:p6<>?2909w0<68180bc=::0h;6:9<;|q1=35=838p1?770;547>;3:9i15874}r0b=<<72;q6>l;>:2df?872;009m474}r0b1f<72;q6>l;>:2de?84f=j035=5rs3cf5<5s48j9n4;019>fa6=?>90q~<6f583>7}::0h;6>hi;<3656<51o>0q~7}::0=m6>hj;<0b15<0?:1v?777;296~;51>l1?kh4=3;ba?10;2wx>48=:18184>?o0<;>52432:>1673ty9m4650;0x97g2839mi63>52:96d??3ty9m8l50;0x97g2839mj63=a4`9<<66d3e2:lm7052z?1e0d=<9:01omi:650?xu51o91<70?0;6?u2285f>6`a3482mn48729~w7?193:1>v3=96g9325<5=8;87:?0:p6d?02909w0;5nk<1;:=4}r0bg3<72;q6>l;n:523?8ddm3=5<5s482mn450762;3m>6s|2`12>5<5s482;i46d2b2>=87p}=99794?4|5;390q~<66183>7}::0=o6:9<;<62bc<3891v?o66;296~;5i=o1?kk4=0702?4f1?1v?o:9;296~;5i=o1?kh4=3c6=?>>82wx>lm::18184f=008jk52bbf93256d3>2=:;7052z?1=`e=;ol01<;>0;0:b4=z{;k8<7>52z?1=2e=;oo01?o;d;547>{t:0k26=4={<0:3f<4no16>4kn:650?xu516`b34;>?84=a878yv4f=10;6?u22`6g>6`a348j9547919~w7gd<3:1>v3=a4:97c`<5kih6:9<;|q1e`2=838p1?o:8;634>;5nhl1;:=4}r0b53<72;q6>4kn:2de?872:=09m<84}r0b6`<72;q6>49>:2df?84f<;0<;>5rs3;b3?6=:r795:?53gd897?ci3=5<5s482;<48729>04`02=:;7p}=a8194?4|5;k?>7=ie:?2162=:h387p}=a4794?4|5;k?>7=if:?1e03=00:0q~7}::h?>6>hi;<``e?10;2wx>lk<:18184f=<0?<=522gca>2143ty9m<=50;0x97?ci39mj63>53196d743ty9m?=50;0x97?1>39mi63=a2593256<012:lm70<6c38436=z{;3>>7>52z?1=30=?>9019?i3;634>{t:h236=4={<0b72<4nl16=8=?:3c;<>{t:hl8j:9;3?xu5ij81<7v3=a7g9056<5;lj;7983:p6d652909w0<6c380bc=:9<;m6?o?2:p6d7?2909w0<66480b`=::h9=6:9<;|q1==g=838p1?795;1eb>;51hh1;:=4}r0:02<72;q6>48::650?826mo0?<=5rs3c4`?6=:r79m>853gg89435>38j;i5rs3c56?6=:r79m>853gd897g1:322<6s|2`a2>5<5s48j:?4fg2=?>90q~7}::h<969>?;<0ee6<0?:1v?7i6;296~;51hh1?kh4=0721?4>n?1v?o:6;296~;51hk1?kk4=3c63?>>82wx>46;:18184>ih0<;>5240gb>6`a3ty9m<950;0x97?3>39mi63=a0593254?:3y>6<212:lm70<6828436=z{;3?:7>52z?1=10=?>9019?ja;634>{t:h=h6=4={<0b52<4nl16=8<::3c4g>{t:h??6=4={<0b52<4no16>l;;:9;3?xu5ij:1<748729~w7gb83:1>v3=a469056<5;lj=7660:p67d;1eb>;380:144>4}r63?=322<6s|2`c`>5<5s4>;5>4;009>644?213;7p}=76394?4|5=:2<7=if:?132?=19n0q~7}:<93;69>>;<040761;296~;381k1?kk4=52:5?10;2wx8=6m:1818270h08jk5241:a>2143ty?<5o50;0x916?i3=;594?:3y>05?62:ln70:?958;=5=z{=:2>7>52z?74<7=;ol019>62;::4>{t:hk?6=4={<63=1<4no16=lhl:9;3?xu5iki1<76`a34hi>7983:p6dba2909w0:?938745=::o3n657?;|q74=`=838p19>7b;1eb>;381l144>4}r0bbc<72;q68=6i:2de?87di9035=5rs3`3=?6=:r7?<5h541289d>b2>=87p}>b2d94?4|5883m7=id:?2f6`=19n0q~798;297~;6:1k1;:=4=84;><033W3=46s|cg;94?4|588347=id:?fe4<>8m1v48::180875010<;>529779=327p}>c6594?4|5883:7=id:?2g21=19n0q~799;297~;6:1<1;:=4=84:><033W3=56s|33fg>5<5s4;949477bc20:o7p}6a383>6}:9;2?6:9<;<;b6??1<2T2m?5rs`20>5<4s4;e55=1?>0Rl><;|qb47<72:q6=:km:650?8g7:33=86Pn039~wd662908w0?8e88436=:i9;15;:4^`22?xuf890;6>u216g4>21434k;<7794:\b45=z{0lm6=4<{<34a0<0?:165kh59768Z<`a3ty2jh4?:2y>52c42>=8707ie;;50>X>nl1v4hk:180870m80<;>529gf9=326}:9>nm6:9<;<;eg??1<2T2jn5rs8da>5<4s4;=cd=1?>0R4hm;|q:b6<72:q6=:jm:650?8?a;33=86P6f29~w602=3:1>v3<646961b<58?o97=9549~w602<3:1>4u23777><6c34;8944<64689611n39mj63<74697cc<5:=>>7=if:?0306=;ol01>9;e;1eb>;4?=i1?kh4=24;3?5an278;8j53gg8961>m39mi63<66d97cc<5:<<<7=if:?034?=;ol01<;i0;1511=:98:4:?21`e=;???70?:e`80202<58?n47=9559>50c02:<>863>5d79733334;>i>4<6468943b939=9952167a>602<27:9kl53777?8500108jh5236c;>6`a34;>h84<6468961ei39mj63>61497333349<>k4724c2:lm70=9f380b`=:;?om6>hi;<14=2<4nl16=?mk:2460>;4?>l1?kh4=24a5?5an278::853gd89600:39mj63<78197c`57=8f99>533?2:=m463>645972`?34;=9;4<7g:89402=3961a027::8=536d;?871=;08;k64=2afg?5am278oh953gg896ed139mi63mm0;1ea>;4khh1?kk4=2ab2?5am278ol?53gg896e>k39mi637=ie:?0g=b=;oo01>m73;1ea>;4k>o1?kk4=2a4=?5am278o::53gg896e1n39mi63m:b;1ea>;4k<<1?kk4=2g2a?5an27:?9o536d;?874;6:j>1?:h7;<31g0<4?o201<9i8:?26f?=;>l370?=c`803c><588hn7=8f99>57ed2:=m463>2bg972`?34;9h=4<7g:8944c93961a027:>i=536d;?875l<08;k64=00g3?50n116=?j7:25e<>;6:m31?:h7;<31`d<4?o201<9i8:?26ac=;>l370?=dg803c><588n<7=8f99>53702:=m463:7=if:?0b24=;ol01>h7e;1eb>;4nki1?kh4=2dgg?5an278h=753gd89416=3961a027::;6536d;?871?=08;k64=044e?50n116=;9i:25e<>;6>1>1?:h7;<35<<<4?o201<87d;14b==:9?396>9i8:?22<1=;>l370?9a3803c><5853gd2:=m463>6c0972`?34;=n54<7g:8940em3961a027::nh536d;?871l<08;k64=04f7?50n116=;k6:25e<>{t;>nn6=4l{<14``<>8m16?:k8:523?8719<08;ik4=2`:=?5an27848753gg896d2>39mj63>642972bb34;<444<7eg896>7139mj63>605972bb349i5=47g362:lm7p}<7g;94?4|5:=m47<;d:?03cg=00:0q~=8fb83>7}:;>li6?:k;<14ba9ib;29=~;4?oh15=j4=0511?50nk16=?h9:25ef>;6:021?:hm;<31g4<4?oh01<8?9;14bg=:9?:j6>9ib:?26c>=;>li70?=f6803cd72`b2;>o70?90g803c`72`b20:o70?=e2803cc<5:h>47=ie:?01c`=;oo01>8i1;1ea>;4?8>1?kk4=253g?5am278;=:53gg8960ak39mi63<87397cc<5:2o97=ie:?26fb=;>ln7p}<81094?4|5:2;=7<;d:?2246=;1:97p}<81394?56s493<<460e9>72`c2:lm70=8f`80bc=:;1?269>?;<31a=<409;01<9=5;1;44=:9?;36>6?1:?26=`=;1::70?82680<57<5:=ni7=if:?0<3>=;ol01<86d;1;44=:9?nn6>6?1:?22c4=;1::70?9f`80<57<58=;>7=7009>52732:2;=63>70`97=6634;=::4<81389401l393<<521751>6>7927:::653922?871?l084=?4=04;7?5?8816=;67:2:35>;6>1i1?5>>;<35=4<409;01<866;1;44=:9?k:6>6?1:?22d0=;1::70?9ac80<57<5853d02:2;=63>6cf97=6634;=o>4<8138940dm393<<5217f7>6>7927::h<53922?871m1084=?4=0456?5?8816=;7m:2:35>;6>mi1?5>>;<35b5<409;01<8i8;1;44=:9>:;6>6?1:?220e=;1::70?<4`80<57<5:lh=7=ie:?0`4d=1<30q~=70483>7}:;1:?6?:k;<3554<409?0q~=70583>71|5:2;877?d:?03cb=<9:01>9ia;634>;6?;<1?5>;;<355=<409>01<86c;1;41=:9?no6>6?4:?22c7=;1:?70?9f880<52<58=;=7=7059>53062:2;863<7dg97cc<5:lm87:?0:?7447=<9:01>j<7;634>;4l=l18=>4=2f5f?278278h5;5412896b>k3>;<63ji0;634>;4m9?18=>4=2g0g?278278i8?5412896c1>3>;<63kma;634>;4mjk18=>4=2gf6?278278ik95412896`503>;<6352z?0<51=:=n01>6?8;::4>{t;1:<6=47{<1;42<>8m16?5>7:2df?85?=008jk5213g0>6>7?278n8653gd89415?393<:521734>6>7?278n4>53gg8yv5?8k0;6?u2392b>72c34937i3:188u2392b><6c349353042:2;m63>65497=6f34;=884<81c89403<3936>7i27::9<5392b?871<8084=o4=0474?5?8h16=;=i:2:3e>;6>:o1?5>n;<357a<409k01<86?a:?226?=;1:j70?93980<5g<58<8;7=70`9>7dd22:lm70?94c80<5g<58532>2:2;m63>65:97=6f34;=8:4<81c89404i3936>7i27::>;5392b?871;=084=o4=0407?5?8h16=;==:2:3e>;6>:;1?5>n;<3575<409k01>6?d;1eb>;4ik918=>4=2`30?5an278inl5412896ge83>;<637=ie:?0ecg=;oo01>9jf;634>;4nl>18=>4=2deb?278278h?95412896b3:3>;<63jn5;634>;4lkk18=>4=2f`b?278278hh:5412896ba13>;<63k9f;634>;4m1>18=>4=2g:=?278278ilk5412896cc>3>;<63l:0;1ea>;6:0i1?5>n;<31=<<409k01<<6e;1;4d=:9;i36>6?a:p72c72909w0=8dg810a=:;>o:657?;|q03a`=838:w0=8dg8:4a=:;>o:69>?;<3526<4?ml01>6?d;1ea>;4ik91?kh4=2g`f?5an278mo>53gd896gfl39mj63<7dd97cc<5:ln87=ie:?0bc`=;oo01>j=7;1ea>;4l=81?kk4=2f6e?5am278h:853gg896b>839mi63jj4;1ea>;4lo31?kk4=2g04?5am278i9;53gg896c2i39mi63kne;1ea>;4mm<1?kk4=2gff?5am278j4?:3y>72c52;>o70=8e28;=5=z{:=n>7>54z?03`4=19n01<9=6;14a7=:9?:26>9j2:?225g=;>o97p}<7d494?4|5:=n97<;d:?03`1=00:0q~=8e483>1}:;>o>64>k;<31a6<4?l?01>l:8;634>;6>8=1?:k:;|q03`?=838p1>9j8;07`>;6>9=1?:k6;|q03`>=838>w0=8e98:4a=:9>8=6>9j8:?2235=;>o370?99b803`><5853`62:=n463>6g;972c?34;<<<4<7d:894019396`a34>;=<47a502:lm70=k4g80bc=:;mhi;<1g<0<4no16?i7l:2de?85cj808jk523ea5>6`a349oho47a`72:lm70=j0480bc=:;l9h6>hi;<1f14<4no16?h89:2de?85b?k08jk523d;3>6`a349nm847`df2:lm70=jc`80bc=:;lo96>hi;<1fb2<4no16?k<7:2de?85a;m08jk523gfb>6`b34;=<44<7d:89407i396`b3ty8;hm50;0x961bj38?h63>61:972cd3ty8;hl50;52850mk0261bj27::<;536ga?85?=00?<<52172a>61bj278n5h53gg896e5l39mi63;07797cc<588n?7=8ec9>7g302:ln70=mfc80bc=:9?<86>9jb:?0a74=;oo01>hm9;1ea>;4nmh1?kk4=2de1?5am27?<<<53gg896b4039mi63j6d;1ea>;4lk81?kk4=2f`3?5am278him53gg896ba939mi63k97;1ea>;4m>i1?kk4=2g:5?5am278il853gg896cej39mi63h;6>891?:km;<31ad<4?lh01<9=1;14ag=:;1:26>hj;<319jb:?2230=;>oi70?81`803`d<58=:?7=8ec9>526d2:=nn63>717972ce34;==<4<7d`8943a:3916734;>h94<7d`896?4l3>;<63>634972ce34;88i4<7d`89453m3961bj27::o>536ga?871j?08;hl4=04ag?50mk16=;m=:25ff>;6>j31?:km;<35ga<4?lh01<8k3;14ag=:9?o:6>9jb:?22`1=;>oi70=le28:1<=:;jnn64;6;<1``<<>=016?nj;:87:?85dko0294523ba3><3>349h4446589>7f3520?270=lee8:1<=:;jo364;6;<1`gd<>=016?nm::87:?85djk0294523b`5><3>349hn<46589>7fgd20?270=la68:1<=:;jk964;6;<1`=a<>=016?n77:87:?85d1:0294523b:f><3>349h4946589>7f1a20?270=l7`8:1<=:;j=>64;6;<1`35<>=016?n8m:87:?85d>?0294523b42><3>349h9n46589>7f3020?270=m9480b`=:;k3=6>hj;<354d<4?lh01>hma;1eb>;4j0:18=>4=2d20?5am278j8m53gg896`0039mi63?;|q253>=838p1n?>:2de?876mm02577520:o7p}l1083>7}:k8;144>4=cf1>6`a3ty:=<>50;1x9f>42:lm70?>918:4a=:l9?1?kk4}r3200<72:q6o5=54128947d033;h63kc880b`=z{j286=4={>827ih?4;ej;08jk5rsg7e>5<4s4i387=ie:?b6<<4i116j4=591f8yv`3>3:1?v3l858745=:1j?1?l64=g54><6c3tyh494?:3y>g=2=00:01n=m:2de?xu608:1<7:t=ba0>6`b34;9=i457212:lm70?7118:4a=z{8=3i7>54z?`g6<38916=??j:2de?875<>08jk5216:f><6c3tyho>4?:3y>gf5=00:01n=m:2df?xud;k0;6?u2c2`9325<5kh96>hj;|q2525=838p1n?=:2de?876nh0254?e20:o7p}l1383>7}:k88144>4=cd1>6`a3ty:=a2:lm70?>958:4a=:l891?kk4}r3215<72:q6o5h54128947c=33;h63j5980b`=z{j2m6=4={>827ij?4;ej:08jk5rsg4b>5<4s4i2m7=ie:?b6f<4i116jl>591f8yv`3?3:1?v3l9`8745=:1j21?l64=g5a><6c3tyh5l4?:3y>g6`b34;9=44;019>57252=:;70?7438:4a=z{8=h<7>54z?`g1<38916=?<>:2de?875<6c3tyho94?:3y>gf2=00:01n;m:2df?xud;j0;6?u2c4`9325<5kh86>hj;|q2522=838p1n?k:2de?876nk0254?d20:o7p}l1e83>7}:k8n144>4=cd0>6`a3ty:=?850;1x9fg22:lm70?>9g8:4a=:l;:1?kk4}r3214<72:q6ol;54128947c>33;h63j6480b`=z{jk>6=4={>827ij>4;ej=08jk5rsg4a>5<4s4ii<7=ie:?b6c<4i116jl?591f8yv`303:1?v3lb18745=:1jh1?l64=g5`><6c3tyhn=4?:3y>gg6=00:01n;l:2de?xu60==1<7:t=bae>6`b34;9>>4;019>572b2=:;70?7468:4a=z{8=h97>54z?`gc<38916=?<;:2de?875<6c3tyhok4?:3y>gf`=00:01n;l:2df?xud<>0;6?u2c4a9325<5kh?6>hj;|q2523=838p1n<7:2de?876nj0254;019>54?c20:o7p}l2983>7}:k;2144>4=cdf>6`a3ty:=?h50;1x9fde2:lm70?>a`8:4a=:l;n1?kk4}r3217<72:q6ool54128947c?33;h63j7380b`=z{jhi6=4={>827ijh42909w0lie;547>;ejo08jk5rsg4`>5<4s4ih<7=ie:?b77<4i116jl<591f8yv`313:1?v3lc18745=:1jo1?l64=g5g><6c3tyho=4?:3y>gf6=00:01n8l:2de?xu60=i1<7:t=bf1>6`b34;9=l4;009>57242=::70?74b8:4a=z{8=hm7>54z?``7<38916=??m:522?875<=0?<<5216ab><6c3tyhh?4?:3y>ga4=00:01n8l:2df?xud=;0;6?u2c7a9325<5khm6>hj;|q2520=838p1n=<:2de?876nm024;019>54?b20:o7p}l3283>7}:k:9144>4=b2:>6`a3ty:=>>50;1x9fe62:lm70?>b28:4a=:l:k1?kk4}r3216<72:q6on?54128947c033;h63j7g80b`=z{ji:6=4={>827h<44;ekh08jk5rsg4g>5<4s4ih>7=ie:?b70<4i116jl=591f8yv`3i3:1?v3lc38745=:1m;1?l64=g5f><6c3tyho?4?:3y>gf4=00:01n98:2de?xu60<;1<7:t=bf0>6`b34;9>l4;009>57322=::70?7508:4a=z{8=hj7>54z?``6<38916=?<6c3tyhh>4?:3y>ga5=00:01n98:2df?xud=<0;6?u2c659325<5kij6>hj;|q2521=838p1n=9:2de?876nl0254g720:o7p}l3783>7}:k:<144>4=b2a>6`a3ty:=>?50;1x9f>22:lm70?>b58:4a=:l==1?kk4}r3211<72:q6o5;54128947c133;h63j8b80b`=z{j2>6=4={>827h;ekj08jk5rsg4f>5<4s4i3:7=ie:?b7=<4i116jl:591f8yv`3j3:1?v3l878745=:1m>1?l64=g5e><6c3tyh4;4?:3y>g=0=00:01n96:2de?xu60<<1<7:t=bf7>6`b34;9=44;009>57252=::70?7578:4a=z{8=o87>54z?``1<38916=?<>:522?875<6c3tyhh94?:3y>ga2=00:01n96:2df?xud=?0;6?u2c6;9325<5kih6>hj;|q252>=838p1n=8:2de?876no0254g620:o7p}l3683>7}:k:=144>4=b2`>6`a3ty:=><50;1x9f>02:lm70?>b48:4a=:l<>1?kk4}r3210<72:q6o5954128947ci33;h63j9880b`=z{j2<6=4={>827h;ekm08jk5rsg4e>5<4s4i347=ie:?b7g<4i116jl;591f8yv`3k3:1?v3l898745=:1m=1?l64=g:3><6c3tyh454?:3y>g=>=00:01n9n:2de?xu606`b34;9>>4;009>572b2=::70?75c8:4a=z{8=o57>54z?``0<38916=?<;:522?875<6c3tyhh84?:3y>ga3=00:01n9n:2df?xud=>0;6?u2c6c9325<5kio6>hj;|q252g=838p1n=7:2de?875880254g520:o7p}l3983>7}:k:2144>4=b2g>6`a3ty:=>=50;0x9f>>2:lm70?>b78:4a=z{8;>:7>52z?`<<<38916=hj;|qaac<72;q6o=j576189geb2:lm7p}i7183>7}:k1k1?kk4=gc5><6c3tym8i4?:3y>g=g=<9:01k6>:82g?xud0h0;6?u2c9c9<<6<5j=i6>hi;|q`1=<72;q6o:l576189geb2:ln7p}>16`94?4|5j9j6>hi;<3147<>8m1vf;296~;d;h0?<=5210c0><6c3tyh?44?:3y>g6g=00:01n>j:2de?xu69:>1<76`a34;:n:460e9~w472?3:1>v3l8c8745=:98nh64>k;|q`7}:k9o1;:=4=cae>6`a3tym8h4?:3y>56062o>n70h72;;3`>{tk1i1<7;d?l035=5rsb7:>5<5s4i<6c3ty:=?>50;0x9f732=:;70?>a58:4a=z{j9j6=4={>827hv3l8e80bc=:98h364>k;|q250?=838p1n6k:523?876ll025<5s4i3h7660:?`4c<4nl1voh>:1818e7n3=m6=4={<3027v3>3709g=c<5j=m6>hi;|q`3c<72;q6o:h53gg89f>7213;7p}l5`83>7}:k>l1;:=4=cf3>6`b3ty:=:j50;0x9f712:lm70?=058:4a=z{8;9=7>52z?`53<38916=hi;|q2560=838p1n7?:2de?876j00254ba20:o7p}l9183>7}:k0:144>4=b33>6`b3tyih94?:3y>g46=?>901oj>:2de?xua=90;6?u21240>c3734l3877?d:pg<7=838p1<=93;a:5>;d0808jk5rsb:2>5<5s4i3=7=ie:?`<752z?`5=<4no16=16734;9=8460e9~wf732909w0m>8;::4>;en=08jk5rs0303?6=;r7h5?454?420:o70j90;1ea>{t98>=6=4<{7}:k08144>4=cd7>6`b3tyih84?:3y>fc2=?>901ol::2de?xua>90;6>u2c8197cc<5h9n6>o7;;a?h025<5s4i2?7660:?`1a<4no1v<6>5;290~;dl?08jh52130g>6`a34;99545=7220:o7p}>78194?2|5jn=69>?;<316`<4no16=?;6:2de?8701:025<5s4io:7660:?`1a<4nl1vn=j:1818e2l3=52z?`5<<4no16=?>::82g?xu69;81<716734;:m;460e9~wf722909w0m>9;::4>;en<08jk5rs03054de20:o7p}>14`94?4|5j3?69>?;<32a5<>8m1vn7;:1818e><322<63mf480b`=z{kn=6=4={<`e1?10;27in;47}:k=?73tyh?k4?:3y>g0c=?>901ol9:2df?xu69>l1<76`a34;9<;460e9~w475;3:1>v3l1c8745=:98k<64>k;|q`53<72;q6o12;94?4|5j3=6>hi;<32ff<>8m1v<6c3tyh5;4?:3y>g<0=00:01oh9:2df?xuel>0;6?u2bg49325<5kh<6>hi;|qe16<72;q6=>8::g70?8`??33;h6s|c8594?4|589=97m67:?`25<4no1vn8?:1818e1839mi63l608;=5=z{j>;6=4={v3l1d80bc=:9;:<64>k;|q2572=838p1n?j:523?876i1025<5s4i:i7660:?ab2<4no1v<6c3ty:=8j50;0x9f??2=:;70?>e38:4a=z{j336=4={>827ij:4;ej108jk5rsg77>5<5s4;8:;4i559>b=>=19n0q~m69;296~;6;?<1o474=b41>6`a3tyh:?4?:3y>g34=;oo01n8<:9;3?xud<80;6?u2c709325<5kh36>hj;|q25=7=838p1n=4;019>54g>20:o7p}l1983>7}:k;:144>4=cd;>6`a3ty:=>l50;0x9f?e2:lm70?>bd8:4a=z{8;>i7>52z?`=g<38916=hj;|qa`<<72;q6nk6576189gd>2:lm7p}i5483>7}:9:<<6k;:;;ej008jh5rs03;6?6=:r7h>?4576>20:o7p}>13594?4|5j8969>?;<32eg<>8m1vn?6:1818e5:322<63mf880bc=z{8;8o7>52z?`=a<4no16=16734;:i9460e9~wf?c2909w0m6d;::4>;en008jh5rscfb>5<5s4hm57983:?afd<4no1vk;9:181874>10m9;52f9c9=5b52z?273>=k0o01n89:2de?xud>?0;6?u2c7497cc<5j<<657?;|q`06<72;q6o;8576189gdf2:ln7p}>19194?4|5j8?6>hi;<314d<>8m1v<6c3tyh=l4?:3y>g72=00:01ohn:2de?xu69:n1<76`a34;:o=460e9~w47183:1>v3l9g8745=:98o>64>k;|q`=c<72;q6o4h588289g`f2:ln7p}mdc83>7}:jok1;:=4=c`a>6`a3tym9:4?:3y>560>2o?<70h7b;;3`>{tkh:1<7v3l6980b`=:9;=8657?;|q`01<72;q6o;6576189gde2:ln7p}>19794?4|5j8=6>hi;<314f<>8m1v<6c3tyh=o4?:3y>g70=00:01ohm:2de?xu69:o1<7=t=bc2>6`a34;:o<460e9>`3c=;oo0q~?>6083>7}:kh;18=>4=03f2??7l2wxol?50;0x9fg6213;70lib;1ea>{tjmi1<721434hio7=if:pb0>=839p1<=9a;d6<>;f8?08m552f9a9=5b7>52z?273g=kh801n86:2de?xu6?o81<7:t=0140?70n;16=?8<:523?875;=0?<=5216d1><6c3tyhh:4?:3y>56132jn<70m99;1ea>{tk=?1<721434hio7=ie:p54>12909w0m=7;1eb>;6:9n15=j4}r326d<72;q6o?954128947fm33;h6s|c0a94?4|5j8<657?;<`eg?5an2wx=<=i:1808ef;39mj63>1b09=5b<5m=i6>hj;|q2534=838p1no<:523?876m>025<5s4ij?7660:?abf<4nl1vojk:1818dak3=c20:o7p}la583>7}:9:>954128941a?33;h6s|ce:94?4|589<97mk8:?`2d<4nl1vn:9:1818e1i3=52z?`6<<4no16=?>j:82g?xu69;h1<716734;:mk460e9~wf7b2909w0m=9;::4>;enm08jk5rs0374?6=;r7hm;454e420:o70j78;1ea>{t983:1>v3la78;=5=:jon1?kk4}r`gb?6=:r7iji48729>fgc=;ol0q~h:a;297~;6;?i1j8o4=`2`>6g?34l3i77?d:pgd1=838p1<=9c;ab3>;d>k08jk5rs05eg?6=516d`?873:?0:;km4=05eg??7l27:8?6516d`?xudk<0;6?u21253>fe234i=n7=ie:pg1>=838p1n8m:650?8dem39mi6s|10:;>5<5s4i9m7=if:?265`=19n0q~?>2b83>7}:k;k18=>4=03a4??7l2wxo{t98>:6=4<{17794?4|5jk369>?;<32ad<>8m1vno7:1818ef0322<63mfg80b`=z{ko;6=4={<`eb?10;27io=4<6c3tyhm44?:3y>560c2jk270m9d;1eb>{t91::6=4;{<3034<609;01<:=7;3;44=:91::64>k;<376<<609;0q~ml6;296~;6;>;1on84=b4g>6`b3tyh844?:3y>g3b=?>901om?:2df?xu69?k1<76`a34;:j<460e9~w47?l3:1>v3l2c8745=:9;;=64>k;|q`65<72;q6o?l588289f672:lm7p}>15094?5|5jkj6>hi;<32fd<>8m16hl?53gg8yv76<>0;6>u2c`c9056<58;ho77?d:?ga6<4nl1vnon:1818efi322<63l0180b`=z{ko:6=4={;f<808m552f859=5bo7>53z?`eg<389165ij53`:89c>220:o7p}lac83>7}:khh144>4=b4f>6`a3ty:4hi;<3;5d<>8m1v<968;290~;dk>0?<=52131e>6`a34;9;<452??20:o7p}lc683>7}:kj=144>4=b4f>6`b3tyh8l4?:3y>g3c=?>901om>:2df?xu69131<76`a34;9==460e9~w475l3:1>v3l2b8745=:98h:64>k;|q`64<72;q6o?m588289f662:lm7p}>15194?5|5jkh6>hi;<32g3<>8m16hlh53gg8yv76>?0;6?u2c`a9056<58;nn77?d:pgde=838p1nol:9;3?8e7939mi6s|bd094?4|5j::6:9<;<``6?5an2wxj8j50;1x9451m3l>h63n1380e==:n0;15=j4}rab`?6=:r7:?;k5c`f89f0a2:lm7p}>81494?2|589<>7?7079>57042:ln70?=3580b`=:91:=64>k;|q`g=<72;q6=>9=:ba;?8e1n39mi6s|c5`94?4|5j2039=5bh4?:3y>g7b=<9:01{tk;81<7=?734i;>7=if:p54232908w0mne;1eb>;69j=15=j4=e``>6`b3ty:=;950;0x9fgb2=:;70?>eb8:4a=z{jkn6=4={>827h;ek:08jk5rsg7f>5<4s4;8:k4i5d9>e43=;h201k7=:82g?xudio0;6?u2124e>fga34i<<7=if:p5=6e290?w0?<7282<5d<588=47=ie:?2661=;oo01<6?b;;3`>{tkj31<7;ek:08jh5rs035f?6=:r7h>h454`520:o7p}>19g94?4|5j8n69>?;<3152<>8m1vn<<:1818e5m322<63l0280bc=z{8;?h7>53z?`f4<4no16=5<4s4ii=7:?0:?25fb=19n01ih?:2df?xudj80;6?u2cc39<<6<5j:86>hj;|qaa1<72;q6o==576189ge32:lm7p}i6383>6}:kk81?kk4=`67>6g?34l2477?d:pb31=839p1nl=:523?8?b839j463i918:4a=z{jh96=4={>827h;<46n3:18v3lc`80b`=:9;8=6>hi;<3114<4no16=5?i:82g?xu6?0n1<7:t=bab>16734;9>:457352:lm70?89e8:4a=z{jij6=4={>827h;<4;ek=08jh5rs035g?6=:r7h>k454`420:o7p}>10394?4|5j8m69>?;<32=0<>8m1vn<;:1818e5n322<63l0580bc=z{8;>47>53z?`f6<4no16=39mi6s|106:>5<4s4ii?7:?0:?25fc=19n01ihk:2df?xudj:0;6?u2cc19<<6<5j:?6>hj;|qaa0<72;q6o=:576189ge22:lm7p}i6283>6}:kk>1?kk4=`64>6g?34l2577?d:pb27=839p1nl;:523?8?b;39j463i9e8:4a=z{jh?6=4={>827h;?45<3:18v3lcc80b`=:9;;o69>?;<3103<38916=5<;:82g?xu6?h81<7:t=baa>16734;9=h4;019>57202=:;70?8a38:4a=z{jii6=4={>827h;?4;ek<08jh5rs035`?6=:r7h?=454`320:o7p}>10094?4|5j9;69>?;<32=3<>8m1vn<::1818e48322<63l0480bc=z{8;=?7>53z?`f0<4no16=5<4s4ii97:?0:?25f`=19n01h>n:2df?xudj<0;6?u2cc79<<6<5j:>6>hj;|qaa3<72;q6o=;576189ge12:lm7p}i6583>6}:kk<1?kk4=`6b>6g?34l2m77?d:pb24=839p1nl9:523?8?b>39j463ia68:4a=z{jh=6=4={>827h;>4513:18v3lcb80b`=:9;8o69>?;<311=<38916=5<6:82g?xu6?h=1<7:t=ba`>16734;9>h4;019>573>2=:;70?8a68:4a=z{jih6=4={>827h;>4;ek?08jh5rs035b?6=:r7h?<454`120:o7p}>10194?4|5j9:69>?;<32=2<>8m1vn<9:1818e49322<63l0780bc=z{8;=i7>53z?`f2<4no16=5<4s4ii;7:?0:?25a6=19n01h?8:2df?xudj>0;6?u2cc59<<6<5j:=6>hj;|qaa2<72;q6o=8576189ge02:lm7p}i6483>6}:kk21?kk4=`6g>6g?34l2n77?d:pb25=839p1nl7:523?8?b139j463ia98:4a=z{jh36=4={>827h;945m3:18v3lce80b`=:9;9n69>?;<3135<38916=516734;9?k4;019>57162=:;70?8ab8:4a=z{jio6=4={>827h;94;ek>08jh5rs0344?6=:r7h??454`020:o7p}>10694?4|5j9969>?;<32==<>8m1vn<8:1818e4:322<63l0680bc=z{8;<57>53z?`f<<4no16=5<4s4ii57:?0:?25a7=19n01h<;:2df?xudj00;6?u2cc;9<<6<5j:<6>hj;|qaa=<72;q6o=9576189ge?2:lm7p}i6783>6}:kkk1?kk4=`73>6g?34l2o77?d:pb22=839p1nln:523?8?bk39j463ia88:4a=z{jhj6=4={>827h;844;3:18v3lcd80b`=:9;8=69>?;<3114<38916=5=<:82g?xu6?k;1<7:t=baf>16734;9>:4;019>57352=:;70?8b08:4a=z{jin6=4={>827h;84;ek108jh5rs0345?6=:r7h?9454`?20:o7p}>10794?4|5j9?69>?;<32=<<>8m1vn<6:1818e4<322<63l0980bc=z{8;387>53z?`ff<4no16=?>?:82g?8cci39mi6s|106f>5<4s4iio7:?0:?25a5=19n01h=j:2df?xudjj0;6?u2cca9<<6<5j:36>hj;|qaad<72;q6o=6576189ge>2:lm7p}i6983>6}:kkn1?kk4=`70>6g?34l2i77?d:pb23=839p1nlk:523?8?bn39j463ia`8:4a=z{jho6=4={>827h;;4403:18v3ld180b`=:9;;j69>?;<3106<38916=5=7:82g?xu6?k<1<7:t=bf3>16734;9=o457232:lm70?8b78:4a=z{jn;6=4={>827h;;4;ek008jh5rs0346?6=:r7h?8454`>20:o7p}>10494?4|5j9>69>?;<32=d<>8m1vn53z?`f`<4no16=?>m:82g?8cb?39mi6s|106e>5<4s4iii7:?0:?25a2=19n01h:m:2df?xudjl0;6?u2ccg9<<6<5j:j6>hj;|qaag<72;q6o=o576189gee2:lm7p}i6883>6}:kkl1?kk4=`75>6g?34l2j77?d:pb20=839p1nli:523?8?a:39j463iac8:4a=z{jhm6=4={>827h;544l3:18v3ld080b`=:9;8j69>?;<3110<38916=5=k:82g?xu6?kh1<7:t=bf2>16734;9>o457312:lm70?8bc8:4a=z{jn:6=4={>827h;54;ekk08jh5rsg2e>5<5s4oj=7<;d:?e05<>8m1v114964e<58;;97<>c:?2552=:8i01<:=0;324g=z{8;;m7>53z?255g=19n01<<9a;1ea>;6:?h1?kh4}r324<<728;p1;6:=81?kk4=007g?27827:>9=53gg89443<3>;<63>25g97cc<588?j7:?0:?2603=;oo01<<:6;634>;6:?918=?4=005:<594;89443033>563>2419=0?<588>m77:9:?207>=98:270?;288255?546?20:o70?=6`80bc=:9;?;<312a<4nl1v8:53gg89441:39mj63>27597c`<588?57=ie:?260d=;oo01<:=8;3242=:9=8261149=5b<588?>7=if:?261e=;oo01<<;3;1eb>;6:=>1?kk4=007a?5an27:>9h53gg89442=39mj63>24497cc<588=?7=if:?263>=;ol01<<80;1ea>;6:>;1?kk4=0072?5am27:>9953gg89442939mi63>24097cc<588>47=ie:?260?=;oo01<:=8;3243=:9=826w0?>048:4a=:9;>o6>hj;<311`<4no16=?8=:2df?875>>08jh5rs0330?6==r7:==:591f89443l39mj63>24g97cc<588=m7:?0:?263d=;oo0q~??c883>2}:99i36??l;<33g2<59j16==m9:33`?877k<09=n5211a7>77d34;;o>4=1b9>517?28:h56s|11a;>5<4s4;;o5460e9>ai39mj6s|11a5>5<1s4;;o;460e9>56b428:h:63>3e6955e134;8h84>0b489457l3;;o;52e8f97cc55e220:o70??;<304a<68j?01h7j:2de?8c>?39mi6s|11a7>5<2s4;;o9460e9>56b328:h863j9780b`=:9::o6<>l4:?f=a<4no1v<>l3;291~;68j915=j4=01g0?77k:16=>>k:02`7>;b1l0?<=52e8:97cc55e72;;h70??bg815f=:99hn6??l;<33fa<59j16==ll:33`?877jk09=n521534>46d92wx==m?:180877k902hi;|q24g`=839p1<>mf;;3`>;6;m:1==li;46em27:?i?511`f?874l;0:53gg8yv77jm0;6;u211`g><6c34;8h?4>0cf89`>>2=:;70?<0d824gb<5l3:6>hi;46ek27n444566b28:io63j9180bc=z{8:in7>55z?24gd=19n01<=k1;33fg=:9::n6<>mb:?f=4<38916i5l53gg8yv768;0;65u21022>77d34;:<=4=1b9>55`a2;;h70??fd815f=:99lo6??l;<33bf<59j16==hm:33`?8739o0:==<4}r3244<72:q6=<>>:82g?875;008jh52131b>6`a3ty:==>50;3287689026`b34;9><4;019>577f2:ln70?=1c8745=:9;886>hj;<3161<38916=?477827:8?951023?875;=0?<<521314>16634;98=46589>577a20?270?=298:1<=:9;8m64;6;|q24c`=83>p1<>if;;3`>;6::i1?kk4=000=?5an27:>>o54128yv77nl0;64u211df><6c34;9=5457472:ln70?=2880b`=:9;9;6>hj;<3763<68oo01<:=7;33b`=:9;986>hi;<3173<4no1v<>id;2950}:99lo64>k;<315<<4no16=?<>:2df?8759h08jk52133a>6`b34;9>>457432:ln70?=2`80bc=:9;8i6>hj;<3763<68on01<:=7;33ba=:9;9?6>hi;<3172<4no16=?=j:2df?875;o08jh52133g>6`b34;9=h457412:ln70?=2680b`=:9;8o6>hj;<316`<4nl1v<>ic;291~;68oi15=j4=0016?5am27:>>?53gd89444;39mi63>22497cc55`e20:o70?=2380bc=:9;9:6>hj;<317<<38916=?=n:2df?xu68k;1<79t=02a4?46k27:0`f964e<58:jo7<>c:?24dd=:8i01<:>5;33f4=z{8:i<7>53z?24g6=19n01h9<:2df?8c0839mj6s|11ce>5<4s4;;mk460e9>56ef28:jj63j7280bc=z{8:ji7>56z?24dc=19n01<=la;33e`=:9:ii6<>ne:?27fe=99kn70?<11824dc<5l==6>hj;|q24db=83nd;;3`>;6;ji1==ok;511cg?8c0?39mj63j7180b`=z{8:jo7>55z?24de=19n01<=lb;33ef=:m?l1?kk4=0124?77ij16i:853gd8yv77ik0;68u211ca><6c34;8oo4>0``8945683;;mo52e659056<5l=:6>hj;|q24d?=83=p1<>n8;02g>;68h=1>0`1964e<58>:87??a89~w46f03:1?v3>0`:9=5b<5l<=6>hj;0246f?27n:;43:1:v3>0`49=5b<589h;7??a79>56e?28:j:63>3b;955g134;8=<4>0`489`0>2:ln7p}>0`794?0|58:j977?d:?27f?=99k>70k92;634>;6;8;1==o:;40`69=5b<589h47??a59>a34=;oo01<=>1;33e1=:m?31?kh4}r33e6<720c;94?1|58:i47<>c:?24g1=:8i01<>m6;02g>;68k?1>3;;n45rs02a72:ln70k8d;1eb>{t99h<6=4<{<33f2<>8m16=>mk:02a3>;b0908jk5rs02a2?6=>r7:46e>27:?nh511`5?8748o0:6`b3ty:3bd955d234o70k74;1eb>;b?m08jh5rs02a0?6==r7:a=5=;ol0q~??b283>0}:99h864>k;<30g`<68k901<=?f;33f6=:m1>18=>4=d5f>6`b3ty:<4750;5x946>038:o63>085964e<58:2:7<>c:?24<3=:8i01<>64;02g>;68091>68;297~;680215=j4=d6`>6`b34o?57=if:p55?02908w0??968:4a=:9:i:6<>67:?f0f<4no1v<>66;292~;680<15=j4=01`5?771?16=>m=:02:2>;6;j91==79;<3051<680<01h:i:2df?xu680?1<78t=02:1??7l27:?n=511;6?8c303>;<63>306955?234o><7=if:?f0<<4nl1v<>64;291~;680>15=j4=01`6?771=16i9653gg89456<3;;5952e5d97c`4?:4y>55?420:o70?a06=<9:01h:n:2df?xu680;1<79t=02:4?46k27:<5h520a8946?m38:o63>09f964e<58:3o7<>c:?24=d=:8i01<:>1;33=4=z{8:2<7>53z?24<6=19n01h=i:2df?8c4k39mj6s|11:e>5<4s4;;4k460e9>56db28:3j63j3g80bc=z{8:3i7>56z?24=c=19n01<=me;33<`=:9:hm6<>7e:?27f6=992n70?<14824=c<5l>96>hj;|q24=b=837d;;3`>;6;j:1==6k;55z?24=e=19n01<=mf;33<6c34;8nk4>09`89456=3;;4o52e519056<5l9o6>hj;|q24d7=83=p1<>n0;02g>;680l1>k38:o63>08`964e<58>:?7??a09~w46f83:1?v3>0`29=5b<5l?26>hj;46>n27n944m3:1:v3>08g9=5b<589h87??9d9>56e228:2i63>3b4955?b34;8=?4>08g89`3d2:ln7p}>08f94?0|58:2h77?d:?27f0=993o70k:5;634>;6;881==7k;k3:19v3>08a9=5b<589h97??9b9>a03=;oo01<=>2;33=f=:m06;94?1|58:<47<>c:?2421=:8i01<>86;02g>;68>?1>5;1eb>{t99=<6=4<{<3332<>8m16=>l::0243>;b9108jk5rs0242?6=>r7:<:8591f8945e=3;;;;5212`5>460>27:?o951155?874910:<:84=d3a>6`b3ty:<:;50;4x9460=33;h63>3c59551234o:87:?0:?274>=99=>70k>c;1eb>;b9<08jh5rs0240?6==r7:<::591f8945e>3;;;952e0697cc<589:47??759>a4d=;ol0q~??7283>0}:99=864>k;<30f3<68>901<=>8;3336=:m8i18=>4=d35>6`b3ty:<:?50;5x9460838:o63>07d964e<58:=i7<>c:?243b=:8i01<>9c;02g>;68?h1>80;297~;68>:15=j4=d2a>6`b34o;47=if:p550a2908w0??6g8:4a=:9:h96<>9f:?f4g<4no1v<>9e;292~;68?o15=j4=01a6?77>l16=>l<:025a>;6;k>1==8j;<305<<68?o01h>j:2df?xu68?n1<78t=025`??7l27:?o:5114g?8c7?3>;<63>30;9550c34o;j7=if:?f4=<4nl1v<>9c;291~;68?i15=j4=01a7?77>j16i=953gg8945613;;:n52e1g97c`550e20:o70?a5`=<9:01h>6:2df?xu681;1<79t=02;4?46k27:<:h520a89460m38:o63>06f964e<58:c:?242d=:8i01<:?f;33<4=z{8:3<7>53z?24=6=19n01h<::2df?8c5:39mj6s|115e>5<4s4;;;k460e9>56d?28:56z?242c=19n01<=m8;333`=:9:h26<>8e:?27gg=99=n70?<168242c<5l836>hj;|q242b=838d;;3`>;6;kk1==9k;55z?242e=19n01<=m9;333f=:m;;1?kk4=0123?77?j16i?653gd8yv77?k0;68u2115a><6c34;8n44>06`89456?3;;;o52e3;9056<5l886>hj;|q2437=83=p1<>90;02g>;6804`964e<58>;n7??609~w46183:1?v3>0729=5b<5ml:6>hj;462n27oj<404g9=5b<589jo7??5d9>56gc28:>i63>3`g9553b34;8=o4>04g89a`32:ln7p}>04f94?0|58:>h77?d:?27dc=99?o70jjd;634>;6;8h1==;k;04a9=5b<589jh7??5b9>``b=;oo01<=>b;331f=:lo>1?kh4}r331g<7204;94?1|58:>47<>c:?2401=:8i01<>:6;02g>;68{t99?<6=4<{<3312<>8m16=>o6:0263>;cm=08jk5rs0262?6=>r7:<88591f8945f13;;9;5212cb>462>27:?ll51175?8749j0:<884=eg4>6`b3ty:<8;50;4x9462=33;h63>3``9553234nn<7:?0:?274e=99?>70jj8;1eb>;cm808jh5rs0260?6==r7:<8:591f8945fi3;;9952dd297cc<589:o7??559>``1=;ol0q~??5283>0}:99?864>k;<30ed<68<901<=>c;3316=:ll218=>4=eg1>6`b3ty:<;750;5x9461038:o63>075964e<58:=:7<>c:?2433=:8i01<>94;02g>;68?91>01v<>98;297~;68?215=j4=edf>6`b34nmn7=if:p55002908w0??668:4a=:9:km6<>97:?gb`<4no1v<>96;292~;68?<15=j4=01bb?77>?16=>l?:0252>;6;k;1==89;<305d<68?<01h>>:2df?xu68??1<78t=0251??7l27:?o?51146?8bai3>;<63>30c9550234o;>7=if:?gbg<4nl1v<>94;291~;68?>15=j4=01a4?77>=16hko53gg89456i3;;:952e1397c`4?:4y>550420:o70?a54=<9:01ihl:2df?xu68:l1<79t=020a?46k27:<>j520a89464k38:o63>02`964e<58:8m7<>c:?246?=:8i01<:?3;337c=z{8:8i7>53z?246c=19n01imn:2df?8bd?39mj6s|111g>5<4s4;;?i460e9>56g428:8h63kc`80bc=z{8:8o7>56z?246e=19n01<=n3;337f=:9:k?6<>hj;|q246d=83;6;h?1===m;55z?246g=19n01<=n4;337d=:lj<1?kk4=012a?77;h16hnj53gd8yv77;00;68u2111:><6c34;8m94>02;89456m3;;?452dbg9056<5mi36>hj;|q2461=83=p1<><6;02g>;68:?1>=520a89464:38:o63>023964e<58>;>7??369~w464>3:1?v3>0249=5b<5mho6>hj;464=27oni40269=5b<589j<7??359>56g628:8863>3`09555334;8=k4>02689ae72:ln7p}>02194?0|58:8?77?d:?27d4=999870jm9;634>;6;8l1===<;0209=5b<589j=7??339>`g?=;oo01<=>f;3377=:lj:1?kh4}r3374<72:82g?874i80:<>?4=012b?77;816hn?541289ade2:ln7p}>05g94?1|58:?h7<>c:?241e=:8i01<>;b;02g>;68=k1>{t99>h6=4<{<330f<>8m16=>o9:027g>;cl>08jk5rs027f?6=>r7:<9l591f8945f>3;;8o5212c4>463j27:?l65116a?8749m0:<9l4=efb>6`b3ty:<9o50;4x9463i33;h63>3`:9552f34no?7:?0:?274b=99>j70jkb;1eb>;cl=08jh5rs027=?6==r7:<97591f8945f?3;;8452de197cc<589:h7??489>`ag=;ol0q~??4983>0}:99>364>k;<30e2<68=201<=>d;330==:lmh18=>4=ef6>6`b3ty:<00f964e<58::o7<>c:?244d=:8i01<>>a;02g>;68831>>e;297~;688o15=j4=e;5>6`b34n2?7=if:p557c2908w0??1e8:4a=:9:3<6<>>d:?g=3<4no1v<>>c;292~;688i15=j4=01:3?779j16=>77:022g>;6;031==?l;<3067<688i01i76:2df?xu688h1<78t=022f??7l27:?475113a?8b>:3>;<63>3309557e34n2m7=if:?g=6<4nl1v<>>a;291~;688k15=j4=01:557>20:o70?<998244?<5899>7??189>`001964e<58::>7<>c:?2447=:8i01<=ie;3352=z{8:::7>53z?2440=19n01i66:2df?8b?>39mj6s|1136>5<4s4;;=8460e9>56?328::963k8880bc=z{8::87>56z?2442=19n01<=64;3351=:9:3>6<>>4:?27<0=99;?70?<2282442<5m2h6>hj;|q2445=83>3;;3`>;6;0<1==?<;7>55z?2444=19n01<=65;3357=:l1?1?kk4=0117?779;16h5m53gd8yv77980;68u21132><6c34;8584>00389455;3;;=<52d9f9056<5m2<6>hj;|q247`=83=p1<>=e;02g>;68;n1>03;964e<58>;=7??2g9~w465m3:1?v3>03g9=5b<5mh;6>hj;465l27on=403a9=5b<5892h7??2b9>56?b28:9o63>38d9554d34;8>=4>03a89ad42:ln7p}>03`94?0|58:9n77?d:?27<`=998i70jnc;634>;6;;:1==03c9=5b<5892i7??2`9>`de=;oo01<==0;336d=:lk91?kh4}r336<<7201594?1|58:;:7<>c:?2453=:8i01<>?4;02g>;68991>{t99:>6=4<{<3340<>8m16=>6j:0231>;c>o08jk5rs0230?6=>r7:<=:591f8945?m3;;<95212:e>467<27:?4>51127?874:<0:<=:4=e51>6`b3ty:<==50;4x9467;33;h63>3829556434n=n7:?0:?2773=99:870j83;1eb>;c>j08jh5rs0236?6==r7:<=<591f8945?n3;;`24=;ol0q~??0083>0}:99::64>k;<30918=>4=e4g>6`b3ty:<=h50;5x9467m38:o63>01f964e<58:;o7<>c:?245d=:8i01<>?a;02g>;68931>?e;297~;689o15=j4=e5`>6`b34n<57=if:p556c2908w0??0e8:4a=:9:3:6<>?d:?g3f<4no1v<>?c;292~;689i15=j4=01:5?778j16=>7=:023g>;6;091==>l;<3061<689i01i9i:2df?xu689h1<78t=023f??7l27:?4=5112a?8b003>;<63>3369556e34n3<7=if:?g3<<4nl1v<>?a;291~;689k15=j4=01:6?778h16h:653gg89455<3;;556>20:o70?<938245?<589987??089>`=6=<9:01i9n:2df?xuam00;6:u2fd:964e<5oo<6??l;b`2=:8i01kk<:33`?874n>0mi45rsgg;>5<4s4ln477?d:?g0=<4nl16h9;53gd8yv`b?3:1?v3ie68:4a=:9:2>6kk8;<7:gg5?8b3j39mi6s|fd794?0|5oo>64>k;<30<256>12oo?70j;4;1ea>;6;;21jh:4=e6a>6`a3tymi>4?:4y>b`5=19n01<=76;df7>;6;;21jh=4=e6`>16734n?:7=ie:pb`7=83=p1kk?:33`?8`cn38:o63idd815f=:nmn1>77d34lon7<>c:?27c0=nl;0q~hj0;297~;am902hi;|qe`c<72:q6jih591f8945?:3loj63k3c80bc=z{onn6=49{3969bac<589957hke:?g7`<4nl1vkjk:1858`cl33;h63>3969bab<5m9<69>?;<306<h53gd89a5?2:ln7p}idb83>0}:nmi15=j4=01;7?`ck27o?:4564>2onh70j{tnmh1<7;t=gfa><6c34;84>4idc9>564>2oni70j;c;008jh5rsgd2>5<0s4lm<7<>c:?eac<59j16jhk520a89ccc2;;h70hjc;02g>;amk09=n5212d;>c`63tymj=4?:2y>bc6=19n01i;::2df?8b2:39mj6s|fdd94?5|5oom64>k;<30<=6n:ggf?874:>0mih52d4:97cc56z?eaa<>8m16=>6n:ggg?8b293>;<63>3359b`b<5m?26>hi;w0hjb;;3`>;6;131jhl4=0113?`bj27o944;019>`05=;oo0q~hlf;293~;akl09=n52fbf964e<5oih6??l;bf?=:8i01<=i3;d`b>{tnjo1<7=t=gaf><6c34n9=7=ie:?g5`<4no1vkmk:1808`dl33;h63>36a9bfb<5m8:6>hi;|qegf<72?q6jnm591f89450k3lho63>36f9bfe<5891?kh4}rd`=?6==r7mo4460e9>561c2oi270?<2c8eg<=:l;?18=>4=e3e>6`b3tymo:4?:6y>bf0=:8i01km::33`?8`d<38:o63ic2815f=:nj81>77d34;8j?4ic69~wce12908w0hl6;;3`>;c9=08jh52d0397c`53z?eg0<>8m16=>96:ga6?8b6<39mj6s|fb694?0|5oi?64>k;<303<9n:ga7?874?k0mo952120`>ce334n:;7=ie:pbf5=8352d029056<5899o7hl3:?g5=<4no16h0}:nj;15=j4=014e?`d927:??m5fb389a7?2=:;70j>2;1ea>{tnm31<79t=gf;>77d34lo;7<>c:?e`3<59j16ji;520a89cb32;;h70hk3;02g>;6;o?1ji74}rdg`7c=;oo01i0;6>u2fe59=5b<58933;h63>36d9ba0<5893<7hk6:?27=7=nm<01<==a;dg2>;c;808jh5rsgf6>5<1s4lo977?d:?27=7=nm?01ihj;|qe`1<72n40g2964e<58:nj7<>c:?24`c=:8i01<>jd;02g>;68li1>aa>=;ol0q~??eg83>6}:99om64>k;<30a7<68ll01hjm:2de?xu68lo1<78t=02fa??7l27:?h<511gf?874m:0:>8:02fa>;bll08jh5rs02f`?6=>r7:aa`=;ol01hj7:2df?xu68li1<7;t=02fg??7l27:?h=511g`?8cc?39mi63>315955cd34ooi7=if:p55ce290>w0??ec8:4a=:9:o86<>jb:?2751=99oi70kkf;634>;bl008jh5rs02f=?6=?r7:0d4964e<58:n97<>c:?24`2=:8i01<>j3;02g>;6<8i1==k6;|q24`>=839p1<>j8;;3`>;bkl08jh52eb`97c`55c020:o70?hi;|q24`0=83j6;;3`>;6;ml1==k9;<30a5<68l<01<=j1;33a3=:9::26<>j6:?f`4<4nl1v<>j5;292~;68l?15=j4=01f5?77m<16ino54128945713;;i852ee097c`<5lii6>hj;|q24`2=83?p1<>j4;;3`>;6;l:1==k;;5<2s4;;i>460e9>56c728:n?63>31;955c434oo>7:?0:?fgf<4nl1v<>i9;293~;68o21>0g6964e<58:m?7<>c:?204c=99l27p}>0g:94?5|58:m477?d:?fa=<4nl16ih;53gd8yv77n>0;6>u211d4><6c34;8i84>0g589`c?2:lm7p}>0g494?0|58:m:77?d:?27`3=99l=70?566128:m:63jec80b`=z{8:m97>56z?24c3=19n01<=j7;33b0=:ml>18=>4=0132?77n<16ihm53gd89`c22:ln7p}>0g694?3|58:m877?d:?27`0=99l?70kj4;1ea>;6;9<1==h;;46a;27:?=8511d0?8cbk3>;<63je780b`=z{8:n=7>57z?24`6=:8i01<>kf;02g>;68mo1>40`955c63ty:50;1x946b833;h63jc080b`=:mko1?kh4}r33`c<72:q6==ji:82g?874lj0:6`a3ty:3ea955bb34;8hi4>0eg8945cm3;;hh52122b>46cm27no940ef9=5b<589oi7??de9>agb=<9:01<=?a;33`a=:mj?1?kh4=d`f>6`b3ty:3ef955bd34oih7=ie:?275g=99nh70kl4;1eb>{t99ni6=4:{<33`g<>8m16=>jk:02gf>;6;9k1==jm;0e:964e<58:o;7<>c:?24a0=:8i01<>k5;02g>;68m>1>ag7=;ol0q~??d683>6}:99n<64>k;<30`<<68m=01hl;:2de?xu68m<1<78t=02g2??7l27:?i7511f5?874lh0:>m:02g2>;bj>08jh5rs02g1?6=>r7:ag>=;ol01hl>:2df?xu68m>1<7;t=02g0??7l27:?io511f7?8ce839mi63>31`955b334oi;7=if:p55b4290>w0??d28:4a=:9:nj6<>k3:?275d=99n870km8;634>;bj;08jh5rs02;=?6=?r7:<56520a8946??38:o63>094964e<58:397<>c:?24=2=:8i01<>73;02g>;6<8:1==66;|q24=>=839p1<>78;;3`>;b;808jh52e3g97c`55>020:o70?hi;|q24=0=8376;;3`>;6;kh1==69;<30ff<681<01<=md;33<3=:9:;=6<>76:?f71<4nl1v<>75;292~;681?15=j4=01a`?770<16i?j541289456>3;;4852e2797c`<5l8n6>hj;|q24=2=83?p1<>74;;3`>;6;ki1==6;;5<2s4;;4>460e9>56dd28:3?63>304955>434o897:?0:?f6c<4nl1v<>=7;293~;68;<1>030964e<58:9=7<>c:?2056=998<7p}>03494?5|58:9:77?d:?ge7<4nl16h4h53gd8yv77:<0;6>u21106><6c34;85l4>03789ag52:lm7p}>03694?0|58:9877?d:?27564628:9863ka480b`=z{8:9?7>56z?2475=19n01<=6c;3366=:l0o18=>4=0115?77::16hl853gd89a?a2:ln7p}>03094?3|58:9>77?d:?27;6;;;1==<=;:186877:802465927:???51102?8bf>3>;<63ka180b`=z{8:o=7>57z?24a6=:8i01<>lf;02g>;68jo1>40;955b63ty:50;1x946c833;h63ja680b`=:mh>1?kh4}r33gc<72:q6==mi:82g?874l?0:6`a3ty:3e4955eb34;8h:4>0bg8945c03;;oh52122`>46dm27nml40bf9=5b<589o47??ce9>ad5=<9:01<=?c;33ga=:mhh1?kh4=dc7>6`b3ty:3e5955ed34oj?7=ie:?275e=99ih70kna;1eb>{t99ii6=4:{<33gg<>8m16=>j8:02`f>;6;9i1==mm;;aj909=n52f`d964e<5okn6??l;56cb2oh97p}ib083>6}:nk;15=j4=e25>6`b34n;?7=if:pbg6=839p1kl?:82g?874??0mn=52d1497c`56z?eec<>8m16=>99:gce?874?>0mmk52125;>cga34;8>i4iag9>`5?=;oo0q~hne;292~;ail02cgb34n;>7:?0:?277b=nho01i>n:2de?8b7;39mi6s|f`f94?3|5oko64>k;<30321?kk4}r32a`<72;;p1<<>1;02g>;6:9k1><>520a89447m38:o63>21:964e<588;57<>c:?2651=:8i01<;6:9n1>38:o63>1gg964e<588;?7<>c:?2652=:8i01<;69oi1>213964e<58;m57<>c:?25c5=:8i01;69o81>38:o63>1g2964e<58;m=7<>c:?25`b=:8i01<:=4;32a`=z{olm6=48{bce=:8i01khm:33`?8`ai38:o63if8815f=:9:li6khi;|qeb`<72:q6jkk591f89a062:ln70j:e;1eb>{tnon1<7=t=gdg><6c34;84o4ife9>`37=;ol0q~hic;292~;anj02c`d34;84n4ifb9>56>c2olh70?<278ebf=:l?>1?kk4}rdef?6=>r7mjo460e9>56>c2oli70j:d;634>;6;;<1jkl4=e46>6`a34n>i7=ie:pbcg=83?p1khn:82g?8740j0mjl52d4f97cc<5899:7hia:?g21<4no1vkh6:1868`a133;h63>39a9bc?<5899:7hi9:?g20<38916h8h53gg8yv76k00;6??t=03f3?46k27:=hm520a8947bj38:o63>1d7964e<58;n:7<>c:?25`2=:8i01;69l31>1d3964e<58;on7<>c:?25`6=:8i01;69ml1>1e5964e<58;o=7<>c:?25a0=:8i01;69m?1>1e1964e<58;ho7<>c:?25fb=:8i01;69j21>77d34;:o=4=1b9>54e02;;h70?>bg815f=:98h36??l;<32f<<59j16=77d34;:n;4=1b9>54dd2;;h70?>bc815f=:98h?6??l;<32f0<59j16=77d34;:594=1b9>576e2;;h70?=01815f=:98l>6??l;<32a=<59j16=77d34;:o84=1b9>54?42;;h70?>91815f=:9=896;6;ln1j4:4=gc6>77d34lj87<>c:?ee6<59j16jl<520a89cg62;;h70hn0;02g>;a1o09=n52f8g964e<5o3h6??l;b?38:o63i97815f=:n091>32;;h70h7a;02g>;a0009=n52f91964e<5o236??l;b=7=:8i01k69:33`?874mj0m;552f9a964e<5o2o6??l;b<7=:8i01k7=:33`?8`?838:o63i7g815f=:n>o1>77d34lc:?e3g<59j16jll520a89cgf2;;h70hn9;02g>;ai109=n52f`5964e<5o3o6??l;b2g=:8i01k98:33`?xu6:891<7<>{<32f7<59j16=:33`?876j909=n5210c:>77d34;:mk4=1b9>54gb2;;h70?>a9815f=:98k<6??l;<32ea<59j16=77d34;:mo4=1b9>54?b2;;h70?>a5815f=:983o6??l;<32e6<59j16=<7l:33`?876i809=n5210c1>77d34;:m=4=1b9>54?f2;;h70?>9c815f=:98336??l;<32=2<59j16=<76:33`?8761<09=n5210;5>77d34;9=:4=1b9>57722;;h70?=13815f=:9;;=6??l;<3760<6:890q~h=b;2957}:n=:1>77d34l8h7<>c:?e7c<59j16j>8520a89c5e2;;h70h;a;h09=n52f26964e<5o9>6??l;b6?=:8i01k=>:33`?8`4:38:o63i31815f=:n;k1>{tn9i1<7<6c34o139mj6s|f1794?4|5o9=64>k;{tn9h1<7<6c34o<>7=if:pb5?=838p1k=n:82g?8c2039mj6s|f1194?4|5o9?64>k;7;1eb>{tn981<7<6c34nmh7=if:pb51=838p1k=7:82g?8c4m39mj6s|f1:94?4|5o9264>k;50;0x9c5620:o70jj3;1eb>{tn8=1<7?8{84=1b9>b75=:8i01k<;:33`?8`5038:o63i28815f=:n;;1>77d34l:j7<>c:?e5a<59j16j;a<=09=n52f50964e<5o>:6??l;:4=1b9>b74=:8i01k=8:33`?8`6>38:o63i1c815f=:9:o36k?8;|qfbd<72;q6j?8591f89a?22:lm7p}i0083>7}:n:815=j4=ed3>6`a3tynjk4?:3y>b66=19n01ij9:2de?xubn00;6?u2f379=5b<5m236>hi;|qfb`<72;q6j?o591f89ae>2:lm7p}jf683>7}:n;915=j4=e4f>6`a3tynj54?:3y>b72=19n01i9m:2de?xubnj0;6?u2f3:9=5b<5mkm6>hi;|qfba<72;q6j?7591f89add2:lm7p}jf483>7}:n;;15=j4=e77>6`a3tynj94?:3y>b76=19n01i:8:2de?xubn:0;6?u2f0d9=5b<5m9j6>hi;|qfb4<72;q6j7}:n8i15=j4=e30>6`a3tynj?4?:3y>b4c=19n01ihi;|qe51<72;q6j9:591f89`bf2:lm7p}i1383>7}:n=815=j4=da3>6`a3tym=<4?:3y>b17=19n01hl<:2de?xua9:0;6?u2f519=5b<5lio6>hi;|qe43<72;q6j?9591f89`572:lm7p}jfc83>7}:n;815=j4=ec2>6`a3tym==4?:3y>b61=19n01ho9:2de?xubmo0;6?u2f049=5b<5m:>6>hi;|qfb3<72;q6j96294?4|5832?7<=3:?2=26=?>90q~?6ad83>7}:90386:9<;<3b4=0;44??2127:55?58828yv7>>o0;6>u218;1>74434;2;=4=229>5<0a2>=87p}>9`a94?4|5832>7983:?2e=c=:=n0q~?n7c83>6}:90=;6?;n;<3:<4<4nl16=l6j:82g?xu6i>i1<7=t=0;;5?5an27:m5h591f894g3n38?h6s|18:3>5<4s4;25<4;619>5<0a20?270?6818;=5=z{83=i7>53z?2=<7=:;901<79f;017>;61?o1;:=4}r3:ed<72;q6=47>:650?87f0m098i5rs0c4e?6=;r7:5;h524c894??839mi63>a9f9=5b5<>72:lm70?ncg8:4a=z{8353z?2=<6=;61>l144>4}r3:2a<72:q6=47?:300?87>>l09>>52184g>2143ty:5l650;0x94?>83=a9a961b5<0b2;?j70?67g80b`=:9h2h64>k;|q2egb=838p1<78f;1eb>;6ijo15=j4}r3:3`<72:q6=46i:543?87>>m029452185f>=?73ty:5;m50;1x94??n389?63>97f9675<583=o7983:p5=839p1<79d;06e>;61>o1?kk4=0c;f??7l2wx=lll:18187>?l08jk521`ag><6c3ty:5:j50;1x94??m3>=<63>97a9=0?<583>j099l52185g>6`b34;j4l460e9~w4gej3:1>v3>96f97c`<58kho77?d:p5<1d2908w0?68e8725=:90?=4=0;5f?45;27:5;o57618yv7>i;0;6?u218:g>21434;j444=4e9~w4g0>3:1?v3>97`960g<5837}:90=h6>hi;<3bgg<>8m1v<78b;297~;611i18;>4=0;5e??2127:5:l58828yv7>?00;6>u218:`>74434;2:l4=229>5<1>2>=87p}>9`294?4|5833o7983:?2e=>=:=n0q~?n7483>6}:900h0;6>u218;`>10734;2;446589>5<>f213;7p}>96:94?5|5832o7<=3:?2=2?=:;901<788;547>{t90i;6=4={<3:=f<0?:16=l78:36g?xu6i1?1<7=t=0;4=?42i27:55o53gg894g>?33;h6s|1`a4>5<5s4;24l45db020:o7p}>99;94?5|5832n7:90:?2=2>=1<301<779;::4>{t90=<6=4<{<3:=g<5::16=497:300?87>?>0<;>5rs0;aa?6=:r7:54l5761894g>>38?h6s|1`:7>5<4s4;2;54=5`9>5<>>2:ln70?n978:4a=z{8kh:7>52z?2==?=;ol01{t90236=4<{<3:=d<3>916=498:87:?87>01035=5rs0;42?6=;r7:54o5231894?0?389?63>96493255=870?n94810a=z{8k3?7>53z?2=21=:;6i0?15=j4}r3bg0<72;q6=467:2de?87fl<0233>563>9959<<652;8870?6778166=:90=>6:9<;|q2=gg=838p1<769;547>;6i0>1>9j4}r3b<7<72:q6=499:37b?87>0>08jh521`;7><6c3ty:mn:50;0x94???39mj63>ae69=5b5;61>?1>?=4=0;40?10;2wx=4l7:18187>110<;>521`;0>72c3ty:m5?50;1x94?0=38>m63>99497cc<58k2?77?d:p5de42909w0?68780bc=:9hn864>k;|q2==3=839p1<767;654>;61>>15874=0;;1?>>82wx=49<:18087>1>09>>521857>74434;2;>48729~w4?e>3:1>v3>9859325<58k2>7<;d:p5d>72908w0?675811d=:902>6>hj;<3b=7<>8m1v1?0?:=521850><3>34;24947919~w4?0:3:1?v3>9849675<58390q~?6b583>7}:903=6:9<;<3b=4<591>8o4=0;;0?5am27:m4?591f8yv7fk80;6?u218:7>6`a34;jh<460e9~w4??;3:1?v3>9879036<583<>77:9:?2==5=00:0q~?67083>6}:903>6?<<;<3:37<5::16=49>:650?xu61k81<7525f8yv7f?l0;6>u21851>73f34;24>45d?720:o7p}>ab294?4|5833?7=if:?2ea6=19n0q~?68383>6}:903?698?;<3:34<>=016=46=:9;3?xu61?31<7=t=0;:0?45;27:5:?5231894?113=5<5s4;25948729>5d>02;>o7p}>a6f94?5|583<=7<:a:?2==4=;oo01{t9hhm6=4={<3:<7<4no16=lm6:82g?xu61>k1<7=t=0;;f?21827:5;7594;894?0i322<6s|18;f>5<5s4;24o48729>5d>12;>o7p}>a6694?5|583=57<:a:?2=2g=;oo01{t9hh36=4={<3:3d<4no16=lm7:82g?xu61:i1<7m57618yv7>im0;6?u2187e>21434;j:o4=4e9~w4?3l3:1?v3>94g9036<5838o77:9:?2=1b=00:0q~?63c83>6}:90?n6?<<;<3:7f<5::16=4=m:650?xu61hh1<70;6>u2181`>73f34;28i45d0f20:o7p}>a4:94?5|583?h7=if:?2e3d=19n01{t90>h6=4<{<3:1a<3>916=4=m:87:?87>92c93255<3c2>=870?n68810a=z{8k>:7>53z?2=6d=:;6i?315=j4}r3b=`<72;q6=4:l:2de?87fio02563>95`9<<65<3d2;8870?63`8166=:90926:9<;|q2=d1=838p1<7:c;547>;6i?21>9j4}r3b10<72:q6=4=n:37b?87><6c3ty:m4j50;0x94?3j39mj63>a`g9=5b5<3e2=<;70?6388:1<=:90>j657?;|q2=6>=839p1<7:b;017>;61:31>?=4=0;0=k0<;>521`44>72c3ty:m8:50;1x94?4138>m63>95c97cc<58k=;77?d:p5d?d2909w0?64`80bc=:9hko64>k;|q2=1?=839p1<7:a;654>;61:215874=0;7=?>>82wx=4=8:18087>=h09>>52181;>74434;2?:48729~w4?f;3:1>v3>94c9325<58k=:7<;d:p5d342908w0?639811d=:90>26>hj;<3b23<>8m1v=00?:=521814><3>34;28547919~w4?4>3:1?v3>94;9675<5838;7<=3:?2=60=?>90q~?6a083>7}:90?26:9<;<3b20<58o4=0;76`a34;jmo460e9~w4?3?3:1?v3>94:9036<5838:77:9:?2=11=00:0q~?64483>6}:90?36?<<;<3:73<5::16=4:::650?xu610l1<7u21815>73f34;28:45d0320:o7p}>a8;94?4|583?;7=if:?2edg=19n0q~?65783>6}:90<3698?;<3:00<>=016=4;9:9;3?xu61=>1<7=t=0;55<5s4;2:548729>5d142;>o7p}>a7394?5|583?97<:a:?2=00=;oo01{t9hk<6=4={<3:13<4no16=ll8:82g?xu615<4s4;2::4=229>5<232;8870?6428436=z{83ih7>52z?2=31=?>901{t9h<;6=4<{<3:01<5=h16=4;::2df?87f?;0233;h6s|1877>5<4s4;2:;4;619>5<2420?270?6558;=5=z{83?>7>53z?2=30=:;901<7;3;017>;61=81;:=4}r3:fg<72;q6=489:650?87f?8098i5rs0c6b?6=;r7:59=524c894?2<39mi63>a639=5b5<332:lm70?nb48:4a=z{83>?7>53z?2=33=;61<9144>4}r3:04<72:q6=48::300?87><;09>>521862>2143ty:5o750;0x94?1=3=a62961b5<252;?j70?65280b`=:9h=;64>k;|q2ed2=838p1<7:3;1eb>;6ik>15=j4}r3:17<72:q6=48;:543?87><80294521871>=?73ty:59>50;1x94?1<389?63>9539675<583?<7983:p5;61<81?kk4=0c5b??7l2wx=lo<:18187>=;08jk521``0><6c3ty:58?50;1x94?1;3>=<63>9529=0?<583>=7660:p5<5a2908w0?6628166=:90>;6?<<;<3:7c<0?:1v<7m5;296~;61?91;:=4=0c5a?43l2wx=l;l:18087><9099l521872>6`b34;j:h460e9~w4gf:3:1>v3>94397c`<58ki>77?d:p5<372908w0?6638725=:909m64;6;<3:15?=4=0;0b?45;27:5>k57618yv7>j:0;6?u21841>21434;j:i4=4e9~w4g2j3:1?v3>92d960g<583><7=ie:?2e3b=19n0q~?na083>7}:90?;6>hi;<3bf4<>8m1v<7;f;297~;61?;18;>4=0;0a??2127:59h58828yv7>;m0;6>u21842>74434;2?h4=229>5<5c2>=87p}>9c394?4|583==7983:?2e3e=:=n0q~?n5`83>6}:909n6?;n;<3:0c<4nl16=l8l:82g?xu6ih:1<7591f8yv7>u21843>10734;2?i46589>5<2b213;7p}>92794?5|583=<7<=3:?2=6b=:;901<7<5;547>{t90km6=4={<3:25<0?:16=l8<:36g?xu6i<31<7=t=0;0`?42i27:59k53gg894g1;33;h6s|1`;e>5<5s4;28h45dg>20:o7p}>95494?5|583>;7:90:?2=63=1<301<7;6;::4>{t903o6=4={<3:12<0?:16=l8=:36g?xu6i<:1<7=t=0;01?42i27:59853gg894g1:33;h6s|1`;;>5<5s4;28;45dg?20:o7p}>a5594?73s4;j4k4=1b9>5d>b2;;h70?n8b815f=:9h2i6??l;<3b77d34;j5;4=1b9>5d>?2;;h70?n94815f=:9h3?6??l;<3b=7<59j16=l7>:33`?87f1:09=n521`;3>77d34;j4:4=1b9>5d>12;;h70?n4g815f=:9h>i64>k;|q2e10=83;?w0?n6c815f=:9h?09=n521`4;>77d34;j:84=1b9>5d032;;h70?n73815f=:9h=:6??l;<3b36<59j16=l9?:33`?87f>o09=n521`4g>77d34;j:n4=1b9>5d0b2;;h70?n62815f=:9h<96??l;<3b0`<59j16=l:7:82g?xu6i=n1<7<8{<3b0c<>8m16=>k8:0c7`>;6;l>1=l:k;<30a4<6i=n01<=ke;3b0a=:9:ni6=9h>o70?7?n4e9>56ea28k?h63>3ba95d2c34;8o44>a5f8945d>3;j8i5212a0>4g3l27:?n>51`6g?874jm0:m9j4=01ae?7fl8:0c7`>;6;k>1=l:k;<30f4<6i=n01<=ne;3b0a=:9:ki6=9h>o70?7?n4e9>56?a28k?h63>38a95d2c34;8544>a5f8945>>3;j8i5212;0>4g3l27:?4>51`6g?8740m0:m9j4=01;e?7f68:0c7`>;6;1>1=l:k;<30<4<6i=n01<=8e;3b0a=:9:=i6=9h>o7p}>a5a94?40s4;j8h460e9>a`>=<9:01hjm:523?8cdm3>;<63jc08745=:mk>18=>4=dc4>16734o2m7:?0:?f541289`142=:;70k96;634>;b=00?<=52e5a9056<5l9m69>?;84;019>a4>=<9:01h>m:523?8bam3>;<63kf08745=:ll>18=>4=ef4>16734nhm7:?0:?gfa<38916ho>541289ag52=:;70j66;634>;c000?<=52d6a9056<5m?;`1>=<9:01i=m:523?8b5m3>;<63k208745=:l8>18=>4=e25>1673ty:m=950;0x94gdn38?h63>a349=5b5deb2;>o70?n228:4a=z{8k;97>52z?2efb=:=n01{t9h:?6=4={<3bgf<572c34;j=:460e9~w4g683:1>v3>ae5961b<58k?<77?d:p5d6a2909w0?nd7810a=:9h9o64>k;|q2e5c=838p1;6i:k15=j4}r3b4a<72;q6=lj;:36g?87f;>025<5s4;jh?4=4e9>5d5620:o7p}>a1c94?4|58ko=7<;d:?2e7c=19n0q~?n0883>7}:9hn;6?:k;<3b6g<>8m1v9j4=0c22??7l2wx=l>>:18187fk1098i521`32><6c3ty:5n950;0x94gfn38?h63>9d69=5b5dgb2;>o70?6e08:4a=z{83h97>52z?2edb=:=n01<7ke;;3`>{t90i?6=4={<3bef<5k;0;6?u21`cb>72c34;2h8460e9~w4?c83:1>v3>ac5961b<583mi77?d:p5k;|q2=fc=838p1;61o215=j4}r3:ga<72;q6=ll;:36g?87>n<025<5s4;jn?4=4e9>59bc94?4|58ki=7<;d:?2=`e=19n0q~?6c883>7}:9hh;6?:k;<3:a<<>8m1v<7l8;296~;6ih31>9j4=0;g0??7l2wx=4m>:18187fi1098i5218f2><6c3ty:m9750;1x94g3j38:o63>a5:964e<58>887?n489~w4?ak3:1>v3>9g`961b<583mh7660:p5d732909=v3>9g`964e<583mi7<>c:?2=c>=:8i01;6i;91>a0f964e<58k9<7<>c:?2e4g=:8i01<7j9;02g>;61li1>9d3964e<58k?<7<>c:?2=`2=:8i01;61mh1>o520a894?c038:o63>9e7964e<58k887<>c:?2e61=:8i01;6i;h1>38:o63>42095d733ty:5kh50;0x94?am38?h63>a129<<65<`?2;>o70?6f`8;=5=z{8k9;7>52z?2e70=:=n01{t9h8?6=4={<3b66<5n?0;6?u218d6>72c34;2j:47919~w4?a83:1>v3>9dd961b<583m=7660:p5d7b2909w0?n1e810a=:9h;m657?;|q2e77=838p1;6i;8144>4}r3b5g<72;q6=l?n:36g?87f9j035=5rs0;fe?6=:r7:5h7525f894?bj322<6s|18gg>5<5s4;2in4=4e9>5a0:94?4|58k:;7<;d:?2e4?=00:0q~?6e683>7}:90n?6?:k;<3:a=9j4=0;g7?>>82wx=4k=:18187>m8098i5218g0>=?73ty:m9?50;0x94g3838?h63>a509<<65o70?6e78;=5=z{8k8i7>52z?2e6b=:=n01{t90nh6=4={<3:`g<558828yv7f;k0;6?u21`1b>72c34;j?n47919~w4?c13:1>v3>9e:961b<583om7660:p5;6i:<144>4}r3b7=<72;q6=l=8:36g?87f;0035=5rs0c1b?6=:r7:m?k525f894g48322<6s|1`0`>5<5s4;j>o4=4e9>5d4c213;7p}>a2094?4|58k8=7<;d:?2e65=00:0q~?n1383>7}:9h;:6?:k;<3b56864>k;<3b07<4nl16=l=i:2df?87f;j08jh521`1:>6`b34;j?;45d542:ln70?n3180b`=:9h8o6>hj;<3b6d<4nl16=l<7:2df?87f:<08jh521`01>6`b34;j=k45d7d2:ln70?n1880b`=:9h;86>hj;<3b45<4nl16=4hk:2df?87>nh08jh5218d4>6`b34;2j945<`62:ln70?6ed80b`=:90oi6>hj;<3:a=<4nl16=4k9:2df?87>m:08jh5218g3>6`b34;2hi45hj;|q2e7?=838p16;07`>;6i;k144>4}rcg5?6=;r7jm<4f53=:=n01lk=:82g?xufn=0;6?u2a`39056<5k:>64>k;|qbe4<72;q6ml?588289dg72:lm7p}m1583>1}:ih81?kh4=`g1>72c34h9977?d:?a7`<4nl1vo6k:1878gf:3>;<63m9d8:4a=:j=81?kk4=016a?d?l2wxml<50;0x9dg5213;70on0;1ea>{t:k:m6=4={52c2e?xufl90;6>u2a8g97c`<5k:?6?:k;{ti0o1<7=?734k2h7=if:pf45=83>p1l7i:2de?8gb938?h63m258:4a=:j:h1?kk4}r`;g?6=;r7j5k4;019>fhj;|q1f5c=838p1l7k:650?872:=09n=k4}rc`b?6=;r7j5o4f55=:=n01lk?:82g?xufn;0;6?u2a8`9056<5k:864>k;|qb=g<72;q6m4l588289d?f2:lm7p}m1383>1}:i0i1?kh4=`g3>72c34h9?77?d:?a7=<4nl1vo6m:1808g>k3>;<63m9b8:4a=:j:h18=?4}rc:g?6=:r7j5n47919>e7}:i0k1;:=4=0717?4e8m1vlmj:1808g>039mj63m03810a=:iml15=j4}rce5?6=:r7j554;019>f54=19n0q~o68;296~;f11035=52a8597c`54z?b=<<4no16mih525f89g4520:o70l<5;1ea>{tj1k1<7=t=`;:>16734h2n77?d:?a7=<3881vl76:1818g>1322<63n9680b`=z{;h;o7>52z?b=2<0?:16=8?i:3`3g>{tijn1<7=t=`;6>6`a34h;=7<;d:?b``<>8m1vlh?:1818g>=3>;<63m008:4a=z{h3>6=4={>827j594;fll098i52b339=5b<5k996>hj;|qa<<<72:q6m48541289g?f20:o70l<5;635>{ti0<1<7=?734k287=ie:p6g6e2909w0o64;547>;6=8?1>o>m;|qbgf<72:q6m4<53gd89g672;>o70okd;;3`>{till1<716734h;<77?d:pe<4=838p1l7=:9;3?8g>939mj6s|b1d94?2|5h386>hi;=460e9>f0c=;oo0q~l78;297~;f1:0?<=52b8;9=5b<5k9969>>;|qb=6<72;q6m4=588289d?62:ln7p}=b1c94?4|5h3:6:9<;<364c<5j9k0q~oka;297~;fjj08jk52b1f961b<5hoj64>k;|qbba<72;q6mom541289g6c20:o7p}nbb83>7}:iki144>4=``a>6`a3tyi=i4?:5y>egb=;ol01lkn:36g?8d5l33;h63m5c80b`=z{k3=6=4<{f0c=<9;0q~omd;296~;fjm035=52ac`97cc53z?bf<<4no16n=m525f89dc>20:o7p}nfb83>7}:ik318=>4=c2`><6c3tyjn44?:3y>eg?=00:01ll7:2de?xue9j0;69u2acc97c`<5ho26?:k;<`1g??7l27i954;ei<0252z?bfd9j4=`g;><6c3tyjjo4?:3y>eg0=<9:01o>m:82g?xufj?0;6?u2ac49<<6<5hh>6>hi;|qa5g<72=q6mo953gd89dc?2;>o70l=b;;3`>;e=<08jh5rsc;7>5<4s4ki;7:?0:?ae1<>8m16n8654138yvge?3:1>v3nb68;=5=:ik?1?kk4}rcg3?6=;r7jn>4f5g=:=n01lk8:82g?xufnh0;6?u2ac19056<5k:j64>k;|qbf6<72;q6mo=588289dd52:lm7p}m1`83>1}:ik>1?kh4=`g4>72c34h9m77?d:?a17<4nl1vo7<:1808ge<3>;<63ma28:4a=:jeg4=;oo0q~ok6;297~;fj908jk52b1;961b<5ho=64>k;|qbb<<72;q6mo>541289g6>20:o7p}nb183>7}:ik:144>4=`ce>6`a3tyi=44?:5y>eg7=;ol01lk9:36g?8d5133;h63m4g80b`=z{k396=4<{f04=<9;0q~om1;296~;fj8035=52a`d97cc53z?bea<4no16n=6525f89dc220:o7p}nf983>7}:ihn18=>4=c2;><6c3tyjmi4?:3y>edb=00:01lol:2de?xue910;69u2a`g97c`<5ho>6?:k;<`1;ei80252z?be`9j4=`g7><6c3tyjj:4?:3y>edg=<9:01o>8:82g?xufih0;6?u2a`c9<<6<5hk26>hi;|qa52<72=q6mll53gd89dc32;>o70l=7;;3`>;e<008jh5rsc;3>5<4s4kjn7:?0:?ae5<>8m16n9m54138yvgfj3:1>v3nac8;=5=:ih31?kk4}rcg7?6=;r7jm:4f50=:=n01lk<:82g?xufn?0;6?u2a`59056<5k:=64>k;|qbe2<72;q6ml9588289dg12:lm7p}m1783>1}:ih21?kh4=`g0>72c34h9:77?d:?a03<4nl1vo6i:1808gf03>;<63m9g8:4a=:j=318=?4}rcbed0=;oo0q~olb;297~;f0o08jk52agg961b<5hni64>k;|qba`<72;q6m5h541289d`b20:o7p}n8g83>7}:i1l144>4=`:f>6`a3tyi;e9l02i7l?e:?a1`<4no16n8l53gd89g3?2:lm70l:5;1eb>;e=;08jk52b5d97c`<5k>h6>hi;<`7=?5an27i8;4f6c=;ol01o=m:2de?8d4039mj63m3480bc=:j:81?kh4=c0e>6`a3tyi4:4?:2y>e<6=<9:01o78:82g?8d5n3>;=6s|a8294?4|5h3;657?;;flj025<5s4kj87:?0:?bbc<>8m1vlo;:1818gf<322<63na280bc=z{k;>6=4;{f4`=19n01ou2a`79056<5k3364>k;<`72?2792wxml;50;0x9dg2213;70on3;1ea>{tilh1<7<>{<`31?46k27i<94=1b9>f55=:8i01o>=:33`?8d7938:o63m01815f=:j9n1>77d34h;n7<>c:?a4d<59j16n=7520a89g6?2;;h70l?7;02g>;e8?09=n52agd964e<5hln6??l;e`6=:8i01lji:33`?8gcm38:o63nde815f=:ilk1>77d34kn47<>c:?ba2<59j16mh8520a89dc22;;h70oj4;02g>;fm:09=n52aea964e<5hni6??l;<301a|5k3n64>:;<`:`?44i27i5n46049>f1388m63ma7817d=:jh?1>>o4=cc7>75f34hj?7<;e1109?l52b859=53<5kkj64>:;<`11??7=27i>946049>f75=19?01o<=:826?8d5933;963=62;966g<5;<8:7<;5>:>1>>o4=3407?44i279:><522c897049388m63=622966g<5;<9j7<;5>;o1>>o4=341e?44i279:?7522c897050388m63=635966g<5;<9:7<;5>;81>>o4=3410?44i279:>h522c89704m388m63=62f966g<5;<8o7<;5>;i15=;4=3415??7=279:>9591789705833;963=45;966g<5;>?47<;5<=?1>>o4=3670?44i27989=522c89723:388m63=67a966g<5;<=n7<;5>?21>>o4=3453?44i279:;8522c89701=388m63=64:966g<5;<>;7<;5><>1>>o4=3467?44i279:8<522c897029388m63=670966g<5;<==7<;5>>o4=34`6?44i279:n?522c8970d8388m63=6cd966g<5;;5>k31>>o4=34a7<;5>hl1>>o4=34ba?44i279:lj522c8970fi388m63=6`;966g<5;;5>h91>>o4=34b6?44i279:l?522c8970f8388m63=68f966g<5;<2o7<;5>031>>o4=34:>388m63=681966g<5;<2>7<;5>>h1>>o4=344e?44i279::7522c897000388m63=69f966g<5;<3o7<;5>131>>o4=34;388m63=667966g<5;<<87<;5>>;1>>o4=3444?44i279:;h522c89701m388m63=693966g<5;<3<7<;4;m915=;4=21g6?44i278?i?522c8965dn388m63<3bg966g<5:9o<7<=lb;00e>;4;jn1>>o4=21`e?44i278?oh522c8917c8388m63;1949=53<5=;j:7<;39h91>>o4=53b6?44i27?=l?522c8917f8388m63;18d966g<5=;ji7<;39hh1>>o4=53be?44i27?=l7522c8917f0388m63;1`5966g<5=;2i7<;39::1>>o4=0g26?44i27:i<=522c894c6<388m63>e05966g<58n9577?5:?2ga2=::k01;6km<1>>o4=0ag3?44i27:oi6522c894ec1388m63>c9g966g<58i2;77?5:?2g3e=::k01;6k?o1>>o4=0a45?44i27:n5=5917894d5m388m63>b3d966g<58h8<7<;6j:91>>o4=0cfe?44i27:mk=59178966b9388m63<0d0966g<5::n87<>j6;00e>;48l=1>>o4=22f>ia;00e>;48oi1>>o4=22e`?44i278??3;00e>;48oh1>>o4=23e2?44i278=k=522c8967d1388m63<2`49=53<5:8oh77?5:?06dc=::k01><6a;00e>;4:0h1>>o4=20:g?44i278>4j522c8964fj388m63=fga966g<5;n8>7<;5l::1>>o4=3f4e??7=279h:l522c897b4<388m63=d27966g<5;n8:7<=::k01?m69;00e>;5kh>1>>o4=3abb?44i279oo<522c897ee;388m63=cc6966g<5;ii97<;5k0k1>>o4=3a:f?44i279o4m522c897e>l388m63=c8g966g<5;i2j7<;5kh81>>o4=3ab7?44i279ol;522c897ef>388m63=c`5966g<5;ij47<;5khh1>>o4=3abg?44i279olj522c897efm388m63=cc2966g<5;ii=7<;5n:=1>>o4=3d02?44i279j>o522c897`4j388m63=f2a966g<5;l=i77?5:?1b3`=::k01;6mh91>>o4=0gb0?44i27:il;522c894c?0388m63>e9;966g<58o3m7<;6n0k1>>o4=0d5b?44i279<9j59178976?<33;963=047966g<5;:?=7<;3;00e>;58=>1>>o4=3266?44i27:i?m522c89423m38i>>52151f>7d5;27:9l>52c00?8730o09n?=4=066=?4e::16=9hl:3`17>;6<:k1>o<<;<36f3<5j;901<;71;0a66=:9=>j6?l=3:?1`ad=<9;01?h63;1ea>;6;o<<;<3636<5j;901<;:a;0a66=::mo?6>hj;<3:75;5n1:1?kh4=064e?4e::16>i78:2de?873==09n?=4=0670?4e::16=9;k:3`17>;6m8l18=>4=0a4=?278278>:853gd89766l39mj63>72296g44348m;84;019>77>32:lm70hi;<036a<4no16??67:2de?847:o08jk5233:b>6`a348;?<477>d2:lm70hi;<0370<4no16??7?:2de?847;>08jk5233;1>6`a348;?4477?32:lm70hi;<036<<4no16??6?:2de?847:>08jk52335f>6`a348;>84771d2:lm70hi;<0364<4no16??97:2de?8479o08jk5214c4>7d5;27:9l:52c00?8730809n?=4=064a?4e::168><8:2de?870=k09n?=4=0412?4e::1voo8:1825~;e1l09=n52b8f964e<5k3h6??l;<`:f?46k27i5l4=1b9>f77d34hj=7<>c:?ae5<59j16n4h520a89g??2;;h70l67;02g>;6;?:1nl94}rc`1?6=:r7jo94=4e9>ef0=00:0q~l75;29g~;fk=09=n52ab1964e<5hi96??l;<`45?46k27i;=4=1b9>f3`=:8i01o8j:33`?8d1l38:o63m6b815f=:j?h1>77d34h3:7660:pef7=839p1lm;:82g?8gd>39mj63>2809ef752z?bg6<54=`a5>16634;95?4nc19~wde>2909w0ol2;07`>;fkh035=5rs``e>5<2s4kh>77?d:?bgd<4no16mn653gd89de12=:;70?=938bfc=z{kkj6=4=4z?aed<532:ln70ola;1ea>;e0;08jh52b9297cc<5k=n6>hj;<`4g?5am27i;l4f2>=;oo01o99:2df?8gd039mi63nc780b`=:j?:1?kk4=016`?dfi27:?;>5b`c89g>12:ln70lnd;;6=>;e<;0?<<52127f>ggf34h>j77:9:?a1f<>=016n87594;89g3120?270l:3;;6=>;e=9029452b5f9=0?<5k>j64;6;<`73??2127i?k46589>f6e=1<301o=6:87:?8d4>33>563m328:1<=:j::15874=53ge?5am2wxnlj50;0x9ggf2;;h70lnd;547>{tj191<772c34h387660:pf3?=838p1o9>:82g?8d?:39mj6s|b9394?4|5k=;6?:k;<`;6?>>82wxn;650;0x9g1720:o70l70;1eb>{tj>l1<772c34h3<7660:pf31=838p1o8i:82g?8d0m39mj6s|b6f94?4|5k>82wxn;850;0x9g0b20:o70l8c;1eb>{tj>h1<772c34h>82wxn;:50;0x9g0d20:o70l88;1eb>{tj>=1<772c34h<47660:pf35=838p1o8m:82g?8d0>39mj6s|b6794?4|5k>82wxn;<50;318d1i33;h63m4380bc=:9:?n6o8=;<`6a?27827i9o4;019>f0>=<9:01o;::523?8d2:3>;<63m4g8745=:j=i18=>4=c6:>16734h?:7:?0:?a7`<38916n>l541289g5?2=:;70l<5;634>;e;;0?<=52b3d905652z?a60<5?4=1b9>f77=:8i01o77d34h957<>c:?a6=<59j16n?9520a89g412;;h70l>f;02g>;e9l09=n52b729<<652z?a61<5h57618yvd4i3:1>v3m22810a=:j:i1;:=4}r`03?6=:r7i>?4=4e9>f6?=?>90q~l<4;296~;e:8098i52b24932552z?a65<5=57618yvd2l3:1>v3m2e810a=:jn4=4e9>f0e=?>90q~l:7;296~;e:k098i52b4;932587>52z?a6d<5v3m28810a=:j<91;:=4}r`7a?6=:r7i>54=4e9>f06=?>90q~l;b;296~;e:>098i52b5f932552z?a63<5v3m1g810a=:j==1;:=4}r`1a?6=:r7i=h4=4e9>f66=?>90q~<9e583>1}:::;9698?;<02e4<5=h16>;k;:82g?846im08jh5rs30ee?6=:r79?<<52318974ai3=5<5s488=?48729>63c32;>o7p}=6d194?3|5;9:=7:90:?16cg=1<301??n0;06e>;5>l915=j4=33bg?5am2wx>>>l:1808449809>>5223db>74434883:1>v3=3039325<5;w0<<1b8725=::::h64;6;<02ed<5=h16>;kl:82g?846j?08jh5rs313e?6=;r79?667d2>=870<9eb810a=z{;55z?174d=;59h31>8o4=34ff??7l279=o;53gg8yv44810;6>u2223a>7443488666?2>=87p}=4cd94?4|5;9:n7983:?12`d=:=n0q~<9e`83>0}:::;j698?;<004=<>=016>6`b3ty9?=850;1x9756i389?63=31:9675<5;9;:7983:p61d>2909w0<<1`8436=::?oj6?:k;|q12`?=83?p1?=>9;654>;5;9<15874=33b3?42i279:h7591f8977e;39mi6s|2227>5<4s488=44=229>66612;8870<<058436=z{;>i?7>52z?174?=?>901?8j9;07`>{t:?o36=4:{<005=<3>916>>>;:87:?846i?099l5227g;><6c348:n?490q~<;ae83>7}:::;36:9<;<05a=<54=3136??21279=l;524c8970b?33;h63=1c397cc66702;8870<<038166=::::;6:9<;|q10d3=838p1?=>7;547>;5>l=1>9j4}r05a3<72>?9:543?8448902945220c7>73f348=i;460e9>64d72:ln7p}=2gg94?5|5;9::7<=3:?1756=:;901?{t:=3j6=4={<0053<0?:16>;k9:36g?xu5>l?1<7;t=3121?218279>kk594;8977f;38>m63=6d79=5b<5;;jj7=ie:p67`d2908w0<<148166=::;ln6?<<;<01bf<0?:1v?:60;296~;5;8?1;:=4=34f1?43l2wx>;k=:1868449=0?:=5223d`><3>348:m?4=5`9>63c520:o70<>ad80b`=z{;8m57>53z?1742=:;901?;5:o31;:=4}r073`<72;q6>>?;:650?841m;098i5rs313a?6=;r79?<>54728974a133>563=31d9<<666772>=870<9e0810a=z{;?><7>54z?15`4=;5=?;15=j4=3351?5am2wx>>5220a5>2143ty98:=50;0x977b:3=8k4?:4y>64c62=<;70<>c78:1<=::8??6?;n;<0625<>8m16><8;:2df?xu59j>1<7=t=33f5?45;279=n852318977d<3=5<5s48:i<48729>60072;>o7p}=55g94?3|5;;n<7:90:?15f2=1<301??:3;06e>;5=>5220a7>744348:o?48729~w721n3:1>v3=1d29325<5;?>j7<;d:p602c290>w0<>dg8725=::8i964;6;<0217<5=h16>8;j:82g?846>;08jh5rs33`4?6=;r79=ih52318977d:389?63=1b2932564ba2>=870<:5d810a=z{;??o7>55z?15ac=;59<;1>8o4=376`??7l279=;?53gg8yv46jl0;6>u220ff>744348:o=4=229>64db2>=87p}=47c94?4|5;;oi7983:?110b=:=n0q~<:4c83>0}::8no698?;<02f`<>=016><;?:37b?842=j026`b3ty9=i650;1x977cl389?63=1cg9675<5;;o47983:p61022909w0<>de8436=::;59m215874=336a?42i2799;7591f89771m39mi6s|20f5>5<4s48:in4=229>64b?2;8870<>d78436=z{;>h87>52z?15`e=?>901?;99;07`>{t:916><6c348::i490q~<;bd83>7}::8oi6:9<;<062=<54=33g0??21279=8m524c89731?33;h63=17a97cc64cf2;8870<>d58166=::8n96:9<;|q10g>=838p1??ja;547>;5=?=1>9j4}r0613<7273f348>:;460e9>640e2:ln7p}=1e294?5|5;;n57<=3:?15a4=:;901??k0;547>{t:=h96=4={<02a<<0?:16>889:36g?xu5=594;89772i38>m63=5779=5b<5;;=m7=ie:p64eb2908w0<>e98166=::8n;6?<<;<02g`<0?:1v?:nc;296~;59l21;:=4=3751?43l2wx>8;;:186846m>0?:=5220af><3>348:944=5`9>600320:o70<>6880b`=z{;;ho7>53z?15`1=:;901??le;017>;59ji1;:=4}r07e1<72;q6>=098i5rs3767?6==r79=h854728977dk33>563=14:960g<5;?=?77?d:?153>=;oo0q~<>c`83>6}::8o=6?<<;<02gf<5::16>107348:ol46589>64302;?j70<:638:4a=::8<<6>hj;|q15f>=839p1??j5;017>;59jk1>?=4=33`96i:181846m<0<;>522441>72c3ty998?50;7x977b<3>=<63=1b:9=0?<5;;>:7<:a:?110d=19n01??96;1ea>{t:8ho6=4<{<02a1<5::16>5rs364`?6=:r79=h:576189732j38?h6s|20fb>5<4s48:hn4;619>64dc20?270<>dc8;=5=z{;>>j7>52z?15ae=?>901?;:a;07`>{t:=o?6=4<{<020f<3>916>9kj:82g?843l1098i5rs330=?6=:r79=9m52318977413=5<5s48:8n48729>61cb2;>o7p}=4d194?2|5;;?n7:90:?156?=1<301?:jd;;3`>;59j4}r027=<72:q6><:m:300?846;009>>52201;>2143ty98n>50;0x9773j3=642f2=<;70<>398:1<=::8>9657?;|q1561=839p1??;a;017>;59:21>?=4=3303?10;2wx>9ln:1818465225g`>72c3ty98h<50;6x9774038>m63=15097cc<5;>no77?d:?10a0=:=n0q~<:3583>7}::8>96>hi;<067f<>8m1v??;1;297~;59=318;>4=3303??21279=9?58828yv46;?0;6>u2206:>744348:?:4=229>64512>=87p}=4c694?4|5;;?57983:?10`d=:=n0q~<;e083>1}::89<6?;n;<0204<4nl16>9km:82g?843l<098i5rs3707?6=:r79=9?53gd89734j33;h6s|2063>5<4s48:854;619>645120?270<>418;=5=z{;;897>53z?151>=:;901??<6;017>;59:?1;:=4}r07e`<72;q6><:7:650?843mh098i5rs36f4?6=8524c89773839mi63=4dc9=5b<5;>o87<;d:p60552909w0<>4180bc=::<9j64>k;|q156`=839p1??;7;654>;59:?15874=330b?>>82wx><=;:180846<>09>>522016>744348:?948729~w72f>3:1>v3=1559325<5;>n57<;d:p61ba290?w0<>34811d=::89m6>hj;<07a<<>8m16>9j<:36g?xu5=:;1<77591f8yv46;l0;6>u22065>107348:?946589>645b213;7p}=12194?5|5;;?:7<=3:?1562=:;901??<3;547>{t:=3i6=4={<0203<0?:16>9k7:36g?xu5k53gg8972b033;h63=4e0961b?=4?:3y>645b2:lm70<:398:4a=z{;;8h7>53z?1513=;59:n144>4}r0277<72:q6><:::300?846;:09>>522011>2143ty984?50;0x9773=3=64542;?j70<>3e80b`=::=o<64>k;<07`4<5<=l:180846<=0?:=522011><3>348:?n47919~w77493:1?v3=1569675<5;;8>7<=3:?1567=?>90q~<;7g83>7}::8>?6:9<;<07a3<58o4=330g?5am2798h8591f8972c838?h6s|240f>5<5s48:?n4605120:o7p}=12`94?5|5;;??7:90:?1567=1<301??{t:=<:6=4={<0206<0?:16>9k::36g?xu5l53gg8972b=33;h6s|240g>5<5s48:?o4605220:o7p}=6e494?2|5;8ni7:90:?15=?=:;590?1?kk4}r01`3<72;q6>?kj:300?845l?0<;>5rs365=?6=:r79>hk57618970c>38?h6s|27f6>5<2s489ii4;619>67b120?270<>89811d=::?n>64>k;<02=1<4nl1v??=4=30g2?45;279>h657618yv43>=0;6?u223gg>214348=h84=4e9~w70cm3:19v3=2g:9036<5;8n477:9:?15<4=:;590o1?kk4}r01a3<72:q6>?h7:300?845m109>>5223g5>2143ty98n=50;0x974a03=67`02=<;70<=e78:1<=::83:6?;n;<05`a<>8m16><7k:2df?xu5:l>1<7=t=30e3?45;279>h852318974b<3=5<5s489j:48729>63bc2;>o7p}=6ea94?3|5;8m:7:90:?16`2=1<301??60;06e>;5>mi15=j4=33:g?5am2wx>?k=:180845n?09>>5223g7>7443489i?48729~w72e?3:1>v3=2g49325<5;w0<=f48725=::;o964;6;<02;jm:82g?8461k08jh5rs30f4?6=;r79>k;52318974b:389?63=2d2932567`22>=870<9dc810a=z{;55z?16c2=;591o1>8o4=34ge??7l279=4o53gg8yv45ll0;6>u223d7>7443489i=4=229>67bb2>=87p}=4``94?4|5;8m87983:?12ag=:=n0q~<9d883>0}::;l8698?;<01``<>=016><6k:37b?841l0026`b3ty9>im50;1x974a;389?63=2eg9675<5;8oo7983:p61g42909w0<=f28436=::?n26?:k;|q12a>=83?p1?;5:mi15874=33;g?42i279:i6591f8977>039mi6s|23fb>5<4s489j?4=229>67bd2;8870<=d`8436=z{;>247>52z?16c4=?>901?8k8;07`>{t:?n<6=4:{<01b4<3>916>?jn:87:?8460k099l5227f4><6c348:5:4=?>90q~<;8d83>7}::;l:6:9<;<05`2<54=30g67`72;8870<=d98166=::;n>6:9<;|q102e=838p1?;5>m>1>9j4}r01ad<72:q6>?kl:543?845l<02945223ga>=?73ty988k50;0x974bk3=67ef2=<;70<>70811d=::?i364>k;<023a<4nl1v??=4=30a6?10;2wx>987:181845kh0<;>5227a;>72c3ty9:n950;7x974d13>=<63=2c09=0?<5;;<<7<:a:?12f1=19n01??8c;1ea>{t:;i?6=4<{<01g<<5::16>?l=:300?845k=0<;>5rs3657?6=:r79>n757618970d?38?h6s|27f3>5<2s489h94;619>67e320?270<>7`811d=::?n;64>k;<02<3<4nl1v?1>?=4=30`0?45;279>n<57618yv43k;0;6?u223f7>214348=h=4=4e9~w70dn3:19v3=2e19036<5;8h>77:9:?152?=:;591?1?kk4}r01g5<72:q6>?j<:300?845k;09>>5223a3>2143ty98om50;0x974c;3=67b52=<;70<=c18:1<=::8=36?;n;<05g`<>8m16><6;:2df?xu5:ko1<7=t=30g6?45;279>n>52318974em3=5<5s489h?48729>63eb2;>o7p}=6bf94?3|5;8o=7:90:?16gc=1<301??87;06e>;5>jn15=j4=33;7?5am2wx>?ll:180845l809>>5223`f>7443489nn48729~w72e83:1>v3=2e39325<5;w0<=d18725=::;hh64;6;<0233<5=h16>;ml:82g?8460;08jh5rs30ae?6=;r79>i>52318974ek389?63=2cc932567b72>=870<9cb810a=z{;55z?16f`=;59>?1>8o4=34`f??7l279=5?53gg8yv45j10;6>u223ae>7443489nl4=229>67d?2>=87p}=4`094?4|5;8hj7983:?12fd=:=n0q~<9c`83>0}::;in698?;<01f=<>=016><9;:37b?841kh026`b3ty9>o850;1x974dm389?63=2c:9675<5;8i:7983:p61?02909w0<=cd8436=::?ij6?:k;|q12f?=83?p1?;5:k<15874=3347?42i279:n7591f89770n39mi6s|23`7>5<4s489oi4=229>67d12;8870<=b58436=z{;>3h7>52z?16fb=?>901?8l9;07`>{t:?i=6=4:{<01gf<3>916>?l;:87:?846?;099l5227a5><6c348:;h490q~<;7c83>7}::;ih6:9<;<05g3<54=30a5??21279>n958828yv43=m0;6?u223a;>214348=o84=4e9~w74?93:1>v3=2`;9036<5;83>7660:p676e2909w0<=a88166=::;:i6:9<;|q10<5=838p1?;5:=?1?kk4}r013c<72:q6>?o7:543?8458k02945223:3>=?73ty9>=750;1x974f0389?63=21`9675<5;8;57983:p61?52909w0<=a98436=::;>86>hj;|q162d=839p1?;5:9315874=304g?>>82wx>?>7:180845i?09>>52232:>7443489<548729~w72?13:1>v3=2`49325<5;8?<7=ie:p671>2908w0<=a48725=::;:364;6;<013d?=4=303=957618yv43010;6?u223c6>2143489?h4=00:0q~<=0783>6}::;k?6?<<;<0142<5::16>?>9:650?xu5<1=1<7>m53gg8yv45?<0;6>u223c0>1073489<;46589>6711213;7p}=21794?5|5;8j?7<=3:?1650=:;901?{t:=2=6=4={<01e6<0?:16>?=n:2df?xu5:>91<7=t=30b6?218279>=;594;89740<322<6s|2327>5<4s489m?4=229>67622;8870<=058436=z{;>397>52z?16d4=?>901?<<8;1ea>{t:;=:6=4<{<01e4<3>916>?>;:87:?845?;035=5rs3037?6=;r79>l?523189747<389?63=211932567g62>=870<=3780b`=z{;8=j7>53z?16d6=;5:>:144>4}r0147<72:q6>?o?:300?8458:09>>522321>2143ty985=50;0x974f83=67?a2=<;70<=038:1<=::;;5:981>?=4=3035?10;2wx>96=:1818451o0<;>522311>6`b3ty9>;l50;1x974>m3>=<63=2139=0?<5;8=o7660:p67672908w0<=9d8166=::;::6?<<;<0145<0?:1v?:71;296~;5:0o1;:=4=3004?5am2wx>?86:1808451m0?:=522323><3>3489:l47919~w77am3:1?v3=28f9675<5;8;<7<=3:?15cc=?>90q~<;8183>7}::;3o6:9<;<016a<4nl1v?<95;297~;5:0h18;>4=33ea??21279>;858828yv46nm0;6>u223;a>744348:jh4=229>64`c2>=87p}=46594?4|5;82n7983:?167g=;oo0q~<=6283>6}::;3j698?;<02ba<>=016>?8;:9;3?xu59oi1<7=t=30:e?45;279=kj52318977ak3=5<5s4895l48729>674?2:ln7p}=27394?5|5;8257:90:?15ce=1<301?<92;::4>{t:8li6=4<{<01=<<5::16>5rs3641?6=:r79>47576189745>39mi6s|237e>5<4s489554;619>64`e20?270<=618;=5=z{;;mm7>53z?16<>=:;901??ib;017>;59ok1;:=4}r0731<72;q6>?77:650?845:=08jh5rs306`?6=;r79>4954728977ai33>563=24g9<<667?02;8870<>f`8166=::8l26:9<;|q1024=838p1?<67;547>;5:;81?kk4}r011g<72:q6>?79:543?846n0029452237`>=?73ty9=k650;1x974>>389?63=1g;9675<5;;m47983:p61172909w0<=978436=::;8;6>hj;|q160?=839p1?<65;654>;59o215874=306e?>>82wx>>5220d;>744348:j:48729~w721m3:1>v3=2879325<5;8:i7=ie:p67302908w0<=958725=::8l<64;6;<011=1>?=4=33e3?45;279=k857618yv43>j0;6?u223;7>2143489=n4f483>6}::;386?<<;<02b3<5::16>u223;1>107348:j846589>6733213;7p}=20094?5|5;82>7<=3:?15c3=:;901?<>2;547>{t:=<96=4={<01=7<0?:16>??8:2df?xu5:1l1<7=t=30a4?218279><<594;8974>8322<6s|2332>5<4s489n=4=229>67752;8870<=108436=z{;>h=7>52z?16g6=?>901?<:2;1ea>{t:;2o6=4<{<01ec<3>916>??>:87:?8450l035=5rs3024?6=;r79>lh5231897469389?63=202932567ga2>=870<=5180b`=z{;83n7>53z?16dc=0;;6=>;5:1i144>4}r014c<72:q6>?oj:300?8459909>>52232e>2143ty98o;50;0x974fm3=67gc2=<;70<=0g8:1<=::;2j657?;|q165c=839p1?;5:9l1>?=4=303a?10;2wx>9oi:181845im0<;>52236`>6`b3ty9>5950;1x974fk3>=<63=21g9=0?<5;8347660:p676c2908w0<=ab8166=::;:n6?<<;<014a<0?:1v?:n9;296~;5:hi1;:=4=307e?5am2wx>?6::180845ik0?:=52232g><3>34894;47919~w747k3:1?v3=2``9675<5;8;h7<=3:?165e=?>90q~<;a183>7}::;ki6:9<;<010=<4nl1v?<73;297~;5:hk18;>4=303g??21279>5:58828yv458h0;6>u223cb>7443489676f2>=87p}=48794?4|5;8jm7983:?1610=;oo0q~<=7e83>6}::;k<698?;<014d<>=016>?9j:9;3?xu59ol1<7=t=30b3?45;279>=o52318977an3=5<5s489m:48729>674a2:ln7p}=27594?5|5;82o7:90:?15c`=1<301?<98;::4>{t:8l86=4<{<01=f<5::16>5rs364=?6=:r79>4m576189746139mi6s|2723>5<3s4895<4;619>64`420?270<9218:4a=:9=o;6?8?0:p613e2909w0<=908436=::;;?6>hj;|q15d6=838p1??n1;017>;59h:1;:=4}r02ea<72;q6>:87:?846im035=5rs33b5?6=:r79=l?57618970bm39mj6s|20cb>5<5s48:m=4=229>64gf2>=87p}=1`a94?4|5;;j<77:9:?15de=00:0q~<>a883>7}::8kj6?<<;<02e<<0?:1v??m6;296~;59hk15874=33a2?>>82wx>>5220c;>2143ty9=o;50;0x977f133>563=1c79<<664g?2;8870<>a68436=z{;;i87>52z?15d>=1<301??m4;::4>{t:8k=6=4={<02e2<5::16>744348:m848729~w77e:3:1>v3=1`49=0?<5;;i>7660:p64g32909w0<>a48166=::8k?6:9<;|q15g7=838p1??n5;;6=>;59k;144>4}r02e6<72;q6>5rs33a4?6=:r79=l:594;8977e8322<6s|20c1>5<5s48:m>4=229>64g52>=87p}=1`d94?4|5;;j?77:9:?15d`=00:0q~<>9g83>7}::8k96?<<;<02=c<0?:1v??ne;296~;59h815874=33ba?>>82wx>;k>:1878461o099l5227g2><6c348:mo4666a2:ln7p}=1``94?4|5;;2j77:9:?15dd=00:0q~<>5583>7}::8?>6?<<;<0211<0?:1v??95;296~;59>82wx>88l:180846=<0<;>52244a>6`b348>844v3=1469675<5;;>?7983:p64032909w0<>558:1<=::8;59<81;:=4}r0226<72;q6><;<:87:?846>:035=5rs3365?6=:r79=8<52318977293=5<5s48:9?46589>6405213;7p}=14294?4|5;;>=7<=3:?1506=?>90q~<>6083>7}::8?:64;6;<0224?=4=336a?10;2wx><8?:181846=90294522043>=?73ty9=8j50;0x9772m389?63=14f9325643b20?270<>6d8;=5=z{;;>o7>52z?150b=:;901??:c;547>{t:8=016><8k:9;3?xu59j0;6?u2207`><3>348::n47919~w772i3:1>v3=14`9675<5;;>m7983:p640e2909w0<>5c8:1<=::8;59<31;:=4}r022d<72;q6><;n:87:?846>h035=5rs3365<5s48:9446589>640>213;7p}=14594?4|5;;>47<=3:?1501=?>90q~<>6983>7}::8?364;6;<022=?=4=3362?10;2wx><88:181846=>0294522044>=?73ty9=9h50;0x9772>389?63=15d9325643120?270<>678;=5=z{;??m7>54z?151`=:;59<;i:181846=?73ty9=5650;0x977?1389?63=19:932564>>20?270<>948;=5=z{;;357>52z?15=?=?>901?8j0;1eb>{t:8396=4={<02<=<5::16><7=:650?xu590>1<7744348:5<48729~w77>m3:1>v3=1809=0?<5;;2i7660:p64?72909w0<>908166=::83;6:9<;|q15;590n144>4}r02<7?:300?8460o0<;>5rs33:g?6=:r79=4>594;8977>k322<6s|20:f>5<5s48:4k4=229>64>b2>=87p}=18`94?4|5;;3j77:9:?158e83>7}::82n6?<<;<02>82wx><6l:1818460m09>>5220:`>2143ty9=4750;0x977?l33>563=18;9<<664>d2;8870<>8c8436=z{;;247>52z?15=e=1<301??68;::4>{t:82j6=4={<02<6n:650?xu590=1<70;6?u220:b>744348:4:48729~w77>>3:1>v3=19c9=0?<5;;2:7660:p63b4290?w0<>86811d=::?n864>k;<02=6<4nl16>?km:2df?xu59091<7744348:;=48729~w770l3:1>v3=1639=0?<5;;708436=::?n96>hi;|q152g=838p1??80;017>;59>k1;:=4}r023f<72;q6><9?:87:?846?j035=5rs334=?6=:r79=:o52318977013=5<5s48:;l46589>64>1213;7p}=16:94?4|5;;<57<=3:?152>=?>90q~<>8483>7}::8=264;6;<02<021>?=4=3343?10;2wx><6;:181846?102945220:7>=?73ty9=:850;0x9770?389?63=16493254?:3y>641020?270<>828;=5=z{;;<97>52z?1520=:;901??85;547>{t:8296=4={<0233<>=016><6=:9;3?xu59>>1<7<3>348:4<47919~w770;3:1>v3=1669675<5;;72909w0<>758:1<=::82;657?;|q1524=838p1??83;017>;59>81;:=4}r023c<72;q6><9<:87:?846?o035=5rs335b?6=:r79=:<523189771n3=5<5s48:;?46589>641b213;7p}=6b794?2|5;;=j7<:a:?12f3=19n01??8b;1ea>;5:j=1?kk4}r023g<72;q6><8i:87:?846?k035=5rs34b=?6==r79?>h53gd8970f133;h63>4e5963g>348>h>4;019>51b?2;5<4s488?k4;019>63d720:o70?<32812g6665a213;70<<3d80bc=z{;<257>54z?1717=;ol01?869;;3`>;5<9o1?kk4=06e4=34b7??7l27:8ko527c0?8438108jh5rs3175?6=:r79?9?588289754m39mi6s|221f>5<5s488?h48729>665c2:lm7p}=69;94?2|5;9?>7=if:?12=?=19n01?=j0;1ea>;61>;66;|q12<5=83>p1?=;2;634>;5>0915=j4=06e2?411:16>>jn:2df?xu5;=81<7>8279?9>53gd8yv41==0;69u22260>6`a348=99460e9>66d52:ln70?;f18120266242=:;70<9808:4a=:9=l96?871:?17de=;oo0q~<<4283>7}:::>8657?;<0005<4nl1v?=;0;296~;5;=:1;:=4=310`?5am2wx>>=k:181844;m0<;>522664>6`a3ty9:;?50;6x9754j39mj63=6739=5b<58>i47<9609>51e42;<==6s|274;>5<3s488?o4;019>630?20:o70<<8180b`=:9=ij6?898:p665e2909w0<<3c8;=5=:::>?6>hi;|q12gg=83>p1?=;5>kk15=j4=06`7?41jh16=>{t:?i:6=4;{<007f<38916>;m>:82g?873j009:n?4=06`0?41k81v?=4=3170?5am2wx>>:;:181844<=0<;>522667>1673ty9:l650;7x9754=39mj63=6`:9=5b<58>i?7<9a99>60ed2:lm70?=90812d>66522=:;70<9ag8:4a=:9;o96?8nf:p66522909w0<<348;=5=:::9?6>hi;|q12<>=83>p1?=<7;1eb>;5>0215=j4=363g?5am27:8k6527;;?xu5>h81<7:t=3103?278279:l<591f8942ai38=m?522525>6`b3ty9?>950;0x9754?322<63=32697cc66532>=870<<3280bc=z{;<347>54z?176>=;ol01?878;;3`>;5;mo1?kk4=06e0?41011v?862;290~;5;:218=>4=34:6??7l27:8k8527;1?844l108jh5rs3106588289754>39mj6s|2770>5<3s488?44633420:o70<7290?w0<<388745=::?2;64>k;<37b7<5>1:01?=na;1ea>{t::926=4={<007<>=9:2df?xu5;:<1<7=53gg8yv44;:0;6?u22210>214348<8<4=:?<;70?;c18123666562=:;70<9668:4a=:::=n6>hj;<37gd<5>?=0q~<<3083>7}:::9:657?;<007d<4no1v?8m9;290~;5;:81?kh4=34a=??7l27:8n>527`:?874:o09:o74}r05g5<72=q6>>==:523?841k90270d827:8n?527a3?xu5;:81<7>8279?>o53gg8yv44;h0;6?u2221b>214348k;<07b7<3881v?==b;296~;5;;h144>4=311e?5an2wx>;78:187844:m08jk5227;4><6c348?51`?2;<2;6s|27c2>5<3s488>i4;019>63g620:o70?;f`812d7<5;>:m7=ie:p664c2909w0<<2e8;=5=:::8j6>hj;|q177g=838p1?==a;547>;5;;31?kh4}r05<2<72=q6>>026`b34;?j94=6958yv41180;69u2220f>167348=5<460e9>51`12;<2=63=3da97cch4?:3y>664b213;70<<2b80bc=z{;<>>7>54z?177`=;ol01?8:2;;3`>;5;ho1?kk4=06e4?41=;1v?88f;290~;5;;l18=>4=344b??7l27:8k<5275e?844jl08jh5rs311b?6=:r79??h588289755k39mi6s|220`>5<5s488>n48729>664>2:ln7p}=33;94?4|5;9957983:?136?=;ol0q~<95g83>1}:::8<6>hi;<051c<>8m16=9l7:346b>;6;;i;|q1230=83>p1?==7;634>;5>?<15=j4=314g?5am27:8no52745?xu5;;=1<7>8279?>>53gd8yv41j10;69u2220;>6`a348=n5460e9>51dc2;33d963d?3ty9:oh50;6x975503>;<63=6cd9=5b<58>i57<9bg9>51db2;5<5s488>547919>66572:ln7p}=32294?4|5;98<7983:?1360=<9:0q~<9a783>1}:::8:6>hi;<05e3<>8m16=9l<:34b2>;5=m21?kh4}r05ea<72:q6>><>:523?841im0270fl2wx>><>:181844:8035=522203>6`a3ty9:4850;7x9755;39mj63=40`9036<5;9m577:9:?12<0=19n01<:i8;05=3=z{;54z?1775=<9:01?8n0;;3`>;6;o?;<075=<4nl1v?==3;296~;5;;9144>4=3114?5am2wx>>52223e>6`a3ty9:5850;7x9755<39mj63=3df9036<5;9hn77:9:?12=0=19n01<:i4;05<3=z{;<2<7>54z?1772=<9:01?860;;3`>;6;7?;<00ad<4nl1v?==4;296~;5;;>144>4=3116?5an2wx>;;>:186844:<08jk5222`e>10734885i46589>633620:o70?;f18120766422=:;70<97d8:4a=:9=l96?88e:?17ge=;oo0q~<<2483>7}:::8>657?;<0067<4nl1v?==2;296~;5;;81;:=4=312b?5am2wx>>?i:1818449o0<;>522661>6`a3ty9:8k50;6x9756l39mj63=64g9=5b<58>i47<95d9>51df2;<>i6s|2746>5<2s488=i4;019>66>c2=<;70<<5g8:1<=::?<>64>k;<37gd<5>??0q~<<1e83>7}:::;o657?;<0063<4no1v?8m7;290~;5;8o1?kh4=34a3??7l27:8oo527`4?8428;08jk5rs34aa?6=4c;963db34;?no4=6cg8yv449l0;6?u2223f>=?73488>;43:1>v3=3349325<5;=8m7:?0:p66ge2909w0<;5;0l1;:=4}r05b4<72;q6>>m>:650?846?m0?<=5rs31b=?6=;r79?n>54728975>n33>563=3`c9<<666e72;8870<<9g8166=:::k36:9<;|q12c6=838p1?=l0;547>;59>i18=>4}r00fa<72:q6>>mn:543?844i102945222`f>=?73ty9?l950;1x975di389?63=3`:9675<5;9j;7983:p63`f2909w0<?;|q17gd=839p1?=l9;654>;5;h=15874=31ag?>>82wx>>o9:180844k009>>5222c4>7443488m;48729~w70a13:1>v3=3b;9325<5;;397:?0:p66d>2908w0<?=4=31b2?45;279?l;57618yv41n10;6?u222a;>214348:494;019~w75e?3:1?v3=3b59036<5;9j977:9:?17g>=00:0q~<6}:::i<6?<<;<00e0<5::16>>o;:650?xu5>o=1<7u222a5>1073488m946589>66d1213;7p}=3`194?5|5;9h:7<=3:?17d2=:;901?=n3;547>{t:?l=6=4={<00g3<0?:16><6=:2de?xu5;k91<7=t=31`1?218279?l=594;8975e<322<6s|22c1>5<4s488o84=229>66g42;8870<52z?17f3=?>901??71;1eb>{t::h:6=4<{<00g1<3>916>>o=:87:?844j;035=5rs31b5?6=;r79?n:52318975f:389?63=3`3932566e32>=870<>8180bc=z{;9jj7>53z?17f5=;5;k:144>4}r00e5<72:q6>>m<:300?844i809>>5222c3>2143ty9:k=50;0x975d;3=66e52=<;70<;5;h:1>?=4=31:`?10;2wx>;h=:181844k;0<;>52205f>6`a3ty9:hh50;0x975en3=66ca2=<;70<52z?17``=:;901?=ld;547>{t:>:?6=4={<00ac<0?:16><7::523?xu5;m=1<7=t=31fa?218279?nj594;8975c0322<6s|22f5>5<4s488ih4=229>66ec2;8870<52z?17`c=?>901??64;634>{t::oi6=4<{<00b=<3>916>>j9:87:?844mj035=5rs31g1?6=;r79?k652318975c>389?63=3e7932566`?2>=870<>9d8745=z{;9n57>53z?17c1=;5;lk144>4}r00`1<72:q6>>h8:300?844l<09>>5222f7>2143ty9;=m50;0x975a?3=66`12=<;70<;5;m>1>?=4=31g7?10;2wx>:>m:181844n?0<;>5220;`>1673ty9?h;50;1x975a=3>=<63=3e19=0?<5;9n:7660:p66b52908w0<>k<:180844n=0?:=5222f1><3>3488i947919~w75c93:1?v3=3g69675<5;9o>7<=3:?17a7=?>90q~<80883>7}:::l?6:9<;<02=d<4no1v?=j1;297~;5;o918;>4=31g5??21279?h<58828yv44l90;6>u222d0>7443488h<4=229>66b72>=87p}=71:94?4|5;9m?7983:?156}:::l9698?;<00`5<>=016>>k?:9;3?xu5;jl1<7=t=31e6?45;279?i>52318975dn3=5<5s488j?48729>64??2:lm7p}=3ef94?5|5;9m=7:90:?17f`=1<301?=ke;::4>{t::in6=4<{<00b4<5::16>>mi:300?844kl0<;>5rs3532?6=:r79?k?57618977>?39mj6s|22fa>5<4s488j=4;619>66eb20?270<53z?17c6=:;901?=le;017>;5;jh1;:=4}r0440<72;q6>>h?:650?8461?08jk5rs3536?6=:r79?hj57618977>;39mj6s|225:>5<5s4885>4;619>661f213;7p}=37794?4|5;92?7<=3:?1733=?>90q~<:7283>7}:::386:9<;<0220<3891v?=87;297~;5;0818;>4=3151??21279?:658828yv44>=0;6>u222;1>7443488:84=229>66032>=87p}=56094?4|5;92>7983:?1532=<9:0q~<<7483>6}:::3:698?;<0021<>=016>>99:9;3?xu5;?91<7=t=31:5?45;279?;:523189751;3=5<5s4885<48729>64042=:;7p}=36194?5|5;92<7:90:?1735=1<301?=84;::4>{t::<96=4<{<00=5<5::16>>8<:300?844>;0<;>5rs3744?6=:r79?4>576189771:3>;<6s|2252>5<4s4884k4;619>660520?270<<738;=5=z{;9==7>53z?17=`=:;901?=92;017>;5;?;1;:=4}r062c<72;q6>>6i:650?846>80?<=5rs315b?6=;r79?5k547289751933>563=3629<<666>b2;8870<<608166=:::;59?:18=>4}r00>7l:543?844>l02945222:`>=?73ty9?;j50;1x975>k389?63=37g9675<5;9=h7983:p601d2909w0<<9b8436=::8?;|q17=?=839p1?=6b;654>;5;?n15874=31;e?>>82wx>>8l:1808441k09>>52224g>7443488:n48729~w730j3:1>v3=38`9325<5;;=h7:?0:p66>02908w0<<9`8725=:::?=4=315g?45;279?;l57618yv42?h0;6?u222;b>214348::n4;019~w75?=3:1?v3=38;9036<5;9=n77:9:?17=0=00:0q~<<6`83>6}:::326?<<;<002g<5::16>>8n:650?xu5=>31<7u222;;>1073488:l46589>66>3213;7p}=37;94?5|5;9247<=3:?173g=:;901?=99;547>{t:<=36=4={<00==<0?:16><8n:2de?xu5;1;1<7=t=31:3?218279?;7594;8975?:322<6s|224;>5<4s4885:4=229>660>2;8870<<698436=z{;?<;7>52z?17<1=?>901??99;1eb>{t::=m6=4<{<00=3<3>916>>87:87:?84409035=5rs3153?6=;r79?485231897510389?63=3759325;;4?:3y>66?12>=870<>6980bc=z{;953z?17<3=;5;>o144>4}r0023<72:q6>>7::300?844>>09>>522245>2143ty99:;50;0x975>=3=66?32=<;70<<678:1<=:::=h657?;|q170`=839p1?=64;017>;5;?<1>?=4=316b?10;2wx>89;:1818441=0<;>522045>6`a3ty99;j50;0x975?l3=617c2=<;70<;098;=5=z{;9mn7>52z?104b=:;901?=ib;547>{t:>;<6=4={<075a<0?:16>322<6s|2527>5<4s48?=n4=229>66`e2;8870<;058436=z{;=::7>52z?104e=?>901??nc;634>{t:=;26=4<{<0763<3>916>9>;:87:?8439h035=5rs3637?6=;r798?8523189727<389?63=4119325=4?:3y>61412>=870<>b78745=z{;>:;7>53z?1073=;5<82144>4}r0747<72:q6>9<::300?8438:09>>522521>2143ty9;61432=<;70<;038:1<=::=;=657?;|q1057=839p1?:=4;017>;5<981>?=4=3635?10;2wx>:?j:181843:=0<;>5220`7>1673ty98<=50;1x9725;3>=<63=4139=0?<5;>:87660:p61672908w0<;228166=::=::6?<<;<0745<0?:1v?9>d;296~;5<;91;:=4=33a7?2782wx>9?>:180843:;0?:=522523><3>348?=?47919~w75an3:1?v3=4309675<5;>;<7<=3:?17c`=?>90q~<81b83>7}::=896:9<;<02f7<4no1v?:?f;297~;5<;;18;>4=31eb??212798<>58828yv44nl0;6>u22502>7443488jk4=229>66`b2>=87p}=70`94?4|5;>9=7983:?15g7=;ol0q~<;0e83>6}::=8;698?;<00b`<>=016>9>j:9;3?xu5;on1<7=t=3614?45;279?kk52318975al3=5<5s48?>=48729>64d72:lm7p}=41`94?5|5;>:j7:90:?17cb=1<301?:?c;::4>{t::lh6=4<{<075c<5::16>>hk:300?844nj0<;>5rs352=?6=:r7985<4s48?=h4;619>66`d20?270<;0`8;=5=z{;9m57>53z?104c=:;901?=ic;017>;5;o31;:=4}r045=<72;q6>9?j:650?846il08jk5rs3521?6=:r798563c42;9j70<9eb817d=::?oi6?=n;<05ad<5;h16>;k6:31b?841m109?l5227g4>75f348=i;4=3`9>63c22;9j70<9e3817d=::?o:6?=n;<0474<59j16>;kj:9;3?xu5==:1<7=n{<05a1<59j16>;k<:33`?841mj09=n5227ga>77d348=il4=1b9>63c>2;;h70<9e9815f=::?o<6??l;<05a3<59j16>;k::33`?841m;09=n5227g2>77d348>:<4=1b9>60072;;h70<:5g815f=::8;l:33`?842>009=n52244;>77d348>::4=1b9>60012;;h70<:64815f=::<88=:33`?842=k09=n52247b>77d348=h;4=1b9>63b22;;h70<9dd815f=::?no6??l;<05`f<59j16>;jm:33`?841lh09=n5227f:>77d348=h54=1b9>63b02;;h70<9d5815f=::?n86??l;<05g=<59j16>;m8:33`?841l909=n5227ae>77d348=oh4=1b9>63ec2;;h70<9cb815f=::?ii6??l;<05gd<59j16>;m6:33`?841k?09=n5227a6>77d348>8=460e9>600e2:lm70<:4880b`=::?on6>hj;<05a5<4nl16>;j=:2df?xu5=?k1<7?>{<0624<5;h16>88?:31b?842=o09?l52247f>75f348>9i4=3`9>603d2;9j70<:68817d=::<<36?=n;<0622<5;h16>889:31b?842><09?l522447>75f348>:>4=3`9>60052;9j70<:5c817d=::m<1>>o4=34g1?44i279:ik522c8970cl388m63=6ea966g<5;;5>m21>>o4=34g3?44i279:i:522c8970c;388m63=73g964e<5;;mi:31b?841kl09?l5227ag>75f348=on4=3`9>63ee2;9j70<9c`817d=::?i26?=n;<05g3<5;h16>;m::31b?840:k09=n5227f1>=?73ty98l?50;0x973d038?h63=1g09<<6o44?:05x973d0388m63=5b5966g<5;?h:7<;5=o<1>c:?11c6=:8i01?;ie;02g>;5=on1>c:?11c1=:8i01?;jf;02g>;5=lo1>>82wx>8:>:184842k109=n5224a4>77d348>o;4=1b9>60e22;;h70<:c5815f=::<>:64>k;<06`4<4nl1v?;l3;291~;5=j215=j4=37g6?5am2799i953gd8977a:39mi63=5e197cc60e02;>o70<>f08;=5=z{;?h>7>56z?11f1=19n01<:k7;06g7=::8l969>?;<06`6<4no16>:2df?873l1099n<4}r078m9:36g?846n9035=5rs37`5?6=>r799n8591f8973c839mi63=1g097c`<5;;m<7=if:?11a2=;ol01??i1;1eb>{t:==j6=4={<06g0<5{t:8m;:82g?846ml08jk5220ge>6`b34;?h:4=5cd8977a839mi63=1g39056<5;?o47=ie:p61b>290:8v3=4dg966g<5;>nh7<;5>o4=36f=?44i2798h6522c8972b?388m63=4d4966g<5;>n97<=:8i01?:k7;02g>;5o=7<>c:?10a6=:8i01<:m6;07`<=z{;?8h7>523y>61cb2;;h70<;ee815f=::=oh6??l;<07ag<59j16>9kn:33`?843m009=n5225g;>77d348?i:4=1b9>61c12;;h70<;e4815f=::<8h6??l;<066g<59j16>877d348?8:4=1b9>61212;;h70<;44815f=::=>?6??l;<0706<59j16>9:=:33`?842;j09=n52241a>77d348>?l4=1b9>605>2;;h70<:39815f=::<9<6??l;<0673<59j16>8=::33`?842;m02734l27:8o85241g?873k1099>j4=06`3?42;m1v??m9;296~;5=;i1>9j4=33ag?>>82wx>8<6:186842:j026`b34;?h;4=53;8942d038>>45215a4>73512wx>=?73ty99?650;31842:k026`a348:nn451b12;?9463>4c49604?34;?o54=53:8942d<38>>55215`a>735027:8n?5240;?873jl099?64=06a3?42:116>8>>:87:?843n:02945215a4>73502798ko53gg8972aj39mi63>4`g9604?34;?n<4=53:8yv42:>0;6<;t=371e?43l2799?o591f8977ej39mi63=1ca9056<58>o:7<:269>51d12;?9;63>4b:9604034;?o94=5358942ej38>>:5215a2>735?27:8ok52404?873j>099?94=3736?27827:>4l52404?843n=08jh5213g1>735?27:8n952404?843nh08jk5225da>16734;?mh4=5358942e938>>:5rs3070?6=:r79:>7525f89743=322<6s|273;>5<4s48=?4460e9>51c72;<:463=29097cc63512;>o70<=418;=5=z{;<:97>53z?1260=19n01<:j0;0550=::;=h6>hj;|q166b=838p1?8<5;07`>;5::o144>4}r0551<72:q6>;=::82g?873lo09:<:4=304e?5am2wx>?:=:181841;1098i522360>=?73ty9:<950;1x9704033;h63>4d29637034894=4v3=626961b<5;88o7660:p63742908w0<9358:4a=:9=nm6?8>3:?162>=;oo0q~<=3883>7}::?986?:k;<017d2;297~;5>:915=j4=06gb?419;16>?99:2df?xu5::=1<7>658828yv41980;6>u22711><6c34;?hh4=60389740<39mi6s|2316>5<5s48=?<4=4e9>6751213;7p}=60294?5|5;<8=77?d:?20ac=:?;;70<=7380b`=z{;88?7>52z?1266=:=n01?<<4;::4>{t:?:m6=4<{<0575<>8m16=9jj:343b>;5:>:1?kk4}r0174<72;q6>;6`b3ty9>?m50;0x9705l38?h63=23f9<<6634c20:o70?;de8125e<5;8=m7=ie:p674>2909w0<92c810a=::;8j657?;|q125g=839p1?8=b;;3`>;6;>n;<0123<4nl1v?<=e;296~;5>;o1>9j4=3004?>>82wx>;>k:180841:l02707l279>;m53gg8yv45:>0;6?u2270b>72c3489>547919~w70713:1?v3=63c9=5b<58>oo7<9089>67032:ln7p}=23794?4|5;<957<;d:?1670=00:0q~<90983>6}::?8264>k;<37`f<5>9201?<92;1ea>{t:;886=4={<056=<5?<;:9;3?xu5>9=1<7=t=341908jh5rs3015?6=:r79:?9525f89745:322<6s|2725>5<4s48=>:460e9>51be2;<;:63=24g97cc63412;>o70<=218;=5=z{;<;97>53z?1270=19n01<:kb;0540=::;?h6>hj;|q164b=838p1?8=5;07`>;5:8o144>4}r0541<72:q6>;<::82g?873lh09:=:4=306e?5am2wx>??7:181841::098i52233b>=?73ty9:=<50;1x9705;33;h63>4ec9636534899;43:1>v3=630961b<5;8:;7660:p63662908w0<9238:4a=:9=n26?8?1:?1602=;oo0q~<=1c83>7}::?8?6?:k;<015f;>15=j4=06ge?418:16>?;7:2df?xu5:<;1<78<58828yv419o0;6>u2271e><6c34;?h44=60d8974>839mi6s|236e>5<5s48=?h4=4e9>6737213;7p}=60g94?5|5;<8i77?d:?20a?=:?;n70<=8d80b`=z{;8?h7>52z?126b=:=n01?<;e;::4>{t:?;o6=4<{<057a<>8m16=9j6:342`>;5:1i1?kk4}r010g<72;q6>;=l:36g?845m591f8942ci38==n5223:b>6`b3ty9>9750;0x9704j38?h63=25c9<<6635e20:o70?;dc8124d<5;8347=ie:p67202909w0<93`810a=::;>3657?;|q124g=839p1?8;6;?n;<01<3<4nl1v?<=b;296~;5>;i1>9j4=301b?>>82wx>;?9:180841:j02706>279>:k53gg8yv459<0;6?u22702>72c3489=447919~w707j3:1?v3=6339=5b<58>oj7<90c9>670?2:ln7p}=25394?4|5;<8;7<;d:?1610=00:0q~<91883>6}::?9<64>k;<37`a<5>8301?<74;1ea>{t:;;86=4={<0565<5??;:9;3?xu5<0l1<775f348>n94=3`9>60d42;9j70<:b3817d=::;5=k=1?kh4=37b2?5an2799l953gd8yv42j10;6;u224`6>77d348>n94=1b9>60d42;;h70<:b3815f=::;68l?;<07=c<4no16=9m::37a4>;6;;o1>8l?;<06e0<>=016>8o=:87:?xu5<0>1<7<6c34;?ok4=5`d8942c838>mk5215f2>73fn27:8i<524ce?8431o08jh5215a1>73fn27984k594;8942c<38>mk5215f0>73fn2wx>96n:181842j:098i5225:a>=?73ty99lk50;fx973e;33;h63=49`9056<58>hj7<:ad9>51b72;?ji63>4e3960gb34;?h?4=5`g8944ai38>mh5213da>73fm27:8oh524cf?8431<08jh5225;5>6`b34;?h94=5`g8942c;38>mh5rs364mi5225:a>16634;?ok4=5`f8942c838>mi5215f2>73fl27:8i<524cg?875nh099lj4=00ef?42im16=9ll:37b`>;5<0?1?kh4=36:2?5an27:8i:524cg?873l:099lj4}r06ef<72oq6>8l>:36g?842j80273fk27985l53gd8942dm38>mn5224;;>6`b34;9jl4=5`a8944aj38>mn522427>6`b34;8>h4=5`a8972>=3>;<63=4849056<5;?j477:9:?11<2=;oo01?;65;1eb>{t:=im6=46{<07`=<>8m16>9;6:2de?843=>08jk522576>6`a348?9>461362:lm70<;4g80bc=::=>o6>hi;<070g<4no1v?:le;296~;59mk:181843l?026`b3ty98nm50;0x972c=33;h63=44797cc61b320:o70<;5280b`=z{;>hm7>52z?10a5=19n01?::1;1ea>{t:=i26=4={<07`7<>8m16>9:i:2df?xu50;6?u225f3><6c348?8o43:1>v3=597961b<58>hi7<:879~w73>:3:1>v3=597964e<5;?2n7983:p60>22908w0<:848:4a=::hi;<06<=<4nl1v?::8;296~;5<=31>9j4=366=?>>82wx>9:>:181843<00272c3ty988850;0x9723038?h63=4459<<6612?20:o70=>87>52z?1011=:=n01?::5;::4>{t:=9m6=4={<0702<>8m16?>ll:36g?xu5<<81<7<6c3498no4=4e9~w72283:1>v3=457961b<5;>>=7660:p615c2909w0<;448:4a=:;:hj6?:k;|q101c=838p1?:;4;07`>;5<=l144>4}r077f<72;q6>9:;:82g?854j0098i5rs367g?6=:r7989=525f89723l322<6s|251a>5<5s48?8>460e9>76d?2;>o7p}=45c94?4|5;>?>7<;d:?101d=00:0q~<;3`83>7}::=>964>k;<10f2<59j4=316a?10;2wx>>;6:181842;k098i52227a>2143ty9?8850;0x9734i38?h63=34:93254?:3y>605>2;>o70<<528436=z{;9><7>52z?116>=:=n01?=:0;547>{t::>h6=4={<0672<5>:k:650?xu5;=<1<772c34888;48729~w73an3:1>v3=5g4961b<5;>9;7660:p60c32908w0<:f78:4a=::6?:k;<37e=<5=l>0q~<:e283>6}::64>k;<06b1<5{t:8m16>8h<:36g?873i0099h<4}r06a4<72:q6>8h<:82g?842n;098i5215c:>73b92wx>8k?:180842n;0272c34;?m44=5d28yv42lo0;6>u224d2><6c348>j=4=4e9>51gf2;?oj6s|24ff>5<4s48>j=460e9>60`b2;>o70?;a`811acii4?:2y>60`b20:o70<:fe810a=:9=kj6?;jd:p60cd2908w0<:fe8:4a=::6}::k;<06bg<5{t:8m16>8hn:36g?873i>099ho4}r06a<<72:q6>8hn:82g?842n0098i5215c4>73b12wx>8k7:180842n00272c34;?m54=5d:8yv42m>0;6>u224d;><6c348>j:4=4e9>51g?2;?n;6s|24g5>5<4s48>j:460e9>60ca2;>o70<;2680b`=z{;?n97>53z?11``=19n01?;je;07`>;68k:;|q11ab=83?p1?;je;;3`>;54=3613?5an27:?>=524fg?875m;099ij4}r060=<72;q6>8:8:31b?842<0035=5rs3773?6=>r79999591f8973e139mi63=5`497cc<5;?j;7=ie:?11{t:?;8m:33`?841>h09=n52274:>77d348=:54=1b9>63002;;h70<967815f=::?<>6??l;<37a6<5>?n0q~<96b83>1}::?k;<042<<38816=9mm:345g>;5;121?kk4}r052g<72=q6>;8m:82g?840>:0?<<5215aa>701j279?5853gg8yv41>h0;69u2274b><6c348<9n4;009>51ee2;<=m63=39697cc630>20:o70?;cc8123?<5;=><7:?0:?17=4=;oo0q~<95883><}::??36??l;<0512<59j16>;;9:33`?841=<09=n522777>77d348=9>4=1b9>63352;;h70<950815f=:9=o:6?8:9:p633?290?w0<9598:4a=::><>6>hi;<37b4<5><201?=ma;1ea>{t:??<6=4;{<0512<>8m16>:;j:2de?873n809:894=31a;;9:187841=?026`a34;?j<4=6448975e>39mi6s|2776>5<3s48=98460e9>51`62;<>963=75`97c`<5;9i87=ie:p6304290=w0<963815f=::?<:6??l;<0525<59j16>;;i:33`?841=l09=n5215g1>701;2wx>;8=:185841>;0216634;95o4=6708972ai3>;=63=4g`9057<58>ji7<9639~w733:3:1>v3=553966g<5;??87983:p60222909w0<:41817d=:9=i36?;;5:p624f2908w0<830810a=::>9:64>k;<31a7<5?;k0q~<82883>6}::>8n6?:k;<046`<>8m16>9h=:2de?xu5?;21<7=t=351f?43l279;?l591f89454;38<>55rs37ae?6=;r799oo525f8973ei33;h63=5569=0?no4?:3y>60df2;;h70?;d7811gdml4?:3y>60g>2;9j70?;d4811dg5i4?:3y>60?d2;>o70<:9d8;=5=z{;?2j7>52z?11{t:<3h6=4k{<06=f<>8m16=9l::37:g>;5=0o1?kh4=36;f?5am27:8nh524;`?873l90994m4=37;ko524;`?875nk0994m4=36:1?27927984854138942c<38>5n5215f0>73>k2wx>;m<:185841k;09=n5227a2>77d348=o=4=1b9>63da2;;h70<9bd815f=:9=on6?8l3:p63e52903w0<9c38:4a=:9=i?6?8l2:?20gd=:?i970?;c0812f4<58>ii7<9c39>51d02;63>28c963e5348?ji46589~w70ek3:1:v3=6c`964e<5;c:?12g?=:8i01?8m8;02g>;5>k=1>kh15=j4=357f?2792799=<53gg8972ai3>;<63=4g`97c`<58>i=7<9bc9~w70e=3:15v3=6c6964e<5;c:?12g4=:8i01?8m1;02g>;5>k:1>4da963d23ty9:o:50;0x970e<33;h63=77;90564?:3y>63d420:o70<8628745=z{;7>53z?12g4=19n01?9:c;634>;6:l81>;l=;|q12g7=839p1?8m1;;3`>;58=j:181842;m09?l5215a5>734m2wx>;om:185841ih09=n5227c:>77d348=m54=1b9>63g02;;h70<9a7815f=:9=oi6?8nb:p63gf290>w0<9a`8:4a=::hi;<06`2<4nl16>8j;:2df?8750l09:lo4}r05e1<72;o<:33`?841i;09=n5227c2>77d348=m=4=1b9>51cf2;5<>s48=5i4=1b9>63?d2;;h70<99c815f=::?3j6??l;<05=<<59j16>;77:33`?8411>09=n5227;5>77d34;?i44=68g8yv411m0;69u227;g><6c348<:5451`>2;<2h63=40497cc63?d20:o70<86380bc=:9=l26?86c:?1042=;oo0q~<99c83>1}::?3i64>k;<041g<4no16=9h6:34:f>;5<881?kk4}r05=d<72=q6>;7n:82g?873n009:4o4=357g?5an2798<>53gg8yv411=0;68u227;0>77d348=5?4=1b9>63?62;;h70<991815f=:9=o36?864:p631d290>w0<97c815f=::?=j6??l;<053<<59j16>;97:33`?873m<09::m4}r053g<72?q6>;9m:82g?873j;09::l4=37:<3>3ty9::o50;6x9700i33;h63=58g9056<5;?i;7=ie:?136b=;ol0q~<97883>0}::?=264>k;<37f7<5>>301?;68;634>;5?:?1?kh4=37:0?5an2wx>;97:185841?1027000279946541389714<39mj63>33g9631?348>594;019~w70?m3:15v3=69f964e<5;<3o7<>c:?12=d=:8i01?87a;02g>;5>131>38:o63>4d5963>b3ty9:5j50;6x970?l33;h63=7779056<58>m97<98e9>66c?2:ln7p}=69a94?2|5;<3o77?d:?130c=<9:01<:i5;05hj;|q12=d=83>p1?87b;;3`>;5?<>18=>4=06e1?410k16>>k;:2df?xu5>1k1<7:t=34;e??7l27:8k;527:b?840=;0?<=5222g1>6`b3ty9::850;;x9700=38:o63=666964e<5;<c:?1224=:8i01?881;02g>;5>>:1>;<63>4bf963123488;l47:?0:?20fb=:?=?70<<7980b`=z{;<54z?1225=19n01?9:b;634>;6;9<;<0033<4nl1v?882;290~;5>>815=j4=06``?41?;16>::l:522?844?=08jh5rs3445?6=6`b348<894ho7<9719>66172:ln70<83d80bc=z{;<=j7>54z?123`=19n01<:lc;052c=:::2h6>hj;<0473<4no1v?89e;290~;5>?o15=j4=06`g?41>l16>>6n:2df?840;h08jk5rs34;6?6==r79:5?520a8970?838:o63=66d964e<5;<c:?20`0=:?297p}<38394?4|5:9j87<=3:?07<7=?>90q~=7}:;:k?6:9<;<10`6<5=6a;297~;4;h918;>4=21:5??21278?4o58828yv54190;6>u232c0>74434985<4=229>76?72>=87p}<3`f94?4|5:9j?7983:?07a4=:=n0q~=6}:;:3:6?;n;<10=d<4nl16?>j=:82g?xu4;j31<7=t=21:e?5an278?i=591f8965en38?h6s|32;:>5<4s498m?4;619>76?720?270=<988;=5=z{:93j7>53z?07d4=:;901>=60;017>;4;1l1;:=4}r10ef<72;q6?>o=:650?854l8098i5rs21`3?6=;r78?4>524c8965>139mi63<3e39=5b76?>2:lm70=53z?07d7==7f;;6=>;4;02144>4}r10<`<72:q6?>o>:300?8540o09>>5232:f>2143ty8?ll50;0x965f93=76>a2;?j70=<9980b`=:;:n;64>k;|q07g3=838p1>=68;1eb>;4;kn15=j4}r10=2<72:q6?>o?:543?8540l02945232;4>=?73ty8?5j50;1x965f8389?63<39g9675<5:93h7983:p76gf2909w0==7e;06e>;4;0=1?kk4=21`b??7l2wx?>l;:1818541>08jk5232``><6c3ty8?4850;1x965>n3>=<63<39f9=0?<5:92:7660:p76>d2908w0=<9g8166=:;:2o6?<<;<10=n9;296~;4;0l1;:=4=21`a?43l2wx?>m;:1808540m099l5232;5>6`b3498oh460e9~w65e;3:1>v3<38497c`<5:9in77?d:p76?22908w0=<9d8725=:;:2h64;6;<10=0=7b;297~;4;0o1>?=4=21;g?45;278?5l57618yv54i10;6?u232;f>2143498oi4=4e9~w65d;3:1?v3<39a960g<5:9297=ie:?07fb=19n0q~=7}:;:3>6>hi;<10fd<>8m1v>=64;297~;4;0n18;>4=21;f??21278?4:58828yv540h0;6>u232;g>74434984o4=229>76>f2>=87p}<3`594?4|5:92h7983:?07fe=:=n0q~=6}:;:2i6?;n;<10=1<4nl16?>ml:82g?xu4;k;1<7u232;`>10734984l46589>76?4213;7p}<39;94?5|5:92o7<=3:?07=g=:;901>=79;547>{t;:k=6=4={<10=f<0?:16?>mm:36g?xu4;j;1<7=t=21;e?42i278?4=53gg8965dj33;h6s|32`3>5<5s4985>476d?20:o7p}<38094?5|5:92n7:90:?07=?=1<301>=62;::4>{t;:k>6=4={<10=g<0?:16?>mn:36g?xu4;j:1<7=t=21;=?42i278?4<53gg8965di33;h6s|32ce>5<5s4985?476d020:o7p}<3cd94?40s498nk460e9>56c22:9ij63>3d0976da34;8hk4<3cd8945ck398nk5212f:>65en27:?i8532`e?874l:08?oh4=01g4?54jo16=>mk:21ab>;6;jk1?>li;<30g2<4;kl01<=l4;10fc=:9:i:6>=mf:?27gc=;:hm70?56d22:9ij63>3c0976da34;8mk4<3cd8945fk398nk5212c:>65en27:?l8532`e?874i:08?oh4=01b4?54jo16=>7k:21ab>;6;0k1?>li;<30=2<4;kl01<=64;10fc=:9:3:6>=mf:?27=c=;:hm70?<8c807g`<589347=56>22:9ij63>390976da34;8;k4<3cd89450k398nk52125:>65en27:?:8532`e?xu399?1<7?<{<6244<572c34>;j84=4e9>05`52;>o70:>26810a=:<88?6?:k;<6264<572c34>:=o4=4e9>046b2;>o70:?f0810a=:<9oh6?:k;<624<<5;:36g?870;00?==;4}r6247<72;q68<>>:33`?8268:035=5rs52f4?6=:r7?==?591f8917d=39mi6s|41de>5<5s4>;jh4=1b9>0467213;7p};0ed94?5|5=:mi77?d:?26c?=<9nm70:>c280b`=z{=:mo7>52z?74cd=:8i019>id;::4>{t<9nn6=4:{<63bg<>8m16=?h6:52ga>;6?:o18=jj;<347c<38mo019?l1;1ea>{t<9l26=4={<63b=<59j168=hn:9;3?xu38mn1<777d34>;j:47919~w16ck3:1>v3;0g79=5b<5=;?;7=ie:p05`42909w0:?f3815f=:<9l?657?;|q74ad=839p19>i2;;3`>;39ko1?kk4=050g?27lk1v9?=8;296~;39;=1>>82wx8=km:187826:>0216bj27:;>=541ga?826=o0?<<5rs5311?6=:r7?=?:520a89175>322<6s|41gb>5<5s4>:>9460e9>04ea2:ln7p};0e:94?4|5=:o;7<=<9n37p};1e394?5|5=:o;7<>c:?75a6=:8i01<9:1;62`4=z{=:o;7>57z?74a1=19n01<9;f;63`2=:9>8h69>k7:?236?=<9n<70?830874a1<58=8<7:?d69>522b2=:o;6s|4001>5<5s4>:><4=1b9>0444213;7p};0d;94?4|5=;9=77?d:?75fb=;oo0q~:?c`83>0}:<8n;64>:;<340c<38jk01<9<1;63gd=:9>9369>la:?231b=<9ij7p};1e294?2|5=;o<77?d:?2244=<8n;70?92d875a6<5:=>57:?0:p047a2909w0:>1d815f=:<88;657?;|q74`>=83?p19?>e;;3`>;39ji1?kk4=536b?27827:;97541g;?870:53;2>;6?::18<69;<340`<391<0q~:>1683>7}:<8;=6??l;<625d<0?:1v9>j6;296~;398<15=j4=53`e?5am2wx82143ty?754905c234;<8:4;0d78917d039mi6s|403`>5<5s4>:=o4=1b9>047c213;7p};0d594?4|5=;:n77?d:?75fd=;oo0q~:>ag83>45|5=;j:7<>c:?75d3=:8i019?n4;02g>;39h91>c:?75db=:8i019?nc;02g>;39hh1>c:?7554z?75d0=19n01<>;<3473<39090q~:>9383>6}:<8k>64>k;<62g2<4no168?>=:522?xu390;1<7=t=53b0??7l27?>=>54138917d=39mj6s|40;3>5<3s4>:m>460e9>57`>2=;2<63;1ga9057<5=;h?7=if:p04>a290=w0:>a38:4a=:9;l269?7f:?236c=<82m70?83g875=`<5=;m47:?1:?75f7=;ol0q~:>8d83>6}:<8k:64>k;<62b1<388168;=6s|40:`>5<3s4>:5k460e9>04db2:lm70?83b875=e<5=;nn7:?1:p04?d290>w0:>ad8:4a=:9>>869?6c:?2365=<83h70:>5g80b`=:<;<<69>>;|q75;39jl1?kh4=5056?2792wx8<7n:180826ij0216634>:oi413:1:v3;1``9=5b<5=;ho7=if:?750`=;ol01<9;9;62=<=:9>>j69?69:?760g=<9;0q~:>9983>6}:<8kj64>k;<62gg<4no168?;9:522?xu390=1<7=t=53b=??7l27?=no53gd89142:3>;=6s|40;5>5<2s4>:m5460e9>52212=;2:63>755904?134>98h4;009>04e?2:lm7p};18794?3|5=;j;77?d:?2313=<83>70?82`875<3<58=8?7:>949>07252=::7p};18694?2|5=;2i77?d:?74fd=;ol019?;e;1eb>;3:8<18=?4}r6216634>:ni404c02=::70?837875=d:046b2;;h70:>118;=5=z{=:n87>54z?755c=19n01<9;5;63a1=:9>8j69>j4:?2365=<9o?7p}=f2;94?2|5=;9h7<;d:?1b6?=19n01?hj9;1eb>;398218=>4}r626g<72;q68<2b8:4a=:<8;86>hi;|q755e=838p19>i1;02g>;399n144>4}r63a6<72:q68=h>:82g?827kk08jh52406f>1673ty?;hl4?:4y>05cd20:o70:>4780b`=:<8>n6>hj;<62fa<4nl16=:=9:52ge>{t<8>?6=4={<623g<5{t<82?6=4>3z?752d=:8i019?8a;02g>;39>31>38:o63;167964e<5=;<87<>c:?75=5=:8i019?72;02g>;391;1>c:?7525=:8i019?82;02g>;6?=n18<6;;|q753>=839p19?8b;;3`>;399h1?kk4=5033?5an2wx8<:=:181826?h098i521662>173:2wx8<88:180826?h026`b34>9v3;16;961b<58=?<7:>419~w171>3:1?v3;16;9=5b<5=;;?7=ie:?7656=;ol0q~:>3d83>7}:<8=36?:k;<347c<39:o0q~:>6483>6}:<8=364>k;<6245<4nl168k5401`?xu39?>1<7=t=5343??7l27?5<5s4>:;;4=4e9>525c2=;8m6s|4040>5<4s4>:;;460e9>05`f2:ln70:>f580bc=z{=;847>52z?7523=:=n01<97>53z?7523=19n019>i7;1ea>;39o:1?kh4}r6273<72;q68<9;:36g?870;k0?=>84}r6224<72:q68<9;:82g?827n=08jh5240ga>6`a3ty?=8j50;0x917?;38?h63>75a9043c3ty?=:?50;1x917?;33;h63;13;97cc<5=8=;7=if:p043e2909w0:>83810a=:9>>i69?:b:p04172908w0:>838:4a=:<88=6>hj;<6127<4no1v9?:9;296~;391;1>9j4=057e?26=01v9?9f;297~;391;15=j4=5317?5am27?>8k53gd8yv26=>0;6?u240:3>72c34;<844;1458yv26>l0;6>u240:3><6c34>:>=4073f2:lm7p};14794?4|5=;=<8?>7p};17f94?5|5=;{t<8?86=4={<623`<5{t<88m168?;=:2de?8269108jh52403:>6`a3ty?=8?50;0x9170l38?h63>754904363ty?=;l50;6x9170l33;h63;25g97c`<5=;:?7=ie:?7542=;ol0q~:>4g83>7}:<8=h6?:k;<3400<39=l0q~:>6`83>6}:<8=h64>k;<6255<4nl168?:=:2de?xu39=n1<700;6>u24050><6c34>:07712:lm7p};12794?4|5=;<>7<;d:?75gb=00:0q~:>6183>6}:<8=964>k;<63b5<4nl168>520a89414i3>:?<5rs531b?6=:r7?=>:591f8944>l3>:>k5rs531a?6=:r7?=>>591f8944>n3>:>h5rs533e?6=:r7?==7520a89177j322<6s|41g1>5<4s4>:<4460e9>57`d2=:n>63>724905c53ty?==950;0x9177<38:o63;11:9<<6;i<4?:3y>046320:o70:>c680b`=z{h296=4={v3n7b8174=:942>=870?<5c8b<4=z{h2?6=4={<623ty9>84?:2y>e=5=;on01?<::84a?[45=2wxm5750;0x9d>?2;>o70o79;;3`>{t:>2?6=46{<04<5<5:9i:36g?840?l098i52265g>72c348<;n4=4e9>621e2;>o70<87`810a=::>=26?:k;<37ba<5?1>0q~?l8383>6}:9l;96?:k;<3`<0<>8m16=no>:2de?xu6m8?1<7:t=0g26?46k27:i<=520a894c6<38:o63>e049<<65`7520:o70?ke380b`=:9mnn6>hi;<3ga5<4no16=ik>:2de?xu6k191<7=t=0g27?43l27:o58591f894ef:39mj6s|1d33>5<4s4;n=>460e9>5abc20?270?kdg8:1<=z{8i387>53z?2a42=:=n01;6kh91?kh4}r3f54<72;q6=h?;:82g?87clj02945rs0fae?6=:r7:ho7522c89422:3;onl5rs0fa=?6=1r7:ho7591f894eb939mj63>ceg97cc<58n<=7=ie:?2g`2=<9;01<::4;3gf<=:9:9<6{t9mh=6=4={<3gf0<5;h16=9;>:0fa2>{t9mh>6=4:{<3gf0<>8m16=i9>:523?87dm=08jk521577>4be=27:i72c34;n=l460e9>5`712:lm70?;5182a4g<58>>>7?j1`9>513628o:m6s|1b:2>5<>s4;n=:4=1b9>5`712:ln70?;5582g=7<58o:j7:?1:?2006=9j2:70?;5382g=7<58>>=7?l809>513428i3=63>cbf9325e15966g<58o;:7<;6m991>>o4=0g36?44i27:i=?522c894c78388m63>dgd966g<58nmi7<;6lo:1>>o4=0ffa?44i27:hk=522c894bbl388m63>dg0966g5`7f2;9j70?;5282a4d5`7c2;>o70?j1g8;=5=z{8o9<7>52z?2a4b=:8i01<::4;3f65=z{8o:h7>526y>5`7c20:o70?56c728o:h63>3ef95`7c34;8hl4>e0f8945c?3;n=i5212f7>4c6l27:?i?51d3g?874kl0:im7:0g2`>;6;j?1=h?k;<30g7<6m8n01<=mf;3f5a=:9:hh6d:?27g?=9l;o70?56d728o:h63>3`f95`7c34;8ml4>e0f8945f?3;n=i5212c7>4c6l27:?l?51d3g?8741l0:i77:0g2`>;6;0?1=h?k;<30=7<6m8n01<=7f;3f5a=:9:2h6d:?27=?=9l;o70?<8782a4b<5893?7?j1e9>56>728o:h63>36f95`7c34;8;l4>e0f89450?3;n=i5rs0fa4?6=525f894be833;h63>44095ad734;?9<4>dc28yv7cj:0;6?u21e`3>75f34;?9=4>dc18yv7b8l0;69u21e`3>77d34;ohn48729>513528o;i63>44395`6b3ty:hkj50;6x94bci3>=<63>e159=5b<58nnh7<;d:?2a5?=;oo0q~?kce83>7}:9mnj6?<<;<3gga<0?:1v<3>34;n<;460e9~w4bdj3:1?v3>de;9675<58nhh7<=3:?2`fd=?>90q~?kec83>7}:9mn26:9<;<3f43<54=0f`f??2127:i=;591f894bbn38?h63>deg97cc5ab?2;8870?kcc8166=:9mi26:9<;|q2``g=838p1;6m9?1>9j4}r3gbd<72:q6=ij8:543?87ck00294521d27><6c3ty:hn950;1x94bc?389?63>db;9675<58nh;7983:p5ac>2909w0?kd68436=:9l:?6?:k;|q2`c?=83?p1;6lj=15874=0g37??7l27:hk<525f894c7j39mi6s|1ea6>5<4s4;oh;4=229>5ae02;8870?kc48436=z{8nn47>52z?2`a0=?>901{t9ml36=4<{<3g`0<3>916=im::87:?87b8;02db193255ab22>=870?j03810a=z{8nm;7>55z?2`a2=;6m9;15=j4=0ffa?43l27:hh>53gg8yv7ck80;6>u21ef7>74434;oo>4=229>5ae62>=87p}>dd494?4|58no87983:?2a57=:=n0q~?kf783>0}:9mn8698?;<3gg4<>=016=h>?:82g?87cn:098i521d2g>6`b3ty:hoh50;1x94bc;389?63>db39675<58nij7983:p5ac22909w0?kd28436=:9l:;6?:k;|q2`c3=83?p1;6lkl15874=0feb??7l27:hk?525f894bb939mi6s|1e`f>5<4s4;oh?4=229>5ada2;8870?kbd8436=z{8nn87>52z?2`a4=?>901{t9mim6=4<{<3g`4<3>916=ilj:87:?87cl9035=5rs0ff7?6=:r7:hi?5761894bam38?h6s|1eg3>5<5s4;ohi45ac7213;7p}>deg94?4|58noh7=if:?2`ac=00:0q~?kde83>7}:9mno6:9<;<3g`f<4no1v>82wx=ik>:18187clo08jk521eg2>=?73ty:hih50;0x94bcn3=dea97cc5a`b20:o70?kf1810a=:9mo96>hi;<3g`5<4nl1v:18187cn8021673ty:hk>50;0x94ba833;h63>dd090565acb20:o70?ke18745=z{8nm?7>52z?2`c5=19n01{t9moo6=4={<3gaa<>8m16=h>6:2de?xu6lo81<772c34;hi<47919~w4eb:3:1>?u21bfe>77d34;o8h4=1b9>5a2a2;;h70?k5`815f=:9m<>6??l;<3g2=<59j16=i86:33`?87c>h09=n521e4a>77d34;o:n4=1b9>5a0c2;;h70?k51815f=:9m?:6??l;<3g17<59j16=i;<:33`?87c==09=n521e76>77d34;o9;4=1b9>5a302;;h70?k59815f=:9m?26??l;<3g1g<59j16=i;l:33`?87c=m09=n521e7f>77d34;o9k4=1b9>5a072;;h70?k60815f=:9m<96??l;<3g26<59j16=i8;:33`?87c>?09=n521e44>77d34;?8h4>cd08yv7dlo0;6?u21bfe><6c34;?8k4>ced8yv7c:00;6<8t=0f1=?43l27:h?7591f894ee939mi63>cd39056<58i3m7:?0:?2gg4=;oo01;6kmo1?kh4=067f?7c:016=i9>:522?8736`b34;88;4>d3;894een33>563>cc:9=0?<58ihn7=if:?2ggb=1<301;6kji1?kk4}r3g6f<72;q6=i<6:33`?87c:j035=5rs0f5a?6=:r7:h9k525f894b1n322<6s|1e0f>5<5s4;o8h460e9>5a>12:lm7p}>d9794?4|58n?j7<;d:?2`=0=00:0q~?k3883>7}:9m>m64>k;<3g=f<4no1v9j4=0f:g?>>82wx=i:;:18187c=h026`a3ty:hl?50;0x94b1=38?h63>d`09<<65a0220:o70?ka580bc=z{8nj?7>52z?2`3>=:=n01{t9m>36=4={<3g2=<>8m16=io9:2de?xu6lh?1<7<6c34;om54v3>d7c961b<58nj47660:p5a2f2909w0?k6`8:4a=:9mkj6>hi;|q2`d?=838p1;6lhk144>4}r3g0g<72:q6=i8m:82g?87dk<08jk521ec`>6`a3ty:hll50;0x94b1k38?h63>d`a9<<65a0d20:o70?kad80bc=z{8njh7>52z?2`3b=:=n01{t9m>o6=4={<3g2a<>8m16=i9=:2de?xu6l>:1<7<6c34;o;94v3>d43961b<58n<87660:p5a572909w0?k508:4a=:9m==6>hi;|q2`23=838p1;6l><144>4}r3g74<72;q6=i;=:82g?87c?108jk5rs0f43?6=:r7:h8=525f894b00322<6s|1e11>5<5s4;o9>460e9>5a1f2:lm7p}>d6;94?4|58n>87<;d:?2`2g=00:0q~?k3283>7}:9m??64>k;<3g3f<4no1v9j4=0f4g?>>82wx=i=;:18087c=<0216734;o;h4v3>d44961b<58nhi;|q2`2`=838p1;6l1:144>4}r3g73<72;q6=i;8:82g?87c0;08jk5rs0f;5?6=:r7:h86525f894b?:322<6s|1e14>5<5s4;o95460e9>5a>32:lm7p}>d9194?4|58n>57<;d:?2`=2=00:0q~?k3983>7}:9m?264>k;<3g<=<4no1v9j4=0f;>82wx=i=n:18187c=k026`a3ty:h5750;0x94b2k38?h63>d9c9<<65a3d20:o70?k8b80bc=z{8n3n7>52z?2`0b=:=n01{t9m9h6=4={<3g1a<>8m16=i6j:2de?xu6l1n1<7u21e7f><6c34;ho945a?72:lm7p}>d9d94?4|58n>j7<;d:?2`<6=00:0q~?k3d83>7}:9m?m64>k;<3g=7<4no1v9j4=0f:6?>>82wx=i=i:18187c>9026`a3ty:h4=50;0x94b1938?h63>d869<<65a0620:o70?k9780bc=z{8n297>52z?2`34=:=n01{t9m>:6=4={<3g27<>8m16=i77:2de?xu6l0=1<7<6c34;o5l413:1>v3>d76961b<58n2m7660:p5a242909w0?k658:4a=:9m3n6>hi;|q2`;6l0o144>4}r3g00<72;q6=i89:82g?87ci908jk5rs0f:b?6=:r7:h;9525f894bf8322<6s|1e65>5<5s4;o::460e9>5fe32=:;7p}>26794?4|58n;;7<;d:?266e=00:0q~?k2683>77|58n;;7<>c:?2`5>=:8i013;02g>;6l8o1>d36964e<58n997<>c:?2`70=:8i01;6l9k1>d1g964e<58n;j7<>c:?2`46=:8i011;02g>;6l881>38:o63>d05964e<58n:47<>c:?2`4?=:8i01a;02g>;6l8h1>d32964e<58>?j7?k269~w4eb?3:1?v3>d159=5b<58n;47<;d:?2gd6=<9:0q~?lf383>6}:9m:364>k;<3g56<5:523?xu6kon1<7=t=0f27??7l27:h;<6s|1e23>5<4s4;o=h460e9>5a462;>o70?la28745=z{8n;=7>53z?2`77=19n01;6kh>18=>4}r3g47<72:q6=i<=:82g?87c::098i521bc6>1673ty:h==50;1x94b5;33;h63>d36961b<58ij:7:?0:p5a632908w0?k258:4a=:9m8>6?:k;<3`e2<3891vu21e05><6c34;o<44=4e9>5fg>2=:;7p}>cd:94?5|58n;577?d:?2`5g=:=n01<;?f;3`a==z{8in57>53z?2`5g=19n01;6=8?1=nk6;|q2g`g=839p1;6l9i1>9j4=072b?7dmh1v50295fcc3ty:ohk50;1x94b7n33;h63>d02961b<58?:=7?led9~w4ebn3:1?v3>d029=5b<58n:=7<;d:?2144=9jom7p}>cg294?5|58n:=77?d:?2`44=:=n01<;>3;3`b5=z{8im=7>53z?2`44=19n014;07`>;6=8>1=nh>;|q2gc5=839p14;;3`>;6l8?1>9j4=0722?7dn:1v50;95f`13ty:ok950;1x94b6033;h63>d0;961b<58?:m7?lf69~w4ea03:1?v3>d0;9=5b<58n:m7<;d:?214d=9jl37p}>cg;94?5|58n:m77?d:?2`4d=:=n01<;>c;3`b<=z{8imm7>53z?2`4d=19n01c;07`>;6=8n1=nhn;|q2gcd=839p1c;;3`>;6l8n1>9j4=072a?7dnk1v51bd`?xu6koo1<7=t=0f2b??7l27:h?>525f8943593;hjh5rs0aeb?6=:r7:h?>591f89435:3;hjk5rs0af2?6=:r7:oh;525f894ed;3=5<5s4;hi84=1b9>5fbb213;7p}>cd794?40s4;hi8460e9>a`2=;ol01hj8:2de?8cdi39mj63jbe80bc=:mk:1?kh4=dc0>6`a34o2:7=if:?f<<<4no16i:m53gd89`0a2:lm70k92;1eb>;b=<08jk52e5:97c`<5l9i6>hi;<4a42=;ol01h>8:2de?8bai39mj63kee80bc=:ll:1?kh4=ef0>6`a34nh:7=if:?gf<<4no16hlm53gd89a?b2:lm70j62;1eb>;c0<08jk52d6:97c`<5mhi;`12=;ol01i=8:2de?8b5i39mj63k1e80bc=:l8:1?kh4=e21>6`a3ty:oll50;0x94ec<38?h63>cc39<<65fb32;;h70?ld4815f=:9jn=6??l;<3``2<59j16=nj7:33`?87dl009=n521bf`>2143ty:onk50;5x94ec<33;h63>cc397c`<58ii>7=if:?2gg5=<9:01<=?8;3`g`=:9=>o67}:9jn>6?:k;<3`f74edn27:oo954128yv7dim0;6?u21bf5>72c34;hn>47919~w4ec83:19v3>ce49=5b<58ii?7=if:?275>=9jn;70?;4e82ga6<58ii;7=ie:p5fgb2909w0?ld6810a=:9jh36:9<;|q2ga7=83;6<=i1=nj>;<370a<6km;01<=<7;3``4=:9jh<6>hi;<3`ff<4no1v9j4=0aa`?10;2wx=nj=:18487dl1024ec:27:?>951bf1?87dk908jk521baa>16734;hnn45fbe2=:;7p}>cc294?4|58io57<;d:?2gg`=?>90q~?ld283>3}:9jn264>k;<3072<6km901;6:hi1=nj<;<3`gg<4nl16=njm:2de?xu6kj?1<76`a34;ho947919~w4e>93:19v3>cb19=0?<58i2?77?d:?2gd?=;ol01<hi;|q2g<6=83=p1;6kj>1?kk4=0a:6??7l27:ol653gd8944fk3;h5=521b`b>6`b34;hn;4c95964e<58i3:7<>c:?2g=3=:8i01;6k>o1>c`797c`5f>b2;;h70?l96815f=:9=>26b2909w0?l8d8:4a=:9jk=6>hi;|q2g<1=83?p1;6k1k1?kh4=067f?7d1>16=no8:2de?8744e>j2wx=n7k:18087d1o02<8521b;b><6234;?8o4>c8f8yv7d1o0;6?u21b;e><6c34;8844>c8d8yv7d000;6?u21b:;>72c34;h4l47919~w4e?j3:1>v3>c9:966g<58>?;7?l8c9~w4e?03:18v3>c9:9=5b<58>?i7?l899>5a4d2:lm70?ldb80bc=z{8i287>53z?2g<5=:8i01;6<=21=n7;;|q2gp1;6<=k1=n7n;<3003<6k0k01<:;7;3`=d=z{8i53z?2g2c=19n01;6m8l1?kk4}r3b``<72:q6=n8l:36g?87fm8026`a3ty:o;h50;6x94e1k38:o63>c7f964e<58i=i7<>c:?2g26=00:0q~?l6883>0}:9jk;<3`7f<4nl16=n=7:2de?87d;h08jk521b1a>6`a3ty:mih50;1x94e1l38?h63>ad09=5b<58kmi7=if:p5f0f2908w0?l6e8:4a=:9j9<64;6;<3`7<<>=01v9j4=0cf7??7l27:mkh53gd8yv7d>k0;6?u21b4f><6c34;h?;46589~w4e6<3:1>v3>c01966g<58>?>7?l159~w4e6;3:15v3>c019=5b<58h8n7=if:?2f6>=;oo01;6j:o18=?4=0670?7d9:16=>=7:0a27>;6j;=15874=0`01?5am2wx=n??:18187d8o09?l521562>4e682wx=n>i:18687d8o0216734;i?h4512328i;j63>c6;97c`5f162;>o70?l758:4a=:9j=;6>hi;<3705<6k>>01<:;2;3`31=:9=>:6hj;<3701<6imn01;6<=:1=ljk;<3707<6imn01<:;1;3b`a=:9=>8690q~?l7083>44|58i<=77?d:?2g37=::k01;6k>o4=0a6a?44i27:o8j522c894e2k388m63>c4`966g<58i>m7<;6k=31>>o4=0a7f?44i27:o9o522c894e30388m63>c5f966g<58i?;7<7}:9j=?6?=n;<3706<6k>?0q~?l7983>7}:9j=<6?:k;<3`3<=1>9j4=0a3e??7l27:89<51b2b?873<80:o=o4}r3`4a<72;q6=n>n:31b?873<90:o=j4}r3`2=<72=q6=n>n:33`?87d;?0<;>521561>4e1027:89?51b4;?xu6k<=1<7:t=0a00?21827:o;?591f894e3?38?h63>c7197cc:4?:3y>5f532;8870?l268436=z{8i?:7>52z?2g62=?>901{t9j?=6=4<{<3`76<3>916=n<8:87:?87d>902=5231894e5?389?63>c3793255f542>=870?l61810a=z{8i>97>55z?2g64=;6k653gg8yv7d::0;6>u21b11>74434;h>84=229>5f442>=87p}>c5694?4|58i8>7983:?2g0`=:=n0q~?l5583>6}:9j9:698?;<3`66<>=016=n;j:82g?xu6k;;1<7=t=0a05?45;27:o?=5231894e593=5<5s4;h?<48729>5f3b2;>o7p}>c4194?3|58i8<7:90:?2g77=1<301;6k=i1>9j4=0a51?5am2wx=n?i:18087d;909>>521b02>74434;h=k48729~w4e3:3:1>v3>c229325<58i>h7<;d:p5f352908w0?l2g8725=:9j;m64;6;<3`1f<>8m1vd;297~;6k;l1>?=4=0a2b?45;27:o21434;h9n4=4e9~w4e293:19v3>c3g9036<58i:h77:9:?2g0d=19n01;6k:k1?kk4}r3`5g<72:q6=n>521b3a>2143ty:o9>50;0x94e5m3=c4`961b5f4c2=<;70?l1c8:1<=:9j?j64>k;<3`0a<55<5s4;h>i48729>5f3f2;>o7p}>c5d94?3|58i9o7:90:?2g4?=1<301;6k=h1>9j4=0a0f?5am2wx=n?7:18087d:j09>>521b3:>74434;h=548729~w4e4m3:1>v3>c3a9325<58i>57<;d:p5f4>2908w0?l2c8725=:9j;364;6;<3`6d08jh521b1b>=?73ty:o>650;0x94e4?39mj63>c2:9<<65f502>=870?l3780bc=z{8i8o7>52z?2g6?=;oo01{t9j9i6=4={<3`7<<4no16=n=m:9;3?xu6k:31<7853gg8yv7d<6c34;h8l4=4e9>5f5d2:lm70?l2`80b`=z{8i?57>52z?2g1?=19n01{t9j>i6=4={<3`0g<>8m16=n=m:523?xu6k=k1<7m54128yv7d<10;6?u21b6;><6c34;h?l4;019~w4e3l3:1>v3>c5f9=5b<58i=;7=if:p5f202909w0?l468:4a=:9j<86>hi;|q2g1e=838p1;6k??1?kh4}r3a7d<72;q6=o=6:36g?87e;k035=5rs0`0g?6=:;q6=o=6:33`?87ei109=n521cc:>77d34;in94=1b9>5gda2;;h70?mc3815f=:9ki86??l;<3ag1<59j16=om::33`?87ek?09=n521ca4>77d34;iml4=1b9>5gge2;;h70?mab815f=:9kko6??l;<3ae`<59j16=ooi:33`?87ej909=n521c`2>77d34;in?4=1b9>5gd42;;h70?mb4815f=:9kh=6??l;<3af2<59j16=ol7:33`?87ej009=n521c`b>77d34;ino4=1b9>5gdd2;;h70?mbe815f=:9khn6??l;<3ag5<59j16=om>:33`?873;l0:n>m4}r3a7<<72;q6=o=6:82g?873;o0:n>74}r3a<6<728;6j1915=j4=0`3f?5am27:n>l5412894gb>3>;<63>b1a97cc<58h;h7=ie:?2f6>=;ol01<:>;<377`<6j1901;6<:i1=o6<;<3bbf<4no16=o69:2df?874<<0:n5=4=0`2=??2127:n<<594;894d5=39mj63>b059=0?<58h8:77:9:?2f70=;oo0q~?m8783>7}:9k286??l;<3a<39j4=0``=?>>82wx=o67:18187ei1026`a3ty:nih50;0x94df138?h63>bd29<<64?:3y>5gg>20:o70?mf780bc=z{8hm97>52z?2fg2=:=n01{t9k3n6=4={<3af1<>8m16=ohl:2de?xu6joh1<7<6c34;ijh4v3>bb0961b<58hmi7660:p5gg52909w0?mc38:4a=:9j:;6>hi;|q2fc`=838p1;6k9:144>4}r3ae6<72;q6=om<:82g?87d8;08jk5rs0a35?6=:r7:nn:525f894e7:322<6s|1cc7>5<5s4;io9460e9>5f632:lm7p}>c1194?4|58hh97<;d:?2g52=00:0q~?ma483>6}:9ki>64>k;<3a5c<4no16=n>9:2de?xu6k9?1<7<6c34;h<54v3>bb5961b<58i;47660:p5gg02909w0?mc68:4a=:9kih6>hi;|q2ffg=838p1;6jji144>4}r3a<<<72;q6=oon:82g?87ekl08jk5rs0```?6=:r7:nll525f894ddm322<6s|1c:b>5<5s4;imo460e9>5gb72:lm7p}>bbd94?4|58hjo7<;d:?2fa6=00:0q~?m8c83>7}:9kkh64>k;<3a`7<4no1v9j4=0`g6?>>82wx=o6l:18187eim026`a3ty:ni=50;0x94dfm38?h63>be69<<65ggb20:o70?md780bc=z{8ho97>52z?2fd`=:=n01{t9k2n6=4<{<3aec<>8m16=o?i:523?87el108jk5rs0`g3?6=:r7:no>525f894dc0322<6s|1c:e>5<5s4;in=460e9>5gbf2:lm7p}>be;94?4|58hi=7<;d:?2fag=00:0q~?m9183>7}:9kh:64>k;<3a`f<4no1v9j4=0`gg?>>82wx=o7>:18187ej;026`a3ty:nij50;0x94de;38?h63>beg9<<65gd420:o70?me380bc=z{8hn=7>52z?2fg3=:=n01{t9k3?6=4={<3af0<>8m16=ok;:2de?xu6jl91<7<6c34;ii;4v3>bc5961b<58hn:7660:p5g?12909w0?mb68:4a=:9ko36>hi;|q2f`1=838p1;6jl2144>4}r3a=2<72:q6=ol7:82g?87e9l08jk521cgb>6`a3ty:nh750;0x94de138?h63>bdc9<<65gd>20:o70?meb80bc=z{8hnn7>52z?2fgg=:=n01{t9k326=4={<3afd<>8m16=okj:2de?xu6jln1<7<6c34;ij=4v3>bca961b<58hm<7660:p5g?e2909w0?mbb8:4a=:9kl96>hi;|q2fc7=838p1;6jo8144>4}r3a=f<72;q6=olk:82g?87en=08jk5rs0`e7?6=:r7:nok525f894da<322<6s|1c;g>5<5s4;inh460e9>5g`?2:lm7p}>bg594?4|58hh<7<;d:?2fc>=00:0q~?m9g83>7}:9ki;64>k;<3abd<4no1v9j4=0`ee?>>82wx=oo?:18187ek8021673ty:>:l50;0x94d1938?h63>26`9=5bb70964e<58h=h7<>c:?2f2>=:8i01;6j>i1>b92964e<58h=?7<>c:?2f32=:8i01;6j?<1>b7c964e<58h=n7<>c:?2f3e=:8i01;6j?l1>b61964e<58h<87<>c:?2f23=:8i01;6j>=1>aga90565g0520:o70?m6e810a=:9hlo69>?;|q2f01=839p1;6j>21>9j4=0cea?2782wx=o;n:18087e?10272c34;jjk4;019~w4d2j3:1?v3>b6`9=5b<58h6}:9k=h64>k;<3a3a<5>:523?xu6j;<6s|1c7f>5<4s4;i;h460e9>5g1a2;>o70?m028745=z{8h>j7>53z?2f2`=19n01;5i0818=?4}r3a25<72:q6=o6?:82g?87e>:098i522`;7>1663ty:n9<50;1x94d1;33;h63>b76961b<58?997?m439~w4d3;3:1?v3>b769=5b<58h=97<;d:?2170=9k>87p}>b5694?5|58h=977?d:?2f30=:=n01<;<0;3a01=z{8h?97>53z?2f30=19n01;6=:>1=o::;|q2f10=839p1;6j?21>9j4=0701?7e851c64?xu6j=21<7=t=0`5=??7l27:n;o525f89434?3;i855rs0`7=?6=;r7:n;o591f894d1j38?h63>52:95g2>3ty:n9o50;1x94d1j33;h63>b7a961b<58?857?m4`9~w4d3j3:1?v3>b7a9=5b<58h=i7<;d:?216g=9k>i7p}>b5f94?5|58h=i77?d:?2f3`=:=n01<;=7;3a0a=z{8h?i7>53z?2f3`=19n01;6=;21=o:j;|q2f1`=839p1;6j>;1>9j4=071=?7e;15=j4=0`46?43l27:9?o51c73?xu6j<;1<7=t=0`46??7l27:n:=525f89435j3;i9<5rs0`66?6=;r7:n:=591f894d0<38?h63>53a95g353ty:n8=50;1x94d0<33;h63>b67961b<58?9h7?m529~w4d2<3:1?v3>b679=5b<58h<:7<;d:?217c=9k??7p}>b4794?5|58h<:77?d:?2f21=:=n01<;=f;3a10=z{8h>:7>53z?2f21=19n01;6=:;1=o;9;|q2f0>=839p1;6j>k1>9j4=0706?7e=11vk15=j4=0707?7e=01v9j4=0`2`?10;2wx=o=8:18187e;o09=n521c1;>=?73ty:n=;50;0x94d5m38?h63>b1`9<<65g4b2;;h70?m2g815f=:9k9;6??l;<3a74<59j16=o==:33`?87e;:09=n521c15>2143ty:n?650;5x94d5m33;h63>b1`97c`<58h;o7=if:?2f5b=<9:01<=>3;3a6==:9=9o67}:9k8m6?:k;<3a4f452151g>4d5127:n0;6?u21c13>72c34;ib229=5b<58h;h7=if:?2745=9k8j70?;3e82f7g<58h:=7=ie:p5g6?2909w0?m30810a=:9k;96:9<;|q2f7d=83;6<:i1=ohi;<3a53<4no1v9j4=0`23?10;2wx=o4d5k27:?>651c0`?87e9h08jk521c06>16734;i=;45g522=:;7p}>b1c94?4|58h8?7<;d:?2f4?=?>90q~?m2e83>3}:9k9864>k;<307=<6j;n01a;1ea>;6:hn1=o6`a34;i=h47919~w4gbl3:19v3>b0f9=0?<58knj77?d:?1e<2=;ol01<hi;|q2e`e=83=p1f;1ea>;6j8o1?kk4=0cfa??7l279m4<53gd8944fl3;jin521c37>6`b34;i==4ad1964e<58kn>7<>c:?2e`7=:8i01;6imk1>b1397c`5dcf2;;h70?nf2815f=:9=926hi;|q2ec5=83?p1;6il<1?kh4=060f?7fn:16=o><:2de?874<<0:mk=4}r3bb2<72:q6=lhm:31b?87fn?09?l52151b>4ga?2wx=lh6:18087fnk02<8521`d5><6234;??o4>ag;8yv7fnk0;6?u21`da><6c34;8844>ag`8yv7fm<0;6?u21`g7>72c34;ji;47919~w4gb?3:1>v3>ad6966g<58>8;7?ne69~w4gb<3:18v3>ad69=5b<58>8i7?ne59>5g>12:lm70?m3780bc=z{8km<7>53z?2e``=:8i01;6<:21=lh?;|q2ec0=83>p1;6<:k1=lh9;<3000<6io<01<:<7;3bb3=z{8kom7>53z?2eag=19n01;6k>31?kk4}r1375<72:q6?=mn:36g?857;90<;>5214::>66482wx?=mn:181857kh021673ty850;0x966b938?h63<04:932575c62;;h70=?e3815f=:;9o?6??l;<13a0<59j16?=k9:33`?857m>09=n5231g;>77d349;i44=1b9>75cf2;;h70=?ec815f=:;9o86??l;<36<<<48li0q~=?d783>3}:;9o:64>k;<13<3<>=016?=o::543?8720:0872c349;9o48729~w66c03:1:v3<0d09=5b<58?3?7=?d99>752320?270=?5c8725=:;93?6>hj;<13<0<6>j1v>>m6;296~;48l>1>9j4=226g?10;2wx?=j6:185857m=0266c1278<98594;89662k3>=<63<08497cc<5::397?9d:p75d?2909w0=?e4810a=:;9?o6:9<;|q04ag=83>j5;;3`>;6=1>1?=jn;<130=<>=016?=;k:543?8571108jh5231:6>40b3ty838?h63<04g932575c120:o70?:84804ad<5::?m77:9:?040c=>6a;1ea>;481?1=;h4}r13ff<72;q6?=k8:36g?857=o0<;>5rs22gg?6=>r78<3>349;9k4;619>75?d2:ln70=?848235=z{::ii7>52z?04`>=:=n01>>90;547>{t;9no6=49{<13a=<>8m16=869:22g`>;48=o15874=2254?218278<4k53gg8966?=3;<=6s|31a3>5<5s49;i44=4e9>75062>=87p}<0eg94?0|5::n577?d:?21=0=;9nn70=?518:1<=:;9<:698?;<13e5<4nl16?=6::045?xu48j81<7<6c34;>4:4<0ed89662:33>563<0709036<5::j>7=ie:?04=3=9?=0q~=?c583>7}:;9oi6?:k;<1326<0?:1v>>j0;292~;48lh15=j4=07;3?57m916?=;;:87:?857>:0?:=5231c7>6`b349;484>699~w66e:3:1>v3<0d1961b<5::>57983:p75b0290>w0=?e28:4a=:;99=6>hj;<131<<3>916?=7=:2df?8570<0::45rs22a5?6=:r78575`f2;;h70=?fb815f=:;9lo6??l;<13b`<59j16?=hi:33`?8568909=n523022>77d349:74642;;h70=?fc815f=:;9i3657?;|q04`c=83?p1>>i9;;3`>;6=191?=kj;<137a<4nl16?=97:2df?8570<0:8l5rs22a1?6=:r785<1s49;jl460e9>50>42::m<63<0779=0?<5::>75;37f>{t;9h<6=4={<13bf<5>029452315g>107349;?h475>228>h7p}<0c;94?4|5::mh7<;d:?042c=?>90q~=?f383>3}:;9lo64>k;<36<1<48o801>>99;;6=>;48>o18;>4=220a?5an278<5;515f8yv57jk0;6?u231df>72c349;;k48729~w66a;3:1:v3<0gg9=5b<58?397=?f29>750e20?270=?7g8725=:;99m6>hj;<13<0<6>md;296~;48ol1>9j4=22;4?10;2wx?=h;:185857no0266a<278<;j594;8966?83>=<63<02d97c`<5::397?;f:p75da2909w0=>01810a=:;92:6:9<;|q04c3=83??0;;3`>;6=1<1?=h:;<132c<>=016?=6>:543?857<908jh5231:6>4373ty8746620:o70?:87804c0<5::<=77:9:?04=4=>;0;1eb>;481?1=9;4}r13g6<72;q6?<>=:36g?8570:0<;>5rs22e3?6=>r78==<591f8943??39;j:523150><3>349;4>4;619>75262:ln70=?848203=z{::h97>52z?0555=:=n01>>74;547>{t;9l36=49{<1246<>8m16=868:22e<>;48>?15874=22;0?218278<9?53gd8966?=3;?;6s|31`0>5<5s49;jo4=4e9>751f2>=87p}<0dd94?3|5::mn77?d:?0460=;ol01>>8a;654>;48=81?kk4=22;1?7302wx?=69:1808570?0<;>5231:;>744349;m:4=229~w66dj3:1>v3<0`79325<5::8h7:?0:p75?42908w0=?898:1<=:;9k<698?;<13=1>78;297~;48121;:=4=22;=?45;278214349;?i4;009~w66>=3:1?v3<09;9=0?<5::j47:90:?04<0=00:0q~=?8883>6}:;9226:9<;<13k54128yv571>0;6>u231:b><3>349;m44;619>75??213;7p}<09c94?5|5::3m7983:?04=d=:;901>>na;017>{t;9im6=4={<13e<<0?:16?==j:522?xu48031<7=t=22;f??21278i322<6s|31:a>5<4s49;4o48729>75>d2;8870=?ac8166=z{::o<7>52z?04dg=?>901>>{t;93i6=4<{<13=016?=om:543?8571j035=5rs22;g?6=;r78<5m57618966?l389?63<0`a967575ge2>=870=?3g8744=z{::2h7>53z?04=b=1<301>>nc;654>;480o144>4}r13>5231cg>7443ty875>b20?270=?ae8725=:;9k;657?;|q04=c=839p1>>7e;547>;481l1>?=4=22ba?45;2wx?=j<:181857im0<;>523163>1663ty8563<0`g9036<5::j>7660:p75>a2908w0=?8g8436=:;93;6?<<;<13ec<5::1v>>k4;296~;48ho1;:=4=2275?2782wx?=o<:1808571902945231ce>107349;m947919~w66>83:1>v3<0829325<5::j:7<=3:p75b22909w0=?ag8436=:;9>:69>>;|q04<7=838p1>>n6;654>;4808144>4}r13gf<72;q6?=o9:650?857<;08jk5rs2205?6=:r7:95=53112?857:k02945rs221f?6=:r785<5s4;>494<02089665k33>56s|310`>5<5s49;>n48729>754c2;887p}<02194?4|58?397=?329>754c20?27p}<03f94?4|5::9h7983:?047c=:;90q~=?3583>7}:9<2=6>><4:?047c=1<30q~=?2d83>7}:;98n6:9<;<136c<5::1v>><5;296~;6=1=1?==:;<136c<>=01v>>=f;296~;48;l1;:=4=2204?45;2wx?==9:181857;?035=523113><3>3ty8<8850;1x9663;33>563<04:9036<5::>;7660:p75242908w0=?428436=:;9>?6?<<;<131g<5::1v>>;4;297~;48=>1;:=4=2272?45;278<8m52318yv57u23165>214349;854=229>753c2;887p}<05:94?5|5::?47983:?041g=:;901>>:e;017>{t;9>j6=4<{<130d<0?:16?=:l:300?857=o09>>5rs227g?6=;r78<9m576189663m389?63<0729675752b2>=870=?518166=:;9<:6?<<;|q0406=839p1>>:0;547>;48<81>?=4=2256?45;2wx?=;=:180857=;0<;>523177>744349;:>4=229~w662<3:1>v3<0469325<5::>57<=3:p75102908w0=?658:1<=:;9=2698?;<133=>94;297~;48?>1;:=4=2251?45;278<:m52318yv57><0;6>u23146>214349;::4=229>751c2;887p}<07594?5|5::=;7983:?043?=:;901>>8e;017>{t;9<26=4<{<132<<0?:16?=8m:300?857?o09>>5rs225f?6=;r78<;l576189661l389?63<0929675750c2>=870=?6g8166=:;92:6?<<;|q043`=839p1>>9f;547>;48>;1>?=4=22;6?45;2wx?=9>:180857?80<;>523150>744349;4>4=229~w660;3:1?v3<0619325<5::<97<=3:?04=2=:;90q~=?7483>7}:;9=>6:9<;<133d<5::1v>>4=2203??212wx?==8:181857;>0<;>52311;>7443ty8<>k50;0x9664m322<63<02:9=0?755?2>=870=?388166=z{::8j7>52z?046`=00:01>><9;;6=>{t;9926=4={<137<<0?:16?==n:300?xu48=:1<7>8278<>o594;8yv57;h0;6?u2311b>214349;?o4=229~w66393:1>v3<0539<<6<5::8n77:9:p755e2909w0=?3c8436=:;99h6?<<;|q0414=838p1>>;2;::4>;48:i15874}r137f<72:q6?==l:650?857k108jk5214:;>664k2wx??=k:181855;j09?l5214cb>644l2wx??=l:185855;j026`a349:5k450>f2:88o63>5bf9775d34;>n:4<22a8yv55?<0;6?u2336e>72c3499;;47919~w644n3:18v3<25d9=5b<5:;mi77:9:?067e=52z?0606=:=n01><74;::4>{t;;>=6=4<{<1115<>8m16=8ok:2072>;4:8h1?kk4}r11<0<72;q6??;8:36g?8550?035=5rs2073?6=;r78>89591f8943fl3998:52333g>6`b3ty8>5950;0x9642038?h63<29:9<<6773?20:o70?:ae8061><5:8:j7=ie:p77>>2909w0==58810a=:;;2j657?;|q061?=839p1><:9;;3`>;6=hi1??:6;<1164<4nl1v><7b;296~;4:9j4=20;g?>>82wx??:n:180855=h02643i278>?=53gg8yv550m0;6?u2337a>72c34994h47919~w643j3:1?v3<24`9=5b<58?jo7==4c9>77422:ln7p}<29d94?4|5:8>o7<;d:?06<6=00:0q~==4b83>6}:;;?h64>k;<36ef<4:=i01><=7;1ea>{t;;3:6=4={<111a<58k525f8964><322<6s|336f>5<4s4999h460e9>50ga2:8?i63<23`97cc77362;>o70==798;=5=z{:8?<7>53z?0607=19n01<;nf;1105=:;;:m6>hj;|q062?=838p1><:2;07`>;4:>k144>4}r1104<72:q6??;=:82g?872io08>9?4=2025?5am2wx??9m:181855=:098i52335`>=?73ty8>9<50;1x9642;33;h63>5`g977253499=>4v3<246961b<5:8<;3:?0643=;oo0q~==7g83>7}:;;?>6?:k;<11<5<;4;297~;4:5<58828yv55<<0;6>u23375><6c34;>mh4<25789646139mi6s|30df>5<4s49:jh48729>77622;8870==328166=z{:8>j7>52z?067e=?>901><86;634>{t;;;j6=4<{<1140<>=016??=<:543?8559k035=5rs2031?6=;r78>=;576189647>389?63<226967577542>=870==858745=z{:8:o7>53z?0650=1<301><<4;654>;4:8n144>4}r1143<72:q6??>9:650?8558>09>>523316>7443ty8>;950;0x9644<3=776020?270==348725=:;;;m657?;|q0651=839p1>;4:921>?=4=2002?45;2wx??87:181855;<0<;>5233:;>1673ty8>?>50;1x9647033>563<2249036<5:89=7660:p776?2908w0==098436=:;;:26?<<;<1172<5::1v><99;296~;4::<1;:=4=20;e?2782wx??<=:180855800294523314>1073499>>47919~w64713:1?v3<21;9325<5:8;m7<=3:?066>=:;90q~==6`83>7}:;;9<6:9<;<11<=4;297~;4:9k15874=200?;58828yv558h0;6>u2332b>2143499775>2;887p}<27`94?4|5:8847983:?06=c=<9;0q~==2783>6}:;;:i64;6;<117<<3>916??<8:9;3?xu4:9h1<7=t=203f?10;278>=m523189644i389?6s|334`>5<5s499?448729>77?72=::7p}<23:94?5|5:8;o77:9:?066g=<=9;::4>{t;;:h6=4<{<114f<0?:16??>k:300?855;k09>>5rs205`?6=:r78>>o57618964>:3>;=6s|330b>5<4s499775e2=<;70==2c8;=5=z{:8;h7>53z?065b=?>901>;4:;n1>?=4}r112`<72;q6??=m:650?8551=0?<<5rs203a?6=;r78>=>594;89645l3>=<63<21d9<<677672>=870==008166=:;;8n6?<<;|q0636=838p1><=d;547>;4:>218=?4}r1155<72:q6??>>:87:?855:l0?:=523332>=?73ty8>=?50;1x964793=>;|q0644=839p1>;4:;l18;>4=2027?>>82wx??>=:1808558;0<;>523320>7443499?=4=229~w641:3:1>v3<23d9325<5:8>?52318yv55>:0;6?u23313>2143499;h4;009~w646>3:1?v3<2169=0?<5:88=7:90:?0641=00:0q~==0583>7}:;;:?6:9<;<1177<5::1v><94;296~;4::;1;:=4=20;4?2792wx???7:181855;;0?:=52333:>=?73ty8>;;50;0x9644:3=:4?:3y>76412;9j70?:b680771;4?:9y>764120:o70==de815f=:;;3j6??l;<11=g<59j16??7l:33`?8551m09=n5214`1>655>278>o;594;8yv54=o0;6?u2321:>72c34;>ni4<34d8yv54?o0;6o520a89653938:o63<350964e<5:9??7<>c:?0712=:8i01>=;5;02g>;4;=<1>c:?076c=:8i01>=;4;=:1>==9;290~;4;:315=j4=20f45rs215`?6=:r78?>o525f8943d<398:i5rs2104?6=;r78?>o591f8943ei398?=523226>6`b3ty8?;h50;0x9653938?h63>5b79760a3ty8?>?50;1x9653933;h63>5cc976563498<:4v3<350961b<58?h:7=<709~w654:3:1?v3<3509=5b<58?im7=<339>766>2:ln7p}<36194?4|5:9??7<;d:?21f1=;:=87p}<32194?5|5:9??77?d:?21g?=;:9870=<0c80b`=z{:9<97>52z?0712=:=n01<;l8;1030=z{:9887>53z?0712=19n01<;m9;1071=:;::o6>hj;|q0721=838p1>=;5;07`>;6=j31?>98;|q0763=839p1>=;5;;3`>;6=k31?>=:;<104c<4nl1v>=89;296~;4;=<1>9j4=07`e?54?01v>=<6;297~;4;=<15=j4=07a=?54;?16?>?>:2df?xu4;>h1<76`b3ty8?;?50;0x9654j38?h63>5cg976063ty8??o50;1x9654j33;h63>5ca9764f3499j44v3<32a961b<58?ij7=<629~w655j3:1?v3<32a9=5b<58?io7=<2c9>77`e2:ln7p}<37794?4|5:98h7<;d:?21f6=;:<>7p}<33a94?5|5:98h77?d:?21gd=;:8h70==fe80b`=z{:9=;7>52z?076c=:=n01<;l1;1022=z{:99h7>53z?076c=19n01<;mb;106a=:;;lm6>hj;|q073?=838p1>=;6=j81?>86;|q077c=839p1>=;6=kh1?>=9b;296~;4;=:1>9j4=07`7?54>k1v>==f;297~;4;=:15=j4=07af?54:o16?>><:2df?xu4:l21<7=t=20fhh523189656l389?6s|326:>5<5s498=;48729>50dc2:9?56s|3227>5<4s499ik46589>767c2=<;70=<048;=5=z{:8nj7>53z?06``=?>901>;4;8o1>?=4}r1015<72;q6?>?k:650?872k=08?8>4}r1043<72:q6??h?:87:?8549l0?:=523224>=?73ty8>k>50;1x964a83=6>=:1:p766?2908w0==f08:1<=:;:;m698?;<104<52318yv54=;0;6?u2323e>21434;>o;4<3408yv548h0;6>u233d1><3>3498>=4;619>766e213;7p}<2g094?5|5:8m>7983:?06c5=:;901>==1;017>{t;:?86=4={<1065<0?:16=8m8:2167>{t;::h6=4<{<11b6<>=016?><>:543?8548m035=5rs20e7?6=;r78>k=57618964a<389?63<330967576462>=870?:c98070277`320?270=<238725=:;::m657?;|q06c2=839p1>;4:o?1>?=4=2117?45;2wx?>;::181854:;0<;>5214a:>652=2wx?>??:180855n<0294523200>1073498=<47919~w64a=3:1?v3<2g79325<5:8m:7<=3:?0772=:;90q~=<5783>7}:;:886:9<;<36gd<4;<<0q~=<1383>6}:;;l=64;6;<1061<3>916?>?<:9;3?xu4:o<1<7=t=20e2?10;278>k9523189655=389?6s|3274>5<5s498>948729>50ee2:9>;6s|3237>5<4s499j:46589>76422=<;70=<148;=5=z{:8m;7>53z?06c1=?>901>;4;8=1>?=4}r101=<72;q6?><::650?872kj08?864}r11b=<72:q6??kn:87:?8549>0?:=5233d:>=?73ty8>ho50;1x964bi3==;a:p77`f2908w0==ec8:1<=:;:;3698?;<11bg21434;>nk4<35`8yv55nj0;6>u233g`><3>3498=44;619>77`c213;7p}<2da94?5|5:8no7983:?06`b=:;901>=>a;017>{t;:>h6=4={<105<<0?:16=8m?:217g>{t;;ln6=4<{<11aa<>=016?>?n:543?855no035=5rs20f`?6=;r78>hj57618964bm389?63<30`9675767f2>=870?:c08071b77cb20?270=<1c8725=:;:::657?;|q06`c=838p1>;4;8i1>?=4}r100`<72;q6?>?m:650?872k;08?9k4}r1047<72;q6?>?l:543?8548:035=5rs217b?6=:r78?;<63>56d9742234;>4=4<15789430m39:885214c;>673=27:9l953066?872i008=9;4=23ef?5an278=o>54138943f;39:885rs234a?6=:r78=86525f8943>839:;h5rs23:a?6=98q6?<;7:33`?856=009=n523043>77d349::<4=1b9>74052;;h70=>62815f=:;8>09=n52307b>77d349:9o4=1b9>743d2;;h70=>5e815f=:;8?n6??l;<121c<59j16?<7i:9;3?xu49=21<7:t=236=<63>59f9742?3ty8=5m50;0x9672138?h63>585974>d3ty8=9h50;1x9672133;h63>59f9742a349:>94v3<172961b<58?247=>8d9~w67283:1?v3<1729=5b<58?3h7=>519>74412:ln7p}<18294?4|5:;==7<;d:?212980b`=z{:;2>7>52z?0534=:=n01<;6a;12=7=z{:;>>7>53z?0534=19n01<;7c;1217=:;88j6>hj;|q05<2=838p1>?93;07`>;6=0h1?<7;;|q0505=839p1>?93;;3`>;6=1i1?<;<;<126f<4nl1v>?66;296~;49?>1>9j4=07:g?561?1v>?:4;297~;49?>15=j4=07;g?56==16?<m39:5l5rs2362?6=;r78=;8591f8943?n39:9;523011>6`b3ty8=4m50;0x9671?38?h63>58d974?d3ty8=8950;1x9671?33;h63>59d97430349:?94v3<14c961b<58?2=7=>819~w67313:1?v3<14c9=5b<58?3j7=>489>747?2:ln7p}<19094?4|5:;>n7<;d:?21<4=;8297p}<15c94?5|5:;>n77?d:?21=`=;8>j70=>1`80b`=z{:;387>52z?050e=:=n01<;63;12<1=z{:;?n7>53z?050e=19n01<;7e;120g=:;8;h6>hj;|q05=0=838p1>?:d;07`>;6=0>1?<69;|q051e=839p1>?:d;;3`>;6=1o1?<:l;<125`<4nl1v>?78;296~;499j4=07:1?56011v>?;d;297~;4974522>=870?:918053>>4?:2y>746b20?270=>3b8725=:;88?657?;|q055c=839p1>??e;547>;499l1>?=4=230`?45;2wx?<8i:181856;j0<;>5214;4>671n2wx?<<::1808568o029452301g>107349:>;47919~w677n3:1?v3<11d9325<5:;:<7<=3:?056c=:;90q~=>7183>7}:;89o6:9<;<36==<49>:0q~=>2683>6}:;8;;64;6;<127`<3>916?<<7:9;3?xu498:1<7=t=2324?10;278=5<5s49:?h48729>50?>2:;<=6s|300:>5<4s49:=<46589>745a2=<;70=>2`8;=5=z{:;:=7>53z?0547=?>901>?>2;017>;49=:1>?=4}r1237<72;q6?<=i:650?8721h08=:<4}r126g<72:q6?=?73ty8=<<50;1x9676:3=418436=:9<3i6>?83:p744c2908w0=>128:1<=:;8>:698?;<126`?>3;297~;49891;:=4=2320?45;278=9<52318yv56?=0;6?u23062>21434;>5n4<1668yv56:o0;6>u23037><3>349:8?4;619>7457213;7p}<10694?5|5:;:87983:?0543=:;901>?;3;017>{t;8=>6=4={<1207<0?:16=87k:2341>{t;89:6=4<{<1250<>=016?<:<:543?856;;035=5rs2321?6=;r78=<;576189676>389?63<156967574242>=870?:9d805204?:2y>747120?270=>458725=:;89?657?;|q0540=839p1>?>6;547>;49931>?=4=2302?45;2wx?<98:181856<=0<;>5214;e>670?2wx?107349:=547919~w67713:1?v3<11;9325<5:;;m7<=3:?0561=:;90q~=>6883>7}:;89=6:9<;<36=4<49?30q~=>1883>6}:;8:j64;6;<1272<3>916?5<5s49:?:48729>50?52:;=m6s|303a>5<4s49:745?2=<;70=>1b8;=5=z{:;;n7>53z?055d=?>901>??c;017>;49:31>?=4}r122g<72;q6?<=7:650?8721:08=;l4}r125a<72:q6?<>l:87:?856;00?:=52303f>=?73ty8==m50;1x9677k3=388436=:9<3?6>?9c:p747a2908w0=>0e8:1<=:;89j698?;<1265??d;296~;499n1;:=4=230f?45;2wx?<8k:181856;h0<;>5214;6>671l2wx?<<>:181856;k0?:=523001>=?73ty8=;k50;0x9674j3=5849740b3ty8=k950;0x967a>38?h63<1g:9<<674`120:o70=>f480b`=:9<=m6>?i6:?21d3=;8l=70?:81805c0<58?f79>50g72:;m:63<1g:97cc<58?j:7=>f79>50g02:;m:63>5`6974`1349:n=4;019>50g42:;m:6s|30d7>5<5s49:j>4=4e9>74`2213;7p}<1g194?b|5:;m?77?d:?05c3=<9;01<;8f;12b6=:96>?i3:?21=6=;8l870?:7d805c5<58?j<7=>f29>74`?2=:;70?:a7805c5<58?j;7=>f29>50g32:;m?63<1ga9=0?<5:;i?77:9:p6c`e2909w0=>ec810a=:;8o8657?;|q05`c=83?jb;00e>;49lk1>>o4=23f=?44i278=h6522c8967a8388m63>5`:974cb3ty8=hm50;7x967bj38:o63<1dc964e<5:;n57<>c:?05`>=:8i01>?jd;::4>{t;8o<6=4<{<12ag<>8m16?5<3s49:il460e9>74c52:lm70=>e28744=:;8l969>?;|q1bc?=838p1>?j9;07`>;49l;144>4}r12a0<726`b349:i>474`52:lm7p}<1d694?3|5:;n47<;d:?05`>=19n01>?j1;1eb>;49l818=>4=23f7?2782wx?=?73ty8=l=50;4x967f>33;h63<1c797cc<58?a29>50g62:;j?63>5`;974g4349:n=4v3<1`5961b<5:;i?7983:p74g3290=w0=>a68:4a=:9?n4:?21d4=;8k?70?:a8805d2<5:;i<7=ie:?21d5=;8k?7p}<1`;94?4|5:;j47<;d:?05dc=?>90q~=>a483>6}:;8k364>k;<12e`<>=016=8o6:23b1>{t;8k:6=4={<12e5<5;h16=8o?:23b5>{t;8k;6=4>4z?05d6=19n01>?6f;1eb>;6=1k1??n0:?21<>=;8k;70?:98805d6<58?2m7=>a19>50?e2:;j<63>58a974g734;>5i4<1`28943>m39:m=5214;e>67f827:948530c3?8721<08=l>4=07:0?56i916=87<:23b4>;6=081?c`83>7}:;8i26?:k;<36e0<49jk0q~=>cc83>7}:;8i26??l;<36e3<49jh0q~=>c883>6}:;8i264>k;<0ebf<5{t;8l;6=46{<12``<567a827:9l;530d3?872i?08=k>4=07b0?56n916?4}r12`3<720q6?77d349:ho4=1b9>74bf2;;h70=>d8815f=:;8n36??l;<12`0<59j16=8o8:23g2>{t;8n?6=4<{<12``<>8m16?74bd20:o70=>dc810a=:;8i86?:k;|q05a7=839p1>?kb;;3`>;49mk1>9j4=23`6?43l2wx?72c349:o<4=4e9~w67dn3:1?v3<1e;9=5b<5:;o47<;d:?05f6=:=n0q~=>cd83>6}:;8n364>k;<12`0<577d349:nk4=1b9>74e72;;h70=>c0815f=:;8i96??l;<12g6<59j16?67d>2wx?73d3ty8=o950;0x967en33;h63<097960b74e720:o70=?84812==z{:;i57>52z?05f7=19n01>>75;047>{t;8hj6=4={<12g7<>8m16?=6::355?xu49kh1<7<6c349;484=799~w67el3:1>v3<1b79=5b<5::397<89:p74`62909w0=>f1815f=:;8l9657?;|q06ad=833p1>;4:m>1>i8520a8964c?38:o63<2e:964e<5:8o57<>c:?06ag=:8i01<;m6;11`g=z{:8hn7>52z?06a5=19n01>{t;;ih6=4={<11`1<>8m16??m=:36g?xu4:jn1<7n=525f8yv55kl0;6?u233f5><6c3499o94=4e9~w64dn3:1>v3<2e59=5b<5:8h97<;d:p77b72909w0==d98:4a=:;;i=6?:k;|q06a7=838p1>;4:j=1>9j4}r11`7<72;q6??jn:82g?855k1098i5rs20ba?6=ir78>l8525f8964fm33;h63<2``961b<5:8j97=if:?21g4=;;kn70?:b0806dc<58?i<7==ad9>76>52=:;70?:b2806dc<58?i97==ad9~w64f13:1>v3<2`4964e<58?i<7==a89~w64f>3:1?v3<2`49=5b<58?i=7==a79>50d72:8j:6s|33ff>5<5s499hi4=4e9>77c5213;7p}<2`d94?4|5:8ji7<;d:?21g4=;;km7p}<2c094?4|5:8ji7<>c:?21g5=;;h97p}<2`694?4|5:82m7<;d:?06d3=00:0q~==9783><}:;;3j64>k;<11e4<38916??o<:2df?8551o0?<<5233c6>6`b34;>n?4<2848964cn39mi63<2d69=0?<58?i87==979~w64f:3:1>v3<28`961b<5:8j?7660:p77?0290iw0==9c8:4a=:;;k:6>hj;<11e6<4no16??7i:2de?872?m08>494=20f4?5am278>h=54128964b939mj63<2d797cc<588297==969>50d32:82;6s|33c3>5<5s4995n4=4e9>77g6213;7p}<28:94?d|5:82o77?d:?06d7=;ol01>;4:0l1?kk4=074`?551116??k?:2de?855m:08jh5233g2>6`b3499i8457?22:82463>5c6977??3ty8>4k50;0x964>l38?h63<28d9<<677?c20:o70==a28744=:;;3m69>?;<363a<4:0301>;4:l81?kk4=07a0?55101v>77d3499o>4=1b9>77e32;;h70==c4815f=:;;i=6??l;<11g2<59j16??m7:33`?872j<08>n74}r11f<<72;q6??m>:82g?855m:0?<<5rs20ae?6=:r78>n<591f8964b83>;<6s|33`a>5<5s499o>460e9>77c22=:;7p}<2ca94?4|5:8h877?d:?26<3=;;hh7p}<2cf94?4|5:8h977?d:?06`5=;ol0q~==bd83>7}:;;i=64>k;<11a5<3881v>1673ty9jkm50;0x97`ak33;h63>59096c`d3ty8<77d349;=?4=1b9>75762;;h70=?11815f=:;9:m6??l;<135f<59j16?=?m:33`?8579h09=n52313:>77d349;=54=1b9>75702;;h70=?17815f=:;9;>6??l;<134`<59j16?=>k:33`?8720808<5<5s49;=?460e9>771b2:ln7p}<01294?4|5:::=77?d:?062e=;oo0q~7}:;9;;64>k;<113d<4nl1v?hie;296~;489l15=j4=204l:1818579j026`b3ty8<=l50;0x9666j33;h63<28097cc757f20:o70==9180b`=z{::;57>52z?044?=19n01><7e;1ea>{t;9:36=4={<135=<>8m16??6l:2df?xu489=1<75o53gg8yv578?0;6?u23135><6c3499454v3<0079=5b<5:83:7=ie:p75632909w0=?0d8:4a=:;;2?6>hj;|q1bcb=838p1>>?d;;3`>;4:><1?kk4}r1365<72;q6?=?i:31b?8720;084}r135c<72=q6?=?i:82g?857k108jh5214c5>666n27:9565313e?xu5l1i1<7lt=3f06?46k279h=h520a897b4938:o63=d22964e<5;nc:?1`2d=:8i01?j<4;02g>;5l:?1><591f897e4:39mj63=d8597cc6a542;>o7052z?1`65=:8i01?j67;::4>{t:m:m6=4<{<0g4c<>8m16>ni;7:36g?84cl1035=5rs3f654;96a3?34;9j?4=d4:8yv4c;80;69u22e12><6c348h>;450312;n8=63>54f96a563ty9hij50;3284c=009=n522e7b>77d348o:<4=1b9>6a052;;h70i89:33`?84c>>09=n522e4;>77d348o9o4=1b9>6a3d2;;h70i8?:33`?872>809hij4}r0g1<<72;q6>i;6:82g?84c1008jk5rs3f6e?6=:r79h8o591f897bf?39mj6s|2e42>5<5s48o:<460e9>6ag>2:lm7p}=d7094?4|5;n=>77?d:?1`dd=;ol0q~7}::m<864>k;<0gea<4no1v?j94;296~;5l?>15=j4=3fbb?5an2wx>i8::18184c><026`a3ty9h;850;0x97b1>33;h63=dc197c`6a0020:o7052z?1`3>=19n01?jm7;1eb>{t:m?i6=4={<0g1g<>8m16>i7m:2de?xu5l<6c348o5k4v3=d4g9=5b<5;nj=7=if:p6a3a2909w0hi;|q1`36=838p1?j90;;3`>;5lh?1?kh4}r0g72<7288p1?j<7;;3`>;5l981>>o4=3f35?44i279h=>522c897ean388m63=cgg966g<5;imh7<;5kok1>>o4=3ae=?44i279oho522c897ebk388m63=cd`966g<5;in57<;5kln1>>o4}r0g4f<72;q6>i>n:31b?872=009h=m4}r0g4<<72;=p1?j?a;;3`>;bm=0?<<52ee59057<5lij69>>;ad5=<9;01h79:522?8c?13>;=63j7b8744=:m?l18=?4=d41>16634o>97:?1:?f0=<38816i>l541389`4c2=::70k=1;635>;b9=0?<<52e159057<5mlj69>>;`a5=<9;01im9:522?8be13>;=63kab8744=:l0o18=?4=e;1>16634n397:?1:?g3=<38816h;l541389a3c2=::70j:1;635>;c<=0?<<52d259057<5m8j69>>;`54=<9;0q~7}::m936?:k;<0g=<72c348om:47919~w7b413:1?v3=d2;9=5b<5;h?h7=if:?1`d1=;oo0q~7}::m>;6?:k;<0ge<72c348omo47919~w7b393:1?v3=d539=5b<5;h?j7=if:?1`dd=;oo0q~7}::m>96?:k;<0gea72c348omk47919~w7b3;3:1?v3=d519=5b<5;h>=7=if:?1`d`=;oo0q~7}::m>?6?:k;<0gf415=j4=3`66?5an279ho?53gg8yv4cj;0;6?u22e66>72c348on>47919~w7b3=3:1?v3=d579=5b<5;h>?7=if:?1`g5=;oo0q~7}::m>=6?:k;<0gf072c348on:47919~w7b3?3:1?v3=d559=5b<5;h>97=if:?1`g1=;oo0q~7}::m9j6?:k;<0g=g72c348o5i47919~w7b4j3:1?v3=d2`9=5b<5;h?47=if:?1`7}::m9h6?:k;<0g=c72c348om<47919~w7b4l3:1?v3=d2f9=5b<5;h?m7=if:?1`d7=;oo0q~7}::m9n6?:k;<0ge672c348om847919~w7b4n3:1?v3=d2d9=5b<5;h?o7=if:?1`d3=;oo0q~47|5;n:<7<>c:?1`47=:8i01?j>8;02g>;5l831>c:?1`4`=:8i01?j>2;02g>;5l891>38:o63=d05964e<58?>m753z?1`4>=19n01?m=e;1eb>;6==l1>i?7;|q1`4?=839p1?j>9;;3`>;5k:;1?kh4=077b?4c901v?j>a;297~;5l8k15=j4=3a00?5an27:98>52e3b?xu5l8h1<7=t=3f2f??7l279o>953gd89432838o=o5rs3f2g?6=;r79h54396a7d3ty9h=7>757g72;n:i6s|2e3e>5<4s48o=k460e9>6f272:lm70?:5381`4`6a7520:o702:p6a742908w03:?26dg=:m;87p}=d0694?5|5;n:877?d:?2102=:m;?70?=a981`426a7220:o70?:5581`43<588j:73:1?v3=d049=5b<58?>9757g32;n::6s|2e34>5<4s48o=:460e9>50322;n:;63>2`096a703ty9h>>50;3784c;9026`a348ih;4=229>6f732;8870hi;<0`4f<4no16>n>k:2de?84d8l08jk522b2e>6`a348h==46f762:lm70hi;<0`40<4no16>n>9:2de?84d8>08jk522b2;>6`a348h<446f6f2:lm7p}=d6c94?3|5;n;5lm31?kk4=3fgi9m:18684c?k026`a348ohl46ab>2:lm7056z?1`62=19n01?m<5;1eb>;5kmn1?kk4=3ag=?5an279oil53gd897eck39mj6s|2e16>5<3s48o?8460e9>6f5?2:lm70;5k:h1?kh4=3ag3??212wx>ik=:1825~;5l?31>c:?1`23=:8i01?j86;02g>;5l>=1>c:?1`3`=:8i01?j80;02g>;6=?91>ik=;|q1`3?=838p1?j99;;3`>;5lk31?kh4}r0g2d<72;q6>i8n:82g?84ck>08jk5rs3f45?6=:r79h:?591f897bd139mj6s|2e51>5<5s48o;?460e9>6aee2:lm7p}=d6194?4|5;n7}::m=?64>k;<0ggc<4no1v?j85;296~;5l>?15=j4=3fg5?5an2wx>i99:18184c??026`a3ty9h:950;0x97b0?33;h63=de797c`6a1?20:o7052z?1`3d=19n01?jmb;1eb>{t:m8m16>ilk:2de?xu5l?n1<7l0;6?u22e4f><6c348oo<4v3=d7d9=5b<5;nh?7=if:p6a172909w06>hi;|q1`g>=838p1?j;8;07`>;5lk3144>4}r0g0=<72:q6>i:7:82g?84e>?08jk522e`:>6`b3ty9hn850;0x97b3138?h63=db59<<66a2>20:o70hj;|q1`f>=838p1?j:0;07`>;5lj3144>4}r0g15<72:q6>i;?:82g?84e>l08jk522ea:>6`b3ty9hno50;0x97b2938?h63=db`9<<66a3620:o70hj;|q1`fe=838p1?j:2;07`>;5ljn144>4}r0g17<72:q6>i;=:82g?84e?908jk522eag>6`b3ty9hnk50;0x97b2;38?h63=dbd9<<64?:2y>6a3420:o70hj;|q1`a6=838p1?j:4;07`>;5lm;144>4}r0g11<72:q6>i;;:82g?84e?;08jk522ef2>6`b3ty9hi<50;0x97b2=38?h63=de19<<66a3220:o70hj;|q1`a2=838p1?j:6;07`>;5lm?144>4}r0g13<72:q6>i;9:82g?84e?=08jk522ef6>6`b3ty9hi850;0x97b2?38?h63=de59<<66a3020:o70hj;|q1`gg=838p1?j;a;07`>;5lkh144>4}r0g0d<72:q6>i:n:82g?84e>>08jk522e`a>6`b3ty9hom50;0x97b3j38?h63=dcf9<<66a2e20:o70hj;|q1`gc=838p1?j;c;07`>;5lkl144>4}r0g0f<72:q6>i:l:82g?84e>008jk522e`e>6`b3ty9hn>50;0x97b3l38?h63=db39<<66a2c20:o70hj;|q1`f4=838p1?j;e;07`>;5lj9144>4}r0g0`<72:q6>i:j:82g?84e>k08jk522ea0>6`b3ty9hn:50;0x97b3n38?h63=db79<<66a2a20:o706>hj;|q1``2=838p1?j89;07`>;5ll>144>4}r0ga3<72;q6>i96:33`?872>=09hh84}r0g3<<72:q6>i96:82g?84clk0?<=522eg6>6`a3ty9nh;50;0x97b5838?h63=bd49<<6;5l;h1>>o4=3f1g?44i279h?j522c897b5m388m63=d3d966g<5;n9>7<;5l;?1>>o4=3f12?44i279h?9522c89432j38o;k5rs3f;0?6=98q6>i77d348o>44=1b9>6a4f2;;h70i77d348o>>4=1b9>6a432;;h70{t:m8;6=46{<0g65<>8m16>n<9:523?8724=3`52?5am279n88524c897d3>39mi63=b24960g<5;ho:7<:a:?1g52=;oo0q~7}::m8:6?:k;<0aadm08jh522c7g>73f348i8i46g5c2;?j70hj;|q1fc2=838p1?j=8;07`>;5jo?144>4}r0g6=<720q6>i<7:82g?84d:o0?<=52146e>7b50279n;k53gg897d2m38>m63=b5g97cc<5;h8i7<:a:?1fab=:{t:kl=6=4={<0g6<<5oh8:9;3?xu5l;31<77t=3f1=??7l279o><541289433n38o>4522c4e>6`b348i9k4=5`9>6g2a2:ln709j4=3`e=?>>82wx>i16734;>9=4=d3c897d0839mi63=b72960g<5;h><7=ie:?1f16=:;5k9o1?kk4}r0abd<72;q6>i;<63>54296a4e348i;<46g062;?j70:6?;n;<0aa5<5=h16>n>i:2df?xu5joi1<7<6c348h?o4;019>50362;n9o63=b6097cc<5;h=>7<:a:?1f04=;oo01?l;2;06e>;5jl;1>8o4=3a24?5am2wx>ohj:18184c:m098i522cde>=?73ty9h?j50;;x97b5l33;h63=c2g9056<58?>=76g142:ln70hj;<0a06<5=h16>ok=:37b?84d9808jh5rs3a34?6=:r79h?k525f897e79322<6s|2e0f>5<>s48o>h460e9>50352;n9i63=b6697cc<5;h=87<:a:?1f02=;oo01?l;4;06e>;5jl91>8o4=3dff?5an279o<<53gg8yv4d8;0;6?u22e0e>72c348h<>47919~w7b5n3:15v3=d3d9=5b<5;i?=7:?0:?2104=:m8m706?;n;<0a10<4nl16>o:::37b?84em=099l522b30>6`b3ty9nh650;0x97b5:38?h63=bd;9<<6?4?:8y>6a4520:o70;5j==1?kk4=3`03?42i279ni9524c897e7=39mi6s|2cgb>5<5s48o>>4=4e9>6gce213;7p}=d3194??|5;n9?77?d:?2105=:m8870o=7:37b?84el1099l522gc1>6`a348h<;4v3=d36961b<5;hnh7660:p6a432902w0;5j=31?kk4=3`0=?42i279ni7524c897`f>39mj63=c1597cc6a422;>o7059z?1`73=19n01<;:4;0g60=::khj;<0a1d<5=h16>o:n:2df?84e;h099l522cfb>73f348mml46f6?2:ln7p}=bg294?4|5;n9:7<;d:?1fc7=00:0q~<}::m8=64>k;<3610<5l;<01?l9b;1ea>;5j

    8o4=3`7f?5am279n>l524c897dcj38>m63=f`g97c`<5;i;57=ie:p6g`52909w0;6=i<8;<0a2f<4nl16>o;l:37b?84e73f348mn?46f6f2:ln7p}=c5;94?4|5;i247<;d:?1fg3=?>90q~6}::j3364>k;<0`60<38916>ol;:2df?xu5k57618yv4d0:0;69u22b;:><6c348h>o4;019>6g>e20?27052z?1gd2=:=n01?llb;547>{t:j2n6=4;{<0`e1<>8m16>n1073ty9o;l50;0x97efn38?h63=bbd93256fga20:o7064;6;<0agc<3>91v?m9d;296~;5kk81>9j4=3`g4?10;2wx>n7=:18784dj;02167348im:46589>6gb72=<;7p}=c7d94?4|5;ii?7<;d:?1fa7=?>90q~1}::jh864>k;<0`72<38916>oo6:87:?84el80?:=5rs3a45?6=:r79oo:525f897dc:3=5<3s48hn9460e9>6f5f2=:;70;5jm91;:=4}r0`=0<72=q6>nl::82g?84d;m0?<=522ccg><3>348ih>4;619~w7e0=3:1>v3=cc4961b<5;ho87983:p6f?1290?w0916>kkm:523?xu5k>=1<70;69u22b`4><6c348h8=4;019>6gd620?27052z?1g{t:j=26=4;{<0`=d<>8m16>n<7:523?84e?>0294522c`5>1073ty9o9j50;0x97e>j38?h63=bc593256f?e20:o709j4=3`an9m:18784d1j02<3>348in54;619>6cg12=:;7p}=c4394?4|5;i2h7<;d:?1fg?=?>90q~1}::j3o64>k;<0a3a<>=016>ol6:543?84aih0?<=5rs3a67?6=:r79o4k525f897dei3=5<3s48h5h460e9>6g1a20?270?;|q1g03=838p1?m6f;07`>;5jkh1;:=4}r0`3`<72=q6>n7i:82g?84e080294522c`a>107348mn?4;019~w7e2>3:1>v3=c`2961b<5;hio7983:p6f1a290?w0916=?l=:3a4b>{t:j?<6=4={<0`e4<5olk:650?xu5k1:1<7:t=3ab5??7l279n5;594;897del3>=<63>2c296f>73ty9o8650;0x97ef:38?h63=bcg93256fg520:o707}::jk86?:k;<0afc<0?:1v?m72;290~;5kh915=j4=3`;=??21279noh54728944ek38h4?5rs3a6g?6=:r79ol;525f897dd93=5<3s48hm8460e9>6g>c20?270p1?mn6;;3`>;5j1l15874=3``6?21827:>o652b:6?xu5k<6c348i5<46589>6ge42=<;70?=b781g=06fg?2;>o7054z?1gd>=19n01?l63;;6=>;5jj>18;>4=00a0?4d0>1v?m90;296~;5kh31>9j4=3``1?10;2wx>n67:18784di002<3>348io84;619>50222;i346s|2b42>5<5s48hml4=4e9>6ge12>=87p}=c9;94?2|5;ijm77?d:?1f<1=1<301?ll6;654>;6==<1>n66;|q1g34=838p1?mnb;07`>;5jj=1;:=4}r0`nom:82g?84e100294522ca4>10734;>8:4=c9c8yv4d>:0;6?u22bc`>72c348io548729~w7e?j3:18v3=c`a9=5b<5;h2n77:9:?1ff>=52z?1gdb=:=n01?ll9;547>{t:j2h6=4;{<0`ea<>8m16>o7k:87:?84ek00?:=52146:>7e?k2wx>n8::18184dil098i522cab>2143ty9o5j50;6x97efm33;h63=b8d9=0?<5;hhm7:90:?211g=:j2o7p}=c7:94?4|5;ii<7<;d:?1ffe=?>90q~1}::jh;64>k;<0ae6<>=016>oml:543?872nl>:36g?84ekm0<;>5rs3a:4?6=;r79oo?591f897ddl3>=<63>55a96f?73ty9o?850;0x97e5<39mi63=c349<<684?:3y>6f432:lm7052z?1g72=?>901?h6e;635>{t:j8h6=4={<0`6d<4nl16>n214348mo94;009~w7e4=3:1>v3=c2197cc<5;i897660:p6f532909w0;5nl;18=?4}r0`6c<72;q6>n5<5s48h>i48729>6cb>2=::7p}=c2094?4|5;i8<7=ie:?1g64=00:0q~7}::j9;6>hi;<0`74n=7:18184d;?08jh522b1;>=?73ty9o>950;0x97e4>39mj63=c259<<66f512>=87052z?1g6?=;oo01?m{t:j9j6=4={<0`7<<4no16>n=n:9;3?xu5lll1<76`b348h?h47919~w7e4l3:1>v3=c2a97c`<5;i8h7660:p6a`72909w0>;|q1g17=838p1?m;5k=;144>4}r0`05<72;q6>n=i:2de?84d<9035=5rs3fe5?6=:r79o>h5761897`a93>;=6s|2b0:>5<5s48h>:46f4>213;7p}=c3:94?4|5;i9;7=if:?1g7>=00:0q~7}::j8<6:9<;<0ee4<3881v?l=e;296~;6==o1>o=01v?l=6;296~;5j;<1;:=4=3`13?45;2wx>oo<8:18184e:>0<;>522c0;>7443ty9n>>50;0x9432838i?=522c0;><3>3ty9n?650;0x97d503=50362;h8=63=b3;9=0?44?:3y>6g4>2>=8707>52z?2104=:k997052z?1f7g=?>901?l=b;017>{t:k986=4={<3616<5j:901?l=b;;6=>{t:k8i6=4={<0a6g<0?:16>o1<7o7d4=279n?j594;8yv4e:m0;68u22c0g>214348ohl4;019>6ab>2=:;70;5j?=4}r0a2a<72;q6>o8k:9;3?84e=m02945rs3`6`?6=:r79n8j5761897d2m389?6s|2c4f>5<5s48i:h47919>6g3b20?27p}=b4g94?4|5;h>i7983:?1f0`=:;90q~7}::k=01v?l:f;296~;5jo9?:18184e?9035=522c43><3>3ty9n;>50;0x97d183=6g16213;7052z?1f37=?>901?l92;017>{t:k=96=4={<0a37o8=:87:?xu5j?81<7=?7348i:>46589~w7d1;3:1>v3=b719325<5;h=87<=3:p6g132909w0;5j??1>?=4}r0a30<72;q6>o9::9;3?84e><02945rs3`51?6=:r79n;;5761897d2?389?6s|2c44>5<5s48i::47919>6g3020?27p}=b4594?4|5;h>;7983:?1f0>=:;90q~7}::k<3657?;<0a1=<>=01v?l:8;296~;5j<21;:=4=3`6=?45;2wx>o86:18184e>0035=522c7:><3>3ty9n8750;0x97d213=6g0f213;70m7>52z?1f0g=?>901?l:b;017>{t:ko;m:87:?xu5jj0;6?u22c4`>=?7348i9n46589~w7d2k3:1>v3=b4a9325<58?>573:1>v3=b549<<6<5;h8:77:9:p6g512909w0;5j:n15874}r0a7a<72;q6>o=k:650?84e;l09>>5rs3`7a?6=:r79n9k5882897d4m33>56s|2c1f>5<5s48i?h48729>6g5a2;887p}=b5d94?4|5;h?j7660:?1f6`=1<30q~7}::k9m6:9<;<0a05<5::1v?l:0;296~;5j<:144>4=3`74??212wx>o:?:18184e<90<;>522c62>7443ty9n8?50;0x97d29322<63=b539=0?6g262>=870>7>52z?1f04=00:01?l;2;;6=>{t:k>96=4={<0a07<0?:16>o:<:300?xu5j<91<7>8279n9=594;8yv4e<:0;6?u22c60>214348i894=229~w7d2<3:1>v3=b469<<6<5;h?877:9:p6g232909w0>6?<<;|q1f03=838p1?l:5;::4>;5j=?15874}r0a00<72;q6>o:::650?84e;>09>>5rs3`73?6=:r79n995882897d4?33>56s|2c14>5<5s48i?:48729>6g5?2;887p}=b5:94?4|5;h?47660:?1f6>=1<30q~7}::k936:9<;<0a7<<5::1v?l;9;296~;5j=3144>4=3`0=??212wx>o=6:18184e;00<;>522c1b>7443ty9n9o50;0x97d3i322<63=b2c9=0?6g5f2>=87052z?1f1d=00:01?l{t:k9i6=4={<0a7g<0?:16>o=l:300?xu5j=i1<7>8279n>m594;8yv4c8k0;6?u22c1`>21434;>944=d1`8yv4d8=0;6>u22cf5><3>348h=94;619>6f63213;7p}=be494?5|5;ho:7983:?1fae=:;901?m>b;017>{t:j>36=4={<0`51<0?:16>ok9:2de?xu5k9h1<7=t=3`gg??21279o5<4s48ihn48729>6gbc2;8870m7>52z?1g4d=?>901?lja;1eb>{t:j:h6=4<{<0a`a<>=016>n?l:543?84d8j035=5rs3`g`?6=;r79nij5761897dcm389?63=c0f96756f7d2>=87053z?1fac=1<301?m>d;654>;5k9n144>4}r0a``<72:q6>ojj:650?84elo09>>522b3f>7443ty9o;o50;0x97e6l3=6gba20?270;5jl:1>?=4=3a2b?45;2wx>n8l:18184d9l0<;>522cd:>6`a3ty9o=h50;1x97db833>563=c0d9036<5;i;j7660:p6gc72908w0n??:18084em80294522b03>107348h==47919~w7db93:1?v3=bd39325<5;hn>7<=3:?1g77=:;90q~7}::j8;6:9<;<0aba<3891v?m>1;297~;5jl815874=3a15?218279ou22cg1>214348ii>4=229>6f452;887p}=c6094?4|5;i9=7983:?1fc`=<9:0q~6}::ko864;6;<0`67<3>916>n?=:9;3?xu5jl91<7=t=3`f7?10;279nh:5231897e5;389?6s|2b57>5<5s48h>?48729>6f662=:;7p}=c0194?5|5;hn877:9:?1g75=3;::4>{t:ko?6=4<{<0aa1<0?:16>oj8:300?84d9<09>>5rs3a42?6=:r79o?=5761897e7;3>;<6s|2b26>5<4s48ih:46589>6f722=<;7053z?1fa1=?>901?lk8;017>;5k8<1>?=4}r0`0d<72;q6>n?::650?84em00?<=5rs3a32?6=;r79ni6594;897e6>3>=<63=c149<<66gb?2>=8706;547>;5jlh18=>4}r0`42<72:q6>oj6:87:?84d9>0?:=522b24>=?73ty9ni750;1x97dc13=?;|q1g5>=839p1?lka;;6=>;5k8218;>4=3a3>82wx>ojn:18084elh0<;>522cfa>744348h=44=229~w7e283:1>v3=c0:9325<5;hnj7:?0:p6f6>2908w0n;=:18184d900<;>522cd2>1673ty9o=o50;0x97e6i3>=<63=c1c9<<66f7f2>=87053z?1f20=1<301?lm5;654>;5jk>144>4}r0a33<72:q6>o99:650?84e0k09>>522ca3>7443ty9n5l50;1x97d?j3=6?<<;<0agc<5::1v?ln5;297~;5jh?1;:=4=3`b3?45;279ni>52318yv4ei>0;6>u22cc4>214348im44=229>6gb62;887p}=b`;94?5|5;hj57983:?1fdd=:;901?lk2;017>{t:kki6=4<{<0aeg<0?:16>ook:300?84el:09>>5rs3`b`?6=;r79nlj5761897dfn389?63=be696756gga2>=8706?<<;|q1fg7=839p1?lm1;547>;5j>=1>?=4=3`a2?45;2wx>o98:18084e?>0<;>522c5:>744348in:4=229~w7d013:1?v3=b6;9325<5;h=:;90q~6}::k=i6:9<;<0a3a<5::16>ol6:300?xu5j>n1<7=t=3`4`?10;279n:h5231897dei389?6s|2c5e>5<4s48i;k48729>6g>62;887053z?1f=7=?>901?l73;017>;5jki1>?=4}r0a<6<72:q6>o6<:650?84e0<09>>522c`g>7443ty9n5;50;1x97d?=3=02908w0u22c:g>214348i4k4=229>6ge52;887p}=b9d94?5|5;h3j7983:?1f<7=:;901?ll3;017>{t:k3:6=4<{<0a=4<0?:16>o7<:300?84ek=09>>5rs3`:7?6=;r79n4=5761897d>=389?63=bb796756g?22>=870;5j031>?=4=3``3?45;2wx>o76:18084e100<;>522c;a>744348io54=229~w7d>j3:1?v3=b8`9325<5;h2h7<=3:?1ff?=:;90q~6}::k3o6:9<;<0a=c<5::16>omn:300?xu5j0l1<7=t=3`:b?10;279nl=5231897ddk389?6s|2cc0>5<5s48im>48729>6gec2;887p}=cg:94?2|5;io97:90:?1`54=19n01?mj8;07`>;5l9>1?kk4}r0`g=<72;q6>nj::300?84dk10<;>5rs3af3?6=:r79oi;5761897b7:38?h6s|2bd4>5<4s48hh94;619>6fe?20?27053z?1ga2=:;901?ml8;017>;5kj<1;:=4}r0`a3<72;q6>nj;:650?84c88098i5rs3ae2?6==r79oi=5472897ed>33>563=d129=5b<5;inm7<;d:?1ga?=;oo0q~6}::jn86?<<;<0`g3<5::16>nm;:650?xu5kl?1<7525f8yv4dn<0;6>u22bf1>107348ho946589>6f`a20:o7p}=cb094?5|5;io>7<=3:?1gf2=:;901?ml2;547>{t:jo?6=4={<0``7<0?:16>nhi:36g?xu5ko>1<7;t=3ag5?218279on<594;897eam33;h63=cdf961b<5;n;:7=ie:p6fe72908w0nh<:18084dl90?:=522ba3><3>348hji460e9~w7eem3:1?v3=ce29675<5;ih<7<=3:?1ggc=?>90q~7}::jn;6:9<;<0`ba<54=3aaa??21279okm591f897eb138?h63=ce`97cc6fea2;8870;5koi1>9j4}r0`b4<72nmj:543?84djj0294522bda><6c348hih4=4e9>6a6?2:ln7p}=ccc94?5|5;ihi7<=3:?1gge=:;901?mma;547>{t:jo;6=4={<0`g`<0?:16>nhm:36g?xu5ko:1<7;t=3a``?218279ooo594;897eai33;h63=cda961b<5;ioo7=ie:p6fd>2908w0nmn:18084dkj0?:=522b`:><3>348hoo47919~w7ecm3:1>v3=cba9325<5;im57<;d:p6fbe2909w0;5km3144>4}r0``=<72;q6>nj7:650?84dl>08jk5rs3ag`?6=:r79oio53gg897ecl322<6s|2bf`>5<5s48hhl46fbd213;7p}=cec94?4|5;iom7983:?1ga1=;oo0q~6}::jn<6:9<;<3613<5l9o01<;:d;0g4`=z{;inj7>54z?1gc?=19n01?mjb;07`>;5kmn1?kh4=3a`f?5am2wx>nkn:18184dmh021673ty9ohm50;0x97ebk33;h63=cea90566fce20:o7052z?1g`?=19n01?mkb;634>{t:jon6=4={<0`a`<>8m16>i>7:2de?xu5kl21<7<6c348o<;4c:?1b61=:8i01?h<6;02g>;5n:k1>m520a897`1m38:o63=f7d964e<58?<977p}=f2:94?5|5;l8477?d:?1bab=;ol01?h70;1ea>{t:o=j6=4={<0e7<<5k9j:650?xu5n1:1<758828yv4a8:0;6?u22g22>75f34;>;?4=f118yv4a890;6?9t=3d35??7l27nin4aa`=;oo01hj=:2df?8cd=39mi63jb980b`=:mhh1?kk4=d;f>6`b34o2=7=ie:?f<1<4nl16i:953gg89`0f2:ln70k:d;1ea>;b=908jh52e5197cc<5l9>6>hj;a5`=;oo01h>=:2df?8ba=39mi63ke980b`=:lmh1?kk4=eaf>6`b34nh=7=ie:?gf1<4nl16hl853gg89a?f2:ln70j7d;1ea>;c0908jh52d6197cc<5m<>6>hj;`6`=;oo01i==:2df?8b5=39mi63k1980b`=:l9k1?kk4}r0e72<72=q6>k=8:82g?84a1m08jk522g56>6`b348m4<4;3:1>v3=f7f961b<5;l2?7660:p6c?12909w0hi;<3637<5n?n0q~4e|5;l8:77?d:?1a3b=:;901?klb;017>;5nm21?kh4=3ga7?5an279iok53gd897cd;39mj63=eb697c`<5;oh97=if:?1af0=;ol01?kl7;1eb>;5mj21?kh4=3g`=?5an279ino53gd897ce<39mj63=ec797c`<5;oi:7=if:?1ag1=;ol01?km8;1eb>;5mk31?kh4=3gae?5an279iol53gd897cek39mj63=ecf97c`<5;oij7=if:?1af6=;ol01?kl1;1eb>;5mj81?kh4}r0e;5n:o1>=7<>c:?1b04=:8i01?h:3;02g>;5n<>1>520a897`3938:o63=f50964e<5;l??7<>c:?1b12=:8i01?h;5;02g>;5n=<1>c:?1b1b=:8i01<;89;0e52z?1b6b=19n01?k=5;1eb>{t:o9n6=4={<0e7`<>8m16>h=?:2de?xu5n=31<7;53gd8yv4a<6c348n?;4v3=f5d9=5b<5;o8;7=if:p6c372909w0hi;|q1b07=838p1?h:1;;3`>;5m:31?kh4}r0e17<72;q6>k;=:82g?84b;h08jk5rs3d67?6=:r79j8=591f897c4j39mj6s|2g77>5<5s48m99460e9>6`5d2:lm7p}=f2d94?4|5;l8j77?d:?1a70=;ol0q~7}::o>;64>k;<0f62<4no1v?h;1;296~;5n=;15=j4=3g1k:=:18184a<;026`a3ty9j9=50;0x97`3;33;h63=e3c97c`6c2320:o7052z?1b13=19n01?k=c;1eb>{t:o>=6=4={<0e03<>8m16>h<6c348n>k4v3=f5c9=5b<5;o8=7=if:p6c2e2909w0hi;|q1b1e=838p1?h;c;;3`>;5m:91?kh4}r0e0a<72;q6>k:k:82g?84b;=08jk5rs3d44?6=90q6>k>9:33`?84a8>09=n522g31>77d348m=:4=1b9>6c7?2;;h70k?l:33`?84a9m09=n522g2;>77d348m<44=1b9>6c6f2;;h70k>j:33`?84a8o09=n522g33>77d348m=<4=1b9>6c742;;h706??l;<0e53<59j16=89<:3d44>{t:o:=6=4<{<0e43<>8m16=88::3d32>;5n0i1?kk4}r0e42<72:q6>k>8:82g?872><09j=94=3d`6?5am2wx>k?=:18084a9;027`6:279ji953gg8yv4a9>0;6>u22g34><6c34;>:54=f05897`ck39mi6s|2g3;>5<4s48m=5460e9>500>2;l:463=fed97cc6c7>20:o70?:6881b4?<5;ln>7=ie:p6c7f2908w0a:?1b`3=;oo0q~6}::o;i64>k;<362d<5n8h01?hj8;1ea>{t:o;h6=4<{<0e5f<>8m16=88m:3d2g>;6:h;1>k?l;|q1b4b=839p1?h>d;;3`>;6=?h1>k?k;<0eac<4nl1v?h?8;297~;5n9215=j4=075g?4a8116>k7i:2df?xu5n931<7=t=3d3=??7l27:9;m52g2:?875ik09j=74}r0e4d<72:q6>k>n:82g?872>m09j=o4=00b=?4a8h1v?h?b;297~;5n9h15=j4=075`?4a8k16=?o8:3d3f>{t:o:h6=4<{<0e4f<>8m16=88j:3d3g>;6:h?1>k>l;|q1b5b=839p1?h?d;;3`>;6=?o1>k>k;<31e6<5n9n0q~6}::o:n64>k;<362c<5n9o01<53z?1b5`=19n01<;9f;0e4c=:9;h;6?h?f:p6c772908w00:?26gc=:o;;7p}=f0394?5|5;l:=77?d:?2126=:o;:70?=bb81b474?:2y>6c7420:o70?:6781b45<588im757d?2;l:86s|2g36>5<4s48m=8460e9>50002;l:963>2c496c723ty9j<850;1x97`6>33;h63>57596c7134;9n94=f048yv4a;h0;6?u22g1b><6c348mi=4v3=f2`9=5b<5;ln?7=if:p6c5d2909w0hi;|q1b3c=838p1?h9e;;3`>;5no:1?kh4}r0e2c<72;q6>k8i:82g?84ai908jk5rs3d:5?6=90q6>k;::33`?84a=?09=n522g42>77d348m:;4=1b9>6c002;;h70k8m:33`?84a>j09=n522g74>77d348m954=1b9>6c3>2;;h70k;k:33`?84a=l09=n522g7e>77d348m:=4=1b9>6c052;;h70{t:o?>6=4={<0e10<>8m16>h;::2de?xu5n<<1<753gd8yv4a>80;6?u22g42><6c348n:843:1>v3=f749=5b<5;o=:7=if:p6c002909w0hi;|q1b3>=838p1?h98;;3`>;5m?21?kh4}r0e2<<72;q6>k86:82g?84b>008jk5rs3d5e?6=:r79j;o591f897c1i39mj6s|2g4a>5<5s48m:o460e9>6`0e2:lm7p}=f7a94?4|5;l=o77?d:?1a3e=;ol0q~7}::o?<64>k;<0f13<4no1v?h:8;296~;5n<215=j4=3g63?5an2wx>k;6:18184a=0026`a3ty9j8o50;0x97`2i33;h63=e4;97c`6c3e20:o70o7>52z?1b0e=19n01?k:b;1eb>{t:o?o6=4={<0e1a<>8m16>h;l:2de?xu5n<6c348n9h4v3=f729=5b<5;o>j7=if:p6c052909w0hi;|q1b35=838p1?h93;;3`>;5m?81?kh4}r0e21<72;q6>k8;:82g?84b>:08jk5rs3d51?6=:r79j;;591f897c1<39mj6s|2d:7>5<5s48m=h4=4e9>6`>2213;7p}=f6094?7>s48m=h4=3`9>6c7a2;9j70k=>:31b?84a;;09?l522g10>75f348m?94=3`9>6c522;9j70k<<:31b?84a:=09?l522g06>75f348m>;4=3`9>6c402;9j70k75f34;>;94=f608yv4a?=0;6<7t=3d2a?46k279jc:?1b67=:8i01?h<2;02g>;5n:91>;520a897`5838:o63=f33964e<5;l9>7<>c:?1b75=:8i01?h=4;02g>;5n;?1>c:?1b7e=:8i01?h=d;02g>;5n;o1>>82wx>k?j:18:84a9l027`6m279i8;53gg897c4l38>m63=e3797cc<5;o;h7<:a:?1a3b=:;5mk91?kk4}r0f<3<72;q6>k?i:36g?84b00035=5rs3d2b?6=1r79j6`b348n854=5`9>6`572:ln70hlj:2df?xu5m0h1<7<6c34;>:54=f3c897c1=39mi63=e5f960g<5;o897=ie:?1a4b=:;5nm218=?4=3g`7?5am2wx>ho::18184a:o098i522dc5>=?73ty9j?h50;;x97`5n33;h63>57:96c4a348n:;46`2b2;?j70kjk:522?84bk=08jh5rs3gb3?6=:r79j>>525f897cf0322<6s|2g13>5<>s48m?=460e9>500>2;l8<63=e7597cc<5;o?j7<:a:?1a61=;oo01?k>f;06e>;5m>o1>8o4=3df4?279279in;53gg8yv4bi00;6?u22g12>72c348nml47919~w7`493:15v3=f239=5b<58?=576`0?2:ln70hj;<0f65<5=h16>h9i:37b?84am:0?<<522da5>6`b3ty9ill50;0x97`4:38?h63=e`a9<<66c5520:o70?:6`81b64<5;o=57=ie:?1a07=:;5m;;1>8o4=3g;4?42i279jh85413897cd?39mi6s|2dcg>5<5s48m?>4=4e9>6`gb213;7p}=f2194??|5;l8?77?d:?213g=:o9870h<=:37b?84b08099l522gg:>166348no54v3=f26961b<5;oi<7660:p6c532902w0;5m:h1?kk4=3g17?42i279i5<524c897cd139mi63>2`396c533ty9io?50;0x97`4=38?h63=ec09<<66c5220:o70?:6c81b63<5;o=o7=ie:?1a02=:;5m;>1>8o4=3g;7?42i279jk>5413897cdi39mi6s|2d:4>5<5s48m>=4=4e9>6`>?213;7p}=f3294??|5;l9<77?d:?213e=:o8;70h>j:37b?84b>l099l522gc3>166348nn94v3=f33961b<5;o3m7660:p6c462902w0;5m;=1?kk4=3g3b?42i279i;h524c897ce=39mi63>2``96c463ty9i5l50;0x97`5:38?h63=e9a9<<6?4?:8y>6c4520:o70?:6e81b74<5;o>47=ie:?1a16=:;5m8:1>8o4=3g44?42i279io853gg8944f138m>?5rs3g;`?6=:r79j?=525f897c?m322<6s|2g00>5<>s48m>>460e9>500c2;l9?63=e4;97cc<5;o?=7<:a:?1a7?=;oo01?k>1;06e>;5m>;1>8o4=3ga3?5am27:>l952g00?xu5m1l1<758828yv4a:=0;64u22g07><6c34;>:h4=f36897c2i39mi63=e50960g<5;o9m7=ie:?1a44=:;5mk21?kk4=00b1?4a:=1v?k61;296~;5n;?1>9j4=3g:6?>>82wx>k<::18:84a:<027`5=279i8l53gg897c3;38>m63=e3`97cc<5;o:?7<:a:?1a25=:;6:h91>k<:;|q1a<5=838p1?h=6;07`>;5m0>144>4}r0e63<720q6>k<9:82g?872>o09j?84=3g6g?5am279i9:524c897c5k39mi63=e06960g<5;o<87<:a:?1agg=;oo01<52z?1b71=:=n01?k66;::4>{t:o8<6=46{<0e62<>8m16=88i:3d13>;5mm63=e67960g<5;oin7=ie:?26d`=:o8<7p}=e8594?4|5;l947<;d:?1a<>=00:0q~<}::o8364>k;<3635<5n;201?k:e;1ea>;5m=<1>8o4=3g1a?5am279i<8524c897c0>38>m63=eca97cc<588ih713:1>v3=f3;961b<5;o2m7660:p6c4>2902w0;5m;l1?kk4=3g23?42i279i:9524c897cel39mi63>2c`96c4>3ty9i4m50;0x97`5j38?h63=e8f9<<6o4?:8y>6c4e20:o70?:6781b7d<5;o==7=ie:?1a1?=:;5m831>8o4=3g4=?42i279ioh53gg8944e138m>o5rs3g:a?6=:r79j?m525f897c>n322<6s|2g0`>5<>s48m>n460e9>50012;l9o63=e7097cc<5;o?m7<:a:?1a64=;oo01?k>a;06e>;5m>k1>8o4=3g`4?5am27:>o952g0`?xu5mh:1<7<6c34;>::4=f3f897c1;39mi63=e5`960g<5;o8?7=ie:?1a4d=:;5mj;1?kk4=00a1?4a:m1v?kn2;296~;5n;o1>9j4=3gb7?>>82wx>k7`5m279i;:53gg897c3k38>m63=e2697cc<5;o:o7<:a:?1af4=;oo01<52z?2133=:l::7052z?1`c3=?>901?ji8;017>{t:l:?6=4={<362=<5m9>01?ji8;;6=>{t:ml36=4={<0gb=<0?:16>ih6:300?xu5m9?1<7ih6:87:?xu5lo31<77c7>279hko594;8yv4cnh0;6?u22edb>214348ojo4=229~w7c7?3:1>v3>57`96`60348ojo46589~w7baj3:1>v3=dg`9325<5;nmo7<=3:p6`6?2909w0?:6b81a5><5;nmo77:9:p6a`d2909w0;5loo1>?=4}r0f4d<72;q6=88j:3g3e>;5loo15874}r0gb`<72;q6>ihj:650?84cno09>>5rs3g3f?6=:r7:9;h52d2a?84cno02945rs3feb?6=:r79hkh5761897c78389?6s|2d2`>5<5s4;>;=4=e1a897c7833>56s|2d23>5<5s48n<=48729>6a`12;887p}=e1094?4|58?=:76a`120?27p}=dg494?4|5;nm:7983:?1`c1=:;90q~7}:9<<<6?k?3:?1`c1=1<30q~7}::ml<6:9<;<0e<4<3881v?k:5;296~;5m4=3g0`??212wx>h=k:18184b;m0<;>522d6;>7443ty9i;>50;0x97c18322<63=e5:9=0?6`2?2>=87052z?1a33=00:01?k;d;;6=>{t:l>o6=4={<0f0a<0?:16>h:j:300?xu5m?<1<7>8279i9k594;8yv4b214348n8k4=229~w7c1?3:1>v3=e759<<6<5;o?j77:9:p6`2a2909w0=838p1?k98;::4>;5m<:15874}r0f15<72;q6>h;?:650?84b=809>>5rs3g5=?6=:r79i;75882897c2933>56s|2d72>5<5s48n9<48729>6`352;887p}=e7c94?4|5;o=m7660:?1a04=1<30q~7}::l?96:9<;<0f16<5::1v?k9b;296~;5m?h144>4=3g67??212wx>h;<:18184b=:0<;>522d77>7443ty9i;m50;0x97c1k322<63=e469=0?6`332>=870:7>52z?1a00=00:01?k{t:l9n6=4={<0f7`<0?:16>h=i:300?xu5m<=1<7>8279i>h594;8yv4b;o0;6?u22d1e>214348n8=4=229~w7c203:1>v3=e4:9<<6<5;o?<77:9:p6`272909w0:6?<<;|q1a0?=838p1?k:9;::4>;5m=;15874}r0f04<72;q6>h:>:650?84b<;09>>5rs3g6e?6=:r79i8o5882897c3:33>56s|2d61>5<5s48n8?48729>6`242;887p}=e4`94?4|5;o>n7660:?1a15=1<30q~7}::l>86:9<;<0f01<5::1v?k:c;296~;5m4=3g70??212wx>h:;:18184b<=0<;>522d66>7443ty9i8j50;0x97c2l322<63=e579=0?6`222>=870i7>52z?1a0c=00:01?k;6;;6=>{t:l>=6=4={<0f03<0?:16>h:8:300?xu5m>8279i99594;8yv4b<>0;6?u22d64>214348n844=229~w7c193:1>v3=e739<<6<5;o?577:9:p6`2>2909w0j6?<<;|q1a34=838p1?k92;::4>;5m=k15874}r0f0d<72;q6>h:n:650?84b>5rs3g57?6=:r79i;=5882897c3j33>56s|2d6a>5<5s48n8o48729>6`2d2;887p}=e7694?4|5;o=87660:?1a1e=1<30q~7}::l>h6:9<;<3637<5m=i0q~7}::l8>657?;<0f4a<>=01v?k?d;296~;5m9n1;:=4=3g2h=?:18184b;9035=522d3;><3>3ty9i<650;0x97c603=6`52213;7052z?1a4b=?>901?k>e;017>{t:l9=6=4={<0f73h?j:87:?xu5m8o1<70;6?u22d14>=?7348n=k46589~w7c6n3:1>v3=e0d9325<5;o9<7<=3:p6`5?2909w0;5m;;1>?=4}r0f7<<72;q6>h=6:9;3?84b:802945rs3g15?6=:r79i??5761897c5:389?6s|2d1b>5<5s48n?l47919>6`4520?27p}=e3094?4|5;o9>7983:?1a75=:;90q~7}::l9i657?;<0f66<>=01v?k=3;296~;5m;91;:=4=3g10?45;2wx>h=l:18184b;j035=522d07><3>3ty9i?:50;0x97c5<3=;4?:3y>6`41213;7052z?1a5c=?>901?k?f;017>{t:l8<6=4={<0f62h>i:87:?xu5m9l1<752318yv4b:10;6?u22d0;>=?7348n==46589~w7c683:1>v3=e029325<5;o:=7<=3:p6`4>2909w01;547>;5m881>?=4}r0f6d<72;q6>h5<5s48n>o47919>6`7420?27p}=e0194?4|5;o:?7983:?1a42=:;90q~7}::l8h657?;<0f51<>=01v?k>4;296~;5m8>1;:=4=3g21?45;2wx>h<3>3ty9i<;50;0x97c6=3=h4?:3y>6`4b213;7052z?1a40=?>901?k>7;017>{t:l8m6=4={<0f6ch?8:87:?xu5m8=1<7=?7348n=446589~w7c613:1>v3=e0;9325<5;o:m7<=3:p6`552909w0a;547>;5m8h1>?=4}r0f76<72;q6>h=<:9;3?84b9k02945rs3g2f?6=:r79i5<5s48n?947919>6`7d20?27p}=f1094?4|5;o:o7983:?2124=:o:97p}=ec194?5|5;o=h77:9:?1afd={t:lh97:300?84bl?09>>5rs3gf;<6s|2d`f>5<4s48n;546589>6`b12=<;7053z?1a2>=?>901?k8c;017>;5mmh1>?=4}r0fb6<72;q6>hj9:650?84b000?<=5rs3g`7?6=;r79i:m594;897ccj3>=<63=eb19<<66`1d2>=870=838p1?kkb;547>;5mh>18=>4}r0fg1<72:q6>h9k:87:?84blj0?:=522da7>=?73ty9i:j50;1x97c0l3=2909w0?;|q1af3=839p1?k8e;;6=>;5mmn18;>4=3g`1?>>82wx>h9j:18084b?l0<;>522d5e>744348nhh4=229~w7cai3:1>v3=eef9325<5;oj47:?0:p6`e12908w0l1;:=4=3g;4?45;279iih52318yv4bnk0;6?u22dff>214348nml4;019~w7cd?3:1?v3=e929=0?<5;ooj7:90:?1af1=00:0q~6}::l2;6:9<;<0f<4<5::16>hk?:300?xu5moi1<7u22d:2><3>348ni=4;619>6`e?213;7p}=e9394?5|5;o3=7983:?1a=4=:;901?kj1;017>{t:llo6=4={<0fa5<0?:16>hoj:523?xu5mj31<7=t=3g;6??21279ih?5472897cd1322<6s|2d:1>5<4s48n4?48729>6`>42;887052z?1a`7=?>901?km0;634>{t:lij6=4<{<0f<6<>=016>hk=:543?84bkh035=5rs3g;7?6=;r79i5=5761897c1m389?63=eba96756`c52>=87053z?1a3c=1<301?klc;654>;5mk>144>4}r0f2`<72:q6>h8j:650?84b>o09>>522dag>7443ty9ih750;0x97cdk3=6`0a20?270657?;|q1a3`=839p1?k9f;547>;5m>:1>?=4=3g`a?45;2wx>hkn:18184bkm0<;>522d:b>1663ty9io850;1x97c0833>563=ebg9036<5;oi:7660:p6`172908w0hl8:18084b?80294522dae>107348nn:47919~w7c093:1?v3=e639325<5;o<>7<=3:?1aa6=:;90q~7}::lim6:9<;<0f<`<3881v?km8;297~;5m>815874=3gg4?218279io658828yv4b?;0;6>u22d51>214348n;>4=229>6`b62;887p}=edf94?4|5;oo<7983:?1a<6=<9;0q~6}::l=864;6;<0f`4<3>916>hl6:9;3?xu5m>91<7=t=3g47?10;279i::5231897cc:389?6s|2dgf>5<5s48nh<48729>6`?52=::7p}=ecc94?5|5;o<877:9:?1aa4={t:l=?6=4<{<0f31<0?:16>h9::300?84bl:09>>5rs3gfb?6=:r79ii<5761897c><3>;=6s|2d`a>5<4s48n;846589>6`b42=<;7053z?1a23=?>901?k86;017>;5mm>1>?=4}r0fb5<72;q6>hj<:650?84b1?0?<<5rs3gag?6=;r79i:8594;897cc<3>=<63=eca9<<66`112>=8706?<<;|q1ac7=838p1?kk4;547>;5m0218=?4}r0ffa<72:q6>h98:87:?84bl<0?:=522d`g>=?73ty9i:950;1x97c0?3=>;|q1ag`=839p1?k89;;6=>;5mm=18;>4=3gab?>>82wx>h96:18084b?00<;>522d5b>744348nh54=229~w7ca<3:1>v3=ee59325<5;o2h7:?1:p6`e72908w0k1;:=4=3g4f?45;279ii752318yv4bn<0;6?u22df;>214348n5k4;009~w7cd93:1?v3=e6`9=0?<5;oo57:90:?1af7=00:0q~7}::l=i6:9<;<0f`d<5::1v?ki6;296~;5mm31;:=4=3gb5?2792wx>hm=:18184blh0?:=522da1>=?73ty9ik950;0x97cci3=5`1>2;>o70?;6282a2g<58>>i7?j7`9~w4c013:1>v3>e6;9=5b<5;;947:?0:p5`162909w0?j97810a=:9l?i6>7j;|q2a=c=838p1;59;21?kh4}r3f37<72;q6=h78:36g?87b=j085h5rs0g;b?6=:r7:i49591f89775139mj6s|1d50>5<5s4;n554=4e9>5`3c2:3n7p}>e8294?4|58o2477?d:?157g=;ol0q~?j7583>7}:9l326?:k;<3f1`<41l1v6?b3ty:i4<50;0x94c>i33;h63=13a97cc5`?e2;>o70?j6180=`=z{8o2?7>52z?2a{t9l=<6=4={<3f=f<5:2;f?xu6m0>1<772c34;n:?4<9d9~w4c>=3:1>v3>e8f9=5b<5;;9j7=ie:p5`172902w0?j5c8:ec=:9l?h64oi;<3f1a<>io16=h;j:8ce?87b=o02mk521d41>5`0620km70?;5e82a265`3e2;>o70?i658:4a=z{8o2i7>510y>5`3e28:i70?j5b824g=:9l?o6<>m;<3f1`<68k16=h;i:02a?87b>;0:46e34;n:<4>0c9>5`g52;>o70?ja38:4a=:9l?36>hi;<3f1<<38916=h;n:523?873=l0:i4k4=0656?7b1l16=9;i:0g:a>;6;6me70955`<58o=<7??f:?2a37=99l01;6m<21?kk4=0g6=?5am27:i8o53gd894c?939mj63>e929=0?5`3e28;370?j5b825==:9l?o6;0:=5521d43>47?34;n:<4>199>5`g320:o70?j5880bc=:9l?j69>>;<371g<6mh:01<:91;3fe5=z{8oj=7>5cz?2a0d=98301;6me72954?<58o==7?>9:?2ad3=19n01;6<;<3724<6mh;0q~?j8583>47|58o>n7=6f:?2a0e=;0l01;6mn27:i;<538d894c18392j63>e7397<`<58o347<;d:?2a=>=19n01;6m4=0g62?27827:88k51d:7?873>;0:i5:4=066b?7b0=16=98?:0g;0>{t9l2>6=4i{<3f1g<4i916=h;l:2c3?87b=m08m=521d7f>6g734;n9k45`052:k;70?j6180e5=:9l<:6>o?;<3f<<<>8m16=h;;:2df?87b=<08jh521d75>6`a34;n4<4513a28o3963>47295`>23ty:i5850;fx94c2j39j=63>e4a97d7<58o>h7=n1:?2a0c=;h;01;6m?81?l?4=0g54?5f927:i;?53`3894c?i33;h63>e4797c`<58o>:7:?1:?200d=9l2=70?;6082a=05`3e2:k970?j5b80e7=:9l?o6>o=;<3f1`<4i;16=h;i:2c1?87b>;08m?521d43>6g534;n:<45`>e20:o70?j5780b`=:9=?i6f4f94?4|58o>o7<;d:?2b33=19n0q~?i5d83>7}:9l?o6?:k;<3e23<>8m1v9j4=0d53??7l2wx=k8?:18187b=o098i521g4;><6c3ty:j;=50;0x94c1:38?h63>f7`9=5b5`072;>o70?i688:4a=z{8l=>7>52z?2a37=:=n01{t9l77d34;?9n4>e7f8yv7b>>0;6?u21dc0>72c34;n9547919~w4c113:1>v3>e`6961b<58o>57660:p5`0e2909w0?ja4810a=:9l?j657?;|q2a=e=83?p1;6m131>5<5s4;n4l4=4e9>5`32213;7p}>e7a94?4|58o3n7<;d:?2a00=00:0q~?ie583>7}:9oo86?=n;<37<1<6nl>0q~?ie283>3}:9oo864>k;<3e00<4nl16=98;:0df7>;6n0>1?kh4=06b2?7am:16=97?:0df7>{t:9;h6=4={<3eb3<5=?k:9;3?xu6nl<1<7:t=0de2??7l27:jl;594;894`c;3>=<63>49595cc13ty95c`020:o70?;8682b`b<58lh>7=ie:p654d2909w0?ifd810a=::98o657?;|q2b`c=839p1;6<1=1=kkj;<3eg1<4nl1v?>=e;296~;6nol1>9j4=321b?>>82wx=kki:18087ano024`bn27:jn853gg8yv47;90;6?u22123>72c348;?<47919~w4`a83:1?v3=0129=5b<58>3:7?if19>5ce?2:ln7p}=02094?4|5;:;=7<;d:?1465=00:0q~?if083>6}::9::64>k;<37<3<6no;01{t:99?6=4={<0347<5==::9;3?xu6no81<7=t=3236??7l27:85851gd1?87akj08jh5rs3202?6=:r79<==525f89764?322<6s|1gd0>5<4s48;<>460e9>51>128lm?63>fbg97cc65632;>o7053z?1452=19n01<:79;3eb1=:9on;6>hj;|q146g=838p1?>?5;07`>;58:h144>4}r3eb0<72:q6>=>::82g?873000:jk;4=0dg6?5am2wx>=?j:18187an1098i52213e>=?73ty:jh950;1x94`a033;h63>49;95cc034;mn;4v3>fg;961b<5;:9=7660:p5cc?2908w0?if88:4a=:9=226=;oo0q~7}:9olj6?:k;<03661<7u21gda><6c34;?454>fdc894`ek39mi6s|2105>5<5s4;mjn4=4e9>6540213;7p}>fd`94?5|58lmo77?d:?20=>=9ooi70?ibd80b`=z{;:947>52z?2bcb=:=n01?>=9;::4>{t9ooh6=4<{<3eba<>8m16=967:0dfg>;6nj:1?kk4}r3ee0<72:q6=ko::650?87aij09>>521gfb>7443ty9<=850;0x94`c;3=5cgd20?270?id`8725=:9oi9657?;|q2bde=839p1;6nhn1>?=4=0dgf?45;2wx>=>k:18187alh0<;>52210a>1673ty:jn=50;1x94`fl33>563>fe`9036<58lh87660:p5cgc2908w0?iae8436=:9okn6?<<;<3e`f<5::1v?>?e;296~;6nmh1;:=4=321`?2782wx=km::18087ail0294521gf`>10734;mo;47919~w4`fm3:1?v3>f`g9325<58ljj7<=3:?2bab=:;90q~7}:9onh6:9<;<036c<3891vu21gce>21434;mn=4=229>5cbb2;887p}=00294?4|58loh7983:?1467=<9:0q~?ic883>6}:9oh;64;6;<3e``<3>916=kmn:9;3?xu6nk:1<7=t=0da4?10;27:jo?5231894`cn389?6s|2132>5<5s4;mhh48729>65542=::7p}>fb`94?5|58li=77:9:?2ba`={t9oh:6=4<{<3ef4<0?:16=kl=:300?87am909>>5rs3226?6=:r7:jih576189764=3>;=6s|1gag>5<4s4;mn?46589>5cc72=<;70?icd8;=5=z{8li>7>53z?2bg4=?>901;6nl;1>?=4}r0356<72;q6=kk?:650?847;>0?<<5rs0d`b?6=;r7:jo=594;894`b93>=<63>fe29<<64?:2y>5cd42>=870?ib58166=:9oo96?<<;|q1442=838p1;58:318=?4}r3e`4<72:q6=kl;:87:?87am;0?:=521gf1>=?73ty:jo:50;1x94`e<3=f`59675<58lo87<=3:p65722909w0?ie38436=::99i69>>;|q2bg3=839p1;6nm>18;>4=0da2?>>82wx=ko8:18087ai>0<;>521gc;>74434;mh84=229~w767?3:1>v3>fe69325<5;::j7:?1:p5cd02908w0?ia98:1<=:9on>698?;<3ef=214348;><4;009~w4`e13:1?v3>f`;9=0?<58lo:7:90:?2bgg=00:0q~?ia883>6}:9ok26:9<;<3eed<5::16=kj8:300?xu58931<7u21gcb><3>34;mh:4;619>5cdd213;7p}>f`c94?5|58ljm7983:?2bdd=:;901{t:9:j6=4={<3e`2<0?:16>=<::522?xu6nkn1<7=t=0dbf??2127:ji65472894`em322<6s|1gca>5<5s4;mmo48729>5cb>2;887p}=01`94?4|58lo47983:?1471=<9;0q~?ibg83>7}:9on2698?;<3eg5?c;296~;6nm31;:=4=321=?2792wx>=mj:181847km09?l5215;3>76dm2wx>=mk:18;847km0277d348;8<4=1b9>65252;;h70?6??l;<37:c;;6=>{t:8:=6=4={<03a5<5{t:88=6=4>1z?14`6=:8i01?>j1;02g>;58l21>c:?14`c=:8i01?>jf;02g>;58l81>c:?20d0=:88=7p}=0e294?2|5;:n<77?d:?14=`=1<301?>md;654>;6<091>=j?;|q1542=838p1?>j1;07`>;6<0n1>j1;;3`>;6<091>=j8;<03ef<4nl1v??>6;296~;58l21>9j4=06:a?469?1v?>k8;297~;58l215=j4=06:7?47l116>=oj:2df?xu59821<7:38;hl5221`1>6`b3ty9=4`39647d3ty9480965be348;n94v3=0da961b<58>j>7<>1d9~w76ck3:1?v3=0da9=5b<58>2>765d12:ln7p}=13294?4|5;:nh7<;d:?20d5=:88;7p}=0ef94?5|5;:nh77?d:?20<4=:9no707>52z?14`c=:=n01<:n4;0267=z{;:oi7>53z?14`c=19n01<:65;03``=::9hj6>hj;|q1572=838p1?>jf;07`>;6<<;;|q14a`=839p1?>jf;;3`>;6<0?1>=ji;<03ff<4nl1v???8;296~;58l81>9j4=06:3?46811v?>k1;297~;58l815=j4=06:1?47l816>=o?:2df?xu599k1<7138:<38;h>5221c7>6`b3ty9==k50;0x976b=38?h63>48c9646b3ty9486965b3348;m;4v3=0d4961b<58>2n7<>119~w76c=3:1?v3=0d49=5b<58>28765g?2:ln7p}=10094?4|5;:n;7<;d:?2053z?14=`=?>901?>66;017>;58j>1>?=4}r03b5<72;q6>=lk:650?8731?094}r03eg<72:q6>=79:87:?847k=0?:=5221c`>=?73ty9<4850;1x976>>3=i7:p65gc2908w0698?;<03e`67;297~;580=1;:=4=32:21434;?5h4=0g:8yv47io0;6>u221;;><3>348;o;4;619>65d7213;7p}=08:94?5|5;:247983:?14l7;017>{t:9l26=4={<03g3<0?:16=97i:32e=>{t:9h:6=4<{<03=<<>=016>=m8:543?847j;035=5rs32:=?6=;r79<4757618976>i389?63=0b:967565e02>=870?;a1814cg4?:2y>65?f20?2706a;547>;580h1>?=4=32`=?45;2wx>=hm:181847k10<;>5215c2>76aj2wx>=l::1808471k02945221a:>107348;n;47919~w76>j3:1?v3=08`9325<5;:2o7<=3:?14fg=:;90q~7}::9i26:9<;<37e7<58oi0q~6}::93h64;6;<03gd<3>916>=l7:9;3?xu580i1<7=t=32:g?10;279<4j52318976dj389?6s|21dg>5<5s48;ol48729>51g42;:mh6s|21`:>5<4s48;5i46589>65ee2=<;7053z?14901?>6e;017>;58ji1>?=4}r03b`<72;q6>=mm:650?873i=09=7j:87:?847kj0?:=5221``>=?73ty9<4k50;1x976>m3=6?>if:p65?a2908w061;297~;580;1;:=4=32:6?45;27921434;?5:4=0g38yv47i80;6>u221;1><3>348;nk4;619>65g5213;7p}=08094?5|5;:2>7983:?14<5=:;901?>l0;017>{t:9l96=4={<03fc<0?:16=977:32e6>{t:9k86=4<{<03=6<>=016>=m?:543?847i=035=5rs32:7?6=;r79<4=57618976><389?63=0b396754?:3y>65e72>=870?;98814c565?320?27064;547>;580?1>?=4=32`6?45;2wx>=h;:181847k80<;>5215;b>76a<2wx>=o8:1808471<02945221a1>107348;m547919~w76>=3:1>v3=0879325<5;:h?7<=3:p65`22909w0i5:p65g>2909w0l3;547>;6<0i1>=h9;|q2a`e=838p1;61=hkl;|q2a`d=83hp1;6n0i18=>4=0663?7bmk16=9;7:0gff>;6<<<1=hkm;<37<7<6mlh01<:71;3fag=:9=286;6<>n1=hkm;|q2b72=838p1;68:33`?87a8109=n521g2:>77d34;m5c6e2;;h70?i0b815f=:9o:o6??l;<3e45<59j16=k>>:33`?87a8;09=n521g20>77d34;m<94=1b9>5c622;;h70?i448;=5=z{8oni7>54z?2acc=19n01;6mmh18;>4=0653?7bml1v9j4=0645?7a;;1v1<76`b3ty:j>650;0x94`7038?h63>46695c5?3ty:ik650;1x94`7033;h63>47495``?34;nh=4v3>f1;961b<58><97?i3`9~w4ca13:1?v3>f1;9=5b<58>=:7?jf89>5`b52:ln7p}>f2a94?4|58l;m7<;d:?2020=9o9h7p}>egc94?5|58l;m77?d:?2030=9llj70?jd580b`=z{8l8i7>52z?2b5d=:=n01<:87;3e7`=z{8omn7>53z?2b5d=19n01<:96;3fbg=:9ln=6>hj;|q2b16=838p1;6<>21=k:?;|q2ace=839p1;69j4=064=?7a<;1v55rs0ge4?6=;r7:j=?591f8942113;nj=521da3>6`b3ty:j?o50;0x94`7:38?h63>47f95c4f3ty:ik?50;1x94`7:33;h63>47:95``634;no?4v3>f11961b<58>=i7?i2b9~w4ca:3:1?v3>f119=5b<58>=47?jf39>5`e32:ln7p}>f3g94?4|58l;87<;d:?203`=9o8n7p}>eg194?5|58l;877?d:?203>=9ll870?jc780b`=z{8l8<7>52z?2b53=:=n01<:80;3e75=z{8om87>53z?2b53=19n01<:98;3fb1=:9li36>hj;|q2adb=839p1;6mk>1>?=4=0gf6?45;2wx=k>j:18187blk0<;>52154b>4`7m2wx=hm6:18087bj=0294521dg1>10734;nol47919~w4ce<3:1?v3>ec69325<58oi97<=3:?2a`5=:;90q~?i1483>7}:9lo96:9<;<3734<6n8?0q~?jcc83>6}:9lh>64;6;<3fa6<3>916=hml:9;3?xu6mk?1<7=t=0ga1?10;27:io85231894cb<389?6s|1g35>5<5s4;ni>48729>511528l::6s|1dag>5<4s4;nn;46589>5`c32=<;70?jcd8;=5=z{8oi:7>53z?2ag0=?>901;6ml?1>?=4}r3e52<72;q6=hk;:650?873?:0:j<94}r3fgc<72:q6=hl8:87:?87bm<0?:=521df3>=?73ty:io950;1x94ce?3=ec:9675<58on:7<=3:p5c7?2909w0?je48436=:9==?68:p5`b62908w0?jb98:1<=:9lo=698?;<3f`721434;?;84>f0;8yv7bl:0;6>u21d`:><3>34;ni:4;619>5`b3213;7p}>ec;94?5|58oi57983:?2agg=:;901{t9o;j6=4={<3fa2<0?:16=999:0d2e>{t9ln>6=4<{<3ffd<>=016=hk7:543?87bl?035=5rs0gae?6=;r7:ioo5761894cej389?63>ed;96755`c?2>=870?;7682b4d5`de20?270?je88725=:9ln3657?;|q2agd=839p1;6mki1>?=4=0gfe?45;2wx=k?l:18187bm00<;>52155;>4`6k2wx=hj6:18087bjj0294521dgb>10734;nhl47919~w4cek3:1?v3>eca9325<58ojj7<=3:?2aae=:;90q~?i1e83>7}:9loj6:9<;<373<<6n8n0q~?jbe83>6}:9lkm64;6;<3f`f<3>916=hlj:9;3?xu6mhl1<7=t=0gbb?10;27:io>5231894ccl389?6s|1g2e>5<5s4;nhn48729>510e28l;j6s|1d`e>5<4s4;nn=46589>5`bc2=<;70?jc18;=5=z{8oi<7>53z?2ag6=?>901;6mmo1>?=4}r3e55<72;q6=hjk:650?873>j0:j<>4}r3fg4<72:q6=hl>:87:?87bll0?:=521da1>=?73ty:io?50;1x94ce93=ec09675<58ooj7<=3:p5c762909w0?jdd8436=:9=1:p5`e42908w0?jb38:1<=:9lnm698?;<3fg152318yv7a9;0;6?u21dfe>21434;?:h4>f008yv7bk<0;6>u21d`0><3>34;ni=4;619>5`e1213;7p}>ec194?4|58oi?7983:?2a`7=:;90q~?i1283>7}:9lo;6:9<;<372c<6n890q~?jc683>7}:9lo:698?;<3fg=4;296~;6ml;1;:=4=0644?7a9=1v9j4=0db7?>>82wx=k7k:18g87a1m026`b34;?9:4>f8f89420n3;m5i52157;>4`>l27:88851g;g?873?h0:j4j4=0db7?5am27:85>51g;g?873080:j4j4=064a?7a1m16=k;9:523?873?m0:j4j4}r3e=g<72;q6=k7n:36g?87a1j035=5rs0d:e?6=lr7:j4o591f894`>k3>;=63>44595c?f34;?;k4>f8c8942203;m5l521575>4`>i27:8:o51g;b?87ai:08jk5215:3>4`>i27:85?51g;b?873?l0:j4o4=0db6??2127:j87594;8yv7b:k0;6?u21g;1>72c34;m4l47919~w4`>=3:1:v3>f80966g<58l2=7<;6n0=1>>o4=06;6?7a1<1v520a894`?n38:o63>f869<<65c?520:o70?i8`80b`=:9o326>hj;|q2a7g=838p1;6n13144>4}r3e:82g?87a0008jk521g:b>16634;m544;019~w4c513:1>v3>f82961b<58l347660:p5c>d290>w0?i918:4a=:9o236>hj;<3e<<<4nl16=k6n:2de?87a1008jk5rs0d;f?6==r7:j5h525f894`?n33;h63>f9:97c`<58l357:?0:?2b=g=<9:0q~?i5`83>7}:9o>h6?:k;<3e1g<6c34;?;o4>f5c89420k3;m8l5215:0>4`3i27:j8853gg89420l3;m8l5rs0d7b?6=:r7:j9k525f894`2<3=5<4s4;m8h460e9>5c3320?270?;8282b1d5c212;9j70?;7`82b1133;h63>f5797c`<58>=87?i479>510f28l?:63>49095c2134;?;<4>f5489420:3;m8;521550>4`3>27:8::51g65?873?<0:j984=0642?7a;6<>21=k:9;<373<<6n=<01<:80;3e03=:9==70?;6e82b10<58>=o7?i479>510e28l?:6s|1g53>5<5s4;m:k4=4e9>511a28l<<6s|1g52>5<5s4;m:k4=1b9>51>728l<=6s|1g4e>5<4s4;m:k460e9>5`4d2;>o70?;5`82b3`5c>22;>o70?i968:4a=:9o3h6>hi;<3712<6n0=01<:8f;3e=2=:9=2;677d34;m;k4=1b9>5c1d2;;h70?;8082b2b5c>220:o70?i85810a=:9o;6n191>9j4=0d5e?43l2wx=k96:18087a0:0272c34;m:44=4e9~w4`003:1?v3>f909=5b<58l3=7<;d:?2b3>=:=n0q~?i7683>6}:9o2:64>k;<3e<5<5<1<7=t=0d;4??7l27:j:h525f894`1>38?h6s|1g56>5<4s4;m;k460e9>5c1d2;>o70?i64810a=z{8l<87>52z?2b2e=19n01{t9o?09=n521g44>77d34;m:54=1b9>5c0>2;;h70?i6`815f=:9o7}:9o3<6??l;<3e=<72;29=~;58>k1>c:?14=6=:8i01?>71;02g>;6<1l1>=6=;|q1424=838p1?>8a;;3`>;58?21>9j4}r0336<72;q6>=9m:82g?847>0098i5rs3240?6=:r79<:m591f89761i38?h6s|2156>5<5s48;;i460e9>650e2;>o7p}=06494?4|5;:7}::9=m64>k;<032a<588;296~;581:15=j4=325a?43l2wx>=96:181847080272c3ty9<8;50;`x9763l38?h63=0479=5b<5;:>>7<;d:?141e=;ol01<:7c;0310=:9=2i6?>:5:?20=g=:9?>70<>288745=:9=2n6?>:5:?140b=;oo01?>:e;1ea>{t:9?;6=4={<030a<59j16=96n:3264>{t:9>o6=4<{<030a<>8m16=96m:327`>;6<1k1>=:k;|q14=3=838p1?>74;07`>;5813144>4}r03<1<72;=p1?>74;;3`>;bmj0?<<52eed9057<5ln969>>;add=<9;01h7j:522?8c>93>;=63j858744=:m>=18=?4=d4b>16634o>h7:?1:?f15<38816i9=541389`522=::70k=9;635>;b9j0?<<52e1d9057<5l:969>>;`ad=<9;01imj:522?8bd93>;=63kb58744=:lh<18=?4=e;b>16634n3h7:?1:?g<5<38816h:=541389a022=::70j:9;635>;c>;`5g=<9;0q~7}::9?>6?:k;<377}::9?>6??l;<031c<0?:1v?>;b;296~;58=;1>9j4=327g?>>82wx>==k:18:847<802167348;8l465212=::707b;;6=>;6<1n1>==k;|q141?=838p1?>;2;07`>;58=k144>4}r037`<72kq6>=:=:82g?847<108jh52216b>6`a348;8;451322;:8i63=09597cc<5;:3m7:?0:?14=>=;ol01?>7c;1ea>;6:0=1>==j;<377}::9>86?:k;<030=39mi63>4479655a348;4:465>f2:ln70hi;<31=2<58:l01<:7d;037c=z{;:?97>52z?1412=:=n01?>;6;::4>{t:9>;6=48{<0301<>8m16>=:n:522?8477638279<5853gd8976?139mi63>49f965273ty9<8=50;0x9762:38:o63>49`965343ty9<8<50;0x9762:33;h63=13c9056650?2;;h70=8l:33`?847>m09=n52214f>77d348;:k4=1b9>51>b2;:<<6s|2143>5<5s48;:5460e9>65>f2=::7p}=07394?4|5;:=577?d:?14=1=<9:0q~7}::9k;<0393;296~;58?h15=j4=00:3?47>:1v?>94;296~;58?i15=j4=32;e?5an2wx>=8::181847>m021663ty9<;850;0x9761m33;h63=0949057650a20:o7052z?2a7e=19n01<::a;3f6f=z{8o?h7>510y>5`232;;h70?j42815f=:9l>96??l;<3f04<59j16=h:?:33`?87b;o09=n521d6`>77d34;n8o4=1b9>5`2f2;;h70?j48815f=:9l>36??l;<3f02<59j16=h:9:33`?87b<<09=n521d1f>77d34;n?i4=1b9>513>28o?h6s|1d10>5<5s4;n89460e9>654>2:ln7p}>e2094?4|58o??77?d:?1471=;oo0q~?j3083>7}:9l>964>k;<0360<4nl1v6`b3ty:i?k50;0x94c4n33;h63=00d97cc5`2d20:o7052z?2a1d=19n01?><9;1ea>{t9l9j6=4={<3f0d<>8m16>==8:2df?xu6m:31<7;53gg8yv7b;10;6?u21d6;><6c348;?>4v3>e559=5b<5;:8=7=ie:p5`512909w0?j478:4a=::98m6>hj;|q2a63=838p1;58;n1?kk4}r3f71<72;q6=h=j:82g?847:k08jh5rs0g1`?6=:r7:i>j591f89766l39mi6s|1d73>5<5s4;n8k4=3`9>513f28o><6s|1d6e>5<3s4;n8k460e9>513c28o?j63>44a95`2a34;?4=4>e5d8yv5??<0;6?u2173b>6>0=2784;j594;8yv5?>m0;6?u2394g>2143493:h4=229~w6>0>3:1>v3>60`97=113493:h46589~w6>1m3:1>v3<87g9325<5:2=j7<=3:p7=102909w0?91b80<21<5:2=j77:9:p7=0a2909w0=76g8436=:;1=;6?<<;|q0<2>=838p1<8>d;1;3==:;1=;64;6;|q0<26=838p1>680;547>;40>;1>?=4}r1;3<<72;q6=;?j:2:4=>;40>;15874}r1;34<72;q6?59>:650?85??;09>>5rs2:4e?6=:r7::0;389?6s|395a>5<5s4;=>=4<86`896>0;33>56s|3950>5<5s493;>48729>7=132;887p}<86a94?4|58<9=7=77b9>7=1320?27p}<87a94?g|5:2<87983:?01c`=<9;01>8i1;635>;4?8>18=>4=253g?278278;=:54128960ak3>;<63<87397c`<5:2o97:?0:?26fb=;193:94?4|58>9m7?6299>5<4720?27p}>93294?4|5839<7983:?2=77=:;90q~?62883>7}:9=8i6<7=9:?2=77=1<30q~?62083>7}:908:6:9<;<3:67<5::1v<7=a;296~;6<;i1=4=01v<7=2;296~;61;81;:=4=0;17?45;2wx=4::0<;>521807>7443ty:5?m50;0x9425m3;2>n521807><3>3ty:5?:50;0x94?5<3=9379675i4?:3y>514a2839h63>9379=0?84?:3y>5<422>=870?6278166=z{839i7>52z?2066=908n70?6278:1<=z{839:7>52z?2=70=?>901<7=7;017>{t908m6=4={<3774<61;l01<7=7;;6=>{t:?>36=4={<37`<<5>=201?8;0;;6=>{t:?>;6=4={<0505<0?:16>;:>:300?xu5>=31<7;:>:87:?xu5>=;1<7703i279:9<594;8yv41<;0;6?u22761>214348=8>4=229~w703j3:1>v3>4ea9632e348=8>46589~w703;3:1>v3=6519325<5;>6?<<;|q121b=838p1<:ke;050a=::?>>64;6;|q1213=838p1?8;5;547>;5>=<1>?=4}r050`<72;q6=9ji:347a>;5>=<15874}r0503<72;q6>;:9:650?841<>09>>5rs347b?6=:r7:8h>5276e?841<>02945rs3464?6=:;q6>;:8:650?845=;08jk522373>6`a34898h4672d2:lm70<=4`80bc=::;>36>hi;<0103<4no16>?08jk52233:>6`a3489=94677f2:lm70<=1b80bc=::;;n6>hi;<0165<4no16>?<=:2de?845:=08jk522305>6`a3489>54674f2:lm70<=2e80bc=::;9;6>hi;<0177<4no16>?=;:2de?845;?08jk52231;>6`a3489?l4675d2:lm70<=3d80bc=::;>;6>hi;<0106<4no16>?:::2de?873k?09:8>4}r436588289437k3<;46s|64694?4|58?;o78:4:?2757=><>0q~8n6;296~;6;:;1:l84=3:e3?5an2wx>5h9:18084?n>0?<<521425>7>a>2794ko594;8yv17i3:1>v3>32d935g<58?;:79?a:p0d>=838p1<<66;6b<>;51:l18=>4}r0:6a<72=q6>4=i:522?84?mh08jh522912>6`a34;><54=93f8yv4?j00;6?u229`2>6`a3483m84<429~w7>d;3:1>v3=8c39056<5;2j47=:a:p6=d?2909w0<7b180bc=::1k?6>:<;|q1;50h21?9h4}r0;f2<72;q6>5oi:2de?84?i:088>5rs3:`5?6=:r794lh5412897>f039?86s|29`5>5<5s483mh46=g52:>87p}=8b294?4|5;2ji7:?0:?1=;=90q~<7b483>7}::1ko6>hi;<0;e4<4<:1v?6mf;296~;50hn18=>4=3:b3?52i2wx>5l;:18184?ij08jk5229c3>6243ty94ok50;0x97>fk3>;<63=8`5971`4?:3y>6=ge2:lm70<79g8006=z{;2ih7>52z?1{t:1h96=4={<0;ed<4no16>57j:260?xu50ki1<72d591f3<58>mj7;l5:?2153==j?01<:ie;7`1>;5?l31?kh4}r7f1?6=:r7945<5882897>?93>;<6s|2957>5<5s4834<4;009>51`a2;2<86s|2956>5<3s4;><=4=8678942an383;85215df>7>0=279;h754128yv4??>0;69u21422>7>0?27:8kh52954?873nl094:94=35f=?2792wx>4:l1v?7=f;296~;6=9k1>47}:9<:>6?6k4:?17}::1n:657?;<0;`7<3881v?6k2;296~;50m91?kh4=3:g6?>>82wx=nm9:1815~;6l?l1?kh4=0fba?27827:hlm5412894bfi3>;<63>d`:9056<58nj:7:?0:?2`d2=<9:01;6lh:18=>4=0f:a?27827:h4m5412894b>i3>;<63>d8:9056<58n2:7:?0:?2`<2=<9:01;6l0:18=>4=0f;a?27827:h5m5412894b?i3>;<63>d9:9056<58n3:7:?0:?2`=2=<9:01;6l1:18=>4=0f4a?27827:h:m5412894b0i3>;<63>d6:9056<58n<:7:?0:?2`22=<9:01;6l>;144>4}r3a65<72;;p1;6k9218=>4=0a32?27827:o=:5412894e7:3>;<63>c129056<58hmi7:?0:?2fce=<9:01;6jo218=>4=0`e2?27827:nk:5412894da:3>;<63>bg29056<58hni7:?0:?2f`e=<9:01;6jl218=>4=0`f2?27827:nh:5412894db:3>;<63>bd29056<58hoi7:?0:?2fae=<9:01;6jm218=>4=0`g2?27827:ni:5412894dc:3>;<63>be29056<58hhi7:?0:?2ffe=<9:01{t:ol86=4<{<363a<5no901>;6=k81>kh<;|q2a75=839p1<::5;3f66=::9>h69>?;<371}:9>>>69>l1:?237g=<9i:70:?cc8;=5=:9>9969>l1:p05e52908w0?844874f4<58=9m7:?c39>0421213;7p}:3483>74|58<:>7;<5:?0<5e=<9;01>9j7;635>;4?l;18=?4=0424?34=278o<653gg8963an39mj63h9d;1ea>;4n131?kk4=2f7e?5am278h5>53gg896b>?39mi63k<7;1ea>;4m=i1?kk4=2:54?279278h=<53gg896>103>;=63>5gc9163<58?m47;<5:?21c0==:?01<;i4;701>;41<818=>4=2dae?>>8278n8?5412896b1<39mi63d}:;>lo69>>;<14bd<38816?5>7:523?85e=10?<<52422g>=?734;<>:4;3da8961bm3>;<63<87:9056<5:2>477:9:?0f<6=<9;0q~6}:;8h>6>hi;<363`<5no?01<;n2;0eb0=z{;lm;7>53z?05g3=<9:01<;70;0eb2=:9b48744=:9<=m6?hi6:?21d7=:ol=70?:a381bc084?:2y>5c3e2:lm70?;5782a73<58>f4`9056<58>>47?j269>511e28o9;6s|1d05>5<3s4;m9o4;009>513028o9:63>46`95`4134;?;n4>e348yv7dk00;6?;=63>d`:9057<58nj:7:?1:?2`d2=<9;01;6lh:18=?4=0f:a?27927:h4m5413894b>i3>;=63>d8:9057<58n2:7:?1:?2`<2=<9;01;6l0:18=?4=0f;a?27927:h5m5413894b?i3>;=63>d9:9057<58n3:7:?1:?2`=2=<9;01;6l1:18=?4=0f4a?27927:h:m5413894b0i3>;=63>d6:9057<58n<:7:?1:?2`22=<9;01;6<=o1=nm6;<3`a1{t9k886=4=2z?2g5>=<9;01;6k9>18=?4=0a36?27927:o=>5413894dam3>;=63>bga9057<58hmm7:?1:?2fc>=<9;01;6jo>18=?4=0`e6?27927:nk>5413894dbm3>;=63>bda9057<58hnm7:?1:?2f`>=<9;01;6jl>18=?4=0`f6?27927:nh>5413894dcm3>;=63>bea9057<58hom7:?1:?2fa>=<9;01;6jm>18=?4=0`g6?27927:ni>5413894ddm3>;=63>bba9057<58>8i7?m229>5g5b213;70?;3g82f7553422:38563>63197<5>3ty85>950;0x9405<392?:521700>6?4?2wx8>?>:18:8249;035=52423e>8>=46b19>067c20h;70:<1d8:f5=:<:;264l?;<605d<>j9168>?m:8`3?8249j02n=5rs2`;`?6=ir7::<:53c:g?8719908n5j4=2`:6?27927:;?<53c:g?871;6>8;1?o6k;<1a=2<>=016?o;>:522?xu40>8278n5h53gd896e603>;<63m?9;634>;4k9?18=>4=2a35?278278nkj5412896da>3>;<63lj6;634>;4jl818=>4=2`ga?278278nio5412896dc>3>;<63ll6;634>;4jj818=>4=2`aa?278278noo5412896de>3>;<63ln6;634>;4jh818=>4=2`:a?278278n4o54128yv24jk0;6?u216:2>15ej27??;j517f8yv4an=0;68u214`2>7`a<27:9o>52gd7?872j:09jk:4=07a1?4an=16??l::650?xu5<:=1<7:t=06a6?43;>16>8o9:522?842i>0?<<5224;a><3>3ty:i?:50;4x942?j3;n>95215:b>4c5<27:85k51d07?847=j0<;>52217g>167348;9h449d96<2634;?944=95389421;3828<52142g>7?3927:?9752862?847=m0?<<5rs747>5<3s4;8<549659>512d2??;|q2gf1=839p1<:;c;3`g2=:9ji;69>>;<31ef<6kj=0q~89f;290~;6;891:;h4=060g?01n27:n585412894d6>3>;<6s|1c02>5<4s4;??n4>b33894d6i3>;=63>2`f95g463ty9ml=50;6x9437<38jm>521420>7gf;27:;8<52`c0?872<809ml=4}r63g5<72>q6=::7:52`4>;6?;o18=m?;<346d<38j:01<9=d;63g5=:9>9i69>l0:?2310=<9i;70?846874f6:n54?:7y>522?2=;i463>73g904d?34;<8>4;1c:8917di322<63>725904d?34;<8:4;1c:8yv26j>0;68u2166;>17e?27:;?k540`4?870<=0?=o94=0503?26j>168;6?=>18{t=l81<7:t=0424?3b:27::<755d0896`d9322<63>60491`452z?20cb=>h=01<=<2;4b3>{t:h3o6=4<{<37ba<5i0n01<:ic;0b=a=:<;=36:9<;|q5=d<72;q6?5>7:522?85?80035=5rs2:6=?6=:r784875882896>2>3>;<6s|24:4>5<5s4;?ok4=5958942dm38>4:5rs37;f?6=:r7:8i>524:a?874:l0995l4}r06=5<72;q6=9j>:37:4>;5=1218=?4}r06=4<72;q6=9j=:37:5>;5=1218=>4}r06<=<72;q6>867:9;3?873kl099564}r4;f?6=:r7:8nk569`89455m3<3n6s|2`;a>5<2s4;??l4=a8`89424=38j5o52151:>7g>j27:8>952`;a?825?;0<;>5rs7f:>5<5s4;8?949d89>51b22?n27p}=a8a94?0|58>o97505e2;k2o63>4d796d?d34;?ih4=a8a8942b;38j5n5215c`>7g>k2wx=h8;:18087b08035=52157f>4c1<27:8;<51d47?xue?:0;69u2127e>g1434;89n4m729>563c2k=870?=928a36=z{;3?>7>54z?21g0=:0>970?:8881=14<58?3=7<6439>506b2;3?>6s|2`c3>5<4s4;??94=a`289424:38jm=52141f>7gf82wx=h8<:187873=l0:i;=4=066f?7b>:16=98=:0g57>;6=n:7d6?8d183>;<6s|2`c1>5<0s4h=<7:?1:?270e=:hk970?<5e81ed4<58??<756072;kj>63>28196dg534>:hl4;009~w0b6290:nv3>2d:91a7<5:oh57:?0:?235?==m;01<9>0;7g5>;4no;18=>4=523a?278278h>:5412896b3k3>;<637:?0:?0`jne;634>;4lj918=>4=2fg;<63k88;634>;4m1n18=>4=2gb6?278278io95412896ccn3>;<630}:9>>h69>l7:?237c=<9i<70?84c874f1<58=?57:?c69>522f2=:h;6s|4g694?4|58=?o7:i4:?750`=00:0q~:>bb83>0}:9>>h69?mc:?231d=<8hh70?842875ge<5=;hj7660:?2364=<8hh7p};1c`94?0|58=?o7:>bc9>522e2=;in63>756904de34;:no5240ag>=?73ty9j:h50;0x9430?38m;k521455>7`0n2wx>9<7:181873i>098?64=06bf?43:11v?:=9;296~;69<6;<37eg<5<;30q~<;2`83>7}:9=k26?:=a:?20dd=:=8j7p}=43`94?4|58>jm7<;2c9>51ge2;>9n6s|250`>5<5s4;?mo4=43a89725?3>;<6s|36d7>5<5s4;=k:25e2>;6>9o1?:h9;|q03c1=838p1<8?e;14b2=:;>on69>>;|q77gb=838p1<972;60fa=:<:5<1s49i4k4;019>53372>8=70?8888463=:;k3>69>>;<1a=3<38816?o7?:9;3?xu3;>=1<7>827??;j53c38yv5d:o0;6?u23b0e>=?7349h>i4v3>64397f4d349h>i4;019~w6e5l3:1>v3v3>791906da34>8:i4>6g9~w15d93:1>v3>796906e634>8:i4>719~w67003:1=?u214:b>670027:95l5305;?8721908=:64=07:3?56?116=877:234<>;6=031?<97;<36=d<49>201<;6b;123==:9<3h6>?88:?21<58?2j7=>799>50?12:;<463>5879741?34;>594<16:8943>;39:;55214;1>670027:94?5305;?xu6n8o1<7?={<3721<6n8o01<:95;3e5`=:9=e:?2027=9o;n70?;7382b4c<58>511328l:i63>46795c7b34;?;;4>f0g89420?3;m=h52155;>4`6m27:8:751g3f?873?90:j;655z?201g=:hk:70?;4481ed7<58?8j7512>2;kj=63>45596dg63ty??n=50;0x941?=3>8o>52424g>4163ty:mi750;1x9424=3;jh452151;>4gc127:8>851`f:?xua:o0;68u21506>c4a34;?>>4i2g9>56cc2o8m70?c7f34;8i54i1`9>56c>2o;j7p}:5c83>6}::?kh657?;<37ag<2=k16=8;n:47a?xu5<;o1<78t=06ff?43:l16=9k6:361a>;61>9;6;lh19i:4=01f=?3c<2wx>l7j:185874mk09m4k4=01f=?4f1l16=8=l:3c:a>;6;ll1>l7j;<62a7<38816=9>8:3c:a>{t:<336=4={<06==87n:2de?xu3;j?1<78k:045?xu3;j=1<7168>8k:044?xu3;j31<78k:04;?xu6m9i1<7>827:hh?54138yv7b8h0;6?u21d2a>=?734;oi=4;009~w4c703:1>v3>e1;9<<6<58noi7:?1:p5f012909w0?l668;=5=:9j9i69>>;|q2g32=838p1;6k:k18=?4}r3`27<72;q6=n8<:9;3?87d;10?<<5rs51a=?6=:r7:;5>542`:?824>m0::45rs3a71?6=:8q6>ijn:9;3?84cl>0?<<522ef6>166348oh>4;009>6ab62=::70>;<0ggg<38816>im6:522?84ck>0?<<522ea6>166348oo>4;009>6ae62=::70>;<0gfg<38816>il6:522?84cj>0?<<522e`6>166348on>4;009>6ad62=::70>;<0geg<38816>io6:522?84ci>0?<<522ec6>166348om>4;009>6ag62=::70>;<0g=g<38816>i76:522?xu5k=81<7<>{<0g`<ij8:523?84cl<0?<=522ef0>167348oh<4;019>6aea2=:;70?;<0gg<<38916>im8:523?84ck<0?<=522ea0>167348oo<4;019>6ada2=:;70?;<0gf<<38916>il8:523?84cj<0?<=522e`0>167348on<4;019>6aga2=:;70?;<0ge<<38916>io8:523?84ci<0?<=522ec0>167348om<4;019>6a?a2=:;70?;<0g=<<3891v8jm:18184clk035=522ef;>6`a3ty>hh4?:3y>6ab?2=:;70?=f386``=z{=;im7>54z?231d=<8hj70?845875gg<5=;ho7660:?2364=<8hj7p};0b494?2|58=8h7:?c79>524a2=:h:63>73f905e134;17e927:;?h540`2?826jl035=521611>17e927:;>l540`2?xu39k81<7:t=050`?26j;16=:;6?:>18l4;291~;6?:n18=m;;<346c<38j>019?;7;::4>;6?:i18=m;;<3470<38j>0q~;j4;296~;5n0>144>4=3d:7?5an2wx9h850;1x944a;3?n:63=f819056<5;nn87:?0:p6`c0290>w0v3<2d29<<6<588297==e19~w64b;3:1>v3<2d19<<6<5:8n97:?1:p77ba2909w0==dg8;=5=:;;o96>hi;|q06`7=838p1>;4:l818=>4}r03<2<72;q6>=68:9;3?8751>09<594}r03=6n:9;3?8470j0?<<5rs32;2?6=:r79<5858828976?139mj6s|21:;>5<5s48;4547919>65>>2=:;7p}<34c94?4|58?i57=<5`9>50d?2:9>m6s|327a>5<5s4;>nl4<34`8943e03989o5rs216g?6=:r7:9ol5327`?872j108?8m4}r101a<72;q6=8ll:216`>;6=k21?>;k;|q070?=83;9w0?:b98070?<58?i;7=<589>50e12:9>563>5b79763>34;>o94<34;8943d;398945214a1>652127:9n?5327:?872k908?874=07ab?54=016=8lj:216=>;6=ji1?>;6;<36gg<4;<301<;la;101<=:9=:9:?21f>=;:?270?:c68070?<58?ih7=<589~w64083:1>v3>5`a9771734;>mo4<2628yv55?80;6?u214cg>640927:9ll53352?xu4:>81<7{t;;=86=4={<36ec<4:>901<;nb;1136=z{:8=j7>513y>50ge2:8=j63<2649057<5:8387:?1:?06=0=<9;01><78;635>;4:1k18=?4=20;g?278278>5k54128964>83>;<63<2809056<5:8287:?0:?06=4=<9:01><70;634>;4:>o18=>4=204g?278278>:o54128964003>;<63>5`c9770a3ty8=:750;0x943?k39:;45214:a>67012wx?<9n:1818720m08=:o4=07;f?56?h1v>?8b;296~;6=1o1?<9m;<36h0q~=>7b83>7}:9<2m6>?8c:?21=d=;8=h7p}=11394?4|58>2>7<>009>51?62;;;=6s|2021>5<5s4;?5>4=1108942>938:;6<0;1><>;;|q1556=83;9w0?;9081556<58>2<7<>019>51?a2;;;<63>48g9646734;?5i4=1128942>k38:<=5215;a>777827:84o52023?8731009==>4=06:;6<>?;<37e1<599:01<:n3;0245=:9=k96???0:?20d7=:8:;70?;a181556<58>2:7<>019~w766?3:1>v3>4949657034;?484=0058yv47910;6?u215:4>766027:85;5213;?xu58831<7{t:9;j6=4={<37<<<588k01<:75;035d=z{;:::7>513y>51>22;:::63=00f9057<5;:9n7:?1:?147b=<9;01?>=f;635>;58:;18=?4=3207?278279<>;541289764?3>;<63=02;9056<5;:8n7:?0:?147?=<9:01?>=7;634>;58;?18=>4=3217?278279;<63>496965713ty:j3;m=k521546>4`6n2wx=k>0:j?>4=0651?7a:91v;<3720<6n;;0q~?i2383>7}:9=<267:?5g9>05022=:;7p}:7383>6}:9>8>689=;<31a6<2?;16?o;9:2df?xu38?81<7;168=8::522?xu38?91<7:168=8::2de?xu38??1<7>827?<8j54138yv5?>00;6>u2173;>6>1127848h53gd89415?393:45rs5253?6=:r7?<8j53gd89415?3>;::5rs526g?6=:r7?<8j54128941593>;9n5rs3:`0?6=jr7:9==529a7?84?1l02n=5229;e>6=g620h;70<7a38:f5=::1k864l?;<0;e1<>j916>5o::8`3?84?i>02n=5229c;>ii4?:3y>7f7>213;70=l1980bc=z{=2<6=4={<1`5=<388168=;j:9;3?xu3;k21<7>827??;j59c28yv27>90;6>u21600>161827?<8k53gg8941593>;:=5rs5255?6=;r7:;?:54142?827=l08jk521602>16192wx:l95;634>;6>=n1:;<63m7:?0:p05e4290?w0?850874f5<58=><7:?c29>525f2=:h?63>73`905e43ty98>>50;cx942b138??=5215g7>7248279;;9541289712n3>;<63=74:9056<58>jh7<;319>62232=::70<83d8744=::>9=69>>;<047d<3881vn01<:;6;3`3a=z{i=5243:2>=?73ty:on650;7x9423l3;ho5521ba3>16734;hoo4;009>5fdd2=::70?ldc8744=z{8h9>7>55z?206b=9k8970?m1`8745=:9k8>69>>;<3a53<38816=o=::522?xufjl0;6?u2127`>ddb34h3:7:?1:p1`c=839p1<:=0;7fa>;6<8l19hk4=033b?10;2wx?4;::18185>=<035=523875>6`a3ty?j>4?:00x96ea:322<63h9d;1eb>;4n131?kh4=2f7e?5an278h5>53gd896b>?39mj63k<7;1eb>;4m=i1?kh4=2f50?5an278hoh53gd896bam39mj63;o54?:7y>52262=:h463>750905e?34;<>k4;0b:8941383>;o552161f>16d027:;>h541a;?xu2n90;6?u21662>0`734;9j44:f19~w17e=3:19v3>753904d234;<8?4;1c78941383>:n8521614>17e=27?=n;58828yv26j?0;69u21662>17e>27:;9<540`5?826k>035=521614>17e>2wx9k?50;0x944ai3?m=63=48d90567>52z?26cd==o801?:6f;635>{t<8h86=4;{<31bf<39k901<9;0;62f6=:9>9?69?m3:?75f7=00:0q~:>b583>0}:9;lh69?m4:?2316=<8h?70?835875g2<58=8j7:>b59>04e4213;7p}:f283>7}:9;lh68h<;<3407<2n:1v>k?c;29=~;6><31?h>l;<351=<4m9i01<8:7;1f4f=:9??=6>k?c:?2203=;l:h70?95580a5e<58<>?7=j0b9>53352:o;o63533>2:in>6352z?220?==8i01>mj3;1ea>{t;jno6=4={<351=<4kmn01>mj0;635>{t=8o1<76ec0278oil54138yv3583:1>v3>6459176<5:io57=ie:p7fb42909w0?95780ga5<5:io:7:?1:p175=838p1<8:6;717>;4km>1?kk4}r1`g`<72;q6=;;::2a`a>;4km;18=?4}r711?6=:r7::8;5537896edn39mi6s|3b`e>5<5s4;=994;=6s|53594?4|58<>87;=7:?0gf6=;oo0q~=l8983>7}:9??86>m78:?0g=d=<9;0q~;=9;296~;6><919?74=2a;=?5am2wx?n;>:181871=;08o8?4=2a60?2792wx9?l50;0x9402:3?9n637fcd2=::70=le68744=:;j>;<1`2d<38816?n8::522?85d>90?<<523b7a>166349h9;4;009>7ddc213;7p}53z?0g`1=00:01<>;|q0a5`=83kp1>ml9;635>;4kj>18=?4=2aae?279278oo;5413896ee83>;=63l?4;634>;4ik<144>4}r1`g<<72:q6?nm6:9;3?875k<08on74=2a`g?2792wx?nm;:18085dk=035=5213a5>6ed<278on954138yv5djh0;6>u23b`b>=?734;9o:4;=6s|3b`6>5<4s49hn847919>57e>2:ii9637fd7213;70?=c`80gg6<5:ii?7:?1:p7fge2908w0=lac8;=5=:9;ii6>mnb:?0gdc=<9;0q~=la783>6}:;jk=657?;<31gf<4kh<01>mn9;635>{t;jk:6=4<{<1`e4;4kh>18=?4}r1f4`<720q6?n7l:522?85d1>0?<<523b;1>166349h4i4;009>7f>42=::70=l7d8744=:;j=269>>;<1`31<38816?lmk:9;3?xu4k0i1<7=t=2a:g?>>827:>i>53b;`?85d1o0?<<5rs2a:3?6=;r78o4958828944c939h5:523b;b>1663ty8o4<50;1x96e>:322<63>2e097f?5349h584;009~w6e?l3:1?v37f?72=::7p}53z?0g2c=00:01<>;|q0g2?=839p1>m89;::4>;6:m21?n96;<1`3f<3881v>m84;297~;4k>>144>4=00g=?5d?=16?n98:522?xu4k?l1<7=t=2a5b?>>827:>io53b4e?85d?;0?<<5rs2a5e?6=;r78o;o58828944ck39h:l523b4g>1663ty8o;;50;1x96e1=322<63>2ef97f02349h:54;009~w6e183:1?v37f042=::7p}n7660:?26a`=;j?i70=l5d8744=z{:i>:7>53z?0g00=00:01<>;|q0255=83op1>l:f;1eb>;41=i1?kh4=2;;5?5an2785:753gd896?1>39mj63<97697c`<5:h=?7:?0:?0f36=<9:01<;k4;1546=:;0?=69>?;<1:36<>=016?4;j:87:?85>0:08jk5238:7>6`a3ty=;l4?:7y>7g3a2=:;70=m628744=:;k<;69>>;<1;13<38816?5;8:523?85e=8035=5rs5:6>57g152=:;70=m6e8745=:;k<369>?;<1a33<38816?o6>:522?85e?j0?<<523c7b>1663ty>h84?:6y>7g162:lm70=m6b80bc=:;k<<6>hi;<1a20<4no16=;:k:4f6?85e?008jk5213gb>0b23ty8n:?50;0x96d09322<637g172:lm70=m738;=5=z{=952z?0f26=00:019=9d;364>{t;k=?734>8:i4>4g9~w6d1?3:1>v3l96;::4>;3;?n1=9k4}r1a20<72;q6?o8::9;3?85e>=08jk5rs4ge>57g062=:;70=m568;=5=:;k=269>?;<341c<2mo16=:8?:4ge?870=l0>ik523c51>166349i:i4;009>7g0?2=::7p}7}:;kl92;296~;6>=n1?o8=;<1a24<4no1v>l90;296~;4j?;18=?4=2`54?>>82wx8>8i:18185e>8035=52424g>42d3ty9ih:50;3f872?<09ih:4=0747?4bm=16=89l:3gf0>;5mk818=>4=3ga4?279279ilk5413897cfk3>;=63=e`c9057<5;oj47:?1:?1ad0=<9;01?kn4;635>;5m0218=>4=3g:2?278279i4:5412897c>:3>;<63=e829056<5;o3i7:?0:?1a=e=<9:01?k7a;634>;5m1218=>4=3g;=?279279i5;5413897c>i3>;<63=e8f9056<5;o2j7:?0:?1ad7=<9:01?kn3;634>;5n>?18=?4=074k6>:523?xu68==1<7=t=0632?77<>16=9>6:0273>;6<9=1==:8;|q2410=833p1<:?6;3303=:9=;<6<>;6:?2043=99>=70?;1282410<58>:=7??479>516b28:?:63>41a9552134;?05489427;3;;8;5rs0266?6=1r7:8<651171?8739?0:<8<4=0620?77=;16=9?=:0266>;6<9l1==;=;<374a<68<801<:?b;3317=:9=:36<>:2:?205?=99?97p};9283>6}:9;2m697<;<1ef1<38916?kj6:523?xu5k=>1<79t=076e?4d<=16=8;i:3a70>;6=n:;;<3627<5k=>01<;90;0`01=:9?7p}=c5494?0|58?>m7503b2;i?:63>54d96f2134;>:=4=c5489432038h8;52147`>7e3>2wx9k:50;0x944al3?m863>56391c2516328:?>63>415955253ty8n8;50;0x9403k39i98523c74>1673ty8n8850;0x96d2>322<635g64213;7052z?2f54=00:01?o60;635>{t:hk26=4={<3a44l6i:522?xu5ih21<7>8279m5k54138yv4fi>0;6?u21`de>=?7348j4i4;009~w6e6?3:1>v3>76d97f70349h=847919~w1>?290976d90=><58=;6?>h18564=054e?2?027:;:7549:8941003>3463>76590=><58=<:7:78:?2323=<1201<984;6;<>;6?>918564=0546?2?027:;:?549:8941083>3463>77d90=><58==i7:78:?233b=<1201<99c;6;<>;6??h18564=055e?2?027:;;7549:8941103>3463>77590=><58==:7:78:?2333=<1201<994;6;<>;6??918564=0556?2?027:;;?549:8944?k3>346s|42`4>5<5s4;<;k4;3c589151l385<5s4;<;h45<5s4;<;h4;3c489151l385<5s4;<;i45<5s4;<;i4;3c789151l38<56s|3b2a>5<5s4;<;n45<5s4;<;n4;3c689151l38<46s|3b24>5<5s4;<;o45<5s4;<;o4;3c189151l38<;6s|3b20>5<5s4;<;l45<5s4;<;l4;3c089151l38<:6s|3cde>5<5s4;<;445<5s4;<;44;3c389151l385<5s4;<;54322<6s|42ce>5<5s4;<;54;3`d89151l38<86s|3cd7>5<5s4;<;:45<5s4;<;:4;3`g89151l38=46s|3cd3>5<5s4;<;;45<5s4;<;;4;3`f89151l38<>6s|3cg`>5<5s4;<;845<5s4;<;84;3`a89151l38<=6s|3cg;>5<5s4;<;94322<6s|42ca>5<5s4;<;94;3``89151l38<<6s|3cg7>5<5s4;<;>45<5s4;<;>4;3`c89151l38=j6s|3cg3>5<5s4;<;?45<5s4;<;?4;3`;89151l38=i6s|3cf`>5<5s4;<;<45<5s4;<;<4;3`:89151l38=h6s|3cf;>5<5s4;<;=4322<6s|42c4>5<5s4;<;=4;3`589151l38=o6s|3cf7>5<5s4;<:k45<5s4;<:k4;3`489151l38=n6s|3cf3>5<5s4;<:h45<5s4;<:h4;3`789151l38=m6s|3ca`>5<5s4;<:i45<5s4;<:i4;3`689151l38=56s|3ca;>5<5s4;<:n4322<6s|42c0>5<5s4;<:n4;3`189151l38>h6s|3ca7>5<5s4;<:o45<5s4;<:o4;3`089151l38=;6s|3ca3>5<5s4;<:l45<5s4;<:l4;3`389151l38=:6s|3c``>5<5s4;<:445<5s4;<:44;3`289151l38=96s|3c`;>5<5s4;<:54322<6s|42;e>5<5s4;<:54;38d89151l38=86s|3c`7>5<5s4;<::45<5s4;<::4;38g89151l38=?6s|3c`3>5<5s4;<:;45<5s4;<:;4;38f89151l38=>6s|3cc`>5<5s4;<:845<5s4;<:84;38a89151l38==6s|3cc;>5<5s4;<:94322<6s|42;a>5<5s4;<:94;38`89151l38=<6s|3cc7>5<5s4;<:>45<5s4;<:>4;38c89151l38>j6s|3cc3>5<5s4;<:?4m322<6s|42;:>5<5s4;<:?4;38;89151l38>i6s|3c;`>5<5s4;<:<4i322<6s|42;;>5<5s4;<:<4;38:89151l38>o6s|3c5:>5<5s49i;447919>7g122:lm7p}7}:;k=>657?;<602a<6<<1v>7:9;297~;41<3144>4=2;6e?2792785;h54128yv5>=h0;6?u2387b>=?734929i4v3<94`9<<6<5:3>h7:?1:p7<588n=7=6999>563>2:32463<77d9056<5:<3;7:?0:?227d=;03370?<5180=<><58<;87=6999~w3712909w0hi;|q1g11=83?p1?jj4;635>;6=?91>n:8;<3624<5k==01<;94;0`02=::mo;6:9<;|q751>=839p1<9;3;620==:9>8o69?;8:?236d=<8>37p};15;94?5|58=?87:>489>524c2=;?563>72`9042>3ty85;>50;0x96?18322<63<97097c`53402:3==63<97090567<05213;70=6658745=z{:3=?7>52z?0=35=00:01>794;635>{t;=826=4:{<1:2c<38816=8j?:261=>;6=jl1?9<6;<1:3g<38816?498:523?xu41?l1<7>82785:<53gd8yv5>?90;6?u23853>=?73492;?4;009~w72403:1?v3>4c19615?34;?h:4=42:8973c<3>;=6s|385;>5<5s492;547919>7<1>2=::7p}91983>7}:;09h6>hi;<1:7a;if;295g}:;09h69>?;<16bc:27eb>;4?;218=>4=2512?278278;?:541289615:3>;<63<7329056<5:=:i7:?0:?034e=<9:01<8=a;16bc=:;>;j69>>;<36b<<4=ol01<;i7;16bc=:96>;if:?21c5=;50b22:?mj63<67c9056<5:<=n7=if:?0==2=<9:01<8?6;16bc=:;>8m69>?;<146a<38916?;h=:523?851mo0?<=5rs2:6a?6=>r785>m541389412l3939h524204>16734;<944<84g896??<3>;=63>60497=3b3ty?6`a34>:>>404472:lm70:>1e80bc=:<8;;6>hi;<624a<4no168<>m:2de?8268108jk524020>6`a34>:<=405`c2:lm70:?f`80bc=:<9l<6>hi;<63b1<4no168=h?:2de?870:j0?;=63;10697cc8>l4?:4y>522a2=99m63>73a9064f34;8>l5239f6>=?73ty98>:50;7x942bl38??95215g4>724<27:8h<52517?873j9098>:4=06f5?43;=1v?:<3;291~;69=<;<37ad<5<:901<:j8;0776=:9=o=6?:<3:?20d`=:=987p}>e9194?5|58>=>7?j829>513c28o3?63>44a95`>43ty:i5<50;0x942193;n4?52157e>4c?:2wx?ohn:181871=908nko4=2`ef?2792wx?oh6:181871l?:18185enk035=52424g>7123ty>h=4?:0`x96b7;322<63h98;635>;4n1>18=?4=2f72?279278h;<5413896b0k3>;=63jj1;635>;4lo<18=?4=2g3f?279278i9<5413896c2?3>;=63knb;635>;4mj:18=?4=2gg7?279278ih65413896cal3>;=63v3<96a9056<5:3>;|qfad<72;q6=>k::dgb?8cbj3>;=6s|edf94?4|589n:7kjd:?fa0<3891vhkj:181874m>0nih52ed4905652z?fa1v3>3d09aab<5lnn69>>;|qfa5<72;q6=>k<:dg3?8cc03>;<6s|ed394?4|589n87kj1:?f`<<3891vhj8:1818cc?322<63jd880bc=z{ln;6=4={<30`cv3>3d29aa5<5lii69>?;|qf`1<72;q6=>k>:df7?8cdk3>;<6s|ebc94?4|5lij657?;v3>3eg9af1<5lhm69>?;|qffa<72;q6ioj588289`da2:lm7p}jb783>7}:9:n26hl9;v3jb18;=5=:mk81?kh4}rgb=?6=:r7:?i85e`;89`gf2=::7p}jab83>7}:9:n<6hol;>827nm847}:9:n>6ho?;{tm1l1<74}rg:7?6=:r7:?i<5e8189`>e2=:;7p}j8883>7}:m13144>4=d:a>6`a3tyn4?4?:3y>56ec2l2970k73;635>{tm1?1<712909w0?o18=>4}rg4g?6=:r7n;n47919>a2c=;ol0q~k85;296~;6;jk1i:;4=d55>1663tyn;54?:3y>56ee2l=370k80;634>{tm>31<7;b?808jk5rsd4;>5<5s4;8o:4j699>a3?=<9;0q~k9b;296~;6;j21i;l4=d40>1673tyn:n4?:3y>56e>2l{tm?81<7=?734o=87=if:pa0d=838p1<=l4;g6f>;b=j0?<<5rsd7f>5<5s4;8o84j5d9>a00=<9:0q~k:f;296~;6;j<1i8h4=d74>1673tyn984?:3y>a03=00:01h;8:2de?xub`2b34o?j7:?1:pa07=838p1<=l2;g65>;b<00?<=5rsd71>5<5s4;8o>4j539>a1g=<9:0q~k;8;296~;b<1035=52e5c97c`52z?27gc=m=;01h:=:522?xub<=0;6?u212`e>`2334o8o7:?0:pa13=838p1<=l0;g71>;b;m0?<=5rsd1a>5<5s4o8n7660:?f7a<4no1vh=<:181874jk0n?>52e26905752z?27ge=m:<01h0;6?u212`g>`5034o9j7:?0:pa7b=838p1hl52e30905652z?27gg=m;h01h<<:523?xub:80;6?u2e339<<6<5l886>hi;|qf5d<72;q6=>l::d3b?8c6j3>;=6s|e0f94?4|589i:7k>d:?f50<3891vh?j:181874j>0n=h52e04905652z?f51v3>3c09a5b<5l:n69>>;|qf55<72;q6=>l<:d33?8c703>;<6s|e0394?4|589i87k>1:?f4<<3891vh>8:1818c7?322<63j0880bc=z{l:;6=4={<30ecv3>3c29a55<5mli69>?;|qf41<72;q6=>l>:d27?8bak3>;<6s|dgc94?4|5mlj657?;v3>3`g9`c1<5mom69>?;|qgaa<72;q6hhj588289aca2:lm7p}ke783>7}:9:k26ik9;v3ke18;=5=:ll81?kh4}rfg=?6=:r7:?l85de;89abf2=::7p}kdb83>7}:9:k<6ijl;>827oh847}:9:k>6ij?;{tlkl1<74}rf`7?6=:r7:?l<5db189ade2=:;7p}kb883>7}:lk3144>4=e`a>6`a3tyon?4?:3y>56?c2mh970jm3;635>{tlk?1<74}rfbg?6=:r7omn47919>`dc=;ol0q~jn4;296~;6;0k1hl:4=ec6>1663tyom:4?:3y>56?e2mk<70j6f;634>{tlh21<7;ci908jk5rse;;>5<5s4;85:4k999>`1673tyo5n4?:3y>56?>2m3h70j64;634>{tl081<7=?734n287=if:p`=d=838p1<=64;f;f>;c0j0?<<5rse:f>5<5s4;8584k8d9>`=0=<9:0q~j7f;296~;6;0<1h5h4=e:4>1673tyo484?:3y>`=3=00:01i68:2de?xuc?l0;6?u212;2>a1b34n;c?00?<=5rse:1>5<5s4;85>4k839>`2g=<9:0q~j88;296~;c?1035=52d6c97c`52z?27=c=l>;01i9=:522?xuc?=0;6?u212:e>a1334n=o7:?0:p`23=838p1<=60;f41>;c>m0?<=5rse4a>5<5s4n=n7660:?g2a<4no1vi8<:1818740k0o:>52d76905752z?27=e=l?<01i;j:523?xuc>>0;6?u212:g>a0034n>j7:?0:p`0b=838p1i;k:9;3?8b2n39mj6s|d4594?4|589347j:7:?g1=<3881vi;n:181874000o9l52d409056n7>52z?27=g=lhi;|qg0d<72;q6=>6::e6b?8b3j3>;=6s|d5f94?4|5893:7j;d:?g00<3891vi:j:1818740>0o8h52d54905652z?g01v3>3909`6b<5m9n69>>;|qg05<72;q6=>6<:e63?8b403>;<6s|d5394?4|589387j;1:?g7<<3891vi=8:1818b4?322<63k3880bc=z{m9;6=4={<303c?54138yvb4;3:1>v3>3929`65<5m8i69>?;|qg71<72;q6=>6>:e17?8b5k3>;<6s|d3c94?4|5m8j657?;v3>36g9`71<5m;m69>?;|qg5a<72;q6h7}:9:=26i?9;v3k118;=5=:l881?kh4}rf32=::7p}k0c83>7}:9:=<6i>m;>827o<94v3=d1:9<<6<5;ioo7:?1:p6a622909w0>;|q1`55=838p1?j?4;::4>;5km318=?4}r0773<72:q6>1673ty99i<50;0x973c:322<63=5e397c`h84?:3y>60b0213;70<:d180bc=z{;?hm7>52z?20a1=:j=7>52z?20a1={t::37`f>;5=m2144>4}r06gf<72;q6>8ml:9;3?873l1099nm4}r06`d<72;q6>8j?:523?842lh0<;>5rs37`b?6=:r799i>54138942c038>ok5rs37g4?6=:r799i>58828973c93>;=6s|24f0>5<5s48>h<4;019>60b4213;7p};0bg94?4|58=887:?cd9>56572=:hi6s|41f5>5<5s4;;h;5rs52`g?6=;r7:;><541a`?870;80?ld;296~;6?:918=mk;<3470<38jn0q~;>4;29<~;6=:h19<:4=0607?36<27:9o=550689434m3?:863>51g9142<58??=7;>4:?26f6==8>019?ka;634>{tnj:1<7;t=01e0?`d827:?k?5fb28945a83lh<63>3gc9bf6<589m57hl0:pba4=833p1<=i4;dg6>;6<8o1ji<4=062g?`c:27:863>3g`9ba4<589m47hk2:?27c0=nm801<=i3;dg6>{t<;=n6=4>4z?2301=<;=n70?82c8762c<5=8>o77:9:?760>=1<3019<:4;;6=>;3:=i15874=5064??2127?>9>594;89146<33>563;1d79=0?<5=;n577:9:?7633=1<3019<90;;6=>;39oo15874=53ee??2127?=k8594;8917a:33>563;1dg9=0?<5=8;477:9:?7655=1<30q~;j3;291~;6=:i19h=4=07a7?3b;27?>:7588289434l3?n?63;1ec97c`59z?204b=nkl01<:>b;dab>;6<831joh4=0634?`en27:?k95fcd8945a=3lij63>3g09bg`<589ni7hmf:?27c6=nkl0q~hi8;290~;6<981jk64=01eb?`a027:?kj5fg:8945ai3lm46s|fg794?2|58>;=7hi5:?27cc=no?01<=ic;de1>;6;o31jk;4}rdaa?6=;r7:?hh5fcg8942593lii63>3g39bgc503b2;n3563>54f96a>>3ty859l50;0x96?3j322<63<95a9056620?213;70<86680b`=z{;==97>52z?1333=00:01?997;1eb>{t:>?<6=4;{<37bg<5?<=01?997;635>;5?:88:181840>>035=521467>711?2wx>:86:181840>0035=521467>71112wx>:8=:181840>;035=52267e>6`b3ty9;8k50;0x9712m322<63=74d97c`4?:3y>6204213;70?:4281335623e213;70<85980b`=z{;=>87>52z?1302=00:01?9:8;1eb>{t:>?h6=4={<041f{t;?:96=4={<16bc<38916=8j<:2436>{t:m2:6=4>2z?1g55=;ol01?m?1;1eb>;5jol1?kh4=3`e`?5an279nkl5412897da13>;<63=bg59056<5;hm97:?0:?1fc5=;ol01?li1;1eb>;5jll1?kh4=3`f`?5an279nhl53gd897db139mj63=bdc9056<5;hn:7:?0:?2100=:m2:70?:5b81`=7533b2:n:>63>35`97a753ty8h<850;0x9401839o=;52126a>6b6>2wx=??6:18187590035=52133;>6`a3ty:>??50;0x94459322<63>20:9056577?2=::70?=418436=z{88:47>52z?264>=00:01<<=2;635>{t9;;j6=4={<315d>827:>?>54128yv759j0;6?u21303>16634;9=k48729~w44583:1>v3>2329<<6<5889>7:?0:p57452909w0?=238;=5=:9;9h69>?;|q2675=838p1<<=3;::4>;6:;31?kh4}r3161<72;q6=?<;:9;3?875:00?<=5rs0011?6=:r7:>?754138944503=5<5s4;9>447919>57562=::7p}>23c94?4|5889m7660:?2666=;ol0q~?=2c83>7}:9;8i657?;<3175<3891v<<=c;296~;6:::18=?4=001b?10;2wx=?=?:181875;9035=521312>1673ty:>>?50;0x94449322<63>22a905751412888>63>221905751402888963>2249057575d2:lm70?=3c8436=z{;k2j7>55z?212e=:h3m70:>d980bc=:9<==6?o6f:?216b=:h3m70?:5681e<`501d2;l2m63>56396c?f3ty9j4650;0x9430k38m55521452>7`>02wx>ih=:181872?j09hk<4=0745?4cn;1v8kn:18e850167349<8;4;019>72232=:;70=8438745=:;>>;69>?;<147`<38916?:=l:523?850;h0?<=52361;>16734972532=:;70=8338745=:;>8h69>?;<146a166349<8;4;009>72232=::70=8438744=:;>>;69>>;<147`<38816?:=l:522?850;h0?<<52361;>16634972532=::70=8338744=:;>8h69>>;<146cm<7;29f~;4?h:18=>4=00f5?5d;>16=>;6:2a03>;4?<318=?4=252e?27827::?l53b14?874=908o>94=2a7`?10;27::?j53b14?850=h08jh521727>6e4?2wx:=<50;0f850i90?<<5213g2>365349<;i4;019>73gc2=:;70=9ac8745=:;?k269>?;<15e4<38916?;66:523?8500l0?<=5237c1>167349=4l4;019>73?b2=:;70=99`8745=:;?2n69>?;<15<1<38916?;6?:523?851?00?<=52374f>16734972>12=:;70=8828745=:;>=;69>?;<14f7<38916?:l?:523?850il0?<=52365b>16734;>j<49039>72d?2=:;70=9988745=:;>?;<141c<38916?:<7:522?850:?0?<<523607>166349<>?4;009>72472=::70=81d8744=:;>;h69>>;<36b<<18;16=8h8:721?872n<0=365349=:l4;009>730e2=:;70=8968;=5=:;>?j69>>;|q1<<2=838p1?67c;1eb>;50h?1>kk4}r0;=f<72;q6>56l:523?84?i108=85rs3::7?6=:r7945l53gd897>f<38mi6s|29;a>5<5s4834o4;019>6=g?2::j7p}=88094?4|5;23m7=if:?17}::12j69>?;<0;e=<5no1v?661;296~;50131?kh4=3:b6?4am2wx>576:18184?000?<=5229c;>7`b3ty944>50;0x97>?039mj63=8`396cc6=>?2=:;70<7a68050=z{;23j7>52z?1<=1=;ol01?6n0;0ea>{t:13<6=4={<0;<2<38916>5o8:22b?xu501o1<71673483m:4=fg9~w7>?l3:1>v3=89797c`<5;22i7hi;<0ff5<4no16>hoj:2de?84bij08jk522dcb>6`a348nm546`g12:lm70hi;<0f=3<4no16>h7;:2de?84b1;08jk522d;3>6`a348n4h46`>d2:lm70hi;<0f<<<4no16>h6::2de?84b1h08jk522d;g>6`a348n5k46`g62:lm706>hi;<0e<4<4no1v?hjf;296~;5nll144>4=3de5?5an2wx>kh?:18184an9035=522gd2>1673ty9jh650;0x97`b0322<63=fdc97c`6cc>213;7052z?1b`3=00:01?hj7;1eb>{t:oo=6=4={<0ea3kk8:523?xu5nl81<7>8279jh:53gd8yv4am:0;6?u22gg0>=?7348mi94;019~w7`cn3:1>v3=fed9<<6<5;ln=7=if:p6cc72909w0?;|q1bae=838p1?hkc;::4>;5nmo1?kh4}r0e`a<72;q6>kjk:9;3?84all0?<=5rs3dg3?6=:r79ji95882897`c139mj6s|2gf;>5<5s48mh547919>6cb>2=:;7p}=fb094?4|5;lh>7660:?1bf2=;ol0q~7}::oi8657?;<0eg1<3891v?h6f;296~;5n0l144>4=3db5?5an2wx>ko?:18184ai9035=522gc2>1673ty9j4m50;0x97`>k322<63=f8g97c`6c?c213;7052z?7651=00:01<<6d;6142=z{=8;>7>52z?7654=00:01<<6f;6147=z{;lnn7>52z?1b`d=00:01<7>52z?1bg4=00:01<52z?1bdc=00:01<52z?1bdg=00:01<52z?1bd0=00:01<7>52z?1bd4=00:01<857>56z?1315=;oo01?9;5?:?1?kk4=3500?5am27:8h;5251:?873j=098>74}r0406<72;q6>::<:9;3?840<>0?<<5rs3572?6=:r79;99541289713<322<6s|261g>5<5s4862262=::7p}=75294?4|5;=?=7:?0:?136c=00:0q~<83483>7}::>9>657?;<047<<3881v?9<8;296~;5?:318=>4=3502?>>82wx>:=;:181840;=035=522661>1663ty9;>m50;0x9713:3>;<63=72c9<<64<4?:3y>51ee2;?3=63>4b;960>63ty995<50;0x942dk38>4?5215a:>73?:2wx>86<:181873km0995=4=06`=?420:1v?;70;296~;686?;<37gd<5=1:0q~<:7e83>47|58>h57<:7e9>643a2=:;70<>6480bc=::8hi;<0226<4no16><8=:2de?846>808jk522043>6`a348::h4640c2:lm70<>6b80bc=::8hi;<022d<38916><86:523?846>10?<=522044>167348::;4;019~w1db2902w0?94787f`=:9?>>69lj;<3501<3jl16=;:<:5`f?871;<0?nh521717>1db34;=?>4;bd9>53552=hn70?=9887f`=z{16=;:=:4f4?871;l0>h:52171:>0b034;9in4:d69~w0b?290>w0?94486`==:9?>:68j7;<357a<2l116=;=7:4f;?875mm0>h55rs4fb>5<2s4;=894:d`9>53272h452171e>0b>34;=?o4:d89>53512:5f7?871<90?h952171e>1b334;=8o4;d59>532f2=n?70?93087`1=:9?9;69j;;<31=`<3l=1v9m6:18:871;l0?o452171g>1e>34;=?n4;c89>535e2=i270?94887g<=:9?>369m6;<3502<3k016?o>;:522?8751j0?o45rs414>5<1s4;=?44:369>535?2<9<70?9368672=:9;o?68=8;<3573<2;>16=?m7:414?xu6:><1<7:t=0d:0?5am27:8:o51355?87ai:0?<<52134g>=?73ty9j5l50;0x97`?83>;<63>56:96c>e3ty9ih850;1x97`?83>;=63>56696`c1348m4<47919~w665<3:1>v3<39197c`<5::397=;f:p75442909w0=<8380bc=:;92>6>:;;|q0474=838p1>=71;1eb>;481?1?9=4}r0414<72;q6>::k:2de?840=80<;>5rs357e?6=:r79;9j541289713i3=5<5s48<8i4;009>622b2>=87p}:8783>6}:9;o?6869;<1bf0<38916=;=n:4:5?xu2m10;69u2176a>0c?34;=8:4:e99>5354216=;==:4g4?875n=0>i:5rs4f`>5<2s4;=844:db9>53522>;<31b5<2lj1v8jk:186871<10>hi521717>0bc34;=?=4:de9>7d`f2=::70?=f086`a=z{<<<6=4<{<31a4<2>>16?:7j:522?851?o0?<<5rs24fg?6=:r78:hm58828943a:39=in5rs24f`?6=:r78:k?53gd8943a:39=ii5rs24e5?6=:r78:k?58828943a939=j<5rs36eb?6=:r7:8o7525de?873j>098kh4}r07b`<72;q6=9l7:36ea>;6:0h1>9hj;|q107b=83;6990q~<:0583>7}::<:?657?;<07b<<3891v?:jf;29<~;5;69ki;<37fc<5;69ki;<37f4<57}::=l26>hi;<0644<0?:1v?:ic;296~;54=3165?5am279?8<53gg89753i39mi63=35`97cc<5;9>87=ie:?1703=;oo01?=;8;1ea>;5;=31?kk4=317a?5am279?9h53gg89752l39mi63=34597cc<5;9>m7=ie:p7f54290:4v3>2cd97f5434;>h?452170e>6e4;27:?=<53b10?8748:08o>=4=0130?5d;:16=>>::2a07>;4k=;1;:=4=25:2?5am278;8<541289615:39mj63>32f97f5434;=>o4j3>;=63<98497c`<5:32;7:?1:?2253=;j9870?:db80g65<5:==87=if:?0333=<9;01<;k8;1`76=:9;n=6>m<3:?227e=;j987p}6e4927:9i?53b12?850?908jk521221>6e4927:?==53b12?8748=08o>?4=0131?5d;816?n=m:650?8501?0?<<52366f>167349<=h472?e2=:;70=6958:1<=:9?:>6>m<1:?21ae=;j9:70=86480b`=:9m<1:?2256=;j9:70?=cg80g67<58<9o7=l309~w6e4:3:1=;u213`e>6e4:27:9i<53b11?872l808o><4=255<4=0130?5d;;16=>>::2a06>;4k:o1;:=4=25:2?5an278;8>541289615839mj63<78c97c`<5:=2n7=if:?0=<0=<9;01>767;1ea>;6>9?1?n==;<36`f<4k:801<8?0;1`77=:9;n=6>m<2:?26f`=;j9970?92b80g6455z?26g`==9301<=?0;73=>;6:0>19=74=07g`?37127:9i7551;8yv75<;0;6?u21361>=?734;98<4v3>25a9<<6<588?=7:?0:p570a2909w0?=408744=:9;=96:9<;|q2617=838p1<<;1;::4>;6:=n18=?4}r3106<72;q6=?:<:9;3?875<008jk5rs0070?6=:r7:>9:58828944313>;<6s|136a>5<5s4;98i4;019>572>213;7p}>25f94?4|588?h7660:?263b=<9:0q~?=4d83>7}:9;>n657?;<3111<4no1v<<;f;296~;6:=l144>4=0060?2782wx=?;?:181875==0?<<521370>2143ty:>8:50;0x9442<322<63>24g90575732213;70?=5c80bc=z{88>:7>52z?2600=00:01<<:b;634>{t9;?o6=4={<311`<38916=?;m:9;3?xu6:>827:>;j54138yv4d<:0;6>u21475>7e3;279h49541389432j38h8>5rs3f:7?6=:r79h495412897b>=3=5<5s49n=h47g5720:o7p}4=2d3f?278278j8=5412896`1n3>;<63hk3;634>;6:o=19hl4}r7fg?6=1r78i;=63hm0;635>;4l9>18=?4=2dg7?27927:>k655da8yv5b9k0;6?u23d3a>=?734;=5n46c6=278i<=58828yv5b9j0;6?u217;`>6c6k27::4l53d3`?xu3;191<79t=04:g?240:16?5o=:523?8520<0?<=5234a6>167349?m;4;009>7`752=:;70:<6e81bc=z{:o:j7>52z?22hm9;635>;4nmh18=?4=2de1?27927?<<<5413896b403>;=63j6d;635>;4lk818=?4=2f`3?279278him5413896ba93>;=63k97;635>;4m>i18=?4=2g:5?279278il85413896cej3>;=63h;4n8>18=?4=2d6g?279278j:65413896`><3>;=63l>0q~=j2383>7}:;l89657?;<1f66<3881v>k=6;296~;6>0o1?h<9;<1f62<3881v>k=d;296~;6>0k1?h7}:9?3j6>k=9:?0a71=00:0q~=j1083>7}:9?3j6>k>1:?0a40=<9;0q~=j1983>7}:;l;269>>;<1f53k>9;296~;4m83144>4=2g2e?5an2wx?h<::18185b9h08jh523d00>=?73ty8j<>50;0x96`7=39mj6359z?0b53=<9:01>h;d;634>;4n?318=>4=2d;1?27827:;=855d;89417l3?n5637}:;o:>69>>;<35``<4n890q~=i0483>7}:;o:>657?;<35`a<4n9?0q~=i0c83>7}:;o:i657?;<35`a<4n9h0q~=i0b83>7}:9?no6>h?c:?22ae=;o:h7p};39g94?1|587=d12=:;70=;b08744=:;<3;69>?;<16`5<38916?k>;:523?824>m080?<=5rs5`0>5<>s49m=:47c3a2:lm70=i7c80bc=:;o3<6>hi;<1eg5<4no16?kji:2de?85c:;08jk5213;;>1d4349n>>43:1>v3hj;|q0b4>=838p1<8kf;1e5==:9?ni6>h>8:p7c7>2909w0?9e180b4?<58v3>6e;97``a34;=hl4166349m<;47919~w6`713:1>v3h;d;635>;6>o81?k;m;|q0b1b=838p1>h;d;::4>;6>o;1?k:k;|q0b05=838p1>h:3;::4>;6>o;1?k;<;|q0b02=838p1<8i1;1e11=:9?l;6>h:4:p06?6290;63;634>;4=m918=>4=2d7g?27827??;j53078yv5a=00;6?u217d2>6`21278j8h54128yv5a=l0;6?u23g7e>166349m9n47919~w6`2n3:1>v3>7=ie:p7c072909w0?9f280b36<58v3>6g697c0634;=ik40;6?u217gg>6`3?27::hk53g64?xu4n<:1<7=?7349m9?469>>;<15ac167349=h?473ed2:lm70=9c78745=:;?i;69>?;<15fd<38916?:?9:522?8508l0?<<523625>166349=jh4;009~w0b4290mw0=9e`8744=:;?l>69>?;<15b7166349=h?4;019>73ed2=::70=9c78744=:;?i;69>>;<15fd<38816?:?9:523?8508l0?<=523625>167349=jh4;019~w60bi3:1>v3<6dc9<<6<58?nj7=9e`9~w60b03:1>v3>5dd973c?34;>j=4<6d:8yv3393:1mv3>5dd9117<58?nh7;;1:?21`d===;01<;j9;775>;4>m;1?kk4=07f2?33927:9h:55538943b:3??=63>5d29117<588hh7;;1:p73c12909w0?:ee802`0<5:v3>5d`973c7349=hh47919~w60ck3:1>v3>5d`973bd34;>in4<6ea8yv51lh0;6?u214g:>60ci278:i658828yv51l?0;6?u214g:>60c>27:9ho537f5?xu4>m:1<7m>1<760dm278:nm58828yv51kh0;6?u214g5>60di27:9h9537ab?xu4>j21<7j>1<7{t;?i96=4={<36a7<4>j801>8l0;::4>{t;?hn6=4={<36a7<4>ko01<;j3;15f`=z{:52z?21`6=;?hh70=9b`8;=5=z{:52z?21`6=;?h>70?:e0802g3>?4?:3y>51e32;?9>63>4b7960453ty99?=50;0x942d=38>>>5215a0>735;2wx>9=>:186873k:098>?4=06ae?43;816=9m?:3605>;69=>;<37e`<5<:;0q~<;3483>0}:9=i86?:<5:?20gg=:=9>70?;c181063<58>ih7<;349>51d62;>896s|2402>54=5338975293>;=63=3409057<5;9?m7=if:?171d=<9:01?=:4;1eb>;5;477:9:?170d=1<30q~<:0783>7}:9=hi6?;?6:?20ge=:<:=7p}=51;94?4|58>io7<:089>51df2;?;56s|2426>5;5;=218=?4=317=?279279?9k541389753n3>;=63=34f9056<5;9>;7:?0:?170g=<9;0q~=i7583>7}:;o<26>hi;<1e37h87;296~;4n?318=?4=04ee?5a?>1v>h99;296~;4n?3144>4=04e=?5a>01v>h9f;296~;4n?l144>4=04e=?5a>o1v>h80;296~;6>o31?k9?;<35b=<4n>:0q~:<9383>2}:9?l269=62:?0:m5;635>;4=0>18=>4=27g0?278278j;6541289151l39:46s|3g56>5<5s4;=j44;<6s|3g5b>5<5s49m;o4;009>7c1?213;7p}7}:9?li6>h8c:?22c1=;o=h7p}53`02:l5<5s4;=j8439m:>5rs2d5g?6=:r78j;j5413896`1i322<6s|3g4g>5<5s49m:i47919>7c0b2:lm7p}7}:;o2>69>>;<3447<4n090q~=i8483>7}:;o2>657?;<3444<4n1?0q~=i8c83>7}:;o2i657?;<3444<4n1h0q~=i8b83>7}:9>::6>h7c:?2356=;o2h7p};38194?1|58=;=7:<929>7=dd2=:;70=;b78744=:;<3>69>?;<16`0<38916?k6;:523?824>m08=45rs2d:5?6=:r7:;=?53g;2?85a1>0?<=5rs2d:2?6=:r78j495413896`><322<6s|3g;4>5<5s49m5:47919>7c>f2:ln7p}53`a2:l246s|3g;:>5<5s4;<<945<5s4;<<;45<5s4;<<;45882896`e=3>;=6s|3g`:>5<5s49mn447919>7ce72=:;7p}526?2:lh>6s|3ga0>5<5s4;<5rs2d:`?6=:r7:;=953g;g?8708108j4j4}r1e``<72;q6=:>k:2dga>;4nmi144>4}r1eg0<72;q6=:>k:2d`1>;6?8:1?km:;|q0bad=838p1>hkb;::4>;4nml18=>4}r1e`=<72;q6?kji:522?8709908ji64}r1e`c<72;q6?kji:9;3?8708j08jih4}r1ea5<72;q6=:?>:2df4>;6?9l1?kk?;|q0b`7=838p1<9>2;1ea4=:9>:m6>hj1:p7ce12909w0?80d80bf0<58=;j7=ic79~w6`a=3:1>v3hi;<1g7<<4no16?i;>:2de?85c>m08jk523e:4>6`a349o5h47ad42:lm70=kc980bc=:;mno6>hi;<1gb7<4no16?h>8:2de?85b;l08jk523d70>6`a349n:547`1c2:lm70=j9380bc=:;lk<6>hi;<1fff<4no16?hmi:2de?85bm=08jk523dd:>6`a349m>l47c5a2:lm70?=998465=:;m;i6:9<;|q0bc5=838p1>hi6;635>;4no;144>4}r1eb3<72;q6?kh9:9;3?8709:08jk84}r1eb2<72;q6=:?8:2de3>;6?8<1?kh8;|q0bc>=838p1<9>8;1eb==:9>;=6>hi8:p7c`>2909w0?81880bc?<58=::7=if89~w166:3:1>v3;0009<<6<5=::?7:?0:p05772909w0:?128744=:<9:n657?;|q7445=838p19>>3;::4>;6?8k18=?<;|q7442=838p1<9>e;6351=:9>;o69>>4:p05722909w0?81g87443<58=:h7:?149~w166>3:1>v3>7329057134;<=i4;0048yv5c;10;6?u23e1;>=?7349o?44;019~w6b4>3:1>v32909w0=k388;=5=:9?<=6>j<9:p7a5f2909w0?96`80`6g<58<=57=k3`9~w6b4j3:1>v3>67`97a5e34;=:446b4k27::;753e1`?xu411o1<7lt=254`?5an278:ll53gd8960f939mj63>5gd97<>b34;>hk4<99g8960f:39mj63>2d497<>b34;=<>4<99g8961f>3>;<63<7`097cc<58<9o7=68d9~w046290?w0=87e8744=:;?k269>>;<15e4<38816=?m=:402?xu1i80;6>u237cg>6`a349=m4472g5213;7p}:a483>6}:;?ko69>>;<15eg<38816=?k::4c6?xu410k1<7=t=24;=?5an27::?h538;b?850>m0?<<5rs46g>5<>s49=444;009>57`a2<>o70?903860a=:;?>;<14f=<38816?;76:522?875l=0>8i5214fg>02c34;>ho4:4e9~w63ck3:1:v3<79g97c`<5:<3m7=if:?21a1=;3:7p}<79394?4|58?mj7=8809>721a213;7p}:5883>d}:9945237:7>166349=4=4;009>731>2=::70?=e7861<=:9;ni68;6;<36b`<2=01v>761;29g~;6=ol1?47>;<36`c<410;01<;k7;1:=4=:9761:?2756=;03:70?=e780=<7<588ji7=6909>50`b2:32=63>5e;97h54<98389405l3925<5213ga>6?>92wx?;l<:181872lo08:o=4=24a5?>>82wx?;o8:181851i;0?<<5214ff>60f?2wx?;6k:1818510h0?<<5214fb>60?l2wx?;97:181872l>08::64=2442?>>82wx?;9;:181872l?08:::4=2446?>>82wx?8jn:187872l?089io4=07g5?52lh16?:ok:2de?850=>0?<<5rs2a00?6=9>q6=?hi:2a00>;4>0k1?kh4=041b?5d;=16?:87:2de?8501?0?<=523677>167349<>94565c2:i8863>63`97f53349<5446589>7f232>=870=6978745=:;03<69>?;<31=1<4k:>01>99d;1ea>;4?k=15874=24:1??21278;8953gd8943cj39h?952170g>6e4<27::?m53b17?872lh08o>:4=0435?5d;=1v;<9:181875no0=>;5237;f>1663ty>8k4?:4y>73?b2:lm70=98d80bc=:90n1<70;1<7m<0:?03=0=;ol01>973;1eb>;6;981?n=?;<3046<4k::01<=?4;1`75=:9::>6>m<0:?0g6>=?>901<8?3;1`75=:;>>h69>?;<145f<4no16?:7n:2df?8501k08jh5238;5>6`b34925:457?32:i8<63<7`497c`<58<;97=l319>50bd2:i8<63<7779056<58?oh7=l319>50b>2:i8<63>61297f5734;9h;46`a34;>hh4v3>312927?<5:<387=if:p73>42909w0=98180bc=:9873:p731d2909w0=97880bc=:988c:p72?22909w0?903803<3<5:=2?7660:p72?12909w0?903803<0<5:=2:7660:p72?>2909w0?903803h>7<:1`9~w736j3:1>v3>4b09607e34;?o=4=50`8yv42900;6iu215a3>7361279?8?541289752:3>;<63=35c9057<5;9?n7=if:?1705=1<301?=;8;634>;5;=31?kh4=317a?278279?9h541289752l39mj63=34597c`<5;9>m7=if:p60752909w0?;bd81144<58>ij7<:139~w736;3:1>v3>4cd9607434;?ni4=5018yv42980;6nu215`g>7369279?8>594;89753i3>;<63=35`9057<5;9>87:?1:?1703=;ol01?=;8;1eb>;5;=318=>4=317`??21279?8j541389752?3>;=63=34c905661`5213;70<;f580bc=z{;=997>52z?20c?=:>8>70?;f681373;4?:3y>51`f2;=9:63>4g5962413ty9;?:50;0x942a?38<>95215d;>715<2wx>:<>:18g873n>09;??4=33bf?278279=lj53gd8977fk39mj63=1c497c`<5;;i97=if:?15g2=;ol01??m3;1eb>;59k818=>4=33a5?278279=o>54128977fn3>;<63=1`g905651`22;=:>63>4g1962753ty9;<=50;0x942a>38<=>5215d0>716;2wx>:?>:181873n:09;:>j;<02=6<38916><7::2de?8461=08jk5220;f>6`a348:5i464?d2:lm70<>9c80bc=::83j69>?;<02=<<38916><77:523?8461>0?<=5220;5>1673ty9:kh50;0x942a938=jk5215ge>70an2wx>:>?:181873n;09;=>4=06fb?40891v?8ie;296~;6;hj;<37b5<5>oo0q~<9fc83>a}:9=om6?8ib:?152d=<9:01??8d;1eb>;59>i1?kh4=33;2?5an279=5;53gd8977?<39mj63=19197c`<5;;3>7:?0:?15=7=<9:01??70;634>;59>l18=>4=334a?2782wx?47;:180851>l08jk5238;7>214349<9:4;019~w6b283:1>v3=7:?0:p7a2b2909w0=k508744=:;m>h657?;|q0`07=838p1>j:1;::4>;4l=h1?kk4}r1g17<72;q6=;8i:2f66>;6>?o1?i;=;|q0`01=838p1<881;1g12=:9?j:7:p7a322909w0?96d80`03<58<<<7=k549~w6b3;3:1>v3>67g97a24349o8:4;019~w6b313:1>v3i6>hi;|q0`3e=838p1>j9c;::4>;4l?n18=>4}r1g2d<72;q6?i8k:522?85c>1035=5rs2f5`?6=:r78h;j5882896b1?39mi6s|3e4f>5<5s4;=;84;035=5rs2f6`?6=:r78h;=541289400;39o9i5rs2f57?6=:r78h;=5882896b1<3>;=6s|3e45>5<5s49o::47a03213;7p}7}:;m2<69>>;<1g<7j77;296~;4l1=144>4=2f;5?5am2wx?i67:181871?k08h564=044=?5c011v>j79;296~;6>>i1?i66;<353<<4l130q~=k8e83>7}:9?=o6>j7d:?222?=;m2o7p}7a1c2=:;7p}7}:;m2;657?;<1g<4<4no1v>j6d;296~;4l0n144>4=2f:a?2782wx?i7m:18185c1l0?<<523e;:>=?73ty8h4k50;0x96b>m322<6353>72:n2j63>69097a?a3ty8hl>50;0x940?939om=5217:1>6bf82wx?io>:1818710;08hl?4=2f:5?2782wx?i7<:18185c1>0?<<523e;2>=?73ty8h4950;0x96b>?322<637ad5213;70=kb28745=z{:ni<7>52z?0`g5=<9;01>jne;::4>{t;mh86=4={<1gf61<7{t;mh>6=4={<35<3<4lk?01<877;1gf0=z{:ni:7>52z?22=1=;mh=70=ka78745=z{:nj47>52z?0`de=<9;01>jn6;::4>{t;mkh6=4={<1gef>8278hn654128yv5ck<0;6?u23ea;>166349oo>47919~w6bd03:1>v37=ie:p7ae>2909w0?98`80`f?<58<3n7=kc89~w6bdi3:1>v3v3>69`97aee349ono4;019~w6bdk3:1>v3>;|q0`f7=838p1>jl2;1eb>;4lkl144>4}r1g`f<72;q6?ijl:9;3?85clm0?<=5rs2fge?6=:r78hij5413896bc0322<6s|3efg>5<5s49ohi47919>7ab02:ln7p}53?72:noi6s|3efe>5<5s4;=4k4839ohk5rs2ff4?6=:r7::4>53eg3?85cl90?<=5rs2fg6?6=:r78hi85413896bc8322<6s|3ef5>5<5s49oh;47919>7ab02:lm7p}7}:;ml969>>;<1gaaji2;296~;4lo8144>4=2ffg?5am2wx?ih<:1818711:08hk=4=04:1?5cn:1v>ji4;296~;6>0>1?ih;;<35=0<4lo>0q~=kf483>7}:9?3>6>ji5:?0``3=<9:0q~=ke683>7}:;moi69>>;<1ga0jjb;296~;4llh144>4=2ffg?5an2wx99=50;6x9405n3???63>63`9115<58?o47;;3:?26f`===90q~=j0783>7}:;l:=657?;<1f42<3891v>k?4;296~;4m9=18=?4=2g36?>>82wx?h>8:18185b8>035=523d22>6`b3ty8i=650;0x940>039n<55217;:>6c702wx?h>6:18185b80035=5217;:>6c712wx?h>n:1818711008i=o4=2fee?2782wx?h>m:18185cnh08jk523d2a>=?73ty8hko50;0x96bai322<637`662:lm70=kfd8;=5=z{=92<7>57z?0;62;634>;4=m818=>4=26a7?279278j9;5412896`4l39mi63;37f97417`5c213;70=j3d8745=z{:o8n7>52z?0a6c=<9;01>k<9;::4>{t;l9n6=4={<1f7`{t;l>;6=4={<35e1<4m=:01<8n5;1f05=z{:o?=7>52z?22d3=;l>:70=j308745=z{:o8?7>52z?0a61=<9;01>k<1;::4>{t;l9<6=4={<1f72>8278i8=54128yv5b=90;6?u23d70>166349n8h47919~w6c2;3:1>v3v3>6`;97`3234;=ml46c2>278i9854128yv5b<10;6?u23d6`>166349n8;47919~w6c3k3:1>v3?;|q0a33=838p1>k98;635>;4m?9144>4}r1f2=<72;q6?h87:9;3?85b>;08jh5rs2g5=?6=:r7::lj53d4:?871io08i;74}r1f2d<72;q6=;oj:2g5e>;6>hl1?h8n;|q0a3d=838p1<8nf;1f2g=:;l?i69>?;|q0a3e=838p1>k:b;1eb>;4m?i144>4}r1f1g<72;q6?h;m:9;3?85b=o0?<<5rs2g55?6=:r78i;<53gd896c2n322<6s|3d5`>5<5s49n;n47919>7`1c2=:;7p}=00:0q~=j7e83>7}:;l=o657?;<35f5<4m>n0q~=j7d83>7}:9?h86>k8e:?22g3=;l=n7p}53d22:o5<5s4;=n84;<6s|42:a>5<0s493n<4;019>71gb2=::70=:8e8745=:;?;<1f`6<38916?hmn:2df?824>m08=?5rs2g:5?6=:r78i4?5882896c>:3>;<6s|3d:e>5<5s49n5?4;009>7`>c213;7p}7660:?22g0=;l397p}53de2:o2?6s|3d;7>5<5s4;=nl4;<6s|3dc7>5<5s49nm:4;009>7`g5213;7p}53e62:oj46s|3dc:>5<5s4;=o=45<4s4;9i;4:bc9>72>12=::70=8828744=z{166349<;l4;009>57ce2=27p}<87794?4|5:2>j7=ie:?2241=;1<>7p}<84d94?2|5:2>j7660:?2274=;1?m70?91880<0`<58<::7=75g9~w6>183:18v3<8729<<6<58<9>7=7619>537>2:2=<63>60497=073ty8o>850;36872nm08o>84=0437?5d;?16?:<7:2de?874;m08o>84=041f?5d;?16?n:n:650?8751=08o>84=255`?5an278;l:594;89612033>563<7749=0?<588o87=l379>57be2:i8:63>2d797f5134;9o?46e4>27:9ik53b15?872lh08o>84=0435?5d;?16=?km:2a02>{t;j9>6=4>7z?21cb=;j9>70?90280g63<5:=9:7=if:?276b=;j9>70?92c80g63<5:i?;7983:?033d=1<301<k=6>hj;<1412<4nl16?:;7:2de?850>=08jh523646>6`a34;9h946e4=27:>n<53b16?871:m08o>;4=07eg?5d;<16=8jj:2a01>;6=mk1?n=:;<3544<4k:?01<52z?21cb=;><<70=8698744=z{:==?7>52z?033>=<9:01>996;547>{t;><36=4={<142={t;lhi6=4={<1ffg=?734;=o?46cel27::n653d`g?xu4mko1<7{t;lhm6=4={<35g=<4mkl01>knf;634>{t;li;6=4={<1fec<4no16?hm?:9;3?xu4mhl1<7>827::n;53dce?xu4mj31<7166349non47919~w6cdn3:1>v3v3>6bc97`b734;=on46cc927::nm53df2?xu4mm81<7>8278ih:54128yv5bm80;6?u23dg7>166349nhk47919~w6cb<3:1>v3v3>6e297`c234;=h?46cb>27::i<53dg5?xu4ml=1<716?hj8:523?xu4mo21<7>8278ik754128yv5bn?0;6?u23dd:>166349nj947919~w6ca13:1>v3v3>6e497``f34;=h546caj27::i653dda?xu4moi1<7>8278j?o54128yv5a:>0;6?u23g0b>166349m>847919~w6`5i3:1>v3v3>6d697c4e34;=i;46`5k27::h853g0`?xu4n;n1<7>8278j>h54128yv5a;j0;6?u23g1e>166349m?l47919~w6`4n3:1>v3v3>6d`97c2434;=in46`38278j9<57618yv5a<=0;6?u217g`>6`3<278j>;54128yv5c8k0;6?u23bd:>6`a349o<447919~w6b613:1>v37=k189~w6ea13:1>v3v3v3>67397a6034;=9n415002789:o54128963ei3>;<63<48`9057<5=9=h77}:9?<:6>j>7:?0`74=<9:0q~=k2083>7}:;m8969>>;<1g5cj=2;296~;4l;8144>4=2f36?5an2wx?i<<:181871>=08h?=4=046e?5c::1v>j=4;296~;6>??1?i<;;<351d<4l;>0q~8:3;292~;6>3<>?63>6gg9205<5:n;97660:p7f`62909w0?95`80gc7<58<>n7=lf09~w6eal3:1>v3j?2;635>;4l9;144>4}r;52?6=;r72::4=30=1?h0R489;|q:22<72;q65;9576189440i38?h6s|93494?5|508<6>hk;<;12??1j2T2>;5rs804>5<5s439;7983:?262`=:=n0q~=7d283>7>|589>57=7d29>53452:2o?63<77d9057<5:=>87:?1:?0304=<9;01>9:0;635>;4?=o18=?4=257g?279278:59541389612l3>;<63<78g9056<5:<9>9;634>;6=o:1?5j<;<36a`<40m901<;jc;1;`6=:96k3:?21`>=;1n870?:e68050c42:2o?63>5d397=b434;<9o4<8e18943aj393h>5236:;>16634950b22:2o?63<7ca9=0?<5:=9j7:?1:?037b=<9;01>8i2;635>;4>ll18=?4=25:3?5an27:>nj539f0?850?o0?<=5237`2>167349=;;4;019>73152=:;70=8928745=z{><=6=4m{<301<<0>?16?:8n:522?850=o0?<<5213f7>20134;9ho48679>57c22><=70?=c38423=:9?16=;>>:645?875mk0<:;5rs776>5<5s4;872d52:lm7p}95783>7}:9::86;;9;<14f5<4no1v;;8:1818748=0=9:5236cf>6`a3ty=954?:3y>56622??370=87`80bc=z{:2==7>54z?2274=;1<:70?91880<37<5:2==7660:?2240=;1<:7p}95883>7}:9?896;;6;<1;2=?;<16`2<38916?kjn:2de?824>m08=o5239`e>1673ty??4850;4x962e13>;=63<58:9056<5:?o47:?0:?0bc2=;oo019=9d;12g>;40j;18=>4}r46e?6=:r78o>653gg896e41322<6s|64`94?4|5:i847=if:?0g6g=00:0q~:<5b83>7}:;j926>hi;<605c<4<:1v9=9a;296~;4k:318=>4=512f?53;2wx8>;<:18185d;h08jk52423g>6243ty??9m50;0x96e4i3>;<63;30;9715o7>52z?0g17=;oo01>m;2;::4>{t>6`a34>8=k4<5`9~w153m3:1>v3;n;|q7733=838p1>m;3;634>;3;831?8o4}r46a?6=:r78o>k53gg896e4n322<6s|64d94?4|5:i8i7=if:?0g16=00:0q~:<5d83>7}:;j9m6>hi;<605c<44=512f?53n2wx8>;::18185d<908jk52423g>62a3ty??;<50;0x96e383>;<63;30;971`52z?0g6d=;oo01>m{t>?;1<7j58828yv24=m0;6?u23b1`>6`a34>8=k4<459~w151j3:1>v3:;;|q7701=838p1>m;3;831?9:4}r456?6=:r7:?=8567089`c?2=::7p}je983>7}:ml2144>4=dg4>1673ty=:>4?:3y>56602?<870kkb;635>{tmmh1<7=?734oom7:?0:p233=838p1<=?9;451>;bkl0?<<5rsdaf>5<5s4ohi7660:?fga<3891v;89:1818748h0=:;52eb3905752z?fg454128yv01?3:1>v3>31`9231<5lh?69>>;|qff1<72;q6io:588289`d42=:;7p}96983>7}:9::h6;87;{t>?31<7;b100?<=5rs74b>5<5s4;8a=b=<9;0q~k7d;296~;b0m035=52e9a905652z?275`=>?h01h6?:522?xub090;6?u2e929<<6<5l=m69>?;|q52f<72;q6=>??:74`?8c0;3>;=6s|e6194?4|5l=8657?;>827n:84;019~w30b2909w0?<13852`=:m<318=?4}rg6=?6=:r7n9447919>a0>=<9:0q~880;296~;6;8>1::>4=d6`>1663tyn8n4?:3y>a1e=00:01h:m:523?xu1?80;6?u21236>31634o8j7:?1:pa6`=838p1h=i:9;3?8c4m3>;<6s|66094?4|589::7882:?f74<3881vh=>:1818c49322<63j318745=z{?=86=4={<3052<1?:16i?;54138yvc5=3:1>v3j248;=5=:m;>18=>4}r440?6=:r7:?<6566689`7?2=::7p}j1983>7}:m82144>4=d34>1673ty=;84?:3y>567>2?=>70k?b;635>{tm9h1<7=?734o;m7:?0:p220=838p1<=>a;442>;cnl0?<<5rsedf>5<5s4nmi7660:?gba<3891v;98:1818749k0=;:52dg3905752z?gb454128yv0003:1>v3>30a922><5mo?69>>;|qga1<72;q6hh:588289ac42=:;7p}97883>7}:9:;o6;96;{t>>h1<7;ck00?<=5rs75`>5<5s4;8=k497b9>`gb=<9;0q~jmd;296~;cjm035=52dca905652z?2776=>>n01il?:522?xucj90;6?u2dc29<<6<5mkm69>?;|q53`<72;q6=><>:75f?8bf:3>;=6s|d`094?4|5mk9657?;>827o584;019~w3>72909w0?<2285<5=:l1318=?4}rf;=?6=:r7o4447919>`=>=<9:0q~871;296~;6;;>1:5?4=e5`>1663tyo;n4?:3y>`2e=00:01i9m:523?xu10;0;6?u21206>3>534n=j7:?1:p`3`=838p1i8i:9;3?8b1m3>;<6s|69194?4|5899:7873:?g24<3881vi8>:1818b19322<63k618745=z{?2?6=4={<3062<10=16h8;54138yvb2=3:1>v3k548;=5=:l<>18=>4}r4;1?6=:r7:??6569789a2?2=::7p}k4983>7}:l=2144>4=e64>1673ty=4;4?:3y>564>2?2=70j{tl:h1<7=?734n8m7:?0:p2=1=838p1<==a;4;3>;c:l0?<<5rse0f>5<5s4n9i7660:?g6a<3891v;67:181874:k0=4552d33905752z?g6454128yv0?13:1>v3>33a92=?<5m;?69>>;|qg51<72;q6h<:588289a742=:;7p}98`83>7}:9:8o6;6n;{t;9826=4={<0b=4<4no16?=6::243?xu48;21<70;6?u22`:e>6`a349;484<5d9~w665>3:1>v3=a9g97c`<5::397=:d:p75422909w06>;n;|q0344=838p1>9>4;1eb>;6=ok1?:?=;|q0342=838p1>9>4;::4>;6=o31?:?;;|q035g=838p1>9?c;1eb>;6=o21?:>n;|q035e=838p1>9?c;::4>;6=o=1?:>l;|q0354=838p1>9?4;1eb>;6=o<1?:>=;|q0352=838p1>9?4;::4>;6=o?1?:>;;|q03d1=838p1<8?3;14e2=:9?:?6>9n7:p06ee2909w0?888877fd<5=9=h7=m2:p73`?2909w0=9fb80bc=:98i8:p73`d2909w0=9fb8;=5=:98ic:pa`3=838p1hk8:522?8cb=322<6s|ed194?4|5lo<657?;{tmm<1<7=?734oo97<;d:pafd=838p1hmk:522?8cdj322<6s|eb;94?4|5lio657?;{tmki1<7=?734oin7<;d:pag7=838p1hl<:522?8ce9322<6s|e`d94?4|5lh8657?;{tmh81<7=?734o847<;d:pa<1=838p1h76:522?8c>?322<6s|e8794?4|5l32657?;d2=::70k7a;::4>{tm121<7=?734o3;7<;d:pa2b=838p1h9i:522?8c0l322<6s|e6`94?4|5l=m657?;50;0x9`152=::70k80;::4>{tm?o1<7=?734o=h7<;d:pa35=838p1h8::522?8c1;322<6s|e7394?4|5l<>657?;{tm<>1<7=?734o>?7<;d:pa1?=838p1h:m:522?8c31322<6s|e5594?4|5l>i657?;m50;0x9`5b2=::70k{tm:k1<7=?734o857<;d:pa7c=838p1h=?:522?8c5m322<6s|e3a94?4|5l9;657?;{tm;:1<7=?734o:j7<;d:pa43=838p1h?8:522?8c6=322<6s|e0194?4|5l;<657?;{tm9<1<7=?734o;97<;d:p`cd=838p1ihk:522?8baj322<6s|dg;94?4|5mlo657?;{tlli1<7=?734nnn7<;d:p``7=838p1ik<:522?8bb9322<6s|ded94?4|5mo8657?;{tlm81<7=?734no=7<;d:p`f1=838p1im6:522?8bd?322<6s|db794?4|5mi2657?;{tlk21<7=?734ni;7<;d:p`db=838p1ioi:522?8bfl322<6s|d``94?4|5mkm657?;{tl0n1<7=?734n=47<;d:p`<5=838p1i7::522?8b>;322<6s|d8394?4|5m3>657?;?2=::70j76;::4>{tl1>1<7=?734n3?7<;d:p`2?=838p1i9m:522?8b01322<6s|d6594?4|5m=i657?;{tl?k1<7=?734n=57<;d:p`0c=838p1i8?:522?8b2m322<6s|d4a94?4|5m<;657?;{tl<:1<7=?734n?j7<;d:p`13=838p1i:8:522?8b3=322<6s|d5194?4|5m><657?;650;0x9a5f2=::70j<8;::4>{tl:<1<7=?734n897<;d:p`7d=838p1ie;::4>{tl8i1<7=?734n:n7<;d:p`47=838p1i?<:522?8b69322<6s|d1d94?4|5m;8657?;{tl9;1<7=?734n;<7<;d:p77c32909w0==e38744=:;;o?6:9<;|q14=d=838p1?>79;635>;581h1;:=4}r1f6f<72;q6=;o?:2g1g>;6>0l1?h=838p1>7;c;635>;41=21;:=4}r1:3a<72;q6?46>:523?85>090<;>5rs2;41?6=:r785:75412896?0=3=5<5s4;<9?4;1ef8917cn3=5<5s4;<9?4;1d28917c03>;<6s|1g;e>5<5s4;mm>4;019>5cg52>=87p}<97c94?4|5:3=:7:?0:?0=3b=?>90q~87c;296~;6;;l1:5m4=3736?2792wx:5h50;1x941493<3j63>75g92=`<5898<787f:p2<6=838p1>8i5;::4>;6=o;1:4>4}r4:5?6=:r7nin47919>a`d=<9:0q~kjb;296~;bmk035=52ed490577>52z?f`cv3jdd8;=5=:mm318=?4}r4:7?6=:r7nh?47919>aa7=<9:0q~kk1;296~;bl8035=52eba905752z?fg0v3jc58;=5=:mkl18=?4}r4:1?6=:r7nn547919>ag1=<9:0q~km7;296~;bj>035=52ec0905752z?fegv3ja`8;=5=:mh?18=?4}r4:3?6=:r7n5h47919>a52z?f=454128yvc>83:1>v3j918;=5=:m1h18=?4}r4:=?6=:r7n4947919>a=5=<9:0q~k73;296~;b0:035=52e6g905752z?f323:1>v3j778;=5=:m>;18=?4}r4:g?6=:r7n:l47919>a3?=<9:0q~k99;296~;b>0035=52e76905752z?f1av3j5b8;=5=:m<=18=?4}r4:a?6=:r7n9=47919>a1`=<9:0q~k;f;296~;b52z?f06v3j438;=5=:m:n18=?4}r4b4?6=:r7n?847919>a62=<9:0q~k<4;296~;b;=035=52e3d90577>52z?f6<v3j298;=5=:m;918=?4}r4b7?6=:r7n=n47919>a4d=<9:0q~k>b;296~;b9k035=52e04905752z?f4cv3j0d8;=5=:m9318=?4}r4b1?6=:r7na57=<9:0q~k?1;296~;b88035=52dga905752z?gb0v3kf58;=5=:lll18=?4}r4b=?6=:r7oi547919>``1=<9:0q~jj7;296~;cm>035=52dd0905752z?g`gv3kd`8;=5=:lm?18=?4}r4bf?6=:r7ooh47919>`fb=<9:0q~jld;296~;ckm035=52db:905752z?gg454128yvbd83:1>v3kc18;=5=:lkh18=?4}r4b`?6=:r7on947919>`g5=<9:0q~jm3;296~;cj:035=52d`g905752z?ge3v3ka48;=5=:lh:18=?4}r4bb?6=:r7o5l47919>`52z?gv3k8b8;=5=:l1=18=?4}r4a5?6=:r7o4=47919>`2`=<9:0q~j8f;296~;c?o035=52d6c90577>52z?g36v3k738;=5=:l?n18=?4}r4a7?6=:r7o:847919>`32=<9:0q~j94;296~;c>=035=52d4d905752z?g1<v3k598;=5=:l<918=?4}r4a1?6=:r7o8n47919>`1d=<9:0q~j;b;296~;c52z?g7ck54128yvb4m3:1>v3k3d8;=5=:l:318=?4}r4a3?6=:r7o??47919>`67=<9:0q~j<1;296~;c;8035=52d3a905752z?g60v3k258;=5=:l8l18=?4}r4a=?6=:r7o=547919>`41=<9:0q~j>7;296~;c9>035=52d00905752z?g4dv3k088;=5=:l9>18=?4}r4af?6=:r7:?>=56c`8972a<3>;<6s|36`6>5<5s4972d02>=87p}<68194?4|5:<257=if:?02<3=?>90q~=i0283>7}:9?nj6>h?3:?22ad=;o:87p}7c612=::7p}53ca2:l?n6s|3g6;>5<5s4;=ih4;=6s|3g44>5<5s4;=j;4h0?<<5rs2d;7?6=:r7::kk53g:0?871no08j5=4}r1e<5<72;q6=;hj:2d;4>;4n1<18=?4}r4`4?6=:r7ni;47919>a`3=<9;0q~8l1;296~;bl0035=52ee:90577>52z?fgfv3jbg8;=5=:mko18=?4}r4`0?6=:r7nn?47919>ag7=<9;0q~8l5;296~;bi<035=52e`6905752z?f==v3j8c8;=5=:m1k18=?4}r4`a2b=<9;0q~8l9;296~;b?8035=52e62905752z?f21v3j568;=5=:m<<18=?4}r4`g?6=:r7n8l47919>a1?=<9;0q~8ld;296~;b;m035=52e2a905752z?f6cv3j228;=5=:m;818=?4}r4g4?6=:r7n=;47919>a43=<9;0q~8k1;296~;b80035=52e1:90577>52z?gbfv3keg8;=5=:llo18=?4}r4g0?6=:r7oi?47919>``7=<9;0q~8k5;296~;cl<035=52de6905752z?gg=v3kbc8;=5=:lkk18=?4}r4g`db=<9;0q~8ka;296~;ci9035=52d8d905752z?g=1v3k868;=5=:l1<18=?4}r4g`?6=:r7o;l47919>`2?=<9;0q~8ke;296~;c>m035=52d7a905752z?g1cv3k528;=5=:l<818=?4}r4f5?6=:r7o8;47919>`13=<9;0q~8j2;296~;c;0035=52d2:905752z?g6fv3k1g8;=5=:l8o18=?4}r4f2?6=:r7o=?47919>`47=<9;0q~8j7;296~;c8=035=52d11905752z?05c>=<9;01>?ic;547>{t>l31<7;0;6?u2367e>6`a34;>jn4<7708yv0bj3:1>v3<7dg9<<6<58<;478jb:p72ca2909w0?909803``<5:=nj7660:p5`1c2908w0?;5e82a2b<58>>o7?j7e9>5`>72>=87p};38594?0|5:>im7:?1:?01;k9;634>;388;1?kk4=515`?56l2784n=54128yv24?00;6;u235;`>166349>;o4;019>70de2=:;70=k3680b`=:<:>?;<1;<`<3891v9=8a;293~;4<0n18=?4=274g?2782789om5412896b3>3>;<632}:;=3n69>>;<163a<38916?8lk:523?85c>;0?<=523e4a>6`b34>8:i4<039>7=?52=:;7p};36a94?1|5:>2j7:?1:?012c=<9:01>;me;634>;4l>i18=>4=2f;1?5am27??;j5311896>><3>;<6s|425g>5<0s49?m=4;009>701a2=:;70=:bg8745=:;mk969>?;<1g=f<4nl168>8k:227?85?1?0?<=5rs514a?6=?r788l?54138963?83>;<63<5b29056<5:ni;7:?0:?0`g7=;oo019=9d;131>;400218=>4}r603c<72>q6?9o=:522?852080?<=5234a2>167349oon4;019>7ae12:ln70:<6e8043=:;13j69>?;|q77=6=83=p1>:n3;635>;4=1818=>4=27`6?278278hh?5412896bcj39mi63;37f9751<5:22o7:?0:p06>6290?;<16g6<38916?ih9:523?85cn908jh52424g>66?34935h4;019~w15?:3:1;v3<4`79057<5:?387:?0:?01f2=<9:01>k?b;634>;4m9?1?kk4=515`?5712784l>54128yv240=0;6:u235c4>166349>4;4;019>70e12=:;70=j438745=:;l9h6>hj;<602a<48k16?5o<:523?xu3;1?1<79t=26b;<63=7=ie:?773b=;9i01>6n5;634>{t<:2=6=48{<17e<<38816?867:523?852k10?<=523d4`>167349n:;4060c2::o70=7a68745=z{=93;7>57z?00dg=<9;01>;79;634>;4=j318=>4=2g;5?278278i:l53gg89151l39;i63<8`;90568454?:6y>71ge2=::70=:8`8745=:;?;<1f=3<38916?h7?:2df?824>m081673ty??5750;5x962fk3>;=63<59`9056<5:?hn7:?0:?0add=<9:01>kn5;1ea>;3;?n1?<>4=2:b`?2782wx8>6n:184853im0?<<5234:`>167349>on4;019>7`e72=:;70=jb`80b`=:<:?>;<1;ec<3891v9=7c;293~;4;<633:?02}:;=h;69>>;<166`b34>8:i4<159>7=d32=:;7p};39d94?1|5:>i>7:?1:?01<7=<9:01>;k1;634>;4n;o18=>4=2d1e?3>;<6s|3d31>5<5s49n=?47919>7`712:lm7p}7}:;o>h657?;<1e0`<4no1v>h98;296~;4n?2144>4=2d5e?5an2wx?k6;:18185a0=035=523g:5>6`a3ty8h9850;0x96b3>322<637a1d213;70=k7e80bc=z{:nj>7>52z?0`d4=00:01>j61;1eb>{t;mh<6=4={<1gf2>8278hi>53gd8yv5cn?0;6?u23ed5>=?7349oi84v3=6>hi;|q0a=7=838p1>k71;::4>;4m>:1?kh4}r1f=3<72;q6?h79:9;3?85b0<08jk5rs2gbf?6=:r78ill5882896c>i39mj6s|3df0>5<5s49nh>47919>7`e42:lm7p}7}:;llo657?;<1faf<4no1v>h=e;296~;4n;o144>4=2d2`?5an2wx?k:::18185a<<035=523g16>6`a3ty=in4?:3y>7274213;70?:f`85af=z{?oo6=4={<144g=?734;>j;49ed9~w3ca2909w0=9fc8;=5=:9=9:7d3?873;:0=j=5rs7d2>5<5s4;8?:49f09>5a4d2=::7p}9f383>7}:9:936;h=;<3a<3<3881v;h;:180874;00=j95215gf>3`334;?i>49f59~w3`12909w0?<3c85b3=:9:<;6;h9;|q74f?=839p1<9<0;63g<=:<8;j64;6;<6250<>=01v>k=1;296~;6>0n1?h<>;<1f56<3881v>hj3;296~;6?8>1?kk<;<3450<4nl90q~=ifd83>7}:9>;i6>hie:?234e=;oln7p}530?2:n9:6s|3e62>5<5s4;=:i4;6>>k1?i9:;|q0`=`=838p1<88e;1gj7f:p7ag32909w0?98280`d2<58<387=ka59~w6be13:1>v3>69:97ad>34;=4446bdm27::5j53eaf?xu4ll91<7{t;ml36=4={<35=3<4lo201<867;1gb==z{:o9j7>52z?22d7=;l8m70?9a380a7`53g12:o?863>6`597`233ty8i8750;0x940fj39n945217c`>6c212wx?h8j:181871j808i;k4=04a6?5b>l1v>k73;296~;6>k=1?h6<;<35f=<4m190q~=j9983>7}:9?ho6>k68:?22gc=;l337p}53e32:ojh6s|3c01>5<5s49noo47g2420:o7p}7}:9?in6>kk5:?22f`=;ln>7p}53b22:onm6s|3g3a>5<5s4;=i?4>4}r3300<72;q6=9>::0271>;6<9=1==::;|q030e=838p1>9:d;635>;4?4}r141<<72;q6?:;6:9;3?850=h08jk5rs2;;541289405j3924552374b>6`a3ty8?50;0x9401>39o?<523e0e>=?73ty?<=l50;0x9416i3>;=?73ty8jhk50;0x9416;39mih523gg`>=?73ty8ji>50;0x9417k39mh=523gaf>=?73ty8jlj50;0x9417=39mmi523gca>=?73ty=j:4?:3y>727f213;70=8188744=z{?l36=4={<307f<1n116=8h=:7d;?xu1n00;6?u2121g>3`>34;89=49f89~w3`f2909w0<:d58;=5=::>;|q5bg<72;q6=>=j:7da?872??0=jo5rs7d`>5<5s4;9:>47919>57052=:;7p}>27394?4|588=>7:?1:?207>=9;<:7p}>27094?5|588=>7660:?263g=<9;01<<9b;635>{t>on1<7>827:>;954128yv75>?0;6?u21344>16634;?>44>2748yv75>>0;6?u21344>=?734;9:n46589~w3`a2909w0=if58;=5=:;ol:6>hi;|q445<72;q68=?>:9;3?8278l08jk5rs622>5<5s49o?:47919>7a532:lm7p}80383>7}:;m>m657?;<1g0f<4no1v:><:18185c>k035=523e4;>6`a3ty<<94?:3y>7a>2213;70=k8380bc=z{>:>6=4={<1g=f=?7349omh4hi;|q44=<72;q6?ijm:9;3?85cl108jk5rs62:>5<5s49oj=47919>7acc2:lm7p}80c83>7}:;l:>657?;<1f47<4no1v:>l:18185b;j035=523d1:>6`a3ty<7`36213;70=j4d80bc=z{>:n6=4={<1f23=?7349n;54hi;|q454<72;q6?ho::9;3?85bi;08jk5rs631>5<5s49nnl47919>7`d02:lm7p}81283>7}:;lij657?;<1fg<<4no1v9==?73ty<=94?:3y>7`c5213;70=jdg80bc=z{>;>6=4={<1fb2=?7349m>84hi;|q45=<72;q6=?=;:9;3?875;:0?<=5rs0007?6=;r7:>>=58828944413>;=63>22c905752z?2661=00:01<<<6;634>{t9;9=6=4={<317327f34;=9o481`9~w6eai3:1>v3>64`97f`f349hjh4>;|q45f<72;q6=>:=:63`?85amj0?<<5rs63g>5<5s4;88>481e9>7ceb2=::7p}81d83>7}:9:>?6:?j;<1eeg<3881v:?i:181874<<0<=k52151;>27a3ty<><4?:3y>56212>8:70?;498464=z{>896=4={<3002<0:;16?:k<:522?xu3;:<1<7?6:3de?xu3;=;1<7?6:22b?xu3;=>1<7?6:236?xu3;=?1<7?n:3df?xu3;=<1<7?n:3de?xu3;;h1<7?6:3df?xu0:=0;6?u2126;>243349o>k4;009~w24f2909w0?<48846d=:<8n269>>;|q0bd1=838p1<9?8;1ee2=:;oki6>hi;|q0bfg=838p1<9?f;1egd=:;oin6>hi;|q0b`>=838p1<9>6;1ea==:;ooh6>hi;|q7455=838p1<9>d;6346=:<9:26>hi;|q0`7d=838p1<899;1g6g=:;m8m6>hi;|q474<72;q6?:ki:522?850n:0<;>5rs2;7a?6=:r7858=53gd896?293=?7660:?0=04=<9;0q~=81983>7}:99>8:?0340=00:0q~=81183>7}:99>0:?035c=00:0q~=80983>7}:96>9?8:?0350=00:0q~=80183>7}:99?0:?02cc=00:0q~9<9;296~;39m218=?4=53g=?>>82wx;>o50;0x9453i3=8m6351cy>7`e52=::70?<4b8552=:;oh?6>hi;<1e`<<4no16=?hj:734?8709<0==:52163`>37034;=:549169>53132?;<70?97`8552=:9?=m6;?8;<35<1<19>16=;66:734?8710m0==:5217;1>37034;=5:49169>53g52?;<70?9a68552=:9?kh6;?8;<35f7<19>16=;l7:734?871jl0==:5217a7>37034;=ok49169>53b22?;<70?9e28552=:9?o26;?8;|q0`43=838p1<=;b;1g50=:9??m6>j>5:p36d=838p1<=;b;50f>;6;:?1;>l4}r1a6a<72;q6?kk;:2de?85e;=6s|3c0f>5<5s49mjk47g2b20:o7p};01094?4|5:lmj7:?1:?234e=<9:97p}7}:;m8<6>hi;<1a74<>8m1v>j=a;296~;4l;=18=?4=045j=7;296~;4l;=144>4=2f00?2792wx;>h50;0x9453k3=8j637a252:lm70=m338:4a=z{:n?>7>52z?0`14=00:01>j;c;635>{t;k;96=4={<1g1d<4no16?o=<:82g?xu4l?;1<7>8278h;654138yv5e9:0;6?u23e55>6`a349i?9460e9~w6b0j3:1>v33:1>v37:?1:p7g732909w0=k9180bc=:;k9>64>k;|q0`<0=838p1>j60;635>;6>>l1?i79;|q0`<6=838p1>j60;::4>;4l0318=?4}r1a50<72;q6?io::2de?85e;?02;=6s|3c35>5<5s49onl47g5020:o7p}7}:;mim6>hi;<1a7=<>8m1v>jk5;296~;4ljl18=?4=04;`?5cl<1v>jlf;296~;4ljl144>4=2fg<6c3ty8hho50;0x96bb<3>;=63>68097acf3ty8hh:50;0x96bb<322<637a`>2:lm70=m3`8:4a=z{:nmh7>52z?0`c?=<9;01<867;1gba=z{:nm57>52z?0`c?=00:01>k?2;635>{t;k;i6=4={<1f75<4no16?o=l:82g?xu4m:<1<7>8278i>754138yv5e9j0;6?u23d66>6`a349i?i460e9~w6c3j3:1>v3v3k;|q0a0c=838p1>k:a;635>;6>hi1?h;j;|q0a0g=838p1>k:a;::4>;4m?918=?4}r1a5`<72;q6?h8i:2de?85e;o02;=6s|3c3e>5<5s49n4947g2720:o7p}=;l2j7p}7}:;l326>hi;<1a04<>8m1v>k6f;296~;4m0318=?4=04aa?5b1o1v>k69;296~;4m03144>4=2gb6?2792wx?o<>:18185bil08jk523c61><6c3ty8io<50;0x96cfm3>;=63>6b697`d53ty8ilk50;0x96cfm322<63>4?:3y>7`b12:lm70=m458:4a=z{:ooo7>52z?0aa0=<9;01<8lf;1f`f=z{:oo:7>52z?0aa0=00:01>kkf;635>{t;k8?6=4={<1fag<4no16?o:::82g?xu4mo;1<7>8278ik:54138yv5e:?0;6?u23g3`>6`a349i8:460e9~w6`5:3:1>v3v3364>k;|q0b62=838p1>h<1;635>;6>l31?k=;;|q0b67=838p1>h<1;::4>;4n:k18=?4}r7gb?6=jr7:9k>55ed8943bm3?oj63>5da91a`<58?nm7;kf:?21`>==ml01<;j7;7gb>;6=l?19ih4=07f7?3cn27:9h?55ed896>c=39mj63<6g;9<<6:ii4?:`y>0703213;70:=6780bc=:<;<:6>hi;<62bc<4no16808jk5240d0>6`a34>:ik4076>2:lm70:=0580bc=z{=:o>7>52z?2363=<9n970?837874a4;ok4?:3y>52522=:hj63>322905ea3ty9;;?50;0x9433;38<:<52267e>=?73ty9;8o50;0x9433:38<9l52267;>=?73ty<994?:3y>562c2>??70?85g8411=z{:h52z?230`=;k=n70=m7b8;=5=z{=9<97>52z?230`=<:=>70:<6e8203=z{>?>6=4={<300`<0=<16=:8?:676?xu4j191<7:9;3?xu3;><1<78k:064?xu0=?0;6?u2126e>23134;<9h48579~w6d2k3:1>v3>74g97g3d349i9l47919~w151m3:1>v3>74g9060b34>8:i4>499~w61?l3:1>v3>342972>c34;=<=4<79f8yv12?3:1>v3>3429301<5:=347:?0:p7cd02909w0=ib58744=:;oh>657?;|q54=0524?0?m2wx?h9=:181874=808i:<4=2g44?>>82wx;8o50;0x945293=>m63>6c2930g56352:o3;63n7>52z?2704=?{t;l3h6=4={<3016<4m0i01>k6a;::4>{t?6ce>27::n;53d`5?xu4mj?1<723b34;=o4485d9~w6cc13:1>v3>34797`b>349nh:47919~w23a2909w0?<54841c=:9?io6:;i;|q0a`c=838p1<=:6;1fa`=:;loh657?;|q425<72;q6=>;9:643?871l:0<:=5rs2d2b?6=:r7:?8953g3e?85a9m035=5rs642>5<5s4;89:48609>53c62><:7p}47=i369>7c52213;7p}86383>7}:9:?36:8=;<35a2<0>;1v>9nb;296~;4?h218=>4=0430?50ik1v:8;:18185>=>035=523875>1663ty?=h<50;0x917c139mj63;1d09<<6:hn4?:3y>04b>2=:;70:>d`8;=5=z{><<6=4={<62a7<38916=>;n:644?xu0>10;6?u236;:>6`b349<5l47919~w20>2909w0=89880bc=:;>3i657?;|q42d<72;q6?n:k:2df?85d5<5s49h8i47f2a213;7p};37694?4|5:i?i7=if:?7776=;7}:;j>n69>?;<605f<4=h1v9=:b;296~;4k=l1?kh4=512a?52i2wx8>86:18185d63f3ty<:n4?:3y>7f2f2:ln70=l4c8;=5=z{>535d8yv24=80;6?u23b6a>16734>8=n4<4g9~w152i3:1>v3:i;|q7<<<72;q6?n:8:2df?85d<1035=5rs5:b>5<5s49h8:47f2>213;7p};37394?4|5:i?47=if:?7776=;=>0q~:<5183>7}:;j>369>?;<605f<4<=1v9=:9;296~;4k=31?kh4=512a?53<2wx8>88:18185d<00?<=52423b>6233ty?4o4?:3y>7f232:ln70=l448;=5=z{=2h6=4={<1`01<4no16?n:9:9;3?xu3;?:1<753518yv2416734>8=n4<429~w15203:1>v3:<;|q7<0035=5rs5:f>5<5s4928547<2f213;7p};8g83>7}:;0<<6>hj;<31>>08jk52384:>=?73ty85;950;1x96?1?3=;5?<8144>4}r6:6?6=:r79;8?53gd8944?m3>2>6s|48694?4|5;=?m7=ie:?131d=00:0q~:65;296~;5?=k1?kh4=357g?>>82wx84850;0x9752839mi63=3439<<62;7>52z?1706=;ol01?=:2;::4>{t<021<713:1>v3=35497c`<5;9?n7660:p0;5;<>144>4}r6:f?6=:r79?8=53gd89752=322<6s|48a94?4|5:3<97=ie:?26<6=<0i0q~:6d;296~;41>?1?kh4=2;43?>>82wx84k50;0x96?><39mi63<9849<<62j7>52z?0=<2=;ol01>767;::4>{t4?54`28yv2f:3:1>v3mae80b`=:9;3969o=;|q7e6<72;q6nlj53gd8944>;3>j?6s|4`694?4|5:==n7=ie:?26<2=0q~:n5;296~;4??h1?kh4=255`?>>82wx8l850;0x964b<39mi63<2d79<<6j;7>52z?06`2=;ol01<<65;6b3>{tv3=09`97c`<5882;7:na:p0dd=838p19<:c;1ea>;3:4}r6bg?6=:r7?>8m53gd89142m322<6s|4`f94?4|5=8>47=ie:?760?=00:0q~:ne;296~;3:<21?kh4=506e?>>82wx8lh50;0x9142<39mi63;2479<<6i<7>52z?7602=;ol019<:6;::4>{t9j58828yv2e:3:1>v3;25a97c`<5=8?i7660:p0g2=838p19<:0;1ea>;3:<;144>4}r6a1?6=:r7?>8>53gd89142:322<6s|4c494?4|5=8?<7=ie:?7617=00:0q~:m7;296~;3:=:1?kh4=5076?>>82wx8o650;0x9146<39mi63;2079<<6i57>52z?7642=;ol019<>6;::4>{tv3;1d797c`<5=;n;7660:p0ge=838p19?j9;1ea>;39lk144>4}r6a`?6=:r7?=h753gd8917bj322<6s|4cd94?4|5;=?i7=ie:?26>82wx8n?50;0x9737939mi63=5109<<6h>7>52z?1157=;ol01<<6b;6`6>{t;858828yv2d<3:1>v3;27797c`<5=8=;7660:p0f3=838p19<90;1ea>;3:?;144>4}r6`2?6=:r7?>;>53gd89141:322<6s|4b594?4|5=;mi7=ie:?75c`=00:0q~:l8;296~;39oo1?kh4=5034?>>82wx8no50;0x917ai39mi63;1g`9<<6hn7>52z?75cg=;ol019?ic;::4>{tv3;1g497c`<5=;m47660:p0fc=838p19?i2;1ea>;39o9144>4}r6`b?6=:r7?=k<53gd8917a<322<6s|4e294?4|5=;ni7=ie:?75``=00:0q~:k1;296~;39lo1?kh4=53e4?>>82wx8i<50;0x9147039mi63;21;9<<6o?7>52z?765>=;ol01<<6d;6g7>{t=:58828yv2c>3:1>v3;21197c`<5882j7:k6:p0a1=838p1?hjc;1ea>;6:h:18i94}r6go46s|4e;94?4|5;li?7=ie:?26d4=2`690adoo7>52z?1bd`=;ol01<{tl854ef8yv2cm3:1>v3=f``97c`<588j;7:ke:p0a`=838p1?hn7;1ea>;6:h218ih4}r6f4?6=:r79jl953gd8944f13>n<6s|4d394?4|5;lj?7=ie:?26dg=cb29<<6n87>52z?2gg`=;ol01<{t3:1>v3>b0;97c`<588jh7:j6:p0`1=838p1>9n4;1ea>;6:ho18h94}r6f322<6s|36c7>5<5s4953632:=j86s|4d;94?4|5;li57=ie:?26d`=39mi63>2c390`dno7>52z?1bg0=;ol01<{to=54df8yv2bm3:1>v3=fbg97c`<588i87:je:p0``=838p1?hlb;1ea>;6:k?18hh4}r6e4?6=:r79jnl53gd8944e>3>m<6s|4g394?4|5;lh47=ie:?26g1=2c;90c3m:7>52z?1bf3=;ol01<{tol54g58yv2a03:1>v3=fcd97c`<588io7:i8:p0c?=838p1?hmc;1ea>;6:kn18k74}r6ee?6=:r79jom53gd8944em3>mm6s|4g`94?4|5=8987=ie:?7673=00:0q~:ic;296~;3:;>1?kh4=5012?>>82wx8kj50;0x9145839mi63;2339<<6mi7>52z?7676=;ol019<=2;::4>{tv3;20a97c`<5=8:i7660:p157=838p19<>8;1ea>;3:83144>4}r736?6=:r7?><653gd89146i322<6s|51194?4|5=8:<7=ie:?7647=00:0q~;?4;296~;3:8:1?kh4=5026?>>82wx9=;50;0x9147k39mi63;21f9<<652z?765e=;ol019{t=9=1<79758828yv3703:1>v3;25:97c`<5=8?m7660:p15g=838p19<;4;1ea>;3:=?144>4}r73f?6=:r7?>9:53gd89143>322<6s|51a94?4|5=88o7=ie:?766b=00:0q~;?d;296~;3::i1?kh4=500a?>>82wx9=k50;0x9144039mi63;22;9<<652z?766>=;ol019<{t=8:1<7>;58828yv3693:1>v3;22697c`<5=88:7660:p144=838p19<<0;1ea>;3::;144>4}r727?6=:r7?>>>53gd89144:322<6s|50794?4|5=89o7=ie:?767b=00:0q~;>7;296~;3:;i1?kh4=501a?>>82wx9<650;0x9145039mi63;23;9<<652z?767>=;ol019<=a;::4>{t=8k1<7n?550c8yv36j3:1>v3mj3;1eb>;4kl?144>4}r72b?6=:r78oik53gd896eb8322<6s|53094?4|5:io57=if:?0gad=00:0q~;=4;296~;4km>1?kh4=2ag2?>>82wx9?850;0x96edn39mj6352z?0gf6=;ol01>ml2;::4>{t=;k1<7v387660:p17b=838p1>mjd;1ea>;6:j919?j4}r71a?6=:r78ohj53gd896ebn322<6s|53d94?4|5:in47=ie:?26f2==;l0q~;<0;296~;4kl21?kh4=2afe?>>82wx9>?50;0x96edi39mi63>2b791677>52z?0gfg=;ol01>mlc;::4>{t=:91<7n855218yv34<3:1>v3mmb;1ea>;6:j=19>84}r70>82wx9>l50;0x96ee939mi63>2bc916d52z?0gg7=;ol01>mm3;::4>{t=:n1<7nl552f8yv34m3:1>v3mn7;1ea>;6:ji19>h4}r774?6=:r78ol953gd896ef1322<6s|55094?4|5:ij>7=ie:?26fc===80q~;;4;296~;4kh81?kh4=2ab0?>>82wx99;50;0x96e>l39mi63>2e2911352z?0gm6f;::4>{t===1<7i?55558yv3303:1>v3m63;1ea>;6:m819974}r77e?6=:r78o4=53gd896e>=322<6s|55`94?4|5:i3i7=ie:?26a5===h0q~;;c;296~;4k1o1?kh4=2a:4?>>82wx99k50;0x96e?<39mi63>2e7911c<7>52z?0g=2=;ol01>m76;::4>{t=<;1<7i955438yv32:3:1>v3m8a;1ea>;6:m2198=4}r760?6=:r78o:o53gd896e0k322<6s|54794?4|5:i<97=ie:?26a?==?1?kh4=2a43?>>82wx98950;0x96e0839mi63>2ec910147>52z?0g26=;ol01>m82;::4>{t=im554c8yv32k3:1>v3m96;1ea>;6:mn198j4}r76a?6=:r78o;853gd896e10322<6s|54d94?4|5:i==7=ie:?26ac==>82wx9;?50;0x96e2k39mi63>2ed91377>52z?0g0e=;ol01>m:e;::4>{t=?91<7h>55718yv31<3:1>v357660:p130=838p1?=;7;1ea>;5;=2144>4}r75>82wx9;l50;0x972a;39mi63=4g69<<652z?10c5=;ol01<{t1o>1<7:t=`26>6`c34k;:7983:?:b152`62;>o7p}le083>7}:i9<1?kj4=e4:><6c3ty2j84?:5y>e5>=;on01l>6:650?8?a=32mo6P6f49~w34b2909w0o?8;547>;6?o<1>9j4}raf6?6=:r7j<44`20=19n0q~7i6;290~;f8k08ji52a1a9325<50l=65hl;_;e2>{t>;l1<721434;;c0:025<3s4k;i7=id:?b4c<0?:165k958ga8Z<`03ty=?=4?:3y>e5c=?>901<6?0;07`>{tkl>1<76`c34n2<77?d:p=c>=83>p1l?>:2dg?8g6:3=521926>72c3tyhi;4?:3y>e44=;on01ion:82g?xu>nh0;69u2a0697cb<5h;>6:9<;<;ee?>ak2T2jl5rs711>5<5s4k:87983:?2<5g=:=n0q~mj7;296~;f9<08ji52dc59=5b54z?:`3<4nm165i957618921lh7S7m9:p265=838p14j9:650?870l1098i5rsbfe>5<5s43o;7=id:?g0c<>8m1v4l8:1878?c;39mh636d58436=:1k=14km4^8`4?xu1;=0;6?u29e19325<58=o?7<;d:pgac=838p14j;:2dg?8b3:33;h6s|9c494?2|50n;6>hk;<;g5?10;272n;47fb9]=g052z?:`5<0?:16=:mj:36g?xudlm0;6?u29e397cb<5m9>64>k;|q:f0<72=q65nj53gf89=8707m5;:eg>X>j<1v;=9:1818?dl3=7b;961b52z?:g`<4nm16h?6591f8yv?e<3:18v36c`80ba=:1jh1;:=4=8`7>=`d3W3i86s|62594?4|50ij6:9<;<34g1<5=g5=0oi0R4l<;|q57=<72;q65n957618941en38?h6s|cec94?4|50i36>hk;;>k;03jn5Q9b08yv0413:1>v36f08436=:9>hj6?:k;|q`bc<72;q65k<53gf89`c520:o7p}6c083>1}:1lo1?kj4=8ge>214343h=76ic:\:g4=z{?9j6=4={<;fa?10;27:;o;525f8yveam3:1>v36eg80ba=:mm?15=j4}r;`4?6==`e=?>9014m?:9d`?[?d82wx:>l50;0x9=870?8b1810a=z{jlo6=4={<;fg?5al27no5460e9~w;>m00<;>529cd97}:1l21;:=4=05bf?43l2wxokm50;0x92:lo70kmb;;3`>{t1ko1<7:t=8g6>6`c343n:7983:?:f`52g12;>o7p}lfc83>7}:1l<1?kj4=dcf><6c3ty2ni4?:5y>=`4=;on014k<:650?8?el32mo6P6be9~w35b2909w07j2;547>;6?h;1>9j4}raee?6=:r72i>4a6>=19n0q~7mc;290~;>lo08ji529d29325<50hh65hl;_;ag>{t>:l1<721434;<5n4=4e9~wf`72909w07j0;1e`>;ci0025<3s43oo7=id:?:`a<0?:165ol58ga8Z=ae=?>901<967;07`>{tkl?1<76`c34n=477?d:p=gg=83>p14j6:2dg?8?ci3=l00<;>5216;1>72c3tyhi=4?:3y>=ag=;on01i>k:82g?xu>j;0;69u29b697cb<50i>6:9<;<;a6?>ak2T2n?5rs761>5<5s43h87983:?23=b=:=n0q~mk9;296~;>k<08ji52d129=5b54z?b7d<4nm16m>l576189d7c21lh7So>d:p215=838p1l=n:650?87?=h098i5rsbd;>5<5s4k8n7=id:?f=1<>8m1vl?m:1878g4?39mh63n398436=:i8h14km4^`3a?xu1<=0;6?u2a259325<582>97<;d:pgc1=838p1l=7:2dg?8c??33;h6s|a0c94?2|5h9?6>hk;52z?b71<0?:16=5;?:36g?xudn?0;6?u2a2797cb<5l=j64>k;|qb5<<72=q6m>?53gf89d552>=870o>9;:eg>Xf901v;:9:1818g493=85`961b52z?b77<4nm16i;j591f8yvg603:18v3n2d80ba=:i;l1;:=4=`3;>=`d3Wk:46s|65594?4|5h8n6:9<;<3;03<5n48729>e41=0oi0Rl?8;|q50=<72;q6m?l5761894>3938?h6s|cg194?4|5h8h6>hk;;f:?03jn5Qa348yv0313:1>v3n548436=:919h6?:k;|q`b7<72;q6m8853gf89`2120:o7p}n2483>1}:i<81?kj4=`70>21434k9976ic:\b60=z{?>j6=4={9525f8yvea93:1>v3n5280ba=:m:315=j4}rc10?6=e06=?>901l<;:9d`?[g5<2wx:9l50;0x9d2a2>=870?733810a=z{jom6=4={;f52a3197}:i=i1;:=4=0:1`?43l2wxohk50;0x9d2c2:lo70k>2;;3`>{ti;81<7:t=`6:>6`c34k?m7983:?b675=4?2;>o7p}lee83>7}:i=k1?kj4=d26><6c3tyj><4?:5y>e10=;on01l:8:650?8g5932mo6Pn209~w32b2909w0o;6;547>;60;91>9j4}rafg?6=:r7j8:4`c>=19n0q~o=0;290~;f<:08ji52a569325<5h8;65hl;_c14>{t>=l1<721434;3=h4=4e9~wfce2909w0o;4;1e`>;cmk025<3s4k?<7=id:?b04<0?:16me16=?>901<6>9;07`>{tklk1<76`c34noi77?d:pe4c=83>p1l=k:2dg?8g4m3=521937>72c3tyhi44?:3y>e6c=;on01ij>:82g?xuf9?0;69u2a3:97cb<5h826:9<;ak2Tj=;5rs771>5<5s4k947983:?2<5`=:=n0q~mj8;296~;f:008ji52db69=5b8?i4?:3y>067a2::j70=jb680b`=z{=98o7>52z?774`=:ol01>kn2;1ea>{t<:9i6=4={<605c<5nl16?h6k:2df?xu3;=91<7o53gg8yv24<;0;6?u24203>66f349m>84v3;33296c`<5:om87=ie:p065a2909w0:<2181b`=:;lnm6>hj;|q7763=838p19=>d;121>;4m981?kk4}r6071<72;q68>?k:22b?85cmm08jh5rs5107?6=:r7??5<5s4>8=i4=fd9>7ae42:ln7p};32c94?4|5=9:i7=>5:?0a2>=;oo0q~:<3883>7}:<:;n6>>n;<1f26<4nl1v9=<8;296~;3;8o1>kh4=2g7a?5am2wx8>=8:1818249l09jh523d1:>6`b3ty??9650;0x9156i39:963>7029062?3ty??9950;0x9156i39;m63>71;906203ty???j50;0x9156j39:9638>n4?:3y>067e2::j70=k3580b`=z{=9?m7>52z?774d=:ol019>?e;1ea>{t<:>26=4={<605g<5nl16?kh>:2df?xu3;:;1<766f349o544v3;30a96c`<5:n3>7=ie:p064b2909w0:<1b81b`=:;m<36>hj;|q1=838p1<;?7;0;b==::1lj6>hi;|qa04<72;q6n9<588289g232:lm7p}m4383>7}:9:?n6o:=;<`70?5am2wx>8:<:181873k>0999=4=3770?5an2wx?4=0d60?5an2wx=k;=:181873?j0:j8<4=0d60?5am2wx??l<:181872j=08>o=4=20a1?5an2wx>=;n:1818730m09<8o4=326g?5an2wx>=;k:181847=m035=52217e>6`a3ty9<8k50;0x9762m322<63=04d97cc5fd0213;70?lb980b`=z{8ii87>52z?2gg>=;ol01{t9k:m6=4={<3a5458828yv5?=<0;6?u23975>=?734939542>3:1>v3<8459<<6<5:2>47=ie:p07112909w0?85787620<5=8<47=if:pf0c=838p1o;j:9;3?8d2n39mi6s|b4`94?4|5k?i657?;<`6g?5am2wxn8650;0x9g3?213;70l:9;1ea>{tj=?734h>:7=ie:pf04=838p1o;=:9;3?8d2;39mi6s|b5d94?4|5k>m657?;<`64?5am2wxn9m50;0x9g2d213;70l;d;1ea>{tj=31<7=?734h?m7=ie:pf10=838p1o:9:9;3?8d3?39mi6s|b2g94?4|5k9n657?;<`0b?5am2wxn>l50;0x9g5e213;70l{tj:21<7=?734h857=ie:pf63=838p1o=::9;3?8d4>39mi6s|b2094?4|5k99657?;<`07?5am2wxn?h50;0x9g4a213;70l<0;1ea>{t:=3h6=4={<07=097j:2de?xu5<0n1<7>827984k53gg8yv5>=?734929<4v3<9429<<6<5:3>=7=ie:p07172909w0?85487626<5=8<>7=if:p60g42909w0?;d5811d5<5;?j97=ie:p61552908w0<:a48436=::?;<06=d<3891v?;n0;296~;68o?;<06e7<4no1v?:=f;290~;5=h81;:=4=37b2?27827994:54138973>=39mi6s|24c5>5<5s48>m;47919>60g?2:lm7p}=5`594?4|5;?j;7660:?11d>=;oo0q~<:9583>7}::<3?657?;<06=3<4no1v?;65;296~;5=0?144>4=37:2?5am2wx>87<:1818421?0<;>5224;b>1663ty994750;0x973>i322<63=58`97cc5fee213;70?lce80bc=z{8ihn7>52z?2gfb=;oo01{t9k8?6=4={<3a60477l27:==h53gg8yv5e1=0;6?u23c;6>=?7349i5:4=3:1>v369>>;<1`54<38816?n>k:522?85d800?<<523b26>166349h<<4;009>7g`c2=::70=mf78744=:;kl969>>;<1aa`<38816?okn:522?85em?0?<<523cg1>166349ihh4;009>7gbf2=::70=md78744=:;kn969>>;<1ag`<38816?omn:522?85ek?0?<<523ca1>166349inh4;009>7gdf2=::70=mb78744=:;kh969>>;<1ae`<38816?oon:522?85ei?0?<<523cc1>166349i5h4;009>7g?f2=::7p}<97`94?4|5:3=n7660:?0=3b=;ol0q~=66b83>7}:;078e;296~;6>;21?49j;<1:<5<4no1v>78f;296~;6>;31?49i;<1:<5<4nl1v?jke;296~;6=?81>ijj;<0ga5<4no1v<<4=0074?5an2wx=?=i:181875;o035=521363>6`b3ty:>20d97c`577b213;70?=1g80b`=z{889:7>52z?2670=00:01<<=8;1eb>{t9;8<6=4={<3162>827:>?h53gd8yv75:l0;6?u2130f>=?734;9>k4v3>22;9<<6<5888n7=if:p575f2909w0?=3`8;=5=:9;9i6>hj;|q754>=838p19?>8;::4>;398k1?kh4}r625<<72;q685<5s4>:=947919>04722:ln7p};1eg94?4|5=;oi7660:?75a`=;ol0q~<<5e83>7}:::?o657?;<001`<4no1v?=:7;296~;5;<=144>4=3166`a3ty:>:?50;0x94409322<63>26097cc5721213;70?=4980bc=z{88?;7>52z?2611=00:01<<;8;1ea>{t9;>>6=4={<310=<0?:16=?:6:522?xu6:<;1<7>827:>8=53gd8yv75=;0;6?u21371>=?734;99>4v3>24:9<<6<588>m7=if:p573>2909w0?=588;=5=:9;?j6>hj;|q2601=838p1<<:a;547>;6:j08jk5rs005f?6=:r7:>;l588289441k39mi6s|134:>5<5s4;9:n48729>570c2:lm7p}=d8694?4|58?=<76a?22:ln7p}=4g:94?4|5;>mm7660:?10cb=;ol0q~<;f`83>7}::=li657?;<07ba<4nl1v?=:a;296~;5;4=316f?5an2wx?:l9:1818718<08;o84=25a3?5am2wx?;7;:181872lj08:4:4=24:1?5an2wx?k:>:181871mh08j9?4=2d76?5am2wx?:;8:181850=>035=52367;>6`b3ty8;8850;0x961203=7203213;70=86780bc=z{:==97>52z?0333=00:01>996;1ea>{t9l=n6=4={<371c<6m>o01{t9l=m6=4={<3725<6m>l01{t;0=:6=4={<1:37>827858k53gg8yv5c990;6?u2177g>6b68278h21434;8?844`f827:jl<53gd8yv7ai80;6?u21gc2>=?734;mm?4v3>ccc9<<6<58iih7=if:p5fdf2909w0?lbb8;=5=:9jho6>hj;|q2f45=838p14;::4>;6j8=1?kh4}r3a51<72;q6=o?9:9;3?87e9>08jh5rs245e?6=:r78:;o588289601k39mj6s|374a>5<5s49=:o47919>730d2:ln7p}>ce`94?4|58ion7660:?2gae=;oo0q~?m3483>7}:9k9>657?;<3a73<4nl1v>?i9;296~;6=h31??ia;296~;49oh144>4=23eg?5am2wx?46<:18185>0:035=5238:6>6`a3ty855:50;0x96??<322<63<99797cc50112;l536>2:=m<63<7g197c`536f2:=m=63<7g197cc74d7213;70=>b280bc=z{:;i>7>52z?21d5=;8h970=>b280b`=z{8l>:7>52z?2b00=00:01{t9o?36=4={<373a<6n<201{t;>hj6=4={<14fdl525f8yv5e:<0;6?u23c1a><6c349mv3hj;|q0e=>=838p1>o78;;3`>;4j::1>9j4}r1b=`<72;q6?l7j:82g?85e39mi6s|3`c2>5<5s49jm<460e9>7g2>2;>o7p}7}:;hk964>k;<1a0d<5l=a;296~;4j=k15=j4=2d;a?5am2wx?lo<:18185fi:0272c3ty8n?l50;0x96d3j33;h637dg320:o70=m4b810a=z{:h9o7>52z?0f1e=19n01>hkc;1ea>{t;hk>6=4={<1be0<>8m16?o:k:36g?xu4i1k1<7<525f8yv5fi?0;6?u23`c5><6c349i8h4=4e9~w6g?13:1>v3e2909w0=n8c8:4a=:;k986?:k;|q0e=e=838p1>o7c;;3`>;4j:>1>9j4}r1b38?h6s|3`:e>5<5s49j4k460e9>7g502;>o7p}=:=n0q~=n9583>7}:;h3?64>k;<1a7f<5o61;296~;4i0;15=j4=2`0=?43l2wx?l7=:18185f1;0272c3ty8m4;50;0x96g>=33;h637d?120:o70=m3d810a=z{:k2;7>52z?0e<1=19n01>l{t;h336=4={<1b==<>8m16?o:?:36g?xu4i031<7<6c349i8?4=4e9~w6g>l3:1>v386?:k;|q0eo6c;;3`>;4j=>1>9j4}r1b=c<72;q6?l7i:82g?85e<>098i5rs2cb4?6=:r78ml>591f896d3038?h6s|197:>5<6s4;39l4=1b9~w4>203:1>v3>84c9=5b<582>n7<;d:p5=33290:w0?754815f=z{82>?7>52z?2<03=19n01<6:6;07`>{t91>m6=4>{<3;15<59j1v<6;e;296~;60<:15=j4=0:65?43l2wx=5:n:18287?3k38?h6s|191a>5<6s4;3?n4=1b9~w4>4i3:1>v3>82a9=5b<5828h7<;d:p5=22290:w0?747815f=z{82?87>52z?2<10=19n01<6;7;07`>{t91>;6=4>{<3;04<59j1v<609=n5rs0:01?6=:r7:4>9591f894>4038?h6s|1912>5<6s4;3??4=1b9~w4>483:1>v3>8209=5b<5828?7<;d:p5=4d290:w0?72e815f=z{829n7>52z?2<7b=19n01<6=e;07`>{t918<6=4>{<3;6=<59j1v<6=6;296~;60;215=j4=0:1=?43l2wx=5<=:18287?::09=n5rs0:15?6=:r7:4?=591f894>5<38?h6s|193g>5<6s4;3=h4=1b9~w4>6k3:1>v3>80g9=5b<582:j7<;d:p5=6b290:w0?70g815f=z{82;h7>52z?2<5`=19n01<6>0;07`>{t91;36=4>{<3;5<<59j1v<6>7;296~;608315=j4=0:2e?43l2wx=5?<:18287?9=09=n5rs0:26?6=:r7:4<:591f894>6=38?h6s|192:>5<6s4;3703:1>v3>81c9=5b<582;n7<;d:p5=63290:w0?704815f=z{82;?7>52z?2<53=19n01<6?6;07`>{t9>lm6=4>{<3;45<59j1v<9ie;296~;609:15=j4=0:35?43l2wx=:hn:182870nk09=n5rs05e=?6=:r7:;kl591f8941ak38?h6s|16d6>5<6s4;v3>7g49=5b<58=m;7<;d:p52`7290:w0?8f0815f=z{8=nj7>52z?23c7=19n01<9i2;07`>{t9>io6=4>{<34g`<59j1v<9lc;296~;6?jo15=j4=05`b?43l2wx=:j8:182870l109=n5rs05g2?6=:r7:;i6591f8941c138?h6s|16f1>5<6s4;4=1b9~w41c93:1>v3>7e19=5b<58=o87<;d:p52e?290:w0?8c8815f=z{8=h;7>52z?23f?=19n01<9la;07`>{t9>i86=4>{<34g1<59j1v<9l2;296~;6?j>15=j4=05`1?43l2wx=:lj:182870jo09=n5rs05a`?6=:r7:;oh591f8941d838?h6s|16`:>5<6s4;v3>7cc9=5b<58=in7<;d:p52d3290:w0?8b4815f=z{8=i?7>52z?23g3=19n01<9m6;07`>{t9>km6=4>{<34f5<59j1v<9ne;296~;6?k:15=j4=05a5?43l2wx=:o?:182870i809=n5rs05:b?6=:r7:;l?591f8941f:38?h6s|16cb>5<6s4;v3>7``9=5b<58=jo7<;d:p52g2290:w0?8a7815f=z{8=j87>52z?23d0=19n01<9n7;07`>{t9>3i6=4>{<34=f<59j1v<96a;296~;6?0i15=j4=05:`?43l2wx=:79:1828701>09=n5rs05:1?6=:r7:;49591f8941>038?h6s|16;2>5<6s4;<5?4=1b9~w41>83:1>v3>7809=5b<58=2?7<;d:p52>d290:w0?88e815f=z{8=3n7>52z?23=b=19n01<97e;07`>{t9;=26=4>{<313d<59j1v<<88;296~;6:>k15=j4=004f?43l2wx=?9j:182875?o09=n5rs004`?6=:r7:>:h591f8944?838?h6s|3e52>5<5s4;=;;4;:09me22=82we>??5<6sgk<87<4}o0156e=83;pbl9;:29~j746;m0;6?uaa6690>{i:;;8i7>52zlb31<23td9><=i:181kg0<3<0qc<=15294?4|fh=?6:5rn30204<72:qem::58:m6773:3:1>v`n758:?xh5:8>86=4>{oc40?g4483>4}ii>>1o6sa23372?6=:rdj;94k;|l16420290:wco84;g8yk459=21<7c=zf;8:844?:0yme22=991vb?<>4`83>7}ii>>1=<5rn3020g<728qem::5139~j7464d83>7}ii>>1=85rn3020c<728qem::5179~j746=90;6?uaa66952=zf;8:9<4?:0yme22=911vb?<>5383>4}ii>>1=45rn30216<728qem::51`9~j746==0;65783>7}ii>>1=i5rn30212<728qem::51d9~j746=10;6?uaa6695c=zf;8:944?:0yme22=:91vb?<>5`83>7}ii>>1><5rn3021g<728qem::5239~j746=j0;6?uaa66966=zf;8:9i4?:0yme22=:=1vb?<>5d83>7}ii>>1>85rn3021c<728qem::5279~j746>90;6?uaa66962=zf;8::<4?:0yme22=:11vb?<>6383>7}ii>>1>45rn30226<728qem::52`9~j746>=0;6?uaa6696g=zf;8::84?:0yme22=:j1vb?<>6783>7}ii>>1>i5rn30222<728qem::52d9~j746>10;6?uaa6696c=zf;8::44?:0yme22=;91vb?<>6`83>7}ii>>1?<5rn3022g<728qem::5339~j746>j0;6?uaa66976=zf;8::i4?:0yme22=;=1vb?<>6d83>7}ii>>1?85rn3022c<728qem::5379~j746?90;6?uaa66972=zf;8:;<4?:0yme22=;11vb?<>7383>7}ii>>1?45rn30236<728qem::53`9~j746?=0;6?uaa6697g=zf;8:;84?:0yme22=;j1vb?<>7783>7}ii>>1?i5rn30232<728qem::53d9~j746?10;6?uaa6697c=zf;8:;44?:0yme22=<91vb?<>7`83>7}ii>>18<5rn3023g<728qem::5439~j746?j0;6?uaa66906=zf;8:;i4?:0yme22=<=1vb?<>7d83>7}ii>>1885rn3023c<728qem::5479~j746090;6?uaa66902=zf;8:4<4?:0yme22=<11vb?<>8383>7}ii>>1845rn302<6<728qem::54`9~j7460=0;6?uaa6690g=zf;8:484?:3yme22=8783>6}ii>>18i5rn302<2<72;qem::54d9~j746010;6?=tn`57>1`050qc<=19g94?7|fh=?68;4}o015=`=83;pbl9;:448yk4590:1<7?tn`57>011<7?tn`57>0d0`350qc<=18g94?7|fh=?6;;4}o015<`=83;pbl9;:748yk459h:1<7?tn827>5=ii>>1::5rn302e4<728qem::5699~j746i;0;64?:3yme22=>h1vb?<>a583>7}ii>>1:o5rn302e0<728qem::56b9~j746i?0;6?uaa6692a=zf;8:m:4?:3yme22=>l1vb?<>a983>7}ii>>1:k5rn302e<<72;:pbl9;:628yk459hk1<7?tn`57>2780qc<=1`a94?1|fh=?6:=4}o015db=83;pbl9;:668yk459ho1<79tn`57>23<0qc<=1c294?1|fh=?6:94}o015g7=83;pbl9;:6:8yk459k81<79tn`57>2?k0qc<=1c694?1|fh=?6:l4}o015g3=83;pbl9;:6a8yk459k<1<78tn`57>2bo0qc<=1c:94?0|fh=?6:h4}o015g?=838pbl9;:928yk459kk1<78tn`57>=7=3=?=b<7<3d7d3d?dbg7g3g?gbf7f3f?==50;3xjd132jk0qc<=21694?4|fh=?6nl4}o01653=83;pbl9;:ba8yk45:9<1<7fb=950;3xjd132jo0qc<=21:94?7|fh=?6nh4}o0165?=83;pbl9;:e28yk45:9k1<7a7=l50;3xjd132m80qc<=21a94?4|fh=?6i=4}o0165b=83;pbl9;:e68yk45:9o1<7a3=h50;3xjd132m<0qc<=20294?4|fh=?6i94}o01647=83;pbl9;:e:8yk45:881<7?tn`57>a?<=50;3xjd132mk0qc<=20694?4|fh=?6il4}o01643=83;pbl9;:ea8yk45:8<1<7ab<950;3xjd132mo0qc<=20:94?7|fh=?6ih4}o0164?=83;pbl9;:d28yk45:8k1<7?tn`57>`7`3`??=50;3xjd132lk0qc<=23694?7|fh=?6hl4}o01673=83;pbl9;:da8yk45:;<1<7?tn`57>`b?950;3xjd132lo0qc<=23:94?7|fh=?6hh4}o0167?=83;pbl9;:g28yk45:;k1<7?tn`57>c7?l50;3xjd132o80qc<=23a94?7|fh=?6k=4}o0167b=83;pbl9;:g68yk45:;o1<7?tn`57>c3?h50;3xjd132o<0qc<=22294?7|fh=?6k94}o01667=83;pbl9;:g:8yk45::81<7?tn`57>c?>=50;3xjd132ok0qc<=22694?7|fh=?6kl4}o01663=83;pbl9;:ga8yk45::<1<7cb>950;3xjd132oo0qc<=22:94?5|fh=?6kh4}o0166?=838pbl9;:023?xh5:;9j6=4>{oc40?7792we>?<4633td9>?=j:182kg0<3;;96sa2300b?6=:rdj;94>079~j745<90;69?50;0xjd1328:37p`=2361>5<6sgk<87??9:m6743;3:1>v`n75824d=zf;89894?:0yme22=99h0qc<=25794?4|fh=?6<>l;|l16721290:wco84;33`>{i:;8?;7>52zlb31<68l1vb?<=4983>4}ii>>1==h4}o0161?=838pbl9;:033?xh5:;>j6=4>{oc40?7692we>?<;b;296~hf?=0:=?5rn3010f<728qem::51018yk45:=n1<74733td9>?:j:182kg0<3;:96sa2307b?6=:rdj;94>179~j745=90;68?50;0xjd1328;37p`=2371>5<6sgk<87?>9:m6742;3:1=v`n75825d=zf;89994?:0yme22=98h0qc<=24794?7|fh=?6{i:;8>;7>51zlb31<69l1vb?<=5983>4}ii>>1={oc40?7592we>?<:b;295~hf?=0:>?5rn3011f<728qem::51318yk45:4433td9>?;j:182kg0<3;996sa2306b?6=9rdj;94>279~j745>90;6;?50;3xjd1328837p`=2341>5<4sgk<87?=9:m6741;3:1=v`n75826d=zf;89:94?:2yme22=9;h0qc<=27794?7|fh=?6<{i:;8=;7>51zlb31<6:l1vb?<=6983>6}ii>>1=?h4}o0163?=83;pbl9;:013?xh5:;?<9b;295~hf?=0:??5rn3012f<72:qem::51218yk45:?n1<7?tn`57>4533td9>?8j:180kg0<3;896sa2305b?6=9rdj;94>379~j745?90;6>uaa669561:?50;3xjd1328937p`=2351>5<4sgk<87?<9:m6740;3:1=v`n75827d=zf;89;94?:2yme22=9:h0qc<=26794?7|fh=?6<=l;|l167112908wco84;30`>{i:;8<;7>51zlb31<6;l1vb?<=7983>6}ii>>1=>h4}o0162?=83;pbl9;:063?xh5:;=j6=4<{oc40?7392we>?<8b;295~hf?=0:8?5rn3013f<72:qem::51518yk45:>n1<7?tn`57>4233td9>?9j:180kg0<3;?96sa2304b?6=9rdj;94>479~j745090;6>uaa6695115?50;3xjd1328>37p`=23:1>5<4sgk<87?;9:m674?;3:1=v`n75820d=zf;89494?:2yme22=9=h0qc<=29794?7|fh=?6<:l;|l167>12908wco84;37`>{i:;83;7>51zlb31<66}ii>>1=9h4}o016=?=83;pbl9;:073?xh5:;2j6=4<{oc40?7292we>?<7b;295~hf?=0:9?5rn3014333td9>?6j:180kg0<3;>96sa230;b?6=9rdj;94>579~j745190;6>uaa6695014?50;3xjd1328?37p`=23;1>5<4sgk<87?:9:m674>;3:1=v`n75821d=zf;89594?:2yme22=9{i:;82;7>51zlb31<6=l1vb?<=9983>6}ii>>1=8h4}o016?<6b;295~hf?=0::?5rn301=f<72:qem::51718yk45:0n1<7?tn`57>4033td9>?7j:180kg0<3;=96sa230:b?6=9rdj;94>679~j745i90;69uaa669531l?50;3xjd1328<37p`=23c1>5<6sgk<87?99:m674f;3:1=v`n75822d=zf;89m94?:0yme22=9?h0qc<=2`794?7|fh=?6<8l;|l167g1290:wco84;35`>{i:;8j;7>51zlb31<6>l1vb?<=a983>4}ii>>1=;h4}o016d?=83;pbl9;:053?xh5:;kj6=4>{oc40?7092we>?4133td9>?oj:182kg0<3;<96sa230bb?6=9rdj;94>779~j745j90;6o?50;0xjd1328=37p`=23`1>5<6sgk<87?89:m674e;3:1>v`n75823d=zf;89n94?:0yme22=9>h0qc<=2c794?4|fh=?6<9l;|l167d1290:wco84;34`>{i:;8i;7>53zlb31<6?l1vb?<=b983>4}ii>>1=:h4}o016g?=839pbl9;:0:3?xh5:;hj6=4>{oc40?7?92we>?4>33td9>?lj:182kg0<3;396sa230ab?6=;rdj;94>879~j745k90;6n?50;3xjd1328237p`=23a1>5<6sgk<87?79:m674d;3:1:v`n7582{i:;8h;7>54zlb31<60l1vb?<=c983>4}ii>>1=5h4}o016f?=83;pbl9;:0;3?xh5:;ij6=4={oc40?7>92we>?4?33td9>?mj:182kg0<3;296sa230`b?6=9rdj;94>979~j745l90;6i?50;3xjd1328337p`=23f1>5<6sgk<87?69:m674c;3:1=v`n7582=d=zf;89h94?:0yme22=90h0qc<=2e794?7|fh=?6<7l;|l167b1290:wco84;3:`>{i:;8o;7>51zlb31<61l1vb?<=d983>4}ii>>1=4h4}o016a?=83;pbl9;:0c3?xh5:;nj6=4>{oc40?7f92we>?4g33td9>?jj:182kg0<3;j96sa230gb?6=9rdj;94>a79~j745m90;6h?50;3xjd1328k37p`=23g1>5<5sgk<87?n9:m674b;3:1=v`n7582ed=zf;89i94?:0yme22=9hh0qc<=2d794?7|fh=?6{i:;8n;7>51zlb31<6il1vb?<=e983>4}ii>>1=lh4}o016`?=83;pbl9;:0`3?xh5:;oj6=4>{oc40?7e92we>?4d33td9>?kj:181kg0<3;i96sa230fb?6=;rdj;94>b79~j745n90;6k?50;3xjd1328h37p`=23d1>5<6sgk<87?m9:m674a;3:1=v`n7582fd=zf;89j94?:2yme22=9kh0qc<=2g794?7|fh=?6{i:;8m;7>52zlb31<6jl1vb?<=f983>7}ii>>1=oh4}o016c?=838pbl9;:0a3?xh5:;lj6=4={oc40?7d92we>?4e33td9>?hj:180kg0<3;h96sa230eb?6=:rdj;94>c79~j744890;65<6sgk<87?l9:m6757;3:1=v`n7582gd=zf;88<94?:0yme22=9jh0qc<=31794?7|fh=?6{i:;9;;7>51zlb31<6kl1vb?<<0983>4}ii>>1=nh4}o0175?=83;pbl9;:0f3?xh5:::j6=4>{oc40?7c92we>?=?b;295~hf?=0:h?5rn3004f<728qem::51e18yk45;9n1<7?tn`57>4b33td9>>>j:180kg0<3;o96sa2313b?6=:rdj;94>d79~j744990;6>uaa6695a15<4sgk<87?k9:m6756;3:1>v`n7582`d=zf;88=94?:2yme22=9mh0qc<=30794?77sgk<87?kc:m6756>3:1==uaa6695ab5<6jrdj;94>dg9~j744900;65uaa6695`65<69rdj;94>e39~j7449j0;6?uaa6695`55<6sgk<87?j5:m6756n3:1>?uaa6695`050;03kg0<3;n;6sa23115?6=:;qem::51d:8yk45;;81<7=tn`57>4c>3td9>><<:1825~hf?=0:il5rn30061<72;:pbl9;:0ga?xh5::8>6=4={oc40?7bk2we>?==6;296~hf?=0:ii5rn30062<72;qem::51dg8yk45;;21<74ca3td9>><6:181kg0<3;m<6sa2311e?6=:rdj;94>f09~j744:k0;6?uaa6695c45<5sgk<87?i4:m6755m3:1>v`n7582b0=zf;88>k4?:3yme22=9o<0qc<=32294?4|fh=?6{i:;98>7>52zlb31<6n01vb?<<3283>7}ii>>1=ko4}o01762=838pbl9;:0da?xh5::9>6=4={oc40?7ak2we>?=<6;296~hf?=0:ji5rn30072<72lqem::51gg8yk45;:21<7htn`57>4`a3td9>>=6:186kg0<38;<6sa2310e?6==rdj;94=009~j744;k0;6luaa669654m50;0xjd132;:87p`=221g>5<5sgk<87v`n758140=zf;88?k4?:2yme22=:9<0qc<=35294?5|fh=?6?>8;|l166262908wco84;03<>{i:;9?>7>53zlb31<5801vb?<<4283>6}ii>>1>=o4}o01712=839pbl9;:32a?xh5::>>6=4<{oc40?47k2we>?=;6;297~hf?=0976a3td9>>:6:180kg0<38:<6sa2317e?6=;rdj;94=109~j744uaa6696445<4sgk<87<>4:m6753m3:1?v`n758150=zf;888k4?:2yme22=:8<0qc<=34294?5|fh=?6??8;|l166362908wco84;02<>{i:;9>>7>528yme22=:830qc<=34194?5|fh=?6??n;|l166332908wco84;02f>{i:;9>97>53zlb31<59j1vb?<<5783>6}ii>>1>7}ii>>1>?>4}o0170g=838pbl9;:302?xh5::?i6=4={oc40?45:2we>?=:c;296~hf?=09>>5rn3001a<720qem::52368yk45;7423td9>>;i:182kg0<389:6sa23154?6=0rdj;94=269~j744>80;6?uaa66967>5<4sgk<87<=a:m6751<3:14v`n75816g=zf;88:84?:7yme22=:;i0qc<=37494?>|fh=?6?{i:;9=47>54zlb31<5:o1vb?<<6883>7}ii>>1>>>4}o0173g=83>pbl9;:312?xh5::?=9c;296~hf?=09?>5rn3002a<728qem::52268yk45;?o1<7?tn`57>7523td9>>8i:182kg0<388:6sa23144?6=9rdj;94=369~j744?80;6?uaa66966>5<6sgk<87<v`n75817g=zf;88;84?:3yme22=::i0qc<=36494?4|fh=?6?=k;|l166102909wco84;00a>{i:;9<47>52zlb31<5;o1vb?<<7883>7}ii>>1>9>4}o0172g=838pbl9;:362?xh5::=i6=4={oc40?43:2we>?=8c;296~hf?=098>5rn3003a<72;qem::52568yk45;>o1<77223td9>>9i:181kg0<38?:6sa231;4?6=:rdj;94=469~j744080;6?uaa66961>27p`=22:0>5<5sgk<87<;a:m675?<3:1>v`n75810g=zf;88484?:0yme22=:=i0qc<=39494?7|fh=?6?:k;|l166>0290:wco84;07a>{i:;9347>51zlb31<54}ii>>1>8>4}o017=g=83;pbl9;:372?xh5::2i6=4<{oc40?42:2we>?=7c;295~hf?=099>5rn3007323td9>>6i:182kg0<38>:6sa231:4?6=9rdj;94=569~j744180;65<6sgk<87<:a:m675><3:1=v`n75811g=zf;88584?:0yme22=:{i:;9247>51zlb31<5=o1vb?<<9883>4}ii>>1>;>4}o017{oc40?41:2we>?=6c;295~hf?=09:>5rn300=a<728qem::52768yk45;0o1<7?tn`57>7023td9>>7i:182kg0<38=:6sa231b4?6=9rdj;94=669~j744i80;65<6sgk<87<9a:m675f<3:1>v`n75812g=zf;88m84?:`1xjd132;50;69;tn`57>70b3td9>>o7:1871~hf?=09:k5rn300e<<72lqem::52628yk45;hk1<79tn`57>7163td9>>om:182`~hf?=09;?5rn300ef<72=qem::52618yk45;hn1<7;tn`57>7133td9>>oj:182kg0<38<96sa231bb?6=9rdj;94=779~j744j90;65<6sgk<87<89:m675e;3:1=v`n75813d=zf;88n94?:25xjd132;=i7p`=22`6>53:15v`n75813a=zf;88n:4?:`yme22=:>o0qc<=3c:94?7|fh=?6?9i;|l166d>290:wco84;0;4>{i:;9im7>59zlb31<5081vb?<7}ii>>1>5<4}o017ge=838?wco84;0;7>{i:;9ih7>51zlb31<50=1vb?<4}ii>>1>5;4}o017g`=83;pbl9;:3:5?xh5::i;6=4>{oc40?4??2we>?=l1;2910}ii>>1>564}o017f4=838pbl9;:3::?xh5::i86=4:5zlb31<50h1vb?<7}ii>>1>5l4}o017f3=83>pbl9;:3:`?xh5::i=6=4={oc40?4?l2we>?=l7;295~hf?=094h5rn300g=<728qem::529d8yk45;j31<7?tn`57>7?73td9>>mn:181kg0<382=6sa231`f?6=9rdj;94=939~j744kj0;65<5sgk<87<65:m675dn3:1=v`n7581=3=zf;88h=4?:0yme22=:0=0qc<=3e394?7|fh=?6?77;|l166b52909wco84;0:=>{i:;9o?7>51zlb31<51h1vb?<4}ii>>1>4l4}o017a3=83;pbl9;:3;`?xh5::n=6=4={oc40?4>l2we>?=k7;295~hf?=095h5rn300`=<728qem::528d8yk45;m31<7?tn`57>7g73td9>>jn:181kg0<38j=6sa231gf?6=9rdj;94=a39~j744lj0;65<5sgk<87{i:;9n?7>51zlb31<5ih1vb?<4}ii>>1>ll4}o017`3=83;pbl9;:3c`?xh5::o=6=4={oc40?4fl2we>?=j7;295~hf?=09mh5rn300a=<728qem::52`d8yk45;l31<7?tn`57>7d73td9>>kn:181kg0<38i=6sa231ff?6=9rdj;94=b39~j744mj0;65<5sgk<87{i:;9m?7>51zlb31<5jh1vb?<4}ii>>1>ol4}o017c3=83;pbl9;:3``?xh5::l=6=4={oc40?4el2we>?=i7;295~hf?=09nh5rn300b=<728qem::52cd8yk45;o31<7?tn`57>7e73td9>>hn:181kg0<38h=6sa231ef?6=9rdj;94=c39~j744nj0;65<5sgk<87{i:;>;?7>51zlb31<5kh1vb?<;0583>4}ii>>1>nl4}o01053=83;pbl9;:3a`?xh5:=:=6=4={oc40?4dl2we>?:?7;295~hf?=09oh5rn3074=<728qem::52bd8yk45<931<7?tn`57>7b73td9>9>n:181kg0<38o=6sa2363f?6=9rdj;94=d39~j7438j0;65<5sgk<87{i:;>:?7>51zlb31<5lh1vb?<;1583>4}ii>>1>il4}o01043=83;pbl9;:3f`?xh5:=;=6=4={oc40?4cl2we>?:>7;295~hf?=09hh5rn3075=<728qem::52ed8yk45<831<7?tn`57>7c73td9>9?n:181kg0<38n=6sa2362f?6=9rdj;94=e39~j7439j0;65<5sgk<87=4?:0yme22=:l=0qc<=43394?7|fh=?6?k7;|l161452909wco84;0f=>{i:;>9?7>51zlb31<5mh1vb?<;2583>4}ii>>1>hl4}o01073=83;pbl9;:3g`?xh5:=8=6=4={oc40?4bl2we>?:=7;295~hf?=09ih5rn3076=<728qem::52dd8yk45<;31<7?tn`57>7`73td9>95<5sgk<87{i:;>8?7>51zlb31<5nh1vb?<;3583>4}ii>>1>kl4}o01063=83;pbl9;:3d`?xh5:=9=6=4={oc40?4al2we>?:<7;295~hf?=09jh5rn3077=<728qem::52gd8yk45<:31<7?tn`57>6673td9>9=n:181kg0<39;=6sa2360f?6=9rdj;94<039~j743;j0;6j50;3xjd132::?7p`=251f>5<5sgk<87=?5:m6724n3:1=v`n758043=zf;8?8=4?:0yme22=;9=0qc<=45394?7|fh=?6>>7;|l161252909wco84;13=>{i:;>??7>51zlb31<48h1vb?<;4583>4}ii>>1?=l4}o01013=83;pbl9;:22`?xh5:=>=6=4={oc40?57l2we>?:;7;296~hf?=086773td9>9:n:181kg0<39:=6sa2367f?6=:rdj;94<139~j7435<5sgk<87=>5:m6723n3:1>v`n758053=zf;8?9=4?:3yme22=;8=0qc<=44394?4|fh=?6>?7;|l16135290:wco84;12=>{i:;>>?7>51zlb31<49h1vb?<;5583>4}ii>>1?{oc40?56l2we>?::7;295~hf?=08=h5rn3071=<728qem::530d8yk45<<31<7?tn`57>6473td9>9;n:182kg0<399=6sa2366f?6=9rdj;94<239~j743=j0;65<6sgk<87==5:m6722n3:1=v`n758063=zf;8?:=4?:0yme22=;;=0qc<=47394?7|fh=?6><7;|l16105290:wco84;11=>{i:;>=?7>51zlb31<4:h1vb?<;6583>4}ii>>1??l4}o01033=83;pbl9;:20`?xh5:=<=6=4>{oc40?55l2we>?:97;295~hf?=08>h5rn3072=<728qem::533d8yk456573td9>98n:182kg0<398=6sa2365f?6=9rdj;94<339~j743>j0;65<6sgk<87=<5:m6721n3:1=v`n758073=zf;8?;=4?:0yme22=;:=0qc<=46394?7|fh=?6>=7;|l16115290:wco84;10=>{i:;>51zlb31<4;h1vb?<;7583>4}ii>>1?>l4}o01023=83;pbl9;:21`?xh5:===6=4>{oc40?54l2we>?:87;295~hf?=08?h5rn3073=<728qem::532d8yk45<>31<7?tn`57>6273td9>99n:182kg0<39?=6sa2364f?6=9rdj;94<439~j743?j0;6?7p`=255f>5<6sgk<87=;5:m6720n3:1=v`n758003=zf;8?4=4?:0yme22=;==0qc<=49394?7|fh=?6>:7;|l161>5290:wco84;17=>{i:;>3?7>51zlb31<44}ii>>1?9l4}o010=3=83;pbl9;:26`?xh5:=2=6=4>{oc40?53l2we>?:77;295~hf?=088h5rn307<=<728qem::535d8yk45<131<7?tn`57>6373td9>96n:182kg0<39>=6sa236;f?6=9rdj;94<539~j7430j0;65<6sgk<87=:5:m672?n3:1=v`n758013=zf;8?5=4?:0yme22=;<=0qc<=48394?7|fh=?6>;7;|l161?5290:wco84;16=>{i:;>2?7>51zlb31<4=h1vb?<;9583>4}ii>>1?8l4}o010<3=83;pbl9;:27`?xh5:=3=6=4>{oc40?52l2we>?:67;295~hf?=089h5rn307==<72;qem::534d8yk45<031<76073td9>97n:181kg0<39==6sa236:f?6=:rdj;94<639~j7431j0;6?uaa6697355<5sgk<87=95:m672>n3:1>v`n758023=zf;8?m=4?:3yme22=;?=0qc<=4`394?4|fh=?6>87;|l161g52909wco84;15=>{i:;>j?7>52zlb31<4>h1vb?<;a583>7}ii>>1?;l4}o010d3=838pbl9;:24`?xh5:=k=6=4={oc40?51l2we>?:n7;295~hf?=08:h5rn307e=<728qem::537d8yk456173td9>9on:182kg0<39<=6sa236bf?6=9rdj;94<739~j743ij0;65<6sgk<87=85:m672fn3:1=v`n758033=zf;8?n=4?:0yme22=;>=0qc<=4c394?7|fh=?6>97;|l161d5290:wco84;14=>{i:;>i?7>51zlb31<4?h1vb?<;b583>4}ii>>1?:l4}o010g3=83;pbl9;:25`?xh5:=h=6=4>{oc40?50l2we>?:m7;295~hf?=08;h5rn307f=<72:qem::536d8yk456>73td9>9ln:182kg0<393=6sa236af?6=9rdj;94<839~j743jj0;6>uaa6697=55<4sgk<87=75:m672en3:1=v`n7580<3=zf;8?o=4?:0yme22=;1=0qc<=4b394?4|fh=?6>67;|l161e5290:wco84;1;=>{i:;>h?7>53zlb31<40h1vb?<;c583>4}ii>>1?5l4}o010f3=838pbl9;:2:`?xh5:=i=6=4>{oc40?5?l2we>?:l7;296~hf?=084h5rn307g=<728qem::539d8yk456?73td9>9mn:182kg0<392=6sa236`f?6=;rdj;94<939~j743kj0;65<6sgk<87=65:m672dn3:1?v`n7580=3=zf;8?h=4?:3yme22=;0=0qc<=4e394?7|fh=?6>77;|l161b5290:wco84;1:=>{i:;>o?7>52zlb31<41h1vb?<;d583>4}ii>>1?4l4}o010a3=838pbl9;:2;`?xh5:=n=6=4>{oc40?5>l2we>?:k7;296~hf?=085h5rn307`=<72:qem::538d8yk456g73td9>9jn:180kg0<39j=6sa236gf?6=9rdj;94uaa6697d55<3sgk<87=n5:m672cn3:1=v`n7580e3=zf;8?i=4?:5yme22=;h=0qc<=4d394?7|fh=?6>o7;|l161c5290:wco84;1b=>{i:;>n?7>54zlb31<4ih1vb?<;e583>4}ii>>1?ll4}o010`3=839pbl9;:2c`?xh5:=o=6=4>{oc40?5fl2we>?:j7;290~hf?=08mh5rn307a=<728qem::53`d8yk456d73td9>9kn:182kg0<39i=6sa236ff?6=5<6sgk<87=m5:m672bn3:1=v`n7580f3=zf;8?j=4?:0yme22=;k=0qc<=4g394?7|fh=?6>l7;|l161`5290:wco84;1a=>{i:;>m?7>51zlb31<4jh1vb?<;f583>4}ii>>1?ol4}o010c3=83;pbl9;:2``?xh5:=l=6=4>{oc40?5el2we>?:i7;295~hf?=08nh5rn307b=<728qem::53cd8yk456e73td9>9hn:182kg0<39h=6sa236ef?6=9rdj;945<6sgk<87=l5:m672an3:1=v`n7580g3=zf;8><=4?:0yme22=;j=0qc<=51394?7|fh=?6>m7;|l16065290:wco84;1`=>{i:;?;?7>51zlb31<4kh1vb?<:0583>4}ii>>1?nl4}o01153=83;pbl9;:2a`?xh5:<:=6=4={oc40?5dl2we>?;?7;295~hf?=08oh5rn3064=<728qem::53bd8yk45=931<7?tn`57>6b73td9>8>n:182kg0<39o=6sa2373f?6=9rdj;945<6sgk<87=k5:m6737n3:1=v`n7580`3=zf;8>==4?:0yme22=;m=0qc<=50394?7|fh=?6>j7;|l16075290:wco84;1g=>{i:;?:?7>51zlb31<4lh1vb?<:1583>4}ii>>1?il4}o01143=83;pbl9;:2f`?xh5:<;=6=4>{oc40?5cl2we>?;>7;295~hf?=08hh5rn3065=<728qem::53ed8yk45=831<7?tn`57>6c73td9>8?n:182kg0<39n=6sa2372f?6=9rdj;945<6sgk<87=j5:m6736n3:1=v`n7580a3=zf;8>>=4?:0yme22=;l=0qc<=53394?7|fh=?6>k7;|l16045290:wco84;1f=>{i:;?9?7>51zlb31<4mh1vb?<:2583>4}ii>>1?hl4}o01173=83;pbl9;:2g`?xh5:<8=6=4>{oc40?5bl2we>?;=7;295~hf?=08ih5rn3066=<728qem::53dd8yk45=;31<7?tn`57>6`73td9>85<6sgk<87=i5:m6735n3:1=v`n7580b3=zf;8>?=4?:0yme22=;o=0qc<=52394?7|fh=?6>h7;|l16055290:wco84;1e=>{i:;?8?7>51zlb31<4nh1vb?<:3583>4}ii>>1?kl4}o01163=83;pbl9;:2d`?xh5:<9=6=4>{oc40?5al2we>?;<7;295~hf?=08jh5rn3067=<728qem::53gd8yk45=:31<7?tn`57>1673td9>8=n:182kg0<3>;=6sa2370f?6=9rdj;94;039~j742;j0;6j50;3xjd132=:?7p`=241f>5<6sgk<87:?5:m6734n3:1=v`n758743=zf;8>8=4?:0yme22=<9=0qc<=55394?7|fh=?69>7;|l16025290:wco84;63=>{i:;???7>51zlb31<38h1vb?<:4583>4}ii>>18=l4}o01113=83;pbl9;:52`?xh5:<>=6=4>{oc40?27l2we>?;;7;295~hf?=0?1773td9>8:n:182kg0<3>:=6sa2377f?6=hf?=0?=>5rn3060a<728qem::54068yk45==o1<7?tn`57>1723td9>8:i:182kg0<3>::6sa23764?6=9rdj;94;169~j742=80;65<6sgk<87:>a:m6732<3:1=v`n75875g=zf;8>984?:0yme22=<8i0qc<=54494?7|fh=?69?k;|l16030290:wco84;62a>{i:;?>47>51zlb31<39o1vb?<:5883>4}ii>>18?>4}o0110g=83;pbl9;:502?xh5:{oc40?25:2we>?;:c;295~hf?=0?>>5rn3061a<728qem::54368yk45=1423td9>8;i:182kg0<3>9:6sa23754?6=9rdj;94;269~j742>80;65<6sgk<87:=a:m6731<3:1=v`n75876g=zf;8>:84?:0yme22=<;i0qc<=57494?7|fh=?69{i:;?=47>51zlb31<3:o1vb?<:6883>4}ii>>18>>4}o0113g=83;pbl9;:512?xh5:<{oc40?24:2we>?;9c;295~hf?=0??>5rn3062a<728qem::54268yk45=?o1<7?tn`57>1523td9>88i:182kg0<3>8:6sa23744?6=:rdj;94;369~j742?80;65<5sgk<87:;84?:0yme22=<:i0qc<=56494?4|fh=?69=k;|l16010290:wco84;60a>{i:;?<47>51zlb31<3;o1vb?<:7883>7}ii>>189>4}o0112g=83;pbl9;:562?xh5:<=i6=4>{oc40?23:2we>?;8c;296~hf?=0?8>5rn3063a<728qem::54568yk45=>o1<7?tn`57>1223td9>89i:182kg0<3>?:6sa237;4?6=9rdj;94;469~j742080;627p`=24:0>5<6sgk<87:;a:m673?<3:1=v`n75870g=zf;8>484?:0yme22=<=i0qc<=59494?7|fh=?69:k;|l160>0290:wco84;67a>{i:;?347>51zlb31<34}ii>>188>4}o011=g=83;pbl9;:572?xh5:<2i6=4>{oc40?22:2we>?;7c;295~hf?=0?9>5rn3061323td9>86i:182kg0<3>>:6sa237:4?6=9rdj;94;569~j742180;6?uaa66900>5<6sgk<87::a:m673><3:1>v`n75871g=zf;8>584?:0yme22=<{i:;?247>51zlb31<3=o1vb?<:9883>7}ii>>18;>4}o011{oc40?21:2we>?;6c;295~hf?=0?:>5rn306=a<728qem::54768yk45=0o1<7?tn`57>1023td9>87i:182kg0<3>=:6sa237b4?6=9rdj;94;669~j742i80;65<6sgk<87:9a:m673f<3:1=v`n75872g=zf;8>m84?:0yme22={i:;?j47>51zlb31<3>o1vb?<:a883>4}ii>>18:>4}o011dg=83;pbl9;:552?xh5:?;nc;295~hf?=0?;>5rn306ea<728qem::54668yk45=ho1<71123td9>8oi:182kg0<3><:6sa237a4?6=9rdj;94;769~j742j80;6?uaa66902>5<6sgk<87:8a:m673e<3:1>v`n75873g=zf;8>n84?:0yme22=<>i0qc<=5c494?7|fh=?699k;|l160d02909wco84;64a>{i:;?i47>51zlb31<3?o1vb?<:b883>4}ii>>185>4}o011gg=83;pbl9;:5:2?xh5:{oc40?2?:2we>?;mc;295~hf?=0?4>5rn306fa<728qem::54968yk45=ko1<7?tn`57>1>23td9>8li:182kg0<3>3:6sa237`4?6=9rdj;94;869~j742k80;65<6sgk<87:7a:m673d<3:1=v`n7587o84?:0yme22=<1i0qc<=5b494?7|fh=?696k;|l160e0290:wco84;6;a>{i:;?h47>51zlb31<30o1vb?<:c883>4}ii>>184>4}o011fg=83;pbl9;:5;2?xh5:{oc40?2>:2we>?;lc;295~hf?=0?5>5rn306ga<728qem::54868yk45=jo1<7?tn`57>1?23td9>8mi:182kg0<3>2:6sa237g4?6=9rdj;94;969~j742l80;65<6sgk<87:6a:m673c<3:1=v`n7587=g=zf;8>h84?:0yme22=<0i0qc<=5e494?7|fh=?697k;|l160b0290:wco84;6:a>{i:;?o47>51zlb31<31o1vb?<:d883>4}ii>>18l>4}o011ag=83;pbl9;:5c2?xh5:{oc40?2f:2we>?;kc;295~hf?=0?m>5rn306`a<728qem::54`68yk45=mo1<71g23td9>8ji:182kg0<3>j:6sa237f4?6=9rdj;94;a69~j742m80;6?uaa6690d>5<6sgk<87:na:m673b<3:1>v`n7587eg=zf;8>i84?:0yme22={i:;?n47>51zlb31<3io1vb?<:e883>4}ii>>18o>4}o011`g=838pbl9;:5`2?xh5:{oc40?2e:2we>?;jc;295~hf?=0?n>5rn306aa<72;qem::54c68yk45=lo1<7?tn`57>1d23td9>8ki:182kg0<3>i:6sa237e4?6=:rdj;94;b69~j742n80;65<5sgk<87:ma:m673a<3:1=v`n7587fg=zf;8>j84?:3yme22={i:;?m47>52zlb31<3jo1vb?<:f883>4}ii>>18n>4}o011cg=838pbl9;:5a2?xh5:{oc40?2d:2we>?;ic;296~hf?=0?o>5rn306ba<728qem::54b68yk45=oo1<71e23td9>8hi:182kg0<3>h:6sa23434?6=:rdj;94;c69~j741880;65<6sgk<87:la:m6707<3:1>v`n7587gg=zf;8=<84?:0yme22={i:;<;47>51zlb31<3ko1vb?<90883>4}ii>>18i>4}o0125g=83;pbl9;:5f2?xh5:?:i6=4>{oc40?2c:2we>?8?c;295~hf?=0?h>5rn3054a<728qem::54e68yk45>9o1<7?tn`57>1b23td9>;>i:182kg0<3>o:6sa23424?6=9rdj;94;d69~j741980;65<6sgk<87:ka:m6706<3:1=v`n7587`g=zf;8==84?:0yme22={i:;<:47>51zlb31<3lo1vb?<91883>4}ii>>18h>4}o0124g=83;pbl9;:5g2?xh5:?;i6=4>{oc40?2b:2we>?8>c;295~hf?=0?i>5rn3055a<728qem::54d68yk45>8o1<7?tn`57>1c23td9>;?i:182kg0<3>n:6sa23414?6=9rdj;94;e69~j741:80;65<6sgk<87:ja:m6705<3:1=v`n7587ag=zf;8=>84?:0yme22={i:;<947>51zlb31<3mo1vb?<92883>4}ii>>18k>4}o0127g=83;pbl9;:5d2?xh5:?8i6=4>{oc40?2a:2we>?8=c;295~hf?=0?j>5rn3056a<728qem::54g68yk45>;o1<7?tn`57>1`23td9>;m:6sa23404?6=9rdj;94;f69~j741;80;6<50;3xjd132=l27p`=2710>5<6sgk<87:ia:m6704<3:1=v`n7587bg=zf;8=?84?:0yme22={i:;<847>52zlb31<3no1vb?<93883>7}ii>>19=>4}o0126g=83;pbl9;:422?xh5:?9i6=4>{oc40?37:2we>?8<>5rn3057a<728qem::55168yk45>:o1<7?tn`57>0623td9>;=i:181kg0<3?;:6sa23474?6=9rdj;94:069~j741<80;65<6sgk<87;?a:m6703<3:1=v`n75864g=zf;8=884?:3yme22==9i0qc<=65494?7|fh=?68>k;|l16320290:wco84;73a>{i:;52zlb31<28o1vb?<94883>4}ii>>19<>4}o0121g=838pbl9;:432?xh5:?>i6=4>{oc40?36:2we>?8;c;296~hf?=0>=>5rn3050a<728qem::55068yk45>=o1<70723td9>;:i:181kg0<3?::6sa23464?6=9rdj;94:169~j741=80;65<6sgk<87;>a:m6702<3:1=v`n75865g=zf;8=984?:0yme22==8i0qc<=64494?7|fh=?68?k;|l16330290:wco84;72a>{i:;<>47>51zlb31<29o1vb?<95883>4}ii>>19?>4}o0120g=83;pbl9;:402?xh5:??i6=4>{oc40?35:2we>?8:c;295~hf?=0>>>5rn3051a<728qem::55368yk45>0423td9>;;i:182kg0<3?9:6sa23454?6=9rdj;94:269~j741>80;65<6sgk<87;=a:m6701<3:1=v`n75866g=zf;8=:84?:0yme22==;i0qc<=67494?7|fh=?68{i:;<=47>51zlb31<2:o1vb?<96883>6}ii>>19>>4}o0123g=838pbl9;:412?xh5:?{oc40?34:2we>?89c;295~hf?=0>?>5rn3052a<72;qem::55268yk45>?o1<70523td9>;8i:182kg0<3?8:6sa23444?6=9rdj;94:369~j741?80;6?uaa66916>5<6sgk<87;{i:;<<47>51zlb31<2;o1vb?<97883>6}ii>>199>4}o0122g=839pbl9;:462?xh5:?=i6=4>{oc40?33:2we>?88c;295~hf?=0>8>5rn3053a<72:qem::55568yk45>>o1<70223td9>;9i:182kg0<3??:6sa234;4?6=9rdj;94:469~j741080;6?uaa66911>27p`=27:0>5<6sgk<87;;a:m670?<3:1=v`n75860g=zf;8=484?:3yme22===i0qc<=69494?4|fh=?68:k;|l163>0290:wco84;77a>{i:;<347>51zlb31<27}ii>>198>4}o012=g=838pbl9;:472?xh5:?2i6=4>{oc40?32:2we>?87c;295~hf?=0>9>5rn3051o1<70323td9>;6i:182kg0<3?>:6sa234:4?6=9rdj;94:569~j741180;6?uaa66910>5<6sgk<87;:a:m670><3:1=v`n75861g=zf;8=584?:3yme22=={i:;<247>51zlb31<2=o1vb?<99883>7}ii>>19;>4}o012{oc40?31:2we>?86c;295~hf?=0>:>5rn305=a<72;qem::55768yk45>0o1<70023td9>;7i:182kg0<3?=:6sa234b4?6=9rdj;94:669~j741i80;6?uaa66913>5<6sgk<87;9a:m670f<3:1=v`n75862g=zf;8=m84?:3yme22==?i0qc<=6`494?4|fh=?688k;|l163g0290:wco84;75a>{i:;51zlb31<2>o1vb?<9a883>4}ii>>19:>4}o012dg=83;pbl9;:452?xh5:?ki6=4>{oc40?30:2we>?8nc;295~hf?=0>;>5rn305ea<728qem::55668yk45>ho1<7?tn`57>0123td9>;oi:182kg0<3?<:6sa234a4?6=9rdj;94:769~j741j80;65<6sgk<87;8a:m670e<3:1=v`n75863g=zf;8=n84?:0yme22==>i0qc<=6c494?7|fh=?689k;|l163d0290:wco84;74a>{i:;51zlb31<2?o1vb?<9b883>4}ii>>195>4}o012gg=83;pbl9;:4:2?xh5:?hi6=4>{oc40?3?:2we>?8mc;295~hf?=0>4>5rn305fa<728qem::55968yk45>ko1<7?tn`57>0>23td9>;li:182kg0<3?3:6sa234`4?6=9rdj;94:869~j741k80;65<6sgk<87;7a:m670d<3:1=v`n7586{i:;51zlb31<20o1vb?<9c883>4}ii>>194>4}o012fg=83;pbl9;:4;2?xh5:?ii6=4>{oc40?3>:2we>?8lc;295~hf?=0>5>5rn305ga<728qem::55868yk45>jo1<7?tn`57>0?23td9>;mi:182kg0<3?2:6sa234g4?6=9rdj;94:969~j741l80;65<6sgk<87;6a:m670c<3:1=v`n7586=g=zf;8=h84?:0yme22==0i0qc<=6e494?7|fh=?687k;|l163b0290:wco84;7:a>{i:;51zlb31<21o1vb?<9d883>4}ii>>19l>4}o012ag=83;pbl9;:4c2?xh5:?ni6=4>{oc40?3f:2we>?8kc;295~hf?=0>m>5rn305`a<728qem::55`68yk45>mo1<7?tn`57>0g23td9>;ji:182kg0<3?j:6sa234f4?6=9rdj;94:a69~j741m80;65<6sgk<87;na:m670b<3:1=v`n7586eg=zf;8=i84?:0yme22==hi0qc<=6d494?7|fh=?68ok;|l163c0290:wco84;7ba>{i:;51zlb31<2io1vb?<9e883>4}ii>>19o>4}o012`g=83;pbl9;:4`2?xh5:?oi6=4>{oc40?3e:2we>?8jc;295~hf?=0>n>5rn305aa<728qem::55c68yk45>lo1<7?tn`57>0d23td9>;ki:182kg0<3?i:6sa234e4?6=9rdj;94:b69~j741n80;65<6sgk<87;ma:m670a<3:1=v`n7586fg=zf;8=j84?:0yme22==ki0qc<=6g494?7|fh=?68lk;|l163`0290:wco84;7aa>{i:;51zlb31<2jo1vb?<9f883>4}ii>>19n>4}o012cg=83;pbl9;:4a2?xh5:?li6=4>{oc40?3d:2we>?8ic;295~hf?=0>o>5rn305ba<728qem::55b68yk45>oo1<7?tn`57>0e23td9>;hi:182kg0<3?h:6sa23534?6=9rdj;94:c69~j740880;65<6sgk<87;la:m6717<3:1=v`n7586gg=zf;8<<84?:0yme22==ji0qc<=71494?7|fh=?68mk;|l16260290:wco84;7`a>{i:;=;47>51zlb31<2ko1vb?<80883>4}ii>>19i>4}o0135g=83;pbl9;:4f2?xh5:>:i6=4>{oc40?3c:2we>?9?c;295~hf?=0>h>5rn3044a<728qem::55e68yk45?9o1<7?tn`57>0b23td9>:>i:182kg0<3?o:6sa23524?6=9rdj;94:d69~j740980;65<6sgk<87;ka:m6716<3:1=v`n7586`g=zf;8<=84?:0yme22==mi0qc<=70494?7|fh=?68jk;|l16270290:wco84;7ga>{i:;=:47>51zlb31<2lo1vb?<81883>4}ii>>19h>4}o0134g=83;pbl9;:4g2?xh5:>;i6=4>{oc40?3b:2we>?9>c;295~hf?=0>i>5rn3045a<728qem::55d68yk45?8o1<7?tn`57>0c23td9>:?i:182kg0<3?n:6sa23514?6=9rdj;94:e69~j740:80;65<6sgk<87;ja:m6715<3:1=v`n7586ag=zf;8<>84?:0yme22==li0qc<=73494?7|fh=?68kk;|l16240290:wco84;7fa>{i:;=947>51zlb31<2mo1vb?<82883>4}ii>>19k>4}o0137g=83;pbl9;:4d2?xh5:>8i6=4>{oc40?3a:2we>?9=c;295~hf?=0>j>5rn3046a<728qem::55g68yk45?;o1<7?tn`57>0`23td9>:<50;3xjd1325<6sgk<87;ia:m6714<3:1=v`n7586bg=zf;8{i:;=847>51zlb31<2no1vb?<83883>4}ii>>1:=>4}o0136g=83;pbl9;:722?xh5:>9i6=4>{oc40?07:2we>?95rn3047a<728qem::56168yk45?:o1<7?tn`57>3623td9>:=i:182kg0<3<;:6sa23574?6=9rdj;949069~j740<80;65<6sgk<878?a:m6713<3:1=v`n75854g=zf;8<884?:0yme22=>9i0qc<=75494?7|fh=?6;>k;|l16220290:wco84;43a>{i:;=?47>51zlb31<18o1vb?<84883>4}ii>>1:<>4}o0131g=83;pbl9;:732?xh5:>>i6=4>{oc40?06:2we>?9;c;295~hf?=0==>5rn3040a<728qem::56068yk45?=o1<7?tn`57>3723td9>::i:182kg0<3<::6sa23564?6=9rdj;949169~j740=80;65<6sgk<878>a:m6712<3:1=v`n75855g=zf;8<984?:0yme22=>8i0qc<=74494?7|fh=?6;?k;|l16230290:wco84;42a>{i:;=>47>51zlb31<19o1vb?<85883>4}ii>>1:?>4}o0130g=83;pbl9;:702?xh5:>?i6=4>{oc40?05:2we>?9:c;295~hf?=0=>>5rn3041a<728qem::56368yk45?3423td9>:;i:182kg0<3<9:6sa23554?6=9rdj;949269~j740>80;65<6sgk<878=a:m6711<3:1=v`n75856g=zf;8<:84?:0yme22=>;i0qc<=77494?7|fh=?6;{i:;==47>51zlb31<1:o1vb?<86883>4}ii>>1:>>4}o0133g=83;pbl9;:712?xh5:>{oc40?04:2we>?99c;295~hf?=0=?>5rn3042a<728qem::56268yk45??o1<7?tn`57>3523td9>:8i:182kg0<3<8:6sa23544?6=9rdj;949369~j740?80;65<6sgk<878:i0qc<=76494?7|fh=?6;=k;|l16210290:wco84;40a>{i:;=<47>51zlb31<1;o1vb?<87883>4}ii>>1:9>4}o0132g=83;pbl9;:762?xh5:>=i6=4>{oc40?03:2we>?98c;295~hf?=0=8>5rn3043a<728qem::56568yk45?>o1<7?tn`57>3223td9>:9i:182kg0<327p`=26:0>5<6sgk<878;a:m671?<3:1=v`n75850g=zf;8<484?:0yme22=>=i0qc<=79494?7|fh=?6;:k;|l162>0290:wco84;47a>{i:;=347>51zlb31<14}ii>>1:8>4}o013=g=83;pbl9;:772?xh5:>2i6=4>{oc40?02:2we>?97c;295~hf?=0=9>5rn3043323td9>:6i:182kg0<3<>:6sa235:4?6=9rdj;949569~j740180;65<6sgk<878:a:m671><3:1=v`n75851g=zf;8<584?:0yme22=>{i:;=247>51zlb31<1=o1vb?<89883>4}ii>>1:;>4}o0133i6=4>{oc40?01:2we>?96c;295~hf?=0=:>5rn304=a<728qem::56768yk45?0o1<73023td9>:7i:182kg0<3<=:6sa235b4?6=9rdj;949669~j740i80;6?uaa66923>5<6sgk<8789a:m671f<3:1=v`n75852g=zf;8?i0qc<=7`494?4|fh=?6;8k;|l162g0290:wco84;45a>{i:;=j47>51zlb31<1>o1vb?<8a883>4}ii>>1::>4}o013dg=838pbl9;:752?xh5:>ki6=4>{oc40?00:2we>?9nc;295~hf?=0=;>5rn304ea<728qem::56668yk45?ho1<73123td9>:oi:182kg0<3<<:6sa235a4?6=9rdj;949769~j740j80;65<6sgk<8788a:m671e<3:1=v`n75853g=zf;8>i0qc<=7c494?4|fh=?6;9k;|l162d0290:wco84;44a>{i:;=i47>51zlb31<1?o1vb?<8b883>4}ii>>1:5>4}o013gg=838pbl9;:7:2?xh5:>hi6=4>{oc40?0?:2we>?9mc;295~hf?=0=4>5rn304fa<728qem::56968yk45?ko1<73>23td9>:li:182kg0<3<3:6sa235`4?6=9rdj;949869~j740k80;65<6sgk<8787a:m671d<3:1=v`n75851i0qc<=7b494?4|fh=?6;6k;|l162e0290:wco84;4;a>{i:;=h47>51zlb31<10o1vb?<8c883>4}ii>>1:4>4}o013fg=838pbl9;:7;2?xh5:>ii6=4>{oc40?0>:2we>?9lc;295~hf?=0=5>5rn304ga<728qem::56868yk45?jo1<73?23td9>:mi:182kg0<3<2:6sa235g4?6=9rdj;949969~j740l80;65<6sgk<8786a:m671c<3:1=v`n7585=g=zf;80i0qc<=7e494?4|fh=?6;7k;|l162b0290:wco84;4:a>{i:;=o47>51zlb31<11o1vb?<8d883>4}ii>>1:l>4}o013ag=838pbl9;:7c2?xh5:>ni6=4>{oc40?0f:2we>?9kc;295~hf?=0=m>5rn304`a<728qem::56`68yk45?mo1<73g23td9>:ji:182kg0<35<6sgk<878na:m671b<3:1=v`n7585eg=zf;8hi0qc<=7d494?4|fh=?6;ok;|l162c0290:wco84;4ba>{i:;=n47>51zlb31<1io1vb?<8e883>4}ii>>1:o>4}o013`g=838pbl9;:7`2?xh5:>oi6=4>{oc40?0e:2we>?9jc;295~hf?=0=n>5rn304aa<728qem::56c68yk45?lo1<73d23td9>:ki:182kg0<35<6sgk<878ma:m671a<3:1=v`n7585fg=zf;8ki0qc<=7g494?4|fh=?6;lk;|l162`0290:wco84;4aa>{i:;=m47>51zlb31<1jo1vb?<8f883>4}ii>>1:n>4}o013cg=838pbl9;:7a2?xh5:>li6=4>{oc40?0d:2we>?9ic;295~hf?=0=o>5rn304ba<728qem::56b68yk45?oo1<73e23td9>:hi:182kg0<35<6sgk<878la:m67>7<3:1=v`n7585gg=zf;83<84?:0yme22=>ji0qc<=81494?4|fh=?6;mk;|l16=60290:wco84;4`a>{i:;2;47>51zlb31<1ko1vb?<70883>4}ii>>1:i>4}o01<5g=838pbl9;:7f2?xh5:1:i6=4>{oc40?0c:2we>?6?c;295~hf?=0=h>5rn30;4a<728qem::56e68yk4509o1<73b23td9>5>i:182kg0<35<6sgk<878ka:m67>6<3:1=v`n7585`g=zf;83=84?:0yme22=>mi0qc<=80494?4|fh=?6;jk;|l16=70290:wco84;4ga>{i:;2:47>51zlb31<1lo1vb?<71883>4}ii>>1:h>4}o01<4g=838pbl9;:7g2?xh5:1;i6=4>{oc40?0b:2we>?6>c;295~hf?=0=i>5rn30;5a<728qem::56d68yk4508o1<7?tn`57>3c23td9>5?i:182kg0<35<6sgk<878ja:m67>5<3:1=v`n7585ag=zf;83>84?:0yme22=>li0qc<=83494?7|fh=?6;kk;|l16=40290:wco84;4fa>{i:;2947>51zlb31<1mo1vb?<72883>4}ii>>1:k>4}o01<7g=83;pbl9;:7d2?xh5:18i6=4>{oc40?0a:2we>?6=c;295~hf?=0=j>5rn30;6a<728qem::56g68yk450;o1<7?tn`57>3`23td9>5<50;3xjd132?l27p`=2910>5<6sgk<878ia:m67>4<3:1=v`n7585bg=zf;83?84?:0yme22=>oi0qc<=82494?7|fh=?6;hk;|l16=50290:wco84;4ea>{i:;2847>52zlb31<1no1vb?<73883>4}ii>>1;=>4}o01<6g=83;pbl9;:622?xh5:19i6=4>{oc40?17:2we>?65rn30;7a<728qem::57168yk450:o1<7?tn`57>2623td9>5=i:182kg0<3=;:6sa23:74?6=9rdj;948069~j74?<80;6:27p`=2960>5<6sgk<879?a:m67>3<3:1=v`n75844g=zf;83884?:0yme22=?9i0qc<=85494?7|fh=?6:>k;|l16=20290:wco84;53a>{i:;2?47>51zlb31<08o1vb?<74883>7}ii>>1;<>4}o01<1g=83;pbl9;:632?xh5:1>i6=4>{oc40?16:2we>?6;c;295~hf?=0<=>5rn30;0a<728qem::57068yk450=o1<7?tn`57>2723td9>5:i:182kg0<3=::6sa23:64?6=9rdj;948169~j74?=80;6;27p`=2970>5<6sgk<879>a:m67>2<3:1=v`n75845g=zf;83984?:0yme22=?8i0qc<=84494?7|fh=?6:?k;|l16=30290:wco84;52a>{i:;2>47>51zlb31<09o1vb?<75883>4}ii>>1;?>4}o01<0g=838pbl9;:602?xh5:1?i6=4>{oc40?15:2we>?6:c;295~hf?=0<>>5rn30;1a<728qem::57368yk4502423td9>5;i:182kg0<3=9:6sa23:54?6=9rdj;948269~j74?>80;6827p`=2940>5<6sgk<879=a:m67>1<3:1=v`n75846g=zf;83:84?:0yme22=?;i0qc<=87494?7|fh=?6:{i:;2=47>51zlb31<0:o1vb?<76883>4}ii>>1;>>4}o01<3g=83;pbl9;:612?xh5:1{oc40?14:2we>?69c;295~hf?=05rn30;2a<728qem::57268yk450?o1<7?tn`57>2523td9>58i:182kg0<3=8:6sa23:44?6=9rdj;948369~j74??80;6927p`=2950>5<6sgk<8790<3:1=v`n75847g=zf;83;84?:0yme22=?:i0qc<=86494?7|fh=?6:=k;|l16=10290:wco84;50a>{i:;2<47>51zlb31<0;o1vb?<77883>4}ii>>1;9>4}o01<2g=83;pbl9;:662?xh5:1=i6=4>{oc40?13:2we>?68c;295~hf?=0<8>5rn30;3a<728qem::57568yk450>o1<7?tn`57>2223td9>59i:182kg0<3=?:6sa23:;4?6=9rdj;948469~j74?080;6>27p`=29:0>5<6sgk<879;a:m67>?<3:1=v`n75840g=zf;83484?:0yme22=?=i0qc<=89494?7|fh=?6::k;|l16=>0290:wco84;57a>{i:;2347>51zlb31<04}ii>>1;8>4}o01<=g=838pbl9;:672?xh5:12i6=4>{oc40?12:2we>?67c;295~hf?=0<9>5rn30;2323td9>56i:182kg0<3=>:6sa23::4?6=9rdj;948569~j74?180;6?27p`=29;0>5<6sgk<879:a:m67>><3:1=v`n75841g=zf;83584?:3yme22=?{i:;2247>51zlb31<0=o1vb?<79883>4}ii>>1;;>4}o01<{oc40?11:2we>?66c;295~hf?=0<:>5rn30;=a<728qem::57768yk4500o1<7?tn`57>2023td9>57i:182kg0<3==:6sa23:b4?6=9rdj;948669~j74?i80;6<27p`=29c0>5<6sgk<8799a:m67>f<3:1=v`n75842g=zf;83m84?:0yme22=??i0qc<=8`494?7|fh=?6:8k;|l16=g0290:wco84;55a>{i:;2j47>51zlb31<0>o1vb?<7a883>4}ii>>1;:>4}o01{oc40?10:2we>?6nc;295~hf?=0<;>5rn30;ea<72;qem::57668yk450ho1<7?tn`57>2123td9>5oi:182kg0<3=<:6sa23:a4?6=:rdj;948769~j74?j80;6?uaa66932>=27p`=29`0>5<6sgk<8798a:m67>e<3:1=v`n75843g=zf;83n84?:3yme22=?>i0qc<=8c494?7|fh=?6:9k;|l16=d0290:wco84;54a>{i:;2i47>52zlb31<0?o1vb?<7b883>7}ii>>1;5>4}o01{oc40?1?:2we>?6mc;295~hf?=0<4>5rn30;fa<72;qem::57968yk450ko1<7?tn`57>2>23td9>5li:182kg0<3=3:6sa23:`4?6=:rdj;948869~j74?k80;6?uaa6693=>227p`=29a0>5<6sgk<8797a:m67>d<3:1=v`n7584{i:;2h47>52zlb31<00o1vb?<7c883>7}ii>>1;4>4}o01{oc40?1>:2we>?6lc;295~hf?=0<5>5rn30;ga<72;qem::57868yk450jo1<7?tn`57>2?23td9>5mi:182kg0<3=2:6sa23:g4?6=:rdj;948969~j74?l80;6?uaa6693<>327p`=29f0>5<6sgk<8796a:m67>c<3:1=v`n7584=g=zf;83h84?:3yme22=?0i0qc<=8e494?7|fh=?6:7k;|l16=b0290:wco84;5:a>{i:;2o47>52zlb31<01o1vb?<7d883>7}ii>>1;l>4}o01{oc40?1f:2we>?6kc;295~hf?=05rn30;`a<72;qem::57`68yk450mo1<7?tn`57>2g23td9>5ji:182kg0<3=j:6sa23:f4?6=9rdj;948a69~j74?m80;6?uaa6693d>k27p`=29g0>5<6sgk<879na:m67>b<3:1=v`n7584eg=zf;83i84?:3yme22=?hi0qc<=8d494?7|fh=?6:ok;|l16=c0290:wco84;5ba>{i:;2n47>51zlb31<0io1vb?<7e883>7}ii>>1;o>4}o01<`g=83;pbl9;:6`2?xh5:1oi6=4>{oc40?1e:2we>?6jc;295~hf?=05rn30;aa<72;qem::57c68yk450lo1<7?tn`57>2d23td9>5ki:182kg0<3=i:6sa23:e4?6=9rdj;948b69~j74?n80;6?uaa6693g>h27p`=29d0>5<6sgk<879ma:m67>a<3:1=v`n7584fg=zf;83j84?:3yme22=?ki0qc<=8g494?7|fh=?6:lk;|l16=`0290:wco84;5aa>{i:;2m47>51zlb31<0jo1vb?<7f883>7}ii>>1;n>4}o01{oc40?1d:2we>?6ic;295~hf?=05rn30;ba<728qem::57b68yk450oo1<7?tn`57>2e23td9>5hi:182kg0<3=h:6sa23;34?6=9rdj;948c69~j74>880;6i27p`=2820>5<6sgk<879la:m67?7<3:1=v`n7584gg=zf;82<84?:0yme22=?ji0qc<=91494?7|fh=?6:mk;|l16<60290:wco84;5`a>{i:;3;47>51zlb31<0ko1vb?<60883>4}ii>>1;i>4}o01=5g=83;pbl9;:6f2?xh5:0:i6=4>{oc40?1c:2we>?7?c;295~hf?=05rn30:4a<728qem::57e68yk4519o1<7?tn`57>2b23td9>4>i:182kg0<3=o:6sa23;24?6=:rdj;948d69~j74>980;6n27p`=2830>5<6sgk<879ka:m67?6<3:1>v`n7584`g=zf;82=84?:0yme22=?mi0qc<=90494?7|fh=?6:jk;|l16<70290:wco84;5ga>{i:;3:47>52zlb31<0lo1vb?<61883>4}ii>>1;h>4}o01=4g=83;pbl9;:6g2?xh5:0;i6=4>{oc40?1b:2we>?7>c;296~hf?=05rn30:5a<728qem::57d68yk4518o1<7?tn`57>2c23td9>4?i:182kg0<3=n:6sa23;14?6=:rdj;948e69~j74>:80;6o27p`=2800>5<6sgk<879ja:m67?5<3:1>v`n7584ag=zf;82>84?:0yme22=?li0qc<=93494?7|fh=?6:kk;|l16<40290:wco84;5fa>{i:;3947>52zlb31<0mo1vb?<62883>4}ii>>1;k>4}o01=7g=83;pbl9;:6d2?xh5:08i6=4>{oc40?1a:2we>?7=c;296~hf?=05rn30:6a<728qem::57g68yk451;o1<7?tn`57>2`23td9>4;80;6<50;3xjd132>l27p`=2810>5<6sgk<879ia:m67?4<3:1>v`n7584bg=zf;82?84?:0yme22=?oi0qc<=92494?7|fh=?6:hk;|l16<50290:wco84;5ea>{i:;3847>52zlb31<0no1vb?<63883>4}ii>>14=>4}o01=6g=83;pbl9;:922?xh5:09i6=4>{oc40?>7:2we>?75rn30:7a<728qem::58168yk451:o1<7?tn`57>=623td9>4=i:182kg0<32;:6sa23;74?6=:rdj;947069~j74><80;65<6sgk<876?a:m67?3<3:1>v`n758;4g=zf;82884?:0yme22=09i0qc<=95494?7|fh=?65>k;|l16<20290:wco84;:3a>{i:;3?47>52zlb314}ii>>14<>4}o01=1g=83;pbl9;:932?xh5:0>i6=4>{oc40?>6:2we>?7;c;296~hf?=03=>5rn30:0a<728qem::58068yk451=o1<7?tn`57>=723td9>4:i:182kg0<32::6sa23;64?6=:rdj;947169~j74>=80;65<6sgk<876>a:m67?2<3:1>v`n758;5g=zf;82984?:0yme22=08i0qc<=94494?7|fh=?65?k;|l16<30290:wco84;:2a>{i:;3>47>51zlb314}ii>>14?>4}o01=0g=838pbl9;:902?xh5:0?i6=4>{oc40?>5:2we>?7:c;295~hf?=03>>5rn30:1a<728qem::58368yk451=423td9>4;i:182kg0<329:6sa23;54?6=9rdj;947269~j74>>80;65<6sgk<876=a:m67?1<3:1=v`n758;6g=zf;82:84?:0yme22=0;i0qc<=97494?7|fh=?65{i:;3=47>51zlb314}ii>>14>>4}o01=3g=83;pbl9;:912?xh5:0{oc40?>4:2we>?79c;295~hf?=03?>5rn30:2a<728qem::58268yk451?o1<7?tn`57>=523td9>48i:182kg0<328:6sa23;44?6=9rdj;947369~j74>?80;65<6sgk<876{i:;3<47>51zlb314}ii>>149>4}o01=2g=83;pbl9;:962?xh5:0=i6=4>{oc40?>3:2we>?78c;295~hf?=038>5rn30:3a<728qem::58568yk451>o1<7?tn`57>=223td9>49i:182kg0<32?:6sa23;;4?6=9rdj;947469~j74>080;627p`=28:0>5<6sgk<876;a:m67??<3:1>v`n758;0g=zf;82484?:02xjd1321>h7p`=28:5>5<4jrdj;9474e9~j74>0>0;68uaa669<1cm7p`=28::>5<6sgk<876:0:m67??i3:15v`n758;14=zf;824o4?:3yme22=0<80qc<=99a94?5|fh=?65;<;|l16<>c2909wco84;:60>{i:;33i7>52`yme22=0{i:;32=7>51zlb311}ii>>14874}o01=<5=83;pbl9;:97b?xh5:03?6=4=2zlb314}ii>>148m4}o01=<0=838pbl9;:97g?xh5:03<6=4>{oc40?>2m2we>?768;290~hf?=039k5rn30:=<<728qem::58728yk4510k1<7=tn`57>=063td9>47m:181kg0<32=>6sa23;:g?6=jrdj;947629~j74>1m0;6?uaa669<32i90;65<6sgk<87699:m67?f;3:1=v`n758;2d=zf;82m94?:0yme22=0?h0qc<=9`794?7|fh=?658l;|l16{i:;3j;7>51zlb31l1vb?<6a983>4}ii>>14;h4}o01=d?=83;pbl9;:953?xh5:0kj6=4>{oc40?>092we>?7nb;295~hf?=03;?5rn30:ef<728qem::58618yk451hn1<7?tn`57>=133td9>4oj:182kg0<32<96sa23;bb?6=9rdj;947779~j74>j90;65<6sgk<87689:m67?e;3:1=v`n758;3d=zf;82n94?:0yme22=0>h0qc<=9c794?7|fh=?659l;|l16{i:;3i;7>51zlb314}ii>>14:h4}o01=g?=83;pbl9;:9:3?xh5:0hj6=4>{oc40?>?92we>?7mb;295~hf?=034?5rn30:ff<728qem::58918yk451kn1<7?tn`57>=>33td9>4lj:182kg0<32396sa23;ab?6=9rdj;947879~j74>k90;65<6sgk<87679:m67?d;3:1=v`n758;{i:;3h;7>51zlb314}ii>>145h4}o01=f?=83;pbl9;:9;3?xh5:0ij6=4>{oc40?>>92we>?7lb;295~hf?=035?5rn30:gf<728qem::58818yk451jn1<7?tn`57>=?33td9>4mj:182kg0<32296sa23;`b?6=9rdj;947979~j74>l90;65<6sgk<87669:m67?c;3:1=v`n758;=d=zf;82h94?:0yme22=00h0qc<=9e794?7|fh=?657l;|l16{i:;3o;7>51zlb314}ii>>144h4}o01=a?=83;pbl9;:9c3?xh5:0nj6=4>{oc40?>f92we>?7kb;295~hf?=03m?5rn30:`f<728qem::58`18yk451mn1<7?tn`57>=g33td9>4jj:182kg0<32j96sa23;gb?6=9rdj;947a79~j74>m90;65<6sgk<876n9:m67?b;3:1=v`n758;ed=zf;82i94?:0yme22=0hh0qc<=9d794?7|fh=?65ol;|l16{i:;3n;7>51zlb314}ii>>14lh4}o01=`?=83;pbl9;:9`3?xh5:0oj6=4>{oc40?>e92we>?7jb;295~hf?=03n?5rn30:af<728qem::58c18yk451ln1<7?tn`57>=d33td9>4kj:182kg0<32i96sa23;fb?6=9rdj;947b79~j74>n90;65<6sgk<876m9:m67?a;3:1=v`n758;fd=zf;82j94?:0yme22=0kh0qc<=9g794?7|fh=?65ll;|l16<`1290:wco84;:a`>{i:;3m;7>51zlb314}ii>>14oh4}o01=c?=83;pbl9;:9a3?xh5:0lj6=4>{oc40?>d92we>?7ib;295~hf?=03o?5rn30:bf<728qem::58b18yk451on1<7?tn`57>=e33td9>4hj:182kg0<32h96sa23;eb?6=9rdj;947c79~j74f890;65<6sgk<876l9:m67g7;3:1=v`n758;gd=zf;8j<94?:0yme22=0jh0qc<=a1794?7|fh=?65ml;|l16d61290:wco84;:``>{i:;k;;7>51zlb314}ii>>14nh4}o01e5?=83;pbl9;:9f3?xh5:h:j6=4>{oc40?>c92we>?o?b;295~hf?=03h?5rn30b4f<728qem::58e18yk45i9n1<7?tn`57>=b33td9>l>j:182kg0<32o96sa23c3b?6=9rdj;947d79~j74f990;65<6sgk<876k9:m67g6;3:1>v`n758;`d=zf;8j=94?:3yme22=0mh0qc<=a0794?7|fh=?65jl;|l16d71290:wco84;:g`>{i:;k:;7>51zlb314}ii>>14ih4}o01e4?=83;pbl9;:9g3?xh5:h;j6=4>{oc40?>b92we>?o>b;295~hf?=03i?5rn30b5f<728qem::58d18yk45i8n1<7?tn`57>=c33td9>l?j:181kg0<32n96sa23c2b?6=:rdj;947e79~j74f:90;65<6sgk<876j9:m67g5;3:1=v`n758;ad=zf;8j>94?:0yme22=0lh0qc<=a3794?7|fh=?65kl;|l16d41290:wco84;:f`>{i:;k9;7>51zlb314}ii>>14hh4}o01e7?=838pbl9;:9d3?xh5:h8j6=4={oc40?>a92we>?o=b;295~hf?=03j?5rn30b6f<728qem::58g18yk45i;n1<7?tn`57>=`33td9>l?50;3xjd1321l37p`=2`11>5<6sgk<876i9:m67g4;3:1=v`n758;bd=zf;8j?94?:0yme22=0oh0qc<=a2794?7|fh=?65hl;|l16d51290:wco84;:e`>{i:;k8;7>51zlb314}ii>>14kh4}o01e6?=83;pbl9;:823?xh5:h9j6=4>{oc40??792we>?o<633td9>l=j:182kg0<33;96sa23c0b?6=9rdj;946079~j74f<90;65<5sgk<877?9:m67g3;3:1>v`n758:4d=zf;8j894?:0yme22=19h0qc<=a5794?7|fh=?64>l;|l16d21290:wco84;;3`>{i:;k?;7>51zlb31<>8l1vb?4}ii>>15=h4}o01e1?=83;pbl9;:833?xh5:h>j6=4>{oc40??692we>?o;b;295~hf?=02=?5rn30b0f<728qem::59018yk45i=n1<7<733td9>l:j:181kg0<33:96sa23c7b?6=9rdj;946179~j74f=90;65<6sgk<877>9:m67g2;3:1=v`n758:5d=zf;8j994?:0yme22=18h0qc<=a4794?7|fh=?64?l;|l16d31290:wco84;;2`>{i:;k>;7>51zlb31<>9l1vb?7}ii>>15{oc40??592we>?o:b;295~hf?=02>?5rn30b1f<728qem::59318yk45i<433td9>l;j:182kg0<33996sa23c6b?6=9rdj;946279~j74f>90;65<6sgk<877=9:m67g1;3:1>v`n758:6d=zf;8j:94?:3yme22=1;h0qc<=a7794?7|fh=?64{i:;k=;7>51zlb31<>:l1vb?4}ii>>15?h4}o01e3?=83;pbl9;:813?xh5:h{oc40??492we>?o9b;295~hf?=02??5rn30b2f<728qem::59218yk45i?n1<7?tn`57><533td9>l8j:181kg0<33896sa23c5b?6=:rdj;946379~j74f?90;65<6sgk<877<9:m67g0;3:1=v`n758:7d=zf;8j;94?:0yme22=1:h0qc<=a6794?7|fh=?64=l;|l16d11290:wco84;;0`>{i:;k<;7>51zlb31<>;l1vb?4}ii>>15>h4}o01e2?=838pbl9;:863?xh5:h=j6=4={oc40??392we>?o8b;295~hf?=028?5rn30b3f<728qem::59518yk45i>n1<7?tn`57><233td9>l9j:182kg0<33?96sa23c4b?6=9rdj;946479~j74f090;637p`=2`:1>5<6sgk<877;9:m67g?;3:1=v`n758:0d=zf;8j494?:3yme22=1=h0qc<=a9794?4|fh=?64:l;|l16d>12909wco84;;7`>{i:;k3;7>52zlb31<>4}ii>>159h4}o01e=?=83;pbl9;:873?xh5:h2j6=4>{oc40??292we>?o7b;295~hf?=029?5rn30b<333td9>l6j:182kg0<33>96sa23c;b?6=9rdj;946579~j74f190;6?uaa669=015<5sgk<877:9:m67g>;3:1>v`n758:1d=zf;8j594?:0yme22=1{i:;k2;7>51zlb31<>=l1vb?4}ii>>158h4}o01e{oc40??192we>?o6b;295~hf?=02:?5rn30b=f<72;qem::59718yk45i0n1<7<033td9>l7j:187kg0<33=96sa23c:b?6=:rdj;946679~j74fi90;65<6sgk<87799:m67gf;3:1=v`n758:2d=zf;8jm94?:0yme22=1?h0qc<=a`794?7|fh=?648l;|l16dg1290:wco84;;5`>{i:;kj;7>51zlb31<>>l1vb?7}ii>>15;h4}o01ed?=838pbl9;:853?xh5:hkj6=4;{oc40??092we>?onb;296~hf?=02;?5rn30bef<728qem::59618yk45ihn1<7?tn`57><133td9>loj:182kg0<33<96sa23cbb?6=9rdj;946779~j74fj90;65<6sgk<87789:m67ge;3:1=v`n758:3d=zf;8jn94?:0yme22=1>h0qc<=ac794?7|fh=?649l;|l16dd1290:wco84;;4`>{i:;ki;7>51zlb31<>?l1vb?4}ii>>15:h4}o01eg?=83;pbl9;:8:3?xh5:hhj6=4>{oc40???92we>?omb;295~hf?=024?5rn30bff<728qem::59918yk45ikn1<7?tn`57><>33td9>llj:182kg0<33396sa23cab?6=9rdj;946879~j74fk90;65<5sgk<87779:m67gd;3:1>v`n758:{i:;kh;7>51zlb31<>0l1vb?4}ii>>155h4}o01ef?=83;pbl9;:8;3?xh5:hij6=4>{oc40??>92we>?olb;295~hf?=025?5rn30bgf<728qem::59818yk45ijn1<7?tn`57>lmj:181kg0<33296sa23c`b?6=:rdj;946979~j74fl90;6?uaa669=<15<6sgk<87769:m67gc;3:1=v`n758:=d=zf;8jh94?:0yme22=10h0qc<=ae794?7|fh=?647l;|l16db1290:wco84;;:`>{i:;ko;7>51zlb31<>1l1vb?4}ii>>154h4}o01ea?=83;pbl9;:8c3?xh5:hnj6=4>{oc40??f92we>?okb;295~hf?=02m?5rn30b`f<728qem::59`18yk45imn1<7?tn`57>ljj:182kg0<33j96sa23cgb?6=9rdj;946a79~j74fm90;65<6sgk<877n9:m67gb;3:1=v`n758:ed=zf;8ji94?:0yme22=1hh0qc<=ad794?7|fh=?64ol;|l16dc1290:wco84;;b`>{i:;kn;7>51zlb31<>il1vb?4}ii>>15lh4}o01e`?=83;pbl9;:8`3?xh5:hoj6=4>{oc40??e92we>?ojb;295~hf?=02n?5rn30baf<728qem::59c18yk45iln1<7?tn`57>lkj:182kg0<33i96sa23cfb?6=9rdj;946b79~j74fn90;65<6sgk<877m9:m67ga;3:1=v`n758:fd=zf;8jj94?:0yme22=1kh0qc<=ag794?7|fh=?64ll;|l16d`1290:wco84;;a`>{i:;km;7>51zlb31<>jl1vb?4}ii>>15oh4}o01ec?=83;pbl9;:8a3?xh5:hlj6=4>{oc40??d92we>?oib;295~hf?=02o?5rn30bbf<728qem::59b18yk45ion1<7?tn`57>lhj:182kg0<33h96sa23ceb?6=9rdj;946c79~j74e890;65<6sgk<877l9:m67d7;3:1=v`n758:gd=zf;8i<94?:0yme22=1jh0qc<=b1794?7|fh=?64ml;|l16g61290:wco84;;``>{i:;h;;7>51zlb31<>kl1vb?4}ii>>15nh4}o01f5?=83;pbl9;:8f3?xh5:k:j6=4>{oc40??c92we>?l?b;295~hf?=02h?5rn30a4f<728qem::59e18yk45j9n1<7?tn`57>o>j:182kg0<33o96sa23`3b?6=9rdj;946d79~j74e990;65<6sgk<877k9:m67d6;3:1=v`n758:`d=zf;8i=94?:0yme22=1mh0qc<=b0794?7|fh=?64jl;|l16g71290:wco84;;g`>{i:;h:;7>51zlb31<>ll1vb?4}ii>>15ih4}o01f4?=83;pbl9;:8g3?xh5:k;j6=4>{oc40??b92we>?l>b;295~hf?=02i?5rn30a5f<728qem::59d18yk45j8n1<7?tn`57>o?j:182kg0<33n96sa23`2b?6=9rdj;946e79~j74e:90;65<6sgk<877j9:m67d5;3:1=v`n758:ad=zf;8i>94?:0yme22=1lh0qc<=b3794?7|fh=?64kl;|l16g41290:wco84;;f`>{i:;h9;7>51zlb31<>ml1vb?4}ii>>15hh4}o01f7?=83;pbl9;:8d3?xh5:k8j6=4>{oc40??a92we>?l=b;295~hf?=02j?5rn30a6f<728qem::59g18yk45j;n1<7?tn`57><`33td9>o?50;3xjd1320l37p`=2c11>5<6sgk<877i9:m67d4;3:1=v`n758:bd=zf;8i?94?:0yme22=1oh0qc<=b2794?7|fh=?64hl;|l16g512909wco84;;e`>{i:;h8;7>51zlb31<>nl1vb?6}ii>>15kh4}o01f6?=83;pbl9;:`23?xh5:k9j6=4<{oc40?g792we>?ld633td9>o=j:181kg0<3k;96sa23`0b?6=9rdj;94n079~j74e<90;6?uaa669e515<4sgk<87o?9:m67d3;3:1?v`n758b4d=zf;8i894?:2yme22=i9h0qc<=b5794?4|fh=?6l>l;|l16g21290:wco84;c3`>{i:;h?;7>52zlb310}ii>>1m=h4}o01f1?=839pbl9;:`33?xh5:k>j6=4<{oc40?g692we>?l;b;297~hf?=0j=?5rn30a0f<72;qem::5a018yk45j=n1<7?tn`57>d733td9>o:j:180kg0<3k:96sa23`7b?6=9rdj;94n179~j74e=90;6>uaa669e415<6sgk<87o>9:m67d2;3:1=v`n758b5d=zf;8i994?:3yme22=i8h0qc<=b4794?7|fh=?6l?l;|l16g312909wco84;c2`>{i:;h>;7>55zlb316}ii>>1m?l:b;296~hf?=0j>?5rn30a1f<728qem::5a318yk45jd433td9>o;j:186kg0<3k996sa23`6b?6=;rdj;94n279~j74e>90;6>uaa669e715<5sgk<87o=9:m67d1;3:1=v`n758b6d=zf;8i:94?:3yme22=i;h0qc<=b7794?3|fh=?6l{i:;h=;7>53zlb316}ii>>1m?h4}o01f3?=838pbl9;:`13?xh5:k{oc40?g492we>?l9b;296~hf?=0j??5rn30a2f<72d533td9>o8j:180kg0<3k896sa23`5b?6=;rdj;94n379~j74e?90;6?uaa669e615<5sgk<87o<9:m67d0;3:19v`n758b7d=zf;8i;94?:2yme22=i:h0qc<=b6794?5|fh=?6l=l;|l16g112908wco84;c0`>{i:;h<;7>52zlb314}ii>>1m>h4}o01f2?=838pbl9;:`63?xh5:k=j6=4:{oc40?g392we>?l8b;297~hf?=0j8?5rn30a3f<72:qem::5a518yk45j>n1<7=tn`57>d233td9>o9j:181kg0<3k?96sa23`4b?6=9rdj;94n479~j74e090;6?uaa669e1137p`=2c:1>5<4sgk<87o;9:m67d?;3:1?v`n758b0d=zf;8i494?:2yme22=i=h0qc<=b9794?4|fh=?6l:l;|l16g>1290:wco84;c7`>{i:;h3;7>52zlb310}ii>>1m9h4}o01f=?=839pbl9;:`73?xh5:k2j6=4<{oc40?g292we>?l7b;297~hf?=0j9?5rn30ad333td9>o6j:181kg0<3k>96sa23`;b?6==rdj;94n579~j74e190;6>uaa669e015<4sgk<87o:9:m67d>;3:1>v`n758b1d=zf;8i594?:0yme22=iwco84;c6`>{i:;h2;7>53zlb316}ii>>1m8h4}o01f?l6b;295~hf?=0j:?5rn30a=f<72;qem::5a718yk45j0n1<7;tn`57>d033td9>o7j:180kg0<3k=96sa23`:b?6=;rdj;94n679~j74ei90;6>uaa669e315<6sgk<87o99:m67df;3:1>v`n758b2d=zf;8im94?:4yme22=i?h0qc<=b`794?5|fh=?6l8l;|l16gg12908wco84;c5`>{i:;hj;7>53zlb31l1vb?7}ii>>1m;h4}o01fd?=83;pbl9;:`53?xh5:kkj6=4={oc40?g092we>?lnb;291~hf?=0j;?5rn30aef<72:qem::5a618yk45jhn1<7=tn`57>d133td9>ooj:180kg0<3k<96sa23`bb?6=:rdj;94n779~j74ej90;65<2sgk<87o89:m67de;3:1?v`n758b3d=zf;8in94?:2yme22=i>h0qc<=bc794?5|fh=?6l9l;|l16gd12909wco84;c4`>{i:;hi;7>51zlb317}ii>>1m:h4}o01fg?=83?pbl9;:`:3?xh5:khj6=4<{oc40?g?92we>?lmb;297~hf?=0j4?5rn30aff<72:qem::5a918yk45jkn1<7d>33td9>olj:182kg0<3k396sa23`ab?6=:rdj;94n879~j74ek90;68uaa669e=15<4sgk<87o79:m67dd;3:1?v`n758b{i:;hh;7>55zlb316}ii>>1m5h4}o01ff?=839pbl9;:`;3?xh5:kij6=4<{oc40?g>92we>?llb;296~hf?=0j5?5rn30agf<728qem::5a818yk45jjn1<7d?33td9>omj:186kg0<3k296sa23``b?6=;rdj;94n979~j74el90;6>uaa669e<15<5sgk<87o69:m67dc;3:1=v`n758b=d=zf;8ih94?:3yme22=i0h0qc<=be794?3|fh=?6l7l;|l16gb12908wco84;c:`>{i:;ho;7>53zlb316}ii>>1m4h4}o01fa?=838pbl9;:`c3?xh5:knj6=4>{oc40?gf92we>?lkb;296~hf?=0jm?5rn30a`f<72dg33td9>ojj:180kg0<3kj96sa23`gb?6=;rdj;94na79~j74em90;6?uaa669ed15<5sgk<87on9:m67db;3:19v`n758bed=zf;8ii94?:2yme22=ihh0qc<=bd794?5|fh=?6lol;|l16gc12908wco84;cb`>{i:;hn;7>52zlb314}ii>>1mlh4}o01f`?=838pbl9;:``3?xh5:koj6=4:{oc40?ge92we>?ljb;297~hf?=0jn?5rn30aaf<72:qem::5ac18yk45jln1<7=tn`57>dd33td9>okj:181kg0<3ki96sa23`fb?6=9rdj;94nb79~j74en90;6?uaa669eg15<4sgk<87om9:m67da;3:1?v`n758bfd=zf;8ij94?:2yme22=ikh0qc<=bg794?4|fh=?6lll;|l16g`1290:wco84;ca`>{i:;hm;7>52zlb310}ii>>1moh4}o01fc?=839pbl9;:`a3?xh5:klj6=4<{oc40?gd92we>?lib;297~hf?=0jo?5rn30abf<72;qem::5ab18yk45jon1<7?tn`57>de33td9>ohj:181kg0<3kh96sa23`eb?6==rdj;94nc79~j74d890;6>uaa669ef15<4sgk<87ol9:m67e7;3:1>v`n758bgd=zf;8h<94?:0yme22=ijh0qc<=c1794?4|fh=?6lml;|l16f61290>wco84;c``>{i:;i;;7>53zlb316}ii>>1mnh4}o01g5?=839pbl9;:`f3?xh5:j:j6=4={oc40?gc92we>?m?b;295~hf?=0jh?5rn30`4f<72;qem::5ae18yk45k9n1<7;tn`57>db33td9>n>j:180kg0<3ko96sa23a3b?6=;rdj;94nd79~j74d990;6>uaa669ea15<6sgk<87ok9:m67e6;3:1>v`n758b`d=zf;8h=94?:4yme22=imh0qc<=c0794?5|fh=?6ljl;|l16f712908wco84;cg`>{i:;i:;7>53zlb317}ii>>1mih4}o01g4?=83;pbl9;:`g3?xh5:j;j6=4={oc40?gb92we>?m>b;291~hf?=0ji?5rn30`5f<72:qem::5ad18yk45k8n1<7=tn`57>dc33td9>n?j:180kg0<3kn96sa23a2b?6=:rdj;94ne79~j74d:90;65<2sgk<87oj9:m67e5;3:1?v`n758bad=zf;8h>94?:2yme22=ilh0qc<=c3794?5|fh=?6lkl;|l16f412909wco84;cf`>{i:;i9;7>51zlb317}ii>>1mhh4}o01g7?=83?pbl9;:`d3?xh5:j8j6=4<{oc40?ga92we>?m=b;297~hf?=0jj?5rn30`6f<72:qem::5ag18yk45k;n1<7d`33td9>n?50;1xjd132hl37p`=2b11>5<4sgk<87oi9:m67e4;3:1?v`n758bbd=zf;8h?94?:3yme22=ioh0qc<=c2794?7|fh=?6lhl;|l16f512909wco84;ce`>{i:;i8;7>55zlb316}ii>>1mkh4}o01g6?=839pbl9;:c23?xh5:j9j6=4<{oc40?d792we>?mg633td9>n=j:186kg0<3h;96sa23a0b?6=;rdj;94m079~j74d<90;6>uaa669f515<5sgk<87l?9:m67e3;3:1=v`n758a4d=zf;8h894?:3yme22=j9h0qc<=c5794?3|fh=?6o>l;|l16f212908wco84;`3`>{i:;i?;7>53zlb316}ii>>1n=h4}o01g1?=838pbl9;:c33?xh5:j>j6=4={oc40?d692we>?m;b;295~hf?=0i=?5rn30`0f<72;qem::5b018yk45k=n1<7;tn`57>g733td9>n:j:180kg0<3h:96sa23a7b?6=;rdj;94m179~j74d=90;6>uaa669f415<5sgk<87l>9:m67e2;3:1>v`n758a5d=zf;8h994?:3yme22=j8h0qc<=c4794?4|fh=?6o?l;|l16f312909wco84;`2`>{i:;i>;7>51zlb314}ii>>1n{oc40?d592we>?m:b;295~hf?=0i>?5rn30`1f<728qem::5b318yk45kg433td9>n;j:182kg0<3h996sa23a6b?6=9rdj;94m279~j74d>90;65<6sgk<87l=9:m67e1;3:1=v`n758a6d=zf;8h:94?:3yme22=j;h0qc<=c7794?7|fh=?6o{i:;i=;7>51zlb314}ii>>1n?h4}o01g3?=83;pbl9;:c13?xh5:j{oc40?d492we>?m9b;295~hf?=0i??5rn30`2f<728qem::5b218yk45k?n1<7?tn`57>g533td9>n8j:182kg0<3h896sa23a5b?6=9rdj;94m379~j74d?90;65<6sgk<87l<9:m67e0;3:1=v`n758a7d=zf;8h;94?:0yme22=j:h0qc<=c6794?7|fh=?6o=l;|l16f11290:wco84;`0`>{i:;i<;7>51zlb314}ii>>1n>h4}o01g2?=83;pbl9;:c63?xh5:j=j6=4>{oc40?d392we>?m8b;295~hf?=0i8?5rn30`3f<728qem::5b518yk45k>n1<7?tn`57>g233td9>n9j:182kg0<3h?96sa23a4b?6=9rdj;94m479~j74d090;637p`=2b:1>5<6sgk<87l;9:m67e?;3:1=v`n758a0d=zf;8h494?:0yme22=j=h0qc<=c9794?7|fh=?6o:l;|l16f>1290:wco84;`7`>{i:;i3;7>51zlb314}ii>>1n9h4}o01g=?=83;pbl9;:c73?xh5:j2j6=4>{oc40?d292we>?m7b;295~hf?=0i9?5rn30`g333td9>n6j:182kg0<3h>96sa23a;b?6=9rdj;94m579~j74d190;65<6sgk<87l:9:m67e>;3:1=v`n758a1d=zf;8h594?:0yme22=j{i:;i2;7>51zlb314}ii>>1n8h4}o01g{oc40?d192we>?m6b;295~hf?=0i:?5rn30`=f<728qem::5b718yk45k0n1<7?tn`57>g033td9>n7j:182kg0<3h=96sa23a:b?6=9rdj;94m679~j74di90;65<6sgk<87l99:m67ef;3:1=v`n758a2d=zf;8hm94?:0yme22=j?h0qc<=c`794?7|fh=?6o8l;|l16fg1290:wco84;`5`>{i:;ij;7>51zlb31l1vb?4}ii>>1n;h4}o01gd?=83;pbl9;:c53?xh5:jkj6=4>{oc40?d092we>?mnb;295~hf?=0i;?5rn30`ef<728qem::5b618yk45khn1<7?tn`57>g133td9>noj:182kg0<3h<96sa23abb?6=9rdj;94m779~j74dj90;65<6sgk<87l89:m67ee;3:1=v`n758a3d=zf;8hn94?:0yme22=j>h0qc<=cc794?7|fh=?6o9l;|l16fd1290:wco84;`4`>{i:;ii;7>51zlb314}ii>>1n:h4}o01gg?=83;pbl9;:c:3?xh5:jhj6=4>{oc40?d?92we>?mmb;295~hf?=0i4?5rn30`ff<728qem::5b918yk45kkn1<7?tn`57>g>33td9>nlj:182kg0<3h396sa23aab?6=9rdj;94m879~j74dk90;65<6sgk<87l79:m67ed;3:1=v`n758a{i:;ih;7>51zlb314}ii>>1n5h4}o01gf?=83;pbl9;:c;3?xh5:jij6=4>{oc40?d>92we>?mlb;295~hf?=0i5?5rn30`gf<728qem::5b818yk45kjn1<7?tn`57>g?33td9>nmj:182kg0<3h296sa23a`b?6=9rdj;94m979~j74dl90;65<6sgk<87l69:m67ec;3:1=v`n758a=d=zf;8hh94?:0yme22=j0h0qc<=ce794?7|fh=?6o7l;|l16fb1290:wco84;`:`>{i:;io;7>51zlb314}ii>>1n4h4}o01ga?=83;pbl9;:cc3?xh5:jnj6=4>{oc40?df92we>?mkb;295~hf?=0im?5rn30``f<728qem::5b`18yk45kmn1<7?tn`57>gg33td9>njj:182kg0<3hj96sa23agb?6=9rdj;94ma79~j74dm90;65<6sgk<87ln9:m67eb;3:1=v`n758aed=zf;8hi94?:0yme22=jhh0qc<=cd794?7|fh=?6ool;|l16fc1290:wco84;`b`>{i:;in;7>51zlb314}ii>>1nlh4}o01g`?=83;pbl9;:c`3?xh5:joj6=4>{oc40?de92we>?mjb;295~hf?=0in?5rn30`af<728qem::5bc18yk45kln1<7?tn`57>gd33td9>nkj:182kg0<3hi96sa23afb?6=9rdj;94mb79~j74dn90;65<6sgk<87lm9:m67ea;3:1=v`n758afd=zf;8hj94?:0yme22=jkh0qc<=cg794?7|fh=?6oll;|l16f`1290:wco84;`a`>{i:;im;7>51zlb314}ii>>1noh4}o01gc?=83;pbl9;:ca3?xh5:jlj6=4>{oc40?dd92we>?mib;295~hf?=0io?5rn30`bf<728qem::5bb18yk45kon1<7?tn`57>ge33td9>nhj:182kg0<3hh96sa23aeb?6=9rdj;94mc79~j74c890;65<6sgk<87ll9:m67b7;3:1=v`n758agd=zf;8o<94?:0yme22=jjh0qc<=d1794?7|fh=?6oml;|l16a61290:wco84;```>{i:;n;;7>51zlb314}ii>>1nnh4}o01`5?=83;pbl9;:cf3?xh5:m:j6=4>{oc40?dc92we>?j?b;295~hf?=0ih?5rn30g4f<728qem::5be18yk45l9n1<7?tn`57>gb33td9>i>j:182kg0<3ho96sa23f3b?6=9rdj;94md79~j74c990;65<6sgk<87lk9:m67b6;3:1=v`n758a`d=zf;8o=94?:0yme22=jmh0qc<=d0794?7|fh=?6ojl;|l16a71290:wco84;`g`>{i:;n:;7>51zlb314}ii>>1nih4}o01`4?=83;pbl9;:cg3?xh5:m;j6=4>{oc40?db92we>?j>b;295~hf?=0ii?5rn30g5f<728qem::5bd18yk45l8n1<7?tn`57>gc33td9>i?j:182kg0<3hn96sa23f2b?6=9rdj;94me79~j74c:90;65<6sgk<87lj9:m67b5;3:1=v`n758aad=zf;8o>94?:0yme22=jlh0qc<=d3794?7|fh=?6okl;|l16a41290:wco84;`f`>{i:;n9;7>51zlb314}ii>>1nhh4}o01`7?=83;pbl9;:cd3?xh5:m8j6=4>{oc40?da92we>?j=b;295~hf?=0ij?5rn30g6f<72;=pbl9;:cd0?xh5:m8o6=4>{oc40?da<2we>?j=e;295~hf?=0ij85rn30g6c<728qem::5bg48yk45l::1<7?tn`57>g`03td9>i=>:182kg0<3hm46sa23f06?6=9rdj;94mf89~j74c;:0;6:50;3xjd132kli7p`=2e16>5<6sgk<87lic:m67b4>3:1=v`n758aba=zf;8o?:4?:0yme22=joo0qc<=d2:94?7|fh=?6ohi;|l16a5>290:wco84;a34>{i:;n8m7>51zlb314}ii>>1o=<4}o01`6e=83;pbl9;:b20?xh5:m9o6=4>{oc40?e7<2we>?jf603td9>i:>:182kg0<3i;46sa23f76?6=9rdj;94l089~j74c<:0;65<6sgk<87m?c:m67b3>3:1=v`n758`4a=zf;8o8:4?:0yme22=k9o0qc<=d5:94?7|fh=?6n>i;|l16a2>290:wco84;a24>{i:;n?m7>51zlb314}ii>>1o<<4}o01`1e=83;pbl9;:b30?xh5:m>o6=4>{oc40?e6<2we>?j;e;296~hf?=0h=85rn30g0c<728qem::5c048yk45l<:1<7f703td9>i;>:182kg0<3i:46sa23f66?6=9rdj;94l189~j74c=:0;65<6sgk<87m>c:m67b2>3:1=v`n758`5a=zf;8o9:4?:0yme22=k8o0qc<=d4:94?7|fh=?6n?i;|l16a3>290:wco84;a14>{i:;n>m7>51zlb314}ii>>1o?<4}o01`0e=83;pbl9;:b00?xh5:m?o6=4>{oc40?e5<2we>?j:e;295~hf?=0h>85rn30g1c<728qem::5c348yk45l?:1<7?tn`57>f403td9>i8>:182kg0<3i946sa23f56?6=9rdj;94l289~j74c>:0;65<6sgk<87m=c:m67b1>3:1=v`n758`6a=zf;8o::4?:0yme22=k;o0qc<=d7:94?7|fh=?6n290:wco84;a04>{i:;n=m7>51zlb314}ii>>1o><4}o01`3e=83;pbl9;:b10?xh5:m{oc40?e4<2we>?j9e;295~hf?=0h?85rn30g2c<72;qem::5c248yk45l>:1<7?tn`57>f503td9>i9>:182kg0<3i846sa23f46?6=9rdj;94l389~j74c?:0;65<6sgk<87m3:1>v`n758`7a=zf;8o;:4?:0yme22=k:o0qc<=d6:94?4|fh=?6n=i;|l16a1>290:wco84;a74>{i:;n51zlb314}ii>>1o9<4}o01`2e=83;pbl9;:b60?xh5:m=o6=4>{oc40?e3<2we>?j8e;295~hf?=0h885rn30g3c<72;qem::5c548yk45l1:1<7?tn`57>f203td9>i6>:182kg0<3i?46sa23f;6?6=9rdj;94l489~j74c0:0;6i7p`=2e:6>5<6sgk<87m;c:m67b?>3:1>v`n758`0a=zf;8o4:4?:0yme22=k=o0qc<=d9:94?7|fh=?6n:i;|l16a>>290:wco84;a64>{i:;n3m7>51zlb314}ii>>1o8<4}o01`=e=83;pbl9;:b70?xh5:m2o6=4={oc40?e2<2we>?j7e;295~hf?=0h985rn30gf303td9>i7>:182kg0<3i>46sa23f:6?6=9rdj;94l589~j74c1:0;65<6sgk<87m:c:m67b>>3:1=v`n758`1a=zf;8o5:4?:0yme22=k290:wco84;a54>{i:;n2m7>51zlb3181vb?7}ii>>1o;<4}o01`{oc40?e1<2we>?j6e;295~hf?=0h:85rn30g=c<728qem::5c748yk45lh:1<7?tn`57>f003td9>io>:182kg0<3i=46sa23fb6?6=9rdj;94l689~j74ci:0;65<6sgk<87m9c:m67bf>3:1=v`n758`2a=zf;8om:4?:0yme22=k?o0qc<=d`:94?7|fh=?6n8i;|l16ag>290:wco84;a44>{i:;njm7>51zlb314}ii>>1o:<4}o01`de=83;pbl9;:b50?xh5:mko6=4>{oc40?e0<2we>?jne;295~hf?=0h;85rn30gec<728qem::5c648yk45lk:1<7?tn`57>f103td9>il>:182kg0<3i<46sa23fa6?6=9rdj;94l789~j74cj:0;65<6sgk<87m8c:m67be>3:1=v`n758`3a=zf;8on:4?:0yme22=k>o0qc<=dc:94?7|fh=?6n9i;|l16ad>290:wco84;a;4>{i:;nim7>51zlb314}ii>>1o5<4}o01`ge=83;pbl9;:b:0?xh5:mho6=4>{oc40?e?<2we>?jme;295~hf?=0h485rn30gfc<728qem::5c948yk45lj:1<7?tn`57>f>03td9>im>:182kg0<3i346sa23f`6?6=9rdj;94l889~j74ck:0;65<6sgk<87m7c:m67bd>3:1=v`n758`290:wco84;a:4>{i:;nhm7>51zlb314}ii>>1o4<4}o01`fe=83;pbl9;:b;0?xh5:mio6=4>{oc40?e><2we>?jle;295~hf?=0h585rn30ggc<72;qem::5c848yk45lm:1<7?tn`57>f?03td9>ij>:182kg0<3i246sa23fg6?6=9rdj;94l989~j74cl:0;65<6sgk<87m6c:m67bc>3:1=v`n758`=a=zf;8oh:4?:0yme22=k0o0qc<=de:94?4|fh=?6n7i;|l16ab>290:mv`n758`e5=zf;8ohl4?:3yme22=kh;0qc<=de`94?7|fh=?6no=;|l16abd290:wco84;ab7>{i:;noh7>52zlb314}ii>>1ol;4}o01`a`=838pbl9;:bc5?xh5:mo;6=4>{oc40?ef?2we>?jj1;296~hf?=0hm55rn30ga7<72=qem::5c`;8yk45ll91<7?tn`57>fgf3td9>ik;:182kg0<3ijn6sa23ff1?6=9rdj;94lab9~j74cm?0;65<6sgk<87mnf:m67bb13:1=v`n758`f5=zf;8oil4?:0yme22=kk;0qc<=dd`94?7|fh=?6nl=;|l16acd290:wco84;aa7>{i:;nnh7>51zlb314}ii>>1oo;4}o01```=83;pbl9;:b`5?xh5:ml;6=4>{oc40?ee?2we>?ji1;295~hf?=0hn55rn30gb7<728qem::5cc;8yk45lo91<7fdf3td9>ih;:182kg0<3iin6sa23fe1?6=9rdj;94lbb9~j74cn?0;65<6sgk<87mmf:m67ba13:1=v`n758`g5=zf;8ojl4?:0yme22=kj;0qc<=dg`94?7|fh=?6nm=;|l16a`d290:wco84;a`7>{i:;nmh7>51zlb314}ii>>1on;4}o01`c`=83;pbl9;:ba5?xh5:l:;6=4>{oc40?ed?2we>?k?1;295~hf?=0ho55rn30f47<728qem::5cb;8yk45m991<7?tn`57>fef3td9>h>;:182kg0<3ihn6sa23g31?6=9rdj;94lcb9~j74b8?0;65<6sgk<87mlf:m67c713:1=v`n758``5=zf;8n{i:;o;h7>51zlb314}ii>>1oi;4}o01a5`=83;pbl9;:bf5?xh5:l;;6=4>{oc40?ec?2we>?k>1;295~hf?=0hh55rn30f57<728qem::5ce;8yk45m891<7?tn`57>fbf3td9>h?;:182kg0<3ion6sa23g21?6=9rdj;94ldb9~j74b9?0;65<6sgk<87mkf:m67c613:1=v`n758`a5=zf;8n=l4?:0yme22=kl;0qc<=e0`94?7|fh=?6nk=;|l16`7d290:wco84;af7>{i:;o:h7>51zlb314}ii>>1oh;4}o01a4`=83;pbl9;:bg5?xh5:l8;6=4>{oc40?eb?2we>?k=1;295~hf?=0hi55rn30f67<728qem::5cd;8yk45m;91<7?tn`57>fcf3td9>h<;:182kg0<3inn6sa23g11?6=9rdj;94leb9~j74b:?0;65<6sgk<87mjf:m67c513:1=v`n758`b5=zf;8n>l4?:0yme22=ko;0qc<=e3`94?7|fh=?6nh=;|l16`4d290:wco84;ae7>{i:;o9h7>51zlb314}ii>>1ok;4}o01a7`=83;pbl9;:bd5?xh5:l9;6=4>{oc40?ea?2we>?k<1;295~hf?=0hj55rn30f77<728qem::5cg;8yk45m:91<7?tn`57>f`f3td9>h=;:182kg0<3imn6sa23g01?6=9rdj;94lfb9~j74b;?0;6950;3xjd132jln7p`=2d1;>5<6sgk<87mif:m67c413:1=v`n758g45=zf;8n?l4?:0yme22=l9;0qc<=e2`94?7|fh=?6i>=;|l16`5d290:wco84;f37>{i:;o8h7>51zlb314}ii>>1h=;4}o01a6`=83;pbl9;:e25?xh5:l>;6=4>{oc40?b7?2we>?k;1;295~hf?=0o<55rn30f07<728qem::5d1;8yk45m=91<7?tn`57>a6f3td9>h:;:182kg0<3n;n6sa23g71?6=9rdj;94k0b9~j74b5<6sgk<87j?f:m67c313:1=v`n758g55=zf;8n8l4?:0yme22=l8;0qc<=e5`94?7|fh=?6i?=;|l16`2d290:wco84;f27>{i:;o?h7>51zlb314}ii>>1h<;4}o01a1`=83;pbl9;:e35?xh5:l?;6=4>{oc40?b6?2we>?k:1;295~hf?=0o=55rn30f17<728qem::5d0;8yk45m<91<7?tn`57>a7f3td9>h;;:182kg0<3n:n6sa23g61?6=9rdj;94k1b9~j74b=?0;65<6sgk<87j>f:m67c213:1=v`n758g65=zf;8n9l4?:0yme22=l;;0qc<=e4`94?7|fh=?6i<=;|l16`3d290:wco84;f17>{i:;o>h7>51zlb314}ii>>1h?;4}o01a0`=83;pbl9;:e05?xh5:l<;6=4>{oc40?b5?2we>?k91;295~hf?=0o>55rn30f27<728qem::5d3;8yk45m?91<7?tn`57>a4f3td9>h8;:182kg0<3n9n6sa23g51?6=9rdj;94k2b9~j74b>?0;65<6sgk<87j=f:m67c113:1=v`n758g75=zf;8n:l4?:0yme22=l:;0qc<=e7`94?7|fh=?6i==;|l16`0d290:wco84;f07>{i:;o=h7>51zlb314}ii>>1h>;4}o01a3`=83;pbl9;:e15?xh5:l=;6=4>{oc40?b4?2we>?k81;295~hf?=0o?55rn30f37<728qem::5d2;8yk45m>91<7?tn`57>a5f3td9>h9;:182kg0<3n8n6sa23g41?6=9rdj;94k3b9~j74b??0;65<6sgk<87j{i:;o51zlb314}ii>>1h9;4}o01a2`=83;pbl9;:e65?xh5:l2;6=4>{oc40?b3?2we>?k71;295~hf?=0o855rn30f<7<728qem::5d5;8yk45m191<7?tn`57>a2f3td9>h6;:182kg0<3n?n6sa23g;1?6=9rdj;94k4b9~j74b0?0;6n7p`=2d:;>5<6sgk<87j;f:m67c?13:1=v`n758g15=zf;8n4l4?:0yme22=l<;0qc<=e9`94?7|fh=?6i;=;|l16`>d290:wco84;f67>{i:;o3h7>51zlb314}ii>>1h8;4}o01a=`=83;pbl9;:e75?xh5:l3;6=4>{oc40?b2?2we>?k61;295~hf?=0o955rn30f=7<728qem::5d4;8yk45m091<7?tn`57>a3f3td9>h7;:182kg0<3n>n6sa23g:1?6=9rdj;94k5b9~j74b1?0;65<6sgk<87j:f:m67c>13:1=v`n758g25=zf;8n5l4?:0yme22=l?;0qc<=e8`94?7|fh=?6i8=;|l16`?d290:wco84;f57>{i:;o2h7>51zlb31=1vb?4}ii>>1h;;4}o01a<`=83;pbl9;:e45?xh5:lk;6=4>{oc40?b1?2we>?kn1;295~hf?=0o:55rn30fe7<728qem::5d7;8yk45mh91<7?tn`57>a0f3td9>ho;:182kg0<3n=n6sa23gb1?6=9rdj;94k6b9~j74bi?0;65<6sgk<87j9f:m67cf13:1=v`n758g35=zf;8nml4?:0yme22=l>;0qc<=e``94?7|fh=?6i9=;|l16`gd290:wco84;f47>{i:;ojh7>51zlb314}ii>>1h:;4}o01ad`=83;pbl9;:e55?xh5:lh;6=4>{oc40?b0?2we>?km1;295~hf?=0o;55rn30ff7<728qem::5d6;8yk45mk91<7?tn`57>a1f3td9>hl;:182kg0<3n5<6sgk<87j8f:m67ce13:1=v`n758g<5=zf;8nnl4?:0yme22=l1;0qc<=ec`94?7|fh=?6i6=;|l16`dd290:wco84;f;7>{i:;oih7>51zlb314}ii>>1h5;4}o01ag`=83;pbl9;:e:5?xh5:li;6=4>{oc40?b??2we>?kl1;295~hf?=0o455rn30fg7<728qem::5d9;8yk45mj91<7?tn`57>a>f3td9>hm;:182kg0<3n3n6sa23g`1?6=9rdj;94k8b9~j74bk?0;65<6sgk<87j7f:m67cd13:1=v`n758g=5=zf;8nol4?:0yme22=l0;0qc<=eb`94?7|fh=?6i7=;|l16`ed290:wco84;f:7>{i:;ohh7>51zlb314}ii>>1h4;4}o01af`=83;pbl9;:e;5?xh5:ln;6=4>{oc40?b>?2we>?kk1;295~hf?=0o555rn30f`7<728qem::5d8;8yk45mm91<7?tn`57>a?f3td9>hj;:182kg0<3n2n6sa23gg1?6=9rdj;94k9b9~j74bl?0;65<6sgk<87j6f:m67cc13:1=v`n758ge5=zf;8nhl4?:0yme22=lh;0qc<=ee`94?7|fh=?6io=;|l16`bd290:wco84;fb7>{i:;ooh7>51zlb314}ii>>1hl;4}o01aa`=83;pbl9;:ec5?xh5:lo;6=4>{oc40?bf?2we>?kj1;295~hf?=0om55rn30fa7<728qem::5d`;8yk45ml91<7?tn`57>agf3td9>hk;:182kg0<3njn6sa23gf1?6=9rdj;94kab9~j74bm?0;65<6sgk<87jnf:m67cb13:1=v`n758gf5=zf;8nil4?:0yme22=lk;0qc<=ed`94?7|fh=?6il=;|l16`cd290:wco84;fa7>{i:;onh7>51zlb314}ii>>1ho;4}o01a``=83;pbl9;:e`5?xh5:ll;6=4>{oc40?be?2we>?ki1;295~hf?=0on55rn30fb7<728qem::5dc;8yk45mo91<7?tn`57>adf3td9>hh;:182kg0<3nin6sa23ge1?6=9rdj;94kbb9~j74bn?0;65<6sgk<87jmf:m67ca13:1=v`n758gg5=zf;8njl4?:0yme22=lj;0qc<=eg`94?7|fh=?6im=;|l16``d290:wco84;f`7>{i:;omh7>51zlb314}ii>>1hn;4}o01ac`=83;pbl9;:ea5?xh5:o:;6=4>{oc40?bd?2we>?h?1;295~hf?=0oo55rn30e47<728qem::5db;8yk45n991<7?tn`57>aef3td9>k>;:182kg0<3nhn6sa23d31?6=9rdj;94kcb9~j74a8?0;65<6sgk<87jlf:m67`713:1=v`n758g`5=zf;8m{i:;l;h7>51zlb314}ii>>1hi;4}o01b5`=83;pbl9;:ef5?xh5:o;;6=4>{oc40?bc?2we>?h>1;295~hf?=0oh55rn30e57<728qem::5de;8yk45n891<7?tn`57>abf3td9>k?;:182kg0<3non6sa23d21?6=9rdj;94kdb9~j74a9?0;65<6sgk<87jkf:m67`613:1=v`n758ga5=zf;8m=l4?:0yme22=ll;0qc<=f0`94?7|fh=?6ik=;|l16c7d290:wco84;ff7>{i:;l:h7>51zlb314}ii>>1hh;4}o01b4`=83;pbl9;:eg5?xh5:o8;6=4>{oc40?bb?2we>?h=1;295~hf?=0oi55rn30e67<728qem::5dd;8yk45n;91<7?tn`57>acf3td9>k<;:182kg0<3nnn6sa23d11?6=9rdj;94keb9~j74a:?0;65<6sgk<87jjf:m67`513:1=v`n758gb5=zf;8m>l4?:0yme22=lo;0qc<=f3`94?7|fh=?6ih=;|l16c4d290:wco84;fe7>{i:;l9h7>51zlb314}ii>>1hk;4}o01b7`=83;pbl9;:ed5?xh5:o9;6=4>{oc40?ba?2we>?h<1;295~hf?=0oj55rn30e77<728qem::5dg;8yk45n:91<7?tn`57>a`f3td9>k=;:182kg0<3nmn6sa23d01?6=9rdj;94kfb9~j74a;?0;6950;3xjd132mln7p`=2g1;>5<6sgk<87jif:m67`413:1=v`n758f45=zf;8m?l4?:0yme22=m9;0qc<=f2`94?7|fh=?6h>=;|l16c5d290:wco84;g37>{i:;l8h7>51zlb314}ii>>1i=;4}o01b6`=83;pbl9;:d25?xh5:o>;6=4>{oc40?c7?2we>?h;1;295~hf?=0n<55rn30e07<728qem::5e1;8yk45n=91<7?tn`57>`6f3td9>k:;:182kg0<3o;n6sa23d71?6=9rdj;94j0b9~j74a5<6sgk<87k?f:m67`313:1=v`n758f55=zf;8m8l4?:0yme22=m8;0qc<=f5`94?7|fh=?6h?=;|l16c2d290:wco84;g27>{i:;l?h7>51zlb314}ii>>1i<;4}o01b1`=83;pbl9;:d35?xh5:o?;6=4>{oc40?c6?2we>?h:1;295~hf?=0n=55rn30e17<728qem::5e0;8yk45n<91<7?tn`57>`7f3td9>k;;:182kg0<3o:n6sa23d61?6=9rdj;94j1b9~j74a=?0;65<6sgk<87k>f:m67`213:1=v`n758f65=zf;8m9l4?:0yme22=m;;0qc<=f4`94?7|fh=?6h<=;|l16c3d290:wco84;g17>{i:;l>h7>51zlb314}ii>>1i?;4}o01b0`=83;pbl9;:d05?xh5:o<;6=4>{oc40?c5?2we>?h91;295~hf?=0n>55rn30e27<728qem::5e3;8yk45n?91<7?tn`57>`4f3td9>k8;:182kg0<3o9n6sa23d51?6=9rdj;94j2b9~j74a>?0;65<6sgk<87k=f:m67`113:1=v`n758f75=zf;8m:l4?:0yme22=m:;0qc<=f7`94?7|fh=?6h==;|l16c0d290:wco84;g07>{i:;l=h7>51zlb314}ii>>1i>;4}o01b3`=83;pbl9;:d15?xh5:o=;6=4>{oc40?c4?2we>?h81;295~hf?=0n?55rn30e37<728qem::5e2;8yk45n>91<7?tn`57>`5f3td9>k9;:182kg0<3o8n6sa23d41?6=9rdj;94j3b9~j74a??0;65<6sgk<87k{i:;l51zlb314}ii>>1i9;4}o01b2`=83;pbl9;:d65?xh5:o2;6=4>{oc40?c3?2we>?h71;295~hf?=0n855rn30e<7<728qem::5e5;8yk45n191<7?tn`57>`2f3td9>k6;:182kg0<3o?n6sa23d;1?6=9rdj;94j4b9~j74a0?0;6n7p`=2g:;>5<6sgk<87k;f:m67`?13:1=v`n758f15=zf;8m4l4?:0yme22=m<;0qc<=f9`94?7|fh=?6h;=;|l16c>d290:wco84;g67>{i:;l3h7>51zlb314}ii>>1i8;4}o01b=`=83;pbl9;:d75?xh5:o3;6=4>{oc40?c2?2we>?h61;295~hf?=0n955rn30e=7<728qem::5e4;8yk45n091<7?tn`57>`3f3td9>k7;:182kg0<3o>n6sa23d:1?6=;rdj;94j5b9~j74a1?0;6?uaa669a0b5<5sgk<87k:f:m67`>13:1>v`n758f25=zf;8m5l4?:0yme22=m?;0qc<=f8`94?7|fh=?6h8=;|l16c?d2909wco84;g57>{i:;l2h7>52zlb31=1vb?4}ii>>1i;;4}o01b<`=838pbl9;:d45?xh5:ok;6=4={oc40?c1?2we>?hn1;295~hf?=0n:55rn30ee7<728qem::5e7;8yk45nh91<7?tn`57>`0f3td9>ko;:180kg0<3o=n6sa23db1?6=:rdj;94j6b9~j74ai?0;65<4sgk<87k9f:m67`f13:1=v`n758f35=zf;8mml4?:0yme22=m>;0qc<=f``94?5|fh=?6h9=;|l16cgd2909wco84;g47>{i:;ljh7>51zlb317}ii>>1i:;4}o01bd`=838pbl9;:d55?xh5:oh;6=4>{oc40?c0?2we>?hm1;295~hf?=0n;55rn30ef7<72;qem::5e6;8yk45nk91<7`1f3td9>kl;:182kg0<3o5<6sgk<87k8f:m67`e13:1=v`n758f<5=zf;8mnl4?:2yme22=m1;0qc<=fc`94?4|fh=?6h6=;|l16cdd290:wco84;g;7>{i:;lih7>53zlb316}ii>>1i5;4}o01bg`=83;pbl9;:d:5?xh5:oi;6=4>{oc40?c??2we>?hl1;297~hf?=0n455rn30eg7<728qem::5e9;8yk45nj91<7?tn`57>`>f3td9>km;:181kg0<3o3n6sa23d`1?6=:rdj;94j8b9~j74ak?0;65<5sgk<87k7f:m67`d13:1>v`n758f=5=zf;8mol4?:0yme22=m0;0qc<=fb`94?4|fh=?6h7=;|l16ced2909wco84;g:7>{i:;lhh7>51zlb314}ii>>1i4;4}o01bf`=83;pbl9;:d;5?xh5:on;6=4<{oc40?c>?2we>?hk1;296~hf?=0n555rn30e`7<728qem::5e8;8yk45nm91<7=tn`57>`?f3td9>kj;:180kg0<3o2n6sa23dg1?6=9rdj;94j9b9~j74al?0;65<5sgk<87k6f:m67`c13:1=v`n758fe5=zf;8mhl4?:3yme22=mh;0qc<=fe`94?4|fh=?6ho=;|l16cbd290:wco84;gb7>{i:;loh7>51zlb317}ii>>1il;4}o01ba`=838pbl9;:dc5?xh5:oo;6=4>{oc40?cf?2we>?hj1;296~hf?=0nm55rn30ea7<72;qem::5e`;8yk45nl91<7?tn`57>`gf3td9>kk;:182kg0<3ojn6sa23df1?6=9rdj;94jab9~j74am?0;6>uaa669adb5<6sgk<87knf:m67`b13:1>v`n758ff5=zf;8mil4?:2yme22=mk;0qc<=fd`94?7|fh=?6hl=;|l16ccd290:wco84;ga7>{i:;lnh7>51zlb314}ii>>1io;4}o01b``=83;pbl9;:d`5?xh5:ol;6=4>{oc40?ce?2we>?hi1;295~hf?=0nn55rn30eb7<728qem::5ec;8yk45no91<7?tn`57>`df3td9>kh;:182kg0<3oin6sa23de1?6=9rdj;94jbb9~j74an?0;65<6sgk<87kmf:m67`a13:1=v`n758fg5=zf;8mjl4?:0yme22=mj;0qc<=fg`94?7|fh=?6hm=;|l16c`d290:wco84;g`7>{i:;lmh7>51zlb314}ii>>1in;4}o01bc`=83;pbl9;:da5?xh5;9:;6=4>{oc40?cd?2we>>>?1;295~hf?=0no55rn31347<728qem::5eb;8yk448991<7?tn`57>`ef3td9?=>;:182kg0<3ohn6sa22231?6=9rdj;94jcb9~j7578?0;65<6sgk<87klf:m666713:1=v`n758f`5=zf;9;{i:::;h7>51zlb314}ii>>1ii;4}o0045`=83;pbl9;:df5?xh5;9;;6=4>{oc40?cc?2we>>>>1;295~hf?=0nh55rn31357<728qem::5ee;8yk448891<7?tn`57>`bf3td9?=?;:182kg0<3oon6sa22221?6=9rdj;94jdb9~j7579?0;65<6sgk<87kkf:m666613:1=v`n758fa5=zf;9;=l4?:0yme22=ml;0qc<<00`94?7|fh=?6hk=;|l1757d290:wco84;gf7>{i::::h7>51zlb314}ii>>1ih;4}o0044`=83;pbl9;:dg5?xh5;98;6=4>{oc40?cb?2we>>>=1;295~hf?=0ni55rn31367<728qem::5ed;8yk448;91<7?tn`57>`cf3td9?=<;:182kg0<3onn6sa22211?6=9rdj;94jeb9~j757:?0;65<6sgk<87kjf:m666513:1=v`n758fb5=zf;9;>l4?:0yme22=mo;0qc<<03`94?7|fh=?6hh=;|l1754d290:wco84;ge7>{i:::9h7>51zlb314}ii>>1ik;4}o0047`=83;pbl9;:dd5?xh5;99;6=4>{oc40?ca?2we>>><1;295~hf?=0nj55rn31377<728qem::5eg;8yk448:91<7?tn`57>``f3td9?==;:182kg0<3omn6sa22201?6=9rdj;94jfb9~j757;?0;6950;3xjd132lln7p`=311;>5<6sgk<87kif:m666413:1=v`n758e45=zf;9;?l4?:0yme22=n9;0qc<<02`94?7|fh=?6k>=;|l1755d290:wco84;d37>{i:::8h7>51zlb314}ii>>1j=;4}o0046`=83;pbl9;:g25?xh5;9>;6=4>{oc40?`7?2we>>>;1;295~hf?=0m<55rn31307<728qem::5f1;8yk448=91<7?tn`57>c6f3td9?=:;:182kg0<3l;n6sa22271?6=9rdj;94i0b9~j7575<6sgk<87h?f:m666313:1=v`n758e55=zf;9;8l4?:0yme22=n8;0qc<<05`94?7|fh=?6k?=;|l1752d290:wco84;d27>{i:::?h7>51zlb314}ii>>1j<;4}o0041`=83;pbl9;:g35?xh5;9?;6=4>{oc40?`6?2we>>>:1;295~hf?=0m=55rn31317<728qem::5f0;8yk448<91<7?tn`57>c7f3td9?=;;:182kg0<3l:n6sa22261?6=9rdj;94i1b9~j757=?0;65<6sgk<87h>f:m666213:1=v`n758e65=zf;9;9l4?:0yme22=n;;0qc<<04`94?7|fh=?6k<=;|l1753d290:wco84;d17>{i:::>h7>51zlb314}ii>>1j?;4}o0040`=83;pbl9;:g05?xh5;9<;6=4>{oc40?`5?2we>>>91;295~hf?=0m>55rn31327<728qem::5f3;8yk448?91<7?tn`57>c4f3td9?=8;:182kg0<3l9n6sa22251?6=9rdj;94i2b9~j757>?0;65<6sgk<87h=f:m666113:1=v`n758e75=zf;9;:l4?:0yme22=n:;0qc<<07`94?7|fh=?6k==;|l1750d290:wco84;d07>{i:::=h7>51zlb314}ii>>1j>;4}o0043`=83;pbl9;:g15?xh5;9=;6=4>{oc40?`4?2we>>>81;295~hf?=0m?55rn31337<728qem::5f2;8yk448>91<7?tn`57>c5f3td9?=9;:182kg0<3l8n6sa22241?6=9rdj;94i3b9~j757??0;65<6sgk<87h{i:::51zlb314}ii>>1j9;4}o0042`=83;pbl9;:g65?xh5;92;6=4>{oc40?`3?2we>>>71;295~hf?=0m855rn313<7<728qem::5f5;8yk448191<7?tn`57>c2f3td9?=6;:182kg0<3l?n6sa222;1?6=9rdj;94i4b9~j7570?0;6n7p`=31:;>5<6sgk<87h;f:m666?13:1=v`n758e15=zf;9;4l4?:0yme22=n<;0qc<<09`94?5|fh=?6k;=;|l175>d290:wco84;d67>{i:::3h7>51zlb314}ii>>1j8;4}o004=`=83;pbl9;:g75?xh5;93;6=4={oc40?`2?2we>>>61;296~hf?=0m955rn313=7<72c3f3td9?=7;:187kg0<3l>n6sa222:1?6=9rdj;94i5b9~j7571?0;6nuaa669b0b5<6sgk<87h:f:m666>13:1>v`n758e25=zf;9;5l4?:0yme22=n?;0qc<<08`94?4|fh=?6k8=;|l175?d290:wco84;d57>{i:::2h7>52zlb31=1vb?=?9d83>4}ii>>1j;;4}o004<`=838pbl9;:g45?xh5;9k;6=4>{oc40?`1?2we>>>n1;296~hf?=0m:55rn313e7<728qem::5f7;8yk448h91<7c0f3td9?=o;:182kg0<3l=n6sa222b1?6=:rdj;94i6b9~j757i?0;65<6sgk<87h9f:m666f13:1>v`n758e35=zf;9;ml4?:0yme22=n>;0qc<<0``94?4|fh=?6k9=;|l175gd290:wco84;d47>{i:::jh7>52zlb314}ii>>1j:;4}o004d`=838pbl9;:g55?xh5;9h;6=4>{oc40?`0?2we>>>m1;296~hf?=0m;55rn313f7<728qem::5f6;8yk448k91<7c1f3td9?=l;:182kg0<3l5<6sgk<87h8f:m666e13:1>v`n758e<5=zf;9;nl4?:0yme22=n1;0qc<<0c`94?4|fh=?6k6=;|l175dd290:wco84;d;7>{i:::ih7>52zlb314}ii>>1j5;4}o004g`=838pbl9;:g:5?xh5;9i;6=4>{oc40?`??2we>>>l1;296~hf?=0m455rn313g7<728qem::5f9;8yk448j91<7c>f3td9?=m;:182kg0<3l3n6sa222`1?6=:rdj;94i8b9~j757k?0;65<6sgk<87h7f:m666d13:1>v`n758e=5=zf;9;ol4?:0yme22=n0;0qc<<0b`94?4|fh=?6k7=;|l175ed290:wco84;d:7>{i:::hh7>52zlb314}ii>>1j4;4}o004f`=838pbl9;:g;5?xh5;9n;6=4>{oc40?`>?2we>>>k1;296~hf?=0m555rn313`7<728qem::5f8;8yk448m91<7c?f3td9?=j;:182kg0<3l2n6sa222g1?6=:rdj;94i9b9~j757l?0;65<6sgk<87h6f:m666c13:1=v`n758ee5=zf;9;hl4?:0yme22=nh;0qc<<0e`94?0|fh=?6ko=;|l175bd290:wco84;db7>{i:::oh7>58zlb314}ii>>1jl;4}o004a`=83kpbl9;:gc5?xh5;9o;6=4={oc40?`f?2we>>>j1;29f~hf?=0mm55rn313a7<72?qem::5f`;8yk448l91<7?tn`57>cgf3td9?=k;:182kg0<3ljn6sa222f1?6=9rdj;94iab9~j757m?0;65<6sgk<87hnf:m666b13:1=v`n758ef5=zf;9;il4?:0yme22=nk;0qc<<0d`94?7|fh=?6kl=;|l175cd2909wco84;da7>{i:::nh7>51zlb314}ii>>1jo;4}o004``=83;pbl9;:g`5?xh5;9l;6=4>{oc40?`e?2we>>>i1;295~hf?=0mn55rn313b7<728qem::5fc;8yk448o91<7?tn`57>cdf3td9?=h;:182kg0<3lin6sa222e1?6=9rdj;94ibb9~j757n?0;65<6sgk<87hmf:m666a13:1=v`n758eg5=zf;9;jl4?:0yme22=nj;0qc<<0g`94?7|fh=?6km=;|l175`d290:wco84;d`7>{i:::mh7>51zlb314}ii>>1jn;4}o004c`=83;pbl9;:ga5?xh5;8:;6=4>{oc40?`d?2we>>??1;295~hf?=0mo55rn31247<728qem::5fb;8yk449991<7?tn`57>cef3td9?<>;:182kg0<3lhn6sa22331?6=9rdj;94icb9~j7568?0;65<5sgk<87hlf:m667713:1>v`n758e`5=zf;9:{i::;;h7>52zlb310d83>7}ii>>1ji;4}o0055`=838pbl9;:gf5?xh5;8;;6=4={oc40?`c?2we>>?>1;296~hf?=0mh55rn31257<72;qem::5fe;8yk449891<7cbf3td9?5<4sgk<87hkf:m667613:1=v`n758ea5=zf;9:=l4?:0yme22=nl;0qc<<10`94?4|fh=?6kk=;|l1747d2909wco84;df7>{i::;:h7>52zlb311d83>7}ii>>1jh;4}o0054`=838pbl9;:gg5?xh5;88;6=4={oc40?`b?2we>>?=1;296~hf?=0mi55rn31267<72;qem::5fd;8yk449;91<7ccf3td9?<<;:181kg0<3lnn6sa22311?6=:rdj;94ieb9~j756:?0;6>uaa669b`b5<5sgk<87hjf:m667513:1>v`n758eb5=zf;9:>l4?:0yme22=no;0qc<<13`94?4|fh=?6kh=;|l1744d290:wco84;de7>{i::;9h7>52zlb312d83>7}ii>>1jk;4}o0057`=83;pbl9;:gd5?xh5;89;6=4>{oc40?`a?2we>>?<1;29<~hf?=0mj55rn31277<72;qem::5fg;8yk449:91<79tn`57>c`f3td9?<=;:181kg0<3lmn6sa22301?6=:rdj;94ifb9~j756;?0;6?uaa669bcb950;3xjd132oln7p`=301;>5<6sgk<87hif:m667413:1>v`n7582456o50;3xjd1328:;=6sa2230f?6=:rdj;94>0108yk449:i1<7467;2we>>?{i::;8j7>52zlb31<689<0qc<<15294?4|fh=?6<>?7:m667393:1>v`n758245>01c8yk449=>1<7467j2we>>?;5;296~hf?=0:<=m4}o00510=839pbl9;:023`>{i::;?;7>51zlb31<689o0qc<<15:94?4|fh=?6<>?f:m667313:1?v`n75824460008yk449=i1<7466;2we>>?;d;296~hf?=0:<<:4}o0051c=838pbl9;:0221>{i::;?j7>52zlb31<688<0qc<<14294?4|fh=?6<>>7:m667293:1>v`n758244>00c8yk449<>1<7466j2we>>?:5;296~hf?=0:<{i::;>;7>52zlb31<688o0qc<<14:94?4|fh=?6<>>f:m667213:1=v`n75824760308yk449465;2we>>?:d;295~hf?=0:{i::;>j7>51zlb31<68;<0qc<<17294?7|fh=?6<>=7:m667193:1=v`n758247>03c8yk449?>1<7?tn`57>465j2we>>?95;295~hf?=0:{i::;=;7>51zlb31<68;o0qc<<17:94?7|fh=?6<>=f:m667113:1=v`n75824660208yk449?i1<7?tn`57>464;2we>>?9d;295~hf?=0:<>:4}o0053c=83;pbl9;:0201>{i::;=j7>51zlb31<68:<0qc<<16294?7|fh=?6<><7:m667093:1=v`n758246>02c8yk449>>1<7?tn`57>464j2we>>?85;295~hf?=0:<>m4}o00520=83;pbl9;:020`>{i::;<;7>51zlb31<68:o0qc<<16:94?7|fh=?6<>0508yk449>i1<7?tn`57>463;2we>>?8d;295~hf?=0:<9:4}o0052c=83;pbl9;:0271>{i::;51zlb31<68=<0qc<<19294?7|fh=?6<>;7:m667?93:1=v`n758241>05c8yk4491>1<7?tn`57>463j2we>>?75;295~hf?=0:<9m4}o005=0=83;pbl9;:027`>{i::;3;7>526yme22=99>n7p`=30:;>5<5sgk<87??4g9~j756000;68e83>4}ii>>1==;;;|l174>b290?wco84;3310=zf;9:4k4?:0yme22=99?=7p`=30;3>5<6sgk<87??569~j756180;69483>4}ii>>1==;l;|l174?12908wco84;331a=zf;9:5:4?:0yme22=99?n7p`=30;;>5<6sgk<87??5g9~j756100;6?uaa66955073td9?<7n:181kg0<3;;:<5rn312=g<72>qem::51141?xh5;83h6=4>{oc40?77>:1vb?=>9e83>4}ii>>1==8;;|l174?b290>wco84;3320=zf;9:5k4?:2yme22=99<=7p`=30c3>5<6sgk<87??669~j756i80;6>uaa669550?3td9?k1vb?=>a483>4}ii>>1==8l;|l174g1290:wco84;332a=zf;9:m:4?:0yme22=995<4sgk<87??6g9~j756i00;6ae83>4}ii>>1==9;;|l174gb290:wco84;3330=zf;9:mk4?:3yme22=99==7p`=30`3>5<3sgk<87??769~j756j80;6b483>4}ii>>1==9l;|l174d1290:wco84;333a=zf;9:n:4?:2yme22=99=n7p`=30`;>5<6sgk<87??7g9~j756j00;673td9?{oc40?770:1vb?=>be83>7}ii>>1==6;;|l174db290:wco84;33<0=zf;9:nk4?:5yme22=992=7p`=30a3>5<6sgk<87??869~j756k80;6?3td9?{oc40?770k1vb?=>c483>4}ii>>1==6l;|l174e1290:wco84;335<5sgk<87??8g9~j756k00;6?uaa66955?73td9?{oc40?771:1vb?=>ce83>7}ii>>1==7;;|l174eb290:wco84;33=0=zf;9:ok4?:3yme22=993=7p`=30f3>5<6sgk<87??969~j756l80;6?uaa66955??3td9?{oc40?771k1vb?=>d483>7}ii>>1==7l;|l174b1290:wco84;33=a=zf;9:h:4?:3yme22=993n7p`=30f;>5<6sgk<87??9g9~j756l00;6?uaa66955g73td9?{oc40?77i:1vb?=>de83>7}ii>>1==o;;|l174bb290:wco84;33e0=zf;9:hk4?:3yme22=99k=7p`=30g3>5<6sgk<87??a69~j756m80;6?uaa66955g?3td9?{oc40?77ik1vb?=>e483>7}ii>>1==ol;|l174c1290:wco84;33ea=zf;9:i:4?:3yme22=99kn7p`=30g;>5<6sgk<87??ag9~j756m00;6{oc40?77j:1vb?=>ee83>7}ii>>1==l;;|l174cb2909wco84;33f0=zf;9:ik4?:3yme22=99h=7p`=30d3>5<6sgk<87??b69~j756n80;6>uaa66955d?3td9?f483>7}ii>>1==ll;|l174`12909wW7n1;3x<2md:m667a?3:1>v`n75824gc0b28yk449ok1<7?tn`57>46d92we>>?ib;296~hf?=0:{i::;mh7>51zlb31<68j>0qc<<1gg94?7|fh=?6<>l5:m667an3:1=v`n75824f0=>50;3xjd1328:h;6sa22035?6=9rdj;94>0b:8yk44:981<7?tn`57>46d12we>>{i::8;97>52zlb31<68ji0qc<<21494?4|fh=?6<>ld:m6647?3:18v`n75824fc=650;4xjd1328:hj6sa2203=?6=9rdj;94>0e28yk44:9k1<746c92we>>{i::8;h7>526yme22=99n?7p`=332f>5<4sgk<87??d49~j7558o0;6?uaa66955b13td9????:180kg0<3;;h:5rn31154<728qem::511f;?xh5;;;96=4>{oc40?77l01vb?==1283>4}ii>>1==jn;|l17773290:wco84;33`g=zf;99=84?:0yme22=99nh7p`=3335>5<6sgk<87??de9~j7559>0;6{oc40?77m81vb?==1c83>4}ii>>1==k=;|l1777d290:wco84;33a6=zf;99=i4?:0yme22=99o?7p`=333f>5<6sgk<87??e49~j7559o0;6{oc40?77m01vb?==2283>4}ii>>1==kn;|l17743290:wco84;33ag=zf;99>84?:0yme22=99oh7p`=3305>5<6sgk<87??ee9~j755:>0;6{oc40?77n81vb?==2c83>4}ii>>1==h=;|l1774d290:wco84;33b6=zf;99>i4?:0yme22=99l?7p`=330f>5<6sgk<87??f49~j755:o0;6{oc40?77n01vb?==3283>4}ii>>1==hn;|l17753290:wco84;33bg=zf;99?84?:0yme22=99lh7p`=3315>5<6sgk<87??fe9~j755;>0;6{oc40?76881vb?==3c83>4}ii>>1=<>=;|l1775d290:wco84;3246=zf;99?i4?:3yme22=98:?7p`=331f>5<5sgk<87?>049~j755;o0;68uaa66954613td9??:?:182kg0<3;:<:5rn31104<728qem::5102;?xh5;;>96=4>{oc40?76801vb?==4283>4}ii>>1=<>n;|l17723290:wco84;324g=zf;99884?:0yme22=98:h7p`=3365>5<6sgk<87?>0e9~j755<>0;6j6=4>{oc40?76981vb?==4c83>4}ii>>1=5<6sgk<87?>149~j755{oc40?76901vb?==5283>4}ii>>1=5<6sgk<87?>1e9~j755=>0;6{oc40?76:81vb?==5c83>4}ii>>1=<<=;|l1773d290:wco84;3266=zf;999i4?:0yme22=988?7p`=337f>5<6sgk<87?>249~j755=o0;6:5rn31124<728qem::5100;?xh5;;<96=4>{oc40?76:01vb?==6283>4}ii>>1=<5<6sgk<87?>2e9~j755>>0;6k5rn3112<<728qem::51013?xh5;;{oc40?76;81vb?==6c83>4}ii>>1=<==;|l1770d290:wco84;3276=zf;99:i4?:0yme22=989?7p`=334f>5<6sgk<87?>349~j755>o0;6{oc40?76;01vb?==7283>4}ii>>1=<=n;|l17713290:wco84;327g=zf;99;84?:0yme22=989h7p`=3355>5<6sgk<87?>3e9~j755?>0;6{oc40?76<81vb?==7c83>4}ii>>1=<:=;|l1771d290:wco84;3206=zf;99;i4?:0yme22=98>?7p`=335f>5<6sgk<87?>449~j755?o0;64}ii>>1=<:n;|l177>3290:wco84;320g=zf;99484?:0yme22=98>h7p`=33:5>5<6sgk<87?>4e9~j7550>0;6{oc40?76=81vb?==8c83>4}ii>>1=<;=;|l177>d290:wco84;3216=zf;994i4?:3yme22=98??7p`=33:f>5<5sgk<87?>549~j7550o0;6?uaa66954313td9??7?:181kg0<3;:9:5rn311=4<72;qem::5107;?xh5;;396=4={oc40?76=01vb?==9283>7}ii>>1=<;n;|l177?32909wco84;321g=zf;99584?:3yme22=98?h7p`=33;5>5<5sgk<87?>5e9~j7551>0;6?uaa669543b3td9??77:181kg0<3;:9k5rn311=<<72;qem::51043?xh5;;3j6=4={oc40?76>81vb?==9c83>7}ii>>1=<8=;|l177?d2909wco84;3226=zf;995i4?:3yme22=985<5sgk<87?>649~j7551o0;6?uaa66954013td9??o?:181kg0<3;:::5rn311e4<72;qem::5104;?xh5;;k96=4={oc40?76>01vb?==a283>4}ii>>1=<8n;|l177g3290:wco84;322g=zf;99m84?:0yme22=985<6sgk<87?>6e9~j755i>0;64}ii>>1=<9=;|l177gd2908wco84;3236=zf;99mi4?:0yme22=98=?7p`=33cf>5<3sgk<87?>749~j755io0;64}ii>>1=<9n;|l177d3290:wco84;323g=zf;99n84?:3yme22=98=h7p`=33`5>5<5sgk<87?>7e9~j755j>0;6?uaa669541b3td9??l7:182kg0<3;:;k5rn311f<<72;qem::510:3?xh5;;hj6=4>{oc40?76081vb?==bc83>6}ii>>1=<6=;|l177dd2909wco84;32<6=zf;99ni4?:3yme22=982?7p`=33`f>5<5sgk<87?>849~j755jo0;613td9??m?:181kg0<3;:4:5rn311g4<72;qem::510:;?xh5;;i96=4={oc40?76001vb?==c283>1}ii>>1=<6n;|l177e3290:wco84;325<6sgk<87?>8e9~j755k>0;6b3td9??m7:182kg0<3;:4k5rn311g<<728qem::510;3?xh5;;ij6=4>{oc40?76181vb?==cc83>4}ii>>1=<7=;|l177ed2909wco84;32=6=zf;99oi4?:2yme22=983?7p`=33af>5<5sgk<87?>949~j755ko0;6?uaa66954?13td9??j?:187kg0<3;:5:5rn311`4<72?qem::510;;?xh5;;n96=4>{oc40?76101vb?==d283>7}ii>>1=<7n;|l177b3290:wco84;32=g=zf;99h84?:3yme22=983h7p`=33f5>5<4sgk<87?>9e9~j755l>0;6?uaa66954?b3td9??j7:180kg0<3;:5k5rn311`<<728qem::510c3?xh5;;nj6=4>{oc40?76i81vb?==dc83>4}ii>>1=5<6sgk<87?>a49~j755lo0;6{oc40?76i01vb?==e283>4}ii>>1=5<6sgk<87?>ae9~j755m>0;6{oc40?76j81vb?==ec83>4}ii>>1=5<6sgk<87?>b49~j755mo0;6{oc40?76j01vb?==f283>4}ii>>1=5<6sgk<87?>be9~j755n>0;6{oc40?76k81vb?==fc83>4}ii>>1=5<6sgk<87?>c49~j755no0;6>?:182kg0<3;:o:5rn31044<728qem::510a;?xh5;::96=4>{oc40?76k01vb?=<0283>4}ii>>1=5<5sgk<87?>ce9~j7548>0;68uaa66954eb3td9?>>7:182kg0<3;:ok5rn3104<<728qem::510f3?xh5;::j6=4>{oc40?76l81vb?=<0c83>4}ii>>1=5<6sgk<87?>d49~j7548o0;6??:182kg0<3;:h:5rn31054<728qem::510f;?xh5;:;96=4>{oc40?76l01vb?=<1283>4}ii>>1=5<6sgk<87?>de9~j7549>0;6?7:182kg0<3;:hk5rn3105<<728qem::510g3?xh5;:;j6=4>{oc40?76m81vb?=<1c83>4}ii>>1=5<6sgk<87?>e49~j7549o0;6{oc40?76m01vb?=<2283>4}ii>>1=84?:0yme22=98oh7p`=3205>5<6sgk<87?>ee9~j754:>0;6<7:182kg0<3;:ik5rn3106<<728qem::510d3?xh5;:8j6=4>{oc40?76n81vb?=<2c83>4}ii>>1=i4?:0yme22=98l?7p`=320f>5<6sgk<87?>f49~j754:o0;6=?:182kg0<3;:j:5rn31074<728qem::510d;?xh5;:996=4>{oc40?76n01vb?=<3283>4}ii>>1=5<6sgk<87?>fe9~j754;>0;6=7:182kg0<3;:jk5rn3107<<728qem::51323?xh5;:9j6=4>{oc40?75881vb?=<3c83>4}ii>>1=?>=;|l1765d290:wco84;3146=zf;98?i4?:0yme22=9;:?7p`=321f>5<6sgk<87?=049~j754;o0;6:?:182kg0<3;9<:5rn31004<728qem::5132;?xh5;:>96=4>{oc40?75801vb?=<4283>4}ii>>1=?>n;|l17623290:wco84;314g=zf;98884?:0yme22=9;:h7p`=3265>5<6sgk<87?=0e9~j754<>0;6:7:182kg0<3;9j6=4={oc40?75981vb?=<4c83>4}ii>>1=??=;|l1762d290:wco84;3156=zf;988i4?:0yme22=9;;?7p`=326f>5<6sgk<87?=149~j754;?:182kg0<3;9=:5rn31014<728qem::5133;?xh5;:?96=4>{oc40?75901vb?=<5283>4}ii>>1=??n;|l17633290:wco84;315g=zf;98984?:3yme22=9;;h7p`=3275>5<5sgk<87?=1e9~j754=>0;6?uaa669577b3td9?>;7:181kg0<3;9=k5rn3101<<72;qem::51303?xh5;:?j6=4={oc40?75:81vb?=<5c83>7}ii>>1=?<=;|l1763d2909wco84;3166=zf;989i4?:3yme22=9;8?7p`=327f>5<5sgk<87?=249~j754=o0;6?uaa66957413td9?>8?:181kg0<3;9>:5rn31024<72;qem::5130;?xh5;:<96=4={oc40?75:01vb?=<6283>7}ii>>1=?5<5sgk<87?=2e9~j754>>0;6?uaa669574b3td9?>87:181kg0<3;9>k5rn3102<<72;qem::51313?xh5;:4}ii>>1=?==;|l1760d290:wco84;3176=zf;98:i4?:0yme22=9;9?7p`=324f>5<6sgk<87?=349~j754>o0;6>uaa66957513td9?>9?:182kg0<3;9?:5rn31034<72:qem::5131;?xh5;:=96=4>{oc40?75;01vb?=<7283>6}ii>>1=?=n;|l17613290:wco84;317g=zf;98;84?:5yme22=9;9h7p`=3255>5<6sgk<87?=3e9~j754?>0;6>uaa669575b3td9?>97:182kg0<3;9?k5rn3103<<72:qem::51363?xh5;:=j6=4>{oc40?75<81vb?=<7c83>4}ii>>1=?:=;|l1761d2909wco84;3106=zf;98;i4?:3yme22=9;>?7p`=325f>5<5sgk<87?=449~j754?o0;66?:181kg0<3;98:5rn310<4<728qem::5136;?xh5;:296=4<{oc40?75<01vb?=<8283>7}ii>>1=?:n;|l176>32909wco84;310g=zf;98484?:3yme22=9;>h7p`=32:5>5<6sgk<87?=4e9~j7540>0;6?uaa669572b3td9?>67:181kg0<3;98k5rn310<<<72;qem::51373?xh5;:2j6=4;{oc40?75=81vb?=<8c83>4}ii>>1=?;=;|l176>d2909wco84;3116=zf;984i4?:0yme22=9;??7p`=32:f>5<6sgk<87?=549~j7540o0;6?uaa66957313td9?>7?:181kg0<3;99:5rn310=4<728qem::5137;?xh5;:396=4={oc40?75=01vb?=<9283>4}ii>>1=?;n;|l176?32909wco84;311g=zf;98584?:0yme22=9;?h7p`=32;5>5<5sgk<87?=5e9~j7541>0;677:181kg0<3;99k5rn310=<<728qem::51343?xh5;:3j6=4={oc40?75>81vb?=<9c83>4}ii>>1=?8=;|l176?d2909wco84;3126=zf;985i4?:0yme22=9;5<5sgk<87?=649~j7541o0;6o?:181kg0<3;9::5rn310e4<728qem::5134;?xh5;:k96=4={oc40?75>01vb?=4}ii>>1=?8n;|l176g3290:wco84;312g=zf;98m84?:0yme22=9;5<6sgk<87?=6e9~j754i>0;6?uaa669570b3td9?>o7:182kg0<3;9:k5rn310e<<72;qem::51353?xh5;:kj6=4>{oc40?75?81vb?=7}ii>>1=?9=;|l176gd290:wco84;3136=zf;98mi4?:3yme22=9;=?7p`=32cf>5<6sgk<87?=749~j754io0;6?uaa66957113td9?>l?:182kg0<3;9;:5rn310f4<72;qem::5135;?xh5;:h96=4>{oc40?75?01vb?=7}ii>>1=?9n;|l176d3290:wco84;313g=zf;98n84?:3yme22=9;=h7p`=32`5>5<6sgk<87?=7e9~j754j>0;6?uaa669571b3td9?>l7:182kg0<3;9;k5rn310f<<72;qem::513:3?xh5;:hj6=4>{oc40?75081vb?=4}ii>>1=?6=;|l176dd290:wco84;31<6=zf;98ni4?:0yme22=9;2?7p`=32`f>5<6sgk<87?=849~j754jo0;613td9?>m?:182kg0<3;94:5rn310g4<728qem::513:;?xh5;:i96=4>{oc40?75001vb?=4}ii>>1=?6n;|l176e3290:wco84;315<6sgk<87?=8e9~j754k>0;6b3td9?>m7:182kg0<3;94k5rn310g<<728qem::513;3?xh5;:ij6=4>{oc40?75181vb?=4}ii>>1=?7=;|l176ed290:wco84;31=6=zf;98oi4?:0yme22=9;3?7p`=32af>5<6sgk<87?=949~j754ko0;6j?:182kg0<3;95:5rn310`4<728qem::513;;?xh5;:n96=4>{oc40?75101vb?=4}ii>>1=?7n;|l176b3290:wco84;31=g=zf;98h84?:0yme22=9;3h7p`=32f5>5<6sgk<87?=9e9~j754l>0;6j7:182kg0<3;95k5rn310`<<728qem::513c3?xh5;:nj6=4>{oc40?75i81vb?=7}ii>>1=?o=;|l176bd290:wco84;31e6=zf;98hi4?:3yme22=9;k?7p`=32ff>5<6sgk<87?=a49~j754lo0;6?uaa66957g13td9?>k?:182kg0<3;9m:5rn310a4<72;qem::513c;?xh5;:o96=4>{oc40?75i01vb?=7}ii>>1=?on;|l176c3290:wco84;31eg=zf;98i84?:0yme22=9;kh7p`=32g5>5<6sgk<87?=ae9~j754m>0;6k7:182kg0<3;9mk5rn310a<<728qem::513`3?xh5;:oj6=4>{oc40?75j81vb?=4}ii>>1=?l=;|l176cd290:wco84;31f6=zf;98ii4?:0yme22=9;h?7p`=32gf>5<6sgk<87?=b49~j754mo0;6h?:182kg0<3;9n:5rn310b4<728qem::513`;?xh5;:l96=4>{oc40?75j01vb?=4}ii>>1=?ln;|l176`3290:wco84;31fg=zf;98j84?:0yme22=9;hh7p`=32d5>5<6sgk<87?=be9~j754n>0;6h7:182kg0<3;9nk5rn310b<<728qem::513a3?xh5;:lj6=4>{oc40?75k81vb?=4}ii>>1=?m=;|l176`d290:wco84;31g6=zf;98ji4?:0yme22=9;i?7p`=32df>5<6sgk<87?=c49~j754no0;6?:182kg0<3;9o:5rn31744<728qem::513a;?xh5;=:96=4>{oc40?75k01vb?=;0283>4}ii>>1=?mn;|l17163290:wco84;31gg=zf;9?<84?:0yme22=9;ih7p`=3525>5<6sgk<87?=ce9~j7538>0;6?uaa66957eb3td9?9>7:181kg0<3;9ok5rn3174<<72=qem::513f3?xh5;=:j6=4>{oc40?75l81vb?=;0c83>7}ii>>1=?j=;|l1716d290:wco84;31`6=zf;9?5<6sgk<87?=d49~j7538o0;6?uaa66957b13td9?9??:182kg0<3;9h:5rn31754<72;qem::513f;?xh5;=;96=4>{oc40?75l01vb?=;1283>7}ii>>1=?jn;|l17173290:wco84;31`g=zf;9?=84?:3yme22=9;nh7p`=3535>5<6sgk<87?=de9~j7539>0;6?uaa66957bb3td9?9?7:182kg0<3;9hk5rn3175<<72;qem::513g3?xh5;=;j6=4>{oc40?75m81vb?=;1c83>7}ii>>1=?k=;|l1717d290:wco84;31a6=zf;9?=i4?:3yme22=9;o?7p`=353f>5<6sgk<87?=e49~j7539o0;6?uaa66957c13td9?9{oc40?75m01vb?=;2283>7}ii>>1=?kn;|l17143290:wco84;31ag=zf;9?>84?:3yme22=9;oh7p`=3505>5<6sgk<87?=ee9~j753:>0;6?uaa66957cb3td9?9<7:182kg0<3;9ik5rn3176<<72;qem::513d3?xh5;=8j6=4>{oc40?75n81vb?=;2c83>4}ii>>1=?h=;|l1714d290:wco84;31b6=zf;9?>i4?:0yme22=9;l?7p`=350f>5<6sgk<87?=f49~j753:o0;6{oc40?75n01vb?=;3283>4}ii>>1=?hn;|l17153290:wco84;31bg=zf;9??84?:0yme22=9;lh7p`=3515>5<6sgk<87?=fe9~j753;>0;6{oc40?74881vb?=;3c83>4}ii>>1=>>=;|l1715d290:wco84;3046=zf;9??i4?:0yme22=9::?7p`=351f>5<6sgk<87?<049~j753;o0;696=4>{oc40?74801vb?=;4283>4}ii>>1=>>n;|l17123290:wco84;304g=zf;9?884?:0yme22=9::h7p`=3565>5<6sgk<87?<0e9~j753<>0;6j6=4>{oc40?74981vb?=;4c83>4}ii>>1=>?=;|l1712d290:wco84;3056=zf;9?8i4?:0yme22=9:;?7p`=356f>5<6sgk<87?<149~j753{oc40?74901vb?=;5283>4}ii>>1=>?n;|l17133290:wco84;305g=zf;9?984?:0yme22=9:;h7p`=3575>5<6sgk<87?<1e9~j753=>0;67}ii>>1=><=;|l1713d2909wco84;3066=zf;9?9i4?:3yme22=9:8?7p`=357f>5<5sgk<87?<249~j753=o0;6?uaa66956413td9?98?:181kg0<3;8>:5rn31724<72;qem::5120;?xh5;=<96=4={oc40?74:01vb?=;6283>7}ii>>1=>5<5sgk<87?<2e9~j753>>0;6?uaa669564b3td9?987:181kg0<3;8>k5rn3172<<72;qem::51213?xh5;=7}ii>>1=>==;|l1710d2909wco84;3076=zf;9?:i4?:3yme22=9:9?7p`=354f>5<5sgk<87?<349~j753>o0;6?uaa66956513td9?99?:181kg0<3;8?:5rn31734<72;qem::5121;?xh5;==96=4={oc40?74;01vb?=;7283>7}ii>>1=>=n;|l171132909wco84;307g=zf;9?;84?:3yme22=9:9h7p`=3555>5<5sgk<87?<3e9~j753?>0;6?uaa669565b3td9?997:181kg0<3;8?k5rn3173<<72;qem::51263?xh5;==j6=4={oc40?74<81vb?=;7c83>4}ii>>1=>:=;|l1711d2909wco84;3006=zf;9?;i4?:0yme22=9:>?7p`=355f>5<6sgk<87?<449~j753?o0;6?uaa66956213td9?96?:182kg0<3;88:5rn317<4<728qem::5126;?xh5;=296=4={oc40?74<01vb?=;8283>4}ii>>1=>:n;|l171>3290:wco84;300g=zf;9?484?:3yme22=9:>h7p`=35:5>5<6sgk<87?<4e9~j7530>0;6{oc40?74=81vb?=;8c83>7}ii>>1=>;=;|l171>d290:wco84;3016=zf;9?4i4?:0yme22=9:??7p`=35:f>5<5sgk<87?<549~j7530o0;6{oc40?74=01vb?=;9283>4}ii>>1=>;n;|l171?32909wco84;301g=zf;9?584?:0yme22=9:?h7p`=35;5>5<6sgk<87?<5e9~j7531>0;6?uaa669563b3td9?977:182kg0<3;89k5rn317=<<728qem::51243?xh5;=3j6=4={oc40?74>81vb?=;9c83>4}ii>>1=>8=;|l171?d290:wco84;3026=zf;9?5i4?:3yme22=9:5<6sgk<87?<649~j7531o0;6{oc40?74>01vb?=;a283>7}ii>>1=>8n;|l171g3290:wco84;302g=zf;9?m84?:0yme22=9:5<5sgk<87?<6e9~j753i>0;67}ii>>1=>9=;|l171gd290:wco84;3036=zf;9?mi4?:3yme22=9:=?7p`=35cf>5<5sgk<87?<749~j753io0;6?uaa66956113td9?9l?:181kg0<3;8;:5rn317f4<72;qem::5125;?xh5;=h96=4={oc40?74?01vb?=;b283>7}ii>>1=>9n;|l171d32909wco84;303g=zf;9?n84?:3yme22=9:=h7p`=35`5>5<5sgk<87?<7e9~j753j>0;6?uaa669561b3td9?9l7:181kg0<3;8;k5rn317f<<72;qem::512:3?xh5;=hj6=4={oc40?74081vb?=;bc83>7}ii>>1=>6=;|l171dd2909wco84;30<6=zf;9?ni4?:3yme22=9:2?7p`=35`f>5<5sgk<87?<849~j753jo0;6?uaa66956>13td9?9m?:181kg0<3;84:5rn317g4<72;qem::512:;?xh5;=i96=4={oc40?74001vb?=;c283>7}ii>>1=>6n;|l171e32909wco84;305<5sgk<87?<8e9~j753k>0;6?uaa66956>b3td9?9m7:181kg0<3;84k5rn317g<<72;qem::512;3?xh5;=ij6=4={oc40?74181vb?=;cc83>7}ii>>1=>7=;|l171ed290:wco84;30=6=zf;9?oi4?:3yme22=9:3?7p`=35af>5<6sgk<87?<949~j753ko0;6{oc40?74101vb?=;d283>7}ii>>1=>7n;|l171b3290:wco84;30=g=zf;9?h84?:0yme22=9:3h7p`=35f5>5<5sgk<87?<9e9~j753l>0;6{oc40?74i81vb?=;dc83>4}ii>>1=>o=;|l171bd2909wco84;30e6=zf;9?hi4?:0yme22=9:k?7p`=35ff>5<6sgk<87?4}ii>>1=>on;|l171c3290:wco84;30eg=zf;9?i84?:3yme22=9:kh7p`=35g5>5<6sgk<87?0;6{oc40?74j81vb?=;ec83>7}ii>>1=>l=;|l171cd290:wco84;30f6=zf;9?ii4?:0yme22=9:h?7p`=35gf>5<5sgk<87?{oc40?74j01vb?=;f283>4}ii>>1=>ln;|l171`32909wco84;30fg=zf;9?j84?:0yme22=9:hh7p`=35d5>5<6sgk<87?0;6?uaa66956db3td9?9h7:182kg0<3;8nk5rn317b<<72;qem::512a3?xh5;=lj6=4>{oc40?74k81vb?=;fc83>d}ii>>1=>m=;|l171`d290:wco84;30g6=zf;9?ji4?:8yme22=9:i?7p`=35df>5<6sgk<87??:182kg0<3;8o:5rn31644<728qem::512a;?xh5;<:96=4>{oc40?74k01vb?=:0283>4}ii>>1=>mn;|l17063290:wco84;30gg=zf;9><84?:0yme22=9:ih7p`=3425>5<6sgk<87?0;67:187kg0<3;8ok5rn3164<<728qem::512f3?xh5;<:j6=4:{oc40?74l81vb?=:0c83>4}ii>>1=>j=;|l1706d2909wco84;30`6=zf;9>5<6;rdj;94>3e78yk44=9l1<745c>2we>>;>0;296~hf?=0:?i94}o00147=838pbl9;:01g<>{i::?:>7>56zlb31<6;m30qc<<50194?4|fh=?6<=ka:m6636<3:1=v`n75827ad3ef8yk44=8=1<7?tn`57>45cm2we>>;>8;295~hf?=0:?ih4}o0014?=83;pbl9;:01f4>{i::?:m7>51zlb31<6;l;0qc<<50`94?7|fh=?6<=j2:m6636k3:1>v`n75827`53d78yk44=8l1<7?tn`57>45b>2we>>;=0;295~hf?=0:?h94}o00177=83;pbl9;:01f<>{i::?9>7>51zlb31<6;l30qc<<53194?7|fh=?6<=ja:m6635<3:1=v`n75827`d3df8yk44=;=1<7?tn`57>45bm2we>>;=8;295~hf?=0:?hh4}o0017?=83;pbl9;:01e4>{i::?9m7>51zlb31<6;o;0qc<<53`94?7|fh=?6<=i2:m6635k3:1=v`n75827c53g78yk44=;l1<78tn`57>45a>2we>>;<0;296~hf?=0:?k94}o00167=839pbl9;:01e<>{i::?8>7>51zlb31<6;o30qc<<52194?4|fh=?6<=ia:m6634<3:1>v`n75827cd;50;3xjd13289mo6sa22702?6=;rdj;94>3gf8yk44=:=1<7?tn`57>45am2we>>;<8;290~hf?=0:?kh4}o0016?=83;pbl9;:0634>{i::?8m7>54zlb31<6<9;0qc<<52`94?7|fh=?6<:?2:m6634k3:1?v`n7582055j50;0xjd1328>;86sa2270a?6=9rdj;94>4178yk44=:l1<7427>2we>>;;0;295~hf?=0:8=94}o00117=83;pbl9;:063<>{i::??>7>51zlb31<6<930qc<<55194?4|fh=?6<:?a:m6633<3:1=v`n758205d;o6sa22772?6=9rdj;94>41f8yk44===1<7?tn`57>427m2we>>;;8;296~hf?=0:8=h4}o0011?=838pbl9;:0624>{i::??m7>51zlb31<6<8;0qc<<55`94?7|fh=?6<:>2:m6633k3:1=v`n7582045:86sa2277a?6=9rdj;94>4078yk44==l1<7?tn`57>426>2we>>;:0;295~hf?=0:8<94}o00107=83;pbl9;:062<>{i::?>>7>51zlb31<6<830qc<<54194?7|fh=?6<:>a:m6632<3:1=v`n758204d:o6sa22762?6=9rdj;94>40f8yk44=<=1<7?tn`57>426m2we>>;:8;295~hf?=0:8{i::?>m7>52zlb31<6<;;0qc<<54`94?5|fh=?6<:=2:m6632k3:1>v`n7582075986sa2276a?6=9rdj;94>4378yk44=425>2we>>;90;296~hf?=0:8?94}o00137=83;pbl9;:061<>{i::?=>7>53zlb31<6<;30qc<<57194?5|fh=?6<:=a:m6631<3:1>v`n758207d9o6sa22752?6=9rdj;94>43f8yk44=?=1<7?tn`57>425m2we>>;98;295~hf?=0:8?h4}o0013?=83;pbl9;:0604>{i::?=m7>51zlb31<6<:;0qc<<57`94?7|fh=?6<:<2:m6631k3:1=v`n7582065886sa2275a?6=9rdj;94>4278yk44=?l1<7?tn`57>424>2we>>;80;295~hf?=0:8>94}o00127=83;pbl9;:060<>{i::?<>7>51zlb31<6<:30qc<<56194?7|fh=?6<:8o6sa22742?6=:rdj;94>42f8yk44=>=1<7?tn`57>424m2we>>;88;295~hf?=0:8>h4}o0012?=83;pbl9;:0674>{i::?51zlb31<6<=;0qc<<56`94?7|fh=?6<:;2:m6630k3:1=v`n7582015?86sa2274a?6=9rdj;94>4578yk44=>l1<7?tn`57>423>2we>>;70;295~hf?=0:8994}o001=7=83;pbl9;:067<>{i::?3>7>51zlb31<6<=30qc<<59194?7|fh=?6<:;a:m663?<3:1=v`n758201d?o6sa227;2?6=9rdj;94>45f8yk44=1=1<7?tn`57>423m2we>>;78;295~hf?=0:89h4}o001=?=83;pbl9;:0664>{i::?3m7>51zlb31<6<<;0qc<<59`94?7|fh=?6<::2:m663?k3:1=v`n7582005>86sa227;a?6=9rdj;94>4478yk44=1l1<7?tn`57>422>2we>>;60;295~hf?=0:8894}o001<7=83;pbl9;:066<>{i::?2>7>51zlb31<6<<30qc<<58194?7|fh=?6<::a:m663><3:1=v`n758200d>o6sa227:2?6=9rdj;94>44f8yk44=0=1<7?tn`57>422m2we>>;68;296~hf?=0:88h4}o001{i::?2m7>52zlb31<6k3:1>v`n7582035=86sa227:a?6=:rdj;94>4778yk44=0l1<7421>2we>>;n0;296~hf?=0:8;94}o001d7=839pbl9;:065<>{i::?j>7>52zlb31<6=o6sa227b2?6=;rdj;94>47f8yk44=h=1<7=tn`57>421m2we>>;n8;297~hf?=0:8;h4}o001d?=83;pbl9;:0644>{i::?jm7>51zlb31<6<>;0qc<<5``94?7|fh=?6<:82:m663fk3:1=v`n7582025<86sa227ba?6=9rdj;94>4678yk44=hl1<7420>2we>>;m0;295~hf?=0:8:94}o001g7=83;pbl9;:064<>{i::?i>7>51zlb31<6<>30qc<<5c194?7|fh=?6<:8a:m663e<3:1=v`n758202d46f8yk44=k=1<7?tn`57>420m2we>>;m8;295~hf?=0:8:h4}o001g?=83;pbl9;:06;4>{i::?im7>51zlb31<6<1;0qc<<5c`94?7|fh=?6<:72:m663ek3:1=v`n75820=5386sa227aa?6=9rdj;94>4978yk44=kl1<7?tn`57>42?>2we>>;l0;295~hf?=0:8594}o001f7=83;pbl9;:06;<>{i::?h>7>51zlb31<6<130qc<<5b194?7|fh=?6<:7a:m663d<3:1=v`n75820=d3o6sa227`2?6=9rdj;94>49f8yk44=j=1<7?tn`57>42?m2we>>;l8;295~hf?=0:85h4}o001f?=83;pbl9;:06:4>{i::?hm7>51zlb31<6<0;0qc<<5b`94?7|fh=?6<:62:m663dk3:1=v`n75820<5286sa227`a?6=9rdj;94>4878yk44=jl1<7?tn`57>42>>2we>>;k0;295~hf?=0:8494}o001a7=83;pbl9;:06:<>{i::?o>7>51zlb31<6<030qc<<5e194?7|fh=?6<:6a:m663c<3:1=v`n758202o6sa227g2?6=9rdj;94>48f8yk44=m=1<7?tn`57>42>m2we>>;k8;295~hf?=0:84h4}o001a?=83;pbl9;:06b4>{i::?om7>51zlb31<6j86sa227ga?6=9rdj;94>4`78yk44=ml1<7?tn`57>42f>2we>>;j0;295~hf?=0:8l94}o001`7=838pbl9;:06b<>{i::?n>7>51zlb31<6v`n75820ddjo6sa227f2?6=:rdj;94>4`f8yk44=l=1<7?tn`57>42fm2we>>;j8;296~hf?=0:8lh4}o001`?=83;pbl9;:06a4>{i::?nm7>52zlb31<6v`n75820g5i86sa227fa?6=:rdj;94>4c78yk44=ll1<7?tn`57>42e>2we>>;i0;296~hf?=0:8o94}o001c7=83;pbl9;:06a<>{i::?m>7>52zlb31<6v`n75820gdio6sa227e2?6=:rdj;94>4cf8yk44=o=1<7?tn`57>42em2we>>;i8;296~hf?=0:8oh4}o001c?=83;pbl9;:06`4>{i::?mm7>52zlb31<6v`n75820f5h86sa227ea?6=:rdj;94>4b78yk44=ol1<7?tn`57>42d>2we>>8?0;296~hf?=0:8n94}o00257=83;pbl9;:06`<>{i::<;>7>52zlb31<6v`n75820fdho6sa22432?6=9rdj;94>4bf8yk44>9=1<7?tn`57>42dm2we>>8?8;295~hf?=0:8nh4}o0025?=83;pbl9;:06g4>{i::<;m7>51zlb31<6o86sa2243a?6=9rdj;94>4e78yk44>9l1<7?tn`57>42c>2we>>8>0;295~hf?=0:8i94}o00247=83;pbl9;:06g<>{i::<:>7>51zlb31<6oo6sa22422?6=9rdj;94>4ef8yk44>8=1<7?tn`57>42cm2we>>8>8;295~hf?=0:8ih4}o0024?=83;pbl9;:06f4>{i::<:m7>51zlb31<6n86sa2242a?6=9rdj;94>4d78yk44>8l1<7?tn`57>42b>2we>>8=0;295~hf?=0:8h94}o00277=83;pbl9;:06f<>{i::<9>7>51zlb31<6no6sa22412?6=:rdj;94>4df8yk44>;=1<7?tn`57>42bm2we>>8=8;296~hf?=0:8hh4}o0027?=83;pbl9;:06e4>{i::<9m7>52zlb31<6v`n75820c5m86sa2241a?6=:rdj;94>4g78yk44>;l1<7?tn`57>42a>2we>>8<0;296~hf?=0:8k94}o00267=83;pbl9;:06e<>{i::<8>7>52zlb31<6v`n75820cd;50;3xjd1328>mo6sa22402?6=:rdj;94>4gf8yk44>:=1<7?tn`57>42am2we>>8<8;296~hf?=0:8kh4}o0026?=83;pbl9;:0734>{i::<8m7>52zlb31<6=9;0qc<<62`94?7|fh=?6<;?2:m6604k3:1>v`n7582155j50;3xjd1328?;86sa2240a?6=:rdj;94>5178yk44>:l1<7?tn`57>437>2we>>8;0;296~hf?=0:9=94}o00217=83;pbl9;:073<>{i::7>52zlb31<6=930qc<<65194?7|fh=?6<;?a:m6603<3:1>v`n758215d51f8yk44>==1<7?tn`57>437m2we>>8;8;295~hf?=0:9=h4}o0021?=83;pbl9;:0724>{i::51zlb31<6=8;0qc<<65`94?7|fh=?6<;>2:m6603k3:1=v`n75821455078yk44>=l1<7?tn`57>436>2we>>8:0;295~hf?=0:9<94}o00207=83;pbl9;:072<>{i::<>>7>51zlb31<6=830qc<<64194?7|fh=?6<;>a:m6602<3:1=v`n758214d50f8yk44><=1<7?tn`57>436m2we>>8:8;295~hf?=0:9{i::<>m7>51zlb31<6=;;0qc<<64`94?7|fh=?6<;=2:m6602k3:1=v`n75821755378yk44>435>2we>>890;295~hf?=0:9?94}o00237=83;pbl9;:071<>{i::<=>7>51zlb31<6=;30qc<<67194?4|fh=?6<;=a:m6601<3:1=v`n758217d53f8yk44>?=1<7435m2we>>898;295~hf?=0:9?h4}o0023?=838pbl9;:0704>{i::<=m7>51zlb31<6=:;0qc<<67`94?4|fh=?6<;<2:m6601k3:1=v`n75821655278yk44>?l1<7434>2we>>880;295~hf?=0:9>94}o00227=838pbl9;:070<>{i::<<>7>52zlb31<6=:30qc<<66194?7|fh=?6<;52f8yk44>>=1<7?tn`57>434m2we>>888;295~hf?=0:9>h4}o0022?=83;pbl9;:0774>{i::<51zlb31<6==;0qc<<66`94?7|fh=?6<;;2:m6600k3:1=v`n75821155578yk44>>l1<7?tn`57>433>2we>>870;295~hf?=0:9994}o002=7=83;pbl9;:077<>{i::<3>7>51zlb31<6==30qc<<69194?7|fh=?6<;;a:m660?<3:1=v`n758211d55f8yk44>1=1<7?tn`57>433m2we>>878;295~hf?=0:99h4}o002=?=83;pbl9;:0764>{i::<3m7>51zlb31<6=<;0qc<<69`94?7|fh=?6<;:2:m660?k3:1=v`n758210586sa224;a?6=9rdj;94>5478yk44>1l1<7?tn`57>432>2we>>860;295~hf?=0:9894}o002<7=83;pbl9;:076<>{i::<2>7>52zlb31<6=<30qc<<68194?7|fh=?6<;:a:m660><3:1=v`n758210do6sa224:2?6=9rdj;94>54f8yk44>0=1<7?tn`57>432m2we>>868;295~hf?=0:98h4}o002{i::<2m7>51zlb31<6=?;0qc<<68`94?7|fh=?6<;92:m660>k3:1=v`n75821355778yk44>0l1<7?tn`57>431>2we>>8n0;295~hf?=0:9;94}o002d7=83;pbl9;:075<>{i::7>51zlb31<6=?30qc<<6`194?7|fh=?6<;9a:m660f<3:1=v`n758213d57f8yk44>h=1<7?tn`57>431m2we>>8n8;295~hf?=0:9;h4}o002d?=83;pbl9;:0744>{i::51zlb31<6=>;0qc<<6``94?7|fh=?6<;82:m660fk3:1=v`n75821255678yk44>hl1<7?tn`57>430>2we>>8m0;295~hf?=0:9:94}o002g7=83;pbl9;:074<>{i::7>52zlb31<6=>30qc<<6c194?7|fh=?6<;8a:m660e<3:1=v`n758212d56f8yk44>k=1<7?tn`57>430m2we>>8m8;295~hf?=0:9:h4}o002g?=83;pbl9;:07;4>{i::51zlb31<6=1;0qc<<6c`94?7|fh=?6<;72:m660ek3:1=v`n75821=55978yk44>kl1<7?tn`57>43?>2we>>8l0;295~hf?=0:9594}o002f7=83;pbl9;:07;<>{i::7>51zlb31<6=130qc<<6b194?7|fh=?6<;7a:m660d<3:1=v`n75821=d59f8yk44>j=1<7?tn`57>43?m2we>>8l8;295~hf?=0:95h4}o002f?=83;pbl9;:07:4>{i::51zlb31<6=0;0qc<<6b`94?7|fh=?6<;62:m660dk3:1=v`n75821<55878yk44>jl1<7?tn`57>43>>2we>>8k0;295~hf?=0:9494}o002a7=83;pbl9;:07:<>{i::7>51zlb31<6=030qc<<6e194?7|fh=?6<;6a:m660c<3:1=v`n7582158f8yk44>m=1<7?tn`57>43>m2we>>8k8;295~hf?=0:94h4}o002a?=83;pbl9;:07b4>{i::51zlb31<6=h;0qc<<6e`94?7|fh=?6<;n2:m660ck3:1=v`n75821d55`78yk44>ml1<7?tn`57>43f>2we>>8j0;295~hf?=0:9l94}o002`7=83;pbl9;:07b<>{i::7>51zlb31<6=h30qc<<6d194?7|fh=?6<;na:m660b<3:1=v`n75821dd5`f8yk44>l=1<7?tn`57>43fm2we>>8j8;295~hf?=0:9lh4}o002`?=83;pbl9;:07a4>{i::51zlb31<6=k;0qc<<6d`94?7|fh=?6<;m2:m660bk3:1=v`n75821g55c78yk44>ll1<7?tn`57>43e>2we>>8i0;295~hf?=0:9o94}o002c7=83;pbl9;:07a<>{i::7>51zlb31<6=k30qc<<6g194?7|fh=?6<;ma:m660a<3:1=v`n75821gd5cf8yk44>o=1<7?tn`57>43em2we>>8i8;295~hf?=0:9oh4}o002c?=83;pbl9;:07`4>{i::51zlb31<6=j;0qc<<6g`94?7|fh=?6<;l2:m660ak3:1=v`n75821f55b78yk44>ol1<7?tn`57>43d>2we>>9?0;295~hf?=0:9n94}o00357=83;pbl9;:07`<>{i::=;>7>51zlb31<6=j30qc<<71194?7|fh=?6<;la:m6617<3:1=v`n75821fd5bf8yk44?9=1<7?tn`57>43dm2we>>9?8;295~hf?=0:9nh4}o0035?=83;pbl9;:07g4>{i::=;m7>51zlb31<6=m;0qc<<71`94?7|fh=?6<;k2:m6617k3:1=v`n75821a55e78yk44?9l1<7?tn`57>43c>2we>>9>0;295~hf?=0:9i94}o00347=83;pbl9;:07g<>{i::=:>7>51zlb31<6=m30qc<<70194?7|fh=?6<;ka:m6616<3:1=v`n75821ad5ef8yk44?8=1<7?tn`57>43cm2we>>9>8;295~hf?=0:9ih4}o0034?=83;pbl9;:07f4>{i::=:m7>51zlb31<6=l;0qc<<70`94?7|fh=?6<;j2:m6616k3:1=v`n75821`55d78yk44?8l1<7?tn`57>43b>2we>>9=0;295~hf?=0:9h94}o00377=83;pbl9;:07f<>{i::=9>7>51zlb31<6=l30qc<<73194?7|fh=?6<;ja:m6615<3:1=v`n75821`d5df8yk44?;=1<7?tn`57>43bm2we>>9=8;295~hf?=0:9hh4}o0037?=83;pbl9;:07e4>{i::=9m7>51zlb31<6=o;0qc<<73`94?7|fh=?6<;i2:m6615k3:1=v`n75821c55g78yk44?;l1<743a>2we>>9<0;295~hf?=0:9k94}o00367=83;pbl9;:07e<>{i::=8>7>51zlb31<6=o30qc<<72194?4|fh=?6<;ia:m6614<3:1=v`n75821cd;50;3xjd1328?mo6sa22502?6=9rdj;94>5gf8yk44?:=1<743am2we>>9<8;296~hf?=0:9kh4}o0036?=83;pbl9;:0434>{i::=8m7>52zlb31<6>9;0qc<<72`94?7|fh=?6<8?2:m6614k3:1=v`n7582255j50;3xjd1328<;86sa2250a?6=9rdj;94>6178yk44?:l1<7?tn`57>407>2we>>9;0;295~hf?=0::=94}o00317=83;pbl9;:043<>{i::=?>7>51zlb31<6>930qc<<75194?7|fh=?6<8?a:m6613<3:1=v`n758225d61f8yk44?==1<7?tn`57>407m2we>>9;8;295~hf?=0::=h4}o0031?=83;pbl9;:0424>{i::=?m7>51zlb31<6>8;0qc<<75`94?7|fh=?6<8>2:m6613k3:1=v`n75822456078yk44?=l1<7?tn`57>406>2we>>9:0;295~hf?=0::<94}o00307=83;pbl9;:042<>{i::=>>7>51zlb31<6>830qc<<74194?4|fh=?6<8>a:m6612<3:1>v`n758224d60f8yk44?<=1<7406m2we>>9:8;296~hf?=0::{i::=>m7>52zlb31<6>;;0qc<<74`94?4|fh=?6<8=2:m6612k3:1?v`n75822756378yk44?405>2we>>990;297~hf?=0::?94}o00337=839pbl9;:041<>{i::==>7>53zlb31<6>;30qc<<77194?5|fh=?6<8=a:m6611<3:1?v`n758227d63f8yk44??=1<7=tn`57>405m2we>>998;297~hf?=0::?h4}o0033?=839pbl9;:0404>{i::==m7>53zlb31<6>:;0qc<<77`94?5|fh=?6<8<2:m6611k3:1=v`n75822656278yk44??l1<7?tn`57>404>2we>>980;295~hf?=0::>94}o00327=838pbl9;:040<>{i::=<>7>51zlb31<6>:30qc<<76194?7|fh=?6<862f8yk44?>=1<7?tn`57>404m2we>>988;295~hf?=0::>h4}o0032?=83;pbl9;:0474>{i::=51zlb31<6>=;0qc<<76`94?7|fh=?6<8;2:m6610k3:1=v`n75822156578yk44?>l1<7?tn`57>403>2we>>970;295~hf?=0::994}o003=7=83;pbl9;:047<>{i::=3>7>51zlb31<6>=30qc<<79194?7|fh=?6<8;a:m661?<3:1=v`n758221d65f8yk44?1=1<7?tn`57>403m2we>>978;295~hf?=0::9h4}o003=?=83;pbl9;:0464>{i::=3m7>51zlb31<6><;0qc<<79`94?4|fh=?6<8:2:m661?k3:1=v`n758220586sa225;a?6=9rdj;94>6478yk44?1l1<7402>2we>>960;295~hf?=0::894}o003<7=838pbl9;:046<>{i::=2>7>51zlb31<6><30qc<<78194?4|fh=?6<8:a:m661><3:1=v`n758220do6sa225:2?6=9rdj;94>64f8yk44?0=1<7402m2we>>968;295~hf?=0::8h4}o003{i::=2m7>51zlb31<6>?;0qc<<78`94?4|fh=?6<892:m661>k3:1=v`n75822356778yk44?0l1<7401>2we>>9n0;295~hf?=0::;94}o003d7=838pbl9;:045<>{i::=j>7>51zlb31<6>?30qc<<7`194?5|fh=?6<89a:m661f<3:1=v`n758223d67f8yk44?h=1<7=tn`57>401m2we>>9n8;295~hf?=0::;h4}o003d?=839pbl9;:0444>{i::=jm7>51zlb31<6>>;0qc<<7``94?5|fh=?6<882:m661fk3:1=v`n75822256678yk44?hl1<7=tn`57>400>2we>>9m0;295~hf?=0:::94}o003g7=839pbl9;:044<>{i::=i>7>51zlb31<6>>30qc<<7c194?5|fh=?6<88a:m661e<3:1=v`n758222d66f8yk44?k=1<7=tn`57>400m2we>>9m8;295~hf?=0:::h4}o003g?=839pbl9;:04;4>{i::=im7>51zlb31<6>1;0qc<<7c`94?5|fh=?6<872:m661ek3:1>v`n75822=56978yk44?kl1<7?tn`57>40?>2we>>9l0;296~hf?=0::594}o003f7=83;pbl9;:04;<>{i::=h>7>52zlb31<6>130qc<<7b194?7|fh=?6<87a:m661d<3:1>v`n75822=d69f8yk44?j=1<7?tn`57>40?m2we>>9l8;296~hf?=0::5h4}o003f?=83;pbl9;:04:4>{i::=hm7>52zlb31<6>0;0qc<<7b`94?7|fh=?6<862:m661dk3:1>v`n75822<56878yk44?jl1<7?tn`57>40>>2we>>9k0;296~hf?=0::494}o003a7=83;pbl9;:04:<>{i::=o>7>52zlb31<6>030qc<<7e194?7|fh=?6<86a:m661c<3:1=v`n7582268f8yk44?m=1<7?tn`57>40>m2we>>9k8;295~hf?=0::4h4}o003a?=83;pbl9;:04b4>{i::=om7>51zlb31<6>h;0qc<<7e`94?7|fh=?6<8n2:m661ck3:1=v`n75822d56`78yk44?ml1<7?tn`57>40f>2we>>9j0;295~hf?=0::l94}o003`7=83;pbl9;:04b<>{i::=n>7>51zlb31<6>h30qc<<7d194?7|fh=?6<8na:m661b<3:1=v`n75822dd6`f8yk44?l=1<7?tn`57>40fm2we>>9j8;295~hf?=0::lh4}o003`?=83;pbl9;:04a4>{i::=nm7>51zlb31<6>k;0qc<<7d`94?7|fh=?6<8m2:m661bk3:1=v`n75822g56c78yk44?ll1<7?tn`57>40e>2we>>9i0;295~hf?=0::o94}o003c7=83;pbl9;:04a<>{i::=m>7>51zlb31<6>k30qc<<7g194?7|fh=?6<8ma:m661a<3:1=v`n75822gd6cf8yk44?o=1<7?tn`57>40em2we>>9i8;295~hf?=0::oh4}o003c?=83;pbl9;:04`4>{i::=mm7>51zlb31<6>j;0qc<<7g`94?7|fh=?6<8l2:m661ak3:1=v`n75822f56b78yk44?ol1<7?tn`57>40d>2we>>6?0;295~hf?=0::n94}o00<57=83;pbl9;:04`<>{i::2;>7>51zlb31<6>j30qc<<81194?4|fh=?6<8la:m66>7<3:1=v`n75822fd6bf8yk4409=1<7?tn`57>40dm2we>>6?8;295~hf?=0::nh4}o00<5?=83;pbl9;:04g4>{i::2;m7>51zlb31<6>m;0qc<<81`94?7|fh=?6<8k2:m66>7k3:1=v`n75822a56e78yk4409l1<7?tn`57>40c>2we>>6>0;295~hf?=0::i94}o00<47=83;pbl9;:04g<>{i::2:>7>51zlb31<6>m30qc<<80194?7|fh=?6<8ka:m66>6<3:1=v`n75822ad6ef8yk4408=1<7?tn`57>40cm2we>>6>8;295~hf?=0::ih4}o00<4?=83;pbl9;:04f4>{i::2:m7>51zlb31<6>l;0qc<<80`94?7|fh=?6<8j2:m66>6k3:1=v`n75822`56d78yk4408l1<7?tn`57>40b>2we>>6=0;295~hf?=0::h94}o00<77=83;pbl9;:04f<>{i::29>7>51zlb31<6>l30qc<<83194?7|fh=?6<8ja:m66>5<3:1=v`n75822`d6df8yk440;=1<7?tn`57>40bm2we>>6=8;295~hf?=0::hh4}o00<7?=83;pbl9;:04e4>{i::29m7>51zlb31<6>o;0qc<<83`94?7|fh=?6<8i2:m66>5k3:1=v`n75822c56g78yk440;l1<7?tn`57>40a>2we>>6<0;295~hf?=0::k94}o00<67=83;pbl9;:04e<>{i::28>7>51zlb31<6>o30qc<<82194?4|fh=?6<8ia:m66>4<3:1=v`n75822cd;50;3xjd13286gf8yk440:=1<7?tn`57>40am2we>>6<8;295~hf?=0::kh4}o00<6?=83;pbl9;:0534>{i::28m7>51zlb31<6?9;0qc<<82`94?7|fh=?6<9?2:m66>4k3:1=v`n7582355j50;3xjd1328=;86sa22:0a?6=9rdj;94>7178yk440:l1<7?tn`57>417>2we>>6;0;295~hf?=0:;=94}o00<17=83;pbl9;:053<>{i::2?>7>51zlb31<6?930qc<<85194?7|fh=?6<9?a:m66>3<3:1=v`n758235d71f8yk440==1<7?tn`57>417m2we>>6;8;295~hf?=0:;=h4}o00<1?=83;pbl9;:0524>{i::2?m7>51zlb31<6?8;0qc<<85`94?7|fh=?6<9>2:m66>3k3:1=v`n75823457078yk440=l1<7?tn`57>416>2we>>6:0;295~hf?=0:;<94}o00<07=83;pbl9;:052<>{i::2>>7>51zlb31<6?830qc<<84194?7|fh=?6<9>a:m66>2<3:1=v`n758234d70f8yk440<=1<7?tn`57>416m2we>>6:8;295~hf?=0:;{i::2>m7>51zlb31<6?;;0qc<<84`94?7|fh=?6<9=2:m66>2k3:1=v`n75823757378yk440415>2we>>690;295~hf?=0:;?94}o00<37=83;pbl9;:051<>{i::2=>7>51zlb31<6?;30qc<<87194?7|fh=?6<9=a:m66>1<3:1=v`n758237d73f8yk440?=1<7?tn`57>415m2we>>698;295~hf?=0:;?h4}o00<3?=83;pbl9;:0504>{i::2=m7>51zlb31<6?:;0qc<<87`94?7|fh=?6<9<2:m66>1k3:1=v`n75823657278yk440?l1<7?tn`57>414>2we>>680;295~hf?=0:;>94}o00<27=83;pbl9;:050<>{i::2<>7>51zlb31<6?:30qc<<86194?7|fh=?6<90<3:1=v`n758236d72f8yk440>=1<7?tn`57>414m2we>>688;295~hf?=0:;>h4}o00<2?=83;pbl9;:0574>{i::251zlb31<6?=;0qc<<86`94?5|fh=?6<9;2:m66>0k3:1=v`n75823157578yk440>l1<7?tn`57>413>2we>>670;295~hf?=0:;994}o00<=7=83;pbl9;:057<>{i::23>7>51zlb31<6?=30qc<<89194?7|fh=?6<9;a:m66>?<3:1=v`n758231d75f8yk4401=1<7?tn`57>413m2we>>678;295~hf?=0:;9h4}o00<=?=83;pbl9;:0564>{i::23m7>51zlb31<6?<;0qc<<89`94?7|fh=?6<9:2:m66>?k3:1=v`n758230586sa22:;a?6=9rdj;94>7478yk4401l1<7;tn`57>412>2we>>660;295~hf?=0:;894}o00<<7=839pbl9;:056<>{i::22>7>53zlb31<6?<30qc<<88194?3|fh=?6<9:a:m66>><3:1?v`n758230do6sa22::2?6=;rdj;94>74f8yk4400=1<7?tn`57>412m2we>>668;295~hf?=0:;8h4}o00<{i::22m7>51zlb31<6??;0qc<<88`94?7|fh=?6<992:m66>>k3:1=v`n75823357778yk4400l1<7?tn`57>411>2we>>6n0;295~hf?=0:;;94}o00{i::2j>7>52zlb31<6??30qc<<8`194?7|fh=?6<99a:m66>f<3:1=v`n758233d77f8yk440h=1<7:tn`57>411m2we>>6n8;295~hf?=0:;;h4}o00{i::2jm7>51zlb31<6?>;0qc<<8``94?4|fh=?6<982:m66>fk3:1=v`n75823257678yk440hl1<7410>2we>>6m0;295~hf?=0:;:94}o00{i::2i>7>51zlb31<6?>30qc<<8c194?4|fh=?6<98a:m66>e<3:1=v`n758232d76f8yk440k=1<7410m2we>>6m8;295~hf?=0:;:h4}o00{i::2im7>51zlb31<6?1;0qc<<8c`94?4|fh=?6<972:m66>ek3:1=v`n75823=57978yk440kl1<741?>2we>>6l0;295~hf?=0:;594}o00{i::2h>7>51zlb31<6?130qc<<8b194?4|fh=?6<97a:m66>d<3:1=v`n75823=d79f8yk440j=1<741?m2we>>6l8;295~hf?=0:;5h4}o00{i::2hm7>51zlb31<6?0;0qc<<8b`94?7|fh=?6<962:m66>dk3:1=v`n75823<57878yk440jl1<7?tn`57>41>>2we>>6k0;295~hf?=0:;494}o00{i::2o>7>51zlb31<6?030qc<<8e194?7|fh=?6<96a:m66>c<3:1=v`n7582378f8yk440m=1<7?tn`57>41>m2we>>6k8;295~hf?=0:;4h4}o00{i::2om7>51zlb31<6?h;0qc<<8e`94?7|fh=?6<9n2:m66>ck3:1=v`n75823d57`78yk440ml1<7?tn`57>41f>2we>>6j0;295~hf?=0:;l94}o00<`7=83;pbl9;:05b<>{i::2n>7>51zlb31<6?h30qc<<8d194?7|fh=?6<9na:m66>b<3:1=v`n75823dd7`f8yk440l=1<7?tn`57>41fm2we>>6j8;295~hf?=0:;lh4}o00<`?=83;pbl9;:05a4>{i::2nm7>51zlb31<6?k;0qc<<8d`94?7|fh=?6<9m2:m66>bk3:1=v`n75823g57c78yk440ll1<7?tn`57>41e>2we>>6i0;295~hf?=0:;o94}o00{i::2m>7>51zlb31<6?k30qc<<8g194?7|fh=?6<9ma:m66>a<3:1=v`n75823gd7cf8yk440o=1<741em2we>>6i8;296~hf?=0:;oh4}o00{i::2mm7>52zlb31<6?j;0qc<<8g`94?4|fh=?6<9l2:m66>ak3:1>v`n75823f57b78yk440ol1<741d>2we>>7?0;296~hf?=0:;n94}o00=57=838pbl9;:05`<>{i::3;>7>52zlb31<6?j30qc<<91194?4|fh=?6<9la:m66?7<3:1>v`n75823fd7bf8yk4419=1<741dm2we>>7?8;296~hf?=0:;nh4}o00=5?=838pbl9;:05g4>{i::3;m7>52zlb31<6?m;0qc<<91`94?4|fh=?6<9k2:m66?7k3:1>v`n75823a57e78yk4419l1<741c>2we>>7>0;296~hf?=0:;i94}o00=47=838pbl9;:05g<>{i::3:>7>52zlb31<6?m30qc<<90194?4|fh=?6<9ka:m66?6<3:1>v`n75823ad7ef8yk4418=1<741cm2we>>7>8;296~hf?=0:;ih4}o00=4?=83;pbl9;:05f4>{i::3:m7>52zlb31<6?l;0qc<<90`94?7|fh=?6<9j2:m66?6k3:1=v`n75823`57d78yk4418l1<7?tn`57>41b>2we>>7=0;296~hf?=0:;h94}o00=77=83;pbl9;:05f<>{i::39>7>51zlb31<6?l30qc<<93194?4|fh=?6<9ja:m66?5<3:1=v`n75823`d7df8yk441;=1<7?tn`57>41bm2we>>7=8;295~hf?=0:;hh4}o00=7?=838pbl9;:05e4>{i::39m7>51zlb31<6?o;0qc<<93`94?7|fh=?6<9i2:m66?5k3:1>v`n75823c57g78yk441;l1<741a>2we>>7<0;295~hf?=0:;k94}o00=67=83;pbl9;:05e<>{i::38>7>52zlb31<6?o30qc<<92194?7|fh=?6<9ia:m66?4<3:1=v`n75823cd;50;0xjd1328=mo6sa22;02?6=9rdj;94>7gf8yk441:=1<7?tn`57>41am2we>>7<8;296~hf?=0:;kh4}o00=6?=83;pbl9;:0:34>{i::38m7>51zlb31<609;0qc<<92`94?4|fh=?6<6?2:m66?4k3:1=v`n7582<55j50;3xjd13282;86sa22;0a?6=:rdj;94>8178yk441:l1<7?tn`57>4>7>2we>>7;0;295~hf?=0:4=94}o00=17=838pbl9;:0:3<>{i::3?>7>51zlb31<60930qc<<95194?7|fh=?6<6?a:m66?3<3:1>v`n7582<5d81f8yk441==1<74>7m2we>>7;8;29<~hf?=0:4=h4}o00=1?=838pbl9;:0:24>{i::3?m7>51zlb31<608;0qc<<95`94?4|fh=?6<6>2:m66?3k3:1>v`n7582<458078yk441=l1<74>6>2we>>7:0;296~hf?=0:4<94}o00=07=838pbl9;:0:2<>{i::3>>7>52zlb31<60830qc<<94194?4|fh=?6<6>a:m66?2<3:1>v`n7582<4d80f8yk441<=1<74>6m2we>>7:8;296~hf?=0:4{i::3>m7>52zlb31<60;;0qc<<94`94?4|fh=?6<6=2:m66?2k3:1>v`n7582<758378yk4414>5>2we>>790;296~hf?=0:4?94}o00=37=838pbl9;:0:1<>{i::3=>7>52zlb31<60;30qc<<97194?4|fh=?6<6=a:m66?1<3:1>v`n7582<7d83f8yk441?=1<74>5m2we>>798;296~hf?=0:4?h4}o00=3?=838pbl9;:0:04>{i::3=m7>51zlb31<60:;0qc<<97`94?4|fh=?6<6<2:m66?1k3:1=v`n7582<658278yk441?l1<7?tn`57>4>4>2we>>780;295~hf?=0:4>94}o00=27=838pbl9;:0:0<>{i::3<>7>51zlb31<60:30qc<<96194?7|fh=?6<6v`n7582<6d82f8yk441>=1<74>4m2we>>788;295~hf?=0:4>h4}o00=2?=83;pbl9;:0:74>{i::352zlb31<60=;0qc<<96`94?7|fh=?6<6;2:m66?0k3:1=v`n7582<158578yk441>l1<7?tn`57>4>3>2we>>770;296~hf?=0:4994}o00==7=83;pbl9;:0:7<>{i::33>7>51zlb31<60=30qc<<99194?4|fh=?6<6;a:m66??<3:1=v`n7582<1d85f8yk4411=1<7?tn`57>4>3m2we>>778;295~hf?=0:49h4}o00==?=838pbl9;:0:64>{i::33m7>51zlb31<60<;0qc<<99`94?7|fh=?6<6:2:m66??k3:1>v`n7582<0586sa22;;a?6=9rdj;94>8478yk4411l1<74>2>2we>>760;295~hf?=0:4894}o00=<7=83;pbl9;:0:6<>{i::32>7>52zlb31<60<30qc<<98194?7|fh=?6<6:a:m66?><3:1=v`n7582<0do6sa22;:2?6=9rdj;94>84f8yk4410=1<74>2m2we>>768;295~hf?=0:48h4}o00={i::32m7>51zlb31<60?;0qc<<98`94??|fh=?6<692:m66?>k3:1=v`n7582<358778yk4410l1<7?tn`57>4>1>2we>>7n0;295~hf?=0:4;94}o00=d7=83;pbl9;:0:5<>{i::3j>7>51zlb31<60?30qc<<9`194?7|fh=?6<69a:m66?f<3:1=v`n7582<3d87f8yk441h=1<7?tn`57>4>1m2we>>7n8;291~hf?=0:4;h4}o00=d?=83;pbl9;:0:44>{i::3jm7>52zlb31<60>;0qc<<9``94?4|fh=?6<682:m66?fk3:1=>uaa6695=143td9?4ok:181kg0<3;3;95rn31:e`<72;qem::51956?xh5;0km6=4={oc40?7???1vb?=6b183>3}ii>>1=598;|l175<6sgk<87?77`9~j75>j=0;6{oc40?7??l1vb?=6b983>4}ii>>1=59i;|l17290:wco84;3;<5=zf;92nl4?:3yme22=912:7p`=38`a>5<6sgk<87?7839~j75>jj0;6?uaa6695=>43td9?4lk:182kg0<3;3495rn31:f`<728qem::519:6?xh5;0hm6=4>{oc40?7?0?1vb?=6c183>4}ii>>1=568;|l175<6sgk<87?78`9~j75>k=0;6e3td9?4m::186kg0<3;34n5rn31:g3<72;qem::519:g?xh5;0i<6=4<{oc40?7?0l1vb?=6c983>4}ii>>1=56i;|l17290:wco84;3;=5=zf;92ol4?:3yme22=913:7p`=38aa>5<6sgk<87?7939~j75>kj0;64}ii>>1=578;|l175<4sgk<87?79`9~j75>l=0;6?uaa6695=?e3td9?4j::182kg0<3;35n5rn31:`3<72;qem::519;g?xh5;0n<6=4>{oc40?7?1l1vb?=6d983>4}ii>>1=57i;|l17290:wco84;3;e5=zf;92hl4?:3yme22=91k:7p`=38fa>5<6sgk<87?7a39~j75>lj0;67}ii>>1=5o8;|l175<6sgk<87?7a`9~j75>m=0;6{oc40?7?il1vb?=6e983>4}ii>>1=5oi;|l17290:wco84;3;f5=zf;92il4?:0yme22=91h:7p`=38ga>5<6sgk<87?7b39~j75>mj0;6{oc40?7?j?1vb?=6f183>4}ii>>1=5l8;|l17<`62909wco84;3;f==zf;92j?4?:5yme22=91h27p`=38d0>5<5sgk<87?7b`9~j75>n=0;64}ii>>1=5li;|l17<`>2909wco84;3;g5=zf;92jl4?:0yme22=91i:7p`=38da>5<5sgk<87?7c39~j75>nj0;64}ii>>1=5m8;|l17d662909wco84;3;g==zf;9j5<5sgk<87?7c`9~j75f8=0;6::181kg0<3;3on5rn31b43<728qem::519ag?xh5;h:<6=4={oc40?7?kl1vb?=n0983>4}ii>>1=5mi;|l17d6>2909wco84;3;`5=zf;9j5<5sgk<87?7d39~j75f8j0;6k:181kg0<3;3h95rn31b4`<728qem::519f6?xh5;h:m6=4={oc40?7?l?1vb?=n1183>4}ii>>1=5j8;|l17d762909wco84;3;`==zf;9j=?4?:3yme22=91n27p`=3`30>5<6sgk<87?7d`9~j75f9=0;6?uaa6695=be3td9?l?::182kg0<3;3hn5rn31b53<72;qem::519fg?xh5;h;<6=4>{oc40?7?ll1vb?=n1983>7}ii>>1=5ji;|l17d7>290:wco84;3;a5=zf;9j=l4?:3yme22=91o:7p`=3`3a>5<6sgk<87?7e39~j75f9j0;6?uaa6695=c43td9?l?k:182kg0<3;3i95rn31b5`<72;qem::519g6?xh5;h;m6=4>{oc40?7?m?1vb?=n2183>7}ii>>1=5k8;|l17d462909wco84;3;a==zf;9j>?4?:3yme22=91o27p`=3`00>5<4sgk<87?7e`9~j4?71>0;67}ii>>1=5ki;|l17d4?2909wco84;3;b5=zf;9j>44?:0yme22=91l:7p`=3`0b>5<6sgk<87?7f39~j75f:k0;6{oc40?7?n?1vb?=n2g83>4}ii>>1=5h8;|l17d57290:wco84;3;b==zf;9j?<4?:0yme22=91l27p`=3`11>5<6sgk<87?7f`9~j75f;:0;6{oc40?7?nl1vb?=n3683>4}ii>>1=5hi;|l17d5?290:wco84;3:45=zf;9j?44?:4yme22=90::7p`=3`1b>5<6sgk<87?6039~j75f;k0;6?uaa6695<643td9?l=l:187kg0<3;2<95rn31b7a<72=qem::51826?xh5;h9n6=4<{oc40?7>8?1vb?=n3g83>6}ii>>1=4>8;|l17d272909wco84;3:4==zf;9j8<4?:0yme22=90:27p`=3`61>5<6sgk<87?60`9~j75f<:0;6=6=4<{oc40?7>8l1vb?=n4683>1}ii>>1=4>i;|l17d2?2908wco84;3:55=zf;9j844?:6yme22=90;:7p`=3`6b>5<5sgk<87?6139~j75fuaa6695<743td9?l:l:180kg0<3;2=95rn31b0a<72=qem::51836?xh5;h>n6=4<{oc40?7>9?1vb?=n4g83>6}ii>>1=4?8;|l17d37290?wco84;3:5==zf;9j9<4?:2yme22=90;27p`=3`71>5<4sgk<87?61`9~j75f=:0;6>uaa6695<7e3td9?l;;:180kg0<3;2=n5rn31b10<728qem::5183g?xh5;h?=6=47{oc40?7>9l1vb?=n5683>7}ii>>1=4?i;|l17d3?2909wco84;3:65=zf;9j944?:0yme22=908:7p`=3`7b>5<5sgk<87?6239~j75f=k0;68uaa6695<443td9?l;l:181kg0<3;2>95rn31b1a<72=qem::51806?xh5;h?n6=49{oc40?7>:?1vb?=n5g83>6}ii>>1=4<8;|l17d072908wco84;3:6==zf;9j:<4?:2yme22=90827p`=3`41>5<4sgk<87?62`9~j75f>:0;68uaa6695<4e3td9?l8;:18;kg0<3;2>n5rn31b20<72?qem::5180g?xh5;h<=6=4:{oc40?7>:l1vb?=n6683>0}ii>>1=45<4sgk<87?6339~j4?1=80;6{oc40?7>;?1vb?=n6e83>6}ii>>1=4=8;|l17d0b2908wco84;3:7==zf;9j:k4?:3yme22=90927p`=3`53>5<5sgk<87?63`9~j75f?80;6?uaa6695<5e3td9?l9=:180kg0<3;2?n5rn0;5g`<728qem::5181g?xh5;h=86=4:{oc40?7>;l1vb?=n7583>6}ii>>1=4=i;|l17d12290:7p`=3`54>5<2sgk<87?6439~j75f?10;68uaa6695<243td9?l96:187kg0<3;2895rn31b3d<723}ii>>1=4:8;|l17d1c290?wco84;3:0==zf;9j;h4?:7yme22=90>27p`=3`5e>5<5sgk<87?64`9~j75f090;68uaa6695<2e3td9?l6>:185kg0<3;28n5rn31b<7<72:qem::5186g?xh5;h286=4<{oc40?7>6}ii>>1=4:i;|l17d>22908wco84;3:15=zf;9j4;4?:2yme22=90?:7p`=3`:4>5<5sgk<87?6539~j75f010;6>uaa6695<343td9?l66:180kg0<3;2995rn31b=?1vb?=n8b83>7}ii>>1=4;8;|l17d>c290:wco84;3:1==zf;9j4h4?:2yme22=90?27p`=3`:e>5<6sgk<87?65`9~j75f190;6:181kg0<3;29n5rn31b=7<72;qem::5187g?xh5;h386=4={oc40?7>=l1vb?=n9583>7}ii>>1=4;i;|l17d?2290:?v`n7582=36>:1vb?=n9883>1}ii>>1=48;;|l17d?f290=wco84;3:20=zf;9j5o4?:7yme22=90<=7p`=3`;`>5<4sgk<87?6669~j75f1m0;6:uaa6695<0?3td9?l7j:187kg0<3;2:45rn31b=c<72?qem::5184b?xh5;hk;6=4>{oc40?7>>k1vb?=na083>7}ii>>1=48l;|l17dg52909wco84;3:2a=zf;9jm>4?:3yme22=905<6sgk<87?66g9~j75fi<0;6{oc40?7>?:1vb?=na883>4}ii>>1=49;;|l17dgf2909wco84;3:30=zf;9jmo4?:0yme22=90==7p`=3`c`>5<6sgk<87?6769~j75fim0;6?k1vb?=nb083>0}ii>>1=49l;|l17dd5290?wco84;3:3a=zf;9jn>4?:4yme22=90=n7p`=3``7>5<6sgk<87?67g9~j75fj<0;6?uaa6695<>73td9?ll9:186kg0<3;24<5rn31bf2<72;qem::518:1?xh5;hh36=4>{oc40?7>0:1vb?=nb883>4}ii>>1=46;;|l17ddf290:wco84;3:<0=zf;9jno4?:3yme22=902=7p`=3```>5<6sgk<87?6869~j75fjm0;6?3td9?llj:182kg0<3;2445rn31bfc<72:qem::518:b?xh5;hi;6=4<{oc40?7>0k1vb?=nc083>6}ii>>1=46l;|l17de52908wco84;3:4?:01xjd132833i6sa22c`0?6=;rdj;94>99d8yk44ij?1<7=tn`57>4?>82we>>ol6;297~hf?=0:54?4}o00ef1=839pbl9;:0;:6>{i::kh47>53zlb31<61090qc<9858yk44ijn1<7=tn`57>4?>02we>>ole;297~hf?=0:5474}o00ef`=839pbl9;:0;:e>{i::ko<7>53zlb31<610h0qc<uaa66956=4<{oc40?7>i91vb?=nd783>6}ii>>1=4o>;|l17db02908wco84;3:e7=zf;9jh54?:2yme22=90k87p`=3`f:>5<4sgk<87?6a59~j75flh0;6>uaa6695i11vb?=ndd83>7}ii>>1=4o6;|l17dba290:wco84;3:ed=zf;9ji=4?:2yme22=90ki7p`=3`g2>5<5sgk<87?6ab9~j75fm;0;6?uaa66956=4>{oc40?7>j91vb?=ne783>4}ii>>1=4l>;|l17dc02908wco84;3:f7=zf;9ji54?:2yme22=90h87p`=3`g:>5<4sgk<87?6b59~j75fmh0;68uaa6695j11vb?=ned83>7}ii>>1=4l6;|l17dca2909wco84;3:fd=zf;9jj=4?:3yme22=90hi7p`>9g74>5<6sgk<87?6bb9~j75fn80;6>uaa6695k91vb?=nf483>6}ii>>1=4m>;|l17d`1290>wco84;3:g7=zf;9jj:4?:5yme22=90i87p`=3`d;>5<5sgk<87?6c59~j75fn00;6?uaa6695k11vb?=nfe83><}ii>>1=4m6;|l17d`b2909wco84;3:gd=zf;9jjk4?:3yme22=90ii7p`=3c23>5<5sgk<87?6cb9~j75e880;6?uaa6695=:181kg0<3;2oh5rn31a46<72;qem::518ae?xh5;k:?6=4={oc40?7>l91vb?=m0483>7}ii>>1=4j>;|l17g612909wco84;3:`7=zf;9i<:4?:3yme22=90n87p`=3c2;>5<5sgk<87?6d59~j75e800;6?uaa6695n:181kg0<3;2h;5rn31a4g<72;qem::518f4?xh5;k:h6=4={oc40?7>l11vb?=m0e83>7}ii>>1=4j6;|l17g6b290:wco84;3:`d=zf;9i5<5sgk<87?6db9~j75e980;6m91vb?=m1483>7}ii>>1=4k>;|l17g712909wco84;3:a7=zf;9i=:4?:3yme22=90o87p`=3c3;>5<5sgk<87?6e59~j75e900;6?uaa6695m11vb?=m1e83>7}ii>>1=4k6;|l17g7b2909wco84;3:ad=zf;9i=k4?:3yme22=90oi7p`=3c03>5<5sgk<87?6eb9~j75e:80;6?uaa6695n91vb?=m2483>7}ii>>1=4h>;|l17g412909wco84;3:b7=zf;9i>:4?:3yme22=90l87p`=3c0;>5<5sgk<87?6f59~j75e:00;6?uaa6695<`23td9?on11vb?=m2e83>4}ii>>1=4h6;|l17g4b290:wco84;3:bd=zf;9i>k4?:0yme22=90li7p`=3c13>5<6sgk<87?6fb9~j75e;80;6{oc40?7f891vb?=m3483>4}ii>>1=l>>;|l17g51290:wco84;3b47=zf;9i?:4?:5yme22=9h:87p`=3c1;>5<6sgk<87?n059~j75e;00;6{oc40?7f811vb?=m3e83>0}ii>>1=l>6;|l17g5b290?wco84;3b4d=zf;9i?k4?:`yme22=9h:i7p`=3c63>5qem::51`2e?xh5;k>?6=4<{oc40?7f991vb?=m4483>6}ii>>1=l?>;|l17g212909wco84;3b57=zf;9i8:4?:0yme22=9h;87p`=3c6;>5<6sgk<87?n159~j75e<00;6h6=4>{oc40?7f911vb?=m4e83>7}ii>>1=l?6;|l17g2b2909=v`n7582e4ga0a8yk44j<;1<74g6l2we>>l:2;296~hf?=0:m{i::h>87>52zlb31<6i;:0qc<3:1>v`n7582e74a368yk44j<31<74g5=2we>>l:a;296~hf?=0:m?84}o00f0d=838pbl9;:0c13>{i::h>o7>52zlb31<6i;20qc<v`n7582e7ga3a8yk44j?;1<74g5l2we>>l92;296~hf?=0:m?k4}o00f35=838pbl9;:0c1b>{i::h=87>52zlb31<6i::0qc<3:1>v`n7582e64a268yk44j?31<74g4=2we>>l9a;296~hf?=0:m>84}o00f3d=838pbl9;:0c03>{i::h=o7>52zlb31<6i:20qc<v`n7582e6ga2a8yk44j>;1<74g4l2we>>l82;296~hf?=0:m>k4}o00f25=838pbl9;:0c0b>{i::h<87>52zlb31<6i=:0qc<3:1>v`n7582e14a568yk44j>31<74g3=2we>>l8a;296~hf?=0:m984}o00f2d=838pbl9;:0c73>{i::h52zlb31<6i=20qc<v`n7582e1ga5a8yk44j1;1<74g3l2we>>l72;296~hf?=0:m9k4}o00f=5=838pbl9;:0c7b>{i::h387>52zlb31<6i<:0qc<3:1>v`n7582e04?6sa22`;a468yk44j131<74g2=2we>>l7a;296~hf?=0:m884}o00f=d=838pbl9;:0c63>{i::h3o7>51zlb31<6i<20qc<n6sa22`:4?6=9rdj;94>a4a8yk44j0;1<7?tn`57>4g2l2we>>l62;293~hf?=0:m8k4}o00f<5=83;pbl9;:0c6b>{i::h287>54zlb31<6i?:0qc<>3:18v`n7582e34a768yk44j031<7?tn`57>4g1=2we>>l6a;295~hf?=0:m;84}o00f{i::h2o7>51zlb31<6i?20qc<m3:1?v`n7582e3ga7a8yk44jh;1<7ltn`57>4g1l2we>>ln2;290~hf?=0:m;k4}o00fd5=838pbl9;:0c5b>{i::hj87>55zlb31<6i>:0qc<3:1:v`n7582e2450;3xjd1328ka668yk44jh21<7=tn`57>4g0=2we>>ln9;296~hf?=0:m:84}o00fdg=838pbl9;:0c43>{i::hjn7>51zlb31<6i>20qc<v`n7582e2ga6a8yk44jk:1<7?tn`57>4g0l2we>>lm1;296~hf?=0:m:k4}o00fg4=838pbl9;:0c4b>{i::hi?7>52zlb31<6i1:0qc<v`n7582e=4a968yk44jk21<7?tn`57>4g?=2we>>lm9;296~hf?=0:m584}o00fgg=838pbl9;:0c;3>{i::hin7>52zlb31<6i120qc<v`n7582e=ga9a8yk44jj:1<7?tn`57>4g?l2we>>ll1;296~hf?=0:m5k4}o00ff4=838pbl9;:0c;b>{i::hh?7>52zlb31<6i0:0qc<v`n7582e<4a868yk44jj21<7?tn`57>4g>=2we>>ll9;296~hf?=0:m484}o00ffg=838pbl9;:0c:3>{i::hhn7>52zlb31<6i020qc<v`n7582ea8a8yk44jm:1<7?tn`57>4g>l2we>>lk1;296~hf?=0:m4k4}o00fa4=838pbl9;:0c:b>{i::ho?7>52zlb31<6ih:0qc<v`n7582ed4a`68yk44jm21<7?tn`57>4gf=2we>>lk9;296~hf?=0:ml84}o00fag=838pbl9;:0cb3>{i::hon7>52zlb31<6ih20qc<v`n7582edga`a8yk44jl:1<7?tn`57>4gfl2we>>lj1;296~hf?=0:mlk4}o00f`4=838pbl9;:0cbb>{i::hn?7>52zlb31<6ik:0qc<v`n7582eg4ac68yk44jl21<7?tn`57>4ge=2we>>lj9;296~hf?=0:mo84}o00f`g=838pbl9;:0ca3>{i::hnn7>52zlb31<6ik20qc<v`n7582eggaca8yk44jo:1<7?tn`57>4gel2we>>li1;296~hf?=0:mok4}o00fc4=838pbl9;:0cab>{i::hm?7>52zlb31<6ij:0qc<v`n7582ef4ab68yk44jo21<7?tn`57>4gd=2we>>li9;296~hf?=0:mn84}o00fcg=838pbl9;:0c`3>{i::hmn7>52zlb31<6ij20qc<v`n7582efgaba8yk44k9:1<7?tn`57>4gdl2we>>m?1;296~hf?=0:mnk4}o00g54=838pbl9;:0c`b>{i::i;?7>52zlb31<6im:0qc<v`n7582ea4ae68yk44k921<7?tn`57>4gc=2we>>m?9;296~hf?=0:mi84}o00g5g=838pbl9;:0cg3>{i::i;n7>52zlb31<6im20qc<v`n7582eagaea8yk44k8:1<7?tn`57>4gcl2we>>m>1;296~hf?=0:mik4}o00g44=838pbl9;:0cgb>{i::i:?7>52zlb31<6il:0qc<v`n7582e`4ad68yk44k821<7?tn`57>4gb=2we>>m>9;296~hf?=0:mh84}o00g4g=838pbl9;:0cf3>{i::i:n7>52zlb31<6il20qc<v`n7582e`gada8yk44k;:1<7?tn`57>4gbl2we>>m=1;296~hf?=0:mhk4}o00g74=838pbl9;:0cfb>{i::i9?7>52zlb31<6io:0qc<v`n7582ec4ag68yk44k;21<7?tn`57>4ga=2we>>m=9;296~hf?=0:mk84}o00g7g=838pbl9;:0ce3>{i::i9n7>52zlb31<6io20qc<v`n7582ecgaga8yk44k::1<7?tn`57>4gal2we>>m<1;296~hf?=0:mkk4}o00g64=838pbl9;:0ceb>{i::i8?7>52zlb31<6j9:0qc<v`n7582f54850;0xjd1328h;?6sa22a03?6=:rdj;94>b168yk44k:21<7?tn`57>4d7=2we>>m<9;296~hf?=0:n=84}o00g6g=838pbl9;:0`33>{i::i8n7>52zlb31<6j920qc<v`n7582f5gk50;0xjd1328h;n6sa22a0b?6=:rdj;94>b1a8yk44k=:1<7?tn`57>4d7l2we>>m;1;296~hf?=0:n=k4}o00g14=838pbl9;:0`3b>{i::i??7>52zlb31<6j8:0qc<1:m66e3=3:1>v`n7582f44b068yk44k=21<7?tn`57>4d6=2we>>m;9;295~hf?=0:n<84}o00g1g=83;pbl9;:0`23>{i::i?n7>51zlb31<6j820qc<9:m66e3l3:1=v`n7582f4gb0a8yk44k<:1<74d6l2we>>m:1;296~hf?=0:n{i::i>?7>51zlb31<6j;:0qc<b368yk44k<21<7=tn`57>4d5=2we>>m:9;290~hf?=0:n?84}o00g0g=839pbl9;:0`13>{i::i>n7>58zlb31<6j;20qc<b3a8yk44k?:1<7otn`57>4d5l2we>>m91;291~hf?=0:n?k4}o00g34=83?pbl9;:0`1b>{i::i=?7>54zlb31<6j::0qc?m23c94?7|fh=?6b268yk44k?<1<7?tn`57>4d4=2we>>m97;295~hf?=0:n>84}o00g3>=838pbl9;:0`03>{i::i=57>52zlb31<6j:20qc<b2a8yk44k?o1<7?tn`57>4d4l2we>>m9f;295~hf?=0:n>k4}o00g26=838pbl9;:0`0b>{i::i<=7>52zlb31<6j=:0qc<b568yk44k><1<7?tn`57>4d3=2we>>m87;295~hf?=0:n984}o00g2>=83;pbl9;:0`73>{i::i<57>51zlb31<6j=20qc<b5a8yk44k>o1<7?tn`57>4d3l2we>>m8f;295~hf?=0:n9k4}o00g=6=83;pbl9;:0`7b>{i::i3=7>51zlb31<6j<:0qc<?6sa22a;1?6=9rdj;94>b468yk44k1<1<7?tn`57>4d2=2we>>m77;296~hf?=0:n884}o00g=>=838pbl9;:0`63>{i::i357>51zlb31<6j<20qc<n6sa22a;`?6=;rdj;94>b4a8yk7e;j=1<7?tn`57>4d2l2we=o=l8;295~hf?=0:n8k4}o00g=c=83;pbl9;:0`6b>{i::i3j7>51zlb31<6j?:0qc<93:1;v`n7582f34b768yk44k0>1<7?tn`57>4d1=2we>>m65;295~hf?=0:n;84}o00g<0=83;pbl9;:0`53>{i::i2;7>51zlb31<6j?20qc<13:1=v`n7582f3gb7a8yk44k0i1<7?tn`57>4d1l2we>>m6d;295~hf?=0:n;k4}o00g{i::i2j7>51zlb31<6j>:0qc<b668yk44kh>1<7?tn`57>4d0=2we>>mn5;295~hf?=0:n:84}o00gd0=83;pbl9;:0`43>{i::ij;7>51zlb31<6j>20qc<b6a8yk44khi1<7?tn`57>4d0l2we>>mnd;295~hf?=0:n:k4}o00gdc=83;pbl9;:0`4b>{i::ijj7>51zlb31<6j1:0qc<b968yk44kk>1<7?tn`57>4d?=2we>>mm5;295~hf?=0:n584}o00gg0=83;pbl9;:0`;3>{i::ii;7>52zlb31<6j120qc<v`n7582f=gb9a8yk44kki1<74d?l2we>>mmd;296~hf?=0:n5k4}o00ggc=838pbl9;:0`;b>{i::iij7>53zlb31<6j0:0qc<b868yk44kj>1<7?tn`57>4d>=2we>>ml5;295~hf?=0:n484}o00gf0=83;pbl9;:0`:3>{i::ih;7>51zlb31<6j020qc<b8a8yk44kji1<74d>l2we>>mld;29e~hf?=0:n4k4}o00gfc=83{i::ihj7>56zlb31<6jh:0qc<b`68yk44km>1<79tn`57>4df=2we>>mk5;296~hf?=0:nl84}o00ga0=838pbl9;:0`b3>{i::io;7>52zlb31<6jh20qc<b`a8yk44kmi1<7?tn`57>4dfl2we>>mkd;295~hf?=0:nlk4}o00gac=839pbl9;:0`bb>{i::ioj7>55zlb31<6jk:0qc<bc68yk44kl>1<74de=2we>>mj5;296~hf?=0:no84}o00g`0=838pbl9;:0`a3>{i::in;7>53zlb31<6jk20qc<bca8yk44kli1<7?tn`57>4del2we>>mjd;296~hf?=0:nok4}o00g`c=83;pbl9;:0`ab>{i::inj7>511yme22=9ki;7p`=3bd3>55rn31`b6<728qem::51ca7?xh5;jl?6=4>{oc40?7ek<1vb?=lf483>4}ii>>1=om9;|l17f`1290:wco84;3ag2=zf;9hj:4?:0yme22=9ki37p`=3bd;>5<6sgk<87?mc89~j75dn00;6{oc40?7ekm1vb?=lfe83>4}ii>>1=omj;|l17f`b290:wco84;3agc=zf;9hjk4?:0yme22=9kn;7p`=3e23>5<6sgk<87?md09~j75c880;6=:182kg0<3;ih>5rn31g46<72:qem::51cf7?xh5;m:?6=4>{oc40?7el<1vb?=k0483>4}ii>>1=oj9;|l17a61290:wco84;3a`2=zf;9o<:4?:3yme22=9kn37p`=3e2;>5<5sgk<87?md89~j75c800;6?uaa6695gbf3td9?i>n:181kg0<3;iho5rn31g4g<72:qem::51cf`?xh5;m:h6=4={oc40?7elm1vb?=k0e83>7}ii>>1=ojj;|l2f=g6290:wco84;3a`c=zf;9o5<6sgk<87?me09~j75c990;6?uaa6695gc53td9?i?>:180kg0<3;ii>5rn31g57<72;qem::51cg7?xh5;m;86=4={oc40?7em<1vb?=k1583>4}ii>>1=ok9;|l17a72290:wco84;3aa2=zf;9o=;4?:0yme22=9ko37p`=3e34>5<6sgk<87?me89~j75c910;69uaa6695gcf3td9?i?6:181kg0<3;iio5rn31g5d<72;qem::51cg`?xh5;m;i6=4={oc40?7emm1vb?=k1b83>6}ii>>1=okj;|l17a7c2909wco84;3aac=zf;9o=h4?:3yme22=9kl;7p`>b9db>5<6sgk<87?mf09~j75c9o0;6?uaa6695g`53td9?i5rn31g64<728qem::51cd7?xh5;m896=4<{oc40?7en<1vb?=k2283>6}ii>>1=oh9;|l17a432908wco84;3ab2=zf;9o>84?:0yme22=9kl37p`=3e05>5<6sgk<87?mf89~j75c:>0;66}ii>>1=ohj;|l17a4d2909wco84;3abc=zf;9o>i4?:3yme22=9j:;7p`>b847>5<6sgk<87?l009~j75c:l0;65rn31g75<72:qem::51b27?xh5;m9:6=4<{oc40?7d8<1vb?=k3383>6}ii>>1=n>9;|l17a54290:wco84;3`42=zf;9o?94?:0yme22=9j:37p`=3e16>5<6sgk<87?l089~j75c;?0;67}ii>>1=n>j;|l17a5e2908wco84;3`4c=zf;9o?n4?:3yme22=9j;;7p`=3e1g>5<4sgk<87?l109~j75c;l0;6?uaa6695f753td9?i=i:180kg0<3;h=>5rn31g05<72;qem::51b37?xh5;m>:6=4>{oc40?7d9<1vb?=k4383>7}ii>>1=n?9;|l17a242908wco84;3`52=zf;9o894?:3yme22=9j;37p`=3e66>5<4sgk<87?l189~j75c26=4<{oc40?7d9m1vb?=k4`83>6}ii>>1=n?j;|l17a2e2908wco84;3`5c=zf;9o8n4?:7yme22=9j8;7p`=3e6g>5<1sgk<87?l209~j75c>5rn31g0c<72:qem::51b07?xh5;m?;6=4<{oc40?7d:<1vb?=k5083>7}ii>>1=n<9;|l2fdga290:wco84;3`62=zf;9o9?4?:0yme22=9j837p`=3e70>5<5sgk<87?l289~j75c==0;6?uaa6695f4f3td9?i;::181kg0<3;h>o5rn31g13<72:qem::51b0`?xh5;m?<6=4={oc40?7d:m1vb?=k5983>7}ii>>1=n5<6sgk<87?l309~j75c=k0;6>uaa6695f553td9?i;l:180kg0<3;h?>5rn31g1a<72:qem::51b17?xh5;m?n6=4>{oc40?7d;<1vb?=k5g83>4}ii>>1=n=9;|l17a07290:wco84;3`72=zf;9o:<4?:3yme22=9j937p`=3e41>5<5sgk<87?l389~j75c>:0;6?uaa6695f5f3td9?i8;:180kg0<3;h?o5rn31g20<72;qem::51b1`?xh5;m<=6=4={oc40?7d;m1vb4}ii>>1=n=j;|l17a00290:wco84;3`7c=zf;9o:54?:0yme22=9j>;7p`=3e4:>5<4sgk<87?l409~j75c>h0;6>uaa6695f253td9?i8m:180kg0<3;h8>5rn31g2f<728qem::51b67?xh5;m{oc40?7d<<1vb?=k6d83>7}ii>>1=n:9;|l17a0a2908wco84;3`02=zf;9o;=4?:0yme22=9j>37p`=3e52>5<6sgk<87?l489~j75c?;0;6?uaa6695f2f3td9?i9<:181kg0<3;h8o5rn31g31<72:qem::51b6`?xh5;m=>6=4<{oc40?7d6}ii>>1=n:j;|l17a102909wco84;3`0c=zf;9o;54?:2yme22=9j?;7p`=3e5:>5<6sgk<87?l509~j75c?h0;6?uaa6695f353td9?i9m:181kg0<3;h9>5rn31g3f<72:qem::51b77?xh5;m=o6=4<{oc40?7d=<1vb?=k7d83>6}ii>>1=n;9;|l17a1a290:wco84;3`12=zf;9o4=4?:3yme22=9j?37p`=3e:2>5<6sgk<87?l589~j75c0;0;6?uaa6695f3f3td9?i6<:180kg0<3;h9o5rn31g<1<72:qem::51b7`?xh5;m2>6=4<{oc40?7d=m1vb?=k8783>4}ii>>1=n;j;|l17a>0290:wco84;3`1c=zf;9o454?:3yme22=9j<;7p`=3e::>5<4sgk<87?l609~j75c0h0;6>uaa6695f053td9?i6m:180kg0<3;h:>5rn31g{oc40?7d><1vb?=k8d83>7}ii>>1=n89;|l17a>a2908wco84;3`22=zf;9o5=4?:2yme22=9j<37p`=3e;2>5<4sgk<87?l689~j75c1;0;6;uaa6695f0f3td9?i7<:181kg0<3;h:o5rn31g=1<728qem::51b4`?xh5;m3>6=4={oc40?7d>m1vb?=k9783>7}ii>>1=n8j;|l17a?0290=wco84;3`2c=zf;9o554?:5yme22=9j=;7p`=3e;:>5<5sgk<87?l709~j75c1h0;6?uaa6695f153td9?i7m:18;kg0<3;h;>5rn31g=f<72mqem::51b57?xh5;m3o6=4={oc40?7d?<1vb?=k9d83>7}ii>>1=n99;|l17a?a2909wco84;3`32=zf;9om=4?:3yme22=9j=37p`=3ec2>5<5sgk<87?l789~j75ci;0;6>uaa6695f1f3td9?io<:18akg0<3;h;o5rn31ge1<72;qem::51b5`?xh5;mk>6=4;{oc40?7d?m1vb?=ka783>7}ii>>1=n9j;|l17ag02909wco84;3`3c=zf;9om54?:00xjd1328i3<6sa22fb=?6=:rdj;94>c938yk44lhk1<74e?:2we>>jnb;296~hf?=0:o5=4}o00`de=838pbl9;:0a;0>{i::njh7>52zlb31<6k1?0qc<50;1xjd1328i346sa22fa5?6=:rdj;94>c9;8yk7ell?1<7?tn`57>4e?i2we>>jm2;297~hf?=0:o5l4}o00`g5=839pbl9;:0a;g>{i::ni87>52zlb31<6k1n0qc?me0d94?7|fh=?6c838yk44lk21<7=tn`57>4e>:2we>>jm9;296~hf?=0:o4=4}o00`gg=839pbl9;:0a:0>{i::nin7>53zlb31<6k0?0qc<v`n7582g<1c8;8yk44lj:1<7=tn`57>4e>i2we>>jl1;296~hf?=0:o4l4}o00`f4=83;pbl9;:0a:g>{i::nh?7>51zlb31<6k0n0qc<c`38yk44lj21<7=tn`57>4ef:2we>>jl9;296~hf?=0:ol=4}o3ab75=83;pbl9;:0ab0>{i::nhm7>51zlb31<6kh?0qc<c`;8yk44ljl1<7=tn`57>4efi2we>>jk0;297~hf?=0:oll4}o00`a7=83;pbl9;:0abg>{i::no>7>52zlb31<6khn0qc<cc38yk44lm=1<7?tn`57>4ee:2we>>jk8;297~hf?=0:oo=4}o00`a?=839pbl9;:0aa0>{i::nom7>53zlb31<6kk?0qc<cc;8yk44lmo1<7?tn`57>4eei2we>>jkf;295~hf?=0:ool4}o00``6=83;pbl9;:0aag>{i::nn=7>53zlb31<6kkn0qc<v`n7582gg`cb38yk44ll<1<7?tn`57>4ed:2we>>jj7;295~hf?=0:on=4}o00``>=83;pbl9;:0a`0>{i::nn57>53zlb31<6kj?0qc<v`n7582gf1cb;8yk44llo1<7?tn`57>4edi2we>>jjf;295~hf?=0:onl4}o00`c6=83;pbl9;:0a`g>{i::nm=7>53zlb31<6kjn0qc<v`n7582gf`ce38yk44lo<1<7?tn`57>4ec:2we>>ji7;295~hf?=0:oi=4}o00`c>=83;pbl9;:0ag0>{i::nm57>51zlb31<6km?0qc<ce;8yk44loo1<7?tn`57>4eci2we>>jif;295~hf?=0:oil4}o00a56=83;pbl9;:0agg>{i::o;=7>51zlb31<6kmn0qc<cd38yk44m9<1<7?tn`57>4eb:2we>>k?7;291~hf?=0:oh=4}o00a5>=83;pbl9;:0af0>{i::o;57>51zlb31<6kl?0qc<cd;8yk44m9o1<7?tn`57>4ebi2we>>k?f;295~hf?=0:ohl4}o00a46=83;pbl9;:0afg>{i::o:=7>51zlb31<6kln0qc<cg38yk44m8<1<7=tn`57>4ea:2we>>k>7;296~hf?=0:ok=4}o00a4>=83;pbl9;:0ae0>{i::o:57>51zlb31<6ko?0qc<cg;8yk44m8o1<7=tn`57>4eai2we>>k>f;296~hf?=0:okl4}o00a76=83;pbl9;:0aeg>{i::o9=7>51zlb31<6kon0qc<d138yk44m;<1<7=tn`57>4b7:2we>>k=7;296~hf?=0:h==4}o00a7>=83;pbl9;:0f30>{i::o957>51zlb31<6l9?0qc<d1;8yk44m;o1<7=tn`57>4b7i2we>>k=f;297~hf?=0:h=l4}o00a66=838pbl9;:0f3g>{i::o8=7>51zlb31<6l9n0qc<:50;0xjd1328n:<6sa22g01?6=;rdj;94>d038yk44m:<1<7=tn`57>4b6:2we>>k<7;296~hf?=0:h<=4}o00a6>=83;pbl9;:0f20>{i::o857>51zlb31<6l8?0qc<6:m66c4j3:1?v`n7582`41m50;1xjd1328n:46sa22g0`?6=:rdj;94>d0;8yk44m:o1<74b6i2we>>k{i::o?=7>52zlb31<6l8n0qc<e:m66c3;3:18v`n7582`4`=5rn31f00<728:pbl9;:0f15>{i::o?:7>52zlb31<6l;80qc<v`n7582`72d348yk44m=h1<74b5?2we>>k;c;297~hf?=0:h?64}o00a1b=839pbl9;:0f1=>{i::o?i7>52zlb31<6l;k0qc<v`n7582`7ed3g8yk44m<91<74b5n2we>>k:4;297~hf?=0:h>>4}o00a03=839pbl9;:0f05>{i::o>:7>52zlb31<6l:80qc<d248yk44m4b4?2we>>k:c;296~hf?=0:h>64}o00a0b=83;pbl9;:0f0=>{i::o>i7>51zlb31<6l:k0qc<d2g8yk44m?91<7?tn`57>4b4n2we>>k94;295~hf?=0:h9>4}o00a33=838pbl9;:0f75>{i::o=:7>53zlb31<6l=80qc<v`n7582`12d548yk44m?h1<74b3?2we>>k9c;297~hf?=0:h964}o00a3b=838pbl9;:0f7=>{i::o=i7>52zlb31<6l=k0qc<v`n7582`1ed5g8yk44m>91<7=tn`57>4b3n2we>>k84;296~hf?=0:h8>4}o00a23=838pbl9;:0f65>{i9j?;m7>51zlb31<6l<80qc<96sa22g4=?6=;rdj;94>d448yk44m>k1<78tn`57>4b2?2we>>k8b;297~hf?=0:h864}o00a2e=83;pbl9;:0f6=>{i::o51zlb31<6l50;3xjd1328n>h6sa22g;5?6=9rdj;94>d4g8yk44m181<7?tn`57>4b2n2we>>k73;2955}ii>>1=i8?;|l17`>3290jwco84;3g24=zf;9n484?:3yme22=9m<97p`=3d:5>5<5sgk<87?k629~j75b0>0;6?uaa6695a033td9?h67:181kg0<3;o:85rn31f<<<72=qem::51e45?xh5;l2j6=4={oc40?7c>>1vb?=j8c83>4}ii>>1=i87;|l17`>d290:wco84;3g2<=zf;9n4i4?:0yme22=9m5<6sgk<87?k6c9~j75b0o0;6{oc40?7c>o1vb?=j9283>4}ii>>1=i9?;|l17`?3290:wco84;3g34=zf;9n584?:0yme22=9m=97p`=3d;5>5<6sgk<87?k729~j75b1>0;6{oc40?7c?>1vb?=j9c83>4}ii>>1=i97;|l17`?d290:wco84;3g3<=zf;9n5i4?:0yme22=9m=j7p`=3d;f>5<6sgk<87?k7c9~j75b1o0;6{oc40?7c?o1vb?=ja283>4}ii>>1=i6?;|l17`g3290:wco84;3g<4=zf;9nm84?:0yme22=9m297p`=3dc5>5<5sgk<87?k829~j75bi>0;633td9?ho7:181kg0<3;o485rn31fe<<728qem::51e:5?xh5;lkj6=4={oc40?7c0>1vb?=jac83>4}ii>>1=i67;|l17`gd2909wco84;3g<<=zf;9nmi4?:0yme22=9m2j7p`=3dcf>5<5sgk<87?k8c9~j75bio0;6d3td9?hl?:181kg0<3;o4i5rn31ff4<728qem::51e:f?xh5;lh96=4={oc40?7c0o1vb?=jb283>4}ii>>1=i7?;|l17`d32909wco84;3g=4=zf;9nn84?:0yme22=9m397p`=3d`5>5<5sgk<87?k929~j75bj>0;61vb?=jbc83>4}ii>>1=i77;|l17`dd2909wco84;3g=<=zf;9nni4?:0yme22=9m3j7p`=3d`f>5<5sgk<87?k9c9~j75bjo0;64}ii>>1=io?;|l17`e32909wco84;3ge4=zf;9no84?:0yme22=9mk97p`=3da5>5<5sgk<87?ka29~j75bk>0;61vb?=jcc83>4}ii>>1=io7;|l17`ed2909wco84;3ge<=zf;9noi4?:0yme22=9mkj7p`=3daf>5<5sgk<87?kac9~j75bko0;64}ii>>1=il?;|l17`b32909wco84;3gf4=zf;9nh84?:0yme22=9mh97p`=3df5>5<5sgk<87?kb29~j75bl>0;61vb?=jdc83>4}ii>>1=il7;|l17`bd2909wco84;3gf<=zf;9nhi4?:0yme22=9mhj7p`=3dff>5<5sgk<87?kbc9~j75blo0;64}ii>>1=im?;|l17`c32909wco84;3gg4=zf;9ni84?:0yme22=9mi97p`=3dg5>5<5sgk<87?kc29~j75bm>0;61vb?=jec83>4}ii>>1=im7;|l17`cd2909wco84;3gg<=zf;9nii4?:0yme22=9mij7p`=3dgf>5<5sgk<87?kcc9~j75bmo0;6{oc40?7cko1vb?=jf383>4}ii>>1=ij?;|l17``4290:wco84;3g`4=zf;9nj94?:0yme22=9mn97p`=3dd6>5<6sgk<87?kd29~j75bn?0;61vb?=jf`83>7}ii>>1=ij7;|l17``e2909wco84;3g`<=zf;9njn4?:3yme22=9mnj7p`=3ddg>5<4sgk<87?kdc9~j75bnl0;6?uaa6695abd3td9?hhi:181kg0<3;ohi5rn31e45<72;qem::51eff?xh5;o::6=4>{oc40?7clo1vb?=i0383>4}ii>>1=ik?;|l17c64290:wco84;3ga4=zf;9m<94?:0yme22=9mo97p`=3g26>5<6sgk<87?ke29~j75a8?0;68:182kg0<3;oi85rn31e4=<728qem::51eg5?xh5;o:26=4>{oc40?7cm>1vb?=i0`83>4}ii>>1=ik7;|l17c6e290:wco84;3ga<=zf;9m5<6sgk<87?kec9~j75a8l0;6i:182kg0<3;oii5rn31e55<728qem::51egf?xh5;o;:6=4>{oc40?7cmo1vb?=i1383>4}ii>>1=ih?;|l17c74290:wco84;3gb4=zf;9m=94?:0yme22=9ml97p`=3g36>5<6sgk<87?kf29~j75a9?0;6{oc40?7cn>1vb?=i1`83>4}ii>>1=ih7;|l17c7e290:wco84;3gb<=zf;9m=n4?:0yme22=9mlj7p`=3g3g>5<6sgk<87?kfc9~j75a9l0;6{oc40?7cno1vb?=i2383>4}ii>>1=h>?;|l17c44290:wco84;3f44=zf;9m>94?:0yme22=9l:97p`=3g06>5<6sgk<87?j029~j75a:?0;6{oc40?7b8>1vb?=i2`83>4}ii>>1=h>7;|l17c4e290:wco84;3f4<=zf;9m>n4?:0yme22=9l:j7p`=3g0g>5<6sgk<87?j0c9~j75a:l0;6{oc40?7b8o1vb?=i3383>4}ii>>1=h??;|l17c54290:wco84;3f54=zf;9m?94?:0yme22=9l;97p`=3g16>5<6sgk<87?j129~j75a;?0;6{oc40?7b9>1vb?=i3`83>4}ii>>1=h?7;|l17c5e290:wco84;3f5<=zf;9m?n4?:0yme22=9l;j7p`=3g1g>5<6sgk<87?j1c9~j75a;l0;6:6=4>{oc40?7b9o1vb?=i4383>4}ii>>1=h5<6sgk<87?j229~j75a85rn31e0=<728qem::51d05?xh5;o>26=4>{oc40?7b:>1vb?=i4`83>4}ii>>1=h<7;|l17c2e290:wco84;3f6<=zf;9m8n4?:0yme22=9l8j7p`=3g6g>5<6sgk<87?j2c9~j75ai5rn31e15<728qem::51d0f?xh5;o?:6=4>{oc40?7b:o1vb?=i5383>4}ii>>1=h=?;|l17c342909wco84;3f74=zf;9m994?:3yme22=9l997p`=3g76>5<6sgk<87?j329~j75a=?0;61vb?=i5`83>4}ii>>1=h=7;|l17c3e290:wco84;3f7<=zf;9m9n4?:0yme22=9l9j7p`=3g7g>5<6sgk<87?j3c9~j75a=l0;6{oc40?7b;o1vb?=i6383>4}ii>>1=h:?;|l17c04290:wco84;3f04=zf;9m:94?:0yme22=9l>97p`=3g46>5<6sgk<87?j429~j75a>?0;6{oc40?7b<>1vb?=i6`83>4}ii>>1=h:7;|l17c0e290:wco84;3f0<=zf;9m:n4?:0yme22=9l>j7p`=3g4g>5<6sgk<87?j4c9~j75a>l0;6{oc40?7b4}ii>>1=h;?;|l17c14290:wco84;3f14=zf;9m;94?:0yme22=9l?97p`=3g56>5<6sgk<87?j529~j75a??0;6{oc40?7b=>1vb?=i7`83>4}ii>>1=h;7;|l17c1e290:wco84;3f1<=zf;9m;n4?:0yme22=9l?j7p`=3g5g>5<6sgk<87?j5c9~j75a?l0;6{oc40?7b=o1vb?=i8383>4}ii>>1=h8?;|l17c>4290:wco84;3f24=zf;9m494?:0yme22=9l<97p`=3g:6>5<6sgk<87?j629~j75a0?0;6{oc40?7b>>1vb?=i8`83>4}ii>>1=h87;|l17c>e290:wco84;3f2<=zf;9m4n4?:0yme22=9l5<6sgk<87?j6c9~j75a0l0;6{oc40?7b>o1vb?=i9383>4}ii>>1=h9?;|l17c?4290:wco84;3f34=zf;9m594?:0yme22=9l=97p`=3g;6>5<6sgk<87?j729~j75a1?0;6{oc40?7b?>1vb?=i9`83>4}ii>>1=h97;|l17c?e290:wco84;3f3<=zf;9m5n4?:0yme22=9l=j7p`=3g;g>5<6sgk<87?j7c9~j75a1l0;6{oc40?7b?o1vb?=ia383>4}ii>>1=h6?;|l17cg4290:wco84;3f<4=zf;9mm94?:0yme22=9l297p`=3gc6>5<6sgk<87?j829~j75ai?0;6?uaa6695`>33td9?ko8:182kg0<3;n485rn31ee=<728qem::51d:5?xh5;ok26=4<{oc40?7b0>1vb?=ia`83>7}ii>>1=h67;|l17cge2908wco84;3f<<=zf;9mmn4?:3yme22=9l2j7p`=3gcg>5<4sgk<87?j8c9~j75ail0;6?uaa6695`>d3td9?koi:180kg0<3;n4i5rn31ef5<72;qem::51d:f?xh5;oh:6=4>{oc40?7b0o1vb?=ib383>4}ii>>1=h7?;|l17cd4290:wco84;3f=4=zf;9mn94?:0yme22=9l397p`=3g`6>5<6sgk<87?j929~j75aj?0;6{oc40?7b1>1vb?=ib`83>4}ii>>1=h77;|l17cde290:wco84;3f=<=zf;9mnn4?:0yme22=9l3j7p`=3g`g>5<6sgk<87?j9c9~j75ajl0;6{oc40?7b1o1vb?=ic383>4}ii>>1=ho?;|l17ce4290:wco84;3fe4=zf;9mo94?:0yme22=9lk97p`=3ga6>5<6sgk<87?ja29~j75ak?0;6{oc40?7bi>1vb?=ic`83>4}ii>>1=ho7;|l17cee290:wco84;3fe<=zf;9mon4?:0yme22=9lkj7p`=3gag>5<6sgk<87?jac9~j75akl0;6{oc40?7bio1vb?=id383>4}ii>>1=hl?;|l17cb4290:wco84;3ff4=zf;9mh94?:0yme22=9lh97p`=3gf6>5<6sgk<87?jb29~j75al?0;61vb?=id`83>7}ii>>1=hl7;|l17cbe2909wco84;3ff<=zf;9mhn4?:2yme22=9lhj7p`=3gfg>5<6sgk<87?jbc9~j75all0;6{oc40?7bjo1vb?=ie383>4}ii>>1=hm?;|l17cc4290:wco84;3fg4=zf;9mi94?:0yme22=9li97p`=3gg6>5<6sgk<87?jc29~j75am?0;6{oc40?7bk>1vb?=ie`83>4}ii>>1=hm7;|l17cce290:wco84;3fg<=zf;9min4?:0yme22=9lij7p`=3ggg>5<6sgk<87?jcc9~j75aml0;6{oc40?7bko1vb?=if383>4}ii>>1=hj?;|l17c`4290:wco84;3f`4=zf;9mj94?:0yme22=9ln97p`=3gd6>5<6sgk<87?jd29~j75an?0;6{oc40?7bl>1vb?=if`83>4}ii>>1=hj7;|l17c`e290:wco84;3f`<=zf;9mjn4?:0yme22=9lnj7p`=3gdg>5<6sgk<87?jdc9~j75anl0;6{oc40?7blo1vb?:?0383>4}ii>>1=hk?;|l10564290:wco84;3fa4=zf;>;<94?:0yme22=9lo97p`=4126>5<6sgk<87?je29~j7278?0;68:181kg0<3;ni85rn3634=<72;qem::51dg5?xh5<9:26=4={oc40?7bm>1vb?:?0`83>7}ii>>1=hk7;|l1056e2908wco84;3fa<=zf;>;5<5sgk<87?jec9~j4b>0;0;67}ii>>1=hh?;|l105762909wco84;3fb4=zf;>;=?4?:2yme22=9ll97p`=4130>5<4sgk<87?jf29~j7279=0;6>uaa6695``33td98=?::180kg0<3;nj85rn36353<72:qem::51dd5?xh5<9;<6=4<{oc40?7bn>1vb?:?1983>6}ii>>1=hh7;|l1057>2908wco84;3fb<=zf;>;=l4?:2yme22=9llj7p`=413a>5<4sgk<87?jfc9~j7279j0;6>uaa6695``d3td98=?k:180kg0<3;nji5rn3635`<72:qem::51ddf?xh5<9;m6=4<{oc40?7bno1vb?:?2183>6}ii>>1=k>?;|l105462908wco84;3e44=zf;>;>?4?:2yme22=9o:97p`=4100>5<4sgk<87?i029~j727:=0;6>uaa6695c633td98=<::182kg0<3;m<85rn36363<728qem::51g25?xh5<98<6=4<{oc40?7a8>1vb?:?2983>6}ii>>1=k>7;|l1054>2908wco84;3e4<=zf;>;>l4?:2yme22=9o:j7p`=410a>5<4sgk<87?i0c9~j727:j0;67}ii>>1=k??;|l105562909wco84;3e54=zf;>;??4?:3yme22=9o;97p`=4110>5<5sgk<87?i129~j727;=0;6?uaa6695c733td98==::182kg0<3;m=85rn36373<72:qem::51g35?xh5<99<6=4<{oc40?7a9>1vb?:?3983>4}ii>>1=k?7;|l1055>2908wco84;3e5<=zf;>;?l4?:0yme22=9o;j7p`=411a>5<5sgk<87?i1c9~j727;j0;6{oc40?7a9o1vb4}ii>>1=k;8=4?:0yme22=9o897p`=4162>5<6sgk<87?i229~j727<;0;685rn36301<728qem::51g05?xh5<9>>6=4>{oc40?7a:>1vb?:?4783>4}ii>>1=k<7;|l10520290:wco84;3e6<=zf;>;854?:0yme22=9o8j7p`=416:>5<6sgk<87?i2c9~j727i5rn3630f<728qem::51g0f?xh5<9>o6=4>{oc40?7a:o1vb?:?4d83>4}ii>>1=k=?;|l1052a290:wco84;3e74=zf;>;9=4?:0yme22=9o997p`=4172>5<6sgk<87?i329~j727=;0;66=4>{oc40?7a;>1vb?:?5783>4}ii>>1=k=7;|l10530290:wco84;3e7<=zf;>;954?:0yme22=9o9j7p`=417:>5<6sgk<87?i3c9~j727=h0;6{oc40?7a;o1vb?:?5d83>6}ii>>1=k:?;|l1053a2909wco84;3e04=zf8o?:>4?:0yme22=9o>97p`>e547>5<6sgk<87?i429~j4c3><0;61vb?:?6283>7}ii>>1=k:7;|l105032908wco84;3e0<=zf;>;:84?:2yme22=9o>j7p`=4145>5<5sgk<87?i4c9~j727>>0;6?uaa6695c2d3td98=87:181kg0<3;m8i5rn3632<<72;qem::51g6f?xh5<97}ii>>1=k;?;|l1050d2909wco84;3e14=zf8o=;;4?:0yme22=9o?97p`>e754>5<6sgk<87?i529~j727>m0;6?uaa6695c333td:i;o?:182kg0<3;m985rn0g5fg<728qem::51g75?xh6m?n=6=4>{oc40?7a=>1vb4}ii>>1=k;7;|l1050b2909wco84;3e1<=zf;>;:k4?:3yme22=9o?j7p`>e60e>5<6sgk<87?i5c9~j4c0;90;6:182kg0<3;m9i5rn36335<72;qem::51g7f?xh6m>?n6=4>{oc40?7a=o1vb4}ii>>1=k8?;|l2a207290:wco84;3e24=zf;>;;<4?:3yme22=9o<97p`>e6:g>5<6sgk<87?i629~j4c00l0;6hh6=4>{oc40?7a>>1vb4}ii>>1=k87;|l2a2db290:wco84;3e2<=zf;>;;>4?:3yme22=9oe6ga>5<6sgk<87?i6c9~j4c0mj0;6{oc40?7a>o1vb4}ii>>1=k9?;|l10512290:wco84;3e34=zf;>;;;4?:0yme22=9o=97p`=4154>5<5sgk<87?i729~j727?10;6?uaa6695c133td98=96:181kg0<3;m;85rn3633d<72;qem::51g55?xh5<9=i6=4>{oc40?7a?>1vb?:?7b83>7}ii>>1=k97;|l1051c290:5v`n7582b2?f6`8yk4381:1<7?tn`57>4`0k2we>9>71;296~hf?=0:j:j4}o074=4=83;pbl9;:0d4a>{i:=:3?7>51zlb31<6n>l0qc<;09694?4|fh=?66sa252;3?6=:rdj;94>f918yk438121<7?tn`57>4`?<2we>9>79;296~hf?=0:j5;4}o074=g=83;pbl9;:0d;2>{i:=:3n7>51zlb31<6n1=0qc<;09a94?7|fh=?6v`n7582b=?f9`8yk4380:1<74`?k2we>9>61;295~hf?=0:j5j4}o074<4=83;pbl9;:0d;a>{i:=:2?7>52zlb31<6n1l0qc<;08694?7|fh=?6=3:1=v`n7582b<76sa252:3?6=9rdj;94>f818yk438021<7?tn`57>4`><2we>9>69;296~hf?=0:j4;4}o074{i:=:2n7>51zlb31<6n0=0qc<;08a94?4|fh=?6l3:1=v`n7582bf8`8yk438h:1<7?tn`57>4`>k2we>9>n1;295~hf?=0:j4j4}o074d4=838pbl9;:0d:a>{i:=:j?7>51zlb31<6n0l0qc<;0`694?7|fh=?6v`n7582bd76sa252b3?6=9rdj;94>f`18yk438h21<74`f<2we>9>n9;295~hf?=0:jl;4}o074dg=83;pbl9;:0db2>{i:=:jn7>52zlb31<6nh=0qc<;0`a94?7|fh=?6f``8yk438k:1<7?tn`57>4`fk2we>9>m1;296~hf?=0:jlj4}o074g4=83;pbl9;:0dba>{i:=:i?7>51zlb31<6nhl0qc<;0c694?4|fh=?66sa252a3?6=:rdj;94>fc18yk438k21<7?tn`57>4`e<2we>9>m9;295~hf?=0:jo;4}o074gg=838pbl9;:0da2>{i:=:in7>51zlb31<6nk=0qc<;0ca94?7|fh=?6v`n7582bg?fc`8yk438j:1<74`ek2we>9>l1;295~hf?=0:joj4}o074f4=83;pbl9;:0daa>{i:=:h?7>52zlb31<6nkl0qc<;0b694?7|fh=?66sa252`3?6=;rdj;94>fb18yk438j21<7=tn`57>4`d<2we>9>l9;296~hf?=0:jn;4}o074fg=838pbl9;:0d`2>{i:=:hn7>53zlb31<6nj=0qc<;0ba94?4|fh=?6v`n7582bf?fb`8yk438m:1<74`dk2we>9>k1;297~hf?=0:jnj4}o074a4=838pbl9;:0d`a>{i:=:o?7>52zlb31<6njl0qc<;0e694?5|fh=?6v`n7582ba76sa252g3?6=:rdj;94>fe18yk438m21<7?tn`57>4`c<2we>9>k9;295~hf?=0:ji;4}o074ag=838pbl9;:0dg2>{i:=:on7>52zlb31<6nm=0qc<;0ea94?5|fh=?6v`n7582ba?fe`8yk438l:1<7=tn`57>4`ck2we>9>j1;297~hf?=0:jij4}o074`4=838pbl9;:0dga>{i:=:n?7>53zlb31<6nml0qc<;0d694?4|fh=?66sa252f3?6=;rdj;94>fd18yk438l21<74`b<2we>9>j9;297~hf?=0:jh;4}o074`g=838pbl9;:0df2>{i:=:nn7>53zlb31<6nl=0qc<;0da94?4|fh=?6fd`8yk438o:1<74`bk2we>9>i1;295~hf?=0:jhj4}o074c4=83;pbl9;:0dfa>{i:=:m?7>51zlb31<6nll0qc<;0g694?7|fh=?66sa252e3?6=9rdj;94>fg18yk438o21<7?tn`57>4`a<2we>9>i9;295~hf?=0:jk;4}o074cg=83;pbl9;:0de2>{i:=:mn7>51zlb31<6no=0qc<;0ga94?7|fh=?6fg`8yk4399:1<7?tn`57>4`ak2we>9??1;295~hf?=0:jkj4}o07554=83;pbl9;:0dea>{i:=;;?7>51zlb31<6nol0qc<;11694?7|fh=?6?>?0:m6177=3:1=v`n75814576sa25333?6=9rdj;94=0118yk439921<7?tn`57>767<2we>9??9;295~hf?=09<=;4}o0755g=83;pbl9;:3232>{i:=;;n7>51zlb31<589=0qc<;11a94?7|fh=?6?>?8:m6177l3:1>v`n758145?767k2we>9?>1;296~hf?=09<=j4}o07544=83;pbl9;:323a>{i:=;:?7>51zlb31<589l0qc<;10694?7|fh=?6?>>0:m6176=3:1=v`n75814476sa25323?6=9rdj;94=0018yk439821<7?tn`57>766<2we>9?>9;295~hf?=09<<;4}o0754g=838pbl9;:3222>{i:=;:n7>51zlb31<588=0qc<;10a94?7|fh=?6?>>8:m6176l3:1=v`n758144?766k2we>9?=1;296~hf?=09<{i:=;9?7>51zlb31<588l0qc<;13694?4|fh=?6?>=0:m6175=3:1=v`n75814776sa25313?6=9rdj;94=0318yk439;21<7?tn`57>765<2we>9?=9;295~hf?=09{i:=;9n7>51zlb31<58;=0qc<;13a94?7|fh=?6?>=8:m6175l3:1=v`n758147?765k2we>9?<1;295~hf?=09{i:=;8?7>51zlb31<58;l0qc<;12694?7|fh=?6?><0:m6174=3:1=v`n7581467850;3xjd132;:8>6sa25303?6=9rdj;94=0218yk439:21<7?tn`57>764<2we>9?<9;296~hf?=09<>;4}o0756g=83;pbl9;:3202>{i:=;8n7>51zlb31<58:=0qc<;12a94?4|fh=?6?><8:m6174l3:1=v`n758146?k50;3xjd132;:8m6sa2530b?6=9rdj;94=02`8yk439=:1<7?tn`57>764k2we>9?;1;295~hf?=09<>j4}o07514=83;pbl9;:320a>{i:=;??7>51zlb31<58:l0qc<;15694?7|fh=?6?>;0:m6173=3:1=v`n75814176sa25373?6=9rdj;94=0518yk439=21<7?tn`57>763<2we>9?;9;295~hf?=09<9;4}o0751g=83;pbl9;:3272>{i:=;?n7>51zlb31<58==0qc<;15a94?4|fh=?6?>;8:m6173l3:1=v`n758141?763k2we>9?:1;296~hf?=09<9j4}o07504=838pbl9;:327a>{i:=;>?7>52zlb31<58=l0qc<;14694?4|fh=?6?>:0:m6172=3:1>v`n7581407>6sa25363?6=:rdj;94=0418yk439<21<7762<2we>9?:9;296~hf?=09<8;4}o0750g=838pbl9;:3262>{i:=;>n7>51zlb31<58<=0qc<;14a94?4|fh=?6?>:8:m6172l3:1=v`n758140?m6sa2536b?6=:rdj;94=04`8yk439?:1<7?tn`57>762k2we>9?91;296~hf?=09<8j4}o07534=838pbl9;:326a>{i:=;=?7>52zlb31<5890:m6171=3:1>v`n75814376sa25353?6=:rdj;94=0718yk439?21<7761<2we>9?99;296~hf?=09<;;4}o0753g=838pbl9;:3252>{i:=;=n7>52zlb31<58?=0qc<;17a94?4|fh=?6?>98:m6171l3:1>v`n758143?:1<7761k2we>9?81;296~hf?=09<;j4}o07524=83;pbl9;:325a>{i:=;51zlb31<58?l0qc<;16694?7|fh=?6?>80:m6170=3:1=v`n75814276sa25343?6=9rdj;94=0618yk439>21<7?tn`57>760<2we>9?89;295~hf?=09<:;4}o0752g=83;pbl9;:3242>{i:=;51zlb31<58>=0qc<;16a94?7|fh=?6?>88:m6170l3:1=v`n758142?760k2we>9?71;295~hf?=09<:j4}o075=4=83;pbl9;:324a>{i:=;3?7>51zlb31<58>l0qc<;19694?7|fh=?6?>70:m617?=3:1=v`n75814=76sa253;3?6=9rdj;94=0918yk439121<7?tn`57>76?<2we>9?79;295~hf?=09<5;4}o075=g=83;pbl9;:32;2>{i:=;3n7>51zlb31<581=0qc<;19a94?7|fh=?6?>78:m617?l3:1=v`n75814=?76?k2we>9?61;295~hf?=09<5j4}o075<4=83;pbl9;:32;a>{i:=;2?7>51zlb31<581l0qc<;18694?7|fh=?6?>60:m617>=3:1=v`n75814<76sa253:3?6=9rdj;94=0818yk439021<776><2we>9?69;295~hf?=09<4;4}o075{i:=;2n7>51zlb31<580=0qc<;18a94?4|fh=?6?>68:m617>l3:1=v`n7581476>k2we>9?n1;295~hf?=09<4j4}o075d4=838pbl9;:32:a>{i:=;j?7>51zlb31<580l0qc<;1`694?4|fh=?6?>n0:m617f=3:1=v`n75814d76sa253b3?6=9rdj;94=0`18yk439h21<776f<2we>9?n9;295~hf?=09{i:=;jn7>51zlb31<58h=0qc<;1`a94?4|fh=?6?>n8:m617fl3:1=v`n75814d?76fk2we>9?m1;295~hf?=09{i:=;i?7>51zlb31<58hl0qc<;1c694?4|fh=?6?>m0:m617e=3:1=v`n75814g76sa253a3?6=9rdj;94=0c18yk439k21<776e<2we>9?m9;295~hf?=09{i:=;in7>51zlb31<58k=0qc<;1ca94?4|fh=?6?>m8:m617el3:1=v`n75814g?76ek2we>9?l1;295~hf?=09{i:=;h?7>51zlb31<58kl0qc<;1b694?4|fh=?6?>l0:m617d=3:1=v`n75814f76sa253`3?6=9rdj;94=0b18yk439j21<7?tn`57>76d<2we>9?l9;295~hf?=09{i:=;hn7>51zlb31<58j=0qc<;1ba94?7|fh=?6?>l8:m617dl3:1>v`n75814f?=50;3xjd132;:hm6sa253`a?6=9rdj;94=0b`8yk439jl1<7?tn`57>76dk2we>9?k0;295~hf?=09{i:=;o>7>51zlb31<58jl0qc<;1e194?7|fh=?6?>k0:m617c<3:1=v`n75814a76sa253g2?6=9rdj;94=0e18yk439m=1<7?tn`57>76c<2we>9?k8;295~hf?=09{i:=;om7>51zlb31<58m=0qc<;1e`94?7|fh=?6?>k8:m617ck3:1=v`n75814a?76ck2we>9?j0;295~hf?=09{i:=;n>7>51zlb31<58ml0qc<;1d194?7|fh=?6?>j0:m617b<3:1=v`n75814`76sa253f2?6=9rdj;94=0d18yk439l=1<7?tn`57>76b<2we>9?j8;295~hf?=09{i:=;nm7>51zlb31<58l=0qc<;1d`94?7|fh=?6?>j8:m617bk3:1=v`n75814`?76bk2we>9?i0;295~hf?=09{i:=;m>7>51zlb31<58ll0qc<;1g194?7|fh=?6?>i0:m617a<3:1=v`n75814c76sa253e2?6=9rdj;94=0g18yk439o=1<7?tn`57>76a<2we>9?i8;295~hf?=09{i:=;mm7>51zlb31<58o=0qc<;1g`94?7|fh=?6?>i8:m617ak3:1=v`n75814c?76ak2we>9{i:=8;>7>51zlb31<58ol0qc<;21194?7|fh=?6???0:m6147<3:1=v`n7581557=;50;3xjd132;;;>6sa25032?6=9rdj;94=1118yk43:9=1<7?tn`57>777<2we>9{i:=8;m7>51zlb31<599=0qc<;21`94?7|fh=?6???8:m6147k3:1=v`n758155?=j50;3xjd132;;;m6sa2503a?6=9rdj;94=11`8yk43:9l1<7?tn`57>777k2we>9<>0;295~hf?=09==j4}o07647=83;pbl9;:333a>{i:=8:>7>51zlb31<599l0qc<;20194?7|fh=?6??>0:m6146<3:1=v`n7581547<;50;3xjd132;;:>6sa25022?6=9rdj;94=1018yk43:8=1<7?tn`57>776<2we>9<>8;295~hf?=09=<;4}o0764?=83;pbl9;:3322>{i:=8:m7>51zlb31<598=0qc<;20`94?7|fh=?6??>8:m6146k3:1=v`n758154?776k2we>9<=0;295~hf?=09={i:=89>7>51zlb31<598l0qc<;23194?7|fh=?6??=0:m6145<3:1=v`n7581577?;50;3xjd132;;9>6sa25012?6=9rdj;94=1318yk43:;=1<7?tn`57>775<2we>9<=8;295~hf?=09=?;4}o0767?=83;pbl9;:3312>{i:=89m7>51zlb31<59;=0qc<;23`94?7|fh=?6??=8:m6145k3:1=v`n758157??j50;3xjd132;;9m6sa2501a?6=9rdj;94=13`8yk43:;l1<7?tn`57>775k2we>9<<0;295~hf?=09=?j4}o07667=83;pbl9;:331a>{i:=88>7>51zlb31<59;l0qc<;22194?7|fh=?6??<0:m6144<3:1=v`n7581567>;50;3xjd132;;8>6sa25002?6=9rdj;94=1218yk43::=1<7?tn`57>774<2we>9<<8;295~hf?=09=>;4}o0766?=83;pbl9;:3302>{i:=88m7>51zlb31<59:=0qc<;22`94?7|fh=?6??<8:m6144k3:1=v`n758156?>j50;3xjd132;;8m6sa2500a?6=9rdj;94=12`8yk43::l1<7?tn`57>774k2we>9<;0;295~hf?=09=>j4}o07617=83;pbl9;:330a>{i:=8?>7>51zlb31<59:l0qc<;25194?7|fh=?6??;0:m6143<3:1=v`n75815179;50;3xjd132;;?>6sa25072?6=9rdj;94=1518yk43:==1<7?tn`57>773<2we>9<;8;295~hf?=09=9;4}o0761?=83;pbl9;:3372>{i:=8?m7>51zlb31<59==0qc<;25`94?7|fh=?6??;8:m6143k3:1=v`n758151?9j50;3xjd132;;?m6sa2507a?6=9rdj;94=15`8yk43:=l1<7773k2we>9<:0;296~hf?=09=9j4}o07607=83;pbl9;:337a>{i:=8>>7>51zlb31<59=l0qc<;24194?7|fh=?6??:0:m6142<3:1=v`n75815078;50;3xjd132;;>>6sa25062?6=9rdj;94=1418yk43:<=1<7?tn`57>772<2we>9<:8;295~hf?=09=8;4}o0760?=838pbl9;:3362>{i:=8>m7>51zlb31<59<=0qc<;24`94?4|fh=?6??:8:m6142k3:1>v`n758150?8j50;0xjd132;;>m6sa2506a?6=:rdj;94=14`8yk43:772k2we>9<90;296~hf?=09=8j4}o07637=838pbl9;:336a>{i:=8=>7>52zlb31<59;;50;3xjd132;;=>6sa25052?6=9rdj;94=1718yk43:?=1<7?tn`57>771<2we>9<98;295~hf?=09=;;4}o0763?=83;pbl9;:3352>{i:=8=m7>51zlb31<59?=0qc<;27`94?4|fh=?6??98:m6141k3:1=v`n758153?;j50;3xjd132;;=m6sa2505a?6=9rdj;94=17`8yk43:?l1<7?tn`57>771k2we>9<80;295~hf?=09=;j4}o07627=83;pbl9;:335a>{i:=8<>7>51zlb31<59?l0qc<;26194?7|fh=?6??80:m6140<3:1=v`n7581527:;50;3xjd132;;<>6sa25042?6=9rdj;94=1618yk43:>=1<7?tn`57>770<2we>9<88;295~hf?=09=:;4}o0762?=83;pbl9;:3342>{i:=851zlb31<59>=0qc<;26`94?7|fh=?6??88:m6140k3:1=v`n758152?:j50;3xjd132;;l1<7770k2we>9<70;296~hf?=09=:j4}o076=7=83;pbl9;:334a>{i:=83>7>52zlb31<59>l0qc<;29194?7|fh=?6??70:m614?<3:1=v`n75815=75;50;3xjd132;;3>6sa250;2?6=9rdj;94=1918yk43:1=1<7?tn`57>77?<2we>9<78;295~hf?=09=5;4}o076=?=83;pbl9;:33;2>{i:=83m7>51zlb31<591=0qc<;29`94?7|fh=?6??78:m614?k3:1=v`n75815=?5j50;3xjd132;;3m6sa250;a?6=:rdj;94=19`8yk43:1l1<7?tn`57>77?k2we>9<60;295~hf?=09=5j4}o076<7=83;pbl9;:33;a>{i:=82>7>51zlb31<591l0qc<;28194?7|fh=?6??60:m614><3:1>v`n75815<74;50;0xjd132;;2>6sa250:2?6=:rdj;94=1818yk43:0=1<7?tn`57>77><2we>9<68;295~hf?=09=4;4}o076{i:=82m7>51zlb31<590=0qc<;28`94?7|fh=?6??68:m614>k3:1=v`n758154j50;3xjd132;;2m6sa250:a?6=9rdj;94=18`8yk43:0l1<7?tn`57>77>k2we>9{i:=8j>7>51zlb31<590l0qc<;2`194?7|fh=?6??n0:m614f<3:1=v`n75815d7l;50;3xjd132;;j>6sa250b2?6=9rdj;94=1`18yk43:h=1<7?tn`57>77f<2we>9{i:=8jm7>51zlb31<59h=0qc<;2``94?7|fh=?6??n8:m614fk3:1=v`n75815d?lj50;3xjd132;;jm6sa250ba?6=9rdj;94=1``8yk43:hl1<7?tn`57>77fk2we>9{i:=8i>7>51zlb31<59hl0qc<;2c194?7|fh=?6??m0:m614e<3:1=v`n75815g7o;50;0xjd132;;i>6sa250a2?6=9rdj;94=1c18yk43:k=1<7?tn`57>77e<2we>9{i:=8im7>52zlb31<59k=0qc<;2c`94?4|fh=?6??m8:m614ek3:1=v`n75815g?oj50;3xjd132;;im6sa250aa?6=9rdj;94=1c`8yk43:kl1<7?tn`57>77ek2we>9{i:=8h>7>51zlb31<59kl0qc<;2b194?7|fh=?6??l0:m614d<3:1>v`n75815f7n;50;0xjd132;;h>6sa250`2?6=:rdj;94=1b18yk43:j=1<7?tn`57>77d<2we>9{i:=8hm7>51zlb31<59j=0qc<;2b`94?7|fh=?6??l8:m614dk3:1=v`n75815f?nj50;3xjd132;;hm6sa250`a?6=9rdj;94=1b`8yk43:jl1<7?tn`57>77dk2we>9{i:=8o>7>51zlb31<59jl0qc<;2e194?7|fh=?6??k0:m614c<3:1>v`n75815a7i;50;0xjd132;;o>6sa250g2?6=:rdj;94=1e18yk43:m=1<7?tn`57>77c<2we>9{i:=8om7>52zlb31<59m=0qc<;2e`94?7|fh=?6??k8:m614ck3:1>v`n75815a?ij50;3xjd132;;om6sa250ga?6=9rdj;94=1e`8yk43:ml1<7?tn`57>77ck2we>9{i:=8n>7>51zlb31<59ml0qc<;2d194?7|fh=?6??j0:m614b<3:1=v`n75815`7h;50;3xjd132;;n>6sa250f2?6=9rdj;94=1d18yk43:l=1<7?tn`57>77b<2we>9{i:=8nm7>51zlb31<59l=0qc<;2d`94?7|fh=?6??j8:m614bk3:1=v`n75815`?hj50;3xjd132;;nm6sa250fa?6=9rdj;94=1d`8yk43:ll1<7?tn`57>77bk2we>9{i:=8m>7>51zlb31<59ll0qc<;2g194?7|fh=?6??i0:m614a<3:1=v`n75815c7k;50;3xjd132;;m>6sa250e2?6=9rdj;94=1g18yk43:o=1<7?tn`57>77a<2we>9{i:=8mm7>51zlb31<59o=0qc<;2g`94?7|fh=?6??i8:m614ak3:1=v`n75815c?kj50;3xjd132;;mm6sa250ea?6=9rdj;94=1g`8yk43:ol1<7?tn`57>77ak2we>9=?0;295~hf?=09=kj4}o07757=83;pbl9;:33ea>{i:=9;>7>51zlb31<59ol0qc<;31194?7|fh=?6?{o;30?7{oc40?458?1vb?:<0`83>4}i19>1=6`n7581651;oc40?45801vb?:<0e83>4}ii>>1>?>n;|l1066b290:wc7?4;38jd132;8;n6sa2513b?6=9rdj;94=21a8yk43;8:1<7?tn827>4=ii>>1>?>k;|l10676290:wco84;014`=zf;>8=?4?:0ym=52=92dj;94=21d8yk43;891<7?tn`57>74682we>9=>4;295~h>8=0:7co84;0154=zf;>8=84?:0yme22=:;;97p`=4235>5<6sg3;87?4n`57>746;2we>9=>7;295~hf?=09><:4}o0774>=83;pb4>;:09me22=:;;>7p`=423:>5<6sgk<87<=179~j7249h0;6hf?=09><94}o0774d=83;pbl9;:302<>{i:=9:o7>51zl:41<63gk<87<=189~j7249m0;6?j:182k?7<3;0bl9;:302f>{i:=9:j7>51zlb31<5:8i0qc<;33294?7|f0:?6<5aa669677c3td98><>:182kg0<389=h5rn36067<728qe5=:51:lb31<5:8l0qc<;33194?7|fh=?6?<=0:m6155<3:1=v`60582?kg0<389><5rn36060<728qem::52301?xh5<:8=6=4>{o;30?7{oc40?45:?1vb?:<2`83>4}i19>1=6`n7581671;oc40?45:01vb?:<2e83>4}ii>>1>?4=ii>>1>?8??4?:0ym=52=92dj;94=23d8yk43;:91<7?tn`57>74482we>9=<4;295~h>8=0:7co84;0174=zf;>8?84?:0yme22=:;997p`=4215>5<6sg3;87?4n`57>744;2we>9=<7;295~hf?=09>>:4}o0776>=83;pb4>;:09me22=:;9>7p`=421:>5<6sgk<87<=379~j724;h0;6hf?=09>>94}o0776d=83;pbl9;:300<>{i:=98o7>51zl:41<63gk<87<=389~j724;m0;6=j:182k?7<3;0bl9;:300f>{i:=98j7>51zlb31<5::i0qc<;35294?7|f0:?6<5aa669675c3td98>:>:182kg0<389?h5rn36007<728qe5=:51:lb31<5::l0qc<;35194?7|fh=?6?<;0:m6153<3:1=v`60582?kg0<3898<5rn36000<728qem::52361?xh5<:>=6=4>{o;30?726=4>{oc40?454}i19>1=6`n7581611;oc40?45<01vb?:<4e83>4}ii>>1>?:n;|l1062b290:wc7?4;38jd132;8?n6sa2517b?6=9rdj;94=25a8yk43;<:1<7?tn827>4=ii>>1>?:k;|l10636290:wco84;010`=zf;>89?4?:0ym=52=92dj;94=25d8yk43;<91<7?tn`57>74282we>9=:4;297~hf?=09>8?4}o02f6b=83;pbl9;:3066>{i:;;9<7>51zl:41<63gk<87<=529~j746:k0;6hf?=09>8:4}o01560=83;pb4>;:09me22=:;?>7psr}AB@702ik0ni>>75`2~DED|;k;9wl8m:183>7<68;:;6km81:xe3d=83:1>7??1gd9bf153tqj:o4?:181>47a:80mh??4}zc5f?6=8381=5<528:m8h4ic668y~g1j3:1<7<5fb72>ce0=2wpm;l50;296?76n;91ji50;09bf5>2oi<;6sta7`94?6=:3lh9i4ic6:8y~g1j3:1<7<510d11?760hl0qvo9b;294?4=982ji7hl789~d0e290;6?4>1g05>cb482wpm;l50;296?76:0n1jn9n;|yb2g<72909650;09b`4f2oiac68y~g1j3:1<7<510d1e?76ik80qvo9b;294?4=998<47hl7e9~d0e290;6?4>11c4>ce0m2wpm;l50;296?76:0o1jn9i;|yb2g<729096<>=718eg=650;0954`5j3lhj45r{`4a>5<72;0:=k{|i?h1<7>52;32b7b=njli7pun6c83>5<528;m>h4icga8y~g1j3:1<7<510d1b?`dnm1vwl8m:183>7<69o9;6kmie:xe3d=83:1>7?>f239bf`a3tqj:o4?:181>47a;;0mh=>4}zc5f?6=8381=6sta7`94?6=:3;:j>;5fe20?x}f>k0;6=4=:03e730qvo9b;294?4=98l8;7hk049~d0e290;6?4>1g1;>cb7?2wpm;l50;296?76n:31ji>7;|yb2g<72909650;0954`4j3lo5<72;0:=k=l:gf3f>{|i?h1<7>52;32b6b=nm:o7pun6c83>5<528;m?h4id1g8y~g1j3:1<7<510d0b?`c8o1vwl8m:183>7<69o>;6kj>0:xe3d=83:1>7?>f539ba763tqj:o4?:181>47a<;0mh<<4}zc5f?6=8381=k0;6=4=:03e031g6;>cb602wpm;l50;296?76n=31ji?6;|yb2g<72909647a3tqj:o4?:181>47a50;0954bb:3lh4?5r{`4a>5<72;0:=ik?:ga;7>{|i?h1<7>52;32`a`=nj2?7pun6c83>5<528;ohh4ic978y~g1j3:1<7<510fg`?`d0?1vwl8m:183>7<68k:m6km77:xe3d=83:1>7??b1c9bf>?3tqj:o4?:181>47a5<528:m9?4ic9a8y~g1j3:1<7<5fb3;>ce?l2wpm;l50;296?77:;n1jn6j;|yb2g<729096<>=2b8eg=`50;0955d6=3lh5=5r{`4a>5<72;0:=k:i:02g7a=zsh5<72;0:=k;>:gf14>{|i?h1<7>52;32b04=98h896sta7`94?6=:3;:i;o5fb;2?x}f>k0;6=4=:02a4f7hmc38eg<250;09bgb?2oi296sta7`94?6=:3lh:44ic848y~g1j3:1<7<5fbgf>ce>?2wpm;l50;296?`c=>0mo464}zc5f?6=8381=50;0954`2<3;;h>?4}zc5f?6=8381=50;0954`2>3;;h?h4}zc5f?6=8381=50;0954dd83lh545r{`4a>5<72;0mo>l5fb;b?x}f>k0;6=4=:gag3?`d1k1vwl8m:183>73tqj:o4?:181>47a=00:<>j8;|yb2g<7290963tqj:o4?:181>47a=k0:<>jm;|yb2g<729096<>50;09547f;3lh5h5r{`4a>5<72;0:=k;l:03ag1=zsh5<72;0:=k;j:03a`4=zsh5<72;0:=k8?:03`0`=zsh5<72;0:=k8=:03`0<=zsh5<72;0:=k8;:03`3<=zsh5<72;0:=k89:034g3=zsh5r{`4a>5<72;0:=k87:034g5=zsh28;5<72;0:=k8n:034fd=zsh5<72;0:=k8l:034f1=zsh5<72;0:k:ga:b>{|i?h1<7>52;32b3c=99hi>6sta7`94?6=:3;:j;h510a;3>{|i?h1<7>52;32b26=98i3m6sta7`94?6=:3;:j:?510a;6>{|i?h1<7>52;32b24=98i246sta7`94?6=:3;:j:=510a:f>{|i?h1<7>52;32b22=98i2?6sta7`94?6=:3;:j:;510ab=>{|i?h1<7>52;32b20=98ii;6sta7`94?6=:3;:j:9510aa0>{|i?h1<7>52;d`e<6kmn1:xe3d=83:1>7?>f6:9ba4b3tqj:o4?:181>47a?00mh?h4}zc5f?6=8381=50;0955d693lhm?5r{`4a>5<72;0:=k9m:02afd=zsh5<72;0:=k9k:02g66=zsh5r{`4a>5<72;0:=k9i:02g46=zsh5<528;m4=4>1c75?x}f>k0;6=4=:03e<4<69k?;7pun6c83>5<528;m4?4>1c47?x}f>k0;6=4=:03e<6<69k?h7pun6c83>5<528;m494>1c7e?x}f>k0;6=4=:03e<0<69k=87pun6c83>5<528;m4;4>1c4`?x}f>k0;6=4=:03e<2<69k=37pun6c83>5<528;m454>1c:7?x}f>k0;6=4=:03e<<<69k3:7pun6c83>5<528;m4l4>1c;`?x}f>k0;6=4=:03e5<52oi?;7hla59~d0e290;6?4>185;>cef=2wpm;l50;296?76n1i1=7?>f9f954dc>2wpm;l50;296?76l:=1jno9;|yb2g<72909647c;<0mol94}zc5f?6=8381=50;0954b4<3lhm55r{`4a>5<72;0:=k7?:03abd=zsh5<72;0:=i==:gabe>{|i?h1<7>52;32b<4=98i:<6sta7`94?6=:3lo<;4ic``8y~g1j3:1<7<510:bf?`dij1vwl8m:183>7<69m9:6kmnd:xe3d=83:1>7hka48egdc50;0954?0?3lhmk5r{`4a>5<72;0:=i=?:gaa4>{|i?h1<7>52;32b<5=98i946sta7`94?6=:3;:j4:510a11>{|i?h1<7>52;32`7`=njh:7pun6c83>5<528;m584>1b12?x}f>k0;6=4=:03g6`c2a8y~g1j3:1<7<510f1`?`dj:1vwl8m:183>7<69o3<61e0`>cee<2wpm;l50;296?76n021=7?>d3c9bfd23tqj:o4?:181>47c:00moo84}zc5f?6=8381=k0;6=4=:03g601e00>ceek2wpm;l50;296?76l;81jnlk;|yb2g<72909650;0954b4n3lho=5r{`4a>5<72;0:=i=j:ga`5>{|i?h1<7>52;32`6b=nji97pun6c83>5<528;o?n4icb18y~g1j3:1<7<510d:=?76?ok0qvo9b;294?4=98n9<7hlc59~d0e290;6?4>1g;b>47e;81vwl8m:183>7<69o3i61g;`>47e:j1vwl8m:183>7<69o3o61g;f>47dj<1vwl8m:183>750;0954`f83loo<5r{`4a>5<72;0:=ko>:gf`6>{|i?h1<7>52;32bd4=nmi87pun6c83>5<528;mm>4iddg8y~g1j3:1<7<510db0?`c:?1vwl8m:183>7<69ok>6kj=7:xe3d=83:1>7hl448egf050;0954`f>3lo>95r{`4a>5<72;0:=ko8:gf11>{|i?h1<7>52;32bd>=nm837pun6c83>5<528;mm44id3;8y~g1j3:1<7<510dbe?7609n0qvo9b;294?4=98ljn7hk229~d0e290;6?4icec9bfe03tqj:o4?:181>cecl3lho55r{`4a>5<72;0mohm5fbab?x}f>k0;6=4=:gaf4?`dkk1vwl8m:183>75<52on:n7hlcg9~d0e290;6?4>1gc`>47el91vwl8m:183>7<69oko61gcf>47dkm1vwl8m:183>7<69okm61g`3>47e=;1vwl8m:183>7<69oh:61g`1>47e>l1vwl8m:183>7<69oh861g`7>47e0?1vwl8m:183>7<69oh>61g`5>47e1l1vwl8m:183>7<69oh<61g`;>47ej?1vwl8m:183>7<69oh261g`b>47em=1vwl8m:183>7<69ohi61g``>47enk1vwl8m:183>7<69oho61g`f>47d981vwl8m:183>7<69ohm61ga3>47d;;1vwl8m:183>7<69oi:61ga1>47d=01vwl8m:183>7<69oi861ga7>47dkj1vwl8m:183>7<69oi>61ga5>47dj:1vwl8m:183>7<69oi<61ga;>46d:<1vwl8m:183>7<69oi26kk=7:xe3d=83:1>7?>fbc954d3:2wpm;l50;296?76njh1=7?>fba9b`543tqj:o4?:181>477i<0moi>4}zc5f?6=8381=k0;6=4=:03e`57?>fe39b`273tqj:o4?:181>47al;0mi9?4}zc5f?6=8381=k0;6=4=:03e`31gf;>cc302wpm;l50;296?76nm31jh:6;|yb2g<72909650;0954`cj3ln8o5r{`4a>5<72;0:=kjl:gg7g>{|i?h1<7>52;32bab=nl>o7pun6c83>5<528;mhh4ie5g8y~g1j3:1<7<510dgb?`b7<69oo;6kk:0:xe3d=83:1>7?>fd39b`363tqj:o4?:181>47am;0mi8<4}zc5f?6=8381=86sta7`94?6=:3;:jh;5fd76?x}f>k0;6=4=:03ea3ceb;3lhh>5r{`4a>5<72;0:=kk7:gg6g>{|i?h1<7>52;32b`?=nl<:7pun6c83>5<528;mil4ie758y~g1j3:1<7<510dff?`b>01vwl8m:183>6<68mn96<>k65824`4>3tqj:o4?:180>46cl:0:0e;`?x}f>k0;6=4<:02g`0<68m=;6<>k9d9~d0e290;6>4>0ef5>46c?;0:j43824a0?28:o985r{`4a>5<72:0:5<528;2>84>14fg?x}f>k0;6=4=:03:63<695<528;2>:4>14g2?x}f>k0;6=4=:03:6=<695<428;2>44>14g6>472n;1vwl8m:183>6<6908j647>:k0:=8k6:036b3=zshn85r{`4a>5<72;0:=::l:036f2=zshn45r{`4a>5<72;0:=::j:036fg=zshni5r{`4a>5<72;0:=:;?:036fc=zsho<5r{`4a>5<72;0:=:;=:036g6=zsho85r{`4a>5<72;0:=::7:036ec=zsh28;>n<5r{`4a>5<72;0:=::n:036f6=zshh=5r{`4a>5<72;0:=4<>:036`7=zshh95r{`4a>5<72;0:=4<;:0345`=zsh5<72;0:5r{`4a>5<72;0:5<72;0:28:ono5r{`4a>5<72;0:5<72;0:5<72;0:28:o4l5r{`4a>5<72;0:5<72;0:5<72;0:5<72;0:5<72;0:5<72;0:5<72;0:5<72;0::02g16=zsh5<72;0:5<72;0:5<72;0:5<72;0:5<72;0:28:2n95r{`4a>5<72;0:5<72;0:5<72;0:5<72;0:5<72;0:5<72;0:5<72;0:5<72;0:5r{`4a>5<72;0:5<72;0:5<72;0:5<72;0:5r{`4a>5<72;0::02b6d=zsh5<72;0:5<72;0:5<72;0:5<72;0:n5r{`4a>5<72;0:5<72;0:5<72;0:5<72;0:<:9>:0240`=zsh5<72;0:<:8i:0240d=zsh5<72;0:<:8k:02403=zsh5<72;0:<:8m:02407=zsha28:=:i5r{`4a>5<72;0:<;6j:0252g=zshc28:=:45r{`4a>5<72;0:<;6l:02522=zshe28:=:85r{`4a>5<72;0:<;6n:02526=zsh>28:=:<5r{`4a>5<72;0:<;67:0251c=zsh5<72;0:=>ki:0305<72;0:=>h=:030=4=zsh5r{`4a>5<72;0:=>h;:030=0=zsh5<72;0:=>h9:030=<=zsh5<72;0:=>h7:030=a=zsh5<72;0:=>hk:030e1=zsh5<72;0:=>hi:030e==zsh5<72;0:=9>>:030ef=zsh5<72;0:=9><:030f5=zsh5<72;0:=9ol:0375<72;0:=9<9:03743=zsh5<72;0:==k::0330a=zsh5<72;0:==h<:03316=zsh5<72;0:==h::03312=zsh5<72;0:==h8:0331g=zsh5<72;0:==jm:03366=zsh85r{`4a>5<72;0:==jk:03362=zsh45r{`4a>5<72;0:==ji:0336g=zshi5r{`4a>5<72;0:==k>:0336c=zsh5<72;0:==k<:03376=zsh5<72;0:==k9:0337<=zsh5<72;0:==k7:0337a=zsh28;;?k5r{`4a>5<72;0:==kn:03304=zsh5r{`4a>5<72;0:==kl:03300=zsh5<72;0:==kj:0330<=zsh5<72;0:==h>:0330c=zsh5<72;0:=<28;:<85r{`4a>5<72;0:=<<7:03246=zsh5<72;0:=<<9:033bc=zsh5<72;0:=<<;:033bg=zsh5<72;0:<5h8:02;`2=zsh5<72:0:5<428;2>>4>14ga>472nj1vwl8m:183>7<69>>i6183b>472lh1vwl8m:183>7<68l;i6<>kc19~d0e290;6?4>0ea6>46c1<1vwl8m:183>7<68mn:6<>kab9~d0e290;6?4>0bg`>46f>j1vwl8m:183>7<68knj6<>6c99~d0e290;6?4>0cc0>46>;o1vwl8m:183>7<68jnm6<>n549~d0e290;6?4>0bf1>46f;l1vwl8m:183>7<68>=86<>8539~d0e290;6?4>07;3>461>o1vwl8m:183>7<69:l;612d`>474j=1vwl8m:183>7<699l96100`>4768k1vwl8m:183>77=98n>>7??ec;955ce03;;io9511ga2?77mk?1==km4;33ag5=99oi>7??ec3955ce83;;ilk511gb`?77mhi1==knb;33adg=99oj57??e`:955cf?3;;il8511gb1?77mh91==kn2;33ad7=99oj<7??e8d955cek3;;iol511gae?77mhl1==kn4;33agb=98n??6sta7`94?6=:3;:h885fgg;?x}f>k0;6=4=:03g15<68l?37pun6c83>5<52oooi7hjdg9~d0e290;6?4>0d72>cc0<2wpm;l50;296?77lml1jh99;|yb2g<729096<>j08824`6f3tqj:o4?:181>46b8j0:k;|yb2g<729096473ml0:=9kn;|yb2g<72909646c:h0:k29824a4>3tqj:o4?:181>46c:?0:l18824f7e3tqj:o4?:181>46d9j0:mbg82446d9;0:7b6824=d43tqj:o4?:181>46?<<0:<:67;|yb2g<729096<>8c98242g53tqj:o4?:181>460jk0:<:o<;|yb2g<729096<>87d82421c3tqj:o4?:181>460;00:<:=n;|yb2g<729096<>9f78243`03tqj:o4?:181>4611k0:<;7n;|yb2g<729096<>9578243303tqj:o4?:181>4619:0:<;?;;|yb2g<729096kh70;de<4=zsh28:?o55r{`4a>5<72;0:5<72;0:=?km:0306==zshh7;=;|yb2d<729096<{|i?k1<7>52;3143d=>=1vwl8n:183>7<6:9=96;l4}zc5e?6=8381=?>89;51?x}f>h0;6=4=:003<5<012wpm;o50;296?7581=14=5r{`4b>5<72;0:>=6j:958y~g1i3:1<7<5132:1?>b3tqj:l4?:181>4471j0296sta7c94?6=:3;921cb>d550;09576e93kj7pun6`83>5<5288;n54m1:xe3g=83:1>7?=0cd9f==zsh{|i?k1<7>52;314ad=l=1vwl8n:183>7<6:9o96il4}zc5e?6=8381=?>j9;g1?x}f>h0;6=4=:003b55<72;0:>=hj:g58y~g1i3:1<7<513331?`b3tqj:l4?:181>4468j0:<85r{`4b>5<72;0:>h0;6=4=:0025d<69:1vwl8n:183>7<6:88:6298264=zsh5<5288:?;4>2g9~d0f290;6?4>201g>4513tqj:l4?:181>446<=0:?i5r{`4b>5<72;0:><:m:067?x}f>h0;6=4=:00217<67<6:8?26<;;;|yb2d<729096<<>61821a=zsh5<5288::h4>6e9~d0f290;6?4>2056>4113tqj:l4?:181>446?j0:;k5r{`4b>5<72;0:><6<:0:;?x}f>h0;6=4=:0027<6:83:6<7n;|yb2d<729096<<>9982e6=zsh5<5288:m;4>b29~d0f290;6?4>20cg>4df3tqj:l4?:181>446j=0:o>5r{`4b>5<72;0:>h0;6=4=:002g7<6l<1vwl8n:183>7<6:8i26d182a6=zsh5<5288:hh4>f09~d0f290;6?4>20g6>4`?3tqj:l4?:181>446mj0:jk5r{`4b>5<72;0:>h0;6=4=:002bd<58m1vwl8n:183>7<6:;::6??;;|yb2d<729096<<=09815g=zsh5<52889=;4=289~d0f290;6?4>233g>7573tqj:l4?:181>445:=09?:5r{`4b>5<72;0:>?h0;6=4=:00177<5<<1vwl8n:183>7<6:;926?:l;|yb2d<729096<<=418116=zsh5<528898h4=609~d0f290;6?4>2376>70?3tqj:l4?:181>445=j09:k5r{`4b>5<72;0:>?8<:355?x}f>h0;6=4=:0012d<5?m1vwl8n:183>7<6:;=:6?6;;|yb2d<729096<<=79815<528894;4=989~d0f290;6?4>23:g>7g73tqj:l4?:181>4451=09m:5r{`4b>5<72;0:>?7m:3cf?x}f>h0;6=4=:001e7<5j<1vwl8n:183>7<6:;k26?ll;|yb2d<729096<<=b181g6=zsh5<52889nh4=d09~d0f290;6?4>23a6>7b?3tqj:l4?:181>445kj09hk5r{`4b>5<72;0:>?j<:3g5?x}f>h0;6=4=:001`d<5mm1vwl8n:183>7<6:;o:6?h;;|yb2d<729096<<=e981bg=zsh5<52889j;4<089~d0f290;6?4>23dg>6773tqj:l4?:181>4448=08=:5r{`4b>5<72;0:>>>m:23f?x}f>h0;6=4=:00057<4:<1vwl8n:183>7<6::;26>5<52888>h4<409~d0f290;6?4>2216>62?3tqj:l4?:181>444;j089<5r{`4b>5<72;0:>>:<:27b?x}f>h0;6=4=:0000d<4>:1vwl8n:183>7<6::?:6>8l;|yb2d<729096<<<598030=zsh5<52888:;4<869~d0f290;6?4>224g>6?73tqj:l4?:181>444?=08545r{`4b>5<72;0:>>9m:2c1?x}f>h0;6=4=:000<7<4ik1vwl8n:183>7<6::226>l;;|yb2d<729096<<<9180fa=zsh5<528885h422c6>6b?3tqj:l4?:181>444ij08hk5r{`4b>5<72;0:>>l<:2g;?x}f>h0;6=4=:000fd<4n81vwl8n:183>7<6::i:6>hn;|yb2d<729096<<5<52888h;4;149~d0f290;6?4>22fg>17b3tqj:l4?:181>444m=0?>:5r{`4b>5<72;0:>>km:513?x}f>h0;6=4=:000b7<3;01vwl8n:183>7<6::l269:=;|yb2d<729096<<;01870g=zsh5<5288?2536>1013tqj:l4?:181>4439j0?:i5r{`4b>5<72;0:>9<<:557?x}f>h0;6=4=:0076d<3?k1vwl8n:183>7<6:=9:696=;|yb2d<729096<<;3987<<=zsh5<5288?8;4;969~d0f290;6?4>256g>1?b3tqj:l4?:181>443==0?m85r{`4b>5<72;0:>9;m:5c`?x}f>h0;6=4=:00727<3j:1vwl8n:183>7<6:=<269ln;|yb2d<729096<<;7187g4=zsh5<5288?;h4;cg9~d0f290;6?4>25:6>1b13tqj:l4?:181>4430j0?hi5r{`4b>5<72;0:>97<:5g7?x}f>h0;6=4=:007=d<3mk1vwl8n:183>7<6:=k:69h=;|yb2d<729096<<;a987b<=zsh5<5288?n;4:069~d0f290;6?4>25`g>06b3tqj:l4?:181>443k=0>=85r{`4b>5<72;0:>9mm:43`?x}f>h0;6=4=:007`7<2::1vwl8n:183>7<6:=n2685<5288?ih4:3g9~d0f290;6?4>25d6>0213tqj:l4?:181>443nj0>8i5r{`4b>5<72;0:>8><:477?x}f>h0;6=4=:0064d<2=k1vwl8n:183>7<6:<;:688=;|yb2d<729096<<:19862<=zsh5<5288>>;4:769~d0f290;6?4>240g>01b3tqj:l4?:181>442;=0>485r{`4b>5<72;0:>8=m:4:`?x}f>h0;6=4=:00607<21:1vwl8n:183>7<6:<>2687n;|yb2d<729096<<:5186e4=zsh5<5288>9h4:b29~d0f290;6?4>2446>0dd3tqj:l4?:181>442>j0>o85r{`4b>5<72;0:>89<:4af?x}f>h0;6=4=:0063d<2l>1vwl8n:183>7<6:<2:68k?;|yb2d<729096<<:8986a<=zsha25<5288>5;4:f89~d0f290;6?4>24;g>3673tqj:l4?:181>442i=0=<:5r{`4b>5<72;0:>8om:72f?x}f>h0;6=4=:006f7<19<1vwl8n:183>7<6:5<5288>oh49309~d0f290;6?4>24f6>35?3tqj:l4?:181>442lj0=?k5r{`4b>5<72;0:>8k<:765?x}f>h0;6=4=:006ad<17<6:5<5288=<;49689~d0f290;6?4>272g>3173tqj:l4?:181>4419=0=;:5r{`4b>5<72;0:>;?m:75f?x}f>h0;6=4=:00567<10<1vwl8n:183>7<6:?826;6j;|yb2d<729096<<93185=2=zsh5<5288=?h49a49~d0f290;6?4>2766>3gd3tqj:l4?:181>4415r{`4b>5<72;0:>;;<:7`b?x}f>h0;6=4=:0051d<1k81vwl8n:183>7<6:?<:6;m7;|yb2d<729096<<96985gc=zsh5<5288=;;49de9~d0f290;6?4>275g>3c33tqj:l4?:181>4410=0=io5r{`4b>5<72;0:>;6m:7d7?x}f>h0;6=4=:005=7<1nm1vwl8n:183>7<6:?326:>9;|yb2d<729096<<9a1844c=zsh;37pun6`83>5<5288=mh48209~d0f290;6?4>27`6>24f3tqj:l4?:181>441jj05r{`4b>5<72;0:>;m<:61`?x}f>h0;6=4=:005gd<0<<1vwl8n:183>7<6:?n:6::j;|yb2d<729096<<9d98412=zsh<;7pun6`83>5<5288=i;48689~d0f290;6?4>27gg>2173tqj:l4?:181>441n=0<;:5r{`4b>5<72;0:>;hm:65f?x}f>h0;6=4=:00447<00<1vwl8n:183>7<6:>:26:6l;|yb2d<729096<<81184=6=zsh3j7pun6`83>5<5288<=h48a09~d0f290;6?4>2606>2g?3tqj:l4?:181>440:j05<72;0:>:=<:6`5?x}f>h0;6=4=:0047d<0jm1vwl8n:183>7<6:>>:6:m;;|yb2d<729096<<84984gg=zshn97pun6`83>5<5288<9;48d89~d0f290;6?4>267g>2c73tqj:l4?:181>440>=05<72;0:>:8m:6gf?x}f>h0;6=4=:00437<0n<1vwl8n:183>7<6:>=26:hl;|yb2d<729096<<8818;46=zsh021:j7pun6`83>5<5288<4h47109~d0f290;6?4>26;6>=7?3tqj:l4?:181>4401j03=k5r{`4b>5<72;0:>:o<:905?x}f>h0;6=4=:004ed7<6:>h:65=;;|yb2d<729096<<8b98;7g=zsh97pun6`83>5<528826ag>=373tqj:l4?:181>440l=039:5r{`4b>5<72;0:>:jm:97f?x}f>h0;6=4=:004a7<1vwl8n:183>7<6:>o2658l;|yb2d<729096<<8f18;36=zsh5<52882926>=>?3tqj:l4?:181>44?8j034k5r{`4b>5<72;0:>5?<:9;5?x}f>h0;6=4=:00;5d7<6:18:65o;;|yb2d<729096<<7298;eg=zsh5<52883?;47b89~d0f290;6?4>291g>=e73tqj:l4?:181>44?<=03o:5r{`4b>5<72;0:>5:m:9af?x}f>h0;6=4=:00;177<6:1?265jl;|yb2d<729096<<7618;a6=zsh5<52883:h47f09~d0f290;6?4>2956>=`?3tqj:l4?:181>44??j03jk5r{`4b>5<72;0:>56<:825?x}f>h0;6=4=:00;8m1vwl8n:183>7<6:13:64?;;|yb2d<729096<<7998:5g=zsh5<52883m;46289~d0f290;6?4>29cg><573tqj:l4?:181>44?j=02?:5r{`4b>5<72;0:>5lm:81f?x}f>h0;6=4=:00;g7<><<1vwl8n:183>7<6:1i264:l;|yb2d<729096<<7d18:16=zsh5<52883hh46609~d0f290;6?4>29g6><0f3tqj:l4?:181>44?mj02;<5r{`4b>5<72;0:>5h<:85;?x}f>h0;6=4=:00;bd<>?o1vwl8n:183>7<6:0::6469;|yb2d<729096<<6098:5<52882=;469c9~d0f290;6?4>283g>44>:=02m45r{`4b>5<72;0:>4h0;6=4=:00:77<>j>1vwl8n:183>7<6:09264lj;|yb2d<729096<<6418:g0=zsh5<528828h46d29~d0f290;6?4>2876>44>=j02i<5r{`4b>5<72;0:>48<:8g;?x}f>h0;6=4=:00:2d<>mo1vwl8n:183>7<6:0=:64h9;|yb2d<729096<<6798:bc=zsh5<528824;4n109~d0f290;6?4>28:g>d7?3tqj:l4?:181>44>1=0j=k5r{`4b>5<72;0:>47m:`05?x}f>h0;6=4=:00:e77<6:0k26l=;;|yb2d<729096<<6b18b7g=zsh97pun6`83>5<52882nh4n489~d0f290;6?4>28a6>d373tqj:l4?:181>44>kj0j9:5r{`4b>5<72;0:>4j<:`7f?x}f>h0;6=4=:00:`d<1vwl8n:183>7<6:0o:6l8l;|yb2d<729096<<6e98b36=zsh5<52882j;4n809~d0f290;6?4>28dg>d>?3tqj:l4?:181>44f8=0j4k5r{`4b>5<72;0:>l>m:`;5?x}f>h0;6=4=:00b577<6:h;26lo;;|yb2d<729096<5<5288j>h4nb89~d0f290;6?4>2`16>de73tqj:l4?:181>44f;j0jo:5r{`4b>5<72;0:>l:<:`af?x}f>h0;6=4=:00b0d7<6:h?:6ljl;|yb2d<729096<5<5288j:;4nf09~d0f290;6?4>2`4g>d`?3tqj:l4?:181>44f?=0jjk5r{`4b>5<72;0:>l9m:c25?x}f>h0;6=4=:00b<77<6:h226o?;;|yb2d<729096<5<5288j5h4m289~d0f290;6?4>2`c6>g573tqj:l4?:181>44fij0i?:5r{`4b>5<72;0:>ll<:c1f?x}f>h0;6=4=:00bfd7<6:hi:6o:l;|yb2d<729096<5<5288jh;4m609~d0f290;6?4>2`fg>g0?3tqj:l4?:181>44fm=0i:k5r{`4b>5<72;0:>lkm:c55?x}f>h0;6=4=:00bb77<6:hl26o6;;|yb2d<729096<5<5288i2c36>gg73tqj:l4?:181>44e9j0im:5r{`4b>5<72;0:>o<<:ccf?x}f>h0;6=4=:00a6d7<6:k9:6oll;|yb2d<729096<5<5288i8;4md09~d0f290;6?4>2c6g>gb?3tqj:l4?:181>44e==0ihk5r{`4b>5<72;0:>o;m:cg5?x}f>h0;6=4=:00a277<6:k<26oh;;|yb2d<729096<5<5288i;h4l0e9~d0f290;6?4>2c:1>f753tqj:l4?:181>44e0>0h=:5r{`4b>5<72;0:>o6m:b3a?x}f>h0;6=4=:00a7<6:k3?6n<:;|yb2d<729096<5<5288im<4l329~d0f290;6?4>2cc6>f503tqj:l4?:181>44ei00h?n5r{`4b>5<72;0:>ooj:b62?x}f>h0;6=4=:00af77<6:kh=6n:n;|yb2d<729096<5<5288io>4l599~d0f290;6?4>2ca;>f3c3tqj:l4?:181>44ekj0h:<5r{`4b>5<72;0:>oj?:b45?x}f>h0;6=4=:00a`0k1vwl8n:183>7<6:kn26n8i;|yb2d<729096<5<5288ii;4l7e9~d0f290;6?4>2cgb>f>53tqj:l4?:181>44emo0h4:5r{`4b>5<72;0:>oh<:b:a?x}f>h0;6=4=:00ab27<6:klh6n7:;|yb2d<729096<5<5288h<44la29~d0f290;6?4>2b2g>fg03tqj:l4?:181>44d980hmn5r{`4b>5<72;0:>n?9:b`2?x}f>h0;6=4=:00`5d7<6:j;n6nln;|yb2d<729096<5<5288h>o4lc99~d0f290;6?4>2b13>fec3tqj:l4?:181>44d;=0hh<5r{`4b>5<72;0:>n=7:bf5?x}f>h0;6=4=:00`7a7<6:j>:6nji;|yb2d<729096<5<5288h8h4lee9~d0f290;6?4>2b71>f`53tqj:l4?:181>44d=>0hj:5r{`4b>5<72;0:>n;m:bda?x}f>h0;6=4=:00`1c7<6:j:;|yb2d<729096<5<5288h;<4k129~d0f290;6?4>2b56>a703tqj:l4?:181>44d?00o=n5r{`4b>5<72;0:>n9j:e02?x}f>h0;6=4=:00`<77<6:j2=6ia2m987pun6`83>5<5288h5>4k399~d0f290;6?4>2b;;>a5c3tqj:l4?:181>44d1j0o8<5r{`4b>5<72;0:>no?:e65?x}f>h0;6=4=:00`e07<6:jk26i:i;|yb2d<729096<5<5288hn;4k5e9~d0f290;6?4>2b`b>a053tqj:l4?:181>44djo0o::5r{`4b>5<72;0:>nm<:e4a?x}f>h0;6=4=:00`g27<6:jih6i9:;|yb2d<729096<5<5288hh44k829~d0f290;6?4>2bfg>a>03tqj:l4?:181>44dm80o4n5r{`4b>5<72;0:>nk9:e;2?x}f>h0;6=4=:00`ad7<6:jon6i7n;|yb2d<729096<5<5288hjo4ka99~d0f290;6?4>2e23>agc3tqj:l4?:181>44c8=0on<5r{`4b>5<72;0:>i>7:e`5?x}f>h0;6=4=:00g4a7<6:m;:6ili;|yb2d<729096<2mi37pun6`83>5<5288o4i4j3b9~d0f290;6?4>2d22>`d73tqj:l4?:181>44b?<0m>95r{`4b>5<72;0:>h9l:g0g?x}f>h0;6=4=:00f<67<6:l2j6k=i;|yb2d<729096<5<5288n5k4i5`9~d0f290;6?4>2dc5>c043tqj:l4?:181>44bim0m:n5r{`4b>5<72;0:>hl;:g56?x}f>h0;6=4=:00ffg7<6:li96k68;|yb2d<729096<5<5288nh:4ia39~d0f290;6?4>2dff>cge3tqj:l4?:181>44bm<0mn95r{`4b>5<72;0:>hkl:g`g?x}f>h0;6=4=:00fb67<6:llj6kmi;|yb2d<729096<5<5288m2g35>c`43tqj:l4?:181>44a9m0mjn5r{`4b>5<72;0:>k<;:0231>{|i?k1<7>52;31b7d=99:n7pun6`83>5<5288m??4>0058y~g1i3:1<7<513d0=?77:91vwl8n:183>7<6:o>;6<>=9:xe3g=83:1>7?=f55955553tqj:l4?:181>44al4}zc5e?6=8381=?h:5;3301=zshh0;6=4=:00e2d<682g5;>46092wpm;o50;296?75n>l1==9n;|yb2d<729096<50;0957`?l3;;4n5r{`4b>5<72;0:>k7;:02:1>{|i?k1<7>52;31b5<5288mm?4>0`58y~g1i3:1<7<513db=?77j91vwl8n:183>7<6:oh;6<>m9:xe3g=83:1>7?=fc5955e53tqj:l4?:181>44ajl0:h0;6=4=:00e`d<68ll0qvo9a;294?4=9;ln=7??f99~d0f290;6?4>2gg;>47792wpm;o50;296?75nll1=<>n;|yb2d<729096<50;0957`al3;:=n5r{`4b>5<72;0:?=>;:0311>{|i?k1<7>52;3045d=988n7pun6`83>5<5289;=?4>1258y~g1i3:1<7<51222=?76<91vwl8n:183>7<6;98;67?<035954353tqj:l4?:181>457:l0:=8l4}zc5e?6=8381=>><5;3221=zshh0;6=4=:0130d<69>l0qvo9a;294?4=9::>=7?>899~d0f290;6?4>317;>47>92wpm;o50;296?74850;095661l3;:mn5r{`4b>5<72;0:?=9;:03a1>{|i?k1<7>52;3042d=98hn7pun6`83>5<5289;4?4>1b58y~g1i3:1<7<5122;=?76l91vwl8n:183>7<6;93;67?<085954c53tqj:l4?:181>4571l0:=hl4}zc5e?6=8381=>>n5;32b7=zshh0;6=4=:013fd<6:9=0qvo9a;294?4=9::h=7?=0d9~d0f290;6?4>31a;>446=2wpm;o50;296?748jl1=??l;|yb2d<729096<=?d78267550;09566cl3;9>l5r{`4b>5<72;0:?=k;:0005>{|i?k1<7>52;304`d=9;937pun6`83>5<5289;j?4>22d8y~g1i3:1<7<5122e=?757<6;8:;6<<;d:xe3g=83:1>7?<115957333tqj:l4?:181>4568l0:>8l4}zc5e?6=8381=>?>5;3127=zshh0;6=4=:0126d<6:>=0qvo9a;294?4=9:;8=7?=7d9~d0f290;6?4>301;>44?=2wpm;o50;296?749:l1=?6l;|yb2d<729096<=>47826<550;095673l3;95l5r{`4b>5<72;0:?<;;:00b5>{|i?k1<7>52;3050d=9;k37pun6`83>5<5289::?4>2`d8y~g1i3:1<7<51235=?75j?1vwl8n:183>7<6;8=;6<7?<165957e13tqj:l4?:181>456?l0:>i64}zc5e?6=8381=>?73;31`a=zsh0288n=6sta7c94?6=:3;8=5m513g5?x}f>h0;6=4=:012=5<6:lk0qvo9a;294?4=9:;297?=eg9~d0f290;6?4>30;:>44a;2wpm;o50;296?7490o1=?h7;|yb2d<729096<=>a3826ce50;09567f>3;8<=5r{`4b>5<72;0:?{|i?k1<7>52;305d`=9::27pun6`83>5<5289:n>4>31f8y~g1i3:1<7<5123a7<6;8hh6<=>6:xe3g=83:1>7?<1b29567f3tqj:l4?:181>456k<0:??l9;3066=zshh0;6=4=:012`3<6;::0qvo9a;294?4=9:;om7?<359~d0f290;6?4>30fe>45412wpm;o50;296?749l91=>=k;|yb2d<729096<=>e68271750;09567bk3;88;5r{`4b>5<72;0:?{|i?k1<7>52;305c2=9:>n7pun6`83>5<5289:j44>3418y~g1i3:1<7<5123e`?74=>1vwl8n:183>7<6;;:96<=:c:xe3g=83:1>7?<214956073tqj:l4?:181>4558k0:?;;4}zc5e?6=8381=><951252?x}f>h0;6=4=:0115f<6;><0qvo9a;294?4=9:89<7?<7`9~d0f290;6?4>3307>450m2wpm;o50;296?74:;31=>6<;|yb2d<729096<==2e827=150;09564493;84o5r{`4b>5<72;0:??=9:01:4>{|i?k1<7>52;3066g=9:3?7pun6`83>5<52899?h4>38:8y~g1i3:1<7<512077?741m1vwl8n:183>7<6;;><6<=n1:xe3g=83:1>7?<25`956g23tqj:l4?:181>455=90:?lo4}zc5e?6=8381=><:4;30e`=zsh6sta7c94?6=:3;8>8j512`4?x}f>h0;6=4=:01124<6;kh0qvo9a;294?4=9:8=97?334b>45d<2wpm;o50;296?74:?o1=>m7;|yb2d<729096<==73827fe50;095640?3;8h<5r{`4b>5<72;0:??9m:01g1>{|i?k1<7>52;3062`=9:n27pun6`83>5<52899494>3eg8y~g1i3:1<7<5120;7<6;;2h6<=j6:xe3g=83:1>7?<283956ce3tqj:l4?:181>4551<0:?hh4}zc5e?6=8381=><69;30b6=zshl<512d`?x}f>h0;6=4=:011e3<6<9:0qvo9a;294?4=9:8jn7?;049~d0f290;6?4>33ce>42712wpm;o50;296?74:k91=9>k;|yb2d<729096<==b98204450;09564ek3;?=;5r{`4b>5<72;0:??m?:062e>{|i?k1<7>52;306f2=9=;n7pun6`83>5<52899o44>4318y~g1i3:1<7<5120``?73:>1vwl8n:183>7<6;;n96<:=c:xe3g=83:1>7?<2e4951573tqj:l4?:181>455lk0:8>;4}zc5e?6=8381=>8i6sta7c94?6=:3;8>h651561?x}f>h0;6=4=:011aa<6<==0qvo9a;294?4=9:8m=7?;4c9~d0f290;6?4>33d5>42282wpm;o50;296?74:ok1=9;;;|yb2d<729096<==fg8200?50;095657;3;?9i5r{`4b>5<72;0:?>>7:0656>{|i?k1<7>52;3075e=9=<=7pun6`83>5<52898=<4>47`8y~g1i3:1<7<512121?73>o1vwl8n:183>7<6;:;j6<:84:xe3g=83:1>7?<30g9511?3tqj:l4?:181>454::0:8:j4}zc5e?6=8381=>==7;37<4=zsh3:6sta7c94?6=:3;8?>>515:b?x}f>h0;6=4=:01070<6<1l0qvo9a;294?4=9:9857?;929~d0f290;6?4>321f>42>02wpm;o50;296?74;=81=97l;|yb2d<729096<=<46820d750;095653j3;?m85r{`4b>5<72;0:?>;?:06be>{|i?k1<7>52;30702=9=kn7pun6`83>5<52898944>4c18y~g1i3:1<7<51216`?73j>1vwl8n:183>7<6;:<96<:mc:xe3g=83:1>7?<374951e73tqj:l4?:181>454>k0:8n;4}zc5e?6=8381=>=9f;37g<=zshhi6sta7c94?6=:3;8?:6515f1?x}f>h0;6=4=:0103a<632:5>42b82wpm;o50;296?74;1k1=9k;;|yb2d<729096<=<8g820`?50;09565>;3;?ii5r{`4b>5<72;0:?>77:06e6>{|i?k1<7>52;3075<52898m<4>4g`8y~g1i3:1<7<5121b1?73no1vwl8n:183>7<6;:kj6<;?4:xe3g=83:1>7?<3`g9506?3tqj:l4?:181>454j:0:9=j4}zc5e?6=8381=>=m7;3654=zsh5143b?x}f>h0;6=4=:010g0<6=8l0qvo9a;294?4=9:9h57?:229~d0f290;6?4>32af>43502wpm;o50;296?74;m81=850;09565cj3;>?85r{`4b>5<72;0:?>ji:070=>{|i?k1<7>52;307`2=9<9n7pun6`83>5<52898i54>5508y~g1i3:1<7<5121f`?72<>1vwl8n:183>7<6;:l:6<;;b:xe3g=83:1>7?<3g4950373tqj:l4?:181>454nh0:98:4}zc5e?6=8381=>=if;361<=zshh6sta7c94?6=:3;88=651441?x}f>h0;6=4=:0174f<6=?<0qvo9a;294?4=9:>:=7?:6c9~d0f290;6?4>3536>431n2wpm;o50;296?74<8k1=89;;|yb2d<729096<=;1d8212>50;095625;3;>;i5r{`4b>5<72;0:?9<8:07;5>{|i?k1<7>52;3007d=9<2>7pun6`83>5<5289??=4>59c8y~g1i3:1<7<512600?720l1vwl8n:183>7<6;=926<;63:xe3g=83:1>7?<42f950?03tqj:l4?:181>453<;0:94m4}zc5e?6=8381=>:;6;36e5=zshh0;6=4=:01711<6=ho0qvo9a;294?4=9:>>47?:b39~d0f290;6?4>357g>43e?2wpm;o50;296?7450;095621i3;>o95r{`4b>5<72;0:?98i:07`=>{|i?k1<7>52;30025=95<5289?;54>5e08y~g1i3:1<7<51264g?72l?1vwl8n:183>7<6;=2:6<;kb:xe3g=83:1>7?<497950ba3tqj:l4?:181>4530h0:9h:4}zc5e?6=8381=>:7e;36a==zshh0;6=4=:017=f<6=o<0qvo9a;294?4=9:>j<7?:f`9~d0f290;6?4>35c7>43am2wpm;o50;296?74=;|yb2d<729096<=;ae8225150;09562e93;=5<72;0:?9l::043b>{|i?k1<7>52;300gg=9?;?7pun6`83>5<5289?nh4>60:8y~g1i3:1<7<5126`6?719j1vwl8n:183>7<6;=i<6<8=1:xe3g=83:1>7?<4b`953423tqj:l4?:181>453ko0::?74}zc5e?6=8381=>:k4;356`=zsh6sta7c94?6=:3;88ij51714?x}f>h0;6=4=:017a4<6>:h0qvo9a;294?4=9:>n:7?9419~d0f290;6?4>35gb>403<2wpm;o50;296?7450;09562a03;=9?5r{`4b>5<72;0:?9hl:0462>{|i?k1<7>52;30156=9??j7pun6`83>5<5289><94>64g8y~g1i3:1<7<51273=?71>:1vwl8n:183>7<6;<:o6<897:xe3g=83:1>7?<5039530e3tqj:l4?:181>4529?0:::>4}zc5e?6=8381=>;>a;3531=zshh0;6=4=:01662<6>1;0qvo9a;294?4=9:?9n7?9849~d0f290;6?4>3413>40?i2wpm;o50;296?74=:>1=;6j;|yb2d<729096<=:39822<450;095634l3;=5:5r{`4b>5<72;0:?8:>:04:f>{|i?k1<7>52;30110=9?k;7pun6`83>5<5289>8l4>6`68y~g1i3:1<7<51277b?71i01vwl8n:183>7<6;7?<54:953d53tqj:l4?:181>452=j0::o84}zc5e?6=8381=>;90;35fd=zshh0;6=4=:0162`<6>j20qvo9a;294?4=9:?<>7?9cb9~d0f290;6?4>3454>40c92wpm;o50;296?74=>h1=;j:;|yb2d<729096<=:81822ag50;09563?<3;=hh5r{`4b>5<72;0:?866:04f7>{|i?k1<7>52;301=b=9?o<7pun6`83>5<5289>5?4>6da8y~g1i3:1<7<5127:2?71n91vwl8n:183>7<6;<3i6<8i5:xe3g=83:1>7?<58d953`>3tqj:l4?:181>452i=0::kk4}zc5e?6=8381=>;n8;3447=zshh0;6=4=:016f2<6?8;0qvo9a;294?4=9:?ih7?8169~d0f290;6?4>34a1>416k2wpm;o50;296?74=j21=:<=;|yb2d<729096<=:ce8237150;09563c;3;<>i5r{`4b>5<72;0:?8j7:0506>{|i?k1<7>52;301ac=9>937pun6`83>5<5289>i>4>72f8y~g1i3:1<7<5127f=?70<:1vwl8n:183>7<6;7?<5g69522b3tqj:l4?:181>452n00:;8=4}zc5e?6=8381=>;if;341<=zshi6sta7c94?6=:3;8:=o51647?x}f>h0;6=4=:0154c<6??30qvo9a;294?4=9:<:97?86g9~d0f290;6?4>373b>410<2wpm;o50;296?74>;:1=:9n;|yb2d<729096<=9248232`50;095605j3;<485r{`4b>5<72;0:?;=?:05;e>{|i?k1<7>52;30260=9>3;7pun6`83>5<5289=?o4>7878y~g1i3:1<7<512475?701k1vwl8n:183>7<6;?>=6<9n0:xe3g=83:1>7?<65a952g13tqj:l4?:181>451=80:;ll4}zc5e?6=8381=>8:7;34f4=zshh0;6=4=:01523<6?j:0qvo9a;294?4=9:<=n7?8c49~d0f290;6?4>3753>41di2wpm;o50;296?74>>?1=:mi;|yb2d<729096<=97`823a250;095600n3;5<72;0:?;6;:05ga>{|i?k1<7>52;302=?=9>o87pun6`83>5<5289=4h4>7d:8y~g1i3:1<7<5124:7?70mm1vwl8n:183>7<6;?336<9i2:xe3g=83:1>7?<68f952`03tqj:l4?:181>451i;0:;km4}zc5e?6=8381=>8n7;3;44=zshh0;6=4=:015f3<608:0qvo9a;294?4=9:37a3>4>6i2wpm;o50;296?74>j?1=5?i;|yb2d<729096<=9c`82<7250;09560dn3;3>45r{`4b>5<72;0:?;j;:0:1a>{|i?k1<7>52;302a?=91987pun6`83>5<5289=hh4>82:8y~g1i3:1<7<5124f7?7?;m1vwl8n:183>7<6;?o36<6;2:xe3g=83:1>7?<6df95=203tqj:l4?:181>451n;0:49m4}zc5e?6=8381=>8i7;3;14=zsh:6sta7c94?6=:3;8;=?5197a?x}f>h0;6=4=:01443<60?:0qvo9a;294?4=9:=;n7?7649~d0f290;6?4>3633>4>1i2wpm;o50;296?74?8?1=58i;|yb2d<729096<=81`82<2250;095616n3;3;45r{`4b>5<72;0:?:<;:0:4a>{|i?k1<7>52;3037?=91287pun6`83>5<5289<>h4>89:8y~g1i3:1<7<512507?7?0m1vwl8n:183>7<6;>936<662:xe3g=83:1>7?<72f95=?03tqj:l4?:181>450<;0:44m4}zc5e?6=8381=>9;7;3;e4=zshh0;6=4=:01413<60k:0qvo9a;294?4=9:=>n7?7b49~d0f290;6?4>3643>4>ei2wpm;o50;296?74???1=5li;|yb2d<729096<=86`8250;095611n3;3o45r{`4b>5<72;0:?:9;:0:`a>{|i?k1<7>52;3032?=91n87pun6`83>5<5289<;h4>8e:8y~g1i3:1<7<5125;7?7?lm1vwl8n:183>7<6;>236<6j2:xe3g=83:1>7?<79f95=c03tqj:l4?:181>4501;0:4hm4}zc5e?6=8381=>967;3;b4=zshh0;6=4=:014e0<60ol0qvo9a;294?4=9:=jm7?6059~d0f290;6?4>36cf>4?702wpm;o50;296?74?k91=4>k;|yb2d<729096<=8b682=4750;09561ek3;2=;5r{`4b>5<72;0:?:m?:0;2e>{|i?k1<7>52;303f3=90;m7pun6`83>5<52899318y~g1i3:1<7<5125`a?7>:11vwl8n:183>7<6;>n96<7=c:xe3g=83:1>7?<7e595<563tqj:l4?:181>450lk0:5>;4}zc5e?6=8381=>9j0;3:7d=zshh0;6=4=:014aa<61==0qvo9a;294?4=9:=m=7?64c9~d0f290;6?4>36d5>4?282wpm;o50;296?74?ok1=4;;;|yb2d<729096<=8fg82=0?50;0956>7;3;29i5r{`4b>5<72;0:?5>7:0;56>{|i?k1<7>52;30<5e=90<=7pun6`83>5<52893=<4>97`8y~g1i3:1<7<512:21?7>>o1vwl8n:183>7<6;1;j6<784:xe3g=83:1>7?<80g95<1?3tqj:l4?:181>45?::0:5:j4}zc5e?6=8381=>6=7;3:<4=zsh>518:b?x}f>h0;6=4=:01;70<611l0qvo9a;294?4=9:2857?6929~d0f290;6?4>391f>4?>02wpm;o50;296?740=81=47l;|yb2d<729096<=74682=d750;0956>3j3;2m85r{`4b>5<72;0:?5:i:0;b=>{|i?k1<7>52;30<02=90kn7pun6`83>5<52893954>9c08y~g1i3:1<7<512:6`?7>j>1vwl8n:183>7<6;1<:6<7mb:xe3g=83:1>7?<8749545?>h0:5n:4}zc5e?6=8381=>69f;3:g<=zshh0;6=4=:01;3f<61m<0qvo9a;294?4=9:23=7?6dc9~d0f290;6?4>39:6>4?cn2wpm;o50;296?7401k1=4k;;|yb2d<729096<=78d82=`>50;0956>>;3;2ii5r{`4b>5<72;0:?578:0;e5>{|i?k1<7>52;30<5<52893m=4>9gc8y~g1i3:1<7<512:b1?7>no1vwl8n:183>7<6;1k267?<8`g95d6?3tqj:l4?:181>45?j;0:m=m4}zc5e?6=8381=>6m6;3b55=zshh0;6=4=:01;g1<6i8o0qvo9a;294?4=9:2h47?n239~d0f290;6?4>39ag>4g5?2wpm;o50;296?740m;1=l50;0956>ci3;j?95r{`4b>5<72;0:?5ji:0c0=>{|i?k1<7>52;30<`5=9h9o7pun6`83>5<52893i54>a508y~g1i3:1<7<512:fg?7f7<6;1l:67?<8g795d2a3tqj:l4?:181>45?nh0:m8:4}zc5e?6=8381=>6ie;3b1==zshh6sta7c94?6=:3;85=951`42?x}f>h0;6=4=:01:4g<6i??0qvo9a;294?4=9:3;j7?n689~d0f290;6?4>3837>4g1m2wpm;o50;296?741821=l9=;|yb2d<729096<=61b82e2050;0956?593;j;o5r{`4b>5<72;0:?4<::0c4b>{|i?k1<7>52;30=7?=9h287pun6`83>5<52892>h4>a9:8y~g1i3:1<7<512;06?7f0j1vwl8n:183>7<6;09=67?<92`95d?23tqj:l4?:181>45>;o0:m474}zc5e?6=8381=>7;3;3b=a=zsh6sta7c94?6=:3;859m51`c5?x}f>h0;6=4=:01:15<6ihk0qvo9a;294?4=9:3>97?nag9~d0f290;6?4>387:>4ge;2wpm;o50;296?74150;0956?1>3;jo=5r{`4b>5<72;0:?48n:0c`0>{|i?k1<7>52;30=3`=9hi27pun6`83>5<52892;>4>abf8y~g1i3:1<7<512;43?7fl81vwl8n:183>7<6;0=h67?<99295dbf3tqj:l4?:181>45>0=0:mik4}zc5e?6=8381=>779;3ba6=zshc28kn;6sta7c94?6=:3;854?51`ga?x}f>h0;6=4=:01:=3<6io:0qvo9a;294?4=9:32m7?nf59~d0f290;6?4>38;f>4ga02wpm;o50;296?741h91=lhk;|yb2d<729096<=6a682f5750;0956?fj3;i<85r{`4b>5<72;0:?4l?:0`3e>{|i?k1<7>52;30=g2=9k:n7pun6`83>5<52892n54>b008y~g1i3:1<7<512;a`?7e9>1vwl8n:183>7<6;0i:6b:xe3g=83:1>7?<9b795g7a3tqj:l4?:181>45>k00:n?=4}zc5e?6=8381=>7ld;3a62=zshh0;6=4=:01:`d<6j:>0qvo9a;294?4=9:3oj7?m389~d0f290;6?4>38g0>4d4l2wpm;o50;296?741l=1=o:>;|yb2d<729096<=6eb82f1050;0956?a83;i8l5r{`4b>5<72;0:?4h;:0`7a>{|i?k1<7>52;30=c?=9k?87pun6`83>5<52892ji4>b458y~g1i3:1<7<512c35?7e=k1vwl8n:183>7<6;h:=67?45f8l0:n;64}zc5e?6=8381=>o>3;3a2a=zshh0;6=4=:01b65<6j>k0qvo9a;294?4=9:k987?m7d9~d0f290;6?4>3`0;>4d?:2wpm;o50;296?74i;n1=o68;|yb2d<729096<=n3082f=d50;0956g4=3;i4k5r{`4b>5<72;0:?l=n:0`:0>{|i?k1<7>52;30e6c=9k337pun6`83>5<5289j8?4>b8a8y~g1i3:1<7<512c73?7ei81vwl8n:183>7<6;h>i67?3tqj:l4?:181>45f==0:nlk4}zc5e?6=8381=>o:8;3af7=zshh0;6=4=:01b20<6jkl0qvo9a;294?4=9:k=57?mc29~d0f290;6?4>3`4f>4dd02wpm;o50;296?74i>81=oml;|yb2d<729096<=n7782fa650;0956g0j3;ih85r{`4b>5<72;0:?l9i:0`g=>{|i?k1<7>52;30e=5=9kno7pun6`83>5<5289j4:4>bd38y~g1i3:1<7<512c;f?7em<1vwl8n:183>7<6;h3;67?45f110:nk<4}zc5e?6=8381=>o6d;3ab2=zshh0;6=4=:01bed<6k9>0qvo9a;294?4=9:kji7?l099~d0f290;6?4>3``1>4e7k2wpm;o50;296?74ik=1=n?>;|yb2d<729096<=nbc82g4350;0956gen3;h=45r{`4b>5<72;0:?lm;:0a2a>{|i?k1<7>52;30ef>=9j897pun6`83>5<5289jon4>c348y~g1i3:1<7<512cg5?7d:k1vwl8n:183>7<6;hn>67?45fll0:o>64}zc5e?6=8381=>oj2;3`7f=zshh0;6=4=:01bac<6k=30qvo9a;294?4=9:km?7?l4e9~d0f290;6?4>3`d;>4e2:2wpm;o50;296?74ioi1=n;9;|yb2d<729096<=m0182g0g50;0956d7=3;h9k5r{`4b>5<72;0:?o>6:0a57>{|i?k1<7>52;30f5b=9j<<7pun6`83>5<5289i=?4>c7a8y~g1i3:1<7<512`22?7d?91vwl8n:183>7<6;k;j67?3tqj:l4?:181>45e::0:o:j4}zc5e?6=8381=>l=7;3`<4=zsh>51b:b?x}f>h0;6=4=:01a71<6k1o0qvo9a;294?4=9:h857?l929~d0f290;6?4>3c1g>4e>?2wpm;o50;296?74j=;1=n7m;|yb2d<729096<=m4782gd650;0956d3i3;hm95r{`4b>5<72;0:?o:j:0ab<>{|i?k1<7>52;30f05=9jko7pun6`83>5<5289i9:4>cc38y~g1i3:1<7<512`6f?7dj<1vwl8n:183>7<6;k<;67?45e>10:on<4}zc5e?6=8381=>l9d;3`g2=zshh0;6=4=:01a3d<6km>0qvo9a;294?4=9:h3c:1>4eck2wpm;o50;296?74j1=1=nk>;|yb2d<729096<=m8c82g`350;0956d?n3;hi45r{`4b>5<72;0:?o7;:0afa>{|i?k1<7>52;30f<>=9jl97pun6`83>5<5289i5n4>cg48y~g1i3:1<7<512`b5?7dnk1vwl8n:183>7<6;kk>67?45eil0:h=64}zc5e?6=8381=>lm2;3g4f=zshh0;6=4=:01afc<6l830qvo9a;294?4=9:hh?7?k1e9~d0f290;6?4>3ca;>4b5:2wpm;o50;296?74jji1=i<9;|yb2d<729096<=md182`7g50;0956dc=3;o>k5r{`4b>5<72;0:?oj6:0f07>{|i?k1<7>52;30fab=9m9<7pun6`83>5<5289ii?4>d2a8y~g1i3:1<7<512`f2?7c<91vwl8n:183>7<6;koj67?3tqj:l4?:181>45en:0:h9j4}zc5e?6=8381=>li7;3g14=zsh:6sta7c94?6=:3;8o=>51e7b?x}f>h0;6=4=:01`41<6l3b2g>4b1?2wpm;o50;296?74k8;1=i8m;|yb2d<729096<=l1782`2650;0956e6i3;o;95r{`4b>5<72;0:?n?j:0f4<>{|i?k1<7>52;30g74=9m=h7pun6`83>5<5289h>;4>d938y~g1i3:1<7<512a1f?7c0?1vwl8n:183>7<6;j9;67?45d;h0:h4;4}zc5e?6=8381=>mh0;6=4=:01`0`<6lh30qvo9a;294?4=9:i>?7?kad9~d0f290;6?4>3b7;>4be;2wpm;o50;296?74k50;0956e113;oo95r{`4b>5<72;0:?n8j:0f`=>{|i?k1<7>52;30g25=9min7pun6`83>5<5289h;54>de18y~g1i3:1<7<512a4`?7cl11vwl8n:183>7<6;j2967?45d0m0:hh64}zc5e?6=8381=>m63;3ga`=zshh0;6=4=:01`e7<6lon0qvo9a;294?4=9:ij;7?j039~d0f290;6?4>3bc`>4c7?2wpm;o50;296?74kk;1=h>l;|yb2d<729096<=lb682a4450;0956eel3;n=55r{`4b>5<72;0:?nm=:0g2`>{|i?k1<7>52;30gf1=9l897pun6`83>5<5289hon4>e358y~g1i3:1<7<512ag5?7b:j1vwl8n:183>7<6;jn=67?45dm80:i>m4}zc5e?6=8381=>mj7;3f07=zshh0;6=4=:01`b3<6m<;0qvo9a;294?4=9:imn7?j579~d0f290;6?4>3e23>4c2j2wpm;o50;296?74l9?1=h8?;|yb2d<729096<=k0c82a3050;0956b693;n:n5r{`4b>5<72;0:?i?9:0g45>{|i?k1<7>52;30`4d=9l==7pun6`83>5<5289o>=4>e6`8y~g1i3:1<7<512f11?7b091vwl8n:183>7<6;m8j67?f3tqj:l4?:181>45c;=0:i5h4}zc5e?6=8381=>j<9;3f=1=zshh0;6=4=:01g0=<6mh90qvo9a;294?4=9:n?h7?ja99~d0f290;6?4>3e71>4cfl2wpm;o50;296?74l<=1=hl=;|yb2d<729096<=k5e82ag>50;0956b1;3;nnh5r{`4b>5<72;0:?i87:0g`7>{|i?k1<7>52;30`3b=9li37pun6`83>5<5289o;?4>ebf8y~g1i3:1<7<512f43?7bl;1vwl8n:183>7<6;m=h67?45c0?0:ih?4}zc5e?6=8381=>j7b;3fa3=zshh0;6=4=:01g=d<6mo?0qvo9a;294?4=9:n2j7?jf`9~d0f290;6?4>3ec7>4can2wpm;o50;296?74lh31=k>;;|yb2d<729096<=kad82b5?50;0956be;3;m5<72;0:?il7:0d27>{|i?k1<7>52;30`gb=9o;37pun6`83>5<5289oo?4>f0f8y~g1i3:1<7<512f`3?7a:;1vwl8n:183>7<6;mih67?45cl?0:j>?4}zc5e?6=8381=>jkb;3e73=zshh0;6=4=:01gad<6n=?0qvo9a;294?4=9:nnj7?i4`9~d0f290;6?4>3ed7>4`3n2wpm;o50;296?74lo31=k;;;|yb2d<729096<=kfd82b0?50;0956c7;3;m9h5r{`4b>5<72;0:?h>7:0d57>{|i?k1<7>52;30a5b=9o<37pun6`83>5<5289n=?4>f7f8y~g1i3:1<7<512g23?7a?;1vwl8n:183>7<6;l;h67?45b:?0:j5?4}zc5e?6=8381=>k=b;3e<3=zsh;51g;3?x}f>h0;6=4=:01f7d<6n0?0qvo9a;294?4=9:o8j7?i9`9~d0f290;6?4>3d67>4`>n2wpm;o50;296?74m=31=ko;;|yb2d<729096<=j4d82bd?50;0956c2<3;mmk5r{`4b>5<72;0:?h;n:0da1>{|i?k1<7>52;30a0`=9ohj7pun6`83>5<5289n:94>fcd8y~g1i3:1<7<512g5=?7ak=1vwl8n:183>7<6;l7?45b?10:ji=4}zc5e?6=8381=>k8d;3e`==zsh528loh6sta7c94?6=:3;8i5951gg1?x}f>h0;6=4=:01f3d;5>4`a92wpm;o50;296?74m0h1=kh9;|yb2d<729096<=ja182bcd50;0956cf=38;<=5r{`4b>5<72;0:?hon:3231>{|i?k1<7>52;30ad`=:9:j7pun6`83>5<5289nn94=01d8y~g1i3:1<7<512ga=?479=1vwl8n:183>7<6;lhn6?>>9:xe3g=83:1>7?45bk109kld;036==zshh0;6=4=:01f`f<58:=0qvo9a;294?4=9:on=73dg5>76392wpm;o50;296?74mlh1>=:9;|yb2d<729096<=jf18141d50;0956ca=38;9=5r{`4b>5<72;0:?hhn:3261>{|i?k1<7>52;30ac`=:9?j7pun6`83>5<5289m<94=04d8y~g1i3:1<7<512d3=?47>=1vwl8n:183>7<6;o:n6?>99:xe3g=83:1>7?45a9109<:=4}zc5e?6=8381=>h>d;033==zshh0;6=4=:01e6f<581=0qvo9a;294?4=9:l8=73g15>76>92wpm;o50;296?74n:h1>=79;|yb2d<729096<=i4181450;0956`3=38;m=5r{`4b>5<72;0:?k:n:32b1>{|i?k1<7>52;30b06=:9ki7pun6`83>5<5289m9;4=0c38y~g1i3:1<7<512d6f?47j?1vwl8n:183>7<6;o<;6?>mb:xe3g=83:1>7?45a>h09h9f;03gd=zshh0;6=4=:01e3d<58m?0qvo9a;294?4=9:l3g:3>76cj2wpm;o50;296?74n191>=jj;|yb2d<729096<=i87814`750;0956`?138;i95r{`4b>5<72;0:?k6l:32f3>{|i?k1<7>52;30b=`=:9oj7pun6`83>5<5289m5?4=0df8y~g1i3:1<7<512d:1?47n91vwl8n:183>7<6;o336?>i3:xe3g=83:1>7?45a1l09hn5;0247=zshh0;6=4=:01efd<598n0qvo9a;294?4=9:lh=7<>279~d0f290;6?4>3ga;>775n2wpm;o50;296?74njl1><=7;|yb2d<729096<=id78151750;0956`cl38:8l5r{`4b>5<72;0:?kk;:3367>{|i?k1<7>52;30b`d=:8?h7pun6`83>5<5289mj?4=1778y~g1i3:1<7<512de=?46>l1vwl8n:183>7<6<9:;6??87:xe3g=83:1>7?;0179641d3tqj:l4?:181>4278h09=5?4}zc5e?6=8381=9>?f;02<3=zshh0;6=4=:06365<590=0qvo9a;294?4=9=:997<>9b9~d0f290;6?4>410b>77f92wpm;o50;296?738;l1>50;095164i38:n<5r{`4b>5<72;0:8=:?:33a3>{|i?k1<7>52;37413=:8hh7pun6`83>5<528>;8l4=1b38y~g1i3:1<7<51527b?46k?1vwl8n:183>7<6<9??6??lb:xe3g=83:1>7?;04;964b73tqj:l4?:181>427=o09=i84}zc5e?6=8381=9>95;02`f=zshh0;6=4=:06331<59lh0qvo9a;294?4=9=:<57<>f19~d0f290;6?4>415f>77a=2wpm;o50;296?7381>1>50;09516?n389<;5r{`4b>5<72;0:8=7;:303f>{|i?k1<7>52;3745<528>;5h4=2078y~g1i3:1<7<5152b7?459h1vwl8n:183>7<6<9k26?<=0:xe3g=83:1>7?;0`d967413tqj:l4?:181>427j=09>?l4}zc5e?6=8381=9>m9;0175=zshh0;6=4=:063g=<5::l0qvo9a;294?4=9=:hi7<=449~d0f290;6?4>41f7>743j2wpm;o50;296?738m31>?;?;|yb2d<729096<:?dd8160350;09516b;3899l5r{`4b>5<72;0:8=k7:306b>{|i?k1<7>52;374`b=:;5<528>;j>4=27c8y~g1i3:1<7<5152e=?45?91vwl8n:183>7<6<9ln6?<85:xe3g=83:1>7?;1119671f3tqj:l4?:181>4268109>:h4}zc5e?6=8381=9??d;01<1=zshh0;6=4=:0625a<5:0>0qvo9a;294?4=9=;9>7<=989~d0f290;6?4>4004>74>m2wpm;o50;296?739;i1>?o<;|yb2d<729096<:>30816d>50;095174:389mo5r{`4`>5<72;0:8<=<:30b=>{|i?i1<7>52;37562=:;kj7pun6b83>5<528>:?84=2`a8y~g1i3:1<7<51530b?45im1vwl8n:183>7<6<8>?6?7?;15;967d03tqj:l4?:181>426om4}zc5e?6=8381=9?:3;01g4=zshj0;6=4=:0621d<5:j=0qvo9c;294?4=9=;>n7<=c99~d0d290;6?4>407`>74di2wpm;o50;296?739?<1>?mm;|yb2d<729096<:>6c816a650;0951708389h85r{`4b>5<72;0:8<9::30ge>{|i?k1<7>52;3752g=:;nm7pun6`83>5<528>:;k4=2d68y~g1k3:1<7<5153;4?45m>1vwl8l:183>7<6<82:6?7?;190967c13tqj:n4?:181>4260:09>h64}zc5e?6=8381=9?7d;01a<=zshh0;6=4=:062=f<5:o20qvo9a;294?4=9=;j=7<=fe9~d0f290;6?4>40c5>757:2wpm;o50;296?739hi1>>>7;|yb2d<729096<:>b38175c50;09517e?388=>5r{`4b>5<72;0:8{|i?k1<7>52;375f7=::;o7pun6`83>5<528>:o;4=3308y~g1i3:1<7<5153`f?44:>1vwl8l:183>7<6<8ih6?==a:xe3e=83:1>7?;1bf9664?3tqj:n4?:181>426kl09??74}zc5g?6=8381=9?lf;006g=zsh2;99o6sta7c94?6=:3;?=ik52212?x}f>h0;6=4=:062a6<5;:<0qvo9a;294?4=9=;n47<<3c9~d0f290;6?4>40gg>75382wpm;o50;296?739o81>>::;|yb2f<729096<:>f28171>50;09517a<3888;5r{`4`>5<72;0:8{|i?i1<7>52;375c0=::>27pun6`83>5<528>9<=4=35c8y~g1i3:1<7<515031?447<6<;:j6?=:4:xe3g=83:1>7?;21d9663>3tqj:l4?:181>4259=09?8k4}zc5e?6=8381=9<>9;0026=zshj0;6=4=:0615f<5;??0qvo9c;294?4=9=8:h7<<669~d0f290;6?4>4304>75102wpm;o50;296?73:;i1>>8k;|yb2d<729096<:=308172450;095144>388;:5r{`4b>5<72;0:8?=m:314g>{|i?k1<7>52;37616=::2:7pun6b83>5<528>98<4=3968y~g1k3:1<7<515076?440;1vwl8l:183>7<6<;>86?=73:xe3e=83:1>7?;256966>23tqj:l4?:181>4258j522;6?x}f>h0;6=4=:06127<5;0k0qvo9a;294?4=9=8=;7<<9g9~d0d290;6?4>434;>75f:2wpm;m50;296?73:?31>>o?;|yb2f<729096<:=6`817d750;095141j388m>5r{`4b>5<72;0:8?9::31b0>{|i?k1<7>52;3762g=::k27pun6`83>5<528>9;k4=3`g8y~g1i3:1<7<5150;0?44j:1vwl8n:183>7<6<;226?=m8:xe3g=83:1>7?;29g966dc3tqj:n4?:181>4250o09?n>4}zc5g?6=8381=9<60;00f`=zsh4<522a2?x}f>h0;6=4=:061=f<5;j80qvo9a;294?4=9=8j=7<43c5>75dk2wpm;o50;296?73:hh1>>j>;|yb2d<729096<:=b1817a050;09514e=388ho5r{`4`>5<72;0:8?l9:31ga>{|i?i1<7>52;376g1=::nh7pun6b83>5<528>9n54=3ef8y~g1k3:1<7<5150a=?44lo1vwl8n:183>7<6<;i86?=j0:xe3e=83:1>7?;2b6966c43tqj:n4?:181>425k<09?h?4}zc5g?6=8381=9i?522g6?x}f>h0;6=4=:061`3<5;lk0qvo9a;294?4=9=8on7<43g3>75a<2wpm;o50;296?73:l?1>>h6;|yb2d<729096<:=e`817cc50;09514bj38?<<5r{`4`>5<72;0:8?kl:31eb>{|i?i1<7>52;376`b=:=:;7pun6b83>5<528>9ih4=4108y~g1i3:1<7<5150e7<6<;l26?:?6:xe3e=83:1>7?;2gc961633tqj:n4?:181>425nk098=;4}zc5g?6=8381=9;46sta7c94?6=:3;??=l5252g?x}f>h0;6=4=:06055<5<880qvo9a;294?4=9=9:97<;169~d0f290;6?4>423b>726k2wpm;o50;296?73;8l1>9<>;|yb2f<729096<:<218107250;095155938?>?5r{`4`>5<72;0:8><=:3617>{|i?i1<7>52;37775=:=8>7pun6`83>5<528>8>i4=4348y~g1k3:1<7<51511a?43:01vwl8l:183>7<6<:8m6?:=7:xe3e=83:1>7?;3229614?3tqj:n4?:181>424;8098?o4}zc5e?6=8381=9=8<6sta7c94?6=:3;??9;52516?x}f>h0;6=4=:0600d<5<:k0qvo9a;294?4=9=9?j7<;3g9~d0f290;6?4>4277>723<2wpm;m50;296?73;9:8;|yb2f<729096<:<578101350;095152?38?8;5r{`4`>5<72;0:8>;7:367<>{|i?k1<7>52;37734=:=>27pun6b83>5<528>8:>4=45a8y~g1k3:1<7<515150?437<6<:<>6?:;b:xe3e=83:1>7?;3749612c3tqj:l4?:181>424?90989k4}zc5e?6=8381=9=85;0716=zsh>46sta7c94?6=:3;??:h5257g?x}f>h0;6=4=:060<1<542:e>721l2wpm;o50;296?73;0?1>99<;|yb2d<729096<:<9`8102>50;09515>n38?;i5r{`4b>5<72;0:8>o;:36;6>{|i?k1<7>52;377d?=:=2<7pun6`83>5<528>8mh4=49a8y~g1k3:1<7<5151bb?430o1vwl8l:183>7<6<:h;6?:7d:xe3e=83:1>7?;3c3961>b3tqj:n4?:181>424j;0984>4}zc5e?6=8381=9=mc;07=4=zsh286sta7a94?6=:3;??ok525;1?x}f>j0;6=4=:060fc<5<090qvo9c;294?4=9=9h<7<;949~d0f290;6?4>42ab>72>>2wpm;o50;296?73;jl1>97m;|yb2d<729096<:50;09515c138?m85r{`4b>5<72;0:8>jj:36be>{|i?k1<7>52;377`5=:=km7pun6b83>5<528>8i94=4c08y~g1k3:1<7<5151f1?43j91vwl8l:183>7<6<:o=6?:m1:xe3e=83:1>7?;3d5961d43tqj:l4?:181>424n8098o:4}zc5g?6=8381=9=i2;07f2=zshi96sta7a94?6=:3;??k:525`5?x}f>j0;6=4=:060b0<54527>72em2wpm;o50;296?73<931>9m<;|yb2d<729096<:;0d810f>50;095126;38?oi5r{`4b>5<72;0:89?7:36g6>{|i?k1<7>52;3704c=:=n37pun6`83>5<528>?>94=4eg8y~g1i3:1<7<51561=?43m:1vwl8n:183>7<6<=8n6?:j8:xe3g=83:1>7?;421961cc3tqj:l4?:181>423;1098k<4}zc5e?6=8381=9:mh6sta7c94?6=:3;?89752420?x}f>h0;6=4=:0670`<5=920qvo9a;294?4=9=>>?7<:0e9~d0f290;6?4>457;>736:2wpm;o50;296?73<8?8;|yb2d<729096<:;638114e50;095121038>>?5r{`4b>5<72;0:898j:371<>{|i?k1<7>52;37025=:<8o7pun6`83>5<528>?;54=5208y~g1i3:1<7<51564`?42;>1vwl8n:183>7<6<=296?;7?;495960263tqj:l4?:181>4230m099994}zc5e?6=8381=9:63;060a=zsh>6sta7c94?6=:3;?84j52474?x}f>h0;6=4=:067e7<5=j;7<:609~d0f290;6?4>45c`>731>2wpm;o50;296?7388l;|yb2d<729096<:;b98112450;09512el38>;:5r{`4b>5<72;0:89m=:374g>{|i?k1<7>52;370f1=:<2:7pun6`83>5<528>?on4=5948y~g1i3:1<7<5156g5?420k1vwl8n:183>7<6<=n<6?;61:xe3g=83:1>7?;4ef960?03tqj:l4?:181>423m;0994m4}zc5e?6=8381=9:j7;06e4=zshh0;6=4=:067b3<5=k:0qvo9a;294?4=9=>mo7<:b79~d0f290;6?4>4421>73ek2wpm;o50;296?73=9=1>8m>;|yb2d<729096<::0b811f050;095136;38>ok5r{`4b>5<72;0:88?n:37g2>{|i?k1<7>52;37177=:5<528>>>54=5d68y~g1i3:1<7<51571b?42mk1vwl8n:183>7<6<<9=6?;i2:xe3g=83:1>7?;52f960`>3tqj:l4?:181>422<=09:=>4}zc5e?6=8381=9;;b;0542=zshh0;6=4=:06625<5>8i0qvo9a;294?4=9=?=;7<9229~d0f290;6?4>444f>705i2wpm;o50;296?73=>?1>;=>;|yb2d<729096<::7b8126>50;09513?;38=?k5r{`4b>5<72;0:886n:3472>{|i?k1<7>52;371<7=:?>o7pun6`83>5<528>>554=6468y~g1i3:1<7<5157:b?41=k1vwl8n:183>7<6<7?;5`f9630>3tqj:l4?:181>422j=09::>4}zc5e?6=8381=9;mb;0532=zshh0;6=4=:066`5<5>1i0qvo9a;294?4=9=?o;7<9929~d0f290;6?4>44ff>70>i2wpm;o50;296?73=l?1>;o>;|yb2d<729096<::eb812d>50;09513a;38=mk5r{`4b>5<72;0:88hn:34a2>{|i?k1<7>52;37257=:?ho7pun6`83>5<528>=<54=6b68y~g1i3:1<7<51543b?41kk1vwl8n:183>7<67?;60f963b>3tqj:l4?:181>421:=09:h>4}zc5e?6=8381=98=b;05a2=zsh7527d6?x}f>h0;6=4=:06505<5>oi0qvo9a;294?4=9=476f>717i2wpm;o50;296?73>:?>;|yb2d<729096<:95b8134>50;095101;38<=k5r{`4b>5<72;0:8;8n:3512>{|i?k1<7>52;37227=:>8o7pun6`83>5<528>=;54=7268y~g1i3:1<7<51544b?40;k1vwl8n:183>7<67?;69f9622>3tqj:l4?:181>4211=09;8>4}zc5e?6=8381=986b;0412=zshi6sta7c94?6=:3;?:l752646?x}f>h0;6=4=:065f5<5??i0qvo9a;294?4=9=47`f>710i2wpm;o50;296?73>j?1>:6>;|yb2d<729096<:9cb813=>50;09510c;38<4k5r{`4b>5<72;0:8;jn:35:2>{|i?k1<7>52;372`7=:>3o7pun6`83>5<528>=i54=7`68y~g1i3:1<7<5154fb?40ik1vwl8n:183>7<67?;6gf962d>3tqj:l4?:181>4208=09;n>4}zc5e?6=8381=99?b;04g2=zshh0;6=4=:06465<5?mi0qvo9a;294?4=9==9;7<8e29~d0f290;6?4>460f>71bi2wpm;o50;296?73?:?1>:h>;|yb2d<729096<:83b813c>50;095113;385<72;0:8::n:3:32>{|i?k1<7>52;37307=:1:o7pun6`83>5<528><954=8068y~g1i3:1<7<51556b?4?9k1vwl8n:183>7<6<><=6?6=2:xe3g=83:1>7?;77f96=4>3tqj:l4?:181>420?=094>>4}zc5e?6=8381=998b;0;72=zsh52;28i6sta7c94?6=:3;?;5752966?x}f>h0;6=4=:064=5<50=i0qvo9a;294?4=9==2;7<7529~d0f290;6?4>46;f>7>2i2wpm;o50;296?73?h?1>58>;|yb2d<729096<:8ab81<3>50;09511e;383:k5r{`4b>5<72;0:8:ln:3:42>{|i?k1<7>52;373f7=:1=o7pun6`83>5<528>7<6<>n=6?662:xe3g=83:1>7?;7ef96=?>3tqj:l4?:181>420m=094l>4}zc5e?6=8381=99jb;0;e2=zshh0;6=4=:06;45<50ki0qvo9a;294?4=9=2;;7<7c29~d0f290;6?4>492f>7>di2wpm;o50;296?7308?1>5j>;|yb2d<729096<:71b8150;0951>5;383hk5r{`4b>5<72;0:85{|i?k1<7>52;37<67=:1oo7pun6`83>5<528>3?54=8g68y~g1i3:1<7<515:0b?4?nk1vwl8n:183>7<6<1>=6?7?2:xe3g=83:1>7?;85f96<6>3tqj:l4?:181>42?==095<>4}zc5e?6=8381=96:b;0:52=zshh0;6=4=:06;35<51;i0qvo9a;294?4=9=2<;7<6329~d0f290;6?4>495f>7?4i2wpm;o50;296?7301?1>4:>;|yb2d<729096<:78b81=1>50;0951>>;3828k5r{`4b>5<72;0:857n:3;62>{|i?k1<7>52;375<528>3m54=9768y~g1i3:1<7<515:bb?4>>k1vwl8n:183>7<6<1h=6?782:xe3g=83:1>7?;8cf96<1>3tqj:l4?:181>42?k=0955>4}zc5e?6=8381=96lb;0:<2=zshh0;6=4=:06;a5<510i0qvo9a;294?4=9=2n;7<6a29~d0f290;6?4>49gf>7?fi2wpm;o50;296?730o?1>4l>;|yb2d<729096<:7fb81=g>50;0951?7;382nk5r{`4b>5<72;0:84>n:3;`2>{|i?k1<7>52;37=47=:0io7pun6`83>5<528>2=54=9e68y~g1i3:1<7<515;2b?4>lk1vwl8n:183>7<6<08=6?7j2:xe3g=83:1>7?;93f963tqj:l4?:181>42>;=095k>4}zc5e?6=8381=97h0;6=4=:06:15<5i9i0qvo9a;294?4=9=3>;7487f>7g6i2wpm;o50;296?731??1>l<>;|yb2d<729096<:66b81e7>50;0951?0;38j>k5r{`4b>5<72;0:849n:3c02>{|i?k1<7>52;37==7=:h9o7pun6`83>5<528>2454=a568y~g1i3:1<7<515;;b?4f7<6<03=6?o:2:xe3g=83:1>7?;98f96d3>3tqj:l4?:181>42>i=09m;>4}zc5e?6=8381=97nb;0b22=zshh0;6=4=:06:g5<5i>i0qvo9a;294?4=9=3h;748af>7g?i2wpm;o50;296?731m?1>l7>;|yb2d<729096<:6db81e<>50;0951?b;38j5k5r{`4b>5<72;0:84kn:3cb2>{|i?k1<7>52;37=c7=:hko7pun6`83>5<528>2j54=ac68y~g1i3:1<7<515;eb?4fjk1vwl8n:183>7<67?;a1f96de>3tqj:l4?:181>42f9=09mi>4}zc5e?6=8381=9o>b;0b`2=zshh0;6=4=:06b75<5ili0qvo9a;294?4=9=k8;74`1f>7gai2wpm;o50;296?73i=?1>o>>;|yb2d<729096<:n4b81f5>50;0951g2;38i5<72;0:8l;n:3`22>{|i?k1<7>52;37e37=:k;o7pun6`83>5<528>j:54=b368y~g1i3:1<7<515c5b?4e:k1vwl8n:183>7<67?;a6f96g5>3tqj:l4?:181>42f0=09n9>4}zc5e?6=8381=9o7b;0a02=zshh0;6=4=:06be5<5j4`cf>7d1i2wpm;o50;296?73ik?1>o9>;|yb2d<729096<:nbb81f2>50;0951gd;38i;k5r{`4b>5<72;0:8lmn:3`;2>{|i?k1<7>52;37ea7=:k2o7pun6`83>5<528>jh54=b868y~g1i3:1<7<515cgb?4e1k1vwl8n:183>7<67?;adf96gg>3tqj:l4?:181>42fn=09no>4}zc5e?6=8381=9oib;0af2=zshh0;6=4=:06a55<5jji0qvo9a;294?4=9=h:;74c3f>7dci2wpm;o50;296?73j;?1>ok>;|yb2d<729096<:m2b81f`>50;0951d4;38iik5r{`4b>5<72;0:8o=n:3`e2>{|i?k1<7>52;37f17=:klo7pun6`83>5<528>i854=c168y~g1i3:1<7<515`7b?4d8k1vwl8n:183>7<62:xe3g=83:1>7?;b4f96f7>3tqj:l4?:181>42e>=09o?>4}zc5e?6=8381=9l9b;0`62=zshh0;6=4=:06a<5<5k:i0qvo9a;294?4=9=h3;74c:f>7e3k2wpm;o50;296?73j0?1>n;<;|yb2d<729096<:m9b81g0g50;0951df;38h:<5r{`4b>5<72;0:8oon:3a5<>{|i?k1<7>52;37fg7=:j5<528>in54=c648y~g1i3:1<7<515`ab?4d?o1vwl8n:183>7<67?;bbf96f?63tqj:l4?:181>42el=09o4o4}zc5e?6=8381=9lkb;0`e6=zshh0;6=4=:06ab5<5kko0qvo9a;294?4=9=hm;74cdf>7ec82wpm;o50;296?73k9?1>nj6;|yb2d<729096<:l0b81g`450;0951e6;38hio5r{`4b>5<72;0:8n?n:3ae0>{|i?k1<7>52;37g77=:jlo7pun6`83>5<528>h>54=d148y~g1i3:1<7<515a1b?4c8o1vwl8n:183>7<68:xe3g=83:1>7?;c2f96a463tqj:l4?:181>42d<=09h?o4}zc5e?6=8381=9m;b;0g76=zshh0;6=4=:06`25<5l=o0qvo9a;294?4=9=i=;74b4f>7b182wpm;o50;296?73k>?1>i86;|yb2d<729096<:l7b81`2450;0951e?;38o;o5r{`4b>5<72;0:8n6n:3f;0>{|i?k1<7>52;37g<7=:m2o7pun6`83>5<528>h554=d848y~g1i3:1<7<515a:b?4c1o1vwl8n:183>7<67?;c`f96ad63tqj:l4?:181>42dj=09hoo4}zc5e?6=8381=9mmb;0gg6=zshh0;6=4=:06``5<5lmo0qvo9a;294?4=9=io;74bff>7ba82wpm;o50;296?73kl?1>ih6;|yb2d<729096<:leb81a5450;0951ea;38n5<72;0:8nhn:3g20>{|i?k1<7>52;37`57=:l;o7pun6`83>5<528>o<54=e348y~g1i3:1<7<515f3b?4b:o1vwl8n:183>7<67?;d0f96`263tqj:l4?:181>42c:=09i9o4}zc5e?6=8381=9j=b;0f16=zsho6sta7c94?6=:3;?h>752d46?x}f>h0;6=4=:06g05<5m?o0qvo9a;294?4=9=n?;74e6f>7c?82wpm;o50;296?73lh66;|yb2d<729096<:k5b81a<450;0951b1;38n5o5r{`4b>5<72;0:8i8n:3gb0>{|i?k1<7>52;37`27=:lko7pun6`83>5<528>o;54=ec48y~g1i3:1<7<515f4b?4bjo1vwl8n:183>7<67?;d9f96`b63tqj:l4?:181>42c1=09iio4}zc5e?6=8381=9j6b;0fa6=zshh0;6=4=:06gf5<5moo0qvo9a;294?4=9=ni;74e`f>7`682wpm;o50;296?73lj?1>k?6;|yb2d<729096<:kcb81b7450;0951bc;38m>o5r{`4b>5<72;0:8ijn:3d00>{|i?k1<7>52;37``7=:o9o7pun6`83>5<528>oi54=f548y~g1i3:1<7<515ffb?4a7<67?;dgf96c063tqj:l4?:181>42b8=09j;o4}zc5e?6=8381=9k?b;0e36=zshh0;6=4=:06f65<5n1o0qvo9a;294?4=9=o9;74d0f>7`f82wpm;o50;296?73m:?1>ko6;|yb2d<729096<:j3b81bg450;0951c3;38mno5r{`4b>5<72;0:8h:n:3d`0>{|i?k1<7>52;37a07=:oio7pun6`83>5<528>n954=fe48y~g1i3:1<7<515g6b?4alo1vwl8n:183>7<67?;e7f96c`63tqj:l4?:181>42b?=09jko4}zc5e?6=8381=9k8b;1346=zsh52::;o6sta7c94?6=:3;?i5753136?x}f>h0;6=4=:06f=5<488o0qvo9a;294?4=9=o2;7=?269~d0f290;6?4>4d;f>66482wpm;o50;296?73mh?1?==6;|yb2d<729096<:jab8041450;0951ce;39;8o5r{`4b>5<72;0:8hln:2260>{|i?k1<7>52;37af7=;9?o7pun6`83>5<528>no54<0748y~g1i3:1<7<515g`b?57>o1vwl8n:183>7<6>88:xe3g=83:1>7?;eef975>63tqj:l4?:181>42bm=08<5o4}zc5e?6=8381=9kjb;13=6=zshh0;6=4=:06e45<48ho0qvo9a;294?4=9=l;;7=?b69~d0f290;6?4>4g2f>66d82wpm;o50;296?73n8?1?=m6;|yb2d<729096<:i1b804a450;0951`5;39;ho5r{`4b>5<72;0:8k{|i?k1<7>52;37b67=;9oo7pun6`83>5<528>m?54<0g48y~g1i3:1<7<515d0b?57no1vwl8n:183>7<6=6>??8:xe3g=83:1>7?;f5f974763tqj:l4?:181>42a==08=h0;6=4=:06e35<49:k0qvo9a;294?4=9=l<;7=>409~d0f290;6?4>4g5f>67302wpm;o50;296?73n1?1?<:i;|yb2d<729096<:i8b8050050;0951`>;39:9i5r{`4b>5<72;0:8k7n:2350>{|i?k1<7>52;37bd7=;85<528>mm54<1608y~g1i3:1<7<515dbb?56?01vwl8n:183>7<6?70:xe3g=83:1>7?;fcf974>03tqj:l4?:181>42ak=08=5k4}zc5e?6=8381=9hlb;12=0=zshh0;6=4=:06ea5<49hk0qvo9a;294?4=9=ln;7=>b09~d0f290;6?4>4ggf>67e02wpm;o50;296?73no?1?50;095067;39:oi5r{`4b>5<72;0:9=>n:23g0>{|i?k1<7>52;36447=;8ni7pun6`83>5<528?;=54<1d08y~g1i3:1<7<51422b?56m01vwl8n:183>7<6=98=6>?i0:xe3g=83:1>7?:03f974`03tqj:l4?:181>437;=08=kk4}zc5e?6=8381=8><9753330?x}f>h0;6=4=:07315<4:8k0qvo9a;294?4=9<:>;7==209~d0f290;6?4>517f>64502wpm;o50;296?728??1??50;095060;399?k5r{`4b>5<72;0:9=9n:2072>{|i?k1<7>52;364=7=;;>m7pun6`83>5<528?;454<24:8y~g1i3:1<7<5142;b?55>81vwl8n:183>7<6=9386><95:xe3g=83:1>7?:0859770>3tqj:l4?:181>4371j08>;k4}zc5e?6=8381=8>n0;1137=zshh0;6=4=:073ea<4:>l0qvo9a;294?4=9<:i=7==829~d0f290;6?4>51`5>64?02wpm;o50;296?728kk1??6l;|yb2d<729096<;?bd806<650;09506d;399585r{`4b>5<72;0:9=m8:20:=>{|i?k1<7>52;364fd=;;3o7pun6`83>5<528?;h=4<2`08y~g1i3:1<7<5142g0?55i?1vwl8n:183>7<6=9n36>7?:0ef977ga3tqj:l4?:181>437m808>o=4}zc5e?6=8381=8>j5;11f2=zshh0;6=4=:073b7<4:j>0qvo9a;294?4=9<:m;7==c89~d0f290;6?4>51da>64dl2wpm;o50;296?728ol1??j>;|yb2d<729096<;>05806a050;0950770399hl5r{`4b>5<72;0:9<>l:20ga>{|i?k1<7>52;36547=;;o87pun6`83>5<528?:=84<2d58y~g1i3:1<7<51432=?55mk1vwl8n:183>7<6=8;n6>7?:130977`33tqj:l4?:181>436:?08>k64}zc5e?6=8381=8?=b;11ba=zsh=>=53226?x}f>h0;6=4=:0727=<4;9k0qvo9a;294?4=9<;8o7=<0d9~d0f290;6?4>5063>656:2wpm;o50;296?729=?1?>?8;|yb2d<729096<;>488074d50;095073l398=k5r{`4b>5<72;0:9<;=:2110>{|i?k1<7>52;36500=;:837pun6`83>5<528?:9l4<33a8y~g1i3:1<7<51436b?54;81vwl8n:183>7<6=8<86>=<5:xe3g=83:1>7?:1759765>3tqj:l4?:181>436>j08?>k4}zc5e?6=8381=8?80;1007=zsh=:65326b?x}f>h0;6=4=:0723a<4;=l0qvo9a;294?4=9<;3=7=<529~d0f290;6?4>50:6>652?2wpm;o50;296?7291k1?>;l;|yb2d<729096<;>8d8073650;09507>:398:95r{`4b>5<72;0:9<78:215=>{|i?k1<7>52;3655<528?:5k4<3638y~g1i3:1<7<5143b0?54??1vwl8n:183>7<6=8k36>=8a:xe3g=83:1>7?:1`a9761b3tqj:l4?:181>436j808?5=4}zc5e?6=8381=8?m5;10<2=zsh2:93n6sta7c94?6=:3;>=ok532;3?x}f>h0;6=4=:072g7<4;0>0qvo9a;294?4=9<;h:7=<999~d0f290;6?4>50aa>65>l2wpm;o50;296?729jl1?>o>;|yb2d<729096<;>d2807d350;09507c0398ml5r{`4b>5<72;0:9{|i?k1<7>52;365`6=;:h97pun6`83>5<528?:i84<3c58y~g1i3:1<7<5143f=?54jk1vwl8n:183>7<6=8oo6>=mf:xe3g=83:1>7?:1g0976e33tqj:l4?:181>436n?08?n64}zc5e?6=8381=8?ia;10gf=zsh>==532f6?x}f>h0;6=4=:07142<4;m30qvo9a;294?4=9<8;o7=5333>65b:2wpm;o50;296?72:8>1?>k9;|yb2d<729096<;=18807`d50;095046l398ik5r{`4b>5<72;0:9?<>:21e7>{|i?k1<7>52;36670=;:l37pun6`83>5<528?9>l4<3ga8y~g1i3:1<7<51401a?53891vwl8n:183>7<6=;986>:?5:xe3g=83:1>7?:2259716>3tqj:l4?:181>435;k088=j4}zc5e?6=8381=8<;0;1757=zsh::6sta7c94?6=:3;>>965353b?x}f>h0;6=4=:0710a<4<8l0qvo9a;294?4=9<8>=7=;229~d0f290;6?4>537;>625k2wpm;o50;296?72:50;095041l39?8:5r{`4b>5<72;0:9?9;:2664>{|i?k1<7>52;3662d=;=?27pun6`83>5<528?94?4<4708y~g1i3:1<7<5140;=?53>k1vwl8n:183>7<6=;3;6>:84:xe3g=83:1>7?:2859711c3tqj:l4?:181>4351l088584}zc5e?6=8381=8246sta7c94?6=:3;>>o=535c2?x}f>h0;6=4=:071fd<453a;>62ek2wpm;o50;296?72:jl1?9m:;|yb2d<729096<;=d7800fc50;09504cl39?h:5r{`4b>5<72;0:9?k;:26f4>{|i?k1<7>52;366`d=;=o27pun6`83>5<528?9j?4<4g08y~g1i3:1<7<5140e=?53nk1vwl8n:183>7<6=::;6>;?4:xe3g=83:1>7?:3159706c3tqj:l4?:181>4348l089<84}zc5e?6=8381=8=>5;165c=zsh??=53412?x}f>h0;6=4=:0706d<4=:k0qvo9a;294?4=9<98=7=:429~d0f290;6?4>521;>633k2wpm;o50;296?72;:l1?8;:;|yb2d<729096<;<478010c50;095053l39>::5r{`4b>5<72;0:9>;;:2744>{|i?k1<7>52;3670d=;<=27pun6`83>5<528?8:?4<5928y~g1i3:1<7<51415=?520>1vwl8n:183>7<6=:=;6>;7e:xe3g=83:1>7?:365970?23tqj:l4?:181>434?l0894m4}zc5e?6=8381=8=75;16e6=zshd2:?jm6sta7c94?6=:3;>?4=534`2?x}f>h0;6=4=:070=d<4=k20qvo9a;294?4=9<9j=7=:bg9~d0f290;6?4>52c;>63d>2wpm;o50;296?72;hl1?8mk;|yb2d<729096<;50;09505el39>ho5r{`4b>5<72;0:9>m;:27f6>{|i?k1<7>52;367fd=;5<528?8h?4<5g28y~g1i3:1<7<5141g=?52n>1vwl8n:183>7<6=:o;6>;ie:xe3g=83:1>7?:3d5973623tqj:l4?:181>434ml08:=m4}zc5e?6=8381=8=i5;1556=zsh8==53702?x}f>h0;6=4=:0774d<4>;20qvo9a;294?4=9<>:=7=92g9~d0f290;6?4>553;>604>2wpm;o50;296?72<8l1?;=k;|yb2d<729096<;;278021250;095025l39=8o5r{`4b>5<72;0:99=;:2466>{|i?k1<7>52;3606d=;??27pun6`83>5<528??8?4<6708y~g1i3:1<7<51467=?51>k1vwl8n:183>7<6==?;6>884:xe3g=83:1>7?:4459731c3tqj:l4?:181>433=l08:584}zc5e?6=8381=8:95;158:=537c2?x}f>h0;6=4=:0773d<4>hk0qvo9a;294?4=9<>3=7=9b29~d0f290;6?4>55:;>60ek2wpm;o50;296?72<1l1?;m:;|yb2d<729096<;;97802fc50;09502>l39=h:5r{`4b>5<72;0:99o;:24f4>{|i?k1<7>52;360dd=;?o27pun6`83>5<528??n?4<6g08y~g1i3:1<7<5146a=?51nk1vwl8n:183>7<6==i;6>9?4:xe3g=83:1>7?:4b59726c3tqj:l4?:181>433kl08;<84}zc5e?6=8381=8:k5;145c=zsh8h=53612?x}f>h0;6=4=:077ad<4?:k0qvo9a;294?4=9<>m=7=8429~d0f290;6?4>55d;>613k2wpm;o50;296?7250;095037l39<::5r{`4b>5<72;0:98?;:2544>{|i?k1<7>52;3614d=;>=27pun6`83>5<528?>>?4<7908y~g1i3:1<7<51471=?50001vwl8n:183>7<6=<9;6>962:xe3g=83:1>7?:527972?03tqj:l4?:181>432;h08;4m4}zc5e?6=8381=8;997536ca?x}f>h0;6=4=:0760`<4?k:0qvo9a;294?4=9?7=8b49~d0f290;6?4>547;>61ei2wpm;o50;296?72=50;095031?395<72;0:988l:25`a>{|i?k1<7>52;36127=;>n87pun6`83>5<528?>;;4<7e:8y~g1i3:1<7<51474f?50lm1vwl8n:183>7<6=<2;6>9j2:xe3g=83:1>7?:597972c03tqj:l4?:181>4320h08;hm4}zc5e?6=8381=8;7f;14b4=zsh947536da?x}f>h0;6=4=:076=`<409:0qvo9a;294?4=954c;>6>7i2wpm;o50;296?72=hn1?5>i;|yb2d<729096<;:b380<4250;09503e?393=45r{`4b>5<72;0:98ll:2:2a>{|i?k1<7>52;361f7=;1887pun6`83>5<528?>o;4<83:8y~g1i3:1<7<5147`f?5?:m1vwl8n:183>7<6=6<2:xe3g=83:1>7?:5e797=503tqj:l4?:181>432lh084>m4}zc5e?6=8381=8;kf;1;04=zsh9h75396a?x}f>h0;6=4=:076a`<40<:0qvo9a;294?4=954d;>6>2i2wpm;o50;296?72=on1?5;i;|yb2d<729096<;90380<3250;095007?393:45r{`4b>5<72;0:9;>l:2:5a>{|i?k1<7>52;36247=;1=87pun6`83>5<528?==;4<86:8y~g1i3:1<7<51442f?5??m1vwl8n:183>7<6=?8;6>672:xe3g=83:1>7?:63597=>>3tqj:l4?:181>431:l0844>4}zc5e?6=8381=88<5;1;=2=zsh:9=539c6?x}f>h0;6=4=:0750d<40hi0qvo9a;294?4=9<<>=7=7b29~d0f290;6?4>577;>6>ei2wpm;o50;296?72>;|yb2d<729096<;9678050;095001l393ok5r{`4b>5<72;0:9;9;:2:g2>{|i?k1<7>52;3622d=;1no7pun6`83>5<528?=4?4<8d68y~g1i3:1<7<5144;=?5?mk1vwl8n:183>7<6=?3;6>6i2:xe3g=83:1>7?:68597=`>3tqj:l4?:181>4311l085=>4}zc5e?6=8381=88n5;1:42=zsh:o=53836?x}f>h0;6=4=:075fd<418i0qvo9a;294?4=9<57a;>6?5i2wpm;o50;296?72>jl1?4=>;|yb2d<729096<;9d780=6>50;09500cl392?k5r{`4b>5<72;0:9;k;:2;72>{|i?k1<7>52;362`d=;0>o7pun6`83>5<528?=j?4<9468y~g1i3:1<7<5144e=?5>=k1vwl8n:183>7<6=>:;6>792:xe3g=83:1>7?:71597<0e3tqj:l4?:181>4308l085::4}zc5e?6=8381=89>5;1:3a=zsh;?=538:e?x}f>h0;6=4=:0746d<41020qvo9a;294?4=9<=8=7=6a09~d0f290;6?4>561;>6?fi2wpm;o50;296?72?:l1?4l<;|yb2d<729096<;84780=ge50;095013l392o85r{`4b>5<72;0:9:;;:2;`a>{|i?k1<7>52;3630d=;0n<7pun6`83>5<528?<:?4<9d28y~g1i3:1<7<51455=?5>m01vwl8n:183>7<6=>=;6>7i2:xe3g=83:1>7?:76597<`>3tqj:l4?:181>430?l08m=>4}zc5e?6=8381=8975;1b42=zshd2:k:<6sta7c94?6=:3;>;4=53`34?x}f>h0;6=4=:074=d<4i8o0qvo9a;294?4=9<=j=7=n249~d0f290;6?4>56c;>6g5k2wpm;o50;296?72?hl1?l=<;|yb2d<729096<;8b780e6g50;09501el39j8<5r{`4b>5<72;0:9:m;:2c7<>{|i?k1<7>52;363fd=;h?:7pun6`83>5<528?:1vwl8n:183>7<6=>o;6>o9c:xe3g=83:1>7?:7d597d123tqj:l4?:181>430ml08m:k4}zc5e?6=8381=89i5;1b<2=zsh4==53`;:?x}f>h0;6=4=:07;4d<4ih80qvo9a;294?4=9<2:=7=nac9~d0f290;6?4>593;>6ge<2wpm;o50;296?7208l1?llk;|yb2d<729096<;72780ef050;0950>5l39jok5r{`4b>5<72;0:95=;:2cg<>{|i?k1<7>52;36<6>=;hnh7pun6`83>5<528?3?n47<6=1>>6>oj9:xe3g=83:1>7?:85c97dcb3tqj:l4?:181>43?48m53c23?x}f>h0;6=4=:07;25<4j9>0qvo9a;294?4=9<2=97=m089~d0f290;6?4>594:>6d7l2wpm;o50;296?720?o1?o?=;|yb2d<729096<;77380f4050;0950>0?39i=o5r{`4b>5<72;0:959m:2`2b>{|i?k1<7>52;36<=6=;k8?7pun6`83>5<528?34947<6=12o6>l<1:xe3g=83:1>7?:88097g513tqj:l4?:181>43?1?08n>o4}zc5e?6=8381=866b;1a7c=zsh4l=53c64?x}f>h0;6=4=:07;e=<4j=i0qvo9a;294?4=9<2jo7=m519~d0f290;6?4>59`2>6d2=2wpm;o50;296?720k?1?o;6;|yb2d<729096<;7b`80f0c50;0950>em39i:?5r{`4b>5<72;0:95m<:2`53>{|i?k1<7>52;365<528?3on47<6=1n>6>l89:xe3g=83:1>7?:8e;97g1c3tqj:l4?:181>43?ll08n5<4}zc5e?6=8381=86j2;1a<3=zsh4hl53c:e?x}f>h0;6=4=:07;b5<4j0>0qvo9a;294?4=9<2m87=m999~d0f290;6?4>59d:>6d>l2wpm;o50;296?720on1?oo>;|yb2d<729096<;60380fd050;0950?7>39iml5r{`4b>5<72;0:94>m:2`bb>{|i?k1<7>52;36=5`=;kh87pun6`83>5<528?2=947<6=0;o6>ll1:xe3g=83:1>7?:93397ge23tqj:l4?:181>43>:?08nno4}zc5e?6=8381=87=a;1ag`=zsh6sta7c94?6=:3;>5>=53cf4?x}f>h0;6=4=:07:72<4jmh0qvo9a;294?4=9<38o7=me19~d0f290;6?4>5863>6db<2wpm;o50;296?721=>1?ok7;|yb2d<729096<;64880f`b50;0950?3l39ij<5r{`4b>5<72;0:94;>:2`e1>{|i?k1<7>52;36=00=;klj7pun6`83>5<528?29l47<6=0<86>m?7:xe3g=83:1>7?:97597f6e3tqj:l4?:181>43>>k08o=h4}zc5e?6=8381=8780;1`51=zsh5:653b3`?x}f>h0;6=4=:07:3a<4k;;0qvo9a;294?4=9<33=7=l249~d0f290;6?4>58:6>6e512wpm;o50;296?7211k1?n50;0950?>:39h?;5r{`4b>5<72;0:9478:2a0f>{|i?k1<7>52;36=5<528?25k41vwl8n:183>7<6=0k36>m;c:xe3g=83:1>7?:9`a97f373tqj:l4?:181>43>j808o8;4}zc5e?6=8381=87m5;1`1<=zshi6sta7c94?6=:3;>5ok53b41?x}f>h0;6=4=:07:g6<4k?=0qvo9a;294?4=9<3h;7=l6c9~d0f290;6?4>58a`>6e082wpm;o50;296?721m:1?n9;;|yb2d<729096<;6d480g2?50;0950?c139h;i5r{`4b>5<72;0:94jj:2a;6>{|i?k1<7>52;36=`4=;j2=7pun6`83>5<528?2i:47<6=0l;6>m64:xe3g=83:1>7?:9g697f??3tqj:l4?:181>43>n008o4j4}zc5e?6=8381=87id;1`e4=zshm=853bcb?x}f>h0;6=4=:07b4d<4kho0qvo9a;294?4=95`30>6ee?2wpm;o50;296?72i821?nll;|yb2d<729096<;n1b80gf650;0950g5939ho85r{`4b>5<72;0:9l<::2a`=>{|i?k1<7>52;36e7g=;jin7pun6`83>5<528?j>h41vwl8n:183>7<6=h9<6>mkb:xe3g=83:1>7?:a2a97fc73tqj:l4?:181>43f<908oh:4}zc5e?6=8381=8o;5;1`a<=zsh2:inh6sta7c94?6=:3;>m9k53bd1?x}f>h0;6=4=:07b17<4ko<0qvo9a;294?4=9;7=lfc9~d0f290;6?4>5`7a>6ean2wpm;o50;296?72i?:1?i>;;|yb2d<729096<;n6580`5>50;0950g1139o5<72;0:9l8k:2f25>{|i?k1<7>52;36e27=;m;>7pun6`83>5<528?j;;47<6=h=m6>j=3:xe3g=83:1>7?:a9197a403tqj:l4?:181>43f0108h?m4}zc5e?6=8381=8o7c;1g75=zshm4;53e1:?x}f>h0;6=4=:07b=d<4l:o0qvo9a;294?4=95`c0>6b3?2wpm;o50;296?72ih=1?i:m;|yb2d<729096<;nab80`0650;0950ge839o995r{`4b>5<72;0:9ll::2f6=>{|i?k1<7>52;36eg?=;m?o7pun6`83>5<528?jnh4?1vwl8n:183>7<6=hi<6>j9b:xe3g=83:1>7?:ab`97a0a3tqj:l4?:181>43fl908h::4}zc5e?6=8381=8ok4;1g3==zsh2:nmij53e:2?x}f>h0;6=4=:07ba7<4l1<0qvo9a;294?4=95`ga>6b?n2wpm;o50;296?72ill1?i7<;|yb2d<729096<;nf580`<>50;0950ga039o5n5r{`4b>5<72;0:9lhk:2fb5>{|i?k1<7>52;36f57=;mk>7pun6`83>5<528?i<;47<6=k:m6>jm3:xe3g=83:1>7?:b0197ad03tqj:l4?:181>43e9108hom4}zc5e?6=8381=8l>c;1gg5=zshn?;53ea:?x}f>h0;6=4=:07a6d<4ljo0qvo9a;294?4=95c10>6bc?2wpm;o50;296?72j:=1?ijm;|yb2d<729096<;m3b80``650;0950d3839oi95r{`4b>5<72;0:9o:::2ff=>{|i?k1<7>52;36f1?=;moo7pun6`83>5<528?i8h47<6=k?<6>jib:xe3g=83:1>7?:b4`97a`a3tqj:l4?:181>43e>908i=:4}zc5e?6=8381=8l94;1f4==zsh2:o;h6sta7c94?6=:3;>n;j53d32?x}f>h0;6=4=:07a37<4m8<0qvo9a;294?4=95c5a>6c6n2wpm;o50;296?72j>l1?h<<;|yb2d<729096<;m8580a7>50;0950d?139n>i5r{`4b>5<72;0:9o6j:2g06>{|i?k1<7>52;36f<5=;l9<7pun6`83>5<528?i5547<6=kk96>k;6:xe3g=83:1>7?:b`597`2e3tqj:l4?:181>43eij08i8>4}zc5e?6=8381=8lm1;1f10=zshm6sta7c94?6=:3;>nol53d7e?x}f>h0;6=4=:07ag5<4m?>0qvo9a;294?4=95cab>6c1m2wpm;o50;296?72jjl1?h9<;|yb2d<729096<;md580a2>50;0950dc139n;i5r{`4b>5<72;0:9ojj:2g;6>{|i?k1<7>52;36f`5=;l2<7pun6`83>5<528?ii547<6=kl96>k66:xe3g=83:1>7?:bg597`?e3tqj:l4?:181>43enj08il>4}zc5e?6=8381=8m?1;1fe0=zsho=l53dce?x}f>h0;6=4=:07`55<4mk>0qvo9a;294?4=95b3b>6cem2wpm;o50;296?72k8l1?hm<;|yb2d<729096<;l2580af>50;0950e5139noi5r{`4b>5<72;0:9n{|i?k1<7>52;36g65=;ln<7pun6`83>5<528?h?547<6=j>96>kj6:xe3g=83:1>7?:c5597`ce3tqj:l4?:181>43d4}zc5e?6=8381=8m:1;1fb0=zsho8l53dde?x}f>h0;6=4=:07`25<4n9>0qvo9a;294?4=95b4b>6`7m2wpm;o50;296?72k?l1?k?<;|yb2d<729096<;l7580b4>50;0950e0139m=i5r{`4b>5<72;0:9n9j:2d16>{|i?k1<7>52;36g=5=;o8<7pun6`83>5<528?h4547<6=j396>h<6:xe3g=83:1>7?:c8597c5e3tqj:l4?:181>43d1j08j9>4}zc5e?6=8381=8mn1;1e00=zsholl53g6e?x}f>h0;6=4=:07`f5<4n<>0qvo9a;294?4=95b`b>6`2m2wpm;o50;296?72kkl1?k8<;|yb2d<729096<;lc580b3>50;0950ed139m:i5r{`4b>5<72;0:9nmj:2d46>{|i?k1<7>52;36ga5=;o=<7pun6`83>5<528?hh547<6=jo96>h76:xe3g=83:1>7?:cd597c>e3tqj:l4?:181>43dmj08j4>4}zc5e?6=8381=8mi1;1e=0=zshokl53g;e?x}f>h0;6=4=:07g45<4nh>0qvo9a;294?4=95e2b>6`fm2wpm;o50;296?72l9l1?kl<;|yb2d<729096<;k1580bg>50;0950b6139mni5r{`4b>5<72;0:9i?j:2d`6>{|i?k1<7>52;36`75=;oi<7pun6`83>5<528?o>547<6=m996>hk6:xe3g=83:1>7?:d2597cbe3tqj:l4?:181>43c;j08jh>4}zc5e?6=8381=8j;1;1ea0=zshh9l53gge?x}f>h0;6=4=:07g15<4no>0qvo9a;294?4=987=if99~d0f290;6?4>5e7;>6`ak2wpm;o50;296?72l>;|yb2d<729096<;k608745350;0950b1>3>;5<72;0:9i8n:523a>{|i?k1<7>52;36`3`=<9;87pun6`83>5<528?o;>4;0058y~g1i3:1<7<514f47<6=m=h69>=0:xe3g=83:1>7?:d93905423tqj:l4?:181>43c0<0?b2=:8>6sta7c94?6=:3;>h4=54114?x}f>h0;6=4=:07g=2<38:h0qvo9a;294?4=95ec3>163<2wpm;o50;296?72lh?18=:6;|yb2d<729096<;ka88741b50;0950bfm3>;9?5r{`4b>5<72;0:9il=:5262>{|i?k1<7>52;36`g1=<9?i7pun6`83>5<528?ono4;04d8y~g1i3:1<7<514fab?27>:1vwl8n:183>7<6=mi?69>98:xe3g=83:1>7?:db:9050d3tqj:l4?:181>43ckm0?<:?4}zc5e?6=8381=8jk1;6330=zshhio5415f?x}f>h0;6=4=:07g`c<38190qvo9a;294?4=95eg;>16?k2wpm;o50;296?72lli18=7?;|yb2d<729096<;kf0874<350;0950ba=3>;545r{`4b>5<72;0:9ihn:52:a>{|i?k1<7>52;36`cc=<9k97pun6`83>5<528?n<>4;0`58y~g1i3:1<7<514g33?27ik1vwl8n:183>7<6=l:h69>m0:xe3g=83:1>7?:e02905d33tqj:l4?:181>43b9<0?9;63fa=zsh6sta7c94?6=:3;>i?<541a5?x}f>h0;6=4=:07f63<38jk0qvo9a;294?4=95d0e>16c;2wpm;o50;296?72m:>18=j7;|yb2d<729096<;j39874ae50;0950c4l3>;i<5r{`4b>5<72;0:9h:>:52f1>{|i?k1<7>52;36a10=<9oj7pun6`83>5<528?n8l4;0dg8y~g1i3:1<7<514g7b?27n:1vwl8n:183>7<6=l?869>i7:xe3g=83:1>7?:e4:905`d3tqj:l4?:181>43b=j0?==>4}zc5e?6=8381=8k91;6240=zshi;o5402f?x}f>h0;6=4=:07f2`<39880qvo9a;294?4=9169~d0f290;6?4>5d54>176j2wpm;o50;296?72m>i18<50;0950c?=3>:>45r{`4b>5<72;0:9h66:531`>{|i?k1<7>52;36a=c=<8997pun6`83>5<528?n5?4;1248y~g1i3:1<7<514g:3?26;k1vwl8n:183>7<6=l3i69?7?:e`2904233tqj:l4?:181>43bi=0?=964}zc5e?6=8381=8kn9;620a=zsh=6sta7c94?6=:3;>io?54076?x}f>h0;6=4=:07ff3<395d9~d0f290;6?4>5d`e>171;2wpm;o50;296?72mj918<88;|yb2d<729096<;jc98753e50;0950cdk3>:;=5r{`4b>5<72;0:9hj>:5341>{|i?k1<7>52;36aa3=<8=27pun6`83>5<528?nhl4;16g8y~g1i3:1<7<514gga?260;1vwl8n:183>7<6=lo869?77:xe3g=83:1>7?:ed5904>e3tqj:l4?:181>43bmj0?=4>4}zc5e?6=8381=8ki0;62=1=zshik7540;g?x}f>h0;6=4=:07fb`<39h80qvo9a;294?4=97:>a79~d0f290;6?4>5g24>17fj2wpm;o50;296?72n9h1850;0950`6?3>:ni5r{`4b>5<72;0:9k?j:53`2>{|i?k1<7>52;36b73=<8im7pun6`83>5<528?m>n4;1e:8y~g1i3:1<7<514d07?26m81vwl8n:183>7<6=o9j69?ja:xe3g=83:1>7?:f53904`43tqj:l4?:181>43a<10?=km4}zc5e?6=8381=8h;f;6140=zshj8j54334?x}f>h0;6=4=:07e21<3:;:0qvo9a;294?4=95g51>144:2wpm;o50;296?72n>318?=m;|yb2d<729096<;i818761250;0950`??3>98i5r{`4b>5<72;0:9k6j:5062>{|i?k1<7>52;36b<3=<;?m7pun6`83>5<528?m5n4;27:8y~g1i3:1<7<514db7?25?81vwl8n:183>7<6=okj69<8a:xe3g=83:1>7?:fc3907>43tqj:l4?:181>43aj10?>5m4}zc5e?6=8381=8hmf;61=0=zshjnj543c4?x}f>h0;6=4=:07e`1<3:k:0qvo9a;294?4=95gg1>14d:2wpm;o50;296?72nl318?mm;|yb2d<729096<;if1876a250;0950`a?3>9hi5r{`4b>5<72;0:9khj:50f2>{|i?k1<7>52;35453=<;om7pun6`83>5<528<;7<6>9;j69=?a:xe3g=83:1>7?9033906743tqj:l4?:181>407:10??=f;6060=zshj54214?x}f>h0;6=4=:04301<3;=:0qvo9a;294?4=9?:?n7:<489~d0f290;6?4>6171>152:2wpm;o50;296?718<318>;m;|yb2d<729096<8?618773250;095361?3>8:i5r{`4b>5<72;0::=8j:5142>{|i?k1<7>52;35423=<:=m7pun6`83>5<528<;;n4;39:8y~g1i3:1<7<5172;7?24181vwl8n:183>7<6>92j69=6a:xe3g=83:1>7?9083906g43tqj:l4?:181>407110??lm4}zc5e?6=8381=;>6f;60f0=zshh0;6=4=:043f1<3;m:0qvo9a;294?4=9?:in7:61a1>15b:2wpm;o50;296?718j318>km;|yb2d<729096<8?d1877c250;09536c?3>8ji5r{`4b>5<72;0::=jj:5632>{|i?k1<7>52;354`3=<=:m7pun6`83>5<528<;in4;4048y~g1i3:1<7<5172e7?239m1vwl8n:183>7<6>9lj69:=4:xe3g=83:1>7?91139014c3tqj:l4?:181>406810?8>84}zc5e?6=8381=;??f;677c=zsh?46sta7c94?6=:3;==h0;6=4=:04261<3<6011>121k2wpm;o50;296?719:31899:;|yb2d<729096<8>418702c50;095373?3>?4:5r{`4b>5<72;0::<:j:56:4>{|i?k1<7>52;35503=<=327pun6`83>5<528<:9n4;4`08y~g1i3:1<7<517357?23ik1vwl8n:183>7<6>87?9163901dc3tqj:l4?:181>406?10?8n84}zc5e?6=8381=;?8f;67gc=zsh12=>o46sta7c94?6=:3;==5j545g2?x}f>h0;6=4=:042=1<360c1>12ak2wpm;o50;296?719h3188>:;|yb2d<729096<8>b18715c50;09537e?3>>=:5r{`4b>5<72;0::{|i?k1<7>52;355f3=<<827pun6`83>5<528<:on4;5208y~g1i3:1<7<5173g7?22;k1vwl8n:183>7<6>8nj69;;4:xe3g=83:1>7?91d39002c3tqj:l4?:181>406m10?9884}zc5e?6=8381=;?jf;661c=zshh0;6=4=:04141<3=>20qvo9a;294?4=9?8;n7::7g9~d0f290;6?4>6331>13?>2wpm;o50;296?71:831886k;|yb2d<729096<8=21871<250;095345?3>>5o5r{`4b>5<72;0::?{|i?k1<7>52;35663=<5<528<9?n4;5c28y~g1i3:1<7<517077?22j01vwl8n:183>7<6>;>j69;l2:xe3g=83:1>7?9243900ee3tqj:l4?:181>405=10?9i:4}zc5e?6=8381=;<:f;66`a=zsh;j544ge?x}f>h0;6=4=:04131<3=o20qvo9a;294?4=9?863:1>10702wpm;o50;296?71:1318;>i;|yb2d<729096<8=918724050;09534>?3>==i5r{`4b>5<72;0::?7j:5410>{|i?k1<7>52;356d3=5<528<9mn4;6208y~g1i3:1<7<5170a7?21;01vwl8n:183>7<6>;hj698;0:xe3g=83:1>7?92b3903203tqj:l4?:181>405k10?:9k4}zc5e?6=8381=;o6sta7c94?6=:3;=>ij54740?x}f>h0;6=4=:041a1<3>?k0qvo9a;294?4=9?8nn7:9709~d0f290;6?4>63d1>10002wpm;o50;296?71:o318;9i;|yb2d<729096<8<01872=050;095357?3>=4i5r{`4b>5<72;0::>>j:54:0>{|i?k1<7>52;35743=5<528<8=n4;6`08y~g1i3:1<7<517117?21i01vwl8n:183>7<6>:8j698m0:xe3g=83:1>7?9323903d>3tqj:l4?:181>404;10?:n<4}zc5e?6=8381=;=h0;6=4=:04011<3>l<0qvo9a;294?4=9?9>n7:9eg9~d0f290;6?4>6241>10a02wpm;o50;296?71;?318:>>;|yb2d<729096<8<718735g50;095350?3><=>5r{`4b>5<72;0::>9j:552g>{|i?k1<7>52;357=3=<>8>7pun6`83>5<528<84n4;73g8y~g1i3:1<7<5171:7?20;>1vwl8n:183>7<6>:3j699;0:xe3g=83:1>7?93`39022>3tqj:l4?:181>404i10?;8<4}zc5e?6=8381=;=nf;641g=zshh0;6=4=:040g1<3?><0qvo9a;294?4=9?9hn7:87g9~d0f290;6?4>62f1>11?02wpm;o50;296?71;m318:6i;|yb2d<729096<850;09535b?3><5i5r{`4b>5<72;0::>kj:55b0>{|i?k1<7>52;357c3=<>ko7pun6`83>5<528<8jn4;7c68y~g1i3:1<7<517637?20jm1vwl8n:183>7<6>=:j699l6:xe3g=83:1>7?9403902ea3tqj:l4?:181>403910?;i64}zc5e?6=8381=;:>f;64a4=zshh0;6=4=:04771<3?oi0qvo9a;294?4=9?>8n7:7049~d0f290;6?4>6561>1>7m2wpm;o50;296?71<=3185?8;|yb2d<729096<8;5187<7650;095322?3>3>45r{`4b>5<72;0::9;j:5:06>{|i?k1<7>52;35033=<19i7pun6`83>5<5287<6>==j696:6:xe3g=83:1>7?949390=3a3tqj:l4?:181>403010?4;64}zc5e?6=8381=;:7f;6;34=zshh0;6=4=:047e1<301i0qvo9a;294?4=9?>jn7:7949~d0f290;6?4>65`1>1>>m2wpm;o50;296?7150;09532d?3>3n45r{`4b>5<72;0::9mj:5:`6>{|i?k1<7>52;350a3=<1ii7pun6`83>5<5287<6>=oj696j6:xe3g=83:1>7?94g390=ca3tqj:l4?:181>403n10?4k64}zc5e?6=8381=;:if;6:44=zshh0;6=4=:04651<318i0qvo9a;294?4=9??:n7:6249~d0f290;6?4>6401>1?5m2wpm;o50;296?71=;3184=8;|yb2d<729096<8:3187=1650;095334?3>2845r{`4b>5<72;0::8=j:5;66>{|i?k1<7>52;35113=<0?i7pun6`83>5<528<>8n4;9768y~g1i3:1<7<517767?2>>m1vwl8n:183>7<6>7?957390<1a3tqj:l4?:181>402>10?5564}zc5e?6=8381=;;9f;6:=4=zshh0;6=4=:046<1<31hi0qvo9a;294?4=9??3n7:6b49~d0f290;6?4>64;1>1?em2wpm;o50;296?71=03184m8;|yb2d<729096<8:a187=a650;09533f?3>2h45r{`4b>5<72;0::8oj:5;f6>{|i?k1<7>52;351g3=<0oi7pun6`83>5<528<>nn4;9g68y~g1i3:1<7<5177`4?2>n11vwl8n:183>7<6>7?95b;90d663tqj:l4?:181>402km0?m=;4}zc5e?6=8381=;;k1;6b4<=zshh0;6=4=:046``<3i8<0qvo9a;294?4=9??n?7:n1c9~d0f290;6?4>64g4>1g6n2wpm;o50;296?71=lh18l<<;|yb2d<729096<8:f187e7>50;09533a<3>j>n5r{`4b>5<72;0::8h7:5c04>{|i?k1<7>52;351cb=7pun6`83>5<528<=<<4;a2;8y~g1i3:1<7<517431?2f;m1vwl8n:183>7<6>?:j69o;2:xe3g=83:1>7?961g90d213tqj:l4?:181>4019;0?m9o4}zc5e?6=8381=;8>7;6b0c=zsh?6sta7c94?6=:3;=:h0;6=4=:04561<3i670`>1g1<2wpm;o50;296?71>:;18l86;|yb2d<729096<893487e3b50;095304k3>j;;5r{`4b>5<72;0::;:<:5c4b>{|i?k1<7>52;3521g=5<528<=9<4;a838y~g1i3:1<7<517467<6>??m69on3:xe3g=83:1>7?967490dgd3tqj:l4?:181>401>m0?mo;4}zc5e?6=8381=;884;6bf`=zshh0;6=4=:045<<<3im=0qvo9a;294?4=9?<2<7:ndd9~d0f290;6?4>67;4>1gb=2wpm;o50;296?71>0o18lkl;|yb2d<729096<89a487ec550;09530fk3>jjl5r{`4b>5<72;0::;l<:5`35>{|i?k1<7>52;352gg=5<528<=o<4;b1d8y~g1i3:1<7<5174`7<6>?im69l>d:xe3g=83:1>7?96e490g433tqj:l4?:181>401lm0?n?l4}zc5e?6=8381=;8j4;6a77=zshh0;6=4=:045b<<3j=30qvo9a;294?4=9?=;<7:m519~d0f290;6?4>6624>1d212wpm;o50;296?71?9o18o8?;|yb2d<729096<881487f3?50;095316k3>i;=5r{`4b>5<72;0:::<<:5`43>{|i?k1<7>52;3537g=5<528<7<6>>9m69l69:xe3g=83:1>7?975490gg53tqj:l4?:181>400h0;6=4=:0442<<3jjl0qvo9a;294?4=9?=<<7:md99~d0f290;6?4>6654>1db92wpm;o50;296?71?>o18okn;|yb2d<729096<888487fc550;09531?k3>ijn5r{`4b>5<72;0:::7<:5a31>{|i?k1<7>52;3535<528<7<6>>km69m=7:xe3g=83:1>7?97c490f4b3tqj:l4?:181>400jm0?o>;4}zc5e?6=8381=;9l4;6`7f=zshh0;6=4=:044`<<3k<;0qvo9a;294?4=9?=n<7:l599~d0f290;6?4>66g4>1e2n2wpm;o50;296?71?lo18n89;|yb2d<729096<88f487g3b50;09531ak3>h;95r{`4b>5<72;0::5><:5a4f>{|i?k1<7>52;35<5g=5<528<3=<4;c9;8y~g1i3:1<7<517:27<6>1;m69m67:xe3g=83:1>7?983490f?b3tqj:l4?:181>40?:m0?ol;4}zc5e?6=8381=;6<4;6`ef=zshh0;6=4=:04;0<<3kj;0qvo9a;294?4=9?2><7:lc`9~d0f290;6?4>6974>1ec;2wpm;o50;296?71050;0953>?n3>o5<72;0::5l6:5f0f>{|i?k1<7>52;35:7pun6`83>5<528<3o>4;d5:8y~g1i3:1<7<517:`e?2c7<6>1n:69j:6:xe3g=83:1>7?98e:90a3c3tqj:l4?:181>40?lo0?h;:4}zc5e?6=8381=;6j6;6g2g=zsh6sta7c94?6=:3;=4k:54e5:?x}f>h0;6=4=:04;bg<3l1:0qvo9a;294?4=9?3;>7:k869~d0f290;6?4>682:>1b>82wpm;o50;296?7118:18i76;|yb2d<729096<861687`d450;0953?6m3>omo5r{`4b>5<72;0::4<::5fa0>{|i?k1<7>52;35=7e=5<528<2?>4;db48y~g1i3:1<7<517;0e?2ckm1vwl8n:183>7<6>0>:69jk6:xe3g=83:1>7?995790abf3tqj:l4?:181>40><00?hik4}zc5e?6=8381=;7;e;6ga6=zshh0;6=4=:04:1g<3lo:0qvo9a;294?4=9?3=<7:kf49~d0f290;6?4>6847>1ba12wpm;o50;296?711?318ihj;|yb2d<729096<866e87a5450;0953?0:3>n<:5r{`4b>5<72;0::499:5g3f>{|i?k1<7>52;35=2d=5<528<2;k4;e068y~g1i3:1<7<517;;0?2b901vwl8n:183>7<6>02369k>d:xe3g=83:1>7?999f90`453tqj:l4?:181>40>180?i?84}zc5e?6=8381=;766;6f6g=zsh54d16?x}f>h0;6=4=:04:e0<3m:k0qvo9a;294?4=9?3jo7:j429~d0f290;6?4>68`0>1c3k2wpm;o50;296?711kk18h;:;|yb2d<729096<86c087a0c50;0953?d03>n::5r{`4b>5<72;0::4mi:5g44>{|i?k1<7>52;35=a0=5<528<2hi4;e908y~g1i3:1<7<517;f0?2b0k1vwl8n:183>7<6>0oi69k64:xe3g=83:1>7?99g090`?c3tqj:l4?:181>40>n00?il84}zc5e?6=8381=;o?0;6fec=zshh0;6=4=:04b50<3mjk0qvo9a;294?4=9?k:o7:jd29~d0f290;6?4>6`00>1cci2wpm;o50;296?71i;k18hk<;|yb2d<729096<8n3087a`g50;0953g403>nj<5r{`4b>5<72;0::l=i:5ge<>{|i?k1<7>52;35e10=5<5287<6>h?i69h>4:xe3g=83:1>7?9a7090c7e3tqj:l4?:181>40f>00?j?<4}zc5e?6=8381=;o80;6e6<=zshh0;6=4=:04b<0<3n:o0qvo9a;294?4=9?k3o7:i449~d0f290;6?4>6`;0>1`3k2wpm;o50;296?71i0k18k;<;|yb2d<729096<8na087b0g50;0953gf03>m:<5r{`4b>5<72;0::loi:5d5<>{|i?k1<7>52;35eg0=5<5287<6>hii69h74:xe3g=83:1>7?9ae090c>e3tqj:l4?:181>40fl00?j4<4}zc5e?6=8381=;oj0;6e=<=zshh0;6=4=:04bb0<3nho0qvo9a;294?4=9?kmo7:ib49~d0f290;6?4>6c20>1`ek2wpm;o50;296?71j9k18km<;|yb2d<729096<8m1087bfg50;0953d603>mh<5r{`4b>5<72;0::o?i:5dg<>{|i?k1<7>52;35f70=5<528i4;fd48y~g1i3:1<7<517`00?2amm1vwl8n:183>7<6>k9i69hi4:xe3g=83:1>7?9b5090c`e3tqj:l4?:181>40e<00><=<4}zc5e?6=8381=;l:0;734<=zshh0;6=4=:04a20<288o0qvo9a;294?4=9?h=o7;?249~d0f290;6?4>6c50>065k2wpm;o50;296?71j>k19==<;|yb2d<729096<8m808646g50;0953d?03?;8<5r{`4b>5<72;0::o6i:427<>{|i?k1<7>52;35f<0==9>m7pun6`83>5<5287<6>kki68>94:xe3g=83:1>7?9bc09150e3tqj:l4?:181>40ej00><:<4}zc5e?6=8381=;ll0;733<=zshh0;6=4=:04a`0<281o0qvo9a;294?4=9?hoo7;?949~d0f290;6?4>6cg0>06>k2wpm;o50;296?71jlk19=o<;|yb2d<729096<8mf0864dg50;0953da03?;n>5r{`4b>5<72;0::ohi:42ag>{|i?k1<7>52;35g50==9i>7pun6`83>5<5281vwl8n:183>7<6>j;i68>j0:xe3g=83:1>7?9c32915c23tqj:l4?:181>40d:<0>6551dg?x}f>h0;6=4=:04`7c<299>0qvo9a;294?4=9?i?:7;>0c9~d0f290;6?4>6b6g>076<2wpm;o50;296?71k<>1950;0953e1:3?:>k5r{`4b>5<72;0::n86:4302>{|i?k1<7>52;35g26==89o7pun6`83>5<5287<6>j2>68?:6:xe3g=83:1>7?9c9a9143a3tqj:l4?:181>40d1:0>=;64}zc5e?6=8381=;m6a;7234=zshh0;6=4=:04`ec<291i0qvo9a;294?4=9?ii:7;>929~d0f290;6?4>6b`g>07>k2wpm;o50;296?71kj;1950;0953edi3?:m45r{`4b>5<72;0::nmj:43b`>{|i?k1<7>52;35ga5==8h97pun6`83>5<5287<6>jo;68?mf:xe3g=83:1>7?9cd7914e33tqj:l4?:181>40dm00>=n64}zc5e?6=8381=;mje;72ga=zshh0;6=4=:04`bg<29mk0qvo9a;294?4=9?n;<7;>dg9~d0f290;6?4>6e27>07b;2wpm;o50;296?71l931950;0953b6:3?:j<5r{`4b>5<72;0::i?8:43e2>{|i?k1<7>52;35`4e==8li7pun6`83>5<528<4:2128y~g1i3:1<7<517f17<6>m8m68<>2:xe3g=83:1>7?9d249177e3tqj:l4?:181>40c;m0>>?:4}zc5e?6=8381=;j;4;716a=zshh0;6=4=:04g1<<2:=20qvo9a;294?4=9?n=<7;=509~d0f290;6?4>6e44>042i2wpm;o50;296?71l?o19?8<;|yb2d<729096<8k748663e50;0953b0k3?9;85r{`4b>5<72;0::i6<:404a>{|i?k1<7>52;35`=g==;2<7pun6`83>5<5287<6>m3m687?9d`4917g>3tqj:l4?:181>40cim0>>o>4}zc5e?6=8381=;jm4;71f2=zshh0;6=4=:04gg<<2:ji0qvo9a;294?4=9?no<7;=d29~d0f290;6?4>6ef4>04ci2wpm;o50;296?71lmo19?k>;|yb2d<729096<8ke4866`>50;0953bbk3?9ik5r{`4b>5<72;0::ih<:40e2>{|i?k1<7>52;35`cg==;lo7pun6`83>5<5287<6>l:m68=>2:xe3g=83:1>7?9e049167>3tqj:l4?:181>40b9m0>??>4}zc5e?6=8381=;k=4;7062=zsh<55216?x}f>h0;6=4=:04f7<<2;:i0qvo9a;294?4=9?o?<7;<429~d0f290;6?4>6d64>053i2wpm;o50;296?71m=o19>;>;|yb2d<729096<8j548670>50;0953c2k3?89k5r{`4b>5<72;0::h8<:4152>{|i?k1<7>52;35a3g==:5<5287<6>l=m68=72:xe3g=83:1>7?9e94916>>3tqj:l4?:181>40b0m0>?4>4}zc5e?6=8381=;k64;70=2=zshh0;6=4=:04fe<<2;hi0qvo9a;294?4=9?oi<7;6d`4>05ei2wpm;o50;296?71mko19>m>;|yb2d<729096<8jc4867f>50;0953cdk3?8ok5r{`4b>5<72;0::hj<:41g2>{|i?k1<7>52;35aag==:no7pun6`83>5<5287<6>lom68=i2:xe3g=83:1>7?9eg4916`>3tqj:l4?:181>40bnm0>8=>4}zc5e?6=8381=;h?4;7742=zsh;i6sta7c94?6=:3;=j<<55536?x}f>h0;6=4=:04e5<<2<8i0qvo9a;294?4=9?l9<7;;229~d0f290;6?4>6g04>025i2wpm;o50;296?71n;o199=>;|yb2d<729096<8i348606>50;0953`4k3???k5r{`4b>5<72;0::k:<:4672>{|i?k1<7>52;35b1g===>o7pun6`83>5<5287<6>o?m68:92:xe3g=83:1>7?9f749110>3tqj:l4?:181>40a>m0>8:>4}zc5e?6=8381=;h84;773<=zsh3>6sta7c94?6=:3;=j5<555:a?x}f>h0;6=4=:04e<<<2<0>0qvo9a;294?4=9?l2<7;;9e9~d0f290;6?4>6g;4>02f>2wpm;o50;296?71n0i199om;|yb2d<729096<8ia0860g650;0953`f>3??n85r{`4b>5<72;0::kok:46ag>{|i?k1<7>52;35bg2===i87pun6`83>5<5287<6>oi268:ka:xe3g=83:1>7?9fe2911c43tqj:l4?:181>40al>0>8hm4}zc5e?6=8381=;hke;77b0=zshmo6sta7c94?6=:3;=jhm55420?x}f>h0;6=4=:04eb6<2=9i0qvo9a;294?4=9?lmm7;:129~d0f290;6?4>7122>036i2wpm;o50;296?70892198<<;|yb2d<729096<9?0g8617e50;095266>3?>?85r{`4b>5<72;0:;=?k:470a>{|i?k1<7>52;34472==<><7pun6`83>5<528=;>o4:5428y~g1i3:1<7<516206?32=01vwl8n:183>7<6?99268;92:xe3g=83:1>7?80529100e3tqj:l4?:181>417<>0>9::4}zc5e?6=8381=:>;e;763a=zshh0;6=4=:05326<2=020qvo9a;294?4=9>:=m7;:a09~d0f290;6?4>7152>03fi2wpm;o50;296?708>2198l<;|yb2d<729096<9?7g861ge50;09526?>3?>o85r{`4b>5<72;0:;=6k:47`a>{|i?k1<7>52;344<2==5<528=;5o4:5d28y~g1i3:1<7<5162b4?32m<1vwl8n:183>7<6?9k?68;j9:xe3g=83:1>7?80`;910cb3tqj:l4?:181>417im0>9k<4}zc5e?6=8381=:>m2;76b2=zshh0;6=4=:053fc<2>9>0qvo9a;294?4=9>:h87;9089~d0f290;6?4>71a;>007l2wpm;o50;296?708jn19;?=;|yb2d<729096<9?d08624050;09526c>3?==o5r{`4b>5<72;0:;=jn:442b>{|i?k1<7>52;344a`==?8?7pun6`83>5<528=;i>4:63:8y~g1i3:1<7<5162f7<6?9oh688<1:xe3g=83:1>7?80g3913513tqj:l4?:181>417n<0>:>o4}zc5e?6=8381=:>i9;757`=zshj0;6=4=:053bf<2>=;0qvo9c;294?4=9>:mh7;9439~d0d290;6?4>71df>003;2wpm;o50;296?7099k19;:;;|yb2d<729096<9>0g8621?50;09527683?=8l5r{`4`>5<72;0:;:447f>{|i?i1<7>52;34544==?>h7pun6b83>5<528=:=>4:65f8y~g1k3:1<7<516320?317<6?88;688;f:xe3g=83:1>7?8137913333tqj:n4?:181>416:?0>:8;4}zc5g?6=8381=:?=7;7513=zsh;6sta7a94?6=:3;<=?75577;?x}f>j0;6=4=:0526d<2><30qvo9a;294?4=9>;8:7;95`9~d0f290;6?4>701a>002n2wpm;m50;296?709:i19;8?;|yb2f<729096<9>3e8623750;095274m3?=:?5r{`4`>5<72;0:;<=i:4457>{|i?i1<7>52;34516==?5<528=:8n4:6778y~g1i3:1<7<516365?31>h1vwl8l:183>7<6?8?96889b:xe3e=83:1>7?81419130d3tqj:n4?:181>416==0>:;j4}zc5g?6=8381=:?:5;752`=zshh0;6=4=:05222<2>>?0qvo9a;294?4=9>;=n7;9789~d0f290;6?4>7053>000m2wpm;o50;296?709>?19;6<;|yb2d<729096<9>78862=150;095270m3?=4n5r{`4b>5<72;0:;<6=:44:4>{|i?k1<7>52;345=1==?3>7pun6`83>5<528=:4o4:68;8y~g1i3:1<7<5163:4?311l1vwl8n:183>7<6?83?688n2:xe3g=83:1>7?818;913g03tqj:l4?:181>4161m0>:ll4}zc5e?6=8381=:?n2;75f5=zshh0;6=4=:052ec<2>kn0qvo9a;294?4=9>;i87;9c39~d0f290;6?4>70`;>00d>2wpm;o50;296?709kn19;mm;|yb2d<729096<9>c0862f`50;09527d>3?=h95r{`4b>5<72;0:;{|i?k1<7>52;345fc==?nh7pun6`83>5<528=:h>4:6d38y~g1i3:1<7<5163g3?31m<1vwl8n:183>7<6?8nh688ja:xe3g=83:1>7?81d2913cb3tqj:l4?:181>416m<0>:k=4}zc5e?6=8381=:?j9;75b2=zshh0;6=4=:052b2<2?9?0qvo9a;294?4=9>;mn7;8089~d0f290;6?4>7323>017m2wpm;o50;296?70:9>19:?=;|yb2d<729096<9=088634150;095247l3?<=o5r{`4b>5<72;0:;??=:4514>{|i?k1<7>52;34640==>8?7pun6`83>5<528=9=o4:73;8y~g1i3:1<7<51602b?30:m1vwl8n:183>7<6?;8?689<2:xe3g=83:1>7?823:912513tqj:l4?:181>415:j0>;>o4}zc5e?6=8381=:<<2;7405=zsh>j5566a?x}f>h0;6=4=:05107<2?<:0qvo9a;294?4=9>8?47;8579~d0f290;6?4>736g>012j2wpm;o50;296?70:<919:8>;|yb2d<729096<9=598633050;095242m3?<:n5r{`4b>5<72;0:;?8<:4545>{|i?k1<7>52;34631==>=>7pun6`83>5<528=9:n4:76c8y~g1i3:1<7<516047?300:1vwl8n:183>7<6?;=j6897a:xe3g=83:1>7?8293912?63tqj:l4?:181>415010>;464}zc5e?6=8381=:<7f;74=c=zsh4j556cg?x}f>h0;6=4=:051e1<2?k>0qvo9a;294?4=9>8jn7;8bc9~d0f290;6?4>73`1>01d:2wpm;o50;296?70:k319:m6;|yb2d<729096<9=c1863a650;09524d?3?5<72;0:;?mj:45ga>{|i?k1<7>52;346a3==>o>7pun6`83>5<528=9hn4:7da8y~g1i3:1<7<5160f7?30n:1vwl8n:183>7<6?;o3689i8:xe3g=83:1>7?82da912`d3tqj:l4?:181>415n80>4=?4}zc5e?6=8381=:kk5592f?x}f>h0;6=4=:05046<20890qvo9a;294?4=9>9;;7;7169~d0f290;6?4>722`>0>6k2wpm;o50;296?70;8:19550;09525613?3>45r{`4b>5<72;0:;>?j:4:1a>{|i?k1<7>52;34774==1997pun6`83>5<528=8>:4:8258y~g1i3:1<7<51611f?3?;k1vwl8n:183>7<6?:9;686;0:xe3g=83:1>7?832691=233tqj:l4?:181>414;00>4974}zc5e?6=8381=:=>6sta7c94?6=:3;h0;6=4=:0500g<209?j7;75g9~d0f290;6?4>7277>0>1<2wpm;o50;296?70;<219587;|yb2d<729096<9<5e86<3b50;09525193?3;<5r{`4b>5<72;0:;>89:4:42>{|i?k1<7>52;3473g==1=j7pun6`83>5<528=8:h4:86g8y~g1i3:1<7<516141?3?0>1vwl8n:183>7<6?:=h6867e:xe3g=83:1>7?839191=?23tqj:l4?:181>4140h0>44m4}zc5e?6=8381=:=61;7;e6=zshh0;6=4=:050e3<20k20qvo9a;294?4=9>9jh7;7bg9~d0f290;6?4>72`7>0>d>2wpm;o50;296?70;kh195mk;|yb2d<729096<950;09525d13?3ho5r{`4b>5<72;0:;>j?:4:f6>{|i?k1<7>52;347a1==1o27pun6`83>5<528=8hh4:8g28y~g1i3:1<7<5161f1?3?n>1vwl8n:183>7<6?:oj686ic:xe3g=83:1>7?83dg91<673tqj:l4?:181>414n:0>5=;4}zc5e?6=8381=:=i7;7:4<=zsh55831?x}f>h0;6=4=:05740<218=0qvo9a;294?4=9>>;57;61c9~d0f290;6?4>752f>0?582wpm;o50;296?70<88194<;;|yb2d<729096<9;1686=7?50;095226j3?2>i5r{`4b>5<72;0:;9{|i?k1<7>52;34072==09=7pun6`83>5<528=?>44:92`8y~g1i3:1<7<51661`?3>;o1vwl8n:183>7<6?=99687;4:xe3g=83:1>7?842491<2?3tqj:l4?:181>413;k0>59j4}zc5e?6=8381=:::6sta7c94?6=:3;<8965587b?x}f>h0;6=4=:0570a<21>>=7;6629~d0f290;6?4>7575>0?102wpm;o50;296?70<50;095221;3?2;85r{`4b>5<72;0:;987:4;4e>{|i?k1<7>52;3403e==0=n7pun6`83>5<528=?;=4:9908y~g1i3:1<7<516643?3>0k1vwl8n:183>7<6?==n68762:xe3g=83:1>7?8497913tqj:l4?:181>4130j0>5l>4}zc5e?6=8381=::63;7:e2=zshh0;6=4=:057e=<21ki0qvo9a;294?4=9>>jj7;6c29~d0f290;6?4>75`5>0?di2wpm;o50;296?70;|yb2d<729096<9;c586=a>50;09522dj3?2hk5r{`4b>5<72;0:;9j=:4;f2>{|i?k1<7>52;340a?==0oo7pun6`83>5<528=?i=4:9g68y~g1i3:1<7<5166f3?3>nk1vwl8n:183>7<6?=oh68o?0:xe3g=83:1>7?84g291d633tqj:l4?:181>413n<0>m=74}zc5e?6=8381=::i9;7b4a=zsh6sta7c94?6=:3;<9=<55`35?x}f>h0;6=4=:05642<2i8h0qvo9a;294?4=9>?;n7;n1g9~d0f290;6?4>7433>0g5<2wpm;o50;296?70=8>19l<7;|yb2d<729096<9:1886e7b50;095236l3?j?<5r{`4b>5<72;0:;8<=:4c02>{|i?k1<7>52;34170==h9j7pun6`83>5<528=>>o4:a2d8y~g1i3:1<7<51671b?3f<:1vwl8n:183>7<6?<9?68o;8:xe3g=83:1>7?852:91d2d3tqj:l4?:181>412;m0>m8?4}zc5e?6=8381=:;;1;7b10=zshm6sta7c94?6=:3;<99o55`7f?x}f>h0;6=4=:0560c<2i?90qvo9a;294?4=9>?>?7;n669~d0f290;6?4>747;>0g1k2wpm;o50;296?70=50;095231=3?j;45r{`4b>5<72;0:;88n:4c4a>{|i?k1<7>52;3413c==h297pun6`83>5<528=>;?4:a948y~g1i3:1<7<51674=?3f0o1vwl8n:183>7<6?<2;68o68:xe3g=83:1>7?859591dg63tqj:l4?:181>4120l0>mlo4}zc5e?6=8381=:;65;7bf6=zshh0;6=4=:056ed<2ijk0qvo9a;294?4=9>?i=7;nd09~d0f290;6?4>74`;>0gci2wpm;o50;296?70=kl19lk<;|yb2d<729096<9:c786e`g50;09523dl3?jj<5r{`4b>5<72;0:;8j;:4ce<>{|i?k1<7>52;341ad==hlm7pun6`83>5<528=>i?4:b148y~g1i3:1<7<5167f=?3e8m1vwl8n:183>7<6?4:xe3g=83:1>7?85g591g7e3tqj:l4?:181>412nl0>n?<4}zc5e?6=8381=:8?5;7a6<=zshh0;6=4=:0555d<2j:o0qvo9a;294?4=9><9=7;m449~d0f290;6?4>770;>0d3k2wpm;o50;296?70>;l19o;<;|yb2d<729096<993786f0e50;095204l3?i:>5r{`4b>5<72;0:;;:;:4`5e>{|i?k1<7>52;3421d==k=:7pun6`83>5<528==9?4:b6:8y~g1i3:1<7<51646=?3e?o1vwl8n:183>7<6??<;68l76:xe3g=83:1>7?867591g>c3tqj:l4?:181>411>l0>n4:4}zc5e?6=8381=:885;7a=a=zshh0;6=4=:055<2=7;mc09~d0f290;6?4>77;;>0ddi2wpm;o50;296?70>0l19oj<;|yb2d<729096<99a786fae50;09520fl3?ii>5r{`4b>5<72;0:;;l;:4`fe>{|i?k1<7>52;342gd==kl:7pun6`83>5<528==o?4:bg:8y~g1i3:1<7<5164`=?3eno1vwl8n:183>7<6??n;68m?6:xe3g=83:1>7?86e591f6c3tqj:l4?:181>411ll0>o<:4}zc5e?6=8381=:8j5;7`5a=zshh0;6=4=:055bd<2k:80qvo9a;294?4=9>=;=7;l389~d0f290;6?4>762;>0e382wpm;o50;296?70?9l19n:8;|yb2d<729096<981786g1c50;095216l3?h985r{`4b>5<72;0:;:<;:4a6g>{|i?k1<7>52;3437d==j<87pun6`83>5<528=7<6?>>;68m88:xe3g=83:1>7?875591f1a3tqj:l4?:181>410o584}zc5e?6=8381=:9:5;7`h0;6=4=:0542d<2kh<0qvo9a;294?4=9>=<=7;lag9~d0f290;6?4>765;>0ee>2wpm;o50;296?70?>l19nli;|yb2d<729096<988786gf050;09521?l3?hoi5r{`4b>5<72;0:;:7;:4ag0>{|i?k1<7>52;3435<528=7<6?>h;68mi0:xe3g=83:1>7?87c591f`03tqj:l4?:181>410jl0>okk4}zc5e?6=8381=:9l5;7g40=zshh0;6=4=:054`d<2l8k0qvo9a;294?4=9>=n=7;k209~d0f290;6?4>76g;>0b502wpm;o50;296?70?ll19i50;09521al3?o8<5r{`4b>5<72;0:;5>;:4f7<>{|i?k1<7>52;34<5d==m>m7pun6`83>5<528=3=?4:d448y~g1i3:1<7<516:2=?3c=m1vwl8n:183>7<6?18;68j94:xe3g=83:1>7?883591a0e3tqj:l4?:181>41?:l0>h:<4}zc5e?6=8381=:6<5;7g3<=zshh0;6=4=:05;0d<2l1o0qvo9a;294?4=9>2>=7;k949~d0f290;6?4>797;>0b>k2wpm;o50;296?70050;0952>1l3?on<5r{`4b>5<72;0:;59;:4fa<>{|i?k1<7>52;34<2d==mhm7pun6`83>5<528=34?4:db48y~g1i3:1<7<516:;=?3ckm1vwl8n:183>7<6?13;68jk4:xe3g=83:1>7?888591abe3tqj:l4?:181>41?1l0>hh<4}zc5e?6=8381=:6n5;7ga<=zshh0;6=4=:05;fd<2loo0qvo9a;294?4=9>2h=7;j049~d0f290;6?4>79a;>0c7k2wpm;o50;296?700jl19h?<;|yb2d<729096<97d786a4g50;0952>cl3?n><5r{`4b>5<72;0:;5k;:4g1e>{|i?k1<7>52;34<`d==l987pun6`83>5<528=3j?4:e2a8y~g1i3:1<7<516:e=?3b<<1vwl8n:183>7<6?0:;68k;e:xe3g=83:1>7?891591`303tqj:l4?:181>41>8l0>i8k4}zc5e?6=8381=:7>5;7f20=zshh0;6=4=:05:6d<2m>k0qvo9a;294?4=9>38=7;j809~d0f290;6?4>781;>0c?02wpm;o50;296?701:l19h6i;|yb2d<729096<964786a<050;0952?3l3?n5i5r{`4b>5<72;0:;4;;:4gb0>{|i?k1<7>52;34=0d==lki7pun6`83>5<528=2:?4:ec08y~g1i3:1<7<516;5=?3bj01vwl8n:183>7<6?0=;68kl0:xe3g=83:1>7?896591`e03tqj:l4?:181>41>?l0>ink4}zc5e?6=8381=:775;7f`0=zshd2h0;6=4=:05:=d<2mlk0qvo9a;294?4=9>3j=7;jf09~d0f290;6?4>78c;>0ca02wpm;o50;296?701hl19hhi;|yb2d<729096<96b786b5050;0952?el3?m5<72;0:;4m;:4d20>{|i?k1<7>52;34=fd==o;i7pun6`83>5<528=2h?4:f308y~g1i3:1<7<516;g=?3a:01vwl8n:183>7<6?0o;68h<0:xe3g=83:1>7?89d591c503tqj:l4?:181>41>ml0>j>k4}zc5e?6=8381=:7i5;7e02=zsh<6sta7c94?6=:3;h0;6=4=:05b4d<2n?80qvo9a;294?4=9>k:=7;i6c9~d0f290;6?4>7`3;>0`0<2wpm;o50;296?70i8l19k9k;|yb2d<729096<9n2786b=050;0952g5l3?m4k5r{`4b>5<72;0:;l=;:4d:<>{|i?k1<7>52;34e6d==ok:7pun6`83>5<528=j8?4:f`c8y~g1i3:1<7<516c7=?3aj:1vwl8n:183>7<6?h?;68hmc:xe3g=83:1>7?8a4591ce23tqj:l4?:181>41f=l0>jnk4}zc5e?6=8381=:o95;7e`2=zshh0;6=4=:05b3d<2no80qvo9a;294?4=9>k3=7;ifc9~d0f290;6?4>7`:;>367<2wpm;o50;296?70i1l1:=>k;|yb2d<729096<9n978544050;0952g>l3<;=k5r{`4b>5<72;0:;lo;:721<>{|i?k1<7>52;34edd=>99:7pun6`83>5<528=jn?4902c8y~g1i3:1<7<516ca=?07<:1vwl8n:183>7<6?hi;6;>;c:xe3g=83:1>7?8ab5925323tqj:l4?:181>41fkl0=<8k4}zc5e?6=8381=:ok5;4322=zshh0;6=4=:05bad<18180qvo9a;294?4=9>km=78?8c9~d0f290;6?4>7`d;>36><2wpm;o50;296?70iol1:=7k;|yb2d<729096<9m07854d050;0952d7l3<;mk5r{`4b>5<72;0:;o?;:72a<>{|i?k1<7>52;34f4d=>9i:7pun6`83>5<528=i>?490bc8y~g1i3:1<7<516`1=?07l:1vwl8n:183>7<6?k9;6;>kc:xe3g=83:1>7?8b25925c23tqj:l4?:181>41e;l0=h0;6=4=:05a17<19980qvo9a;294?4=9>h>;78>069~d0f290;6?4>7c7`>377k2wpm;o50;296?70j?;1:;|yb2d<729096<9m678554050;0952d1j3<:=o5r{`4b>5<72;0:;o9?:7314>{|i?k1<7>52;34f23=>88>7pun6`83>5<528=i;l4913c8y~g1i3:1<7<516`4b?06:o1vwl8n:183>7<6?k2?6;?<4:xe3g=83:1>7?8b9;9245>3tqj:l4?:181>41e0l0==>k4}zc5e?6=8381=:l63;4206=zshh0;6=4=:05ae7<19<80qvo9a;294?4=9>hj;78>569~d0f290;6?4>7cc`>372k2wpm;o50;296?70jk;1:<8>;|yb2d<729096<9mb78553050;0952dej3<::o5r{`4b>5<72;0:;om?:7344>{|i?k1<7>52;34ff3=>8=>7pun6`83>5<528=iol4916c8y~g1i3:1<7<516``b?06?o1vwl8n:183>7<6?kn?6;?74:xe3e=83:1>7?8be7924>23tqj:n4?:181>41el?0==584}zc5g?6=8381=:lk7;42<2=zshh0;6=4=:05aa0<191k0qvo9a;294?4=9>hnm78>8g9~d0d290;6?4>7cga>37>82wpm;m50;296?70jli1:<7>;|yb2f<729096<9mee855<450;0952dbm3<:5>5r{`4`>5<72;0:;oki:73:0>{|i?k1<7>52;34fcd=>83>7pun6`83>5<528=h<=4918c8y~g1k3:1<7<516a35?061k1vwl8l:183>7<6?j:96;?6c:xe3e=83:1>7?8c11924?c3tqj:n4?:181>41d8=0==4k4}zc5g?6=8381=:m?5;42=c=zshj0;6=4=:05`52<19h<0qvo9c;294?4=9>i:478>a69~d0d290;6?4>7b3:>37f02wpm;m50;296?70k8k1:50;0952e5?3<:mo5r{`4b>5<72;0:;n{|i?i1<7>52;34g7b=>8h:7pun6b83>5<528=h>h491c08y~g1k3:1<7<516a1b?06j:1vwl8l:183>7<6?j9;6;?m4:xe3e=83:1>7?8c23924d23tqj:l4?:181>41d;m0==o84}zc5e?6=8381=:m;2;42fg=zshj0;6=4=:05`00<19ko0qvo9c;294?4=9>i?:78>bg9~d0d290;6?4>7b64>37d82wpm;o50;296?70k<91:;|yb2d<729096<9l59855f050;0952e213<:o:5r{`4`>5<72;0:;n;n:73`<>{|i?i1<7>52;34g0d=>8i27pun6b83>5<528=h9n491bc8y~g1k3:1<7<516a6`?06kk1vwl8n:183>7<6?j<26;?lc:xe3g=83:1>7?8c7g924b63tqj:n4?:181>41d>o0==i<4}zc5g?6=8381=:m80;42`6=zshj0;6=4=:05`36<19m<0qvo9a;294?4=9>id69~d0f290;6?4>7b:7>37ck2wpm;o50;296?70k121:50;0952e>93<:i45r{`4b>5<72;0:;n79:73fa>{|i?k1<7>52;34g8l97pun6`83>5<528=h5k491g58y~g1i3:1<7<516ab7?06nk1vwl8n:183>7<6?jk36;7?8c`a927633tqj:l4?:181>41dj80=>=74}zc5e?6=8381=:mm5;414a=zsh6sta7c94?6=:3;h0;6=4=:05`g6<1:8h0qvo9a;294?4=9>ih;78=1g9~d0f290;6?4>7ba`>345<2wpm;o50;296?70km:1:?<7;|yb2d<729096<9ld48567b50;0952ec13<9?<5r{`4b>5<72;0:;njj:7002>{|i?k1<7>52;34g`4=>;9j7pun6`83>5<528=hi:4922d8y~g1i3:1<7<516aff?05<:1vwl8n:183>7<6?jl;6;<;8:xe3g=83:1>7?8cg69272d3tqj:l4?:181>41dn00=>8?4}zc5e?6=8381=:mid;4110=zshm6sta7c94?6=:3;h0;6=4=:05g4g<1:?90qvo9a;294?4=9>n;j78=669~d0f290;6?4>7e37>341k2wpm;o50;296?70l821:?9?;|yb2d<729096<9k1e8562350;0952b593<9;45r{`4b>5<72;0:;i<9:704a>{|i?k1<7>52;34`7g=>;297pun6`83>5<528=o>k492958y~g1i3:1<7<516f07?050k1vwl8n:183>7<6?m936;<60:xe3g=83:1>7?8d2a927?33tqj:l4?:181>41c<80=>474}zc5e?6=8381=:j;5;41=a=zsh6sta7c94?6=:3;h0;6=4=:05g16<1:hh0qvo9a;294?4=9>n>;78=ag9~d0f290;6?4>7e7`>34e<2wpm;o50;296?70l?:1:?l7;|yb2d<729096<9k64856gb50;0952b113<9o<5r{`4b>5<72;0:;i8j:70`2>{|i?k1<7>52;34`24=>;ij7pun6`83>5<528=o;:492bd8y~g1i3:1<7<516f4f?05l:1vwl8n:183>7<6?m2;6;7?8d96927bd3tqj:l4?:181>41c000=>h?4}zc5e?6=8381=:j7d;41a0=zshh0;6=4=:05g=g<1:o90qvo9a;294?4=9>n2j78=f69~d0f290;6?4>7ec7>34ak2wpm;o50;296?70lh31:>>>;|yb2d<729096<9kae8575350;0952be:3<85<72;0:;il9:713a>{|i?k1<7>52;34`gd=>:;87pun6`83>5<528=onk493058y~g1i3:1<7<516f`0?049j1vwl8n:183>7<6?mi36;==0:xe3g=83:1>7?8dbf926423tqj:l4?:181>41cl80=??74}zc5e?6=8381=:jk6;406`=zsh6sta7c94?6=:3;h0;6=4=:05ga6<1;:h0qvo9a;294?4=9>nn478<419~d0f290;6?4>7eg`>353<2wpm;o50;296?70lo;1:>:6;|yb2d<729096<9kf48571b50;0952bai3<89?5r{`4b>5<72;0:;ihj:7162>{|i?k1<7>52;34a55=>:?i7pun6`83>5<528=n<:4934d8y~g1i3:1<7<516g3g?04>=1vwl8n:183>7<6?l;;6;=98:xe3g=83:1>7?8e079260c3tqj:l4?:181>41b900=?:?4}zc5e?6=8381=:k>e;4033=zshh0;6=4=:05f6g<1;190qvo9a;294?4=9>o9j78<869~d0f290;6?4>7d17>35?k2wpm;o50;296?70m:21:>7?;|yb2d<729096<9j3e857<350;0952c393<8545r{`4b>5<72;0:;h:9:71:a>{|i?k1<7>52;34a1g=>:k97pun6`83>5<528=n8k493`58y~g1i3:1<7<516g67?04ik1vwl8n:183>7<6?l?36;=m0:xe3g=83:1>7?8e4a926d33tqj:l4?:181>41b>80=?o74}zc5e?6=8381=:k95;40fa=zsh6sta7c94?6=:3;h0;6=4=:05f36<1;jh0qvo9a;294?4=9>o<;787d5`>35c<2wpm;o50;296?70m1:1:>j7;|yb2d<729096<9j84857ab50;0952c?13<8i<5r{`4b>5<72;0:;h6j:71f2>{|i?k1<7>52;34a<4=>:oj7pun6`83>5<528=n5:493dd8y~g1i3:1<7<516g:f?04n:1vwl8n:183>7<6?lk;6;=i8:xe3g=83:1>7?8e`6926`d3tqj:l4?:181>41bi00=8=?4}zc5e?6=8381=:knd;4740=zsh;m6sta7c94?6=:3;h0;6=4=:05ffg<1<890qvo9a;294?4=9>oij78;169~d0f290;6?4>7da7>326k2wpm;o50;296?70mj21:950;0952cc9345r{`4b>5<72;0:;hj9:761a>{|i?k1<7>52;34aag=>=997pun6`83>5<528=nhk494258y~g1i3:1<7<516gf7?03;k1vwl8n:183>7<6?lo36;:;0:xe3g=83:1>7?8eda921233tqj:l4?:181>41bn80=8974}zc5e?6=8381=:ki5;470a=zsh>>6sta7c94?6=:3;h0;6=4=:05e46<1<l;;78;5g9~d0f290;6?4>7g2`>321<2wpm;o50;296?70n8:1:987;|yb2d<729096<9i148503b50;0952`6135<72;0:;k?j:7642>{|i?k1<7>52;34b74=>==j7pun6`83>5<528=m>:4946d8y~g1i3:1<7<516d1f?030:1vwl8n:183>7<6?o9;6;:78:xe3g=83:1>7?8f26921>d3tqj:l4?:181>41a;00=84?4}zc5e?6=8381=:h2m6sta7c94?6=:3;h0;6=4=:05e0d<1l?i78;a79~d0f290;6?4>7g71>32fi2wpm;o50;296?70n<=1:9oi;|yb2d<729096<9i5c850g550;0952`1835<72;0:;k8;:76ag>{|i?k1<7>52;34b3?=>=i:7pun6`83>5<528=m:i494b78y~g1i3:1<7<516d46?03kh1vwl8n:183>7<6?o==6;:le:xe3g=83:1>7?8f6`921b43tqj:l4?:181>41a?o0=8i94}zc5e?6=8381=:h74;47`f=zsh?2?>n<6sta7c94?6=:3;h0;6=4=:05e=4<1l2:78;ed9~d0f290;6?4>7g;b>32a:2wpm;o50;296?70n0l1:9h8;|yb2d<729096<9ia5850ce50;0952`f13<><<5r{`4b>5<72;0:;koj:7732>{|i?k1<7>52;34bg3=><:m7pun6`83>5<528=mnn4950:8y~g1i3:1<7<516d`7?02:81vwl8n:183>7<6?oij6;;=a:xe3g=83:1>7?8fe3920543tqj:l4?:181>41al10=9>m4}zc5e?6=8381=:hkf;4600=zshh0;6=4=:05eb1<1=?:0qvo9a;294?4=9>lmn78:689~d0f290;6?4>8121>330:2wpm;o50;296?7?8931:89m;|yb2d<729096<6?11851=250;095=66?3<>4i5r{`4b>5<72;0:4=?j:77:2>{|i?k1<7>52;3;473=><3m7pun6`83>5<5282;>n495`:8y~g1i3:1<7<519207?02j81vwl8n:183>7<6099j6;;m8:xe3g=83:1>7?7053920e63tqj:l4?:181>4>7<10=9no4}zc5e?6=8381=5>;f;46`4=zshh0;6=4=:0:321<1=l20qvo9a;294?4=91:=n78:eg9~d0f290;6?4>8151>33a>2wpm;o50;296?7?8>31:8hk;|yb2d<729096<6?818525250;095=6??3<=5<72;0:4=6j:7426>{|i?k1<7>52;3;4<3=>?;27pun6`83>5<5282;5n496328y~g1i3:1<7<5192b7?01:>1vwl8n:183>7<609kj6;8=e:xe3g=83:1>7?70c3923523tqj:l4?:181>4>7j10=:>m4}zc5e?6=8381=5>mf;4506=zshh0;6=4=:0:3`1<1><20qvo9a;294?4=91:on7895g9~d0f290;6?4>81g1>301>2wpm;o50;296?7?8l31:;8k;|yb2d<729096<6?f18522250;095=6a?3<=;o5r{`4b>5<72;0:4=hj:74;6>{|i?k1<7>52;3;553=>?227pun6`83>5<5282:1vwl8n:183>7<608;j6;86e:xe3g=83:1>7?7133923g23tqj:l4?:181>4>6:10=:lm4}zc5e?6=8381=5?=f;45f6=zshj567a2?x}f>h0;6=4=:0:201<1>j20qvo9a;294?4=91;?n789cg9~d0f290;6?4>8071>30c>2wpm;o50;296?7?9<31:;jk;|yb2d<729096<6>61852`250;095=71?3<=io5r{`4b>5<72;0:4<8j:74e6>{|i?k1<7>52;3;523=>?l27pun6`83>5<5282:;n497128y~g1i3:1<7<5193;7?008>1vwl8n:183>7<6082j6;9?e:xe3g=83:1>7?7183922723tqj:l4?:181>4>6110=;h0;6=4=:0:2f1<1?:i0qvo9a;294?4=91;in788449~d0f290;6?4>80a1>313m2wpm;o50;296?7?9j31::;8;|yb2d<729096<6>d18530c50;095=7c?3<<:85r{`4b>5<72;0:4{|i?k1<7>52;3;5`3=>>=87pun6`83>5<5282:in4976c8y~g1i3:1<7<5193e7?00081vwl8n:183>7<608lj6;978:xe3g=83:1>7?7213922>a3tqj:l4?:181>4>5810=;484}zc5e?6=8381=5h0;6=4=:0:161<1?k80qvo9a;294?4=9189n788b89~d0f290;6?4>8311>31d82wpm;o50;296?7?::31::m8;|yb2d<729096<6=41853fc50;095=43?3<5<72;0:4?:j:75gg>{|i?k1<7>52;3;603=>>o87pun6`83>5<528299n497dc8y~g1i3:1<7<519057?00n81vwl8n:183>7<60;7?7263922`a3tqj:l4?:181>4>5?10=4=64}zc5e?6=8381=5<8f;4;54=zsh12?2:m6sta7c94?6=:3;3>5j56900?x}f>h0;6=4=:0:1=1<10;i0qvo9a;294?4=9182n787349~d0f290;6?4>83c1>3>4m2wpm;o50;296?7?:h31:5:8;|yb2d<729096<6=b185<0650;095=4e?3<3945r{`4b>5<72;0:4?lj:7:56>{|i?k1<7>52;3;6f3=>15<52829on498668y~g1i3:1<7<5190g7?0??m1vwl8n:183>7<60;nj6;676:xe3g=83:1>7?72d392=>a3tqj:l4?:181>4>5m10=4464}zc5e?6=8381=5kj569`0?x}f>h0;6=4=:0:041<10ki0qvo9a;294?4=919;n787c49~d0f290;6?4>8231>3>dm2wpm;o50;296?7?;831:5j8;|yb2f<729096<6<1`8550;095=56j3<3h45r{`4`>5<72;0:4>?l:7:ge>{|i?i1<7>52;3;74b=>1ni7pun6b83>5<52828=h498ea8y~g1i3:1<7<51911e?0?lm1vwl8n:183>7<60:8m6;6j2:xe3e=83:1>7?732292=c43tqj:n4?:181>4>4;80=4h:4}zc5g?6=8381=5=<2;4;a0=zsh:569g4?x}f>h0;6=4=:0:005<10l20qvo9a;294?4=919?9787ee9~d0d290;6?4>8265>3>bm2wpm;m50;296?7?;==1:5ki;|yb2f<729096<6<498550;095=5313<3j<5r{`4`>5<72;0:4>:n:7:e6>{|i?k1<7>52;3;700=>1l87pun6`83>5<528289o498g:8y~g1k3:1<7<51916g?0?n01vwl8l:183>7<60:?o6;6ia:xe3e=83:1>7?734g92=`e3tqj:n4?:181>4>4=o0=4km4}zc5g?6=8381=5=90;4;ba=zshj0;6=4=:0:037<119>0qvo9c;294?4=9198257>3?7>2wpm;m50;296?7?;>?1:4>8;|yb2f<729096<6<7785=5>50;095=5?:3<2<45r{`4b>5<72;0:4>68:7;3a>{|i?i1<7>52;3;7=>=>0:m7pun6b83>5<5282844499028y~g1k3:1<7<5191;e?0>981vwl8l:183>7<60:2i6;7>2:xe3e=83:1>7?739a92<743tqj:l4?:181>4>4110=5<:4}zc5e?6=8381=5=6d;4:5<=zshj0;6=4=:0:0e5<118i0qvo9c;294?4=919j=7861e9~d0d290;6?4>82c1>3?6m2wpm;o50;296?7?;ho1:4?i;|yb2d<729096<650;095=5e<3<2>85r{`4`>5<72;0:4>l::7;12>{|i?i1<7>52;3;7g0=>08<7pun6b83>5<52828n:4993:8y~g1k3:1<7<5191a:01vwl8n:183>7<60:i?6;7=a:xe3g=83:1>7?73b;92<4a3tqj:n4?:181>4>4kh0=5>>4}zc5g?6=8381=5=lb;4:74=zsh6sta7a94?6=:3;3?nj56810?x}f>j0;6=4=:0:0g`<11:>0qvo9a;294?4=919om786349~d0f290;6?4>82fe>3?4i2wpm;m50;296?7?;l:1:4=m;|yb2f<729096<650;095=5b:3<2?i5r{`4`>5<72;0:4>k<:7;0a>{|i?i1<7>52;3;7`2=>09m7pun6`83>5<52828j=499528y~g1i3:1<7<5191e1?0><<1vwl8l:183>7<60:l=6;7;6:xe3e=83:1>7?73g592<203tqj:n4?:181>4>4n10=5964}zc5g?6=8381=5=i9;4:0<=zshh0;6=4=:0:74g<11<:0qvo9c;294?4=91>;o786509~d0d290;6?4>852g>3?2:2wpm;m50;296?7?<9o1:4;<;|yb2f<729096<6;0g85=0250;095=2683<2985r{`4b>5<72;0:49?l:7;62>{|i?k1<7>52;3;077=>0?i7pun6`83>5<5282?>84994d8y~g1i3:1<7<51961e?0>>=1vwl8n:183>7<60=8n6;798:xe3g=83:1>7?742192<0c3tqj:l4?:181>4>3;>0=5:?4}zc5e?6=8381=5:h0;6=4=:0:70<<11190qvo9a;294?4=91>?i786899~d0f290;6?4>8571>3??k2wpm;o50;296?7?<<=1:47>;|yb2d<729096<6;5c85=<350;095=2183<25l5r{`4b>5<72;0:498;:7;:a>{|i?k1<7>52;3;03?=>0k87pun6`83>5<5282?:i499`58y~g1i3:1<7<519646?0>ij1vwl8n:183>7<60===6;7m0:xe3g=83:1>7?746`924>3?o0=5o74}zc5e?6=8381=5:74;4:f`=zsh?2?3h>6sta7c94?6=:3;385j568a4?x}f>h0;6=4=:0:7=4<11jh0qvo9a;294?4=91>2:786d19~d0f290;6?4>85;b>3?c<2wpm;o50;296?7?<0l1:4j6;|yb2d<729096<6;a285=ab50;095=2f03<2i?5r{`4b>5<72;0:49ol:7;f2>{|i?k1<7>52;3;0g7=>0oi7pun6`83>5<5282?n8499dd8y~g1i3:1<7<5196ae?0>n=1vwl8n:183>7<60=hn6;7i8:xe3g=83:1>7?74b192<`c3tqj:l4?:181>4>3k>0=m=?4}zc5e?6=8381=5:lc;4b43=zshh0;6=4=:0:7`<<1i890qvo9a;294?4=91>oi78n199~d0f290;6?4>85g1>3g6k2wpm;o50;296?7?;|yb2d<729096<6;ec85e7350;095=2a83l5r{`4b>5<72;0:49h;:7c1a>{|i?k1<7>52;3;0c?=>h987pun6`83>5<5282?ji49a258y~g1i3:1<7<519736?0f;j1vwl8n:183>7<60<:=6;o;0:xe3g=83:1>7?751`92d223tqj:l4?:181>4>28o0=m974}zc5e?6=8381=5;>4;4b0`=zsh>6sta7c94?6=:3;39h0;6=4=:0:664<1i840b>3g1<2wpm;o50;296?7?=;l1:l86;|yb2d<729096<6:3285e3b50;095=34035<72;0:48=l:7c42>{|i?k1<7>52;3;117=>h=i7pun6`83>5<5282>8849a6d8y~g1i3:1<7<51977e?0f0=1vwl8n:183>7<60<>n6;o78:xe3g=83:1>7?754192d>c3tqj:l4?:181>4>2=>0=m4?4}zc5e?6=8381=5;:c;4b=3=zshh0;6=4=:0:62<<1ih90qvo9a;294?4=91?=i78na99~d0f290;6?4>8451>3gfk2wpm;o50;296?7?=>=1:ll>;|yb2d<729096<6:7c85eg350;095=3?835<72;0:486;:7caa>{|i?k1<7>52;3;1=?=>hi87pun6`83>5<5282>4i49ab58y~g1i3:1<7<5197:6?0fkj1vwl8n:183>7<60<3=6;ok0:xe3g=83:1>7?758`92db23tqj:l4?:181>4>21o0=mi74}zc5e?6=8381=5;n4;4b``=zsh6sta7c94?6=:3;39lj56`g4?x}f>h0;6=4=:0:6f4<1ilh0qvo9a;294?4=91?i:78nf19~d0f290;6?4>84`b>3ga<2wpm;o50;296?7?=kl1:lh6;|yb2d<729096<6:c285ecb50;095=3d035<72;0:48ml:7`32>{|i?k1<7>52;3;1a7=>k:i7pun6`83>5<5282>h;49b028y~g1i3:1<7<5197ge?0e9=1vwl8n:183>7<609:xe3g=83:1>7?75d192g7c3tqj:l4?:181>4>2m10=n?<4}zc5e?6=8381=5;jc;4a63=zshh0;6=4=:0:6bd<1j:>0qvo9a;294?4=91?mi78m399~d0f290;6?4>8720>3d4l2wpm;o50;296?7?>9=1:o:>;|yb2d<729096<690b85f1050;095=06835<72;0:4;?::7`7b>{|i?k1<7>52;3;24?=>k?87pun6`83>5<5282==h49b4:8y~g1i3:1<7<519416?0e=j1vwl8n:183>7<60?8<6;l91:xe3g=83:1>7?763`92g023tqj:l4?:181>4>1;90=n;o4}zc5e?6=8381=58<4;4a2`=zsh2?hj56c54?x}f>h0;6=4=:0:507<1j>i0qvo9a;294?4=91876a>3d?=2wpm;o50;296?7?>=l1:o66;|yb2d<729096<695585f=c50;095=02035<72;0:4;;k:7`:3>{|i?k1<7>52;3;237=>k3i7pun6`83>5<5282=:;49b`28y~g1i3:1<7<51945e?0ei=1vwl8n:183>7<60?7?766192ggc3tqj:l4?:181>4>1?10=no<4}zc5e?6=8381=588c;4af3=zsh62?hin6sta7c94?6=:3;3:5;56c`e?x}f>h0;6=4=:0:50qvo9a;294?4=91<3i78mc99~d0f290;6?4>87;0>3ddl2wpm;o50;296?7?>0=1:oj>;|yb2d<729096<699b85fa050;095=0f835<72;0:4;o;:7`ga>{|i?k1<7>52;3;2dd=>ko>7pun6`83>5<5282=n?49bda8y~g1i3:1<7<5194a=?0en:1vwl8n:183>7<60?i;6;lia:xe3g=83:1>7?76b592f663tqj:l4?:181>4>1kl0=o=64}zc5e?6=8381=58k5;4`4c=zshh0;6=4=:0:5b4<1k=o0qvo9a;294?4=91862g>3ee82wpm;o50;296?7??8h1:nk>;|yb2d<729096<682885`4450;095=14?35r{`4b>5<72;0:4::::7f40>{|i?k1<7>52;3;305=>mk>7pun6`83>5<5282<9l49d`g8y~g1i3:1<7<519555?0cj>1vwl8n:183>7<60><36;jl0:xe3g=83:1>7?777d92ae>3tqj:l4?:181>4>0??0=hi<4}zc5e?6=8381=598d;4g`g=zsh32?nn86sta7c94?6=:3;3;5l56egg?x}f>h0;6=4=:0:4=7<1lo<0qvo9a;294?4=91=2578kfe9~d0f290;6?4>86c3>3c7<2wpm;o50;296?7??h=1:h>m;|yb2d<729096<68ad85a4450;095=1e=35<72;0:4:ll:7g14>{|i?k1<7>52;3;3f5=>l8<7pun6`83>5<52827<60>n36;k7?77ed92`243tqj:l4?:181>4>0m?0=i9o4}zc5e?6=8381=59jd;4f14=zsh46sta7c94?6=:3;3;kl56d7e?x}f>h0;6=4=:0:;47<1m?<0qvo9a;294?4=912;;78j6c9~d0f290;6?4>892a>3c1n2wpm;o50;296?7?08:1:h9;;|yb2d<729096<671585a2>50;095=>6135<72;0:45?k:7g;5>{|i?k1<7>52;3;<74=>l2=7pun6`83>5<52823>;49e9c8y~g1i3:1<7<519:1f?0b0o1vwl8n:183>7<6018m6;k63:xe3g=83:1>7?782692`??3tqj:l4?:181>4>?;10=i4m4}zc5e?6=8381=56h0;6=4=:0:;0d<1mho0qvo9a;294?4=912?j78jb29~d0f290;6?4>8970>3ce?2wpm;o50;296?7?0<21:hll;|yb2d<729096<675b85af650;095=>1935<72;0:458::7g`=>{|i?k1<7>52;3;<3g=>lin7pun6`83>5<52823:h49ee08y~g1i3:1<7<519:47?0bl>1vwl8n:183>7<601=<6;kkb:xe3g=83:1>7?786a92`c73tqj:l4?:181>4>?090=ih:4}zc5e?6=8381=5675;4fa<=zsh>2?onh6sta7c94?6=:3;345j56dd2?x}f>h0;6=4=:0:;=1<1mok0qvo9a;294?4=9122n78i009~d0f290;6?4>89c1>3`702wpm;o50;296?7?0h31:k>i;|yb2d<729096<67b185b4050;095=>e?35<72;0:45lj:7d10>{|i?k1<7>52;3;o8i7pun6`83>5<52823on49f208y~g1i3:1<7<519:g7?0a;01vwl8n:183>7<601nj6;h;0:xe3g=83:1>7?78d392c203tqj:l4?:181>4>?m10=j9k4}zc5e?6=8381=56jf;4e10=zsho6sta7c94?6=:3;34kj56g40?x}f>h0;6=4=:0::41<1n?k0qvo9a;294?4=913;578i6g9~d0f290;6?4>882g>3`0;2wpm;o50;296?7?1881:k97;|yb2d<729096<661785b2e50;095=?6j35<72;0:44?i:7d;1>{|i?k1<7>52;3;=72=>o2j7pun6`83>5<52822>549f9g8y~g1i3:1<7<519;1`?0a1:1vwl8n:183>7<6009:6;h67:xe3g=83:1>7?792492c?d3tqj:l4?:181>4>>;h0=jl>4}zc5e?6=8381=57h0;6=4=:0::0f<1nk80qvo9a;294?4=913>=78ib69~d0f290;6?4>8876>3`ej2wpm;o50;296?7?150;095=?1;35<72;0:4488:7d``>{|i?k1<7>52;3;=3e=>on97pun6`83>5<52822;=49fe48y~g1i3:1<7<519;41?0alk1vwl8n:183>7<600=26;hkf:xe3g=83:1>7?796g92cc33tqj:l4?:181>4>>0;0=jh64}zc5e?6=8381=5777;4eaa=zshe2?lm=6sta7c94?6=:3;355h56gd6?x}f>h0;6=4=:0::=3<1noo0qvo9a;294?4=9132h79?049~d0f290;6?4>88c7>267k2wpm;o50;296?7?1hh1;=?<;|yb2d<729096<66b38444g50;095=?e13=;><5r{`4b>5<72;0:44m?:621<>{|i?k1<7>52;3;=f1=?98m7pun6`83>5<52822oh480248y~g1i3:1<7<519;g1?17;m1vwl8n:183>7<600nh6:>;4:xe3g=83:1>7?79d19352e3tqj:l4?:181>4>>mh0<<8<4}zc5e?6=8381=57i1;531<=zsh:=<6sta7c94?6=:3;35kh57144?x}f>h0;6=4=:0:b43<08?o0qvo9a;294?4=91k;n79?729~d0f290;6?4>8`2e>260?2wpm;o50;296?7?i8>1;=9l;|yb2d<729096<6n19844=650;095=g6l3=;485r{`4b>5<72;0:4l<>:62;=>{|i?k1<7>52;3;e70=?92n7pun6`83>5<5282j>l480808y~g1i3:1<7<519c1b?171>1vwl8n:183>7<60h986:>6b:xe3g=83:1>7?7a2:935g73tqj:l4?:181>4>f;j0<:jh6sta7c94?6=:3;3m9o571`1?x}f>h0;6=4=:0:b0`<08k<0qvo9a;294?4=91k>?79?bc9~d0f290;6?4>8`74>26en2wpm;o50;296?7?i50;095=g1=3=;oi5r{`4b>5<72;0:4l86:62g5>{|i?k1<7>52;3;e3c=?9n=7pun6`83>5<5282j;?480ec8y~g1i3:1<7<519c43?17lo1vwl8n:183>7<60h=i6:>j3:xe3g=83:1>7?7a92935c?3tqj:l4?:181>4>f0=0<c2>:m96sta7c94?6=:3;3m4?571d:?x}f>h0;6=4=:0:b==<09980qvo9a;294?4=91k2j79>0c9~d0f290;6?4>8`c5>276<2wpm;o50;296?7?ihn1;50;095=gej3=:>k5r{`4b>5<72;0:4lm=:6302>{|i?k1<7>52;3;ef?=?89o7pun6`83>5<5282jh=481568y~g1i3:1<7<519cg3?167<60hnn6:?:6:xe3g=83:1>7?7ad79343c3tqj:l4?:181>4>fmj0<=;:4}zc5e?6=8381=5oi3;522g=zsh;<>6sta7c94?6=:3;3n=?5705:?x}f>h0;6=4=:0:a4=<091:0qvo9a;294?4=91h;j79>869~d0f290;6?4>8c35>27?m2wpm;o50;296?7?j8n1;<7:;|yb2d<729096<6m2584550;095=d5j3=:m>5r{`4b>5<72;0:4o==:63be>{|i?k1<7>52;3;f6?=?8h:7pun6`83>5<5282i8=481c:8y~g1i3:1<7<519`73?16jo1vwl8n:183>7<60k>n6:?l6:xe3g=83:1>7?7b47934ea3tqj:l4?:181>4>e=j0<=i84}zc5e?6=8381=5l93;52`a=zsh;n86sta7c94?6=:3;3n:?570ga?x}f>h0;6=4=:0:a3=<09o80qvo9a;294?4=91hf89~d0f290;6?4>8c:5>24782wpm;o50;296?7?j1n1;?>8;|yb2d<729096<6m958464650;095=d>j3=9=45r{`4b>5<72;0:4oo=:6016>{|i?k1<7>52;3;fd?=?;8i7pun6`83>5<5282in=482268y~g1i3:1<7<519`a3?15;m1vwl8n:183>7<60khn6:<;6:xe3g=83:1>7?7bb79372a3tqj:l4?:181>4>ekj0<>884}zc5e?6=8381=5lk3;511a=zsh8=86sta7c94?6=:3;3nh?5734a?x}f>h0;6=4=:0:aa=<0:>80qvo9a;294?4=91hnj79=789~d0f290;6?4>8cd5>24?82wpm;o50;296?7?jon1;?68;|yb2d<729096<6l05846<650;095=e7j3=95:5r{`4b>5<72;0:4n?=:60:a>{|i?k1<7>52;3;g4?=?;k>7pun6`83>5<5282h>=482`a8y~g1i3:1<7<519a13?15j:1vwl8n:183>7<60j8n6:7?7c27937e63tqj:l4?:181>4>d;j0<>n64}zc5e?6=8381=5m;3;51gc=zsh8o:6sta7c94?6=:3;3o8?573fg?x}f>h0;6=4=:0:`1=<0:l>0qvo9a;294?4=91i>j79=ec9~d0f290;6?4>8b45>24a:2wpm;o50;296?7?k?n1;?h6;|yb2d<729096<6l758475650;095=e0j3=8<45r{`4`>5<72;0:4n9l:613e>{|i?i1<7>52;3;g2b=?::i7pun6b83>5<5282h;h4831a8y~g1k3:1<7<519a4b?148m1vwl8l:183>7<60j2;6:=?e:xe3g=83:1>7?7c9a9366a3tqj:l4?:181>4>d1809::6sta7a94?6=:3;3o4:57234?x}f>j0;6=4=:0:`=0<0;820qvo9c;294?4=91i2:79<189~d0f290;6?4>8bc1>256i2wpm;o50;296?7?kh=1;>?i;|yb2f<729096<6la98477650;095=ef13=8><5r{`4`>5<72;0:4non:6116>{|i?i1<7>52;3;gdd=?:887pun6b83>5<5282hmn483368y~g1i3:1<7<519aa7<60jho6:==a:xe3e=83:1>7?7ccg9364e3tqj:n4?:181>4>djo099i6sta7a94?6=:3;3on<5720e?x}f>h0;6=4=:0:`g`<0;::0qvo9a;294?4=91io?79<349~d0d290;6?4>8bf7>254>2wpm;m50;296?7?km?1;>=8;|yb2f<729096<6ld78476>50;095=ec?3=8?45r{`4`>5<72;0:4nj7:610e>{|i?k1<7>52;3;g`2=?:9i7pun6`83>5<5282hi4483528y~g1k3:1<7<519afe?14<81vwl8l:183>7<60joi6:=;2:xe3e=83:1>7?7cda936243tqj:n4?:181>4>dmm09?:6sta7c94?6=:3;3okh5726a?x}f>j0;6=4=:0:g45<0;=i0qvo9c;294?4=91n;=79<4e9~d0d290;6?4>8e21>253m2wpm;m50;296?7?l991;>:i;|yb2f<729096<6k058470650;095=b683=89<5r{`4b>5<72;0:4i?::6162>{|i?i1<7>52;3;`40=?:?<7pun6b83>5<5282o=:4834:8y~g1k3:1<7<519f27<60m;26:=:a:xe3e=83:1>7?7d0c9363e3tqj:l4?:181>4>c:?09=>6sta7a94?6=:3;3h?j57240?x}f>j0;6=4=:0:g6`<0;?>0qvo9c;294?4=91n9j79<649~d0d290;6?4>8e13>251>2wpm;o50;296?7?l:i1;>88;|yb2d<729096<6k408473e50;095=b3:3=8:i5r{`4`>5<72;0:4i:<:615a>{|i?i1<7>52;3;`12=?:5<5282o88483628y~g1k3:1<7<519f72?14?81vwl8n:183>7<60m?96:=82:xe3g=83:1>7?7d45936103tqj:n4?:181>4>c=109j0;6=4=:0:g1f<0;>i0qvo9a;294?4=91n=479<7e9~d0f290;6?4>8e4g>25?:2wpm;m50;296?7?l?o1;>6<;|yb2f<729096<6k6g847=250;095=b083=8485r{`4`>5<72;0:4i9>:61;2>{|i?i1<7>52;3;`24=?:2<7pun6`83>5<5282o;h4839:8y~g1i3:1<7<519f;7?140m1vwl8l:183>7<60m2?6:=7e:xe3e=83:1>7?7d97936>a3tqj:n4?:181>4>c0?04}zc5g?6=8381=5j77;50=4=zsh?2>92>6sta7c94?6=:3;3h4:572;0?x}f>h0;6=4=:0:g=<<0;020qvo9c;294?4=91n2m79<989~d0d290;6?4>8e;a>25>i2wpm;m50;296?7?l0i1;>7m;|yb2f<729096<6k9e84750;095=b>m3=85i5r{`4b>5<72;0:4ion:61:a>{|i?k1<7>52;3;`d`=?:k87pun6b83>5<5282on=483`68y~g1k3:1<7<519fa5?14i<1vwl8l:183>7<60mh96:=n6:xe3e=83:1>7?7dc1936g03tqj:n4?:181>4>cj=09ji6sta7a94?6=:3;3hn8572ce?x}f>j0;6=4=:0:gg2<0;k:0qvo9c;294?4=91nh4798ea:>25e:2wpm;m50;296?7?ljk1;>l<;|yb2d<729096<6kd7847g250;095=bcj3=8n45r{`4`>5<72;0:4ijl:61ae>{|i?i1<7>52;3;`ab=?:hi7pun6b83>5<5282ohh483ca8y~g1k3:1<7<519fgb?14jm1vwl8l:183>7<60mo;6:=me:xe3g=83:1>7?7dda936da3tqj:l4?:181>4>cn809h:6sta7a94?6=:3;3hk:572a4?x}f>j0;6=4=:0:gb0<0;j20qvo9c;294?4=91nm:798d21>25di2wpm;o50;296?7?m9=1;>mi;|yb2f<729096<6j09847a650;095=c713=8h<5r{`4`>5<72;0:4h>n:61g6>{|i?i1<7>52;3;a5d=?:n87pun6b83>5<5282n7<60l;o6:=ka:xe3e=83:1>7?7e0g936be3tqj:n4?:181>4>b9o09oi6sta7a94?6=:3;3i?<572fe?x}f>h0;6=4=:0:f6`<0;l:0qvo9a;294?4=91o8?798d17>25b>2wpm;m50;296?7?m:?1;>k8;|yb2f<729096<6j37847`>50;095=c4?3=8i45r{`4`>5<72;0:4h=7:61fe>{|i?k1<7>52;3;a12=?:oi7pun6`83>5<5282n84483g28y~g1k3:1<7<519g7e?14n81vwl8l:183>7<60l>i6:=i2:xe3e=83:1>7?7e5a936`43tqj:n4?:181>4>b9m:6sta7c94?6=:3;3i8h572da?x}f>j0;6=4=:0:f25<0;oi0qvo9c;294?4=91o==798d41>25am2wpm;m50;296?7?m?91;>hi;|yb2f<729096<6j658405650;095=c083=?<<5r{`4b>5<72;0:4h9::6632>{|i?i1<7>52;3;a20=?=:<7pun6b83>5<5282n;:4841:8y~g1k3:1<7<519g47<60l=26::?a:xe3e=83:1>7?7e6c9316e3tqj:l4?:181>4>b0?0<8=m4}zc5e?6=8381=5k7b;5754=zsha2>>:96sta7c94?6=:3;3i4=5753:?x}f>h0;6=4=:0:f==<0<8o0qvo9a;294?4=91o2h79;229~d0d290;6?4>8d;f>225<2wpm;m50;296?7?m0l1;9<:;|yb2f<729096<6ja18407050;095=cf93=?>:5r{`4`>5<72;0:4ho=:661<>{|i?k1<7>52;3;adc=?=827pun6b83>5<5282nmk4843c8y~g1k3:1<7<519ga4?13:k1vwl8l:183>7<60lh:6::=c:xe3e=83:1>7?7ec09314c3tqj:n4?:181>4>bj:0<8?k4}zc5e?6=8381=5kmf;576c=zsh>8?6sta7c94?6=:3;3in957514?x}f>h0;6=4=:0:fgg<0<:h0qvo9a;294?4=91ohj79;3g9~d0f290;6?4>8df0>223;2wpm;o50;296?7?mm=1;9:8;|yb2d<729096<6jdc8401d50;095=ccn3=?8k5r{`4b>5<72;0:4hk<:6667>{|i?k1<7>52;3;a`1=?=?<7pun6`83>5<5282nio4844`8y~g1i3:1<7<519gfb?13=o1vwl8n:183>7<60ll86::93:xe3g=83:1>7?7eg5931003tqj:l4?:181>4>bnk0<8;l4}zc5e?6=8381=5kif;572c=zsh>j0;6=4=:0:e4=<0<>k0qvo9c;294?4=91l;579;799~d0d290;6?4>8g2b>22012wpm;m50;296?7?n9h1;99m;|yb2d<729096<6i148402e50;095=`6i3=?4<5r{`4b>5<72;0:4k?i:66;2>{|i?k1<7>52;3;b72=?=2i7pun6`83>5<5282m>4484828y~g1i3:1<7<519d1a?131<1vwl8n:183>7<60o986::6a:xe3g=83:1>7?7f2:931?a3tqj:l4?:181>4>a;m0<8l:4}zc5e?6=8381=5h;2;57e<=zsh>ji6sta7c94?6=:3;3j9m575`0?x}f>h0;6=4=:0:e14<0:79;be9~d0f290;6?4>8g7a>22d:2wpm;o50;296?7?n?:1;9m8;|yb2d<729096<6i64840fe50;095=`1i3=?h<5r{`4b>5<72;0:4k8i:66g2>{|i?k1<7>52;3;b22=?=ni7pun6`83>5<5282m;4484d28y~g1i3:1<7<519d4a?13m<1vwl8n:183>7<60o286::ja:xe3g=83:1>7?7f9:931ca3tqj:l4?:181>4>a0m0<8k:4}zc5e?6=8381=5h62;57b<=zsh>mi6sta7c94?6=:3;3j4m57420?x}f>h0;6=4=:0:ee4<0=920qvo9a;294?4=91lj:79:0e9~d0f290;6?4>8gca>236:2wpm;o50;296?7?nk:1;8?8;|yb2d<729096<6ib48414e50;095=`ei3=>><5r{`4b>5<72;0:4kli:6712>{|i?k1<7>52;3;bf2=?<8i7pun6`83>5<5282mo4485228y~g1i3:1<7<519d`a?12;<1vwl8n:183>7<60on86:;7?7fe:9305a3tqj:l4?:181>4>alm0<99:4}zc5e?6=8381=5hj2;560<=zsh??i6sta7c94?6=:3;3jhm57470?x}f>h0;6=4=:0:eb4<0=<20qvo9a;294?4=91lm:79:5e9~d0f290;6?4>8gda>231:2wpm;o50;296?7>89:1;888;|yb2d<729096<7?048413e50;095<67i3=>;<5r{`4b>5<72;0:5=>i:6742>{|i?k1<7>52;3:442=?<=i7pun6`83>5<5283;=4485928y~g1i3:1<7<51822a?120<1vwl8n:183>7<619886:;7a:xe3g=83:1>7?603;930?73tqj:l4?:181>4?7:o0<9484}zc5e?6=8381=4><5;56=f=zsh?j>6sta7c94?6=:3;2<9?574c;?x}f>h0;6=4=:0;302<0=ho0qvo9a;294?4=90:?h79:b59~d0f290;6?4>9170>23ei2wpm;o50;296?7>8<31;8m?;|yb2d<729096<7?5g841f050;095<61=3=>on5r{`4b>5<72;0:5=8m:67g6>{|i?k1<7>52;3:427=?5<5283;;:485eg8y~g1i3:1<7=51824`?12m=0<9hh4}zc5g?6=8381=4>8e;56a3=zsh?n;6sta7a94?6=:3;2<5>574d0?x}f>j0;6=4=:0;3<1<0=l20qvo9c;294?4=90:3=79:f39~d0d290;6?4>91:1>23a82wpm;m50;296?7>8191;8h>;|yb2d<729096<7?99841`?50;095<6>13=>il5r{`4`>5<72;0:5=7n:67ff>{|i?i1<7>52;3:45<5283;5n485df8y~g1k3:1<7<5182:`?12ml1vwl8n:183>7<619k26:;i5:xe3e=83:1>7?60`c930`13tqj:n4?:181>4?7ik0<9k94}zc5g?6=8381=4>nc;56b==zsh?m56sta7a94?6=:3;2h0;6=4=:0;3fd<0=oh0qvo9a;294?4=90:h<799009~d0d290;6?4>91a2>207:2wpm;m50;296?7>8j81;;><;|yb2f<729096<7?c28425250;095<6d<3==<85r{`4`>5<72;0:5=m::6432>{|i?k1<7>52;3:4a7=??:<7pun6b83>5<5283;h?4861`8y~g1k3:1<7<5182g7?118h1vwl8l:183>7<619n?6:8?8:xe3e=83:1>7?60e79336>3tqj:n4?:181>4?7l?0<:=m4}zc5e?6=8381=4>j2;554a=zsh<:<6sta7a94?6=:3;2j0;6=4=:0;3a0<0>9l0qvo9c;294?4=90:n:799109~d0f290;6?4>91d3>206:2wpm;o50;296?7>8o<1;;?7;|yb2d<729096<7?f`8424e50;095<6am3==>=5r{`4b>5<72;0:5<>=:6410>{|i?k1<7>52;3:550=??837pun6`83>5<5283:7<618;86:8<5:xe3g=83:1>7?610:9335f3tqj:n4?:181>4?6900<:>l4}zc5g?6=8381=4?>a;557f=zsh<8h6sta7a94?6=:3;2=j0;6=4=:0;25a<0>:l0qvo9a;294?4=90;95799419~d0f290;6?4>900f>203=2wpm;o50;296?7>9:91;;:n;|yb2d<729096<7>398421`50;095<74l3==995r{`4b>5<72;0:5<:=:646=>{|i?k1<7>52;3:511=???n7pun6`83>5<5283:8n486718y~g1i3:1<7<518365?11>11vwl8n:183>7<618?>6:89c:xe3g=83:1>7?614;933173tqj:l4?:181>4?6=m0<:::4}zc5g?6=8381=4?:e;5532=zsh<<96sta7a94?6=:3;2=;>57755?x}f>j0;6=4=:0;224<0>>20qvo9a;294?4=90;=n799789~d0f290;6?4>904e>200l2wpm;o50;296?7>9>?1;;6<;|yb2f<729096<7>77842=250;095<70?3==485r{`4`>5<72;0:5<97:64;2>{|i?i1<7>52;3:52?=??2<7pun6b83>5<5283:;l4869:8y~g1i3:1<7<5183;2?11001vwl8l:183>7<6182<6:87a:xe3e=83:1>7?619:933>e3tqj:n4?:181>4?6000<:5m4}zc5g?6=8381=4?7a;55e2><3i6sta7c94?6=:3;2=49577:e?x}f>j0;6=4=:0;2==<0>080qvo9c;294?4=90;25799919~d0d290;6?4>90;b>20>92wpm;m50;296?7>90h1;;7<;|yb2d<729096<7>a4842<250;095<7f>3==585r{`4`>5<72;0:5{|i?i1<7>52;3:5d>=??3<7pun6b83>5<5283:m44868:8y~g1k3:1<7<5183be?11101vwl8n:183>7<618h=6:86a:xe3e=83:1>7?61c5933?e3tqj:n4?:181>4?6j10<:4m4}zc5g?6=8381=4?m9;55=a=zsh<2i6sta7a94?6=:3;2=ol577;e?x}f>h0;6=4=:0;2g2<0>h:0qvo9c;294?4=90;h4799a59~d0d290;6?4>90a:>20f92wpm;m50;296?7>9jk1;;o=;|yb2f<729096<7>cc842d550;095<7dk3==m85r{`4b>5<72;0:5{|i?k1<7>52;3:5ac=??kh7pun6`83>5<5283:i?486c28y~g1i3:1<7<5183f2?11j=1vwl8l:183>7<618o<6:8m7:xe3e=83:1>7?61d:933d23tqj:n4?:181>4?6m00<:o84}zc5g?6=8381=4?ja;55f==zshj0;6=4=:0;2b3<0>kk0qvo9c;294?4=90;m;799bc9~d0d290;6?4>90d;>20el2wpm;o50;296?7>:981;;lj;|yb2f<729096<7=02842f750;095<47<3==nk5r{`4`>5<72;0:5?>::64`4>{|i?i1<7>52;3:650=??i97pun6`83>5<52839==486b18y~g1k3:1<7<518025?11k=1vwl8l:183>7<61;;96:8l5:xe3e=83:1>7?6201933e13tqj:n4?:181>4?59=0<:n94}zc5g?6=8381=4<>5;55g==zsh?;577ag?x}f>h0;6=4=:0;16<<0>m;0qvo9c;294?4=9089m799d59~d0d290;6?4>930a>20c:2wpm;m50;296?7>:;i1;;j<;|yb2f<729096<7=2e842a350;095<44?3==h;5r{`4b>5<72;0:5?=l:64gf>{|i?i1<7>52;3:66b=??nn7pun6b83>5<52839?h486ea8y~g1k3:1<7<51800b?11lm1vwl8l:183>7<61;>;6:8kf:xe3g=83:1>7?625c933c73tqj:l4?:181>4?58<577g5?x}f>j0;6=4=:0;116<0>l=0qvo9c;294?4=908>8799e`9~d0f290;6?4>9343>20bj2wpm;m50;296?7>:?;1;;kj;|yb2f<729096<7=63842``50;095<41;3==in5r{`4`>5<72;0:5?8;:64f`>{|i?i1<7>52;3:633=??l;7pun6`83>5<52839;<486g38y~g1i3:1<7<518043?11n>1vwl8n:183>7<61;=o6:8id:xe3g=83:1>7?6291932643tqj:l4?:181>4?5000<;=74}zc5e?6=8381=4<7f;544c=zsh=:?6sta7a94?6=:3;2>4?57631?x}f>j0;6=4=:0;1=7<0?8:0qvo9c;294?4=9082?798109~d0d290;6?4>93;7>216<2wpm;o50;296?7>:h:1;:?:;|yb2d<729096<7=a78434d50;095<4f?3=<=n5r{`4`>5<72;0:5?o7:652`>{|i?i1<7>52;3:6d?=?>;n7pun6b83>5<52839ml4870d8y~g1k3:1<7<5180bf?10:91vwl8n:183>7<61;h<6:9=1:xe3e=83:1>7?62c:932423tqj:n4?:181>4?5j00<;?:4}zc5g?6=8381=4=9?6sta7a94?6=:3;2>om57605?x}f>h0;6=4=:0;1g=<0?;=0qvo9a;294?4=908hi7982e9~d0d290;6?4>93ae>215m2wpm;m50;296?7>:m:1;:50;095<4c:3=5<72;0:5?j<:6506>{|i?k1<7>52;3:6a`=?>987pun6`83>5<52839i84872;8y~g1i3:1<7<5180ff?10;o1vwl8n:183>7<61;l:6:9;5:xe3g=83:1>7?62g59322e3tqj:l4?:181>4?5nm0<;8?4}zc5e?6=8381=4=?3;5412=zsh2>=>h6sta7c94?6=:3;2?=h57640?x}f>h0;6=4=:0;050<0??30qvo9a;294?4=909:n7986g9~d0f290;6?4>9202>210=2wpm;o50;296?7>;;=1;:9m;|yb2d<729096<7<2e843=750;095<54;3=<4:5r{`4b>5<72;0:5>=6:65;`>{|i?k1<7>52;3:76`=?>387pun6`83>5<52838884878;8y~g1i3:1<7<51817f?101o1vwl8n:183>7<61:?:6:9n5:xe3g=83:1>7?6345932ge3tqj:l4?:181>4?4=m0<;o?4}zc5e?6=8381=4=93;54f2=zsh2>=ih6sta7c94?6=:3;2?;h576a0?x}f>h0;6=4=:0;030<0?j30qvo9a;294?4=90992:2>21c=2wpm;o50;296?7>;1=1;:jm;|yb2d<729096<7<8e843`750;095<5>;3=5<72;0:5>76:65f`>{|i?k1<7>52;3:7<`=?>l87pun6`83>5<52838m8487g;8y~g1i3:1<7<5181bf?10no1vwl8n:183>7<61:h:6:6?5:xe3g=83:1>7?63c593=6e3tqj:l4?:181>4?4jm0<42>2:h6sta7c94?6=:3;2?nh57900?x}f>h0;6=4=:0;0`0<00;30qvo9a;294?4=909on7972g9~d0f290;6?4>92g2>2>4=2wpm;o50;296?7>;l=1;5=m;|yb2d<729096<750;095<5a;3=38:5r{`4b>5<72;0:5>h6:6:7`>{|i?k1<7>52;3:7c`=?1?87pun6`83>5<5283?<84884;8y~g1i3:1<7<51863f?1?=o1vwl8n:183>7<61=;:6:695:xe3g=83:1>7?640593=0e3tqj:l4?:181>4?39m0<4:?4}zc5e?6=8381=4:=3;5;32=zsh2>2h0;6=4=:0;770<00130qvo9a;294?4=90>8n7978g9~d0f290;6?4>9562>2>>=2wpm;o50;296?7><==1;57m;|yb2d<729096<7;4e8450;095<22;3=3m:5r{`4b>5<72;0:59;6:6:b`>{|i?k1<7>52;3:00`=?1h87pun6b83>5<5283?:=488c68y~g1k3:1<7<518655?1?j<1vwl8l:183>7<61=<96:6m6:xe3e=83:1>7?647193=d03tqj:n4?:181>4?3>=0<4o64}zc5e?6=8381=4:80;5;f<=zsh2im6sta7a94?6=:3;28:<579`a?x}f>j0;6=4=:0;736<00ki0qvo9c;294?4=90><8797be9~d0d290;6?4>9556>2>em2wpm;o50;296?7><1;1;5li;|yb2d<729096<7;848450;095<2?>3=3o;5r{`4`>5<72;0:5968:6:`0>{|i?i1<7>52;3:0=>=?1i>7pun6b83>5<5283?44488b58y~g1i3:1<7<5186:7?1?k11vwl8l:183>7<61=3?6:6lb:xe3e=83:1>7?648793=e>3tqj:n4?:181>4?31?0<4no4}zc5g?6=8381=4:67;5;gf=zsh2hh6sta7a94?6=:3;28l<579f3?x}f>j0;6=4=:0;7e6<00m;0qvo9c;294?4=90>j8797cd9~d0d290;6?4>95c6>2>dn2wpm;m50;296?7>50;095<2e;3=3h;5r{`4`>5<72;0:59l;:6:g3>{|i?i1<7>52;3:0g3=?1n?7pun6b83>5<5283?n;488e78y~g1k3:1<7<5186a3?1?l11vwl8n:183>7<61=i86:6k9:xe3g=83:1>7?64b;93=ba3tqj:l4?:181>4?3ko0<4h;4}zc5g?6=8381=4:k0;5;a==zsh2n:6sta7a94?6=:3;28i<579g4?x}f>j0;6=4=:0;7`6<00l30qvo9a;294?4=90>oh797e`9~d0d290;6?4>95ff>2>bl2wpm;m50;296?7>50;095<2b93=3ih5r{`4b>5<72;0:59km:6:fb>{|i?i1<7>52;3:0`e=?1l;7pun6b83>5<5283?ii488g38y~g1k3:1<7<5186fa?1?n;1vwl8l:183>7<61=om6:6i3:xe3e=83:1>7?64g293=`33tqj:l4?:181>4?3nj0<4k;4}zc5g?6=8381=4:id;5;b3=zsh2m;6sta7a94?6=:3;28kh579d;?x}f>j0;6=4=:0;645<00o30qvo9c;294?4=90?;=797f`9~d0f290;6?4>942g>2>aj2wpm;m50;296?7>=9o1;5hj;|yb2f<729096<7:0g8450;095<3683=3jn5r{`4`>5<72;0:58?>:6:e`>{|i?i1<7>52;3:144=?0:;7pun6`83>5<5283>=h489138y~g1k3:1<7<51872b?1>8=1vwl8l:183>7<61<8;6:7?5:xe3e=83:1>7?653393<653tqj:n4?:181>4?2:;0<5==4}zc5g?6=8381=4;=3;5:43=zsh3;;6sta7c94?6=:3;29>;5782g?x}f>h0;6=4=:0;67<<018;0qvo9c;294?4=90?8m796139~d0d290;6?4>941a>2?6=2wpm;m50;296?7>=:i1;4?<;|yb2f<729096<7:3e84=4250;095<34m3=2=;5r{`4b>5<72;0:58:n:6;23>{|i?i1<7>52;3:11d=?0;27pun6b83>5<5283>8n4890`8y~g1k3:1<7<51877`?1>911vwl8l:183>7<61<>n6:7>a:xe3e=83:1>7?655d93<7d3tqj:l4?:181>4?2=k0<53:j6sta7a94?6=:3;298k57803?x}f>j0;6=4=:0;61c<01;;0qvo9a;294?4=90?=5796239~d0d290;6?4>944b>2?5;2wpm;m50;296?7>=?h1;4<;;|yb2f<729096<7:6b84=7350;095<31l3=2>;5r{`4b>5<72;0:5898:6;13>{|i?i1<7>52;3:12>=?08j7pun6b83>5<5283>;44893:8y~g1k3:1<7<51874e?1>:01vwl8l:183>7<61<=i6:7=b:xe3g=83:1>7?659793<4d3tqj:n4?:181>4?20?0<5?j4}zc5g?6=8381=4;77;5:6`=zsh?2>39j6sta7a94?6=:3;295757813?x}f>h0;6=4=:0;6=6<01:;0qvo9c;294?4=90?28796339~d0d290;6?4>94;6>2?4;2wpm;m50;296?7>=0<1;4=;;|yb2f<729096<7:9684=6350;095<3f93=2?;5r{`4`>5<72;0:58o=:6;0=>{|i?i1<7>52;3:1d5=?09<7pun6b83>5<5283>m94892:8y~g1k3:1<7<5187b1?1>;h1vwl8l:183>7<617?65c093<5d3tqj:n4?:181>4?2j:0<5>h4}zc5g?6=8381=4;m4;5:05=zsh38h6sta7a94?6=:3;29o85781f?x}f>j0;6=4=:0;6f2<01=;0qvo9a;294?4=90?h?796439~d0d290;6?4>94a7>2?3=2wpm;m50;296?7>=j?1;4:<;|yb2f<729096<7:c784=1250;095<3d?3=28;5r{`4b>5<72;0:58j>:6;73>{|i?i1<7>52;3:1a4=?0>i7pun6b83>5<5283>h>4895c8y~g1k3:1<7<5187g0?1><11vwl8l:183>7<616:7;9:xe3e=83:1>7?65e493<2d3tqj:l4?:181>4?2m;0<59j4}zc5g?6=8381=4;j3;5:15=zsh3?i6sta7a94?6=:3;29h;5786e?x}f>j0;6=4=:0;6a3<01<;0qvo9a;294?4=90?m<796539~d0d290;6?4>94d2>2?2>2wpm;m50;296?7>=o81;4;:;|yb2f<729096<7:f284=0550;095<3a<3=2995r{`4`>5<72;0:58h::6;63>{|i?k1<7>52;3:257=?0?37pun6b83>5<5283==01vwl8l:183>7<61?:?6:7:b:xe3e=83:1>7?661793<3d3tqj:l4?:181>4?18o0<58j4}zc5g?6=8381=48>0;5:25=zsh3==6sta7a94?6=:3;2:<<5787f?x}f>j0;6=4=:0;556<019703>2?1;2wpm;m50;296?7>>;;1;488;|yb2f<729096<792384=3350;095<05;3=2:95r{`4`>5<72;0:5;<;:6;52>{|i?i1<7>52;3:273=?0<37pun6`83>5<4283=?<4897;93<1f3tqj:n4?:181>4?1;;0<5:k4}zc5g?6=8381=48<9;5:2`=zsh3=h6sta7a94?6=:3;2:>:5784b?x}f>j0;6=4=:0;570<01?h0qvo9c;294?4=90<8:7967e9~d0d290;6?4>9714>2?0j2wpm;m50;296?7>>:21;49l;|yb2d<729096<795284=3`50;095<0213=2;85r{`4`>5<72;0:5;;n:6;4<>{|i?i1<7>52;3:20d=?0==7pun6b83>5<5283=9n489658y~g1k3:1<7<51846`?1>?01vwl8n:183>7<61?<<6:770:xe3g=83:1>7?667f93<>13tqj:n4?:181>4?1>l0<5594}zc5g?6=8381=489f;5:<==zsh3356sta7a94?6=:3;2::?578:b?x}f>j0;6=4=:0;537<011h0qvo9a;294?4=90<975e>2?>92wpm;m50;296?7>>1:1;47=;|yb2f<729096<798084=<550;095<0?:3=2595r{`4`>5<72;0:5;6<:6;:1>{|i?k1<7>52;3:2=`=?03=7pun6b83>5<5283=5=489858y~g1k3:1<7<5184:5?1>111vwl8l:183>7<61?396:769:xe3e=83:1>7?6681934?11=0<54l4}zc5e?6=8381=48n0;5:=f=zsh32h6sta7a94?6=:3;2:l<578;f?x}f>j0;6=4=:0;5e6<010l0qvo9c;294?4=9097c6>2?f92wpm;o50;296?7>>k;1;4o=;|yb2d<729086<79b684=d>=11>i7pun6b83>5<5283=n5489`c8y~g1k3:1<7<5184a=???7<61?ho6:7nb:xe3e=83:1>7?66cc9==2c3tqj:n4?:181>4?1jk0249m4}zc5g?6=8381=48mc;;;0`=zsh3jo6sta7a94?6=:3;2:i>578cf?x}f>j0;6=4=:0;5`4<01k:0qvo9c;294?4=90796ae9~d0d290;6?4>97f0>2?fn2wpm;m50;296?7>>m>1;4l>;|yb2d<729096<79e184=g450;095<0b93=2n85r{`4`>5<72;0:5;k=:6;a2>{|i?i1<7>52;3:2`5=?0h87pun6b83>5<5283=i9489c68y~g1k3:1<7<5184f1?1>j>1vwl8n:183>7<61?l:6:7m8:xe3e=83:1>7?66g0933tqj:n4?:181>4?1n:0<5oo4}zc5g?6=8381=48i4;5:fg=zsh3io6sta7a94?6=:3;2:k8578`g?x}f>h0;6=4=:0;447<01ko0qvo9c;294?4=90=;?796bg9~d0d290;6?4>9627>2?d:2wpm;m50;296?7>?9?1;4m?;|yb2f<729096<780784=f750;095<17?3=2o>5r{`4b>5<72;0:5:?<:6;`0>{|i?k1<7>52;3:341=?0i37pun6`83>5<5283<=o489ba8y~g1i3:1<7<51852b?1>l91vwl8n:183>7<61>886:7k4:xe3e=83:1>7?6736934?0:<0<5i;4}zc5g?6=8381=49=6;5:`3=zsh3o46sta7c94?6=:3;2;>?578f:?x}f>j0;6=4=:0;477<01mi0qvo9c;294?4=90=8?796d`9~d0d290;6?4>9617>2?cj2wpm;m50;296?7>?:?1;4jk;|yb2f<729096<783784=ac50;095<13:3=2hk5r{`4`>5<72;0:5::<:6;f6>{|i?i1<7>52;3:312=?0o;7pun6b83>5<5283<88489d38y~g1k3:1<7<518572?1>m:1vwl8l:183>7<61>><6:7j4:xe3g=83:1>7?6741934?0==0<5h64}zc5g?6=8381=49:5;5:a<=zsh3n:6sta7a94?6=:3;2;89578g4?x}f>j0;6=4=:0;41=<01lk0qvo9a;294?4=90==8796ec9~d0d290;6?4>9646>2?bm2wpm;m50;296?7>??<1;4kl;|yb2f<729096<786684=`b50;095<1103=2ik5r{`4`>5<72;0:5:86:6;e4>{|i?k1<7>52;3:323=?0l:7pun6b83>5<5283<;;489g08y~g1k3:1<7<518543?1>n<1vwl8l:183>7<61>=36:7i3:xe3e=83:1>7?676;93<`33tqj:n4?:181>4?0?h0<5k84}zc5e?6=8381=4976;5:b2=zsh02>3mn6sta7a94?6=:3;2;56578db?x}f>j0;6=4=:0;4<<<01o20qvo9c;294?4=90=3m796f89~d0d290;6?4>96:a>2?ak2wpm;o50;296?7>?0=1;4hk;|yb2f<729096<789984e5750;095<1>13=2jh5r{`4`>5<72;0:5:7n:6;eb>{|i?i1<7>52;3:35<5283<5n48a108y~g1i3:1<7<5185b7<61>k26:o?4:xe3e=83:1>7?67`c93d623tqj:n4?:181>4?0ik0k;46sta7c94?6=:3;2;o757`2:?x}f>h0;6=4=:0;4fc<0i9l0qvo9c;294?4=90=h<79n139~d0d290;6?4>96a2>2g682wpm;m50;296?7>?j81;l?>;|yb2f<729096<78c284e4550;095<1dl3=j=95r{`4`>5<72;0:5:mj:6c21>{|i?i1<7>52;3:3f`=?h;37pun6b83>5<52831vwl8l:183>7<61>n96:o>9:xe3g=83:1>7?67eg93d7f3tqj:n4?:181>4?0lo0k:h6sta7a94?6=:3;2;h<57`3f?x}f>j0;6=4=:0;4a6<0i8l0qvo9a;294?4=90=nj79n219~d0d290;6?4>96d3>2g592wpm;m50;296?7>?o;1;l<=;|yb2f<729096<78f384e7550;095<1a;3=j>95r{`4`>5<72;0:5:h;:6c11>{|i?k1<7>52;3:<56=?h8=7pun6b83>5<52833<<48a358y~g1k3:1<7<518:36?1f:11vwl8l:183>7<611:86:o=9:xe3e=83:1>7?681693d4f3tqj:n4?:181>4??8<01;5b6f=zshk9h6sta7a94?6=:3;24<=57`0f?x}f>j0;6=4=:0;;51<0i;l0qvo9c;294?4=902:979n319~d0d290;6?4>9935>2g492wpm;o50;296?7>0;81;l==;|yb2f<729096<772284e6350;095<>5<3=j?>5r{`4`>5<72;0:55<::6c00>{|i?i1<7>52;3:<70=?h9=7pun6`83>5<52833?=48a258y~g1k3:1<7<518:05?1f;11vwl8l:183>7<611996:o<9:xe3e=83:1>7?682193d5f3tqj:n4?:181>4??;=0l4}zc5g?6=8381=46<5;5b7f=zshk8h6sta7a94?6=:3;249<57`63?x}f>j0;6=4=:0;;06<0i:o0qvo9c;294?4=902?879n3g9~d0d290;6?4>9966>2g392wpm;o50;296?7>0=l1;l:=;|yb2f<729096<775184e1550;095<>293=j895r{`4`>5<72;0:55;=:6c71>{|i?i1<7>52;3:<05=?h>=7pun6b83>5<528339948a558y~g1i3:1<7<518:54?1f<11vwl8l:183>7<611<:6:o;9:xe3e=83:1>7?687093d2f3tqj:n4?:181>4??>:0k?h6sta7c94?6=:3;24:?57`6f?x}f>j0;6=4=:0;;37<0i<;0qvo9c;294?4=9029957>2g282wpm;m50;296?7>0>?1;l;=;|yb2d<729096<777g84e0550;095<>?83=j995r{`4`>5<72;0:556>:6c63>{|i?i1<7>52;3:<=4=?h?>7pun6b83>5<528334>48a448y~g1k3:1<7<518:;0?1f=11vwl8n:183>7<6113;6:o:9:xe3g=83:1>7?688493d3a3tqj:l4?:181>4??1j0k=n6sta7a94?6=:3;24l=57`4`?x}f>j0;6=4=:0;;e1<0i?n0qvo9c;294?4=902j979n6d9~d0d290;6?4>99c5>2g1n2wpm;o50;296?7>0k81;l9?;|yb2d<729096<77b684e2350;095<>e03=j;;5r{`4`>5<72;0:55l6:6c4=>{|i?i1<7>52;3:5<52833no48a6:8y~g1k3:1<7<518:ag?1f?h1vwl8n:183>7<611i36:o8b:xe3e=83:1>7?68b;93d1d3tqj:n4?:181>4??kh0kh0;6=4=:0;;`<<0i1;0qvo9c;294?4=902om79n829~d0d290;6?4>99fa>2g?:2wpm;m50;296?7>0mi1;l6;;|yb2f<729096<77de84e=350;095<>b?3=j4;5r{`4`>5<72;0:55k7:6c;<>{|i?i1<7>52;3:<`?=?h2<7pun6b83>5<52833il48a9;8y~g1k3:1<7<518:ff?1f0h1vwl8n:183>7<611l>6:o7b:xe3e=83:1>7?68g493d>b3tqj:n4?:181>4??n>02>k3h6sta7a94?6=:3;24ko57`;3?x}f>h0;6=4=:0;:43<0i0;0qvo9c;294?4=903;;79n939~d0d290;6?4>982;>2g>=2wpm;m50;296?7>1931;l7<;|yb2f<729096<760`84e<250;0955<72;0:54?8:6c:3>{|i?i1<7>52;3:=4>=?h327pun6b83>5<52832=448a8:8y~g1k3:1<7<518;2e?1f1h1vwl8l:183>7<610;i6:o6b:xe3g=83:1>7?693793d?d3tqj:n4?:181>4?>:?04}zc5g?6=8381=47=7;5b=c=zshk2h6sta7a94?6=:3;25?757`;f?x}f>j0;6=4=:0;:6d<0ih;0qvo9a;294?4=9038:79na39~d0d290;6?4>9814>2gf>2wpm;m50;296?7>1:21;lo:;|yb2f<729096<763884ed550;0955<72;0:54=m:6cb3>{|i?k1<7>52;3:=11=?hk37pun6b83>5<528328548a``8y~g1k3:1<7<518;7=?1fij1vwl8l:183>7<610>j6:on9:xe3e=83:1>7?695`93dgf3tqj:n4?:181>4?>2>ki=6sta7a94?6=:3;258o57``1?x}f>j0;6=4=:0;:1g<0ihl0qvo9c;294?4=903>o79nb19~d0d290;6?4>987g>2ge;2wpm;o50;296?7>1?31;ll;;|yb2f<729096<766`84eg150;0955<72;0:548l:6ca1>{|i?i1<7>52;3:=3b=?hh=7pun6b83>5<52832:h48ac;8y~g1i3:1<7<518;4e?1fjh1vwl8l:183>7<610=i6:omd:xe3e=83:1>7?696a93ddb3tqj:n4?:181>4?>?m0kij6sta7c94?6=:3;255l57`a3?x}f>j0;6=4=:0;:98:f>2gd92wpm;m50;296?7>11l1;lm=;|yb2f<729096<769184ef350;095k3=jo;5r{`4`>5<72;0:547k:6c`=>{|i?i1<7>52;3:=5<528325k48ab58y~g1k3:1<7<518;b4?1fk11vwl8l:183>7<610k:6:olb:xe3g=83:1>7?69`f93ded3tqj:l4?:181>4?>j804}zc5g?6=8381=47m2;5b`7=zshko86sta7a94?6=:3;25o:57`f2?x}f>j0;6=4=:0;:f0<0im90qvo9c;294?4=903i:79nd49~d0f290;6?4>98a1>2gc>2wpm;m50;296?7>1j91;lj6;|yb2f<729096<76c584ea150;0955<72;0:54m9:6cge>{|i?k1<7>52;3:=a6=?hni7pun6b83>5<52832h<48aea8y~g1k3:1<7<518;g6?1flo1vwl8l:183>7<610n86:okd:xe3e=83:1>7?69e693dbb3tqj:n4?:181>4?>l<04}zc5e?6=8381=47j1;5ba4=zshkn?6sta7a94?6=:3;25h=57`g6?x}f>j0;6=4=:0;:a1<0il80qvo9c;294?4=903n979ne59~d0d290;6?4>98g5>2gb>2wpm;o50;296?7>1o81;lk8;|yb2d<729096<76f984e`b50;0955<72;0:54hn:6ce5>{|i?i1<7>52;3:=cd=?hom7pun6b83>5<52832jn48ag28y~g1k3:1<7<518;e`?1fn;1vwl8n:183>7<61h:26:oi3:xe3e=83:1>7?6a1c93d`33tqj:n4?:181>4?f8k0km:6sta7a94?6=:3;2m=k57`d;?x}f>h0;6=4=:0;b5d<0io30qvo9c;294?4=90k:n79nf`9~d0d290;6?4>9`3`>2gal2wpm;m50;296?7>i8n1;lhm;|yb2f<729096<7n1d84ece50;0955<72;0:5l{|i?k1<7>52;3:e7`=?k:87pun6`83>5<5283j?>48b158y~g1i3:1<7<518c03?1e8k1vwl8n:183>7<61h9i6:l?f:xe3g=83:1>7?6a2d93g743tqj:l4?:181>4?f<:0h:n6sta7a94?6=:3;2m9857c3:?x}f>j0;6=4=:0;b02<0j8k0qvo9c;294?4=90k?479m1b9~d0f290;6?4>9`77>2d6l2wpm;o50;296?7>i<21;o<>;|yb2d<729096<7n5b84f7350;09545r{`4b>5<72;0:5l8;:6`1`>{|i?k1<7>52;3:e3>=?k9:7pun6`83>5<5283j:n48b278y~g1i3:1<7<518c44?1e;01vwl8n:183>7<61h=?6:l7?6a6:93g263tqj:l4?:181>4?f?j022>h?i6sta7c94?6=:3;2m5o57c70?x}f>h0;6=4=:0;b=5<0j<30qvo9a;294?4=90k2:79m5g9~d0f290;6?4>9`;`>2d1=2wpm;o50;296?7>ih81;o8m;|yb2d<729096<7na984f2750;0955<72;0:5ll;:6`4`>{|i?k1<7>52;3:egg=?k287pun6`83>5<5283jo=48b9;8y~g1i3:1<7<518c`2?1e0o1vwl8n:183>7<61hih6:l65:xe3g=83:1>7?6ae093g?e3tqj:l4?:181>4?fl10hjh6sta7c94?6=:3;2mho57c`0?x}f>h0;6=4=:0;bb5<0jk30qvo9a;294?4=90km:79mbg9~d0f290;6?4>9`d`>2dd=2wpm;o50;296?7>j981;omm;|yb2d<729096<7m0984fa750;0955<72;0:5o?;:6`g`>{|i?k1<7>52;3:f4g=?ko87pun6`83>5<5283i>=48bd;8y~g1i3:1<7<518`12?1emo1vwl8n:183>7<61k8h6:li5:xe3g=83:1>7?6b2093g`e3tqj:l4?:181>4?e;10i;h6sta7c94?6=:3;2n9o57b30?x}f>h0;6=4=:0;a15<0k830qvo9a;294?4=90h>:79l1g9~d0d290;6?4>9c74>2e582wpm;m50;296?7>j<21;n<<;|yb2f<729096<7m5884g7750;095?5r{`4`>5<72;0:5o;m:6a10>{|i?k1<7>52;3:f31=?j8>7pun6b83>5<5283i:548c3:8y~g1k3:1<7<518`5=?1d:01vwl8l:183>7<61k7?6b7`93f403tqj:n4?:181>4?e>j02>i9o6sta7a94?6=:3;2n:o57b0e?x}f>j0;6=4=:0;a3g<0k;n0qvo9c;294?4=90h9c5g>2e482wpm;o50;296?7>j131;n=>;|yb2d<729096<7m8g84g6150;09583=h?l5r{`4`>5<72;0:5o7>:6a0<>{|i?i1<7>52;3:f<4=?j927pun6b83>5<5283i5>48c2`8y~g1i3:1<7<518`:`?1d;j1vwl8n:183>7<61kk86:m;2:xe3g=83:1>7?6b`;93f2?3tqj:l4?:181>4?eio02>i>46sta7c94?6=:3;2noh57b7f?x}f>h0;6=4=:0;ag0<0k?>0qvo9a;294?4=90hhn79l6`9~d0f290;6?4>9cae>2e1m2wpm;m50;296?7>jm:1;n8i;|yb2f<729096<7md084g2650;0955<72;0:5oj<:6a46>{|i?i1<7>52;3:fa2=?j=87pun6`83>5<5283ii=48c668y~g1k3:1<7<518`f5?1d?<1vwl8l:183>7<61ko96:m86:xe3e=83:1>7?6bd193f103tqj:n4?:181>4?em=0ij0;6=4=:0;ab6<0k>i0qvo9c;294?4=90hm879l7e9~d0d290;6?4>9cd6>2e0m2wpm;m50;296?7>jo<1;n9i;|yb2d<729096<7l0384g=650;0955<72;0:5n>;:6a;6>{|i?i1<7>52;3:g53=?j287pun6b83>5<5283h<;48c968y~g1k3:1<7<518a33?1d0<1vwl8n:183>7<61j;86:m76:xe3e=83:1>7?6c0693f>03tqj:n4?:181>4?d9<06;5`<<=zshi3m6sta7a94?6=:3;2o<657b:a?x}f>h0;6=4=:0;`61<0k1i0qvo9c;294?4=90i9979l8e9~d0d290;6?4>9b05>2e?m2wpm;m50;296?7>k;=1;n6i;|yb2f<729096<7l2984g<650;0955<72;0:5n=::6a:6>{|i?i1<7>52;3:g60=?j387pun6b83>5<5283h?:48c868y~g1k3:1<7<518a07<61j926:m66:xe3e=83:1>7?6c2c93f?03tqj:l4?:181>4?di2m6sta7a94?6=:3;2o9757b;a?x}f>j0;6=4=:0;`0d<0k0i0qvo9c;294?4=90i?n79l9e9~d0f290;6?4>9b74>2e>m2wpm;m50;296?7>k<21;n7i;|yb2f<729096<7l5884gd650;0955<72;0:5n;m:6ab6>{|i?i1<7>52;3:g0e=?jk87pun6`83>5<5283h:548c`68y~g1k3:1<7<518a5=?1di<1vwl8l:183>7<61j7?6c7`93fg03tqj:n4?:181>4?d>j02>ijm6sta7a94?6=:3;2o:o57bca?x}f>j0;6=4=:0;`3g<0khi0qvo9c;294?4=90i9b5g>2efm2wpm;m50;296?7>k>o1;noi;|yb2d<729096<7l8`84gg650;0955<72;0:5n6l:6aa6>{|i?i1<7>52;3:g=b=?jh87pun6b83>5<5283h4h48cc68y~g1k3:1<7<518a;b?1dj<1vwl8n:183>7<61j3i6:mm6:xe3e=83:1>7?6c8a93fd03tqj:n4?:181>4?d1m0iim6sta7a94?6=:3;2ol>57b`a?x}f>h0;6=4=:0;`ef<0kki0qvo9c;294?4=90ijh79lbe9~d0d290;6?4>9bcf>2eem2wpm;m50;296?7>khl1;nli;|yb2f<729096<7lb184gf650;0955<72;0:5nlk:6a`6>{|i?i1<7>52;3:ggc=?ji87pun6b83>5<5283hnk48cb68y~g1k3:1<7<518a`4?1dk<1vwl8l:183>7<61ji:6:ml6:xe3e=83:1>7?6cb093fe03tqj:l4?:181>4?dkl0ihm6sta7a94?6=:3;2oi?57baa?x}f>j0;6=4=:0;``7<0kji0qvo9c;294?4=90io?79lce9~d0f290;6?4>9bfe>2edm2wpm;m50;296?7>kl:1;nmi;|yb2f<729096<7le084ga650;0955<72;0:5nk<:6ag6>{|i?i1<7>52;3:g`2=?jn87pun6`83>5<5283hj=48ce68y~g1k3:1<7<518ae5?1dl<1vwl8l:183>7<61jl96:mk6:xe3e=83:1>7?6cg193fb03tqj:n4?:181>4?dn=0iom6sta7a94?6=:3;2h=<57bfa?x}f>j0;6=4=:0;g46<0kmi0qvo9c;294?4=90n;879lde9~d0d290;6?4>9e26>2ecm2wpm;m50;296?7>l9<1;nji;|yb2d<729096<7k1384g`650;0955<72;0:5i?;:6af6>{|i?i1<7>52;3:`43=?jo87pun6b83>5<5283o=;48cd68y~g1k3:1<7<518f23?1dm<1vwl8n:183>7<61m886:mj6:xe3e=83:1>7?6d3693fc03tqj:n4?:181>4?c:<0inm6sta7a94?6=:3;2h?657bga?x}f>h0;6=4=:0;g71<0kli0qvo9c;294?4=90n8979lee9~d0d290;6?4>9e15>2ebm2wpm;m50;296?7>l:=1;nki;|yb2f<729096<7k3984gc650;0955<72;0:5i:::6ae6>{|i?i1<7>52;3:`10=?jl87pun6b83>5<5283o8:48cg68y~g1k3:1<7<518f77<61m>26:mi6:xe3e=83:1>7?6d5c93f`03tqj:l4?:181>4?c=?0imm6sta7a94?6=:3;2h8757bda?x}f>j0;6=4=:0;g1d<0koi0qvo9c;294?4=90n>n79lfe9~d0f290;6?4>9e44>2eam2wpm;m50;296?7>l?21;nhi;|yb2f<729096<7k6884`5650;0955<72;0:5i8m:6f36>{|i?i1<7>52;3:`3e=?m:87pun6`83>5<5283o;548d168y~g1k3:1<7<518f4=?1c8<1vwl8l:183>7<61m=j6:j?6:xe3e=83:1>7?6d6`93a603tqj:n4?:181>4?c?j0>2>n;m6sta7a94?6=:3;2h5o57e2a?x}f>j0;6=4=:0;g9e:g>2b7m2wpm;m50;296?7>l1o1;i>i;|yb2d<729096<7k9`84`4650;095j3=o=<5r{`4`>5<72;0:5i7l:6f26>{|i?i1<7>52;3:`5<5283o5h48d068y~g1k3:1<7<518f:b?1c9<1vwl8n:183>7<61mki6:j>6:xe3e=83:1>7?6d`a93a703tqj:n4?:181>4?cim0n:m6sta7a94?6=:3;2ho>57e3a?x}f>h0;6=4=:0;gff<0l8i0qvo9c;294?4=90nih79k1e9~d0d290;6?4>9e`f>2b6m2wpm;m50;296?7>lkl1;i?i;|yb2f<729096<7kc184`7650;095<5r{`4b>5<72;0:5imk:6f16>{|i?i1<7>52;3:`fc=?m8=7pun6b83>5<5283ook48d378y~g1k3:1<7<518fg4?1c::1vwl8l:183>7<61mn:6:j=4:xe3e=83:1>7?6de093a403tqj:l4?:181>4?cll0n9m6sta7a94?6=:3;2hh?57e0a?x}f>j0;6=4=:0;ga7<0l;i0qvo9a;294?4=90nno79k2e9~d0d290;6?4>9egg>2b482wpm;m50;296?7>llo1;i50;0955<72;0:5ihn:6f06>{|i?k1<7>52;3:a56=?m937pun6b83>5<5283n<<48d2;8y~g1k3:1<7<518g36?1c;h1vwl8l:183>7<61l:86:j7?6e1693a5d3tqj:n4?:181>4?b8<0j4}zc5e?6=8381=4k>1;5g7`=zshn?86sta7c94?6=:3;2ij0;6=4=:0;f5f<0l=k0qvo9c;294?4=90o:h79k489~d0d290;6?4>9d3f>2b3j2wpm;m50;296?7>m8l1;i:l;|yb2d<729096<7j2884`1b50;0955r{`4b>5<72;0:5h=::6f6=>{|i?i1<7>52;3:a60=?m?j7pun6b83>5<5283n?:48d4`8y~g1k3:1<7<518g07<61l926:j:d:xe3e=83:1>7?6e2c93a3b3tqj:l4?:181>4?bn==6sta7a94?6=:3;2i9757e41?x}f>j0;6=4=:0;f0d<0l?90qvo9c;294?4=90o?n79k659~d0f290;6?4>9d74>2b1=2wpm;o50;296?7>m50;0955<72;0:5h;j:6f5g>{|i?i1<7>52;3:a0`=?m5<5283n:=48d7g8y~g1i3:1<7<518g5g?1c>o1vwl8n:183>7<61l=96:j85:xe3g=83:1>7?6e6493a1>3tqj:l4?:181>4?b?h052>n396sta7c94?6=:3;2i5857e::?x}f>h0;6=4=:0;f9d;7>2b>?2wpm;o50;296?7>m031;i7l;|yb2d<729096<7j9e84`d650;0955<72;0:5ho::6fb<>{|i?i1<7>52;3:ad0=?mk27pun6b83>5<5283nm:48d`c8y~g1k3:1<7<518gb7<61lk26:jnc:xe3g=83:1>7?6ec193agc3tqj:n4?:181>4?bj=04}zc5g?6=8381=4km5;5ge`=zshnjj6sta7a94?6=:3;2io957e`2?x}f>h0;6=4=:0;fg4<0lk80qvo9c;294?4=90oh>79kb29~d0d290;6?4>9da0>2be<2wpm;m50;296?7>mj>1;il:;|yb2f<729096<7jc484`g050;0955<72;0:5hj?:6fae>{|i?i1<7>52;3:aa7=?mh37pun6b83>5<5283nh?48dc;8y~g1k3:1<7<518gg7?1cjk1vwl8n:183>7<61lno6:jmc:xe3e=83:1>7?6eeg93ada3tqj:n4?:181>4?blo0nh<6sta7c94?6=:3;2ihl57ea2?x}f>j0;6=4=:0;faf<0lj90qvo9c;294?4=90onh79kc39~d0d290;6?4>9dgf>2bd<2wpm;m50;296?7>mll1;im:;|yb2d<729096<7jf884`f050;0955<72;0:5hhm:6f`e>{|i?i1<7>52;3:ace=?mi<7pun6b83>5<5283nji48db;8y~g1k3:1<7<518gea?1ckk1vwl8n:183>7<61o:j6:jlc:xe3e=83:1>7?6f1`93aec3tqj:n4?:181>4?a8j0no<6sta7a94?6=:3;2j=h57ef2?x}f>h0;6=4=:0;e5g<0lm80qvo9c;294?4=90l:o79kd29~d0d290;6?4>9g3g>2bc<2wpm;m50;296?7>n8o1;ij:;|yb2f<729096<7i1g84`a050;095<`583=oh:5r{`4b>5<72;0:5k{|i?i1<7>52;3:b7b=?mn27pun6b83>5<5283m>h48dec8y~g1k3:1<7<518d1b?1clk1vwl8l:183>7<61o9;6:jkc:xe3e=83:1>7?6f2393abc3tqj:l4?:180>4?a;m0j0;6=4=:0;e7`9g63>=3a02wpm;m50;296?7>n=>1;ik<;|yb2f<729096<7i4084``650;095<`3:3=ohk5r{`4`>5<72;0:5k:<:6ff6>{|i?k1<7>52;3:b0>=?mo?7pun6b83>5<5283m9448dd78y~g1k3:1<7<518d6e?1cm?1vwl8l:183>7<61o?i6:jj7:xe3e=83:1>7?6f4a93ac?3tqj:n4?:181>4?a=m0nni6sta7a94?6=:3;2j;l57egg?x}f>j0;6=4=:0;e2f<0llh0qvo9c;294?4=90l=h79keb9~d0d290;6?4>9g4f>2bbn2wpm;o50;296?7>n>k1;ih?;|yb2f<729096<7i7c84`c250;095<`0k3=oj>5r{`4`>5<72;0:5k9k:6fe5>{|i?i1<7>52;3:b2c=?ml97pun6b83>5<5283m;k48dg78y~g1i3:1<7<518d;f?1cn?1vwl8n:183>7<61o2m6:jia:xe3g=83:1>7?6f8193a`b3tqj:l4?:181>4?a100o;o6sta7a94?6=:3;2jl<57d2e?x}f>j0;6=4=:0;ee6<0m8:0qvo9c;294?4=90lj879j0e9~d0d290;6?4>9gc6>2c7m2wpm;m50;296?7>nh<1;h?>;|yb2d<729096<7ib384a4450;095<`e;3=n=>5r{`4`>5<72;0:5kl;:6g20>{|i?i1<7>52;3:bg3=?l;>7pun6b83>5<5283mn;48e048y~g1k3:1<7<518da3?1b9>1vwl8n:183>7<61oi86:k>8:xe3e=83:1>7?6fb693`7>3tqj:n4?:181>4?ak<0o:o6sta7a94?6=:3;2jn657d3g?x}f>h0;6=4=:0;e`1<0m8o0qvo9a;294?4=90lom79j259~d0d290;6?4>9gfa>2c502wpm;m50;296?7>nmi1;h<:;|yb2f<729096<7ide84a7050;095<`cm3=n>:5r{`4`>5<72;0:5kji:6g1=>{|i?k1<7>52;3:b`d=?l8j7pun6b83>5<5283min48e3`8y~g1k3:1<7<518df`?1b:l1vwl8l:183>7<61oon6:k=c:xe3e=83:1>7?6fdd93`4c3tqj:n4?:181>4?an9050;095<`am3=n?>5r{`4`>5<72:0:5khi:6g00?d5j81vwl8l:183>7<6i9:;6:k<2:xe3e=83:1>7?n01393`523tqj:n4?:181>4g78;0i>o:4}zc5e?6=8391=l>>5;5f7350;095d66?3=n?45r{`4`>5<72:0:m=?7:6g0e?d5k81vwl8l:183>7<6i9;26:k<8:xe3e=83:1>7?n00c93`5e3tqj:n4?:181>4g79k0i>n:4}zc5e?6=8391=l>=e;5f7f50;095d6483=n?k5r{`4`>5<72:0:m==>:6g74?d5l81vwl8l:183>7<6i9996:k7?n02193`263tqj:n4?:181>4g7;=0i>i:4}zc5e?6=8391=l>;7;5f0750;095d6313=n885r{`4`>5<72:0:m=:n:6g72?d5m81vwl8l:183>7<6i9>i6:k;4:xe3e=83:1>7?n05a93`203tqj:n4?:181>4g7h:4}zc5e?6=8391=l>90;5f0=50;095d61:3=n8o5r{`4`>5<72:0:m=8<:6g7g?d5n81vwl8l:183>7<6i97?n07793`2c3tqj:n4?:181>4g7>?0i>k:4}zc5e?6=8391=l>89;5f0`50;095d60j3=n9<5r{`4`>5<72:0:m=9l:6g66?d4881vwl8l:183>7<6i9=o6:k:0:xe3e=83:1>7?n06g93`343tqj:n4?:181>4g7?o0i?=:4}zc5e?6=8391=l>62;5f1150;095d6><3=n9:5r{`4`>5<72:0:m=7::6g67<6i93=6:k:6:xe3e=83:1>7?n08593`3>3tqj:n4?:181>4g7110i?<:4}zc5e?6=8391=l>nb;5f1d50;095d6fl3=n9i5r{`4`>5<72:0:m=oj:6g6a?d4:81vwl8l:183>7<6i9km6:k:c:xe3e=83:1>7?n0c293`3a3tqj:n4?:181>4g7j80i??:4}zc5e?6=8381=l>l4;5f25=zsho=:6sta7c94?6=:3;j57d4`?x}f>h0;6=4=:0c3`3<0m>80qvo9a;294?4=9h:oo79j799~d0f290;6?4>a1g1>2c0m2wpm;o50;296?7f8l21;h6;;|yb2d<72909650;095d6a<3=n5=5r{`4b>5<72;0:m=hn:6g:2>{|i?k1<7>52;3b556=?l3h7pun6`83>5<528k:<;48e`08y~g1i3:1<7<51`33g?1bi11vwl8n:183>7<6i8;96:kne:xe3g=83:1>7?n10:93`d33tqj:l4?:181>4g69l0oh:6sta7c94?6=:3;j=>>57da`?x}f>h0;6=4=:0c273<0mm80qvo9a;294?4=9h;8o79jd99~d0f290;6?4>a061>2ccm2wpm;o50;296?7f9=21;hk;;|yb2d<7290964d84a`g50;095d72<3=nj=5r{`4b>5<72;0:m<;7:6ge0>{|i?k1<7>52;3b50b=?ll27pun6`83>5<528k::>48egd8y~g1i3:1<7<51`353?1a8:1vwl8n:183>7<6i87?n16393c6c3tqj:l4?:181>4g6?>062>l:h6sta7c94?6=:3;j=5957g00?x}f>j0;6=4=:0c2<=<0n;?0qvo9c;294?4=9h;3579i259~d0d290;6?4>a0:b>2`5>2wpm;m50;296?7f91h1;k<8;|yb2f<7290968b84b7>50;095d7>03=m>45r{`4b>5<72;0:m<7j:6d1b>{|i?k1<7>52;3b5d4=?o987pun6`83>5<528k:m;48f258y~g1i3:1<7<51`3be?1a;k1vwl8l:183>7<6i8ki6:h7?n1`a93c5c3tqj:n4?:181>4g6im0k4}zc5g?6=8381=l?ne;5e7c=zshl?<6sta7a94?6=:3;j=o757g62?x}f>j0;6=4=:0c2fd<0n=80qvo9c;294?4=9h;in79i429~d0d290;6?4>a0``>2`3<2wpm;o50;296?7f9j<1;k::;|yb2f<729096c684b1050;095d7d03=m8:5r{`4`>5<72;0:m{|i?i1<7>52;3b5fg=?o>27pun6`83>5<528k:h948f5c8y~g1k3:1<7<51`3g1?1a7<6i8n=6:h;d:xe3e=83:1>7?n1e593c2e3tqj:n4?:181>4g6l10l><6sta7a94?6=:3;j=h857g77?x}f>j0;6=4=:0c2a2<0n<90qvo9c;294?4=9h;n479i509~d0d290;6?4>a0g:>2`2:2wpm;m50;296?7f9lk1;k;:;|yb2d<729096f784b0050;095d7a?3=m9l5r{`4`>5<72;0:m{|i?i1<7>52;3b5c?=?o?<7pun6b83>5<528k:jl48f4:8y~g1k3:1<7<51`3ef?1a=k1vwl8n:183>7<6i;:<6:h:c:xe3e=83:1>7?n21:93c3c3tqj:n4?:181>4g58004}zc5g?6=8381=ll>j6sta7a94?6=:3;j>=m57g42?x}f>h0;6=4=:0c15=<0n?80qvo9c;294?4=9h8:579i629~d0d290;6?4>a33b>2`1>2wpm;m50;296?7f:8h1;k8;;|yb2f<72909650;095d46l3=m::5r{`4b>5<72;0:m?<6:6d5<>{|i?i1<7>52;3b67g=?o5<528k9>o48f7`8y~g1k3:1<7<51`01g?1a>01vwl8l:183>7<6i;8o6:h9a:xe3e=83:1>7?n23g93c0c3tqj:l4?:181>4g5;h0l<=6sta7a94?6=:3;j>>j57g4e?x}f>j0;6=4=:0c17`<0n>:0qvo9c;294?4=9h88j79i729~d0f290;6?4>a36a>2`0<2wpm;m50;296?7f:=i1;k98;|yb2f<72909650;095d43m3=m;85r{`4`>5<72;0:m?:i:6d42>{|i?i1<7>52;3b606=?o=27pun6`83>5<528k99n48f6c8y~g1k3:1<7<51`06`?1a?m1vwl8l:183>7<6i;?n6:h8e:xe3e=83:1>7?n24d93c1e3tqj:n4?:181>4g5>90l3<6sta7a94?6=:3;j>;k57g:7?x}f>j0;6=4=:0c12c<0n190qvo9c;294?4=9h8<<79i809~d0d290;6?4>a352>2`?:2wpm;m50;296?7f:>81;k6:;|yb2d<72909650;095d40n3=m4l5r{`4`>5<72;0:m?6?:6d;=>{|i?i1<7>52;3b6=7=?o2<7pun6b83>5<528k94?48f9:8y~g1k3:1<7<51`0;7?1a0k1vwl8n:183>7<6i;2m6:h7c:xe3e=83:1>7?n28293c>a3tqj:n4?:181>4g5180l2<6sta7c94?6=:3;j>4j57g;2?x}f>j0;6=4=:0c1=`<0n0?0qvo9c;294?4=9h82j79i959~d0d290;6?4>a3c3>2`>:2wpm;m50;296?7f:h;1;k7<;|yb2f<72909650;095d4fm3=m5:5r{`4`>5<72;0:m?oi:6d:f>{|i?i1<7>52;3b6g6=?o3j7pun6b83>5<528k9n<48f8:8y~g1k3:1<7<51`0a6?1a101vwl8l:183>7<6i;h86:h6c:xe3g=83:1>7?n2cd93c?c3tqj:n4?:181>4g5k90l2i6sta7a94?6=:3;j>n=57g;e?x}f>j0;6=4=:0c1g1<0nh80qvo9a;294?4=9h8o<79ia29~d0d290;6?4>a3f2>2`f?2wpm;m50;296?7f:m81;ko9;|yb2f<72909650;095d4c<3=mm85r{`4`>5<72;0:m?j::6db<>{|i?k1<7>52;3b6`7=?ok27pun6b83>5<528k9i?48f`f8y~g1k3:1<7<51`0f7?1aij1vwl8l:183>7<6i;o?6:hna:xe3e=83:1>7?n2d793cge3tqj:n4?:181>4g5m?0li?6sta7a94?6=:3;j>k:57g`1?x}f>j0;6=4=:0c1b0<0nk:0qvo9c;294?4=9h8m:79ib09~d0d290;6?4>a3d4>2`e<2wpm;o50;296?7f;991;kl:;|yb2f<72909650;095d57=3=mn55r{`4`>5<72;0:m>>9:6da2>{|i?i1<7>52;3b751=?oh27pun6b83>5<528k8<548fcc8y~g1i3:1<7<51`120?1ajk1vwl8l:183>7<6i:;>6:hmc:xe3e=83:1>7?n30493cdc3tqj:n4?:181>4g49>08;5efc=zsh2>lh<6sta7c94?6=:3;j??;57ga2?x}f>j0;6=4=:0c063<0nj80qvo9c;294?4=9h99;79ic29~d0d290;6?4>a20;>2`d<2wpm;m50;296?7f;;31;km:;|yb2f<72909650;095d54>3=mo:5r{`4b>5<72;0:m>=n:6d`f>{|i?i1<7>52;3b76d=?oim7pun6b83>5<528k8?n48fbg8y~g1k3:1<7<51`10`?1akj1vwl8l:183>7<6i:9n6:hld:xe3e=83:1>7?n32d93cb73tqj:l4?:181>4g4lon6sta7c94?6=:3;j?8o57gg3?x}f>h0;6=4=:0c01c<0nl?0qvo9a;294?4=9h9=879ie`9~d0f290;6?4>a24:>2`bn2wpm;o50;296?7f;?o1;kh;;|yb2d<72909650;095d5003=mjh5r{`4b>5<72;0:m>9k:9237>{|i?k1<7>52;3b7=4=09:37pun6`83>5<528k84:4701f8y~g1i3:1<7<51`1;g?>79;1vwl8n:183>7<6i:3:65>>7:xe3g=83:1>7?n3849<57d3tqj:l4?:181>4g41k03h0;6=4=:0c0eca2`7>=64k2wpm;m50;296?7f;k?14==n;|yb2f<72909650;095d5e?32;?i5r{`4b>5<72;0:m>m>:920a>{|i?i1<7>52;3b7f4=09>:7pun6b83>5<528k8o>4702d8y~g1k3:1<7<51`1`0?>7<91vwl8l:183>7<6i:i>65>;2:xe3g=83:1>7?n3bd9<5243tqj:n4?:181>4g4l903<984}zc5g?6=8381=l=k1;:301=zshh0;6=4=:0c0`aa2fe>=6312wpm;m50;296?7f;l:14=:n;|yb2f<72909650;095d5bj32;8i5r{`4`>5<72;0:m>kl:9264>{|i?i1<7>52;3b7`b=09>n7pun6b83>5<528k8ih4705d8y~g1k3:1<7<51`1fb?>7=81vwl8n:183>7<6i:l265>:2:xe3e=83:1>7?n3gc9<5323tqj:n4?:181>4g4nk03<8=4}zc5g?6=8381=l=ic;:311=zsh:6sta7c94?6=:3;j8=958174?x}f>j0;6=4=:0c74=;576?599~d0d290;6?4>a52b>=6212wpm;m50;296?7f<9h14=;m;|yb2d<72909650;095d26>32;9k5r{`4`>5<72;0:m9?8:926`>{|i?i1<7>52;3b04>=09?n7pun6b83>5<528k?=4470728y~g1i3:1<7<51`617?>7>81vwl8l:183>7<6i=8?65>94:xe3e=83:1>7?n4379<5053tqj:n4?:181>4g3:?03<;=4}zc5g?6=8381=l:=7;:320=zsh<5814:?x}f>j0;6=4=:0c7768876?699~d0d290;6?4>a516>=61i2wpm;o50;296?7f<:l14=8m;|yb2f<72909650;095d23932;:n5r{`4`>5<72;0:m9:=:925`>{|i?i1<7>52;3b015=095<528k?8i470628y~g1k3:1<7<51`67a?>7?:1vwl8l:183>7<6i=>m65>81:xe3e=83:1>7?n4429<5153tqj:n4?:181>4g3=803<::4}zc5e?6=8381=l::b;:330=zshj0;6=4=:0c71`=0qvo9c;294?4=9h>>j76?789~d0f290;6?4>a54:>=60i2wpm;m50;296?7f50;095d21k32;;n5r{`4`>5<72;0:m98k:924a>{|i?k1<7>52;3b021=09=m7pun6b83>5<528k?;5470908y~g1k3:1<7<51`64=?>7091vwl8l:183>7<6i==j65>71:xe3e=83:1>7?n46`9<5>43tqj:l4?:181>4g30<03<5:4}zc5g?6=8381=l:76;:3<2=zsh021:396sta7a94?6=:3;j856581:5?x}f>j0;6=4=:0c7<<2?76?889~d0d290;6?4>a5;7>=6?k2wpm;m50;296?7f<0?14=6n;|yb2f<72909650;095d2>?32;4i5r{`4b>5<72;0:m9o>:92;a>{|i?i1<7>52;3b0d4=093:7pun6b83>5<528k?m>4709d8y~g1k3:1<7<51`6b0?>7191vwl8l:183>7<6i=k>65>62:xe3g=83:1>7?n4`d9<5?43tqj:n4?:181>4g3j903<484}zc5g?6=8381=l:m1;:3=1=zshh0;6=4=:0c7faii76?9c9~d0d290;6?4>a5`e>=6>12wpm;m50;296?7f50;095d2dj32;5i5r{`4`>5<72;0:m9ml:92b4>{|i?i1<7>52;3b0fb=093n7pun6b83>5<528k?oh4708d8y~g1k3:1<7<51`6`b?>7i81vwl8n:183>7<6i=n265>n2:xe3e=83:1>7?n4ec9<5g23tqj:n4?:181>4g3lk03j0;6=4=:0c7a=n576?a99~d0d290;6?4>a5gb>=6f12wpm;m50;296?7f50;095d2a>32;mk5r{`4`>5<72;0:m9h8:92b`>{|i?i1<7>52;3b0c>=09kn7pun6b83>5<528k?j4470c28y~g1i3:1<7<51`737?>7j81vwl8l:183>7<6i<:?65>m4:xe3e=83:1>7?n5179<5d53tqj:n4?:181>4g28?03j0;6=4=:0c656a436>=6ei2wpm;o50;296?7f=8l14=lm;|yb2f<72909650;095d35932;nn5r{`4`>5<72;0:m8<=:92a`>{|i?i1<7>52;3b175=09hm7pun6`83>5<528k>>i470b28y~g1k3:1<7<51`71a?>7k:1vwl8l:183>7<6i<8m65>l1:xe3e=83:1>7?n5229<5e53tqj:n4?:181>4g2;803j581a5?x}f>j0;6=4=:0c67`a46:>=6di2wpm;m50;296?7f==k14=mk;|yb2f<72909650;095d33k32;on5r{`4`>5<72;0:m8:k:92`a>{|i?k1<7>52;3b101=09im7pun6b83>5<528k>95470e08y~g1k3:1<7<51`76=?>7l91vwl8l:183>7<6ik1:xe3e=83:1>7?n54`9<5b43tqj:l4?:181>4g2><03j0;6=4=:0c631a455>=6b<2wpm;m50;296?7f=>=14=k9;|yb2d<72909650;095d3?=32;io5r{`4b>5<72;0:m86m:92e5>{|i?k1<7>52;3b1=`=09l>7pun6`83>5<528k>5>470g;8y~g1k3:1<7<51`7:0?>7nj1vwl8l:183>7<6i<3>65>ia:xe3e=83:1>7?n5849<5`e3tqj:n4?:181>4g21>03j0;6=4=:0c6e1019~d0d290;6?4>a4c5>=77;2wpm;o50;296?7f=k814<>;;|yb2f<72909650;095d3e<32:<;5r{`4`>5<72;0:m8l::9331>{|i?i1<7>52;3b1g0=08:37pun6b83>5<528k>n:4711;8y~g1i3:1<7<51`7`7?>68h1vwl8l:183>7<6i7?n5b79<46b3tqj:n4?:181>4g2k?03==l4}zc5g?6=8381=l;l7;:24a=zshh0;6=4=:0c6`d1b9~d0d290;6?4>a4g2>=76n2wpm;m50;296?7f=l81450;095d3b<32:>=5r{`4`>5<72;0:m8k::9315>{|i?k1<7>52;3b1c7=08897pun6b83>5<528k>j?471318y~g1k3:1<7<51`7e7?>6:=1vwl8l:183>7<6i7?n5g79<4413tqj:n4?:181>4g2n?03=?94}zc5e?6=8381=l8?2;:26==zshj0;6=4=:0c5402b9~d0f290;6?4>a733>=75l2wpm;o50;296?7f>8<14<=<;|yb2f<72909650;095d06032:?85r{`4`>5<72;0:m;?6:9302>{|i?i1<7>52;3b24g=089<7pun6b83>5<528k==o4712:8y~g1i3:1<7<51`413?>6;01vwl8n:183>7<6i?8o65?7?n6239<4243tqj:l4?:181>4g1;<03=994}zc5e?6=8381=l8<9;:20g=zsh=6sta7c94?6=:3;j:9=58076?x}f>h0;6=4=:0c5025e9~d0f290;6?4>a76e>=7192wpm;o50;296?7f><914<8:;|yb2d<72909650;095d02j32::i5r{`4b>5<72;0:m;;i:9345>{|i?k1<7>52;3b235=08=>7pun6b83>5<528k=:94716:8y~g1k3:1<7<51`451?>6??1vwl8l:183>7<6i?<=65?87:xe3e=83:1>7?n6759<41>3tqj:l4?:181>4g1?803=:o4}zc5e?6=8381=l885;:23`=zsh21;3>6sta7c94?6=:3;j::h580:;?x}f>h0;6=4=:0c5<0939~d0f290;6?4>a7:g>=7>>2wpm;o50;296?7f>0;14<7n;|yb2d<72909650;095d0>132:m?5r{`4b>5<72;0:m;7k:93b2>{|i?k1<7>52;3b2d7=08kj7pun6`83>5<528k=m8471`g8y~g1i3:1<7<51`4b=?>6j;1vwl8n:183>7<6i?ko65?m6:xe3g=83:1>7?n6c39<4df3tqj:l4?:181>4g1j<03=ok4}zc5e?6=8381=l8m9;:2g7=zshh0;6=4=:0c5g0d39~d0d290;6?4>a7ab>=7c=2wpm;m50;296?7f>jh1450;095d0dl32:h;5r{`4b>5<72;0:m;j8:93g3>{|i?i1<7>52;3b2a>=08nj7pun6b83>5<528k=h4471e:8y~g1k3:1<7<51`4ge?>6l01vwl8l:183>7<6i?ni65?kb:xe3g=83:1>7?n6d79<4bd3tqj:n4?:181>4g1m?03=ih4}zc5g?6=8381=l8j7;:2`a=zshh0;6=4=:0c5b6e59~d0d290;6?4>a7d6>=7b:2wpm;m50;296?7f>o<1450;095d17932:i;5r{`4`>5<72;0:m:>=:93f=>{|i?i1<7>52;3b355=08o<7pun6b83>5<528k<<9471d:8y~g1k3:1<7<51`531?>6mh1vwl8n:183>7<6i>:m65?jb:xe3e=83:1>7?n7029<4cb3tqj:n4?:181>4g09803=hm4}zc5g?6=8381=l9>2;:2aa=zshj0;6=4=:0c45`f39~d0d290;6?4>a603>=7a;2wpm;m50;296?7f?;;1450;095d15k32:j55r{`4`>5<72;0:m:{|i?i1<7>52;3b37c=08l<7pun6b83>5<528k<>k471g;8y~g1i3:1<7<51`50=?>6nh1vwl8l:183>7<6i>9j65?ib:xe3e=83:1>7?n72`9<4`d3tqj:n4?:181>4g0;j03=kj4}zc5g?6=8381=l9j0;6=4=:0c40<a66a>=47;2wpm;o50;296?7f?;;|yb2f<72909650;095d12?329<85r{`4`>5<72;0:m:;7:9032>{|i?i1<7>52;3b30?=0;:37pun6b83>5<528k<9l4721;8y~g1i3:1<7:51`552?>58h0hik:5cdd4?x}f>j0;6=4=:0c422a64:>fca12wpm;m50;296?7f??n14?>i;|yb2f<72909650;095d11j3295<72;0:m:8l:903`>{|i?k1<7>52;3b3=7=0;;;7pun6b83>5<528k<4?472038y~g1k3:1<7<51`5;7?>59;1vwl8l:183>7<6i>2?65<>3:xe3e=83:1>7?n7979<7733tqj:n4?:181>4g00?03><;4}zc5e?6=8381=l962;:153=zshj0;6=4=:0c4=0a6;4>=46j2wpm;o50;296?7f?h914??l;|yb2d<72909650;095d1fj329>95r{`4`>5<72;0:m:ol:9013>{|i?i1<7>52;3b3db=0;8>7pun6b83>5<528k5:11vwl8n:183>7<6i>h265<=9:xe3e=83:1>7?n7cc9<74c3tqj:n4?:181>4g0jk03>?o4}zc5g?6=8381=l9mc;:16g=zshh0;6=4=:0c4gda6f7>=4412wpm;m50;296?7f?m?14?=l;|yb2f<72909650;095d1c?329?o5r{`4`>5<72;0:m:j7:900`>{|i?k1<7>52;3b3`4=0;9n7pun6b83>5<528k4722d8y~g1k3:1<7<51`5f0?>5<91vwl8l:183>7<6i>o>65<;1:xe3e=83:1>7?n7d49<7253tqj:n4?:181>4g0m>03>9=4}zc5e?6=8381=l9i3;:101=zshj0;6=4=:0c4b3a6d;>=4312wpm;o50;296?7f09>14?:n;|yb2d<72909650;095d>7j3299>5r{`4`>5<72;0:m5>l:9065>{|i?i1<7>52;3b<5b=0;?97pun6b83>5<528k35=<1vwl8l:183>7<6i1;265<:8:xe3e=83:1>7?n80c9<73>3tqj:n4?:181>4g?9k03>884}zc5g?6=8381=l6>c;:112=zshm6sta7c94?6=:3;j4?75837a?x}f>j0;6=4=:0c;6da90`>=42l2wpm;m50;296?7f0;n14?;i;|yb2d<72909650;095d>4l329:;5r{`4`>5<72;0:m5=j:905=>{|i?i1<7>52;3b<6`=0;<<7pun6b83>5<528k38=4727:8y~g1k3:1<7<51`:75?>5>h1vwl8n:183>7<6i1>i65<9b:xe3e=83:1>7?n85a9<70b3tqj:n4?:181>4g?;h4}zc5g?6=8381=l6;e;:12f=zsh58353?x}f>h0;6=4=:0c;1f;0qvo9c;294?4=9h2>h76=759~d0d290;6?4>a97f>=40:2wpm;m50;296?7f050;095d>1i329;;5r{`4b>5<72;0:m59?:904g>{|i?i1<7>52;3b<27=0;=m7pun6b83>5<528k3;?4726f8y~g1k3:1<7<51`:47?>5?l1vwl8l:183>7<6i1=?65<70:xe3g=83:1>7?n86g9<7>63tqj:n4?:181>4g??o03>5:4}zc5g?6=8381=l670;:1<0=zsh62183>6sta7a94?6=:3;j45<583:0?x}f>j0;6=4=:0c;<6a9;3>=4?i2wpm;m50;296?7f00;14?67;|yb2f<72909650;095d>>;3294o5r{`4b>5<72;0:m57k:90;g>{|i?k1<7>52;3b5<528k3m9472878y~g1k3:1<7<51`:b1?>51:1vwl8l:183>7<6i1k=65<64:xe3e=83:1>7?n8`59<7?13tqj:l4?:181>4g?j803>494}zc5g?6=8381=l6m2;:1=d=zshj0;6=4=:0c;f0a9a1>=4>l2wpm;m50;296?7f0j914?o?;|yb2f<72909650;095d>d=3295k5r{`4`>5<72;0:m5m9:90b5>{|i?k1<7>52;3b5<528k3h;472`:8y~g1k3:1<7<51`:g3?>5ik1vwl8l:183>7<6i1n3657?n8e;9<7gf3tqj:n4?:181>4g?lh03>lm4}zc5e?6=8381=l6j4;:1ea=zshj0;6=4=:0c;a2a9g:>=4e:2wpm;o50;296?7f0o?14?l<;|yb2f<72909650;095d>a?329n95r{`4`>5<72;0:m5h7:90a1>{|i?i1<7>52;3b5<528k2<>472c:8y~g1i3:1<7<51`;3=?>5jl1vwl8l:183>7<6i0:j657?n91`9<7da3tqj:n4?:181>4g>8j03>n>4}zc5g?6=8381=l7?d;:1g7=zshj0;6=4=:0c:5<a83a>=4d=2wpm;m50;296?7f18i14?m7;|yb2d<72909650;095d?51329on5r{`4`>5<72;0:m4{|i?i1<7>52;3b=7d=0;ii7pun6b83>5<528k2>n472bf8y~g1i3:1<7<51`;02?>5kl1vwl8n:183>7<6i09h657?n92f9<7b03tqj:n4?:181>4g>;l03>i;4}zc5g?6=8381=l7j0;6=4=:0c:0ga86g>=4ci2wpm;m50;296?7f1=o14?jm;|yb2f<72909650;095d?2j329hk5r{`4`>5<72;0:m4;l:90f6>{|i?i1<7>52;3b=0b=0;o;7pun6b83>5<528k29h472d38y~g1k3:1<7<51`;6b?>5m:1vwl8n:183>7<6i0<2657?n97d9<7cf3tqj:n4?:181>4g>?903>hj4}zc5g?6=8381=l781;:1ag=zshh0;6=4=:0c:3aa85e>=4a;2wpm;m50;296?7f11:14?h?;|yb2f<72909650;095d??:329j95r{`4b>5<72;0:m46j:90e1>{|i?i1<7>52;3b==`=0;l37pun6b83>5<528k25=472g48y~g1k3:1<7<51`;:5?>5n>1vwl8l:183>7<6i039657?n98a9<7`f3tqj:l4?:181>4g>i;03?=>4}zc5g?6=8381=l7n3;:046=zshj0;6=4=:0c:e30qvo9a;294?4=9h3i<76<049~d0d290;6?4>a8`2>=5702wpm;m50;296?7f1k814>>6;|yb2f<72909650;095d?e<328<:5r{`4`>5<72;0:m4l::913e>{|i?k1<7>52;3b=f7=0::i7pun6b83>5<528k2o?4731g8y~g1k3:1<7<51`;`7?>48j1vwl8l:183>7<6i0i?65=?d:xe3e=83:1>7?n9b79<66a3tqj:l4?:181>4g>ko03?<>4}zc5e?6=8381=l7k5;:053=zshj0;6=4=:0c:`=a8g0>=56j2wpm;m50;296?7f1l>14>?j;|yb2f<72909650;095d?b>328=n5r{`4`>5<72;0:m4k8:912`>{|i?i1<7>52;3b=`>=0:8;7pun6`83>5<528k2j9473338y~g1k3:1<7<51`;e1?>4:=1vwl8l:183>7<6i0l=65==2:xe3e=83:1>7?n9g59<6443tqj:n4?:181>4g>n103??;4}zc5e?6=8381=lo?2;:063=zshj0;6=4=:0cb4da`2`>=5482wpm;o50;296?7fi8<14>=>;|yb2f<72909650;095dg60328?85r{`4`>5<72;0:ml?6:9106>{|i?i1<7>52;3be4g=0:987pun6b83>5<528kj=o473248y~g1i3:1<7<51`c13?>4;>1vwl8l:183>7<6ih8365=7?na3;9<65?3tqj:n4?:181>4gf:h03?>74}zc5g?6=8381=lo=b;:07g=zshl58261?x}f>j0;6=4=:0cb7fa`1f>=53<2wpm;m50;296?7fi:l14>:9;|yb2d<72909650;095dg3i3288l5r{`4`>5<72;0:ml:m:917f>{|i?i1<7>52;3be1e=0:>37pun6b83>5<528kj8i4735;8y~g1k3:1<7<51`c7a?>47<6ih?j65=;d:xe3e=83:1>7?na4`9<6373tqj:n4?:181>4gf=j03?9k4}zc5g?6=8381=lo:d;:00c=zsh=6sta7c94?6=:3;jm;658271?x}f>h0;6=4=:0cb2`a`53>=5212wpm;m50;296?7fi>;14>;n;|yb2f<72909650;095dg0k3289i5r{`4`>5<72;0:ml9k:9154>{|i?i1<7>52;3be2c=0:<:7pun6b83>5<528kj;k4734g8y~g1k3:1<7<51`c;4?>4=o1vwl8l:183>7<6ih2:65=92:xe3g=83:1>7?na9f9<6043tqj:n4?:181>4gf0l03?;84}zc5g?6=8381=lo7f;:021=zshh0;6=4=:0cb=ga`c1>=5092wpm;m50;296?7fih914>8i;|yb2f<72909650;095dgf=328;?5r{`4b>5<72;0:mloi:9147>{|i?i1<7>52;3beg6=0:==7pun6b83>5<528kjn<473658y~g1k3:1<7<51`ca6?>4?=1vwl8l:183>7<6ihh865=85:xe3e=83:1>7?nac69<61?3tqj:l4?:181>4gfk903?:74}zc5g?6=8381=lol1;:03f=zshj0;6=4=:0cbg1n0qvo9a;294?4=9hkhi76<7d9~d0f290;6?4>a`f7>=5?<2wpm;m50;296?7fim?14>68;|yb2f<72909650;095dgc?3284;5r{`4`>5<72;0:mlj7:91;<>{|i?k1<7>52;3be`4=0:227pun6b83>5<528kji>4739a8y~g1k3:1<7<51`cf0?>40m1vwl8l:183>7<6iho>65=7a:xe3e=83:1>7?nad49<6>e3tqj:n4?:181>4gfm>03?5k4}zc5e?6=8381=loi3;:06sta7a94?6=:3;jmk;582;3?x}f>j0;6=4=:0cbb3ac22>=5><2wpm;o50;296?7fj9=14>7n;|yb2f<72909650;095dd713285o5r{`4`>5<72;0:mo>n:91:g>{|i?i1<7>52;3bf5d=0:3n7pun6`83>5<528ki=84738d8y~g1k3:1<7<51``22?>4i;1vwl8l:183>7<6ik;<65=n3:xe3e=83:1>7?nb0:9<6g73tqj:n4?:181>4ge9003?l?4}zc5g?6=8381=ll>a;:0e1=zshj0;6=4=:0ca6=ac0b>=5f12wpm;o50;296?7fj:>14>on;|yb2d<72909650;095dd4j328n>5r{`4`>5<72;0:mo=l:91a5>{|i?i1<7>52;3bf6b=0:h97pun6b83>5<528ki?h473c68y~g1i3:1<7<51``74j<1vwl8l:183>7<6ik>265=m8:xe3e=83:1>7?nb5c9<6d>3tqj:n4?:181>4gej0;6=4=:0ca1dn76ac7`>=5el2wpm;m50;296?7fjli;|yb2d<72909650;095dd1l328o;5r{`4`>5<72;0:mo8j:91`=>{|i?i1<7>52;3bf3`=0:i<7pun6b83>5<528ki;=473b:8y~g1k3:1<7<51``45?>4kh1vwl8n:183>7<6ik=i65=lb:xe3e=83:1>7?nb6a9<6eb3tqj:n4?:181>4ge?m03?nh4}zc5g?6=8381=ll8e;:0gf=zsh582f3?x}f>h0;6=4=:0caac:f>=5c:2wpm;m50;296?7fj1l14>j<;|yb2f<72909650;095dd>i328h;5r{`4b>5<72;0:moo?:91gg>{|i?i1<7>52;3bfd7=0:nm7pun6b83>5<528kim?473ef8y~g1k3:1<7<51``b7?>4ll1vwl8l:183>7<6ikk?65=j0:xe3g=83:1>7?nb`g9<6c63tqj:n4?:181>4geio03?h:4}zc5g?6=8381=llm0;:0a0=zsh6sta7a94?6=:3;jno<582g0?x}f>j0;6=4=:0caf6aca3>=5bi2wpm;m50;296?7fjj;14>k7;|yb2f<72909650;095ddd;328io5r{`4b>5<72;0:momk:91fg>{|i?k1<7>52;3bfa5=0:l97pun6b83>5<528kih9473g78y~g1k3:1<7<51``g1?>4n:1vwl8l:183>7<6ikn=65=i4:xe3e=83:1>7?nbe59<6`13tqj:l4?:181>4gem803?k94}zc5g?6=8381=llj2;:0bd=zshj0;6=4=:0caa0acd1>=5al2wpm;m50;296?7fjo9149>?;|yb2f<72909650;095dda=328jk5r{`4`>5<72;0:moh9:9635>{|i?k1<7>52;3bg56=0=:97pun6`83>5<528kh<;4741:8y~g1k3:1<7<51`a33?>38k1vwl8l:183>7<6ij:365:?9:xe3e=83:1>7?nc1;9<16f3tqj:n4?:181>4gd8h038=m4}zc5e?6=8381=lm>4;:74a=zsh:<6sta7a94?6=:3;jo<858532?x}f>j0;6=4=:0c`52ab3:>=26:2wpm;o50;296?7fk;?149?<;|yb2f<72909650;095de5?32?=95r{`4`>5<72;0:mn<7:9621>{|i?i1<7>52;3bg7?=0=;<7pun6`83>5<528kh?>4740:8y~g1i3:1<7<51`a0=?>39l1vwl8l:183>7<6ij9j65:=1:xe3e=83:1>7?nc2`9<17a3tqj:n4?:181>4gd;j038?>4}zc5g?6=8381=lm9?6sta7a94?6=:3;jo9658505?x}f>j0;6=4=:0c`0<ab6a>=25=2wpm;m50;296?7fk=i149<7;|yb2d<72909650;095de2132?>n5r{`4`>5<72;0:mn;n:961e>{|i?i1<7>52;3bg0d=0=8i7pun6b83>5<528kh9n4743f8y~g1i3:1<7<51`a52?>3:l1vwl8n:183>7<6ij7?nc7f9<1503tqj:n4?:181>4gd>l038>;4}zc5g?6=8381=lm9f;:773=zsh846sta7c94?6=:3;jo:o5851:?x}f>j0;6=4=:0c`3gab5g>=24i2wpm;m50;296?7fk>o149=m;|yb2f<72909650;095de?j32??k5r{`4`>5<72;0:mn6l:9676>{|i?i1<7>52;3bg=b=0=>;7pun6b83>5<528kh4h474538y~g1k3:1<7<51`a;b?>3<:1vwl8n:183>7<6ij3265:;4:xe3g=83:1>7?nc8d9<12f3tqj:n4?:181>4gdi90389j4}zc5g?6=8381=lmn1;:70g=zsh?o6sta7a94?6=:3;jol=5856f?x}f>h0;6=4=:0c`eaabce>=22;2wpm;m50;296?7fkk:149;?;|yb2f<72909650;095dee:32?995r{`4b>5<72;0:mnlj:9661>{|i?i1<7>52;3bgg`=0=?37pun6b83>5<528kho=474448y~g1k3:1<7<51`a`5?>3=>1vwl8l:183>7<6iji965::9:xe3g=83:1>7?ncba9<13f3tqj:l4?:181>4gdl;038;>4}zc5g?6=8381=lmk3;:726=zsh==6sta7a94?6=:3;joi;58541?x}f>j0;6=4=:0c``30qvo9a;294?4=9hin<76;649~d0d290;6?4>abg2>=2102wpm;m50;296?7fkl814986;|yb2f<72909650;095deb<32?::5r{`4`>5<72;0:mnk::965e>{|i?k1<7>52;3bgc7=0=5<528khj?4747g8y~g1k3:1<7<51`ae7?>3>j1vwl8l:183>7<6ijl?65:9d:xe3e=83:1>7?ncg79<10a3tqj:l4?:181>4gdno038:>4}zc5e?6=8381=lj?5;:733=zsh<56sta7a94?6=:3;jh=958554?x}f>j0;6=4=:0cg4=20qvo9c;294?4=9hn;576;7`9~d0f290;6?4>ae30>=20j2wpm;m50;296?7fl8>1499j;|yb2f<72909650;095db6>32?;n5r{`4`>5<72;0:mi?8:964`>{|i?i1<7>52;3b`4>=0=2;7pun6`83>5<528ko>9474938y~g1k3:1<7<51`f11?>30=1vwl8l:183>7<6im8=65:72:xe3e=83:1>7?nd359<1>43tqj:n4?:181>4gc:10385;4}zc5e?6=8381=lj<2;:7<3=zsh3o6sta7a94?6=:3;jh>7585:e?x}f>j0;6=4=:0cg7dae1`>=2>82wpm;o50;296?7fl=<1497>;|yb2f<72909650;095db3032?585r{`4`>5<72;0:mi:6:96:6>{|i?i1<7>52;3b`1g=0=387pun6b83>5<528ko8o474848y~g1i3:1<7<51`f63?>31>1vwl8l:183>7<6im?365:6a:xe3e=83:1>7?nd4;9<1??3tqj:n4?:181>4gc=h038474}zc5g?6=8381=lj:b;:7=g=zsh2o6sta7c94?6=:3;jh;l585c1?x}f>j0;6=4=:0cg2fae4f>=2f<2wpm;m50;296?7fl?l149o9;|yb2d<72909650;095db0i32?ml5r{`4`>5<72;0:mi9m:96bf>{|i?i1<7>52;3b`2e=0=k37pun6b83>5<528ko;i474`;8y~g1k3:1<7<51`f4a?>3ij1vwl8n:183>7<6im2j65:nd:xe3e=83:1>7?nd9`9<1d73tqj:n4?:181>4gc0j038lk4}zc5g?6=8381=lj7d;:7ec=zshb21>i=6sta7c94?6=:3;jh46585`1?x}f>h0;6=4=:0cg=`aec3>=2e12wpm;m50;296?7flh;149ln;|yb2f<72909650;095dbfk32?ni5r{`4`>5<72;0:miok:96`4>{|i?i1<7>52;3b`dc=0=i:7pun6b83>5<528komk474cg8y~g1k3:1<7<51`fa4?>3jo1vwl8l:183>7<6imh:65:l2:xe3g=83:1>7?ndcf9<1e43tqj:n4?:181>4gcjl038n84}zc5g?6=8381=ljmf;:7g1=zshh96sta7a94?6=:3;jhn?585a4?x}f>h0;6=4=:0cgggaef1>=2c92wpm;m50;296?7flm9149mi;|yb2f<72909650;095dbc=32?h?5r{`4b>5<72;0:miji:96g7>{|i?i1<7>52;3b``6=0=n=7pun6b83>5<528koi<474e58y~g1k3:1<7<51`ff6?>3l=1vwl8l:183>7<6imo865:k5:xe3e=83:1>7?ndd69<1b?3tqj:l4?:181>4gcn9038i74}zc5g?6=8381=lji1;:7`f=zshom6sta7a94?6=:3;jhk=585fa?x}f>j0;6=4=:0cgb1ad27>=2b<2wpm;m50;296?7fm9?149k8;|yb2f<72909650;095dc7?32?i;5r{`4`>5<72;0:mh>7:96f<>{|i?k1<7>52;3ba44=0=o27pun6b83>5<528kn=>474da8y~g1k3:1<7<51`g20?>3mm1vwl8l:183>7<6il;>65:ja:xe3e=83:1>7?ne049<1ce3tqj:n4?:181>4gb9>038hk4}zc5e?6=8381=lk=3;:7ac=zshm>6sta7a94?6=:3;ji?;585d3?x}f>j0;6=4=:0cf63ad12>=2a<2wpm;o50;296?7fm:=149hn;|yb2f<72909650;095dc4132?jo5r{`4`>5<72;0:mh=n:96eg>{|i?i1<7>52;3ba6d=0=ln7pun6`83>5<528kn88474gd8y~g1k3:1<7<51`g72?>28;1vwl8l:183>7<6il><65;?3:xe3e=83:1>7?ne5:9<0673tqj:n4?:181>4gb<0039=?4}zc5g?6=8381=lk;a;:641=zshj0;6=4=:0cf1=576:069~d0d290;6?4>ad7b>=3712wpm;o50;296?7fm?>148>n;|yb2d<72909650;095dc1j32>=>5r{`4`>5<72;0:mh8l:9725>{|i?i1<7>52;3ba3b=0<;97pun6b83>5<528kn:h475068y~g1i3:1<7<51`g429<1vwl8l:183>7<6il=265;>8:xe3e=83:1>7?ne6c9<07>3tqj:n4?:181>4gb?k039<84}zc5g?6=8381=lk8c;:652=zshj0;6=4=:0cfad:`>=36l2wpm;m50;296?7fm1n148?i;|yb2d<72909650;095dc>l32>>;5r{`4`>5<72;0:mh7j:971=>{|i?i1<7>52;3ba<`=0<8<7pun6b83>5<528knm=4753:8y~g1k3:1<7<51`gb5?>2:h1vwl8n:183>7<6ilki65;=b:xe3e=83:1>7?ne`a9<04b3tqj:n4?:181>4gbim039?h4}zc5g?6=8381=lkne;:66f=zsh58413?x}f>h0;6=4=:0cfffad`f>=34:2wpm;m50;296?7fmkl148=<;|yb2f<72909650;095dcdi32>?;5r{`4b>5<72;0:mhj?:970g>{|i?i1<7>52;3baa7=0<9m7pun6b83>5<528knh?4752f8y~g1k3:1<7<51`gg7?>2;l1vwl8l:183>7<6iln?65;;0:xe3g=83:1>7?neeg9<0263tqj:n4?:181>4gblo0399:4}zc5g?6=8381=lkj0;:600=zsh6sta7a94?6=:3;jih<58460?x}f>j0;6=4=:0cfa6add3>=33i2wpm;m50;296?7fmo;148:7;|yb2f<72909650;095dca;32>8o5r{`4b>5<72;0:mhhk:977g>{|i?k1<7>52;3bb55=05<528km<9475478y~g1k3:1<7<51`d31?>2=:1vwl8l:183>7<6io:=65;:4:xe3e=83:1>7?nf159<0313tqj:l4?:181>4ga98039894}zc5g?6=8381=lh>2;:61d=zshn6sta7a94?6=:3;jj<:5847;?x}f>j0;6=4=:0ce50ag01>=32l2wpm;m50;296?7fn;91488?;|yb2f<72909650;095d`5=32>9k5r{`4`>5<72;0:mk<9:9755>{|i?k1<7>52;3bb66=0<<97pun6`83>5<528km?;4757:8y~g1k3:1<7<51`d03?>2>k1vwl8l:183>7<6io9365;99:xe3e=83:1>7?nf2;9<00f3tqj:n4?:181>4ga;h039;m4}zc5e?6=8381=lh;4;:62a=zshj0;6=4=:0ce02ag6:>=30:2wpm;o50;296?7fn50;095d`2?32>;95r{`4`>5<72;0:mk;7:9741>{|i?i1<7>52;3bb0?=0<=<7pun6`83>5<528km:>4756:8y~g1i3:1<7<51`d5=?>2?l1vwl8l:183>7<6io7?nf7`9<01a3tqj:n4?:181>4ga>j0395>4}zc5g?6=8381=lh9d;:6<7=zshj0;6=4=:0ce3<ag5a>=3?=2wpm;m50;296?7fn>i14867;|yb2d<72909650;095d`?132>4n5r{`4`>5<72;0:mk6n:97;e>{|i?i1<7>52;3bb=d=0<2i7pun6b83>5<528km4n4759f8y~g1i3:1<7<51`d:2?>20l1vwl8n:183>7<6io3h65;64:xe3g=83:1>7?nf`29<0??3tqj:l4?:181>4gai=0394m4}zc5e?6=8381=lhn8;:6e5=zsh584c;?x}f>h0;6=4=:0cef14>ag``>=3e<3km==5r{`4`>5<72;0:mklk:97a1>{|i?i1<7>52;3bbgc=05<528kmo<475c58y~g1k3:1<7<51`dab?ga981vwl8l:183>7<6ioi;6lh>2:xe3e=83:1>7?nfb09ec733tqj:l4?:181>4gal:039o64}zc5e?6=8381=lhk9;:6f`=zshj0;6=4=:0cea3agg;>=3dl2wpm;m50;296?7fnl3148mj;|yb2f<72909650;095d`a>32>h=5r{`4`>5<72;0:mkh8:97g5>{|i?i1<7>52;3bbc>=05<528kmj4475e18y~g1k3:1<7<51`dee?>2l=1vwl8l:183>7<6ioli65;k5:xe3g=83:1>7?m0159<0b13tqj:n4?:181>4d781039i94}zc5g?6=8381=o>?9;:6`==zshj0;6=4=:0`34fb13:>=3cl2wpm;m50;296?7e88k148jj;|yb2f<72909650;095g66k32>i=5r{`4`>5<72;0:n=?k:97f5>{|i?k1<7>52;3a47?=05<528h;>l475d78y~g1k3:1<7<51c21f?>2m:1vwl8l:183>7<6j98h65;j4:xe3e=83:1>7?m03f9<0c13tqj:l4?:181>4d7;>039h94}zc5g?6=8381=o><8;:6a==zsh21?n56sta7a94?6=:3;i<>o584gb?x}f>j0;6=4=:0`37gb165>=3bn2wpm;m50;296?7e8==148kk;|yb2f<72909650;095g63132>j=5r{`4b>5<72;0:n=;<:97e5>{|i?i1<7>52;3a402=05<528h;98475g08y~g1k3:1<7<51c262?>2n:1vwl8l:183>7<6j9?<65;i5:xe3g=83:1>7?m0739<0`e3tqj:n4?:181>4d7>;039km4}zc5g?6=8381=o>93;:6bc=zshj0;6=4=:0`3239:0qvo9a;294?4=9k:<>769009~d0d290;6?4>b150>=07:2wpm;m50;296?7e8>>14;><;|yb2f<72909650;095g60>32=<85r{`4`>5<72;0:n=98:9432>{|i?k1<7>52;3a4=5=0?:<7pun6b83>5<528h;494761`8y~g1k3:1<7<51c2;1?>18h1vwl8l:183>7<6j92=658?8:xe3e=83:1>7?m0959<36>3tqj:n4?:181>4d70103:=m4}zc5e?6=8381=o>64;:54a=zshj0;6=4=:0`3=29o0qvo9c;294?4=9k:247690g9~d0d290;6?4>b1;:>=06:2wpm;o50;296?7e8h?14;?<;|yb2f<72909650;095g6f?32==;5r{`4`>5<72;0:n=o7:9420>{|i?i1<7>52;3a4d?=0?;>7pun6b83>5<528h;ml4760:8y~g1i3:1<7<51c2a2?>1901vwl8l:183>7<6j9h<658>d:xe3e=83:1>7?m0c:9<37d3tqj:n4?:181>4d7j003:ma;:55g=zshj0;6=4=:0`3g=;90qvo9c;294?4=9k:h5769239~d0d290;6?4>b1ab>=0582wpm;m50;296?7e8jh14;<>;|yb2f<72909650;095g6c032=>85r{`4`>5<72;0:n=j6:941=>{|i?i1<7>52;3a4ag=0?837pun6b83>5<528h;ho476348y~g1k3:1<7<51c2gg?>1:>1vwl8l:183>7<6j9no658=a:xe3g=83:1>7?m0d;9<34e3tqj:n4?:181>4d7mh03:?h4}zc5g?6=8381=o>jb;:56`=zshj0;6=4=:0`3a`::0qvo9a;294?4=9k:mm769309~d0d290;6?4>b1da>=04=2wpm;m50;296?7e8oi14;=;;|yb2f<72909650;095g6am32=?>5r{`4`>5<72;0:n=hi:9402>{|i?k1<7>52;3a55d=0?9<7pun6b83>5<528h:1;h1vwl8l:183>7<6j8:n658<8:xe3e=83:1>7?m11d9<35>3tqj:n4?:181>4d69903:>m4}zc5e?6=8381=o?>c;:57a=zshj0;6=4=:0`25c:o0qvo9c;294?4=9k;9<7693g9~d0d290;6?4>b002>=03:2wpm;o50;296?7e9;n14;:<;|yb2f<7290962d8;21150;095g75n32=8;5r{`4`>5<72;0:n<=?:9470>{|i?i1<7>52;3a567=0?>>7pun6b83>5<528h:??4765:8y~g1i3:1<7<51c30a?>1<01vwl8l:183>7<6j89m658;d:xe3e=83:1>7?m1529<32d3tqj:n4?:181>4d6<803:9o4}zc5g?6=8381=o?;2;:50g=zshj0;6=4=:0`215<90qvo9c;294?4=9k;>=769539~d0d290;6?4>b071>=0282wpm;m50;296?7e9<914;;>;|yb2f<729096558;20250;095g71832=985r{`4`>5<72;0:n<8>:946=>{|i?i1<7>52;3a534=0??37pun6b83>5<528h::>476448y~g1k3:1<7<51c350?>1=>1vwl8l:183>7<6j8<>658:a:xe3g=83:1>7?m1639<33e3tqj:n4?:181>4d6?;03:8h4}zc5g?6=8381=o?83;:51`=zsho6sta7a94?6=:3;i=:;5877g?x}f>j0;6=4=:0`233?:0qvo9a;294?4=9k;3>769609~d0d290;6?4>b0:0>=01=2wpm;m50;296?7e91>14;8;;|yb2f<729096848;23450;095g7?>32=:>5r{`4`>5<72;0:n<68:9452>{|i?k1<7>52;3a5<5=0?<<7pun6b83>5<528h:594767`8y~g1k3:1<7<51c3:1?>1>h1vwl8l:183>7<6j83=65898:xe3e=83:1>7?m1859<30>3tqj:n4?:181>4d61103:;m4}zc5e?6=8381=o?n4;:52a=zshj0;6=4=:0`2e2?o0qvo9c;294?4=9k;j47696g9~d0d290;6?4>b0c:>=00:2wpm;o50;296?7e9k?14;9<;|yb2f<729096b78;22150;095g7e?32=;;5r{`4`>5<72;0:n{|i?i1<7>52;3a5g?=0?=>7pun6b83>5<528h:nl4766:8y~g1i3:1<7<51c3`2?>1?01vwl8l:183>7<6j8i<6588d:xe3e=83:1>7?m1b:9<31d3tqj:n4?:181>4d6k003::o4}zc5g?6=8381=o?la;:53g=zshj0;6=4=:0`2`=190qvo9c;294?4=9k;o5769839~d0d290;6?4>b0fb>=0?82wpm;m50;296?7e9mh14;6>;|yb2f<729096db8;2=250;095g7b032=485r{`4`>5<72;0:n{|i?i1<7>52;3a5`g=0?237pun6b83>5<528h:io476948y~g1k3:1<7<51c3fg?>10>1vwl8l:183>7<6j8oo6587a:xe3g=83:1>7?m1g;9<3>e3tqj:n4?:181>4d6nh03:5h4}zc5g?6=8381=o?ib;:5<`=zshj0;6=4=:0`2b`0:0qvo9a;294?2=9k8;m769908``71=km8j7pun6b83>5<528h911?1vwl8l:183>7<6j;:h65864:xe3e=83:1>7?m21f9<3?53tqj:n4?:181>4d58l03:4=4}zc5g?6=8381=oh0;6=4=:0`16f0=0qvo9c;294?4=9k89h7699`9~d0d290;6?4>b30f>=0>02wpm;m50;296?7e:;l14;76;|yb2f<72909650;095g44i32=5n5r{`4b>5<72;0:n?=j:94b4>{|i?k1<7>52;3a612=0?k=7pun6`83>5<528h984476``8y~g1i3:1<7<51c07a?>1j91vwl8l:183>7<6j;>m658m3:xe3e=83:1>7?m2429<3d63tqj:n4?:181>4d5=803:o<4}zc5g?6=8381=o<:2;:5f1=zsh;<587`a?x}f>h0;6=4=:0`12=j;0qvo9a;294?4=9k8=h769c79~d0f290;6?4>b351>=0dj2wpm;o50;296?7e:>214;j>;|yb2d<72909650;095g4?:32=ho5r{`4b>5<72;0:n?67:94f5>{|i?k1<7>52;3a6=c=0?o<7pun6`83>5<528h95?476d`8y~g1i3:1<7<51c0:3?>1n91vwl8n:183>7<6j;3h658i5:xe3g=83:1>7?m2`39<3`f3tqj:l4?:181>4d5i?03:kh4}zc5e?6=8381=oo;5862f?x}f>h0;6=4=:0`1fdb3a7>=16l2wpm;o50;296?7e:j314:<=;|yb2d<72909650;095g4c;32<>n5r{`4b>5<72;0:n?j7:9505>{|i?k1<7>52;3a6ab=0>9=7pun6`83>5<528h9i?4772`8y~g1k3:1<7<51c0f7?>0;l1vwl8l:183>7<6j;o?6597?m2d79<25d3tqj:n4?:181>4d5m?03;>h4}zc5g?6=8381=ok:58661?x}f>j0;6=4=:0`1b0b3d4>=13=2wpm;m50;296?7e:o214::9;|yb2d<72909650;095g57=32<855r{`4`>5<72;0:n>>9:957=>{|i?i1<7>52;3a751=0>>j7pun6b83>5<528h8<54775`8y~g1k3:1<7<51c13=?>07<6j:;>659;d:xe3g=83:1>7?m30`9<2343tqj:l4?:181>4d4:803;874}zc5e?6=8381=o==7;:41c=zsh=5864a?x}f>h0;6=4=:0`07<;0qvo9a;294?4=9k98j768769~d0f290;6?4>b266>=10l2wpm;o50;296?7e;=h14:6<;|yb2d<72909650;095g52?32<4k5r{`4b>5<72;0:n>;k:95:1>{|i?k1<7>52;3a735=0>3i7pun6`83>5<528h8:4477`38y~g1k3:1<7<51c15e?>0i;1vwl8l:183>7<6j:7?m37a9<2g33tqj:n4?:181>4d4>m03;l;4}zc5g?6=8381=o=9e;:4e3=zshj0;6=4=:0`03fb25f>=1fj2wpm;m50;296?7e;>l14:ol;|yb2d<72909650;595g5>932477c59<7mlbe8`gf650;695g5>:324d41:03;o;4}zc5g?6=8381=o=6a;:4f3=zshj0;6=4=:0`0=0b2;g>=?e?2wpm;m50;296?7e;0<144l:;|yb2f<72909650;095g5>0322n95r{`4`>5<72;0:n>76:ba`5>{|i?k1<7>52;3a7f?=0>hi7pun6b83>5<528h8ol477cf8y~g1k3:1<7<51c1`f?>0jj1vwl8l:183>7<6j:ih659me:xe3e=83:1>7?m3bf9<2da3tqj:l4?:181>4d4l>03;n>4}zc5e?6=8381=o=kd;:4g3=zshh0;6=4=:0`0acb2da>=1b<2wpm;o50;296?7e<9;14:kn;|yb2d<72909650;095g27l325<72;0:n9?<:95eg>{|i?k1<7>52;3a04?=01:97pun6`83>5<528h?=k4781:8y~g1i3:1<7<51c611?>?8l1vwl8n:183>7<6j=8i656>4:xe3g=83:1>7?m4239<=7f3tqj:l4?:181>4d3;?034h0;6=4=:0`70d?j767399~d0f290;6?4>b577>=>4l2wpm;o50;296?7e<<3145:=;|yb2d<72909650;095g2193238l5r{`4b>5<72;0:n988:9:64>{|i?k1<7>52;3a03b=01?=7pun6`83>5<528h?;<4784c8y~g1i3:1<7<51c643?>?>91vwl8n:183>7<6j==o65696:xe3g=83:1>7?m4939<=0f3tqj:l4?:181>4d30>034:>4}zc5e?6=8381=o:7d;:;33=zshh0;6=4=:0`7=aj=7678`9~d0f290;6?4>b5c4>=>>82wpm;o50;296?7e50;095g2e?323m=5r{`4b>5<72;0:n9lk:9:b2>{|i?k1<7>52;3a0f7=01kj7pun6`83>5<528h?o:478c28y~g1i3:1<7<51c6``?>?j?1vwl8n:183>7<6j=n:656ma:xe3g=83:1>7?m4e59<=e73tqj:l4?:181>4d3lm034n84}zc5e?6=8381=o:j1;:;gd=zshh0;6=4=:0`7b4m;767e19~d0f290;6?4>b5dg>=>b>2wpm;o50;296?7e=99145kl;|yb2d<72909650;095g37m323j:5r{`4b>5<72;0:n8?<:9:eg>{|i?k1<7>52;3a14>=00::7pun6`83>5<528h>=i479148y~g1i3:1<7<51c716?>>8k1vwl8n:183>7<6j<8<657>0:xe3g=83:1>7?m53`9<<733tqj:l4?:181>4d2;8035h5880;?x}f>h0;6=4=:0`600b46e>=?402wpm;m50;296?7e=<:144=6;|yb2f<72909650;095g32:322?o5r{`4`>5<72;0:n8;<:9;0g>{|i?i1<7>52;3a102=009o7pun6`83>5<528h>:=4792g8y~g1k3:1<7<51c755?>>;o1vwl8l:183>7<6j<<9657;0:xe3e=83:1>7?m5719<<263tqj:n4?:181>4d2>=0359<4}zc5g?6=8381=o;95;::06=zshj0;6=4=:0`636b456>=?302wpm;m50;296?7e=><144:6;|yb2d<72909650;095g3?;3228o5r{`4`>5<72;0:n86;:9;7g>{|i?i1<7>52;3a1=3=00>o7pun6b83>5<528h>4;4795g8y~g1k3:1<7<51c7;3?>>7<6j<38657:0:xe3e=83:1>7?m5869<<343tqj:n4?:181>4d21<0358:4}zc5g?6=8381=o;66;::14=zsh>6sta7a94?6=:3;i94658876?x}f>h0;6=4=:0`6e1b4c5>=?2i2wpm;m50;296?7e=h=144;8;|yb2f<72909650;095g3f13229o5r{`4b>5<72;0:n8l::9;6g>{|i?i1<7>52;3a1g0=00?m7pun6b83>5<528h>n:479728y~g1k3:1<7<51c7a>=m1vwl8l:183>7<6j7?m5cc9<<063tqj:l4?:181>4d2k?035;<4}zc5g?6=8381=o;l7;::20=zshj0;6=4=:0`6gd0qvo9c;294?4=9k?hn766669~d0f290;6?4>b4f4>=?102wpm;m50;296?7e=m21448m;|yb2f<72909650;095g3ci322:45r{`4`>5<72;0:n8jm:9;5e>{|i?i1<7>52;3a1ae=005<528h>i54797g8y~g1k3:1<7<51c7f=?>>?81vwl8l:183>7<6j7?m5d`9<<0a3tqj:n4?:181>4d2mj035:>4}zc5g?6=8381=o;jd;::36=zsh213<86sta7a94?6=:3;i9ko58854?x}f>j0;6=4=:0`6bg20qvo9c;294?4=9k?mo766749~d0d290;6?4>b4dg>=?0>2wpm;m50;296?7e=oo14496;|yb2d<72909650;095g07j322;i5r{`4`>5<72;0:n;>l:9;4a>{|i?i1<7>52;3a25b=00=i7pun6b83>5<528h=>?o1vwl8n:183>7<6j?;i65770:xe3e=83:1>7?m60a9<<>43tqj:n4?:181>4d19m0355:4}zc5g?6=8381=o8>e;::<4=zsh6sta7a94?6=:3;i:?>588:6?x}f>h0;6=4=:0`56fb70f>=??i2wpm;m50;296?7e>;l14468;|yb2f<72909650;095g0493224o5r{`4b>5<72;0:n;=k:9;;g>{|i?i1<7>52;3a26c=002m7pun6b83>5<528h=?k479828y~g1k3:1<7<51c474?>>0m1vwl8l:183>7<6j?>:6577e:xe3e=83:1>7?m6509<4d1j0;6=4=:0`5170qvo9c;294?4=9k<>?766969~d0f290;6?4>b77e>=?>02wpm;m50;296?7e>?:1447m;|yb2f<72909650;095g01:322545r{`4`>5<72;0:n;8<:9;:e>{|i?i1<7>52;3a232=003o7pun6`83>5<528h=;=4798g8y~g1k3:1<7<51c445?>>i81vwl8l:183>7<6j?=9657n2:xe3e=83:1>7?m6619<4d1?=035l>4}zc5g?6=8381=o885;::e6=zsh6213j86sta7a94?6=:3;i:5<588c4?x}f>j0;6=4=:0`5<6b7:6>=?f>2wpm;m50;296?7e>1<144o6;|yb2d<72909650;095g0>>322n55r{`4b>5<72;0:n;7l:9;aa>{|i?k1<7>52;3a2d7=00i87pun6`83>5<528h=m;479b:8y~g1i3:1<7<51c4bf?>>km1vwl8n:183>7<6j?h:657k3:xe3g=83:1>7?m6c79<4d1j0035il4}zc5e?6=8381=o8md;::`c=zshj0;6=4=:0`5g3b7a;>=?bi2wpm;m50;296?7e>j3144km;|yb2d<72909650;095g0c0322j<5r{`4`>5<72;0:n;j6:9;e0>{|i?i1<7>52;3a2ag=00l>7pun6b83>5<528h=ho479g08y~g1k3:1<7<51c4gg?>>n:1vwl8l:183>7<6j?no657i6:xe3g=83:1>7?m6d;9<<`03tqj:n4?:181>4d1mh035ko4}zc5g?6=8381=o8jb;::bg=zshj0;6=4=:0`5a`b7da>=g782wpm;m50;296?7e>oi14l>>;|yb2f<72909650;095g0am322jk5r{`4`>5<72;0:n;hi:9c36>{|i?k1<7>52;3a35d=0h:87pun6b83>5<528h<f8>1vwl8l:183>7<6j>:n65o?4:xe3e=83:1>7?m71d94d09903m=64}zc5e?6=8381=o9>c;:b4<=zshj0;6=4=:0`45cb602>=g7m2wpm;o50;296?7e?;n14l>i;|yb2f<72909650;095g15n32j=>5r{`4`>5<72;0:n:=?:9c24>{|i?i1<7>52;3a367=0h;:7pun6b83>5<528hf9<1vwl8l:183>7<6j>9m65o>8:xe3e=83:1>7?m75293tqj:n4?:181>4d0<803m<84}zc5g?6=8381=o9;2;:b52=zshj0;6=4=:0`415=76n1g9~d0d290;6?4>b671>=g6k2wpm;m50;296?7e?<914l?k;|yb2f<72909650;095g11832j><5r{`4`>5<72;0:n:8>:9c10>{|i?i1<7>52;3a334=0h8>7pun6b83>5<528h<:>47a308y~g1k3:1<7<51c550?>f::1vwl8l:183>7<6j><>65o=6:xe3g=83:1>7?m76394d0?;03m?o4}zc5g?6=8381=o983;:b6g=zshj0;6=4=:0`43376n2e9~d0d290;6?4>b6:0>=g482wpm;m50;296?7e?1>14l=>;|yb2f<72909650;095g1?>32j>k5r{`4`>5<72;0:n:68:9c06>{|i?k1<7>52;3a3<5=0h987pun6b83>5<528h<5947a248y~g1k3:1<7<51c5:1?>f;>1vwl8l:183>7<6j>3=65o<4:xe3e=83:1>7?m78594d01103m>64}zc5e?6=8381=o9n4;:b7<=zshj0;6=4=:0`4e2b6c:>=g4m2wpm;o50;296?7e?k?14l=i;|yb2f<72909650;095g1e?32j8?5r{`4`>5<72;0:n:l7:9c75>{|i?i1<7>52;3a3g?=0h>;7pun6b83>5<528hf<<1vwl8n:183>7<6j>ii65o;a:xe3g=83:1>7?m7e394d0l;03m8?4}zc5g?6=8381=o9k3;:b17=zsh?6sta7a94?6=:3;i;i;58`77?x}f>j0;6=4=:0`4`376n579~d0d290;6?4>b6g0>=g2?2wpm;m50;296?7e?l>14l;7;|yb2f<72909650;095g1b>32j9l5r{`4`>5<72;0:n:k8:9c6f>{|i?k1<7>52;3a3c5=0h?h7pun6`83>5<528hf>?1vwl8l:183>7<6j>ln65o99:xe3e=83:1>7?m7gd94d?8903m;64}zc5g?6=8381=o6?1;:b2d=zshj0;6=4=:0`;4ab92e>=g1m2wpm;m50;296?7e08:14l9?;|yb2d<72909650;095g>5:32j;:5r{`4b>5<72;0:n5<7:9c4`>{|i?k1<7>52;3a<7c=0h287pun6`83>5<528h3?947a9;8y~g1i3:1<7<51c:0e?>f0o1vwl8n:183>7<6j1>;65o65:xe3g=83:1>7?m85494d?h0;6=4=:0`;21b945>=gek2wpm;m50;296?7e0?=14llm;|yb2f<72909650;095g>1132jnh5r{`4b>5<72;0:n59::9cab>{|i?k1<7>52;3a<2d=0hi>7pun6`83>5<528h34<47ab`8y~g1i3:1<7=51c:;3?>fl80j5kj4}zc5g?6=8381=o678;:b`6=zsh>21ko>6sta7a94?6=:3;i45k58`f5?x}f>j0;6=4=:0`;0qvo9c;294?4=9k23n7o6fd9~d0d290;6?4>b9:`>d?an2wpm;m50;296?7e01n1ml>?;|yb2d<72909650;095g>f032jhi5r{`4`>5<72;0:n5o6:9cga>{|i?i1<7>52;3a5<528h3mo47ad28y~g1k3:1<7<51c:bg?>fm81vwl8l:183>7<6j1ko65oj2:xe3g=83:1>7?m8c;94d?jh03mh94}zc5g?6=8381=o6mb;:ba1=zshj0;6=4=:0`;f`b9f3>=gbn2wpm;o50;296?7e0m>14lh<;|yb2d<72909650;195g>b832jjk4na1:8y~g1k3:1<7<51c:f5?>e881vwl8l:183>7<6j1o965l?0:xe3e=83:1>7?m8d594d?m:03n=<4}zc5g?6=8381=o6j4;cb4<=zshh0;6=4=:0`;bgb821>=d7k2wpm;m50;296?7e19914o>k;|yb2f<72909650;095g?7=32i5<72;0:n4>9:9`24>{|i?k1<7>52;3a=44=0k;:7pun6b83>5<528h2=>47b008y~g1k3:1<7<51c;20?>e9:1vwl8l:183>7<6j0;>65l>4:xe3e=83:1>7?m90494d>9>03n<84}zc5e?6=8381=o7=3;:a52=zshj0;6=4=:0`:63b80;>=d6k2wpm;o50;296?7e1:>14o?k;|yb2d<72909650;095g?4m32i>:5r{`4b>5<72;0:n4:;:9`1`>{|i?k1<7>53;3a=1g=0k986lo>3:xe3e=83:1>7?m95`94d>:4}zc5g?6=8381=o7:1;:a7==zshj0;6=4=:0`:0c<7on179~d0f290;6?4>b846>=d412wpm;o50;296?7e1?h14o=i;|yb2f<72909650;095g?1l32i8<5r{`4`>5<72;0:n48j:9`76>{|i?i1<7>52;3a=3`=0k>87pun6b83>5<528h2;=47b568y~g1i3:1<7<51c;4g?>e<<1vwl8l:183>7<6j0=o65l;6:xe3e=83:1>7?m96g94d>?o03n964}zc5g?6=8381=o770;:a0<=zsh621h?m6sta7c94?6=:3;i55j58c6a?x}f>j0;6=4=:0`:<`b8;3>=d3m2wpm;m50;296?7e10;14o:i;|yb2f<72909650;095g?>m32i9<5r{`4b>5<72;0:n4o;:9`63>{|i?k1<7>52;3a=d>=0k?i7pun6`83>5<528h2mh47b738y~g1k3:1<7<51c;bb?>e>;1vwl8l:183>7<6j0h;65l95:xe3e=83:1>7?m9c394d>j;03n;=4}zc5g?6=8381=o7m3;:a23=zsh58c4;?x}f>j0;6=4=:0`:g476m6`9~d0d290;6?4>b8a0>=d112wpm;m50;296?7e1j>14o8l;|yb2d<72909650;095g?c932i:h5r{`4`>5<72;0:n4j=:9`45>{|i?i1<7>52;3a=a5=0k=;7pun6b83>5<528h2h947b7d8y~g1k3:1<7<51c;g1?>e?;1vwl8n:183>7<6j0o:65l83:xe3e=83:1>7?m9d094d>m:03n:94}zc5g?6=8381=o7j4;:a33=zshh0;6=4=:0`:b730qvo9a;294?4=9k3m476m7g9~d0d290;6?4>b8d:>=d?82wpm;m50;296?7e1ok14o6<;|yb2f<72909650;095g?ak32i4?5r{`4`>5<72;0:n4hk:9`;0>{|i?k1<7>52;3ae5?=0k2>7pun6b83>5<528hje001vwl8l:183>7<6jh:h65l78:xe3e=83:1>7?ma1f903tqj:n4?:181>4df8l03n5o4}zc5e?6=8381=oo>a;:aj0;6=4=:0`b5ab`3e>=d>82wpm;o50;296?7ei;h14o7>;|yb2f<72909650;095gg5l32i585r{`4`>5<72;0:nl{|i?i1<7>52;3ae7`=0k387pun6b83>5<528hj?=47b848y~g1i3:1<7<51cc0g?>e1>1vwl8l:183>7<6jh9o65l68:xe3e=83:1>7?ma2g93tqj:n4?:181>4df;o03n4o4}zc5g?6=8381=oo;0;:a=g=zshj0;6=4=:0`b0`b`73>=d>n2wpm;m50;296?7ei<;14oo?;|yb2f<72909650;195gg2m32im:47b`18y~g1k3:1<7<51cc6b?>ei=1vwl8l:183>7<6jh<;65ln5:xe3e=83:1>7?ma7394df>=03nlm4}zc5g?6=8381=oo92;:aed=zshj0;6=4=:0`b32b`5:>=de82wpm;m50;296?7ei>k14ol>;|yb2f<72909650;095gg??32in>5r{`4`>5<72;0:nl67:9`a0>{|i?i1<7>52;3ae=?=0kh<7pun6b83>5<528hj4l47bc78y~g1k3:1<7<51cc;f?>ej?1vwl8l:183>7<6jh2h65lm8:xe3g=83:187?ma8:92m:h;7j?c`9~d0d290;6?4>b`;:>a6dj2wpm;m50;296?7ei0k1h=ml;|yb2f<72909650;095gg>m32inh5r{`4`>5<72;0:nl7l:9`ag>{|i?i1<7>52;3ae5<528hjn=47bcd8y~g1i3:1<7<51cca2?>ek<1vwl8n:183>6<6jhhh65llb;cb5`=zshj0;6=4=:0`bg6b`a3>dg6n2wpm;m50;296?7eij;1ml50;095ggc?32ih<5r{`4b>5<72;0:nljk:9`g3>{|i?i1<7>52;3aeac=0kn37pun6b83>5<528hjhk47be;8y~g1k3:1<7<51ccf4?>elh1vwl8l:183>7<6jho:65lkb:xe3e=83:1>7?mad094dfml03nij4}zc5g?6=8381=oojf;:a``=zshj0;6=4=:0`bb7b`de>=db;2wpm;m50;296?7ej9:14ok;;|yb2f<72909650;095gd7:32ii;5r{`4`>5<72;0:no><:9`f3>{|i?i1<7>52;3af52=0ko37pun6`83>5<528hi==47bd;8y~g1i3:1<7<51c`22?>emo1vwl8n:183>7<6jk;j65li3:xe3g=83:1>7?mb3293tqj:l4?:180>4de:?03nkh5a`0:?x}f>j0;6=4=:0`a62bc0g>=e7<2wpm;m50;296?7ej;314n>=;|yb2f<72909650;095gd5j3kj>o5r{`4`>5<72;0:no{|i?k1<7>52;3af17=0j:>7pun6`83>5<528hi8:47c1`8y~g1k3:1<7<51c`7d8j1vwl8l:183>7<6jk>265m?d:xe3e=83:1>7?mb5c94dej0;6=4=:0`a1dn76l159~d0d290;6?4>bc7`>=e6=2wpm;m50;296?7ej50;095gd1i32h=55r{`4`>5<72;0:no8m:9a2=>{|i?i1<7>52;3af3e=0j;j7pun6b83>5<528hi:i47c0`8y~g1k3:1<7<51c`5a?>d9j1vwl8n:183>7<6jk=j65m>d:xe3g=83:1>7?mb9294de0=03o?94}zc5g?6=8381=ol75;:`6d=zsh121i956sta7a94?6=:3;in5958b0;?x}f>j0;6=4=:0`a<=76l2b9~d0f290;6?4>bc;;>=e4:2wpm;o50;296?7ej0o14n=7;|yb2d<72909650;095gdf=32h?k5r{`4`>5<72;0:noo9:9a74>{|i?i1<7>52;3afd1=0j>:7pun6b83>5<528him547c508y~g1k3:1<7<51c`b=?>d<:1vwl8n:183>7<6jkh>65m;4:xe3e=83:1>7?mbc494dej>03o984}zc5g?6=8381=olm8;:`02=zsh21i?46sta7a94?6=:3;inoo58b6:?x}f>h0;6=4=:0`ag3bca;>=e3k2wpm;m50;296?7ejj314n:k;|yb2f<72909650;095gddj32h8k5r{`4b>5<72;0:noj8:9a6e>{|i?i1<7>52;3afa>=0j?o7pun6b83>5<528hih447c4a8y~g1k3:1<7<51c`ge?>d=k1vwl8l:183>7<6jkni65m:e:xe3g=83:1>7?mbd794demk03o;;4}zc5e?6=8381=oli1;:`2g=zshj0;6=4=:0`ab1bcd5>=e082wpm;o50;296?7ek9814n9>;|yb2f<72909650;095ge7<32h;>5r{`4`>5<72;0:nn>::9a40>{|i?i1<7>52;3ag50=0j=>7pun6b83>5<528hh<:47c648y~g1i3:1<7<51ca27?>d?>1vwl8l:183>7<6jj;?65m88:xe3e=83:1>7?mc0793tqj:n4?:181>4dd9?03o:o4}zc5g?6=8381=om>7;:`3g=zshh0;6=4=:0``6dbb12>=e><2wpm;m50;296?7ek:814n7:;|yb2f<72909650;095ge4<32h5:5r{`4`>5<72;0:nn=::9a:<>{|i?k1<7>52;3ag17=0j327pun6b83>5<528hh8?47c8c8y~g1k3:1<7<51ca77?>d1k1vwl8l:183>7<6jj>?65m6c:xe3e=83:1>7?mc5794ddj0;6=4=:0``10:76la29~d0d290;6?4>bb74>=ef<2wpm;o50;296?7ek?914noi;|yb2d<72909650;095ge1n32hno5r{`4`>5<72;0:nn9?:9aag>{|i?i1<7>52;3ag27=0jho7pun6b83>5<528hh;?47ccg8y~g1k3:1<7<51ca47?>djo1vwl8l:183>7<6jj=?65ml0:xe3g=83:1>7?mc9294dd0803on<4}zc5g?6=8381=om72;:`g6=zsh421ih86sta7a94?6=:3;io5:58ba6?x}f>j0;6=4=:0``<0bb;1>=ed02wpm;m50;296?7ek0914nm6;|yb2f<72909650;095ge>=32hoo5r{`4`>5<72;0:nn79:9a`g>{|i?k1<7>52;3agd4=0jn<7pun6`83>5<528hhm547cef8y~g1i3:1<7<51caba?>dm:1vwl8l:183>7<6jjkm65mj4:xe3e=83:1>7?mcc294ddj803oh84}zc5g?6=8381=omm2;:`a2=zshj0;6=4=:0``g5bba1>=ebk2wpm;m50;296?7ekj914nkk;|yb2f<72909650;095gec832hik5r{`4`>5<72;0:nnj>:9ae4>{|i?i1<7>52;3aga4=0jl:7pun6b83>5<528hhh>47cg08y~g1k3:1<7<51cag0?>dn:1vwl8l:183>7<6jjn>65mi4:xe3g=83:1>7?mcd394ddm>03h=;4}zc5e?6=8381=omjd;:g4g=zshh0;6=4=:0``bcbe2a>=b512wpm;m50;296?7el9i14i50;095gb7m32o>l5r{`4`>5<72;0:ni>i:9f1f>{|i?i1<7>52;3a`46=0m8n7pun6`83>5<528ho=n47d3d8y~g1k3:1<7<51cf2`?>c;;1vwl8l:183>7<6jm;n65j<3:xe3e=83:1>7?md0d94dc:903h>?4}zc5g?6=8381=oj=1;:g71=zsh=58e1a?x}f>h0;6=4=:0`g7<be1a>=b3=2wpm;m50;296?7el:i14i:=;|yb2f<72909650;095gb4m32o8;5r{`4b>5<72;0:ni:n:9f73>{|i?i1<7>52;3a`1d=0m>j7pun6b83>5<528ho8n47d5`8y~g1k3:1<7<51cf7`?>c<11vwl8l:183>7<6jm>n65j;9:xe3e=83:1>7?md5d94dc=k03h9j4}zc5g?6=8381=oj:c;:g15=zshj0;6=4=:0`g1cbe4e>=b202wpm;o50;296?7el>?14i;j;|yb2d<72909650;095gb0k32o::5r{`4`>5<72;0:ni9k:9f52>{|i?i1<7>52;3a`2c=0m<>7pun6b83>5<528ho;k47d7:8y~g1i3:1<7<51cf;=?>c>01vwl8n:183>7<6jm2m65j9f:xe3g=83:1>7?md8794dc1k03h:l4}zc5g?6=8381=oj6c;:g3c=zshj0;6=4=:0`g=co0qvo9c;294?4=9knj<76k819~d0f290;6?4>bec`>=b?92wpm;m50;296?7elhn14i6=;|yb2f<72909650;095gbfn32o495r{`4`>5<72;0:nil?:9f;1>{|i?i1<7>52;3a`g7=0m2=7pun6`83>5<528honi47d958y~g1k3:1<7<51cfaa?>c011vwl8l:183>7<6jmhm65j7b:xe3e=83:1>7?mdb29>3tqj:n4?:181>4dck803h5o4}zc5g?6=8381=ojl2;:g518g50550;095gbdn3n:995r{`4`>5<72;0:nij?:e361>{|i?i1<7>52;3a`a7=0m2n7pun6b83>5<528hoh947d808y~g1k3:1<7<51cfg6?>c191vwl8l:183>7<6jmn865j7f:xe3g=83:1>7?mdd494dcm>03h4:4}zc5g?6=8381=ojj8;:g=0=zsh21n2:6sta7a94?6=:3;ihho58e;4?x}f>j0;6=4=:0`gagbed;>=b>i2wpm;m50;296?7elo314i7k;|yb2f<72909650;095gbaj32o5n5r{`4`>5<72;0:nihl:9f:a>{|i?k1<7>54;3aa5>=0m3m6i?:7;f21d=zsh2m;>n6sta7a94?6=:3;ii=o5d07`?x}f>j0;6=4=:0`f4gbd2`>=bf:2wpm;m50;296?7em9n14io>;|yb2d<72909650;095gc5>32omk5r{`4`>5<72;0:nh<8:9fa4>{|i?i1<7>52;3aa7>=0mh:7pun6b83>5<528hn>447dc08y~g1k3:1<7<51cg1e?>cj:1vwl8l:183>7<6jl8i65jm4:xe3g=83:1>7?me2594db;103ho84}zc5g?6=8381=ok<9;:gf2=zshl58e`:?x}f>j0;6=4=:0`f7fbd6:>=bem2wpm;m50;296?7em=k14ill;|yb2f<72909650;095gc3k32onk5r{`4b>5<72;0:nh;9:9f`4>{|i?i1<7>52;3aa01=0mi:7pun6b83>5<528hn9547db08y~g1k3:1<7<51cg6=?>ck:1vwl8l:183>7<6jl?j65jl4:xe3e=83:1>7?me4`94db>>03hn84}zc5g?6=8381=ok98;:gg2=zsh21nh46sta7a94?6=:3;ii;o58ea:?x}f>j0;6=4=:0`f2gbd5;>=bdk2wpm;m50;296?7em>314imi;|yb2f<72909650;095gc0j32ooh5r{`4`>5<72;0:nh9l:9fg4>{|i?k1<7>52;3aa=0=0mn:7pun6b83>5<528hn4:47de08y~g1k3:1<7<51cg;cl:1vwl8l:183>7<6jl2265jk4:xe3e=83:1>7?me9c94db0k03hi84}zc5e?6=8381=ok67;:g`2=zshj0;6=4=:0`f=dbd;`>=bck2wpm;o50;296?7emh214ijk;|yb2f<72909650;095gcfi32ohh5r{`4`>5<72;0:nhom:9fgb>{|i?i1<7>52;3aade=0mo:7pun6`83>5<528hnn;47dd08y~g1k3:1<7<51cga3?>cm:1vwl8l:183>7<6jlh365jj4:xe3e=83:1>7?mec;94dbjh03hh84}zc5g?6=8381=okmb;:ga2=zshj0;6=4=:0`fg`bdf3>=ba92wpm;m50;296?7emm;14ih=;|yb2f<72909650;095gccm32oj95r{`4b>5<72;0:nhk;:9fee>{|i?k1<7>52;3aa`g=0l:;7pun6b83>5<528hnio47e138y~g1k3:1<7<51cgfg?>b8;1vwl8l:183>7<6jloo65k?3:xe3e=83:1>7?medg9<`633tqj:n4?:181>4dbmo03i=;4}zc5e?6=8381=okib;:f4d=zshj0;6=4=:0`fb`bg23>=c7n2wpm;o50;297?7en9i14h??:9g32>{|i?i1<7>52;3ab5b=0l:<7pun6b83>5<528hmb9=1vwl8l:183>7<6jo;965k>5:xe3e=83:1>7?mf029<`753tqj:n4?:181>4da9803i<=4}zc5e?6=8381=oh=4;:f53=zshh0;6=4=:0`e71bg1a>=c5l2wpm;m50;296?7en:i14h50;095g`4m32n?=5r{`4`>5<72;0:nk=i:9g05>{|i?k1<7>52;3ab1d=0l9=7pun6b83>5<528hm8n47e258y~g1k3:1<7<51cd7`?>b;11vwl8l:183>7<6jo>n65k<9:xe3e=83:1>7?mf5d9<`5f3tqj:n4?:181>4da=903i>l4}zc5e?6=8381=oh:c;:f7f=zsh6sta7c94?6=:3;ij;858d65?x}f>h0;6=4=:0`e2f76j539~d0d290;6?4>bg50>=c2;2wpm;m50;296?7en>>14h;;;|yb2f<72909650;095g`0>32n9;5r{`4`>5<72;0:nk98:9g63>{|i?k1<7>52;3ab=5=0l?37pun6b83>5<528hm4947e4;8y~g1k3:1<7<51cd;1?>b=h1vwl8l:183>7<6jo2=65k:b:xe3e=83:1>7?mf959<`3d3tqj:n4?:181>4da0103i8j4}zc5e?6=8381=oh64;:f1`=zshj6sta7a94?6=:3;ij4858d43?x}f>j0;6=4=:0`e=2bg;:>=c1;2wpm;o50;290?7enh?14h8;:e3ba?b6j81vwl8l:183>7<6jok=6i?m2:xe3e=83:1>7?mf`59`4d43tqj:n4?:181>4dai103i;64}zc5g?6=8381=ohnb;:f2<=zsh21o=:6sta7a94?6=:3;ijlo58d44?x}f>h0;6=4=:0`efabga4>=c0<2wpm;o50;296?7enjn14h9n;|yb2d<72909650;095g`c<32n4<5r{`4`>5<72;0:nkj::9g;6>{|i?i1<7>52;3aba0=0l287pun6b83>5<528hmh:47e968y~g1k3:1<7<51cdgb0<1vwl8n:183>7<6joo?65k76:xe3e=83:1>7?mfd79<`>03tqj:n4?:181>4dam?03i564}zc5g?6=8381=ohj7;:f<<=zshh0;6=4=:0`eb0bgd4>=c?l2wpm;m50;296?7eno214h6j;|yb2f<72909650;095g`ai32n5<5r{`4b>5<72;0:o=>9:9g:6>{|i?k1<7>52;3`45e=0l337pun6`83>5<528i;==47e8a8y~g1i3:1<7<51b222?>bi;1vwl8n:183>7<6k9;h65kn8:xe3e=83:1>7?l00f9<`g>3tqj:n4?:181>4e79l03ilo4}zc5g?6=8381=n>>f;:feg=zshh0;6=4=:0a36ac10e>=ce82wpm;m50;296?7d8::14hl>;|yb2f<72909650;095f64:32nn>5r{`4b>5<72;0:o==j:9ga0>{|i?i1<7>52;3`46`=0lh37pun6b83>5<528i;8=47ec78y~g1k3:1<7<51b275?>bj?1vwl8l:183>7<6k9>965km7:xe3e=83:1>7?l0519<`d>3tqj:l4?:181>4e7:5;:fg5=zsh21oh86sta7c94?6=:3;h<8h58dab?x}f>h0;6=4=:0a320c144>=cc:2wpm;m50;296?7d8?214hj<;|yb2f<72909650;095f61i32nh85r{`4b>5<72;0:o=99:9gg2>{|i?k1<7>52;3`42d=0lni7pun6b83>5<528i;;n47eea8y~g1k3:1<7<51b24`?>blo1vwl8l:183>7<6k9=n65kkd:xe3e=83:1>7?l06d9<`bb3tqj:n4?:181>4e70903ih>4}zc5e?6=8381=n>7c;:fa4=zshh0;6=4=:0a3=f76jf69~d0d290;6?4>c1c0>=ca02wpm;m50;296?7d8h>14hh6;|yb2f<72909650;095f6f>32njo5r{`4`>5<72;0:o=o8:9geg>{|i?k1<7>52;3`4g5=0llo7pun6b83>5<528i;n947egg8y~g1k3:1<7<51b2a1?>bno1vwl8l:183>7<6k9h=65h?0:xe3e=83:1>7?l0c594e7j103j=<4}zc5e?6=8381=n>l4;:e46=zshj0;6=4=:0a3g2c1a:>=`702wpm;o50;296?7d8m?14k>6;|yb2d<72909650;095f6cn32m=>5r{`4b>5<72;0:o=k::9d2=>{|i?k1<7>52;3`4`d=0o;m7pun6b83>5<528i;in47f328y~g1k3:1<7<51b2f`?>a:81vwl8l:183>7<6k9on65h=2:xe3e=83:1>7?l0dd94e7n903j?:4}zc5e?6=8381=n>ic;:e60=zshj0;6=4=:0a3bcc022>=`5i2wpm;o50;296?7d99n14k0d8;b7`50;095f77n32m>n5r{`4`>5<72;0:o{|i?i1<7>52;3`547=0o8n7pun6b83>5<528i:=?47f228y~g1i3:1<7<51b32a?>a;81vwl8n:183>7<6k88?65h<7:xe3g=83:1>7?l13:94e6:j03j>h4}zc5e?6=8381=n?<1;:e01=zsh=58g66?x}f>j0;6=4=:0a271c01e>=`312wpm;o50;296?7d9=?14k:i;|yb2d<7290964c8;b0350;095f73k32m9;5r{`4`>5<72;0:o<:k:9d63>{|i?i1<7>52;3`51c=0o?37pun6b83>5<528i:8k47f4;8y~g1k3:1<7<51b364?>a=h1vwl8n:183>7<6k8?h65h:b:xe3g=83:1>7?l17394e6>;03j;?4}zc5g?6=8381=n?93;:e21=zsh6sta7a94?6=:3;h=;;58g40?x}f>j0;6=4=:0a22376i679~d0f290;6?4>c05;>=`1k2wpm;o50;296?7d9>i14k9?;|yb2d<729096808;b2350;095f7??32m;o5r{`4b>5<72;0:o<6k:9d;5>{|i?i1<7>52;3`5=c=0o297pun6b83>5<528i:4k47f918y~g1k3:1<7<51b3:4?>a0=1vwl8l:183>7<6k83:65h75:xe3e=83:1>7?l180913tqj:l4?:181>4e61l03j594}zc5g?6=8381=n?6f;:e<==zshj0;6=4=:0a2e7c0ce>=`?l2wpm;m50;296?7d9k:14k7>;|yb2f<729096b08;b=c50;095f7e:32m4k5r{`4`>5<72;0:o{|i?i1<7>52;3`5g2=0o397pun6`83>5<528i:o=47f818y~g1i3:1<7<51b3`2?>a101vwl8n:183>7<6k8ij65h6d:xe3g=83:1>7?l1e294e6l?03jl74}zc5g?6=8381=n?k7;:eed=zshj0;6=4=:0a2`dc0g4>=`fn2wpm;m50;296?7d9l214kl?;|yb2f<729096e88;bg750;095f7bi32mn?5r{`4`>5<72;0:o{|i?i1<7>52;3`5`e=0oh?7pun6`83>5<528i:j547fc78y~g1k3:1<7<51b3e=?>aj01vwl8l:183>7<6k8lj65hm6:xe3e=83:1>7?l1g`94e6nj03jo64}zc5g?6=8381=n?id;:efd=zsh21lin6sta7c94?6=:3;h>=h58ga2?x}f>h0;6=4=:0a156c33e>=`c92wpm;m50;296?7d:;:14kj=;|yb2f<72909650;095f45:32mh95r{`4`>5<72;0:o?<<:9dg1>{|i?i1<7>52;3`672=0on=7pun6`83>5<528i9?=47fe58y~g1k3:1<7<51b005?>al11vwl8l:183>7<6k;9965hk9:xe3e=83:1>7?l22194e5;=03jil4}zc5g?6=8381=n<<5;:e`f=zsh9<58gg2?x}f>j0;6=4=:0a106c366>=`b82wpm;m50;296?7d:=<14kk=;|yb2d<72909650;095f42032mi45r{`4b>5<72;0:o?;l:9df`>{|i?k1<7>52;3`634=0ol87pun6`83>5<528i9:547fg;8y~g1k3:1<7<51b05=?>anh1vwl8l:183>7<6k;7?l27`94e5>j03jkj4}zc5g?6=8381=n<9d;:eb`=zsh21lmj6sta7a94?6=:3;h>:o59123?x}f>j0;6=4=:0a13g<>89;0qvo9c;294?4=9j8c35g><67;2wpm;m50;296?7d:>o15=>;;|yb2d<72909650;095f4?j33;<45r{`4`>5<72;0:o?6l:8232>{|i?i1<7>52;3`6=b=19:<7pun6b83>5<528i94h4601:8y~g1k3:1<7<51b0;b??78h1vwl8n:183>7<6k;3i64>?b:xe3g=83:1>7?l2`29=5773tqj:l4?:181>4e5i?02<<84}zc5e?6=8381=nlk5913f?x}f>j0;6=4=:0a1ec<>88l0qvo9c;294?4=9j8i<77?219~d0d290;6?4>c3`2><6592wpm;o50;296?7d:kn15=<=;|yb2f<72909650;095f4en33;>95r{`4`>5<72;0:o?m?:8211>{|i?i1<7>52;3`6f7=198=7pun6b83>5<528i9o?460358y~g1i3:1<7<51b0`a??7:11vwl8l:183>7<6k;im64>=c:xe3e=83:1>7?l2e29=54>3tqj:n4?:181>4e5l802ih5910f?x}f>h0;6=4=:0a1a0<>8:>0qvo9a;294?4=9j8nn77?3`9~d0d290;6?4>c3g`><64j2wpm;m50;296?7d:ln15==l;|yb2f<72909650;095f4bn33;?h5r{`4`>5<72;0:o?h?:820b>{|i?k1<7>52;3`6ce=19>;7pun6b83>5<528i9ji460538y~g1k3:1<7<51b0ea??7<;1vwl8l:183>7<6k;lm64>;3:xe3e=83:1>7?l3129=5233tqj:n4?:181>4e48802<9;4}zc5e?6=8381=n=?d;;303=zshj0;6=4=:0a055<>8=20qvo9c;294?4=9j9:=77?489~d0d290;6?4>c231><63j2wpm;o50;296?7d;8o15=:l;|yb2f<72909650;095f55833;8i5r{`4`>5<72;0:o><>:827a>{|i?i1<7>52;3`774=19?;7pun6`83>5<528i8>n460438y~g1i3:1<7<51b106??7=>1vwl8n:183>7<6k:9364>:d:xe3g=83:1>7?l32g9=5043tqj:l4?:181>4e4<=02<;74}zc5e?6=8381=n=;8;;32a=zshj0;6=4=:0a015<>8>>0qvo9c;294?4=9j9>=77?749~d0d290;6?4>c271><60?2wpm;o50;296?7d;50;095f51033;495r{`4b>5<72;0:o>8j:82;e>{|i?i1<7>52;3`73`=192i7pun6b83>5<528i8;=4609a8y~g1k3:1<7<51b145??70m1vwl8l:183>7<6k:=964>7e:xe3e=83:1>7?l3619=5>a3tqj:l4?:181>4e4?o02<4>4}zc5g?6=8381=n=70;;3=4=zsh620:2>6sta7a94?6=:3;h?5<591;0?x}f>j0;6=4=:0a0<6<>80>0qvo9c;294?4=9j93877?949~d0f290;6?4>c2;3><6>>2wpm;m50;296?7d;0;15=7n;|yb2f<72909650;095f5>;33;555r{`4`>5<72;0:o>7;:82:=>{|i?i1<7>52;3`7<3=193i7pun6`83>5<528i8m<4608a8y~g1i3:1<7<51b1b3??7i;1vwl8n:183>7<6k:ko64>n8:xe3e=83:1>7?l3`g9=5g>3tqj:n4?:181>4e4io02h0;6=4=:0a0f`<>8ho0qvo9c;294?4=9j9ij77?ag9~d0d290;6?4>c2a3><6e82wpm;m50;296?7d;j;15=l>;|yb2f<72909650;095f5d;33;n>5r{`4b>5<72;0:o>mi:82a0>{|i?i1<7>52;3`7a6=19h37pun6b83>5<528i8h<460c78y~g1k3:1<7<51b1g6??7j?1vwl8l:183>7<6k:n864>m7:xe3e=83:1>7?l3e69=5d>3tqj:l4?:181>4e4m902j0;6=4=:0a0a`<>8j20qvo9c;294?4=9j9nj77?c89~d0d290;6?4>c2d3><6di2wpm;m50;296?7d;o;15=mm;|yb2d<72909650;095f5am33;oi5r{`4`>5<72;0:o>hi:82`a>{|i?i1<7>52;3`056=19im7pun6b83>5<528i?<<460e28y~g1k3:1<7<51b636??7l81vwl8n:183>7<6k=:n64>k2:xe3e=83:1>7?l41d9=5b13tqj:n4?:181>4e399021;;3`1=zshh0;6=4=:0a75c<>8m20qvo9a;294?4=9j>9977?dd9~d0f290;6?4>c50a><6b<2wpm;m50;296?7d<;i15=k:;|yb2f<72909650;095f25m33;i:5r{`4`>5<72;0:o9{|i?i1<7>52;3`066=19o27pun6`83>5<528i??n460dc8y~g1k3:1<7<51b60`??7mk1vwl8l:183>7<6k=9n64>jc:xe3e=83:1>7?l42d9=5cc3tqj:n4?:181>4e3<902j0;6=4=:0a70c<>8o;0qvo9c;294?4=9j>><77?f39~d0d290;6?4>c572><6a;2wpm;m50;296?7d<<815=h:;|yb2d<72909650;095f21<33;jn5r{`4b>5<72;0:o98n:8336>{|i?i1<7>52;3`03d=18:87pun6b83>5<528i?:n461168y~g1k3:1<7<51b65`??68<1vwl8l:183>7<6k=7?l47d9=4603tqj:l4?:181>4e3?k02==64}zc5g?6=8381=n:8c;;24<=zshj0;6=4=:0a73c<>99i0qvo9c;294?4=9j>3<77>0e9~d0f290;6?4>c5:`><77m2wpm;m50;296?7d<1n1550;095f2?n33:==5r{`4`>5<72;0:o97?:8325>{|i?i1<7>52;3`0<7=18;87pun6`83>5<528i?5i461068y~g1i3:1<7<51b6b7??69h1vwl8n:183>7<6k=k264?=0:xe3e=83:1>7?l4`c9=4463tqj:n4?:181>4e3ik02=?<4}zc5g?6=8381=n:nc;;266=zshh0;6=4=:0a7fd<>9;<0qvo9c;294?4=9j>in77>2`9~d0d290;6?4>c5``><75?2wpm;m50;296?7d50;095f2en33:>o5r{`4b>5<72;0:o9mm:831g>{|i?k1<7>52;3`0a6=189:7pun6`83>5<528i?h8461248y~g1i3:1<7<51b6ge??6;k1vwl8n:183>7<6k=nm64?;0:xe3g=83:1>7?l4d69=4223tqj:l4?:181>4e3mh02=9l4}zc5e?6=8391=n:i0;;2140qvo9c;294?4=9j>m=77>529~d0d290;6?4>c5d1><72:2wpm;m50;296?7d50;095f2a<3kj?85r{`4`>5<72;0:o9h::`c02>{|i?i1<7>52;3`0c0=ih9<7pun6`83>5<528i>7<6k<;964?:e:xe3e=83:1>7?l5019=43a3tqj:n4?:181>4e29=02=;>4}zc5g?6=8381=n;>5;;224=zsh6sta7c94?6=:3;h9?<59040?x}f>j0;6=4=:0a666<>9?>0qvo9c;294?4=9j?9877>649~d0d290;6?4>c406><71>2wpm;m50;296?7d=;<15<88;|yb2f<72909650;095f34;33::45r{`4`>5<72;0:o8=;:835`>{|i?i1<7>52;3`163=185<528i>?;4617`8y~g1k3:1<7<51b703??6>j1vwl8l:183>7<6k<9364?9e:xe3g=83:1>7?l5569=40a3tqj:l4?:181>4e2<102=:=4}zc5e?6=8381=n;;e;;23<=zshh0;6=4=:0a61=<>=?=0qvo9a;294?4=9j?>o77:6c9~d0f290;6?4>c443><31n2wpm;o50;296?7d=?>1589<;|yb2d<72909650;095f31k33>;o5r{`4b>5<72;0:o89?:874b>{|i?k1<7>52;3`122=1<287pun6`83>5<528i>;5465958y~g1k3:1<7<51b74=??20k1vwl8l:183>7<6k<=j64;78:xe3e=83:1>7?l56`9=0>f3tqj:n4?:181>4e2?j029574}zc5g?6=8381=n;8d;;6>20?3h6sta7c94?6=:3;h95h594;0?x}f>h0;6=4=:0a6=0<>=030qvo9a;294?4=9j?2n77:9g9~d0f290;6?4>c4c2><3f=2wpm;m50;296?7d=h8158o9;|yb2f<72909650;095f3f<33>m55r{`4`>5<72;0:o8o::87b=>{|i?i1<7>52;3`1d0=15<528i>n?465``8y~g1i3:1<7<51b7a7<6k7?l5cc9=0d43tqj:n4?:181>4e2jk029o:4}zc5g?6=8381=n;mc;;6f0=zshh0;6=4=:0a6gc<>=kn0qvo9c;294?4=9j?o<77:bd9~d0d290;6?4>c4f2><3en2wpm;m50;296?7d=m8158m?;|yb2f<72909650;095f3c<33>o?5r{`4b>5<72;0:o8k?:87`7>{|i?k1<7>52;3`1`0=15<528i>i:465bc8y~g1k3:1<7<51b7f7<6k7?l5dc9=0ec3tqj:n4?:181>4e2mk029nk4}zc5e?6=8381=n;i7;;6gc=zshj0;6=4=:0a6bc<>=m<0qvo9c;294?4=9j<;<77:d69~d0d290;6?4>c722><3c12wpm;m50;296?7d>98158jn;|yb2d<72909650;095f06<33>i<5r{`4b>5<72;0:o;?n:87f3>{|i?k1<7>52;3`276=15<528i=>;465g18y~g1i3:1<7<51b41g??2n01vwl8n:183>7<6k?9964;if:xe3g=83:1>7?l62:9=3623tqj:l4?:181>4e1;l02:=l4}zc5e?6=8381=n8;4;;554=zsh5973g?x}f>h0;6=4=:0a513<>>;90qvo9a;294?4=9j<>o779289~d0f290;6?4>c741><05n2wpm;o50;296?7d>?215;=:;|yb2d<72909650;095f00<33=8<5r{`4b>5<72;0:o;96:8472>{|i?k1<7>52;3`22c=1?>i7pun6`83>5<528i=4>466428y~g1i3:1<7<51b4;7<6k?2o648:a:xe3g=83:1>7?l6809=33a3tqj:l4?:181>4e11>02:;:4}zc5e?6=8381=n86c;;52<=zshh0;6=4=:0a5eg<>>>20qvo9a;294?4=9jc7`2><00m2wpm;m50;296?7d>k815;9i;|yb2f<72909650;095f0e<33=4<5r{`4`>5<72;0:o;l::84;6>{|i?k1<7>52;3`2f7=1?287pun6`83>5<528i=o:4669;8y~g1k3:1<7<51b4`7<6k?i26487b:xe3e=83:1>7?l6bc9=3>d3tqj:n4?:181>4e1kk02:5j4}zc5g?6=8381=n8lc;;5<`=zshj0;6=4=:0a5`c<>>0<0qvo9c;294?4=9jc7g2><0>02wpm;m50;296?7d>l815;76;|yb2f<72909650;095f0bn33=5o5r{`4b>5<72;0:o;h::84b5>{|i?i1<7>52;3`2c0=1?k97pun6b83>5<528i=j:466`18y~g1k3:1<7<51b4e7<6k?l2648n5:xe3e=83:1>7?l6gc9=3g13tqj:l4?:181>4e08?02:l94}zc5e?6=8381=n9?c;;5ea=zshj0;6=4=:0a44c<>>k:0qvo9c;294?4=9j=:<779b09~d0d290;6?4>c632><0e:2wpm;o50;296?7d?8n15;l<;|yb2d<72909650;095f15<33=nl5r{`4`>5<72;0:o:<::84af>{|i?i1<7>52;3`370=1?hh7pun6b83>5<528i<>:466cf8y~g1k3:1<7<51b517<6k>9?648mf:xe3g=83:1>7?l72c9=3e23tqj:n4?:181>4e0;k02:n84}zc5g?6=8381=n9k597a:?x}f>j0;6=4=:0a47c<>>jk0qvo9a;294?4=9j=?n779cc9~d0f290;6?4>c672><0c92wpm;m50;296?7d?<815;j=;|yb2f<72909650;095f12<33=h95r{`4`>5<72;0:o:;::84g1>{|i?i1<7>52;3`300=1?n=7pun6`83>5<528i<:?466e58y~g1i3:1<7<51b557<6k><2648ke:xe3e=83:1>7?l77c9=3ba3tqj:n4?:181>4e0>k02:h>4}zc5g?6=8381=n99c;;5a4=zsh6sta7c94?6=:3;h;:7597g0?x}f>h0;6=4=:0a43c<>>l30qvo9c;294?4=9j=3<779e`9~d0d290;6?4>c6:2><0bj2wpm;m50;296?7d?1815;kl;|yb2f<72909650;095f1?<33=ih5r{`4b>5<72;0:o:7?:84fb>{|i?k1<7>52;3`3<0=1?l>7pun6b83>5<528i<5:466g48y~g1k3:1<7<51b5:1vwl8l:183>7<6k>32648i8:xe3e=83:1>7?l78c9=3`>3tqj:n4?:181>4e01k02:ko4}zc5e?6=8381=n9n7;;5bg=zshj0;6=4=:0a4ec<>?990qvo9c;294?4=9j=i<778059~d0d290;6?4>c6`2><17=2wpm;m50;296?7d?k815:>9;|yb2d<72909650;095f1d<33<5<72;0:o:m::853a>{|i?i1<7>52;3`3f0=1>:m7pun6b83>5<528i7<6k>i2649>2:xe3g=83:1>7?l7e79=2743tqj:l4?:181>4e0lk02;<74}zc5g?6=8381=n9kc;;45d=zshj0;6=4=:0a4`c<>?8n0qvo9c;294?4=9j=n<7781d9~d0f290;6?4>c6g`><16n2wpm;o50;296?7d?o815:<:;|yb2f<72909650;095f1a<33<>:5r{`4`>5<72;0:o:h::851<>{|i?i1<7>52;3`3c0=1>827pun6b83>5<528i7<6k1:2649<1:xe3e=83:1>7?l81c9=2553tqj:n4?:181>4e?8k02;>=4}zc5g?6=8381=n6?c;;471=zshh0;6=4=:0a;5d<>?:=0qvo9a;294?4=9j29<7783e9~d0d290;6?4>c902><14m2wpm;m50;296?7d0;815:=i;|yb2f<72909650;095f>5<33<8<5r{`4`>5<72;0:o5<::8576>{|i?k1<7>52;3`<67=1>>87pun6`83>5<528i3?:4675;8y~g1k3:1<7<51b:07<6k192649;b:xe3e=83:1>7?l82c9=22d3tqj:n4?:181>4e?;k02;9j4}zc5g?6=8381=n6j0;6=4=:0a;0c<>?<<0qvo9c;294?4=9j2><778569~d0d290;6?4>c972><1202wpm;m50;296?7d0<815:;6;|yb2f<72909650;095f>2n33<9o5r{`4b>5<72;0:o58::8555>{|i?i1<7>52;3`<30=1><97pun6b83>5<528i3::467718y~g1k3:1<7<51b:5=1vwl8l:183>7<6k1<264995:xe3e=83:1>7?l87c9=2013tqj:l4?:181>4e???02;;94}zc5e?6=8381=n68c;;42a=zshj0;6=4=:0a;3c<>?>:0qvo9c;294?4=9j23<778709~d0d290;6?4>c9:2><10:2wpm;o50;296?7d01n15:9<;|yb2d<72909650;095f>><33<;l5r{`4`>5<72;0:o57::854f>{|i?i1<7>52;3`<<0=1>=h7pun6b83>5<528i35:4676f8y~g1k3:1<7<51b::7<6k1k?6498f:xe3g=83:1>7?l8`c9=2>23tqj:n4?:181>4e?ik02;584}zc5g?6=8381=n6nc;;4<2=zshj0;6=4=:0a;ec<>?1k0qvo9a;294?4=9j2in7788c9~d0f290;6?4>c9a2><1>92wpm;m50;296?7d0j815:7=;|yb2f<72909650;095f>d<33<595r{`4`>5<72;0:o5m::85:1>{|i?i1<7>52;3`3=7pun6`83>5<528i3h?467858y~g1i3:1<7<51b:g7<6k1n26496e:xe3e=83:1>7?l8ec9=2?a3tqj:n4?:181>4e?lk02;l>4}zc5g?6=8381=n6kc;;4e4=zsh6sta7c94?6=:3;h4h7596c0?x}f>h0;6=4=:0a;ac<>?h30qvo9c;294?4=9j2m<778a`9~d0d290;6?4>c9d2><1fj2wpm;m50;296?7d0o815:ol;|yb2f<72909650;095f>a<335<72;0:o4>?:85bb>{|i?k1<7>52;3`=50=1>h>7pun6b83>5<528i2<:467c48y~g1k3:1<7<51b;31vwl8l:183>7<6k0:2649m8:xe3e=83:1>7?l91c9=2d>3tqj:n4?:181>4e>8k02;oo4}zc5e?6=8381=n7>7;;4fg=zshj0;6=4=:0a:5c<>?j90qvo9c;294?4=9j39<778c59~d0d290;6?4>c802><1d=2wpm;m50;296?7d1;815:m9;|yb2d<72909650;095f?4<335<72;0:o4=::85`a>{|i?i1<7>52;3`=60=1>im7pun6b83>5<528i2?:467e28y~g1k3:1<7<51b;07<6k092649k2:xe3g=83:1>7?l9579=2b43tqj:l4?:181>4e>j0;6=4=:0a:0c<>?mn0qvo9c;294?4=9j3><778dd9~d0f290;6?4>c87`><1cn2wpm;o50;296?7d1?815:k:;|yb2f<72909650;095f?1<335<72;0:o48::85f<>{|i?i1<7>52;3`=30=1>o27pun6b83>5<528i2::467dc8y~g1i3:1<7<51b;47??0mk1vwl8n:183>7<6k0=2649i1:xe3e=83:1>7?l96c9=2`53tqj:n4?:181>4e>?k02;k=4}zc5g?6=8381=n78c;;4b1=zshh0;6=4=:0a:?o=0qvo9a;294?4=9j32<778fe9~d0d290;6?4>c8;2><1am2wpm;m50;296?7d10815:hi;|yb2f<72909650;095f?><333<<5r{`4`>5<72;0:o47::8:36>{|i?k1<7>52;3`=d7=11:87pun6`83>5<528i2m:4681;8y~g1k3:1<7<51b;b7<6k0k2646?b:xe3e=83:1>7?l9`c9==6d3tqj:n4?:181>4e>ik024=j4}zc5g?6=8381=n7nc;;;4`=zshj0;6=4=:0a:fc<>08<0qvo9c;294?4=9j3h<777169~d0d290;6?4>c8a2><>602wpm;m50;296?7d1j8155?6;|yb2f<72909650;095f?dn333=o5r{`4b>5<72;0:o4j::8:15>{|i?i1<7>52;3`=a0=11897pun6b83>5<528i2h:468318y~g1k3:1<7<51b;g7<6k0n2646=5:xe3e=83:1>7?l9ec9==413tqj:l4?:181>4e>m?024?94}zc5e?6=8381=n7jc;;;6a=zshj0;6=4=:0a:ac<>0::0qvo9c;294?4=9j3m<777309~d0d290;6?4>c8d2><>4:2wpm;o50;296?7d1on155=<;|yb2d<72909650;095fg7<333?l5r{`4`>5<72;0:ol>::8:0f>{|i?i1<7>52;3`e50=119h7pun6b83>5<528ij<:4682f8y~g1k3:1<7<51bc37<6kh;?64633o6sta7a94?6=:3;hmj0;6=4=:0ab5f<011n0qvo9c;294?4=9jk:h7968d9~d0d290;6?4>c`03><>3i2wpm;m50;296?7di8o155:9;|yb2f<72909650;095fg4:3339<5r{`4b>5<72;0:ol=8:8:62>{|i?k1<7>52;3`e6e=11?i7pun6`83>5<528ij8<468728y~g1i3:1<7<51bc72???><1vwl8n:183>7<6kh>h6469b:xe3g=83:1>7?la409==163tqj:l4?:181>4ef=1024:94}zc5e?6=8381=no:e;;;3a=zshh0;6=4=:0ab2c<>01o0qvo9a;294?4=9jk<8777929~d0f290;6?4>c`5:><>>02wpm;o50;296?7di>o1557k;|yb2d<72909650;095fg?0333m:5r{`4b>5<72;0:ol6k:8:bg>{|i?k1<7>52;3`e<4=11h:7pun6`83>5<528ij5:468c48y~g1i3:1<7<51bc:g???jk1vwl8n:183>7<6khk:646l0:xe3g=83:1>7?la`49==e23tqj:l4?:181>4efik024no4}zc5e?6=8381=nom0;;;gc=zshh0;6=4=:0abfc<>0mo0qvo9a;294?4=9jkh8777e29~d0f290;6?4>c`a:><>b02wpm;o50;296?7dijo155kk;|yb2d<72909650;095fgc0333j:5r{`4b>5<72;0:oljk:8:eg>{|i?k1<7>52;3`e`4=10::7pun6`83>5<528iji:469148y~g1i3:1<7<51bcfg??>8k1vwl8n:183>7<6khl:647>0:xe3g=83:1>7?lag49=<723tqj:l4?:181>4efnk025h0;6=4=:0aa4c<>1;o0qvo9a;294?4=9jh:?776339~d0f290;6?4>cc34>2wpm;o50;296?7dj8h154=n;|yb2d<72909650;095fd5=332895r{`4b>5<72;0:oo{|i?k1<7>52;3`f7`=10>n7pun6`83>5<528ii?9469418y~g1i3:1<7<51b`0=??>=11vwl8n:183>7<6kk9n647:d:xe3g=83:1>7?lb519=<053tqj:l4?:181>4ee<1025;94}zc5e?6=8381=nl;d;;:2f=zshh0;6=4=:0aa1f<>1>h0qvo9a;294?4=9jh==776819~d0f290;6?4>cc45>50;095fd113324:5r{`4`>5<72;0:oo8n:8;;=>{|i?k1<7>52;3`f22=102j7pun6b83>5<528ii;84699f8y~g1k3:1<7<51b`42??>0k1vwl8l:183>7<6kk=<6477c:xe3e=83:1>7?lb6:9=<>b3tqj:l4?:181>4ee0;0255h4}zc5g?6=8381=nl73;;:=6=zsh32032=6sta7a94?6=:3;hn5;598;3?x}f>j0;6=4=:0aa<3<>1080qvo9c;294?4=9jh3;776959~d0f290;6?4>cc;0>=2wpm;o50;296?7dj031547m;|yb2d<72909650;095fdf8332m?5r{`4`>5<72;0:ooo>:8;b1>{|i?i1<7>52;3`fd4=10k87pun6b83>5<528iim>469`68y~g1k3:1<7<51b`b0??>i?1vwl8n:183>7<6kkh;647n7:xe3g=83:1>7?lbc49=4eejj025o=4}zc5e?6=8381=nll2;;:f<=zshj0;6=4=:0aag0<>1kh0qvo9c;294?4=9jhh:776be9~d0f290;6?4>ccf3>;|yb2f<72909650;095fdc;332o=5r{`4`>5<72;0:ooj;:8;`6>{|i?k1<7>52;3`fac=10i87pun6b83>5<528iihk469b48y~g1k3:1<7<51b`f4??>k=1vwl8l:183>7<6kko:647l5:xe3e=83:1>7?lbd09=4eemj025n64}zc5g?6=8381=nljd;;:gg=zshj0;6=4=:0aab5<>1ji0qvo9a;294?4=9jhmm776ce9~d0d290;6?4>ccda>50;095fdam332h<5r{`4b>5<72;0:on>7:8;g6>{|i?i1<7>52;3`g5?=10n>7pun6b83>5<528ihl=1vwl8l:183>7<6kj:h647k6:xe3g=83:1>7?lc049=4ed9>025io4}zc5g?6=8381=nm>8;;:`==zsh203o56sta7a94?6=:3;hoh0;6=4=:0a`61<>1mi0qvo9c;294?4=9ji99776dg9~d0d290;6?4>cb05>50;095fe4:332i<5r{`4`>5<72;0:on=<:8;f0>{|i?i1<7>52;3`g62=10o97pun6b83>5<528ih?8469d18y~g1k3:1<7<51ba02??>m<1vwl8n:183>7<6kj>;647j6:xe3e=83:1>7?lc539=3tqj:n4?:181>4ed<;025h94}zc5g?6=8381=nm;3;;:a==zshj0;6=4=:0a`0c<>1lo0qvo9c;294?4=9ji><776eb9~d0d290;6?4>cb72>50;095fe2l332j>5r{`4`>5<72;0:on;j:8;e5>{|i?i1<7>52;3`g0`=10l97pun6b83>5<528ih:=469g68y~g1i3:1<7<51ba5e??>n<1vwl8l:183>7<6kj7?lc7a9=<`13tqj:n4?:181>4ed>m025k94}zc5g?6=8381=nm9e;;:b<=zshj0;6=4=:0a`3d<>1oh0qvo9c;294?4=9jicb5`>50;095fe?033j<=5r{`4`>5<72;0:on66:8c35>{|i?i1<7>52;3`g=g=1h:87pun6`83>5<528ih5946a168y~g1k3:1<7<51ba:1??f8>1vwl8l:183>7<6kj3=64o?5:xe3e=83:1>7?lc859=d613tqj:n4?:181>4ed1102m=64}zc5e?6=8381=nmn2;;b4<=zshj0;6=4=:0a`e0<>i9h0qvo9c;294?4=9jij:77n0e9~d0f290;6?4>cb`3>;|yb2f<72909650;095fee;33j==5r{`4`>5<72;0:onl;:8c26>{|i?k1<7>52;3`ggc=1h;87pun6b83>5<528ihnk46a048y~g1k3:1<7<51ba`4??f9=1vwl8l:183>7<6kji:64o>5:xe3e=83:1>7?lcb09=d703tqj:l4?:181>4edkj02m<64}zc5g?6=8381=nmld;;b5g=zshj0;6=4=:0a``5<>i8i0qvo9a;294?4=9jiom77n1e9~d0d290;6?4>cbfa>50;095fecm33j><5r{`4b>5<72;0:onk7:8c16>{|i?i1<7>52;3`g`?=1h8>7pun6b83>5<528ihil46a318y~g1k3:1<7<51baff??f:=1vwl8l:183>7<6kjoh64o=6:xe3g=83:1>7?lcg49=d403tqj:n4?:181>4edn>02m?o4}zc5g?6=8381=nmi8;;b6==zsh20k956sta7a94?6=:3;hoko59`0a?x}f>h0;6=4=:0ag41<>i;i0qvo9c;294?4=9jn;977n2g9~d0d290;6?4>ce25>50;095fb6:33j?<5r{`4`>5<72;0:oi?<:8c00>{|i?i1<7>52;3``42=1h997pun6b83>5<528io=846a218y~g1k3:1<7<51bf22??f;<1vwl8n:183>7<6km8;64o<6:xe3e=83:1>7?ld339=d5>3tqj:n4?:181>4ec:;02m>94}zc5g?6=8381=nj=3;;b7==zshj0;6=4=:0ag6c<>i:o0qvo9c;294?4=9jn8<77n3b9~d0d290;6?4>ce12>50;095fb4l33j8>5r{`4`>5<72;0:oi=j:8c75>{|i?i1<7>52;3``6`=1h>97pun6b83>5<528io8=46a568y~g1i3:1<7<51bf7e??f<<1vwl8l:183>7<6km>i64o;8:xe3e=83:1>7?ld5a9=d213tqj:n4?:181>4ecj0;6=4=:0ag1d<>i=h0qvo9c;294?4=9jn>n77n4b9~d0d290;6?4>ce7`>50;095fb1033j9=5r{`4`>5<72;0:oi86:8c65>{|i?i1<7>52;3``3g=1h?87pun6`83>5<528io;946a468y~g1k3:1<7<51bf41??f=>1vwl8l:183>7<6km==64o:5:xe3e=83:1>7?ld659=d313tqj:n4?:181>4ec?102m864}zc5e?6=8381=nj72;;b1<=zsh420k>o6sta7a94?6=:3;hh5:59`7b?x}f>j0;6=4=:0ag<0<>ice;3>;|yb2f<72909650;095fb>;33j:=5r{`4`>5<72;0:oi7;:8c56>{|i?k1<7>52;3``5<528io5k46a748y~g1k3:1<7<51bfb4??f>=1vwl8l:183>7<6kmk:64o95:xe3e=83:1>7?ld`09=d003tqj:l4?:181>4ecij02m;64}zc5g?6=8381=njnd;;b2g=zshj0;6=4=:0agf5<>i?i0qvo9a;294?4=9jnim77n6e9~d0d290;6?4>ce`a>50;095fbem33j;<5r{`4b>5<72;0:oim7:8c46>{|i?i1<7>52;3``f?=1h=>7pun6b83>5<528iool46a618y~g1k3:1<7<51bf`f??f?=1vwl8l:183>7<6kmih64o86:xe3g=83:1>7?lde49=d103tqj:l4?:181>4eclk02m:m4}zc5e?6=8381=njkf;;b<5=zshj0;6=4=:0agad<>i1o0qvo9c;294?4=9jnnn77n8c9~d0d290;6?4>ceg`>50;095fbai33j5=5r{`4b>5<72;0:oh>?:8c:2>{|i?k1<7>52;3`a50=1h3h7pun6`83>5<528in7<6kl;864onb:xe3e=83:1>7?le069=dgd3tqj:n4?:181>4eb9<02ml74}zc5g?6=8381=nk>6;;bed=zshj0;6=4=:0af61<>ik;0qvo9c;294?4=9jo9977nb39~d0d290;6?4>cd05>50;095fc4<33jn95r{`4`>5<72;0:oh=::8ca3>{|i?i1<7>52;3`a60=1hh37pun6b83>5<528in?:46ac78y~g1k3:1<7<51bg07<6kl9264om9:xe3g=83:1>7?le579=ddf3tqj:n4?:181>4ebj0;6=4=:0af0d<>ikl0qvo9a;294?4=9jo>:77nc19~d0d290;6?4>cd74>50;095fc2i33jo?5r{`4`>5<72;0:oh;m:8c`1>{|i?k1<7>52;3`a31=1hi=7pun6b83>5<528in:546ab;8y~g1k3:1<7<51bg5=??fkh1vwl8l:183>7<6kl7?le7`9=de?3tqj:n4?:181>4eb>j02mnl4}zc5e?6=8381=nk88;;bgf=zsh6sta7c94?6=:3;hi5:59`f;?x}f>h0;6=4=:0afimo0qvo9a;294?4=9jo2<77ne59~d0f290;6?4>cd;5>50;095fcf033jjn5r{`4b>5<72;0:ohoj:8`36>{|i?k1<7>52;3`ag2=1k:37pun6`83>5<528innl46b1g8y~g1i3:1<7<51bg`4??e9=1vwl8n:183>7<6kli=64l>a:xe3g=83:1>7?leba9=g473tqj:l4?:181>4ebl;02n?84}zc5e?6=8381=nkk8;;a6f=zsh6sta7c94?6=:3;hih:59c1;?x}f>h0;6=4=:0afad<>j:o0qvo9a;294?4=9jom<77m459~d0f290;6?4>cdd5>50;095f`7033i9n5r{`4b>5<72;0:ok>j:8`56>{|i?k1<7>52;3`b42=1k<37pun6`83>5<528im=l46b7g8y~g1i3:1<7<51bd14??e?=1vwl8n:183>7<6ko8=64l8a:xe3g=83:1>7?lf3a9=g>73tqj:l4?:181>4ea;;02n584}zc5e?6=8381=nh<8;;a6sta7c94?6=:3;hj9:59c;;?x}f>h0;6=4=:0ae0d<>j0o0qvo9a;294?4=9jl><77ma59~d0f290;6?4>cg75>50;095f`1033inn5r{`4b>5<72;0:ok8j:8``6>{|i?k1<7>52;3`b22=1ki37pun6`83>5<528im;l46bbg8y~g1i3:1<7<51bd;4??el=1vwl8n:183>7<6ko2=64lka:xe3g=83:1>7?lf9a9=gc73tqj:l4?:181>4ea1;02nh84}zc5g?6=8381=nh63;;aa<=zshj0;6=4=:0ae=3<>jl20qvo9c;294?4=9jl2;77mec9~d0f290;6?4>cgc0>15oki;|yb2f<72909650;095f`f>33iih5r{`4`>5<72;0:oko8:8`e4>{|i?k1<7>52;3`bg7=1kl:7pun6`83>5<528imn:46bg58y~g1i3:1<7<51bda`??enm1vwl8n:183>7<6koi864m?3:xe3g=83:1>7?lfb:9=f6?3tqj:n4?:181>4eak002o=m4}zc5g?6=8381=nhla;;`4d=zshj0;6=4=:0aega<>k9n0qvo9a;294?4=9jlo577l0d9~d0f290;6?4>cgfe>15n?6;|yb2d<72909650;095f`bj33h>?5r{`4`>5<72;0:okkl:8a14>{|i?i1<7>52;3`b`b=1j8:7pun6b83>5<528imih46c318y~g1i3:1<7<51bde7<6kol264m=5:xe3e=83:1>7?lfgc9=f4?3tqj:n4?:181>4eank02o?84}zc5g?6=8381=nhic;;`62=zshh0;6=4=:0f34c<>k::0qvo9c;294?4=9m::<77l359~d0d290;6?4>d132>50;095a66<33h?85r{`4b>5<72;0:h={|i?k1<7>52;3g470=1j9h7pun6`83>5<528n;>n46c508y~g1i3:1<7<51e206??d<11vwl8n:183>7<6l99364m;e:xe3g=83:1>7?k02g9=f333tqj:l4?:181>4b7<=02o8o4}zc5e?6=8381=i>;a;;`25=zshh0;6=4=:0f31f<>k>80qvo9a;294?4=9m:=>77l799~d0f290;6?4>d14;>50;095a60i33h5=5r{`4b>5<72;0:h=6?:8a:2>{|i?k1<7>52;3g4=0=1j3h7pun6`83>5<528n;4n46c`08y~g1i3:1<7<51e2:6??di11vwl8n:183>7<6l93364mne:xe3g=83:1>7?k08g9=fd33tqj:l4?:181>4b7i=02ooo4}zc5e?6=8381=i>na;;`g5=zshh0;6=4=:0f3ff<>km80qvo9a;294?4=9m:h>77ld99~d0f290;6?4>d1a;>50;095a6ci33hj=5r{`4b>5<72;0:h=k?:8ae2>{|i?k1<7>52;3g4`0=1jlh7pun6`83>5<528n;in46d108y~g1i3:1<7<51e2e6??c811vwl8n:183>7<6l9l364j?e:xe3g=83:1>7?k0gg9=a733tqj:l4?:181>4b68=02hh0;6=4=:0f25f<>l:80qvo9a;294?4=9m;9>77k399~d0f290;6?4>d00;>358:`1g50;095a74i33o9=5r{`4b>5<72;0:h<:?:8f62>{|i?k1<7>52;3g510=1m?h7pun6`83>5<528n:8n46d708y~g1i3:1<7<51e366??c>11vwl8n:183>7<6l8?364j9e:xe3g=83:1>7?k14g9=a133tqj:l4?:181>4b6>=02h:o4}zc5e?6=8381=i?9a;;g<5=zshh0;6=4=:0f23f<>l080qvo9a;294?4=9m;3>77k999~d0f290;6?4>d0:;>m2wpm;o50;296?7c91o15io;;|yb2d<729096958:`dg50;095a7>i33on=5r{`4b>5<72;0:h{|i?k1<7>52;3g5d0=1mhh7pun6`83>5<528n:mn46db08y~g1i3:1<7<51e3a6??ck11vwl8n:183>7<6l8h364jle:xe3g=83:1>7?k1cg9=ab33tqj:l4?:181>4b6k=02hio4}zc5e?6=8381=i?la;;ga5=zshh0;6=4=:0f2`f<>lo80qvo9a;294?4=9m;n>77kf99~d0f290;6?4>d0g;>e`8:a5650;095a7bj33n<<5r{`4`>5<72;0:h{|i?i1<7>52;3g5`b=1l:87pun6`83>5<528n:j446e168y~g1i3:1<7<51e3eb??b8h1vwl8n:183>7<6l;:>64k>0:xe3e=83:1>7?k2149=`733tqj:n4?:181>4b58>02i20o:?6sta7a94?6=:3;o>=o59d36?x}f>h0;6=4=:0f153<>m8<0qvo9c;294?4=9m8:;77j189~d0d290;6?4>d33;>50;095a46j33n=o5r{`4b>5<72;0:h?<8:8g2g>{|i?i1<7>52;3g67>=1l8;7pun6b83>5<528n9>446e0f8y~g1k3:1<7<51e01e??b9o1vwl8l:183>7<6l;8i64k>e:xe3e=83:1>7?k23a9=`463tqj:l4?:181>4b5;102i?<4}zc5g?6=8381=i<<9;;f63=zsh>l59d06?x}f>j0;6=4=:0f17f<>m;>0qvo9c;294?4=9m88h77j269~d0f290;6?4>d36:>50;095a43k33n>o5r{`4`>5<72;0:h?:k:8g1e>{|i?i1<7>52;3g61c=1l8o7pun6`83>5<528n99l46e3g8y~g1k3:1<7<51e06f??b;;1vwl8l:183>7<6l;?h64k=f:xe3e=83:1>7?k24f9=`563tqj:n4?:181>4b5=l02i>>4}zc5g?6=8381=i<:f;;f76=zsh:?59d1b?x}f>h0;6=4=:0f132<>m=:0qvo9a;294?4=9m8d3:0>50;095a4>=33n9h5r{`4b>5<72;0:h?7m:8g50>{|i?k1<7>52;3g6d7=1l5<528n9m:46e628y~g1i3:1<7<51e0b`??b??1vwl8n:183>7<6l;h864k8c:xe3g=83:1>7?k2c;9=`>53tqj:l4?:181>4b5jo02i564}zc5e?6=8381=ii?59d;b?x}f>h0;6=4=:0f1`2<>mh:0qvo9a;294?4=9m8oh77ja79~d0f290;6?4>d3g0>50;095a4a=33nnh5r{`4b>5<72;0:h?hm:8g`0>{|i?k1<7>52;3g757=1lij7pun6`83>5<528n8<:46ee28y~g1i3:1<7<51e13`??bl?1vwl8n:183>7<6l:;864kkc:xe3g=83:1>7?k30;9=`c53tqj:l4?:181>4b49o02ih64}zc5e?6=8381=i==5;;fa`=zsh?59ddb?x}f>h0;6=4=:0f072<>n9:0qvo9a;294?4=9m98h77i079~d0f290;6?4>d260><`7k2wpm;o50;296?7c;=315k?=;|yb2d<72909650;095a52=33m=h5r{`4b>5<72;0:h>;m:8d10>{|i?k1<7>52;3g737=1o8j7pun6`83>5<528n8::46f228y~g1i3:1<7<51e15`??a;?1vwl8n:183>7<6l:=864h7?k36;9=c253tqj:l4?:181>4b4?o02j964}zc5e?6=8381=i=75;;e0`=zshe20l>86sta7c94?6=:3;o?4?59g7b?x}f>h0;6=4=:0f0=2<>n?:0qvo9a;294?4=9m92h77i679~d0f290;6?4>d2c0><`1k2wpm;o50;296?7c;h315k9=;|yb2d<72909650;095a5e=33m;h5r{`4b>5<72;0:h>lm:8d;0>{|i?k1<7>52;3g7f7=1o2j7pun6`83>5<528n8o:46f828y~g1i3:1<7<51e1``??a1?1vwl8n:183>7<6l:n864h6c:xe3g=83:1>7?k3e;9=cg53tqj:l4?:181>4b4lo02jl64}zc5e?6=8381=i=j5;;ee`=zshh0;6=4=:0f0b2<>nj:0qvo9a;294?4=9m9mh77ic79~d0f290;6?4>d520><`dk2wpm;o50;296?7c<9315kj=;|yb2d<72909650;095a26=33mhh5r{`4b>5<72;0:h9?m:8df0>{|i?k1<7>52;3g077=1ooj7pun6`83>5<528n?>:46fg28y~g1i3:1<7<51e61`??an?1vwl8n:183>7<6l=9864hic:xe3g=83:1>7?k42;9e5653tqj:l4?:181>4b3;o0j<=64}zc5e?6=8381=i:;5;c34`=zshj0;6=4=:0f70a?i7o?149~d0d290;6?4>d56e>d66>2wpm;m50;296?7c<<:1m=?6;|yb2d<72909650;095a22l3k;=h5r{`4`>5<72;0:h9;j:`22g>{|i?i1<7>52;3g00`=i9;i7pun6b83>5<528n?:=4n00f8y~g1k3:1<7<51e655?g79o1vwl8n:183>7<6l==0:xe3e=83:1>7?k47g9e5433tqj:n4?:181>4b3>o0jh0;6=4=:0f73`d5:3>d65?2wpm;m50;296?7c<1;1m=<7;|yb2f<72909650;095a2?;3k;>o5r{`4b>5<72;0:h96i:`21g>{|i?i1<7>52;3g0<6=i98m7pun6b83>5<528n?5<4n0228y~g1k3:1<7<51e6:6?g7:m1vwl8l:183>7<6l=386l>=e:xe3e=83:1>7?k4869e5563tqj:l4?:181>4b3i90j<><4}zc5e?6=8381=i:n6;c37==zshh0;6=4=:0f7f=ii7o?519~d0f290;6?4>d5a7>d62>2wpm;o50;296?7c50;095a2c>3k;:55r{`4b>5<72;0:h9jl:`25a>{|i?k1<7>52;3g0`4=i9=?7pun6`83>5<528n?i54n06c8y~g1i3:1<7<51e6fa?g7091vwl8n:183>7<6l=l?6l>76:xe3g=83:1>7?k4gc9e5>d3tqj:l4?:181>4b2890j<4<4}zc5e?6=8381=i;?6;c3===zshh0;6=4=:0f65=d407>d6e>2wpm;o50;296?7c=;k1m=ll;|yb2d<72909650;095a34>3k;o55r{`4b>5<72;0:h8=l:`2`a>{|i?k1<7>52;3g114=i9n?7pun6`83>5<528n>854n0ec8y~g1i3:1<7<51e77a?g7m91vwl8n:183>7<6lj6:xe3g=83:1>7?k54c9e5cd3tqj:l4?:181>4b2>90jh0;6=4=:0f63=119~d0f290;6?4>d4:7>d76>2wpm;o50;296?7c=1k1m50;095a3>>3k:>55r{`4b>5<72;0:h87l:`31a>{|i?k1<7>52;3g1d4=i89?7pun6`83>5<528n>m54n12c8y~g1i3:1<7<51e7ba?g6<91vwl8n:183>7<6l7?k5cc9e42d3tqj:l4?:181>4b2k90j=8<4}zc5e?6=8381=i;l6;c21==zshi6sta7c94?6=:3;o9i<5a047?x}f>h0;6=4=:0f6`=719~d0f290;6?4>d4g7>d70>2wpm;o50;296?7c=lk1m<9l;|yb2d<72909650;095a3a>3k:455r{`4b>5<72;0:h8hl:`3;a>{|i?k1<7>52;3g254=i83?7pun6`83>5<528n=<54n18c8y~g1i3:1<7<51e43a?g6i91vwl8n:183>7<6l?;?6l?n6:xe3g=83:1>7?k60c9e4gd3tqj:l4?:181>4b1:90j=o<4}zc5e?6=8381=i8=6;c2f==zsh<5a0a7?x}f>h0;6=4=:0f57=d19~d0f290;6?4>d767>d7c>2wpm;o50;296?7c>=k1m50;095a02>3k:i55r{`4b>5<72;0:h;;l:`3fa>{|i?k1<7>52;3g234=i8l?7pun6`83>5<528n=:54n1gc8y~g1i3:1<7<51e45a?g5891vwl8n:183>7<6l?=?6l7?k66c9e76d3tqj:l4?:181>4b1090j><<4}zc5e?6=8381=i876;c15==zshd2h8:i6sta7c94?6=:3;o:4<5a307?x}f>h0;6=4=:0f5==d7c7>d44>2wpm;o50;296?7c>hk1m?=l;|yb2d<72909650;095a0e>3k9855r{`4b>5<72;0:h;ll:`07a>{|i?k1<7>52;3g2f4=i;??7pun6`83>5<528n=o54n24c8y~g1i3:1<7<51e4`a?g5>91vwl8n:183>7<6l?n?6l<96:xe3g=83:1>7?k6ec9e70d3tqj:l4?:181>4b1m90j>:<4}zc5e?6=8381=i8j6;c13==zshh0;6=4=:0f5b=d627>d4>>2wpm;o50;296?7c?9k1m?7l;|yb2d<72909650;095a16>3k9m55r{`4b>5<72;0:h:?l:`0ba>{|i?k1<7>52;3g374=i;h?7pun6`83>5<528n<>54n2cc8y~g1i3:1<7<51e51a?g5k91vwl8n:183>7<6l>9?6l7?k72c9e7ed3tqj:l4?:181>4b0<90j>i<4}zc5e?6=8381=i9;6;c1`==zshh0;6=4=:0f41=i7o=f19~d0f290;6?4>d647>d4a>2wpm;o50;296?7c??k1m?hl;|yb2d<72909650;095a10>3k8<55r{`4b>5<72;0:h:9l:`13a>{|i?k1<7>52;3g3=4=i:;?7pun6`83>5<528n<454n30c8y~g1i3:1<7<51e5;a?g4:91vwl8n:183>7<6l>3?6l==6:xe3g=83:1>7?k78c9e64d3tqj:l4?:181>4b0i90j?><4}zc5e?6=8381=i9n6;c07==zshh0;6=4=:0f4f=d6`e>d52:2wpm;m50;296?7c?j:1m>;>;|yb2f<72909650;095a1d:3k8995r{`4b>5<72;0:h:ml:`161>{|i?k1<7>52;3g3a4=i:?i7pun6`83>5<528n>1vwl8n:183>7<6l>o?6l=9d:xe3g=83:1>7?k7dc9e6143tqj:l4?:181>4b0n90j?:74}zc5e?6=8381=i9i6;c03c=zshh0;6=4=:0f;4=d92b>d5>=2wpm;m50;296?7c09h1m>7=;|yb2f<72909650;095a>7l3k85;5r{`4b>5<72;0:h5?6:`1:3>{|i?i1<7>52;3g<4g=i:327pun6b83>5<528n3=o4n38`8y~g1k3:1<7<51e:2g?g4111vwl8l:183>7<6l1;o6l=6a:xe3e=83:1>7?k80g9e6?d3tqj:l4?:181>4b?:h0j?4j4}zc5g?6=8381=i6=b;c0=c=zshj0;6=4=:0f;6`d91a>d5f;2wpm;m50;296?7c0:i1m>o:;|yb2f<72909650;095a>4m3k8m95r{`4`>5<72;0:h5=i:`1b2>{|i?i1<7>52;3g<16=i:k37pun6`83>5<528n38n4n3`;8y~g1k3:1<7<51e:7`?g4ih1vwl8l:183>7<6l1>n6l=nb:xe3e=83:1>7?k85d9e6gd3tqj:n4?:181>4b?=90j?lj4}zc5g?6=8381=i6:1;c0e`=zshh0;6=4=:0f;2<d956>d5d?2wpm;o50;296?7c0>h1m>mk;|yb2d<72909650;095a>?:3k8h95r{`4`>5<72;0:h56<:`1g1>{|i?i1<7>52;3g<=2=i:n=7pun6b83>5<528n3484n3e58y~g1k3:1<7<51e:;2?g4l11vwl8n:183>7<6l1396l=k9:xe3e=83:1>7?k8819e6bf3tqj:n4?:181>4b?1=0j?il4}zc5g?6=8381=i665;c0`f=zshh0;6=4=:0f;e6d9c6>d5b92wpm;m50;296?7c0h<1m>k=;|yb2f<72909650;095a>f03k8i95r{`4b>5<72;0:h5l;:`1f1>{|i?k1<7>52;3g5<528n3nk4n3g28y~g1k3:1<7<51e:`4?g4n81vwl8l:183>7<6l1i:6l=i4:xe3e=83:1>7?k8b09e6`53tqj:n4?:181>4b?k:0j?k=4}zc5g?6=8381=i6l4;c0b0=zshj0;6=4=:0f;`3d9f;>d5al2wpm;m50;296?7c0m31m>hj;|yb2f<72909650;095a>b>3k?<<5r{`4b>5<72;0:h5km:`632>{|i?i1<7>52;3g<`e=i=:<7pun6b83>5<528n3ii4n41c8y~g1k3:1<7<51e:fa?g3811vwl8l:183>7<6l1om6l:?9:xe3e=83:1>7?k8g29e16e3tqj:l4?:181>4b?nj0j8=m4}zc5e?6=8381=i7?1;c754=zsh:>6sta7a94?6=:3;o5==5a536?x}f>j0;6=4=:0f:41d825>d26>2wpm;o50;296?7c1881m9?8;|yb2f<72909650;095a?6<3k?=45r{`4`>5<72;0:h4?::`62e>{|i?i1<7>52;3g=40=i=;i7pun6b83>5<528n2=:4n40a8y~g1i3:1<7<51e;17?g39m1vwl8l:183>7<6l08?6l:=1:xe3e=83:1>7?k9379e1473tqj:n4?:181>4b>:?0j89>6sta7c94?6=:3;o5>:5a500?x}f>j0;6=4=:0f:700qvo9c;294?4=9m38:7o;249~d0d290;6?4>d814>d25>2wpm;m50;296?7c1:21m9<8;|yb2f<72909650;095a?3=3k?>45r{`4b>5<72;0:h4:m:`61b>{|i?i1<7>52;3g=1e=i=9;7pun6b83>5<528n28i4n4238y~g1k3:1<7<51e;7a?g3;;1vwl8l:183>7<6l0>m6l:<3:xe3e=83:1>7?k9429e1533tqj:l4?:181>4b>=j0j8>;4}zc5e?6=8391=i792;c704d847>d24l2wpm;m50;296?7c1?k1m9:9;|yb2f<72909650;095a?1>3k?8>5r{`4`>5<72;0:h488:`60b>{|i?i1<7>52;3g=3>=i=9h7pun6b83>5<528n2:44n42g8y~g1i3:1<7<51e;;0?g3<>1vwl8l:183>7<6l02>6l:;8:xe3e=83:1>7?k9949e12>3tqj:n4?:181>4b>0>0j89o4}zc5g?6=8381=i778;c70g=zsh>2h>?o6sta7c94?6=:3;o54;5a56g?x}f>j0;6=4=:0f:=3d8;;>d23m2wpm;m50;296?7c1031m9:i;|yb2f<72909650;095a?f>3k?9>5r{`4`>5<72;0:h4o8:`660>{|i?i1<7>52;3g=d>=i=?<7pun6b83>5<528n2m44n4478y~g1k3:1<7<51e;be?g3=?1vwl8l:183>7<6l0ki6l::8:xe3g=83:1>7?k9c59e13>3tqj:n4?:181>4b>j10j88o4}zc5g?6=8381=i7m9;c71g=zsh>o6sta7a94?6=:3;o5ol5a57g?x}f>j0;6=4=:0f:ffd8a:>d2192wpm;m50;296?7c1jk1m98<;|yb2f<72909650;095a?dk3k?:?5r{`4`>5<72;0:h4mk:`650>{|i?k1<7>52;3g=a?=i=<>7pun6b83>5<528n2hl4n4758y~g1k3:1<7<51e;gf?g3>?1vwl8l:183>7<6l0nh6l:98:xe3e=83:1>7?k9ef9e10>3tqj:n4?:181>4b>ll0j8;o4}zc5e?6=8381=i7ja;c72g=zsh<=6sta7c94?6=:3;o5k85a554?x}f>j0;6=4=:0f:b2k0qvo9c;294?4=9m3m47o;799~d0d290;6?4>d8d:>d2012wpm;m50;296?7c1ok1m99m;|yb2d<72909650;095ag7=3k?;k5r{`4`>5<72;0:hl>9:`64`>{|i?i1<7>52;3ge51=i==n7pun6b83>5<528nj<54n4928y~g1i3:1<7<51ec26?g3081vwl8l:183>7<6lh;86l:74:xe3e=83:1>7?ka069e1>53tqj:n4?:181>4bf9<0j85=4}zc5g?6=8381=io>6;c7<0=zsh3:6sta7a94?6=:3;om??5a5::?x}f>j0;6=4=:0fb67d`07>d2?i2wpm;o50;296?7ci;o1m96m;|yb2f<72909650;095ag483k?4i5r{`4`>5<72;0:hl=>:`6;a>{|i?i1<7>52;3ge64=i=2m7pun6b83>5<528nj?>4n4828y~g1i3:1<7<51ec0b?g3181vwl8l:183>7<6lh>;6l:62:xe3e=83:1>7?ka539e1?43tqj:n4?:181>4bf<;0j84:4}zc5g?6=8381=io;3;c7=0=zsh2:6sta7c94?6=:3;om8>5a5;4?x}f>j0;6=4=:0fb14>7o;989~d0d290;6?4>d`70>d2>i2wpm;m50;296?7ci<>1m97m;|yb2f<72909650;095ag193k?5i5r{`4`>5<72;0:hl8=:`6:a>{|i?i1<7>52;3ge35=i=3m7pun6b83>5<528nj:94n4`28y~g1k3:1<7<51ec51?g3i81vwl8l:183>7<6lh<=6l:n2:xe3g=83:1>7?ka609e1g43tqj:n4?:181>4bf?:0j8l:4}zc5g?6=8381=io84;c7e0=zshj:6sta7a94?6=:3;om:85a5c4?x}f>j0;6=4=:0fb32d`:7>d2fi2wpm;m50;296?7ci1?1m9om;|yb2f<72909650;095ag??3k?mi5r{`4`>5<72;0:hl67:`6ba>{|i?k1<7>52;3ge<2=i=km7pun6b83>5<528nj584n4c28y~g1k3:1<7<51ec:2?g3j81vwl8l:183>7<6lh3<6l:m2:xe3e=83:1>7?ka8:9e1d43tqj:n4?:181>4bf100j8o:4}zc5e?6=8381=ion5;c7f0=zshi:6sta7a94?6=:3;oml95a5`4?x}f>j0;6=4=:0fbe=d`cb>d2ei2wpm;o50;296?7cik<1m9lm;|yb2f<72909650;095age03k?ni5r{`4`>5<72;0:hll6:`6aa>{|i?i1<7>52;3gegg=i=hm7pun6b83>5<528njno4n4b28y~g1i3:1<7<51ec`3?g3k81vwl8l:183>7<6lhi36l:l2:xe3e=83:1>7?kab;9e1e43tqj:n4?:181>4bfkh0j8n:4}zc5g?6=8381=iolb;c7g0=zshh:6sta7c94?6=:3;omi65a5a4?x}f>j0;6=4=:0fb`<d`fa>d2di2wpm;m50;296?7cimi1m9mm;|yb2f<72909650;095agb13k?oi5r{`4`>5<72;0:hlkn:`6`a>{|i?i1<7>52;3ge`d=i=im7pun6b83>5<528njin4n4e28y~g1k3:1<7<51ecf`?g3l81vwl8l:183>7<6lhon6l:k2:xe3g=83:1>7?kagc9e1b43tqj:n4?:181>4bfnk0j8i:4}zc5g?6=8381=ioic;c7`0=zsho:6sta7a94?6=:3;omkk5a5f4?x}f>j0;6=4=:0fbbcdc2`>d2ci2wpm;m50;296?7cj9n1m9jm;|yb2f<72909650;095ad7n3k?hi5r{`4`>5<72;0:ho??:`6ga>{|i?k1<7>52;3gf4e=i=nm7pun6b83>5<528ni=i4n4d28y~g1k3:1<7<51e`2a?g3m81vwl8l:183>7<6lk;m6l:j2:xe3e=83:1>7?kb329e1c43tqj:n4?:181>4be:80j8h:4}zc5e?6=8381=il=d;c7a0=zshn:6sta7a94?6=:3;on?h5a5g4?x}f>j0;6=4=:0fa75dc11>d2bi2wpm;o50;296?7cj:o1m9km;|yb2f<72909650;095ad383k?ii5r{`4`>5<72;0:ho:>:`6fa>{|i?i1<7>52;3gf14=i=om7pun6b83>5<528ni8>4n4g28y~g1i3:1<7<51e`7b?g3n81vwl8l:183>7<6lk?;6l:i2:xe3e=83:1>7?kb439e1`43tqj:n4?:181>4be=;0j8k:4}zc5g?6=8381=il:3;c7b0=zshm:6sta7c94?6=:3;on;>5a5d4?x}f>j0;6=4=:0fa247o;f89~d0d290;6?4>dc40>d2ai2wpm;m50;296?7cj?>1m9hm;|yb2f<72909650;095ad093k?ji5r{`4`>5<72;0:ho9=:`6ea>{|i?i1<7>52;3gf25=i=lm7pun6b83>5<528ni;94n5128y~g1k3:1<7<51e`41?g2881vwl8l:183>7<6lk==6l;?2:xe3g=83:1>7?kb909e0643tqj:n4?:181>4be0:0j9=:4}zc5g?6=8381=il74;c640=zsh22h?;:6sta7a94?6=:3;on585a424?x}f>j0;6=4=:0fa<2dc;7>d37i2wpm;m50;296?7cj0?1m8>m;|yb2f<72909650;095ad>?3k>5<72;0:ho77:`73a>{|i?k1<7>52;3gfd2=i<:m7pun6b83>5<528nim84n5028y~g1k3:1<7<51e`b2?g2981vwl8l:183>7<6lkk<6l;>2:xe3e=83:1>7?kb`:9e0743tqj:n4?:181>4bei00j9<:4}zc5e?6=8381=ilm5;c650=zshj0;6=4=:0faf=dc`b>d36i2wpm;o50;296?7cjj<1m8?m;|yb2d<72909650;095addk3k>><5r{`4`>5<72;0:homk:`716>{|i?i1<7>52;3gffc=i<887pun6b83>5<528niok4n5368y~g1k3:1<7<51e`g4?g2:<1vwl8n:183>7<6lknh6l;=6:xe3e=83:1>7?kbef9e0403tqj:n4?:181>4bell0j9?64}zc5g?6=8381=ilkf;c66<=zshh0;6=4=:0faaadcge>d35m2wpm;m50;296?7cjo:1m850;095ada:3k>?<5r{`4b>5<72;0:hohj:`706>{|i?i1<7>52;3gfc`=i<987pun6b83>5<528nh<=4n5268y~g1k3:1<7<51ea35?g2;<1vwl8l:183>7<6lj:96l;<6:xe3e=83:1>7?kc119e0503tqj:l4?:181>4bd8o0j9>64}zc5g?6=8381=im>0;c67<=zshj0;6=4=:0f`56db03>d34m2wpm;o50;296?7ck;>1m8:=;|yb2d<72909650;095ae5k3k>8l5r{`4b>5<72;0:hn=?:`77a>{|i?k1<7>52;3gg62=i5<528nh?54n5448y~g1i3:1<7<51ea0g?g2=h1vwl8n:183>7<6lj>;6l;:e:xe3g=83:1>7?kc569e0053tqj:l4?:181>4bd<10j9;84}zc5e?6=8381=im;c;c62d=zshj0;6=4=:0f`17:0qvo9c;294?4=9mi>?7o:709~d0d290;6?4>db77>d30:2wpm;m50;296?7ck50;095ae1=3k>;55r{`4b>5<72;0:hn86:`74g>{|i?k1<7>52;3gg3b=i<2;7pun6`83>5<528nh;<4n5968y~g1i3:1<7<51ea41?g2011vwl8n:183>7<6lj=26l;7c:xe3g=83:1>7?kc6f9e0?73tqj:l4?:181>4bd080j94:4}zc5e?6=8381=im75;c6===zsh>2h?2o6sta7a94?6=:3;oo5o5a4;g?x}f>j0;6=4=:0f`db:g>d3f82wpm;m50;296?7ck1o1m8o>;|yb2d<72909650;095ae>j3k>m>5r{`4`>5<72;0:hn7l:`7b0>{|i?i1<7>52;3gg7pun6b83>5<528nh5h4n5`48y~g1k3:1<7<51ea:b?g2i>1vwl8n:183>7<6ljki6l;n8:xe3e=83:1>7?kc`a9e0g>3tqj:n4?:181>4bdim0j9lo4}zc5g?6=8381=imne;c6eg=zsh5a4cg?x}f>h0;6=4=:0f`ffdb`f>d3e82wpm;m50;296?7ckkl1m8l>;|yb2f<72909650;095aed93k>n>5r{`4b>5<72;0:hnmk:`7a0>{|i?i1<7>52;3ggfc=i7pun6b83>5<528nhok4n5c48y~g1k3:1<7<51eag4?g2j>1vwl8l:183>7<6ljn:6l;m8:xe3e=83:1>7?kce09e0d>3tqj:l4?:181>4bdll0j9oo4}zc5g?6=8381=imkf;c6fg=zshj0;6=4=:0f`a7dbge>d3d82wpm;m50;296?7cko:1m8m>;|yb2f<72909650;095aea:3k>o>5r{`4`>5<72;0:hnh<:`7`0>{|i?i1<7>52;3ggc2=i7pun6`83>5<528no<=4n5b48y~g1k3:1<7<51ef35?g2k>1vwl8l:183>7<6lm:96l;l8:xe3e=83:1>7?kd119e0e>3tqj:n4?:181>4bc8=0j9no4}zc5g?6=8381=ij?5;c6gg=zshh0;6=4=:0fg5<0qvo9a;294?4=9mn:h7o:d99~d0f290;6?4>de02>d3ck2wpm;o50;296?7cl;?1m8k?;|yb2d<72909650;095ab5l3k>i55r{`4b>5<72;0:hi=>:`7fg>{|i?k1<7>52;3g`63=i5<528no?44n5g68y~g1i3:1<7<51ef0`?g2n11vwl8n:183>7<6lm>:6l;ic:xe3g=83:1>7?kd579e3673tqj:l4?:181>4bc<00j:=:4}zc5e?6=8381=ij;d;c54==zshj0;6=4=:0fg169n0qvo9c;294?4=9mn>87o90d9~d0d290;6?4>de76>d0682wpm;o50;296?7cl;|yb2f<72909650;095ab193k==>5r{`4`>5<72;0:hi8=:`420>{|i?i1<7>52;3g`35=i?;>7pun6`83>5<528no:i4n6048y~g1k3:1<7<51ef5a?g19>1vwl8l:183>7<6lm8:xe3e=83:1>7?kd629e37>3tqj:n4?:181>4bc?80j:j0;6=4=:0fg3`8n0qvo9c;294?4=9mnde:3>d0582wpm;o50;296?7cl1i1m;<>;|yb2d<72909650;095ab><3k=>45r{`4b>5<72;0:hi7n:`41b>{|i?k1<7>52;3g`d6=i?9>7pun6b83>5<528nom<4n62:8y~g1k3:1<7<51efb6?g1;?1vwl8l:183>7<6lmk86l8<7:xe3e=83:1>7?kd`69e35>3tqj:l4?:181>4bcil0j:>o4}zc5g?6=8381=ijnf;c57g=zshj0;6=4=:0fgf7:o0qvo9c;294?4=9mni?7o93g9~d0f290;6?4>de`e>d0382wpm;o50;296?7clj91m;:;;|yb2f<72909650;095abd=3k=885r{`4`>5<72;0:him9:`472>{|i?i1<7>52;3g`f1=i?>37pun6`83>5<528noh<4n65;8y~g1k3:1<7<51efg6?g17<6lmn86l8;a:xe3e=83:1>7?kde69e32e3tqj:n4?:181>4bcl<0j:9j4}zc5e?6=8381=ijkf;c50`=zshj0;6=4=:0fga7<;0qvo9c;294?4=9mnn?7o9539~d0d290;6?4>deg7>d02;2wpm;o50;296?7clo:1m;;;;|yb2f<72909650;095aba:3k=9;5r{`4`>5<72;0:hih<:`463>{|i?i1<7>52;3g`c2=i??37pun6b83>5<528noj84n64;8y~g1i3:1<7<51eg35?g1=h1vwl8l:183>7<6ll:96l8:b:xe3e=83:1>7?ke119e33d3tqj:n4?:181>4bb8=0j:8j4}zc5g?6=8381=ik?5;c51`=zshj6sta7c94?6=:3;oi<<5a743?x}f>j0;6=4=:0ff56?;0qvo9c;294?4=9mo:87o9639~d0d290;6?4>dd36>d01;2wpm;m50;296?7cm8<1m;8;;|yb2f<72909650;095ac5;3k=:;5r{`4`>5<72;0:hh<;:`45=>{|i?i1<7>52;3ga73=i?<<7pun6b83>5<528nn>;4n67:8y~g1k3:1<7<51eg13?g1>h1vwl8n:183>7<6ll9:6l89b:xe3e=83:1>7?ke209e30b3tqj:n4?:181>4bb;:0j:;m4}zc5g?6=8381=ik<4;c52a=zshh5a753?x}f>j0;6=4=:0ff05>90qvo9c;294?4=9mo?=7o9709~d0d290;6?4>dd61>d00:2wpm;m50;296?7cm=91m;9;;|yb2d<72909650;095ac3m3k=;55r{`4`>5<72;0:hh:i:`44=>{|i?i1<7>52;3ga06=i?==7pun6b83>5<528nn9<4n6658y~g1k3:1<7<51eg66?g1?h1vwl8n:183>7<6ll?n6l88b:xe3e=83:1>7?ke4d9e31b3tqj:n4?:181>4bb>90j::m4}zc5g?6=8381=ik91;c53a=zshj0;6=4=:0ff2a190qvo9c;294?4=9mo=i7o9859~d0d290;6?4>dd4e>d0?92wpm;m50;296?7cm>:1m;6=;|yb2f<72909650;095ac0l3k=4;5r{`4b>5<72;0:hh6>:`4;e>{|i?k1<7>52;3ga=0=i?2m7pun6`83>5<528nn4o4n6868y~g1k3:1<7<51eg;g?g11>1vwl8l:183>7<6ll2o6l865:xe3e=83:1>7?ke9g9e3?13tqj:n4?:181>4bb0o0j:464}zc5e?6=8381=ik69;c5=<=zshj0;6=4=:0ffe50l0qvo9c;294?4=9moj=7o9a19~d0d290;6?4>ddc1>d0f92wpm;m50;296?7cmh91m;o<;|yb2d<72909650;095ace=3k=ml5r{`4b>5<72;0:hhlm:`4a4>{|i?k1<7>52;3gaf7=i?h=7pun6b83>5<528nno?4n6c58y~g1k3:1<7<51eg`7?g1j11vwl8l:183>7<6lli?6l8m9:xe3e=83:1>7?keb79e3df3tqj:l4?:181>4bbko0j:ol4}zc5g?6=8381=ikk0;c5ff=zshj0;6=4=:0ff`6kl0qvo9a;294?4=9mooh7o9c19~d0f290;6?4>ddg0>d0d>2wpm;o50;296?7cml31m;ml;|yb2f<72909650;095acbj3k=oh5r{`4`>5<72;0:hhkl:`4`b>{|i?i1<7>52;3ga`b=i?n;7pun6`83>5<528nnj:4n6e38y~g1k3:1<7<51ege7<6lll26l8k3:xe3e=83:1>7?kegc9e3b33tqj:n4?:181>4bbnk0j:i;4}zc5e?6=8381=ih?5;c5`3=zshj0;6=4=:0fe57l90qvo9c;294?4=9ml:?7o9e59~d0d290;6?4>dg37>d0b=2wpm;m50;296?7cn8?1m;k9;|yb2d<72909650;095a`583k=i55r{`4`>5<72;0:hk<>:`4f=>{|i?i1<7>52;3gb74=i?oj7pun6b83>5<528nm>>4n6d`8y~g1i3:1<7<51ed1`?g1mj1vwl8n:183>7<6lo986l8i2:xe3g=83:1>7?kf2;9e3`?3tqj:l4?:181>4ba;o0j:kk4}zc5e?6=8381=ih;5;c441=zshj0;6=4=:0fe17?7o8129~d0d290;6?4>dg77>d1692wpm;m50;296?7cn50;095a`183k<=:5r{`4`>5<72;0:hk8>:`52<>{|i?i1<7>52;3gb34=i>;=7pun6b83>5<528nm:>4n70;8y~g1i3:1<7<51ed5`?g09h1vwl8n:183>7<6lo=86l9=0:xe3g=83:1>7?kf6;9e2413tqj:n4?:181>4ba?h0j;?64}zc5g?6=8381=ih8b;c46<=zshh0;6=4=:0fe<2dg::>d15m2wpm;m50;296?7cn1k1m:50;095a`>=3k5<72;0:hk7m:`502>{|i?k1<7>52;3gbd7=i>9h7pun6b83>5<528nmm?4n72f8y~g1k3:1<7<51edb7?g0;o1vwl8l:183>7<6lok?6l97?kf`79e2273tqj:l4?:181>4baio0j;9?4}zc5g?6=8381=ihm0;c407=zshj0;6=4=:0fef6dga0>d13k2wpm;o50;296?7cnj31m:;=;|yb2d<72909650;095a`c=3k<9h5r{`4b>5<72;0:hkjm:`550>{|i?k1<7>52;3gb`7=i>5<528nmi:4n7628y~g1k3:1<7<51edf7<6loo26l983:xe3e=83:1>7?kfdc9e2163tqj:n4?:181>4bamk0j;:<4}zc5g?6=8381=ihjc;c430=zshj0;6=4=:0febd30qvo9c;294?4=9mlmn7o8769~d0d290;6?4>dgd`>d1002wpm;m50;296?7cnon1m:9m;|yb2d<72909650;095`67i3k<4=5r{`4`>5<72;0:i=>m:`54b>{|i?i1<7>52;3f45e=i>=o7pun6b83>5<528o;7<6m9;j6l972:xe3g=83:1>7?j0329e2>?3tqj:l4?:181>4c7:?0j;5k4}zc5e?6=8381=h>=c;c4=1=zsh65a6c3?x}f>h0;6=4=:0g37`e16b>d1e:2wpm;o50;296?7b8<:1m:l7;|yb2d<72909650;095`62k3k5<72;0:i=8=:`5`e>{|i?k1<7>52;3f43>=i>n;7pun6b83>5<528o;:44n7e68y~g1k3:1<7<51d25e?g0l:1vwl8l:183>7<6m97?j07a9e2b53tqj:n4?:181>4c7>m0j;i;4}zc5e?6=8381=h>89;c4`3=zshj0;6=4=:0g33fe15f>d1cj2wpm;o50;296?7b81k1m:jl;|yb2f<72909650;095`6?k3k5<72;0:i=6k:`5g`>{|i?i1<7>52;3f4=c=i>nn7pun6b83>5<528o;4k4n7d38y~g1i3:1<7<51d2:f?g0m;1vwl8l:183>7<6m93h6l9j6:xe3e=83:1>7?j08f9e2c23tqj:n4?:181>4c71l0j;h=4}zc5g?6=8381=h>6f;c4a1=zshj0;6=4=:0g3eae1ce>d1b12wpm;m50;296?7b8k:1m:kn;|yb2f<72909650;095`6el3k5<72;0:i=m<:`5e0>{|i?i1<7>52;3f4f2=i>l37pun6b83>5<528o;o84n7g58y~g1k3:1<7<51d2`2?g0n<1vwl8l:183>7<6m9i<6l9i6:xe3e=83:1>7?j0b:9e2`>3tqj:l4?:181>4c7l=0j;ko4}zc5g?6=8381=h>k5;c4b`=zshj0;6=4=:0g3`=e1g6>d>782wpm;m50;296?7b8l<1m5>;;|yb2f<72909650;095`6b03k3<<5r{`4`>5<72;0:i=k6:`:36>{|i?i1<7>52;3f4`g=i1:>7pun6`83>5<528o;j;4n8148y~g1k3:1<7<51d2e3?g?8h1vwl8l:183>7<6m9l36l6?9:xe3e=83:1>7?j0g;9e=603tqj:n4?:181>4c7nh0j4=64}zc5g?6=8381=h>ib;c;4g=zshj0;6=4=:0g24<e02a>d>7m2wpm;m50;296?7b99i1m5?>;|yb2d<729096198b<4450;095`76m3k3=55r{`4b>5<72;0:i<<;:`:2a>{|i?i1<7>52;3f573=i18:7pun6b83>5<528o:>;4n80d8y~g1k3:1<7<51d313?g?:91vwl8l:183>7<6m8836l6=2:xe3g=83:1>7?j1209e=443tqj:n4?:181>4c6;:0j4?84}zc5g?6=8381=h?<4;c;61=zsh85a904?x}f>h0;6=4=:0g205e061>d>512wpm;m50;296?7b9=91m5458b<7e50;095`73m3k3>i5r{`4`>5<72;0:i<:i:`:04>{|i?i1<7>52;3f506=i18n7pun6b83>5<528o:9<4n83d8y~g1k3:1<7<51d366?g?;81vwl8n:183>7<6m8?h6l6<2:xe3e=83:1>7?j14f9e=523tqj:n4?:181>4c6=l0j4>=4}zc5g?6=8381=h?:f;c;71=zshj0;6=4=:0g22ge04g>d>412wpm;m50;296?7b9?o1m5=m;|yb2d<729096798b<6e50;095`7013k3?k5r{`4`>5<72;0:i<9n:`:74>{|i?i1<7>52;3f52d=i19o7pun6b83>5<528o:;n4n82g8y~g1k3:1<7<51d34`?g?<81vwl8n:183>7<6m8226l6;2:xe3e=83:1>7?j19c9e=223tqj:n4?:181>4c60k0j4984}zc5g?6=8381=h?7c;c;06=zshc2h2?86sta7a94?6=:3;n=5k5a964?x}f>h0;6=4=:0g2=de0;`>d>312wpm;m50;296?7b90n1m5:n;|yb2f<7290969d8b<1e50;095`7f03k38i5r{`4`>5<72;0:i{|i?i1<7>52;3f5dg=i1?:7pun6b83>5<528o:mo4n85g8y~g1k3:1<7<51d3bg?g?7<6m8ko6l6:2:xe3g=83:1>7?j1c;9e=343tqj:n4?:181>4c6jh0j4884}zc5g?6=8381=h?mb;c;12=zsh86sta7a94?6=:3;n=oj5a976?x}f>j0;6=4=:0g2f`e0aa>d>2k2wpm;m50;296?7b9ji1m5;k;|yb2f<729096ce8b<0g50;095`7dm3k39o5r{`4`>5<72;0:i{|i?k1<7>52;3f5ad=i1?m7pun6b83>5<528o:hn4n8708y~g1k3:1<7<51d3g`?g?>:1vwl8l:183>7<6m8nn6l690:xe3e=83:1>7?j1ed9e=063tqj:n4?:181>4c6m90j4;:4}zc5e?6=8381=h?jc;c;20=zshj0;6=4=:0g2ace0db>d>1i2wpm;m50;296?7b9oh1m58k;|yb2f<729096fb8b<3c50;095`7al3k3:o5r{`4`>5<72;0:i{|i?i1<7>52;3f5c`=i15<528o97<6m;:o6l683:xe3e=83:1>7?j21g9e=153tqj:n4?:181>4c58o0j4::4}zc5e?6=8381=h<>9;c;30=zshj0;6=4=:0g15f=0qvo9c;294?4=9l8:h7o7789~d0f290;6?4>e304>d>0i2wpm;o50;296?7b:;n1m56?;|yb2d<72909650;095`44<3k34l5r{`4`>5<72;0:i?=::`:;=>{|i?i1<7>52;3f660=i12<7pun6b83>5<528o9?:4n89:8y~g1k3:1<7<51d007<6m;>?6l67c:xe3g=83:1>7?j25c9e=?53tqj:n4?:181>4c59k5a9;7?x}f>j0;6=4=:0g10cn7o7999~d0d290;6?4>e37`>d>>k2wpm;m50;296?7b:50;095`42n3k35o5r{`4`>5<72;0:i?8?:`::`>{|i?k1<7>52;3f63e=i13n7pun6b83>5<528o9:i4n8`28y~g1k3:1<7<51d05a?g?i81vwl8l:183>7<6m;7?j2629e=g53tqj:n4?:181>4c5?80j4l=4}zc5e?6=8381=h<8d;c;e1=zsh:h5a9c6?x}f>j0;6=4=:0g1<5e3:a>d>f12wpm;m50;296?7b:1i1m5om;|yb2f<72909650;095`4?m3k3ml5r{`4`>5<72;0:i?6i:`:b`>{|i?i1<7>52;3f6<6=i1kn7pun6`83>5<528o95n4n8`d8y~g1k3:1<7<51d0:`?g?j81vwl8l:183>7<6m;3n6l6m0:xe3e=83:1>7?j28d9e=d53tqj:n4?:181>4c5i90j4o=4}zc5g?6=8381=hlk5a9`4?x}f>j0;6=4=:0g1ece3`2>d>e12wpm;o50;296?7b:kh1m5ln;|yb2f<72909650;095`4el3k3no5r{`4`>5<72;0:i?lj:`:a`>{|i?i1<7>52;3f6g`=i1hn7pun6`83>5<528o9o44n8cd8y~g1k3:1<7<51d0`e?g?k81vwl8l:183>7<6m;ii6l6l2:xe3e=83:1>7?j2ba9e=e73tqj:n4?:181>4c5km0j4n=4}zc5g?6=8381=hil5a9a5?x}f>j0;6=4=:0g1`fe3ff>d>d12wpm;o50;296?7b:l21m5mn;|yb2f<72909650;095`4bi3k3oi5r{`4`>5<72;0:i?km:`:`g>{|i?i1<7>52;3f6`e=i1in7pun6`83>5<528o9j;4n8bd8y~g1k3:1<7<51d0e3?g?l91vwl8l:183>7<6m;l36l6k2:xe3e=83:1>7?j2g;9e=b63tqj:n4?:181>4c5nh0j4i=4}zc5e?6=8381=h=?4;c;`1=zshj0;6=4=:0g042e231>d>c12wpm;m50;296?7b;891m5jl;|yb2f<72909650;095`56=3k3ho5r{`4`>5<72;0:i>?9:`:g`>{|i?k1<7>52;3f776=i1nn7pun6b83>5<528o8><4n8ed8y~g1k3:1<7<51d116?g?m;1vwl8l:183>7<6m:886l6j0:xe3e=83:1>7?j3369e=c63tqj:n4?:181>4c4:<0j4h=4}zc5e?6=8381=h=<1;c;a1=zsh=5a9g5?x}f>j0;6=4=:0g071e215>d>b12wpm;o50;296?7b;=81m5kn;|yb2d<72909650;095`53m3k3j;5r{`4`>5<72;0:i>:i:`:e3>{|i?i1<7>52;3f706=i1l37pun6b83>5<528o89<4n8g;8y~g1k3:1<7<51d166?g?nh1vwl8l:183>7<6m:?86l6ib:xe3g=83:1>7?j34d9e=`d3tqj:l4?:181>4c4><0j5=<4}zc5e?6=8381=h=9b;c:4==zshd?6<2wpm;m50;296?7b;>21m4?7;|yb2f<72909650;095`50m3k2=h5r{`4`>5<72;0:i>9n:`;2f>{|i?i1<7>52;3f72d=i0;h7pun6b83>5<528o8;n4n9058y~g1k3:1<7<51d14`?g>9?1vwl8n:183>7<6m:3=6l7>f:xe3g=83:1>7?j38`9e<433tqj:l4?:181>4c4i90j5?74}zc5e?6=8381=h=n5;c:6`=zshh0;6=4=:0g0f1e2`f>d?3?2wpm;o50;296?7b;j91m4:l;|yb2d<72909650;095`5dl3k29;5r{`4b>5<72;0:i>j=:`;6f>{|i?k1<7>52;3f7a1=i0<;7pun6`83>5<528o8hn4n9778y~g1i3:1<7<51d1f5?g>>h1vwl8n:183>7<6m:o=6l79f:xe3g=83:1>7?j3d`9e<133tqj:l4?:181>4c4n90j5:74}zc5e?6=8381=h=i5;c:3`=zsh5a8::?x}f>h0;6=4=:0g740;m7o6929~d0f290;6?4>e52e>d?>02wpm;o50;296?7b<8>1m47k;|yb2d<72909650;095`2583k2m45r{`4b>5<72;0:i9<9:`;bb>{|i?k1<7>52;3f07e=i0h>7pun6b83>5<528o?>i4n9c48y~g1k3:1<7<51d61a?g>j>1vwl8l:183>7<6m=8m6l7m8:xe3e=83:1>7?j4229e3tqj:l4?:186>4c3;h0j5oo5d444>a31i3kjok5r{`4`>5<72;0:i9=m:e75f>{|i?i1<7>52;3f012=i0hm7pun6b83>5<528o??n4n9cg8y~g1k3:1<7<51d60`?g>jj1vwl8l:183>7<6m=9n6l7md:xe3e=83:1>7?j42d9`00d3tqj:n4?:181>4c3<90o9;j4}zc5g?6=8381=h:;1;cb`5=zshh0;6=4=:0g723=;7o6c09~d0d290;6?4>e54;>d?d:2wpm;m50;296?7b50;095`20<3k2o85r{`4`>5<72;0:i99::`;`2>{|i?i1<7>52;3f020=i0i<7pun6b83>5<528o?;:4n9b:8y~g1k3:1<7<51d64k01vwl8n:183>7<6m=296l7la:xe3e=83:1>7?j4919e4c30=0j5nm4}zc5g?6=8381=h:75;c:ga=zsh12h3hi6sta7c94?6=:3;n84>5a8ae?x}f>j0;6=4=:0g7=42>7o6d09~d0d290;6?4>e5;0>d?c:2wpm;m50;296?7b<0>1m4j<;|yb2d<72909650;095`2>n3k2h85r{`4`>5<72;0:i9o?:`;g2>{|i?i1<7>52;3f0d7=i0n<7pun6b83>5<528o?m?4n9e:8y~g1k3:1<7<51d6b7?g>l01vwl8n:183>7<6m=km6l7ka:xe3e=83:1>7?j4c29e4c3j80j5ik4}zc5g?6=8381=h:m2;c:`f=zshh0;6=4=:0g7g5h=7o6e09~d0d290;6?4>e5a1>d?b:2wpm;m50;296?7b50;095`2d=3k2i85r{`4b>5<72;0:i9j>:`;f2>{|i?i1<7>52;3f0a4=i0o<7pun6b83>5<528o?h>4n9dc8y~g1k3:1<7<51d6g0?g>m11vwl8l:183>7<6m=n>6l7j9:xe3e=83:1>7?j4e49e4c3m;0j5hm4}zc5g?6=8381=h:j3;c:ac=zshj0;6=4=:0g7a3m<7o6f09~d0f290;6?4>e5d5>d?a?2wpm;m50;296?7b50;095`2a13k2jl5r{`4`>5<72;0:i9hn:`;ef>{|i?i1<7>52;3f0cd=i0lh7pun6`83>5<528o><:4na108y~g1k3:1<7<51d737<6m<:26lo?4:xe3e=83:1>7?j51c9ed623tqj:n4?:181>4c28k0jm=84}zc5g?6=8381=h;?c;cb42=zshj0;6=4=:0g65de43`>dg692wpm;m50;296?7b=8n1ml?=;|yb2d<72909650;095`35i3kj=45r{`4`>5<72;0:i8{|i?i1<7>52;3f17e=ih;i7pun6b83>5<528o>>i4na0a8y~g1k3:1<7<51d71a?gf9m1vwl8n:183>7<6m<9j6lo=3:xe3e=83:1>7?j52`9ed433tqj:n4?:181>4c2;j0jm?;4}zc5g?6=8381=h;h5a`0;?x}f>h0;6=4=:0g60ge46g>dg482wpm;m50;296?7b==o1ml=>;|yb2f<72909650;095`3283kj?>5r{`4b>5<72;0:i8;l:`c0=>{|i?i1<7>52;3f10b=ih9j7pun6b83>5<528o>9h4na2`8y~g1k3:1<7<51d76b?gf;j1vwl8l:183>7<6m<<;6lo7?j57c9ed5b3tqj:n4?:181>4c2>k0jm>h4}zc5g?6=8381=h;9c;cb04=zshh0;6=4=:0g63=e45b>dg3>2wpm;m50;296?7b=>h1ml::;|yb2f<72909650;095`3?>3kj855r{`4`>5<72;0:i868:`c7=>{|i?i1<7>52;3f1=>=ih>i7pun6b83>5<528o>444na5c8y~g1k3:1<7<51d7;e?gf7<6m<3?6lo;d:xe3e=83:1>7?j5879ed2b3tqj:n4?:181>4c21?0jm8>4}zc5g?6=8381=h;67;cb0c=zsh=6sta7c94?6=:3;n9l<5a`71?x}f>j0;6=4=:0g6e6e4c6>dg2<2wpm;m50;296?7b=h<1ml;:;|yb2f<72909650;095`3e;3kj955r{`4`>5<72;0:i8l;:`c6=>{|i?i1<7>52;3f1g3=ih?h7pun6b83>5<528o>n;4na4c8y~g1k3:1<7<51d7a3?gf=k1vwl8l:183>7<6m7?j5b69ed3b3tqj:n4?:181>4c2k<0jm8h4}zc5g?6=8381=h;l6;cb24=zshh0;6=4=:0g6`7e4f7>dg1>2wpm;m50;296?7b=m?1ml8:;|yb2f<72909650;095`3b83kj:55r{`4`>5<72;0:i8k>:`c5=>{|i?i1<7>52;3f1`4=ih5<528o>i>4na7c8y~g1k3:1<7<51d7f0?gf>j1vwl8n:183>7<6m7?j5dd9ed0b3tqj:n4?:181>4c2n90jm:>4}zc5g?6=8381=h;i1;cb2c=zshj0;6=4=:0g6ba90qvo9c;294?4=9l?mi7on749~d0d290;6?4>e4de>dg0<2wpm;m50;296?7b>9:1ml99;|yb2d<72909650;095`07j3kj;55r{`4`>5<72;0:i;>l:`c4e>{|i?i1<7>52;3f25b=ih=27pun6b83>5<528o=7<6m?;26lo8d:xe3e=83:1>7?j60c9ed1a3tqj:n4?:181>4c19k0jm:k4}zc5g?6=8381=h8>c;cb<5=zshj0;6=4=:0g56=0qvo9c;294?4=9l<957on829~d0d290;6?4>e70b>dg?=2wpm;o50;296?7b>:>1ml69;|yb2f<72909650;095`04>3kj4l5r{`4`>5<72;0:i;=8:`c;<>{|i?i1<7>52;3f26>=ih227pun6b83>5<528o=?44na9`8y~g1i3:1<7<51d471?gf0j1vwl8l:183>7<6m?>=6lo7d:xe3e=83:1>7?j6559ed?73tqj:n4?:181>4c1<10jm5k4}zc5g?6=8381=h8;9;cba32=3n>955r{`4`>5<72;0:i;;8:e76g>{|i?i1<7>52;3f20>=l5<528o=9h4na858y~g1k3:1<7<51d46=?gf1<1vwl8l:183>7<6m??j6lo63:xe3e=83:1>7?j64`9ed?33tqj:n4?:181>4c1=j0o9874}zc5g?6=8381=h8:d;f61g=zshj0;6=4=:0g53de75`>dg>k2wpm;o50;297?7b>1<1mlo=:`c:`>{|i?i1<7>52;3f2=1=ih3n7pun6b83>5<528o=454na8d8y~g1k3:1<7<51d4;=?gfi91vwl8l:183>7<6m?2o6lon7:xe3e=83:1>7?j69c9edg13tqj:n4?:181>4c10k0jml:4}zc5g?6=8381=h87c;cbe0=zshe7c1>dgfi2wpm;m50;296?7b>h91mlo6;|yb2f<72909650;095`0f03kjn?5r{`4`>5<72;0:i;o::`cbb>{|i?i1<7>52;3f2d0=ihkn7pun6b83>5<528o=m:4nac38y~g1i3:1<7=51d4ag?gfj10jmo=4}zc5g?6=8381=h8md;cbf1=zshj0;6=4=:0g5g6e7a2>dgei2wpm;m50;296?7b>j81mllm;|yb2d<7290865<528o=h54nab28y~g1k3:1<7<51d4g=?gfjo1vwl8l:183>7<6m?nj6lol1:xe3e=83:1>7?j6eg9ede?3tqj:n4?:181>4c1lk0jmn;4}zc5g?6=8381=h8kc;cbg1=zshj0;6=4=:0g5b6e7d6>dgdi2wpm;m50;296?7b>o<1mlmm;|yb2f<72909650;795`17;3kjh44nae69<6sta7a94?6=:3;n;=:58b75?x}f>j0;6=4=:0g440e625>dgcj2wpm;m50;296?7b?9=1mljl;|yb2f<72909650;095`1713kjh;5r{`4`>5<72;0:i:>n:`cg3>{|i?i1<7>52;3f35d=0j?>7pun6b83>5<528o<d=;1vwl8n:183>0<6m>996loj4;cb`c50;095`14<32h495r{`4`>5<72;0:i:=k:`cf=>{|i?i1<7>52;3f363=iho=7pun6b83>5<528o7<6m>936loj1:xe3e=83:1>7?j72;9edc53tqj:n4?:181>4c0;h03o5<4}zc5g?6=8381=h9dgbi32hm447c`78y~g1k3:1<7<51d556?>dik1vwl8l:183>7<6m><865mnc:xe3e=83:1>7?j77a9ed`33tqj:n4?:181>4c0>=0jmk?4}zc5g?6=8381=h995;cbb7=zshj0;6=4=:0g42=e64b>=ef>2wpm;m50;296?7b??h14no8;|yb2d<7290=6e6;1>=ec<2wpm;m50;296?7b?0h1mlhn;|yb2f<72909650;095`1><3kjj55r{`4`>5<72;0:i:7::e740>{|i?i1<7>52;3f3<0=l<=>7pun6b83>5<528o<5:4k5648y~g1k3:1<7<51d5:dl;1vwl8l:183>7<6m>3265mle:xe3e=83:1>7?j78c94c0jo0jn=>5a`da>=ea132hj85r{`4`>5<72;0:i:m?:9aef>{|i?i1<7>52;3f3f7=0jlh7pun6b83>5<528o7<6m>i86ll?3:xe3e=83:1>7?j7b69ed`d3tqj:n4?:181>4c0k<0jmkj4}zc5g?6=8381=h9l6;cbb`=zshj0;6=4=:0g4g<4>e6d7>dd693ki5<72;0:i:h::``3`>{|i?i1<7>52;3f3c0=ik:n7pun6b83>5<528o7<6m>l36ll>4:xe3e=83:1>7?j7g;9eg753tqj:n4?:181>4c0nh0jn<=4}zc5e?6=8391=h6?f;ca5fe932>dd612wpm;m50;296?7b0881mo?n;|yb2f<72909650;095`>6;3ki=k5r{`4`>5<72;0:i5?;:``2`>{|i?i1<7>52;3f<43=ik;n7pun6`83>5<528o3>l4nb308y~g1i3:1<7<51d:1b?ge:>1vwl8n:183>7<6m19>6ll=d:xe3e=83:1>7?j8249eg563tqj:n4?:181>4c?;>0jn?k4}zc5g?6=8381=h6<8;ca6c=zsh2hh8<6sta7a94?6=:3;n4>o5ac11?x}f>h0;6=4=:0g;03e96;>dd4<2wpm;m50;296?7b0=31mo=:;|yb2f<72909650;095`>3j3ki?55r{`4b>5<72;0:i5;8:``0=>{|i?i1<7>52;3f<0>=ik9o7pun6b83>5<528o3944nb2c8y~g1k3:1<7<51d:6e?ge;k1vwl8l:183>7<6m1?i6ll7?j84a9eg5b3tqj:l4?:181>4c?>10jn>h4}zc5g?6=8381=h699;ca06=zshj0;6=4=:0g;2fe95:>dd3=2wpm;o50;296?7b0>l1mo:m;|yb2f<72909650;095`>?93ki8k5r{`4`>5<72;0:i56=:``7g>{|i?i1<7>52;3f<=5=ik>o7pun6b83>5<528o3494nb428y~g1i3:1<7<51d::4?ge=81vwl8n:183>7<6m13=6ll:7:xe3e=83:1>7?j8859eg3f3tqj:n4?:181>4c?110jn864}zc5g?6=8381=h669;ca1<=zshn6sta7c94?6=:3;n4l:5ac7`?x}f>h0;6=4=:0g;ede9`5>dd1m2wpm;m50;296?7b0k=1mo9=;|yb2f<72909650;095`>e13ki;=5r{`4`>5<72;0:i5ln:``45>{|i?i1<7>52;3f5<528o3o:4nb668y~g1i3:1<7<51d:``?ge?h1vwl8n:183>7<6m1n86ll70:xe3g=83:1>7?j8e;9eg>13tqj:l4?:181>4c?lo0jn5m4}zc5e?6=8381=h6j5;ca=7=zshh0;6=4=:0g;b20qvo9a;294?4=9l2mh7oma`9~d0f290;6?4>e820>dde82wpm;o50;296?7b1931mol9;|yb2d<72909650;095`?6=3kio?5r{`4b>5<72;0:i4?m:```<>{|i?k1<7>52;3f=77=ikin7pun6`83>5<528o2>:4nbe68y~g1i3:1<7<51d;1`?gelh1vwl8n:183>7<6m0986llj0:xe3g=83:1>7?j92;9egc13tqj:l4?:181>4c>;o0jnhm4}zc5g?6=8381=h7;0;cab5=zshj0;6=4=:0g:06e873>dda:2wpm;m50;296?7b1<;1moh9;|yb2f<72909650;095`?2;3kij>5r{`4`>5<72;0:i4;;:``e0>{|i?i1<7>52;3f=03=ikl<7pun6`83>5<528o2:<4nbg:8y~g1k3:1<7<51d;56?genj1vwl8l:183>7<6m0<86llib:xe3e=83:1>7?j9769eg`>3tqj:n4?:181>4c>><0jnko4}zc5g?6=8381=h796;caba=zshj0;6=4=:0g:31e855>de782wpm;m50;296?7b1>=1mn><;|yb2d<72909650;095`??<3kh<55r{`4`>5<72;0:i46::`a33>{|i?i1<7>52;3f==0=ij:>7pun6b83>5<528o24:4nc148y~g1k3:1<7<51d;;7<6m03?6lm?a:xe3e=83:1>7?j9879ef6b3tqj:n4?:181>4c>1?0jo=j4}zc5g?6=8381=h767;c`4g=zshh0;6=4=:0g:e0e8c4>de6;2wpm;m50;296?7b1h21mn?>;|yb2f<72909650;095`?fi3kh=85r{`4b>5<72;0:i4l9:`a22>{|i?i1<7>52;3f=g1=ij;j7pun6b83>5<528o2n54nc0;8y~g1k3:1<7<51d;a=?gd9>1vwl8l:183>7<6m0hj6lm>8:xe3e=83:1>7?j9c`9ef7e3tqj:l4?:181>4c>k>0jo2hi:j6sta7a94?6=:3;n5no5ab3g?x}f>j0;6=4=:0g:gge8f;>de5:2wpm;m50;296?7b1m31mn<:;|yb2f<72909650;095`?cj3kh>95r{`4`>5<72;0:i4jl:`a12>{|i?i1<7>52;3f=ab=ij8<7pun6`83>5<528o2i44nc3:8y~g1k3:1<7<51d;fe?gd:k1vwl8l:183>7<6m0oi6lm=c:xe3e=83:1>7?j9da9ef4>3tqj:n4?:181>4c>mm0jo?o4}zc5g?6=8381=h7je;c`6a=zshj0;6=4=:0g:bfe8df>de4:2wpm;m50;296?7b1ol1mn=<;|yb2d<72909650;095`g693kh?l5r{`4`>5<72;0:il?=:`a0g>{|i?i1<7>52;3fe45=ij9i7pun6b83>5<528oj=94nc2f8y~g1k3:1<7<51dc21?gd;l1vwl8l:183>7<6mh;=6lm7?ja309ef273tqj:l4?:181>4cf:10jo984}zc5g?6=8381=ho=9;c`0<=zshj0;6=4=:0gb6fe`1`>de292wpm;o50;296?7bi=81mn;8;|yb2f<72909650;095`g3<3kh945r{`4`>5<72;0:il:::`a6e>{|i?i1<7>52;3fe10=ij?i7pun6b83>5<528oj8:4nc4a8y~g1i3:1<7<51dc67?gd=m1vwl8n:183>7<6mh?26lm93:xe3e=83:1>7?ja4c9ef003tqj:n4?:181>4cf=k0jo;:4}zc5g?6=8381=ho:c;c`20=zshh0;6=4=:0gb2de`4`>de1i2wpm;m50;296?7bi?n1mn8m;|yb2f<72909650;095`g1n3kh:h5r{`4b>5<72;0:il9m:`a5b>{|i?i1<7>52;3fe2e=ij=87pun6b83>5<528oj;i4nc628y~g1k3:1<7<51dc4a?gd?81vwl8l:183>7<6mh=m6lm82:xe3e=83:1>7?ja929ef133tqj:l4?:181>4cf0j0jo:;4}zc5g?6=8381=ho7d;c`33=zshb2hi<56sta7a94?6=:3;nm5h5ab54?x}f>j0;6=4=:0gb=520qvo9c;294?4=9lk2=7ol7`9~d0f290;6?4>e`;g>de0j2wpm;m50;296?7bi0o1mn9l;|yb2f<72909650;095`gf83kh;i5r{`4`>5<72;0:ilo>:`a4a>{|i?i1<7>52;3fed4=ij2;7pun6`83>5<528ojmh4nc938y~g1k3:1<7<51dcbb?gd0;1vwl8l:183>7<6mhh;6lm75:xe3e=83:1>7?jac39ef>43tqj:n4?:181>4cfj;0jo5:4}zc5g?6=8381=hom3;c`<3=zsh5ab:;?x}f>j0;6=4=:0gbg47ol889~d0d290;6?4>e`a0>de?i2wpm;m50;296?7bij>1mn6l;|yb2d<72909650;095`gc93kh4h5r{`4`>5<72;0:ilj=:`a:5>{|i?i1<7>52;3fea5=ij2m7pun6b83>5<528ojh94nc828y~g1k3:1<7<51dcg1?gd1;1vwl8n:183>7<6mho:6lm63:xe3e=83:1>7?jad09ef?33tqj:n4?:181>4cfm:0jo494}zc5g?6=8381=hoj4;c`=0=zshh0;6=4=:0gbb7e`d7>de>k2wpm;m50;296?7bio?1mn7n;|yb2f<72909650;095`ga?3kh5h5r{`4b>5<72;0:io><:`a:b>{|i?i1<7>52;3ff52=ijk97pun6b83>5<528oi<84nc`28y~g1k3:1<7<51d`32?gdi81vwl8l:183>7<6mk:<6lmn3:xe3g=83:1>7?jb039efg33tqj:n4?:181>4ce9;0jol84}zc5g?6=8381=hl>3;c`e0=zshh0;6=4=:0ga5cec02>defi2wpm;m50;296?7bj;81mnol;|yb2f<72909650;095`d5l3khmh5r{`4`>5<72;0:io{|i?i1<7>52;3ff7`=ijh97pun6b83>5<528oi?=4nc`d8y~g1k3:1<7<51d`05?gdj81vwl8l:183>7<6mk996lmm3:xe3g=83:1>7?jb2g9efd33tqj:n4?:181>4ce;o0joo64}zc5g?6=8381=hl;0;c`f2=zshj0;6=4=:0ga06ec73>deem2wpm;m50;296?7bj<;1mnlm;|yb2f<72909650;095`d2;3khnn5r{`4`>5<72;0:io;;:`aab>{|i?k1<7>52;3ff36=iji;7pun6b83>5<528oi:<4ncb68y~g1k3:1<7<51d`56?gdk:1vwl8l:183>7<6mk<86lml1:xe3e=83:1>7?jb769efe53tqj:n4?:181>4ce><0jon;4}zc5e?6=8381=hl81;c`g3=zshj0;6=4=:0ga31ec55>dedj2wpm;o50;296?7bj181mnml;|yb2f<72909650;095`d?<3khok5r{`4`>5<72;0:io6::`a``>{|i?i1<7>52;3ff=0=ijin7pun6b83>5<528oi4:4nce38y~g1i3:1<7<51d`:7?gdl;1vwl8l:183>7<6mk3?6lmk6:xe3e=83:1>7?jb879efb43tqj:n4?:181>4ce1?0joi;4}zc5g?6=8381=hl67;c``1=zshj0;6=4=:0gae0ecc4>decj2wpm;m50;296?7bjh21mnjl;|yb2d<72909650;095`de;3khhh5r{`4`>5<72;0:iol;:`agb>{|i?i1<7>52;3ffg3=ijo;7pun6b83>5<528oin;4ncd38y~g1k3:1<7<51d`a3?gdm;1vwl8n:183>7<6mki86lmj3:xe3e=83:1>7?jbb69efc33tqj:n4?:181>4cek<0joh;4}zc5g?6=8381=hll6;c`a3=zshh0;6=4=:0ga`1ecf5>debj2wpm;m50;296?7bjm=1mnkl;|yb2f<72909650;095`dc13khih5r{`4b>5<72;0:iok::`afb>{|i?i1<7>52;3ff`0=ijl;7pun6b83>5<528oii:4ncg38y~g1k3:1<7<51d`f7<6mko26lmi3:xe3e=83:1>7?jbdc9ef`33tqj:l4?:181>4cen?0jok;4}zc5g?6=8381=hli7;c`b3=zshj0;6=4=:0gabdeb24>deaj2wpm;m50;296?7bk921mnhl;|yb2f<72909650;095`e7i3khjh5r{`4`>5<72;0:in>m:`aeb>{|i?i1<7>52;3fg5e=im:;7pun6`83>5<528oh=54nd138y~g1k3:1<7<51da2=?gc8;1vwl8l:183>7<6mj;j6lj?3:xe3e=83:1>7?jc0`9ea633tqj:n4?:181>4cd9j0jh=;4}zc5g?6=8381=hm>d;cg43=zsh2hn;;6sta7a94?6=:3;no?o5ae2;?x}f>j0;6=4=:0g`6geb0g>db7j2wpm;m50;296?7bk;o1mi>l;|yb2d<72909650;095`e4j3ko5<72;0:in=l:`f3b>{|i?i1<7>52;3fg6b=im;;7pun6b83>5<528oh?h4nd038y~g1k3:1<7<51da0b?gc9;1vwl8n:183>7<6mj>i6lj>3:xe3e=83:1>7?jc5a9ea733tqj:n4?:181>4cd5ae3;?x}f>h0;6=4=:0g`1fh7ok1`9~d0d290;6?4>eb7f>db6j2wpm;m50;296?7bk50;095`e193ko=h5r{`4b>5<72;0:in8k:`f2b>{|i?i1<7>52;3fg3c=im8;7pun6b83>5<528oh:k4nd338y~g1k3:1<7<51da44?gc:;1vwl8l:183>7<6mj=:6lj=3:xe3e=83:1>7?jc609ea433tqj:l4?:181>4cd?l0jh?;4}zc5g?6=8381=hm8f;cg63=zsh72hn9;6sta7a94?6=:3;no5?5ae0;?x}f>j0;6=4=:0g`<7eb:e>db5j2wpm;m50;296?7bk0:1mi50;095`e>:3ko>h5r{`4`>5<72;0:in7<:`f1b>{|i?i1<7>52;3fg<2=im9;7pun6`83>5<528ohm=4nd238y~g1k3:1<7<51dab5?gc;;1vwl8l:183>7<6mjk96lj<3:xe3e=83:1>7?jc`19ea533tqj:n4?:181>4cdi=0jh>;4}zc5g?6=8381=hmn5;cg73=zshj0;6=4=:0g`f6eb`6>db4j2wpm;m50;296?7bkk<1mi=l;|yb2d<72909650;095`ed;3ko?h5r{`4`>5<72;0:inm;:`f0b>{|i?i1<7>52;3fgf3=im>;7pun6b83>5<528oho;4nd538y~g1k3:1<7<51da`3?gc<;1vwl8n:183>7<6mjn86lj;3:xe3e=83:1>7?jce69ea233tqj:n4?:181>4cdl<0jh9;4}zc5g?6=8381=hmk6;cg03=zshh0;6=4=:0g`a1ebg5>db3j2wpm;m50;296?7bkl=1mi:l;|yb2f<72909650;095`eb13ko8h5r{`4b>5<72;0:inh::`f7b>{|i?i1<7>52;3fgc0=im?;7pun6b83>5<528ohj:4nd438y~g1k3:1<7<51dae7<6mjl26lj:3:xe3e=83:1>7?jcgc9ea333tqj:l4?:181>4cc8?0jh8;4}zc5g?6=8381=hj?7;cg12=zsh:6sta7a94?6=:3;nh=75ae7;?x}f>j0;6=4=:0gg4dee34>db2j2wpm;m50;296?7bl821mi;k;|yb2f<72909650;095`b6i3ko9h5r{`4`>5<72;0:ii?m:`f6b>{|i?i1<7>52;3f`4e=im<;7pun6`83>5<528oo>54nd738y~g1k3:1<7<51df1=?gc>:1vwl8l:183>7<6mm8j6lj92:xe3e=83:1>7?jd3`9ea033tqj:n4?:181>4cc:j0jh;;4}zc5g?6=8381=hj=d;cg23=zsh2hn=;6sta7a94?6=:3;nh>o5ae4:?x}f>j0;6=4=:0gg7gee1g>db1j2wpm;m50;296?7bl:o1mi8l;|yb2d<72909650;095`b3j3ko:h5r{`4`>5<72;0:ii:l:`f45>{|i?i1<7>52;3f`1b=im5<528oo8h4nd628y~g1k3:1<7<51df7b?gc?;1vwl8n:183>7<6mm?i6lj83:xe3e=83:1>7?jd4a9ea133tqj:n4?:181>4cc=m0jh:94}zc5g?6=8381=hj:e;cg30=zsh5ae5;?x}f>h0;6=4=:0gg2f30qvo9c;294?4=9ln=h7ok7e9~d0d290;6?4>ee4f>db0j2wpm;m50;296?7bl?l1mi9n;|yb2f<72909650;095`b093ko;h5r{`4b>5<72;0:ii9k:`f4b>{|i?k1<7>52;3f`=5=im2>7pun6`83>5<528oo444nd9`8y~g1i3:1<7<51df;b?gc181vwl8n:183>7<6mm3>6lj67:xe3e=83:1>7?jd849ea?e3tqj:n4?:181>4cc1>0jh474}zc5g?6=8381=hj68;cg===zsh2hn2m6sta7a94?6=:3;nh4o5ae;`?x}f>h0;6=4=:0gge3eec;>dbf82wpm;m50;296?7blh31mi7j;|yb2f<72909650;095`bfj3kom?5r{`4b>5<72;0:iil8:`fb7>{|i?i1<7>52;3f`g>=imk<7pun6b83>5<528oon44nd`78y~g1k3:1<7<51dfae?gci=1vwl8l:183>7<6mmhi6ljn6:xe3e=83:1>7?jdca9eag?3tqj:l4?:181>4cck10jhl74}zc5g?6=8381=hjl9;cgea=zshj0;6=4=:0gggfeef:>dbfn2wpm;m50;296?7blmk1mil<;|yb2f<72909650;095`bck3kon=5r{`4`>5<72;0:iijk:`fa6>{|i?i1<7>52;3f`ac=imh?7pun6`83>5<528ooil4ndc78y~g1k3:1<7<51dfff?gcj01vwl8l:183>7<6mmoh6ljm8:xe3e=83:1>7?jddf9ead13tqj:n4?:181>4ccml0jho94}zc5g?6=8381=hjjf;cgfd=zshj0;6=4=:0ggbaeede>dbel2wpm;m50;296?7bm9:1mim?;|yb2d<72909650;095`c7l3koo85r{`4`>5<72;0:ih>j:`f`7>{|i?i1<7>52;3fa5`=imi97pun6b83>5<528on==4ndb68y~g1k3:1<7<51dg25?gck?1vwl8n:183>7<6ml;o6ljl7:xe3e=83:1>7?je0g9eaee3tqj:n4?:181>4cb9o0jhno4}zc5g?6=8381=hk=0;cgg==zshh0;6=4=:0gf6`ed13>dbdn2wpm;m50;296?7bm:;1mimj;|yb2f<72909650;095`c4;3koh?5r{`4b>5<72;0:ih=i:`fg7>{|i?i1<7>52;3fa16=imn<7pun6b83>5<528on8<4nde48y~g1k3:1<7<51dg76?gcl=1vwl8l:183>7<6ml>86ljk5:xe3e=83:1>7?je569eab?3tqj:l4?:181>4cb=90jhi74}zc5g?6=8381=hk:1;cg`a=zshj0;6=4=:0gf1197okdd9~d0f290;6?4>ed42>dbcn2wpm;m50;296?7bm?81mik<;|yb2f<72909650;095`c1<3koi=5r{`4`>5<72;0:ih8::`ff5>{|i?i1<7>52;3fa30=imo?7pun6`83>5<528on;?4ndd78y~g1k3:1<7<51dg47?gcm01vwl8l:183>7<6ml=?6ljj7:xe3e=83:1>7?je679eac13tqj:n4?:181>4cb??0jhh64}zc5g?6=8381=hk87;cgad=zsh42hnnn6sta7a94?6=:3;ni5:5aege?x}f>j0;6=4=:0gf<0ed:4>dbbl2wpm;m50;296?7bm121mih?;|yb2d<72909650;095`c>=3koj85r{`4`>5<72;0:ih79:`fe7>{|i?i1<7>52;3fa<1=iml97pun6b83>5<528on554ndg68y~g1k3:1<7<51dg:=?gcn?1vwl8n:183>7<6mlk>6lji7:xe3e=83:1>7?je`49ea`e3tqj:n4?:181>4cbi>0jhko4}zc5g?6=8381=hkn8;cgb==zsh2hnm56sta7a94?6=:3;nilo5aed`?x}f>h0;6=4=:0gff3eda1>dc712wpm;o50;296?7bmj21mh>i;|yb2f<72909650;095`cdi3kn=<5r{`4`>5<72;0:ihmm:`g24>{|i?i1<7>52;3fafe=il;87pun6`83>5<528onh;4ne068y~g1i3:1<7<51dggg?gb9h1vwl8n:183>7<6mlo96lk=0:xe3e=83:1>7?jed19e`463tqj:n4?:181>4cbm=0ji?<4}zc5g?6=8381=hkj5;cf66=zshh0;6=4=:0gfb6edd`>dc5n2wpm;o50;296?7bn981mh=:;|yb2d<72909650;095``7k3kn?k5r{`4b>5<72;0:ik?>:`g70>{|i?k1<7>52;3fb40=il>27pun6`83>5<528om=o4ne5g8y~g1i3:1<7<51dd14?gb=:1vwl8n:183>7<6mo8>6lk:8:xe3g=83:1>7?jf3c9e`3c3tqj:l4?:181>4ca:o0ji;<4}zc5e?6=8381=hh<4;cf22=zsh2ho=o6sta7c94?6=:3;nj>k5ad52?x}f>h0;6=4=:0ge06<0qvo9a;294?4=9ll?57oj7b9~d0f290;6?4>eg6f>dc?92wpm;o50;296?7bn<91mh69;|yb2f<72909650;095``2=3kn4:5r{`4`>5<72;0:ik;9:`g;<>{|i?i1<7>52;3fb01=il2j7pun6`83>5<528om:<4ne9`8y~g1i3:1<7<51dd53?gb181vwl8n:183>7<6mo7?jf609e`?d3tqj:n4?:181>4ca?:0ji4h4}zc5g?6=8381=hh84;cf=a=zshh0;6=4=:0ge<5eg:a>dcfk2wpm;o50;296?7bn0;1mhl=;|yb2d<72909650;095``>k3knni5r{`4b>5<72;0:iko=:`g`7>{|i?k1<7>52;3fbd1=ili37pun6`83>5<528ommi4nebg8y~g1i3:1<7<51dda7?gbl=1vwl8n:183>7<6moh36lkk9:xe3g=83:1>7?jfcg9e`ba3tqj:l4?:181>4cak=0jih;4}zc5e?6=8381=hhl9;cfad=zshj0;6=4=:0gegfegf4>dcbn2wpm;o50;296?7bnmi1mhh;;|yb2d<72909650;095``b?3knjk5r{`4b>5<72;0:ikkk:`d31>{|i?k1<7>52;3fbc4=io:j7pun6b83>5<528omj>4nf1`8y~g1k3:1<7<51dde0?ga8l1vwl8l:183>7<6mol>6lh?c:xe3e=83:1>7?jfg49ec6c3tqj:n4?:181>4can>0jj=h4}zc5e?6=8381=k>?3;ce50=zshj0;6=4=:0d34df12`>d`6m2wpm;o50;296?7a88<1mk?i;|yb2f<72909650;095c6603km><5r{`4`>5<72;0:j=?6:`d16>{|i?i1<7>52;3e44g=io887pun6b83>5<528l;=o4nf368y~g1i3:1<7<51g213?ga:<1vwl8n:183>7<6n98h6lh=a:xe3e=83:1>7?i03f9ec4e3tqj:n4?:181>4`7:l0jj?k4}zc5g?6=8381=k>=f;ce6f=zsh?5ag0e?x}f>h0;6=4=:0d37af16;>d`4j2wpm;o50;296?7a8=o1mk:>;|yb2f<72909650;095c6283km895r{`4`>5<72;0:j=;>:`d76>{|i?i1<7>52;3e404=io>87pun6b83>5<528l;9>4nf548y~g1i3:1<7<51g26b?ga<>1vwl8n:183>7<6n97?i07c9ec353tqj:n4?:181>4`7>k0jj884}zc5g?6=8381=k>9c;ce10=zsh?6sta7a94?6=:3;m<;k5ag77?x}f>j0;6=4=:0d32cf1:3>d`2l2wpm;o50;296?7a81?1mk8=;|yb2d<72909650;095c6>83km:i5r{`4b>5<72;0:j=7::`d46>{|i?k1<7>52;3e45<528l;m=4nf6f8y~g1i3:1<7<51g2b1?ga0;1vwl8n:183>7<6n9ki6lh78:xe3g=83:1>7?i0c29ec>c3tqj:l4?:181>4`7j<0jj4<4}zc5e?6=8381=k>mb;ce===zshh0;6=4=:0d3ggf1f6>d`e:2wpm;o50;296?7a8mh1mkl7;|yb2d<72909650;095c6b=3kmo?5r{`4b>5<72;0:j=km:`d`<>{|i?k1<7>52;3e4c6=ioio7pun6`83>5<528l;j84nfe08y~g1i3:1<7<51g2ef?gal11vwl8n:183>7<6n8:;6lhkd:xe3g=83:1>7?i1179ecc53tqj:l4?:181>4`68k0jjh64}zc5e?6=8381=k?>0;ceaa=zshj0;6=4=:0d256f03f>d`a:2wpm;o50;296?7a9;>1mkh7;|yb2d<729096288bbcb50;095c75n3h;<>5r{`4`>5<72;0:j<=?:c230>{|i?i1<7>52;3e567=j9:>7pun6b83>5<528l:??4m0148y~g1k3:1<7<51g307?d78>1vwl8n:183>7<6n89o6o>?8:xe3g=83:1>7?i1509f56c3tqj:l4?:181>4`6<>0i<<<4}zc5e?6=8381=k?;d;`35==zshh0;6=4=:0d21a7l?2e9~d0f290;6?4>f044>g64:2wpm;o50;296?7a9?n1n==7;|yb2d<729096738a46b50;095c70?3h;8?5r{`4b>5<72;0:j<9k:c27<>{|i?k1<7>52;3e5=4=j9>o7pun6`83>5<528l:4:4m0408y~g1i3:1<7<51g3;`?d7=11vwl8n:183>7<6n8396o>:d:xe3g=83:1>7?i1859f5053tqj:l4?:181>4`61m0i<;64}zc5e?6=8381=k?n2;`32a=zsh6sta7c94?6=:3;m=lj5b15;?x}f>h0;6=4=:0d2f7n0qvo9a;294?4=9o;i;7l?839~d0d290;6?4>f0`;>g6?=2wpm;m50;296?7a9k31n=6<;|yb2f<729096b`8a4=250;095c7ej3h;4;5r{`4b>5<72;0:j{|i?k1<7>52;3e5fg=j92h7pun6`83>5<528l:ok4m0838y~g1k3:1<7<51g3g4?d71=1vwl8l:183>7<6n8n:6o>62:xe3e=83:1>7?i1e09f5?43tqj:n4?:181>4`6l:0i<4;4}zc5e?6=8381=k?kd;`3=3=zshj0;6=4=:0d2a1f0g5>g6>l2wpm;m50;296?7a9l=1n=o?;|yb2d<729096f28a4d750;095c7a<3h;m95r{`4`>5<72;0:j{|i?i1<7>52;3e5c0=j9k97pun6b83>5<528l:j:4m0`18y~g1k3:1<7<51g3e7<6n;:?6o>n7:xe3g=83:1>7?i21;9f5gd3tqj:n4?:181>4`58h0i=j5b1cf?x}f>j0;6=4=:0d14`f33a>g6e=2wpm;m50;296?7a:8i1n=l9;|yb2f<72909650;095c46m3h;n95r{`4`>5<72;0:j??i:c2a3>{|i?k1<7>52;3e67d=j9h37pun6`83>5<528l9?=4m0cf8y~g1k3:1<7<51g005?d7k91vwl8l:183>7<6n;996o>l1:xe3e=83:1>7?i2219f5db3tqj:n4?:181>4`5;=0i9<5b1a5?x}f>j0;6=4=:0d106f366>g6d=2wpm;m50;296?7a:=<1n=m7;|yb2d<72909650;095c42?3h;oh5r{`4`>5<72;0:j?;7:c2g5>{|i?i1<7>52;3e60?=j9n97pun6b83>5<528l99l4m0bd8y~g1k3:1<7<51g06f?d7l91vwl8l:183>7<6n;?h6o>k3:xe3g=83:1>7?i27:9f5b33tqj:n4?:181>4`5>00i;m5b1f5?x}f>j0;6=4=:0d12af35f>g6cn2wpm;m50;296?7a:>l1n=k=;|yb2f<72909650;095c4?93h;i=5r{`4`>5<72;0:j?6=:c2f5>{|i?i1<7>52;3e6=5=j9o?7pun6`83>5<528l94k4m0d78y~g1k3:1<7<51g0:4?d7m11vwl8l:183>7<6n;3:6o>j9:xe3e=83:1>7?i2809f5c13tqj:n4?:181>4`51:0il;5b1d3?x}f>j0;6=4=:0d1e3f3c;>g6a92wpm;m50;296?7a:h31n=h=;|yb2f<72909650;095c4e>3h;j;5r{`4`>5<72;0:j?l8:c2e=>{|i?i1<7>52;3e6g>=j9lj7pun6b83>5<528l9n44m0g58y~g1k3:1<7<51g0ae?d7n11vwl8l:183>7<6n;hi6o>ib:xe3g=83:1>7?i2b59f5`d3tqj:l4?:181>4`5kj0i==?4}zc5e?6=8381=ki:5b02a?x}f>j0;6=4=:0d1`0089~d0d290;6?4>f3f4>g77k2wpm;o50;296?7a:l91n<>k;|yb2d<72909650;095c4bm3h:=55r{`4`>5<72;0:j?ki:c32f>{|i?i1<7>52;3e6c6=j8;h7pun6b83>5<528l9j<4m10;8y~g1k3:1<7<51g0e6?d69h1vwl8l:183>7<6n;l86o?>d:xe3g=83:1>7?i2gd9f47b3tqj:l4?:181>4`48=0i=?=4}zc5g?6=8381=k=?5;`260=zshj0;6=4=:0d04=7l>299~d0f290;6?4>f234>g75l2wpm;o50;296?7a;8i1n<==;|yb2f<72909650;095c56m3h:?>5r{`4`>5<72;0:j>?i:c300>{|i?i1<7>52;3e776=j89=7pun6`83>5<528l8>l4m1258y~g1k3:1<7<51g11f?d6;h1vwl8l:183>7<6n:8h6o?7?i33f9f45?3tqj:n4?:181>4`4:l0i=>74}zc5g?6=8381=k==f;`27f=zsh5b061?x}f>j0;6=4=:0d0047l>429~d0d290;6?4>f260>g73<2wpm;m50;296?7a;=>1n<:9;|yb2d<72909650;095c53n3h:8l5r{`4`>5<72;0:j>;?:c37f>{|i?i1<7>52;3e707=j8>37pun6b83>5<528l89?4m15;8y~g1k3:1<7<51g167?d67<6n:?m6o?;d:xe3g=83:1>7?i3769f4353tqj:n4?:181>4`4><0i=8;4}zc5g?6=8381=k=96;`216=zsh86sta7a94?6=:3;m?;65b075?x}f>h0;6=4=:0d0375`9~d0d290;6?4>f257>g72j2wpm;m50;296?7a;>?1n<;7;|yb2f<72909650;095c50?3h:9n5r{`4b>5<72;0:j>6<:c36`>{|i?k1<7>52;3e7=>=j8<97pun6b83>5<528l8444m1778y~g1k3:1<7<51g1;e?d6>:1vwl8l:183>7<6n:2i6o?94:xe3e=83:1>7?i39a9f4013tqj:l4?:181>4`41?0i=;94}zc5g?6=8381=k=67;`22d=zshj0;6=4=:0d0=d6b9~d0f290;6?4>f2c4>g71l2wpm;o50;296?7a;hi1n<9=;|yb2f<72909650;095c5fm3h:;>5r{`4`>5<72;0:j>oi:c340>{|i?i1<7>52;3e7g6=j8==7pun6`83>5<528l8nl4m1658y~g1k3:1<7<51g1af?d6?h1vwl8l:183>7<6n:hh6o?8b:xe3e=83:1>7?i3cf9f41?3tqj:n4?:181>4`4jl0i=:74}zc5g?6=8381=k=mf;`23f=zsh5b0:1?x}f>j0;6=4=:0d0`47l>829~d0d290;6?4>f2f0>g7?<2wpm;m50;296?7a;m>1n<69;|yb2d<72909650;095c5cn3h:4l5r{`4`>5<72;0:j>k?:c3;f>{|i?i1<7>52;3e7`7=j8237pun6b83>5<528l8i?4m19;8y~g1k3:1<7<51g1f7?d60j1vwl8n:183>7<6n:om6o?7d:xe3g=83:1>7?i3g69f4?53tqj:n4?:181>4`4n<0i=4;4}zc5g?6=8381=k=i6;`2=6=zshh0;6=4=:0d747;?7l>9`9~d0d290;6?4>f527>g7>j2wpm;m50;296?7a<9?1n<77;|yb2f<72909650;095c27?3h:5n5r{`4b>5<72;0:j9?<:c3:`>{|i?k1<7>52;3e04>=j8k97pun6b83>5<528l?=44m1`78y~g1k3:1<7<51g62e?d6i:1vwl8l:183>7<6n=;i6o?n4:xe3e=83:1>7?i40a9f4g13tqj:l4?:181>4`3:?0i=l94}zc5g?6=8381=k:=7;`2ed=zshj0;6=4=:0d76d9n7l>ab9~d0f290;6?4>f514>g7fl2wpm;o50;296?7a<:i1n50;095c2303h:nh5r{`4b>5<72;0:j9:k:c3`7>{|i?k1<7>52;3e005=j8i27pun6`83>5<528l?944m1bd8y~g1i3:1<7<51g66a?d6l=1vwl8n:183>7<6n=7?i47c9f4c73tqj:l4?:181>4`3>o0i=h;4}zc5e?6=8381=k:85;`2ag=zsh5b0d5?x}f>h0;6=4=:0d7<33o7l=039~d0f290;6?4>f5;2>g47?2wpm;o50;296?7a<0=1n?>k;|yb2d<72909650;095c2f:3h9=55r{`4b>5<72;0:j9o7:c02a>{|i?k1<7>52;3e0dc=j;8?7pun6`83>5<528l?n>4m23;8y~g1i3:1<7<51g6a=?d5:o1vwl8n:183>7<6n=hm6o<<5:xe3g=83:1>7?i4b69f75f3tqj:l4?:181>4`3kh0i>9>4}zc5e?6=8381=k:k0;`103=zshh0;6=4=:0d7a4n:7l=5b9~d0f290;6?4>f5g`>g41:2wpm;o50;296?7a50;095c2al3h9;>5r{`4b>5<72;0:j8><:c04=>{|i?k1<7>52;3e15>=j;=n7pun6`83>5<528l>7<6n<;26o<7f:xe3g=83:1>7?i50d9f7?23tqj:l4?:181>4`2:<0i>4l4}zc5e?6=8381=k;=a;`1e5=zshj0;6=4=:0d66af40e>g4f=2wpm;o50;296?7a=:h1n?o9;|yb2d<72909650;095c33=3h9n85r{`4b>5<72;0:j8:m:c0af>{|i?k1<7>52;3e106=j;i>7pun6`83>5<528l>9;4m2b`8y~g1i3:1<7<51g76f?d5l<1vwl8n:183>7<6n<<:6o7?i5749f7c23tqj:l4?:181>4`2>j0i>hl4}zc5e?6=8381=k;81;`1b0=zshh0;6=4=:0d6<7f4:g>g56j2wpm;o50;296?7a=081n><:;|yb2d<72909650;095c3>l3h8?=5r{`4`>5<72;0:j87j:c107>{|i?i1<7>52;3e1<`=j:9:7pun6b83>5<528l>m=4m3208y~g1k3:1<7<51g7b5?d4;=1vwl8n:183>7<6n7?i5c39f65e3tqj:l4?:181>4`2j?0i?9>4}zc5g?6=8381=k;m7;`006=zshj0;6=4=:0d6fd0qvo9a;294?4=9o?h87l<449~d0f290;6?4>f4ab>g53j2wpm;o50;296?7a=jl1n>;?;|yb2f<72909650;095c3c93h89<5r{`4`>5<72;0:j8j=:c166>{|i?i1<7>52;3e1a5=j:??7pun6`83>5<528l>hi4m3478y~g1i3:1<7<51g7f7?d4=k1vwl8n:183>7<6n7?i5d;9f6043tqj:n4?:181>4`2mh0i?;?4}zc5g?6=8381=k;jb;`027=zshh0;6=4=:0d6bff721>g50;2wpm;m50;296?7a>991n>9>;|yb2f<72909650;095c07=3h8;95r{`4b>5<72;0:j;>i:c141>{|i?k1<7>52;3e243=j:=i7pun6`83>5<528l==l4m3928y~g1k3:1<7<51g42f?d40:1vwl8l:183>7<6n?;h6o=71:xe3e=83:1>7?i60f9f6>53tqj:n4?:181>4`19l0i?5:4}zc5e?6=8381=k8=8;`0<0=zsh=5b2;3?x}f>j0;6=4=:0d571f715>g5>:2wpm;m50;296?7a>:=1n>7;;|yb2d<72909650;095c03?3h85o5r{`4b>5<72;0:j;:l:c1b4>{|i?i1<7>52;3e21b=j:k87pun6b83>5<528l=8h4m3`38y~g1k3:1<7<51g47b?d4i;1vwl8l:183>7<6n??;6o=n4:xe3g=83:1>7?i64c9f6g23tqj:l4?:181>4`1>90i?ll4}zc5e?6=8381=k895;`0f5=zshj0;6=4=:0d52=f750>g5e=2wpm;o50;296?7a>>31n>lm;|yb2d<72909650;095c00n3h8o>5r{`4`>5<72;0:j;6?:c1`5>{|i?i1<7>52;3e2=7=j:i97pun6b83>5<528l=4?4m3b68y~g1i3:1<7<51g4;g?d4k<1vwl8n:183>7<6n?396o=lb:xe3g=83:1>7?i6859f6b73tqj:n4?:181>4`1110i?i=4}zc5g?6=8381=k869;`0`4=zsh6sta7a94?6=:3;m:4l5b2f7?x}f>h0;6=4=:0d5e0f7`3>g5b82wpm;m50;296?7a>k;1n>k<;|yb2f<72909650;095c0e;3h8i?5r{`4`>5<72;0:j;l;:c1f0>{|i?k1<7>52;3e2gc=j:o>7pun6`83>5<528l=o94m3d`8y~g1i3:1<7<51g4`=?d4n91vwl8l:183>7<6n?ij6o=i3:xe3e=83:1>7?i6b`9f6`63tqj:n4?:181>4`1kj0i?k<4}zc5g?6=8381=k8ld;`0b1=zshh0;6=4=:0d5a7f7g7>g2792wpm;m50;296?7a>l?1n9>=;|yb2f<72909650;095c0a83h?<85r{`4b>5<72;0:j;h9:c63f>{|i?k1<7>52;3e2cd=j=;;7pun6b83>5<528l=jn4m4018y~g1k3:1<7<51g4e`?d3981vwl8l:183>7<6n?ln6o:>2:xe3e=83:1>7?i6gd9f1733tqj:l4?:181>4`0800i8<;4}zc5e?6=8381=k9?f;`75g=zsh9<6sta7a94?6=:3;m;<;5b500?x}f>j0;6=4=:0d453f63;>g25<2wpm;o50;296?7a?;81n9<:;|yb2d<72909650;095c15l3h??=5r{`4`>5<72;0:j:{|i?i1<7>52;3e37`=j=9:7pun6b83>5<528l7<6n>9i6o:<5:xe3g=83:1>7?i7539f15e3tqj:l4?:181>4`04}zc5g?6=8381=k9;7;`706=zsh?=6sta7a94?6=:3;m;975b561?x}f>j0;6=4=:0d40d0qvo9a;294?4=9o=>87l;449~d0f290;6?4>f67b>g23j2wpm;o50;296?7a?50;095c1193h?9<5r{`4`>5<72;0:j:8=:c666>{|i?i1<7>52;3e335=j=??7pun6`83>5<528l<:i4m4478y~g1i3:1<7<51g547?d3=k1vwl8n:183>7<6n>=36o:90:xe3e=83:1>7?i76;9f1043tqj:n4?:181>4`0?h0i8;?4}zc5g?6=8381=k98b;`727=zsh=86sta7c94?6=:3;m;585b546?x}f>h0;6=4=:0d4f6;1>g20;2wpm;m50;296?7a?091n99>;|yb2f<72909650;095c1>=3h?;95r{`4b>5<72;0:j:7i:c641>{|i?k1<7>52;3e3d3=j==i7pun6`83>5<528l7<6n>kh6o:71:xe3e=83:1>7?i7`f9f1>53tqj:n4?:181>4`0il0i85:4}zc5e?6=8381=k9m8;`7<0=zsh3n6sta7c94?6=:3;m;n=5b5;3?x}f>j0;6=4=:0d4g1f6a5>g2>:2wpm;m50;296?7a?j=1n97;;|yb2d<72909650;095c1c?3h?5o5r{`4b>5<72;0:j:jl:c6b4>{|i?i1<7>52;3e3ab=j=k87pun6b83>5<528l7<6n>o;6o:n4:xe3g=83:1>7?i7dc9f1g23tqj:l4?:181>4`0n90i8ll4}zc5e?6=8381=k9i5;`7f5=zshi:6sta7c94?6=:3;m4=?5b5``?x}f>h0;6=4=:0d;43f931>g2dl2wpm;o50;296?7a08=1n9j=;|yb2d<7290867pun6b83>5<528l3=i4m4e`8y~g1k3:1<7<51g:2a?>ci?1vwl8l:183>7<6n1;m65jn7:xe3e=83:1>7?i8309f1bd3tqj:n4?:181>4`?:90i8i64}zc5g?6=8381=k6=1;`7`d=zshn<6sta7c94?6=:3;m4>95b5g0?x}f>h0;6=4=:0d;7df963>g2bk2wpm;o50;296?7a0=91n9ki;|yb2d<72909650;095c>313h?j85r{`4b>5<72;0:j5:l:c6e<>{|i?k1<7>52;3e<1`=j=li7pun6`83>5<528l39?4m4gg8y~g1i3:1<7<51g:61?d2881vwl8n:183>7<6n1?36o;?4:xe3g=83:1>7?i84`9f0603tqj:l4?:181>4`?=l0i9=o4}zc5e?6=8381=k691;`64a=zshh0;6=4=:0d;2df953>g36k2wpm;o50;296?7a0>91n8?i;|yb2d<72909650;095c>013h>>85r{`4b>5<72;0:j59l:c71<>{|i?k1<7>52;3e<2`=j<8i7pun6`83>5<528l34?4m53g8y~g1i3:1<7<51g:;1?d2;81vwl8n:183>7<6n1236o;<4:xe3g=83:1>7?i89`9f0503tqj:l4?:181>4`?0l0i9>o4}zc5e?6=8381=k661;`67a=zshh0;6=4=:0d;=df9c3>g33k2wpm;o50;296?7a0h91n8:i;|yb2d<72909650;095c>f13h>985r{`4b>5<72;0:j5ol:c76<>{|i?k1<7>52;3e5<528l3n?4m54g8y~g1i3:1<7<51g:a1?d2>81vwl8n:183>7<6n1h36o;94:xe3g=83:1>7?i8c`9f0003tqj:l4?:181>4`?jl0i9;o4}zc5e?6=8381=k6l1;`62a=zshh0;6=4=:0d;gd<0qvo9a;294?4=9o2hh7l:789~d0f290;6?4>f9f3>g30k2wpm;o50;296?7a0m91n89i;|yb2d<72909650;095c>c13h>485r{`4b>5<72;0:j5jl:c7;<>{|i?k1<7>52;3e5<528l3i?4m59g8y~g1i3:1<7<51g:f1?d2181vwl8n:183>7<6n1o36o;64:xe3g=83:1>7?i8d`9f0?03tqj:l4?:181>4`?ml0i94o4}zc5e?6=8381=k6i1;`6=a=zshh0;6=4=:0d;bdf823>g3fk2wpm;o50;296?7a1991n8oi;|yb2d<72909650;095c?713h>n85r{`4b>5<72;0:j4>l:c7a<>{|i?k1<7>52;3e=5`=j5<528l2=?4m5cg8y~g1i3:1<7<51g;21?d2k81vwl8n:183>7<6n0;36o;l4:xe3g=83:1>7?i90`9f0e03tqj:l4?:181>4`>9l0i9no4}zc5e?6=8381=k7=1;`6ga=zshh0;6=4=:0d:6df813>g3ck2wpm;o50;296?7a1:91n8ji;|yb2d<72909650;095c?413h>i85r{`4b>5<72;0:j4=l:c7f<>{|i?k1<7>52;3e=6`=j5<528l28?4m5dg8y~g1i3:1<7<51g;71?d2n81vwl8n:183>7<6n0>36o;i4:xe3g=83:1>7?i95`9f0`03tqj:l4?:181>4`>h0;6=4=:0d:1d9<0qvo9a;294?4=9o3>h7l9089~d0f290;6?4>f843>g07k2wpm;o50;296?7a1?91n;>i;|yb2d<72909650;095c?113h==85r{`4b>5<72;0:j48l:c42<>{|i?k1<7>52;3e=3`=j?;i7pun6`83>5<528l2;?4m60g8y~g1i3:1<7<51g;41?d1:81vwl8n:183>7<6n0=36o8=4:xe3g=83:1>7?i96`9f3403tqj:l4?:181>4`>?l0i:?o4}zc5e?6=8381=k771;`56a=zsh32k<8<6sta7c94?6=:3;m5595b710?x}f>h0;6=4=:0d::<0qvo9a;294?4=9o33h7l9389~d0f290;6?4>f8;3>g04k2wpm;o50;296?7a1091n;=i;|yb2d<72909650;095c?>13h=885r{`4b>5<72;0:j47l:c47<>{|i?k1<7>52;3e=<`=j?>i7pun6`83>5<528l2m?4m65g8y~g1i3:1<7<51g;b1?d1=81vwl8n:183>7<6n0k36o8:4:xe3g=83:1>7?i9``9f3303tqj:l4?:181>4`>il0i:8o4}zc5e?6=8381=k7m1;`51a=zshh0;6=4=:0d:fd?<0qvo9a;294?4=9o3ih7l9689~d0f290;6?4>f8a3>g01k2wpm;o50;296?7a1j91n;8i;|yb2d<72909650;095c?d13h=;85r{`4b>5<72;0:j4ml:c44<>{|i?k1<7>52;3e=f`=j?=i7pun6`83>5<528l2h?4m66g8y~g1i3:1<7<51g;g1?d1081vwl8n:183>7<6n0n36o874:xe3g=83:1>7?i9e`9f3>03tqj:l4?:181>4`>ll0i:5o4}zc5e?6=8381=k7j1;`5h0;6=4=:0d:ad0<0qvo9a;294?4=9o3nh7l9989~d0f290;6?4>f8d3>g0>k2wpm;o50;296?7a1o91n;7i;|yb2d<72909650;095c?a13h=m85r{`4b>5<72;0:j4hl:c4b<>{|i?k1<7>52;3e=c`=j?ki7pun6`83>5<528lj7<6nh:36o8m4:xe3g=83:1>7?ia1`9f3d03tqj:l4?:181>4`f8l0i:oo4}zc5e?6=8381=ko>1;`5fa=zshh0;6=4=:0db5dj<0qvo9a;294?4=9ok:h7l9c89~d0f290;6?4>f`03>g0dk2wpm;o50;296?7ai;91n;mi;|yb2d<72909650;095cg513h=h85r{`4b>5<72;0:jl{|i?k1<7>52;3ee7`=j?ni7pun6`83>5<528lj??4m6eg8y~g1i3:1<7<51gc01?d1m81vwl8n:183>7<6nh936o8j4:xe3g=83:1>7?ia2`9f3c03tqj:l4?:181>4`f;l0i:ho4}zc5e?6=8381=ko;1;`5aa=zshh0;6=4=:0db0do<0qvo9a;294?4=9ok?h7l9f89~d0f290;6?4>f`73>g0ak2wpm;o50;296?7ai<91n;hi;|yb2d<72909650;095cg213h<<85r{`4b>5<72;0:jl;l:c53<>{|i?k1<7>52;3ee0`=j>:i7pun6`83>5<528lj:?4m71g8y~g1i3:1<7<51gc51?d0981vwl8n:183>7<6nh<36o9>4:xe3g=83:1>7?ia7`9f2703tqj:l4?:181>4`f>l0i;h0;6=4=:0db3df`:3>g15k2wpm;o50;296?7ai191n:50;095cg?13h5<72;0:jl6l:c50<>{|i?k1<7>52;3ee=`=j>9i7pun6`83>5<528lj5?4m72g8y~g1i3:1<7<51gc:1?d0<81vwl8n:183>7<6nh336o96e:xe3g=83:1>7?ia8`9f2g63tqj:l4?:181>4`f1o0i;lo4}zc5e?6=8381=kon2;`4ea=zshh0;6=4=:0dbf1f``a>g1c?2wpm;o50;296?7aij81n:k?;|yb2d<72909650;095cgdk3h5<72;0:jlj?:c5e=>{|i?k1<7>52;3eea1=j1:97pun6`83>5<528ljhh4m8028y~g1i3:1<7<51gcf5?d?9:1vwl8n:183>7<6nho>6o6>b:xe3g=83:1>7?iada9f=433tqj:l4?:181>4`fn:0i4><4}zc5e?6=8381=koi6;`;70=zshh0;6=4=:0da4=0qvo9a;294?4=9oh;n7l7569~d0f290;6?4>fc2e>g>2n2wpm;o50;296?7aj8<1n587;|yb2d<72909650;095cd583h3;45r{`4b>5<72;0:jo<;:c:;5>{|i?k1<7>52;3ef7d=j12j7pun6`83>5<528li??4m88:8y~g1i3:1<7<51g`01?d?1k1vwl8n:183>7<6nk926o6n3:xe3g=83:1>7?ib529f=gd3tqj:l4?:181>4`e<>0i4oo4}zc5e?6=8381=kl;a;`;fa=zshh0;6=4=:0da1fj7l7dg9~d0f290;6?4>fc40>g>b?2wpm;o50;296?7aj?k1n5h?;|yb2d<72909650;095cd0<3h2<<5r{`4b>5<72;0:jo97:c;3=>{|i?k1<7>52;3ef2`=j0;97pun6`83>5<528li4;4m9328y~g1i3:1<7<51g`;=?d>::1vwl8n:183>7<6nk2o6o7=b:xe3g=83:1>7?ib869f<533tqj:l4?:181>4`e1k0i59<4}zc5e?6=8381=kl6e;`:00=zshh0;6=4=:0daf50qvo9a;294?4=9ohi?7l6669~d0f290;6?4>fc`4>g?1n2wpm;o50;296?7ajko1n497;|yb2d<72909650;095cdd03h2445r{`4b>5<72;0:joml:c;:5>{|i?k1<7>52;3efa5=j03j7pun6`83>5<528lihl4m9`:8y~g1i3:1<7<51g`g`?d>ik1vwl8n:183>7<6nko:6o7m3:xe3g=83:1>7?ibd:9f4`emo0i5no4}zc5e?6=8381=kli2;`:ga=zshh0;6=4=:0d`41fb2a>g?a?2wpm;o50;296?7ak881nl>?;|yb2d<72909650;095ce6k3hj=<5r{`4b>5<72;0:jn{|i?k1<7>52;3eg71=jh897pun6`83>5<528lh>h4ma228y~g1i3:1<7<51ga05?df;:1vwl8n:183>7<6nj9>6oo7?ic2a9fd233tqj:l4?:181>4`d<:0im8<4}zc5e?6=8381=km;6;`b10=zshh6sta7c94?6=:3;mo8?5b`45?x}f>h0;6=4=:0d`1=>0qvo9a;294?4=9oi>n7ln769~d0f290;6?4>fb7e>gg0n2wpm;o50;296?7ak?<1nl67;|yb2d<72909650;095ce083hj545r{`4b>5<72;0:jn9;:ccb5>{|i?k1<7>52;3eg2d=jhkj7pun6`83>5<528lh4?4mac:8y~g1i3:1<7<51ga;1?dfjk1vwl8n:183>7<6nj226ool3:xe3g=83:1>7?ic829fded3tqj:l4?:181>4`d1>0imio4}zc5e?6=8381=km6a;`b`a=zshh0;6=4=:0d`effb`0>gd7?2wpm;o50;296?7akkk1no??;|yb2d<72909650;095ced<3hi><5r{`4b>5<72;0:jnm7:c`1=>{|i?k1<7>52;3egf`=jk997pun6`83>5<528lhh;4mb528y~g1i3:1<7<51gag=?de<:1vwl8n:183>7<6njno6ol;b:xe3g=83:1>7?icd69fg333tqj:l4?:181>4`dmk0in;<4}zc5e?6=8381=kmje;`a20=zshh0;6=4=:0dg450qvo9a;294?4=9on;?7lm869~d0f290;6?4>fe24>gd?n2wpm;o50;296?7al9o1no77;|yb2d<72909650;095cb603him45r{`4b>5<72;0:ji?l:c`a5>{|i?k1<7>52;3e`75=jkhj7pun6`83>5<528lo>l4mbb:8y~g1i3:1<7<51gf1`?dekk1vwl8n:183>7<6nm9:6olk3:xe3g=83:1>7?id2:9fgbd3tqj:l4?:181>4`c;o0inho4}zc5e?6=8381=kj;2;`aaa=zshh0;6=4=:0dg11;7ll0g9~d0f290;6?4>fe7a>ge6?2wpm;o50;296?7al?81nn50;095cb1k3hh?<5r{`4b>5<72;0:ji9?:ca0=>{|i?k1<7>52;3e`21=jj>97pun6`83>5<528lo;h4mc428y~g1i3:1<7<51gf;5?dd=:1vwl8n:183>7<6nm2>6om:b:xe3g=83:1>7?id9a9ff033tqj:l4?:181>4`c1:0io:<4}zc5e?6=8381=kj66;``30=zshh0;6=4=:0dge=0qvo9a;294?4=9onjn7ll969~d0f290;6?4>fece>ge>n2wpm;o50;296?7alk<1nno7;|yb2d<72909650;095cc0:3hhi?5r{`4b>5<72;0:jk<8:cf27>{|i?k1<7>52;3ebae=jm>?7pun6`83>5<52;:;5<4md678y~g1i3:1<7<521372?dci?1vwl8n:183>7<588li6ojk7:xe3g=83:1>7764><0ii>74}zc5e?6=8381>=:>a;`f27=zshh0;6=4=:3257<g`5n2wpm;o50;296?470<21nk;7;|yb2d<729096?>60e8ab=750;0965?d:3hmn?5r{`4b>5<72;09{|i?k1<7>52;03f7e=k92n7pun6`83>5<52;:ii<4l0e38y~g1k3:1<7<521`f6?e7l;1vwl8l:183>7<58ko86n>k3:xe3e=83:1>776em<0h=lj6;a3`3=zshh0;6=4=:32abaf6b<2wpm;m50;296?47k9:1o=k:;|yb2f<729096?>l008`4`050;0965e7:3i;i:5r{`4b>5<72;09j:b2f<>{|i?k1<7>52;03g45=k9oo7pun6b83>5<52;:h=94l0dg8y~g1k3:1<7<521a21?e7mo1vwl8l:183>7<58j;=6n>i0:xe3e=83:1>776d910h=m=4;a3b6=zsh2j:m46sta7a94?6=:38;o?o5c1d`?x}f>j0;6=4=:32`6gf6ai2wpm;m50;296?47k;o1o=hk;|yb2d<729096?>l3`8`4cc50;0965e4j3i:<<5r{`4`>5<72;09{|i?i1<7>52;03g6b=k8:;7pun6b83>5<52;:h?h4l1108y~g1i3:1<7<521a77<58j>o6n??8:xe3e=83:1>776d=m:0;a24<=zshh0;6=4=:32`1`j7m>109~d0d290;6?4=0b43>f77n2wpm;m50;296?47k?;1ol638`54450;0965e1k3i:=>5r{`4b>5<72;09:b32<>{|i?i1<7>52;03g24=k8;27pun6b83>5<52;:h;>4l10c8y~g1k3:1<7<521a40?e69k1vwl8l:183>7<58j=>6n?>c:xe3e=83:1>776d0;0h==m77;a266=zsh?2j;986sta7a94?6=:38;o575c006?x}f>j0;6=4=:32`269~d0d290;6?4=0b:`>f7502wpm;o50;296?47k021o<<6;|yb2d<729096?>l9e8`57c50;0965ef;3i:?95r{`4b>5<72;09{|i?k1<7>52;03gde=k89o7pun6`83>5<52;:hn?4l1518y~g1i3:1<7<521aa3?e6<11vwl8n:183>7<58jho6n?;e:xe3g=83:1>776dk10h=874}zc5e?6=8381>=mld;a21`=zshj0;6=4=:32``1679~d0d290;6?4=0bf5>f71?2wpm;m50;296?47km=1o<87;|yb2d<729096?>le28`53?50;0965eb03i::h5r{`4b>5<72;09{|i?k1<7>52;03gc5=k8=27pun6`83>5<52;:hj44l16d8y~g1i3:1<7<521aea?e60=1vwl8n:183>7<58m:?6n?7a:xe3g=83:1>7a3tqj:l4?:181>76c8o0h=4;4}zc5e?6=8381>=j>4;a2=d=zshh0;6=4=:32g60b19~d0f290;6?4=0e13>f7e>2wpm;o50;296?47l:?1ok3c8`5f750;0965b383i:o;5r{`4b>5<72;09{|i?k1<7>52;03`1d=k8n:7pun6`83>5<52;:o9<4l1e58y~g1i3:1<7<521f62?e6lj1vwl8n:183>7<58m?h6n?j2:xe3g=83:1>776c>>0h=hj4}zc5e?6=8381>=j9c;a2b7=zshh0;6=4=:32g3a7m=099~d0f290;6?4=0e:;>f47m2wpm;o50;296?47l1n1o??<;|yb2d<729096?>k928`64?50;0965b>13i9=k5r{`4b>5<72;09{|i?k1<7>52;03`d2=k;8j7pun6`83>5<52;:oml4l2228y~g1i3:1<7<521fbb?e5;<1vwl8l:183>7<58mh;6n<<6:xe3e=83:1>776cj;0h>>64}zc5g?6=8381>=jm3;a17<=zsh5c31a?x}f>h0;6=4=:32gg0f43:2wpm;m50;296?47lj21o?:<;|yb2f<729096?>kc88`61250;0965bdi3i9885r{`4b>5<72;09{|i?k1<7>52;03`ad=k;>i7pun6b83>5<52;:ohn4l25a8y~g1k3:1<7<521fg`?e57<58mnn6n<;e:xe3e=83:1>776cm90h>8>4}zc5e?6=8381>=jjc;a114=zsh:6sta7c94?6=:38;hk95c37`?x}f>h0;6=4=:32gba7m=669~d0f290;6?4=0d2;>f41l2wpm;o50;296?47m9i1o?9>;|yb2d<729096?>j108`62050;0965c6?3i9;n5r{`4b>5<72;09{|i?k1<7>52;03a74=k;2<7pun6`83>5<52;:n>:4l29a8y~g1i3:1<7<521g1`?e51;1vwl8n:183>7<58l996n<67:xe3e=83:1>776b;=0h>4l4}zc5g?6=8381>=k<5;a1=<=zsh95c3;`?x}f>h0;6=4=:32f06f4f02wpm;o50;296?47m<>1o?oj;|yb2d<729096?>j588`6g550;0965c2n3i9n45r{`4b>5<72;09{|i?k1<7>52;03a3?=k;i87pun6b83>5<52;:n:l4l2b48y~g1k3:1<7<521g5f?e5k>1vwl8l:183>7<58l776b>l0h>n64}zc5e?6=8381>=k8a;a1g<=zshj0;6=4=:32f3af4dm2wpm;o50;296?47m1h1o?mi;|yb2d<729096?>j918`6a250;0965c>93i9h85r{`4`>5<72;09{|i?i1<7>52;03a<5=k;n=7pun6b83>5<52;:n594l2e58y~g1k3:1<7<521g:1?e5l01vwl8n:183>7<58lk:6n776bik0h>h:4}zc5e?6=8381>=km0;a1a<=zshh0;6=4=:32ffcf57:2wpm;o50;296?47mjo1o>>8;|yb2d<729096?>jd28`75e50;0965cc03i8=<5r{`4b>5<72;09{|i?k1<7>52;03a`4=k:;i7pun6`83>5<52;:ni54l3338y~g1i3:1<7<521gfa?e4:>1vwl8n:183>7<58ll86n==c:xe3g=83:1>776bnm0h?>84}zc5e?6=8381>=h?2;a07g=zshh0;6=4=:32e54f52=2wpm;o50;296?47n;81o>;m;|yb2d<729096?>i268`73650;0965`5l3i8:;5r{`4b>5<72;09{|i?k1<7>52;03b61=k:=;7pun6`83>5<52;:m?n4l3678y~g1i3:1<7<521d75?e4?h1vwl8n:183>7<58o>=6n=8f:xe3g=83:1>733tqj:l4?:181>76a=90h?574}zc5e?6=8381>=h:5;a0<`=zshh0;6=4=:32e21f5f?2wpm;o50;296?47n>>1o>ok;|yb2d<729096?>i7`8`7g550;0965`0n3i8n55r{`4`>5<72;09{|i?i1<7>52;03b=7=k:hj7pun6b83>5<52;:m4?4l3c;8y~g1k3:1<7<521d;7?e4jj1vwl8l:183>7<58o2?6n=md:xe3g=83:1>776a1<0h?n=4}zc5e?6=8381>=h6b;a0g<=zshh0;6=4=:32eeff5b82wpm;m50;296?47nk91o>k>;|yb2f<729096?>ib58`7`450;0965`e=3i8i>5r{`4`>5<72;09{|i?k1<7>52;03bf4=k:o>7pun6`83>5<52;:mo:4l3dc8y~g1k3:1<7<521d`7<58oi26n=jc:xe3e=83:1>776akk0h?hk4}zc5g?6=8381>=hlc;a0ac=zshj0;6=4=:32e``f5a02wpm;m50;296?47nl;1o>h6;|yb2f<729096?>ie38`7cg50;0965`bm3i8jo5r{`4b>5<72;09{|i?k1<7>52;03bc?=k=:=7pun6`83>5<52;:mjh4l41`8y~g1i3:1<7<520236?e38o1vwl8n:183>7<599:36n:>5:xe3g=83:1>7<>01f9g17f3tqj:n4?:181>7778l0h8<>?f;a75`=zsh:n6sta7a94?6=:38:<j0;6=4=:33357f2592wpm;m50;296?468;:1o9<;;|yb2f<729096???208`07450;096465:3i?>>5r{`4`>5<72;09==<<:b611>{|i?k1<7>52;0247`=k=8=7pun6`83>5<52;;;?94l43`8y~g1i3:1<7<52020e?e3;81vwl8n:183>7<5999m6n:<6:xe3g=83:1>7<>0579g15d3tqj:l4?:181>777<>;b;a707=zsh??6sta7a94?6=:38:<9j5c567?x}f>j0;6=4=:3330`f23?2wpm;o50;296?468?:1o9:l;|yb2f<729096???608`01b50;096461:3i?8h5r{`4`>5<72;09==8<:b67b>{|i?i1<7>52;02432=k=?;7pun6b83>5<52;;;:84l4438y~g1i3:1<7<520245?e3=;1vwl8n:183>7<599=>6n::6:xe3g=83:1>7<>06c9g13e3tqj:l4?:181>777?l0h88h4}zc5e?6=8381><>74;a720=zsh>2j>=m6sta7c94?6=:38:<5j5c54f?x}f>h0;6=4=:333=6>0qvo9a;294?4=:8:247m;789~d0f290;6?4=11;f>f20n2wpm;o50;296?468h91o96;;|yb2d<729096???a98`0=?50;09646fm3i?4k5r{`4b>5<72;09==l<:b6:0>{|i?k1<7>52;024g?=k=3j7pun6`83>5<52;;;nh4l48d8y~g1k3:1<7<5202ab?e3i91vwl8l:183>7<599i;6n:n1:xe3e=83:1>7<>0b39g1g53tqj:n4?:181>777k;0h8l=4}zc5g?6=8381><>l3;a7e1=zshj96sta7c94?6=:38:h0;6=4=:333`=f2e02wpm;o50;296?468l=1o9lk;|yb2f<729096???e98`0f750;09646b13i?o=5r{`4`>5<72;09==kn:b6aa>{|i?i1<7>52;024`d=k=hm7pun6b83>5<52;;;in4l4b08y~g1i3:1<7<5202e7<599lo6n:l8:xe3e=83:1>7<>0gg9g1ee3tqj:n4?:181>777no0h8n74}zc5g?6=8381>ho6sta7c94?6=:38:==l5c5ag?x}f>j0;6=4=:3324ff2c82wpm;m50;296?4699l1o9j>;|yb2f<729096??>118`0a450;096476k3i?h>5r{`4b>5<72;09=<<>:b6g<>{|i?k1<7>52;02571=k=nn7pun6b83>5<52;;:>54l4d38y~g1k3:1<7<52031=?e3m;1vwl8l:183>7<5988j6n:kf:xe3e=83:1>7<>13`9g1c73tqj:n4?:181>776:j0h8h=4}zc5e?6=8381>n56sta7c94?6=:38:=9=5c5ge?x}f>j0;6=4=:33201f2a82wpm;m50;296?469==1o9h>;|yb2f<729096??>498`0c250;096472<3i?j85r{`4b>5<72;09=<;6:b6ee>{|i?k1<7>52;0250b=k=ln7pun6b83>5<52;;:9h4l5128y~g1k3:1<7<52036b?e28;1vwl8l:183>7<598<;6n:if:xe3e=83:1>7<>1739g0663tqj:n4?:181>776>;0h9==4}zc5e?6=8381>h0;6=4=:332<1f35j2wpm;o50;296?4690l1o8=:;|yb2d<729096??>a78`16e50;09647e83i>8;5r{`4b>5<72;09={|i?k1<7>52;025f7=k5<52;;:o54l54g8y~g1i3:1<7<5203g6?e2>11vwl8n:183>7<598n26n;9f:xe3g=83:1>7<>1d19g01>3tqj:l4?:181>776mh0h95>4}zc5e?6=8381>=;5c4;a?x}f>h0;6=4=:3314ff3e;2wpm;o50;296?46:;=1o8lk;|yb2d<729096??=2d8`1f250;09644403i>oh5r{`4b>5<72;09=?=i:b7g1>{|i?k1<7>52;0261?=k5<52;;99=4l5d48y~g1i3:1<7<52006e?e2n91vwl8n:183>7<59;<:6n;i7:xe3g=83:1>7<>27`9g3663tqj:l4?:181>775?;0h:=64}zc5e?6=8381><<8c;a557=zsh42j<:56sta7c94?6=:38:>5j5c700?x}f>h0;6=4=:331=1;k0qvo9a;294?4=:882i7m9359~d0f290;6?4=13c6>f04j2wpm;o50;296?46:hl1o;::;|yb2d<729096??=b78`21e50;09644d83i=9;5r{`4b>5<72;09=?m8:b46`>{|i?k1<7>52;026a7=k?<<7pun6`83>5<52;;9h54l67g8y~g1i3:1<7<5200f6?e1?11vwl8n:183>7<59;o26n88f:xe3g=83:1>7<>2g19g3>>3tqj:l4?:181>775nh0h:4>4}zc5e?6=8381><=?4;a5=d=zshh0;6=4=:3305fk80qvo9a;294?4=:899:7m9bb9~d0f290;6?4=120g>f0d;2wpm;o50;296?46;:=1o;mk;|yb2d<729096??<3d8`2a250;09645303i=hh5r{`4b>5<72;09=>:i:b4f1>{|i?k1<7>52;0270?=k?om7pun6`83>5<52;;8:=4l6g48y~g1i3:1<7<52015e?e0891vwl8n:183>7<59:=:6n9?7:xe3g=83:1>7<>36`9g2763tqj:l4?:181>7740;0h;<64}zc5e?6=8381><=7c;a467=zshh0;6=4=:330e10qvo9a;294?4=:89ji7m8699~d0f290;6?4=12`6>f10?2wpm;o50;296?46;kl1o:6m;|yb2d<729096??50;09645c83i5<72;09=>j8:b5a`>{|i?k1<7>52;027`7=k>n:7pun6`83>5<52;;8i54l7d28y~g1i3:1<7<5201e6?e0n=1vwl8n:183>7<59:l26n6?3:xe3g=83:1>7<>4119g=703tqj:l4?:181>7738h0h4?84}zc5e?6=8381><:>4;a;7d=zshh0;6=4=:3376f8:7m7819~d0f290;6?4=151g>f>?n2wpm;o50;296?46<==1o5o<;|yb2d<729096??;4d8`50;09642203i3o;5r{`4b>5<72;09=9;i:b:g1>{|i?k1<7>52;0203?=k1o27pun6`83>5<52;;?;=4l8g:8y~g1i3:1<7<52064e?e>8j1vwl8n:183>7<59=2:6n7>b:xe3g=83:1>7<>49`9g<4a3tqj:l4?:181>7731;0h5>k4}zc5e?6=8381><:6c;a:17=zshh0;6=4=:337f10qvo9a;294?4=:8>ii7m6999~d0f290;6?4=15a6>f?f?2wpm;o50;296?4650;09642b83i2hh5r{`4b>5<72;09=9k8:b;f`>{|i?k1<7>52;020c7=kh::7pun6`83>5<52;;?j54la028y~g1i3:1<7<520736?ef:=1vwl8n:183>7<59<:26no<3:xe3g=83:1>7<>5019gd203tqj:l4?:181>7729h0hm884}zc5e?6=8381><;=4;ab2d=zsh;5c`:g?x}f>h0;6=4=:3367ffgen2wpm;o50;296?46=<=1olj<;|yb2d<729096??:5d8`e`450;09643103ijj;5r{`4b>5<72;09=88i:b`31>{|i?k1<7>52;0212?=kk;27pun6`83>5<52;;>4=4lb3:8y~g1i3:1<7<5207;e?ee;j1vwl8n:183>7<59<3:6nl;b:xe3g=83:1>7<>58`9gg3a3tqj:l4?:181>772i;0hn;k4}zc5e?6=8381><;nc;aa<7=zshh0;6=4=:336g10qvo9a;294?4=:8?hi7mmc99~d0f290;6?4=14f6>fdc?2wpm;o50;296?46=ml1ookm;|yb2d<729096??:e78`fcg50;09643a83ih5<72;09=8h8:ba2`>{|i?k1<7>52;02257=kj9:7pun6`83>5<52;;=<54lc528y~g1i3:1<7<520426?ed==1vwl8n:183>7<59?;26nm93:xe3g=83:1>7<>6319gf103tqj:l4?:181>771:h0ho584}zc5e?6=8381><8<4;a`=d=zshk5cbc7?x}f>h0;6=4=:33504fee82wpm;m50;296?46>=21onl<;|yb2f<729096??9488`gg750;096403i3ihn?5r{`4`>5<72;09=;:m:baa0>{|i?k1<7>52;02203=kjh37pun6b83>5<52;;=9;4lcc`8y~g1k3:1<7<520463?edj01vwl8l:183>7<59??36nmma:xe3e=83:1>7<>64;9gfdd3tqj:l4?:181>771>:0hon74}zc5g?6=8381><894;a`ga=zshj0;6=4=:33522fec:2wpm;o50;296?46>>21onj6;|yb2f<729096??9788`gab50;096400i3ihhn5r{`4`>5<72;09=;9m:bage>{|i?i1<7>52;0222e=kjni7pun6b83>5<52;;=;i4lceg8y~g1i3:1<7<5204;=?edm;1vwl8l:183>7<59?2j6nmj5:xe3e=83:1>7<>69`9gfc43tqj:n4?:181>7710j0hoh:4}zc5g?6=8381><87d;a`a3=zshj0;6=4=:335=<febm2wpm;o50;296?46>h?1onh=;|yb2f<729096??9a78`gc350;09640f?3ihj>5r{`4`>5<72;09=;o7:bae0>{|i?i1<7>52;022d?=kjl=7pun6`83>5<52;;=n>4lcgc8y~g1i3:1<7<5204a3?ec881vwl8l:183>7<59?h36nj?4:xe3e=83:1>7<>6c;9ga653tqj:n4?:181>771jh0hh==4}zc5g?6=8381><8mb;ag40=zshj0;6=4=:335g2fb7l2wpm;m50;296?46>jk1oi>j;|yb2d<729096??9d78``4450;09640ci3io=45r{`4`>5<72;09=;jm:bf2g>{|i?i1<7>52;022ae=km;j7pun6b83>5<52;;=hi4ld0`8y~g1k3:1<7<5204ga?ec9m1vwl8n:183>7<59?o36nj=1:xe3g=83:1>7<>6dg9ga543tqj:l4?:181>771n=0hh>m4}zc5e?6=8381><8ia;ag00=zshh0;6=4=:3344ffb1:2wpm;m50;296?46?9l1oi8<;|yb2f<729096??8118``3250;096416i3io:55r{`4`>5<72;09=:?m:bf5=>{|i?i1<7>52;0234e=km5<52;;<=i4ld7`8y~g1k3:1<7<52052a?ec>j1vwl8l:183>7<59>;m6nj9d:xe3g=83:1>7<>73`9ga163tqj:n4?:181>770:j0hh:<4}zc5g?6=8381><9=d;ag30=zshj0;6=4=:33475
    <0qvo9a;294?4=:8=8o7mk7`9~d0d290;6?4=161g>fb0k2wpm;m50;296?46?:o1oi9m;|yb2f<729096??83g8``2b50;09641383io;h5r{`4b>5<72;09=::n:bf;6>{|i?k1<7>52;02306=km2i7pun6`83>5<52;;<9;4ld868y~g1i3:1<7<52056g?ec1m1vwl8n:183>7<59><96njn6:xe3g=83:1>7<>77:9gaga3tqj:l4?:181>770>l0hho64}zc5e?6=8381><984;agg4=zsh5cef0?x}f>h0;6=4=:334<3fbbm2wpm;o50;296?46?021oih8;|yb2d<729096??89d8`a5650;09641f<3in<45r{`4b>5<72;09=:on:bg26>{|i?k1<7>52;023g6=kl;i7pun6`83>5<52;;7<59>i96nk<6:xe3g=83:1>7<>7b:9g`5a3tqj:l4?:181>770kl0hi964}zc5e?6=8381><9k4;af14=zshm6sta7c94?6=:38:;h>5cd40?x}f>h0;6=4=:334a3fc0m2wpm;o50;296?46?o21oh68;|yb2d<729096??8fd8`a<650;0964>7<3in545r{`4b>5<72;09=5>n:bgb6>{|i?k1<7>52;02<46=klki7pun6`83>5<52;;3=;4lec68y~g1i3:1<7<520:2g?ebjm1vwl8n:183>7<5918;6nkl4:xe3g=83:1>7<>8369g`ee3tqj:l4?:181>77?:10hii<4}zc5e?6=8381><6=c;af`<=zsh:5cdg4?x}f>j0;6=4=:33;70fcb12wpm;m50;296?460:21ohkm;|yb2d<729096??7438`a``50;0964>3;3inj<5r{`4`>5<72;09=5:;:bge4>{|i?i1<7>52;02<13=kll97pun6b83>5<52;;38;4leg18y~g1i3:1<7<520:64?ebno1vwl8n:183>7<591??6nh?6:xe3g=83:1>7<>84:9gc6c3tqj:n4?:181>77?=00hj<>4}zc5g?6=8381><6:a;ae4`=zshh0;6=4=:33;23f`6>2wpm;m50;296?460?31ok?8;|yb2f<729096??76`8`b4?50;0964>0<3im=i5r{`4`>5<72;09=59::bd14>{|i?i1<7>52;02<20=ko;n7pun6b83>5<52;;3;:4lf0d8y~g1k3:1<7<520:47<591296nh=5:xe3e=83:1>7<>8919gc4?3tqj:n4?:181>77?0=0hj?84}zc5g?6=8381><675;ae62=zsh12jl956sta7c94?6=:38:44>5cg0g?x}f>j0;6=4=:33;=47mi2g9~d0d290;6?4=19;0>f`482wpm;m50;296?4600>1ok=>;|yb2f<729096??7948`b6450;0964>f93im?;5r{`4b>5<72;09=5o8:bd0b>{|i?k1<7>52;0237pun6b83>5<52;;3mh4lf5;8y~g1k3:1<7<520:bb?ea7<591h;6nh;b:xe3e=83:1>7<>8c39gc2d3tqj:n4?:181>77?j;0hj9j4}zc5e?6=8381><6me;ae14=zsh>6sta7a94?6=:38:4n>5cg70?x}f>j0;6=4=:33;g40qvo9c;294?4=:82h>7mi549~d0d290;6?4=19a0>f`2>2wpm;o50;296?460jl1ok;n;|yb2d<729096??7d58`b3450;0964>c=3im:85r{`4`>5<72;09=5j9:bd57>{|i?i1<7>52;025<52;;3h54lf748y~g1i3:1<7<520:f6?ea>h1vwl8l:183>7<591o86nh9d:xe3e=83:1>7<>8d69gc0e3tqj:n4?:181>77?m<0hj;m4}zc5g?6=8381><6j6;ae2`=zsh6sta7a94?6=:38:4k?5cg56?x}f>j0;6=4=:33;b790qvo9c;294?4=:82m?7mi759~d0d290;6?4=19d7>f`0>2wpm;o50;296?460oo1ok9n;|yb2f<729096??7fg8`b2b50;0964?783im;o5r{`4`>5<72;09=4>>:bd4g>{|i?i1<7>52;02=54=ko=n7pun6`83>5<52;;27<590;36nh64:xe3g=83:1>7<>90a9gc?e3tqj:l4?:181>77>:;0hjl:4}zc5e?6=8381><7=8;aeea=zsh:5cg`e?x}f>j0;6=4=:33:70f`d:2wpm;m50;296?461:21okm<;|yb2f<729096??6388`bf250;0964?3=3imo55r{`4b>5<72;09=4:6:bd`b>{|i?i1<7>52;02=1g=kon97pun6b83>5<52;;28o4lfe28y~g1k3:1<7<520;7g?eal81vwl8l:183>7<590>o6nhk3:xe3g=83:1>7<>9459gcb03tqj:l4?:181>77>=m0hjh>4}zc5e?6=8381><793;aea<=zsh2jlm>6sta7c94?6=:38:5;h5cgda?x}f>j0;6=4=:33:35f`am2wpm;m50;296?461>91okhi;|yb2f<729096??6758g45650;0964??83n;<95r{`4`>5<72;09=46>:e231>{|i?i1<7>52;02==4=l9:=7pun6b83>5<52;;24>4k0158y~g1k3:1<7<520;;0?b7811vwl8l:183>7<5902>6i>?9:xe3g=83:1>7<>9839`56c3tqj:l4?:181>77>1>0o<<84}zc5g?6=8381><768;f35d=zsh2m::56sta7a94?6=:38:54o5d134?x}f>j0;6=4=:33:=ga66n2wpm;o50;296?461ho1h=<7;|yb2d<729096??6b58g46750;0964?e=3n;??5r{`4`>5<72;09=4l9:e207>{|i?i1<7>52;02=g1=l99?7pun6b83>5<52;;2n54k0278y~g1k3:1<7<520;a=?b7;?1vwl8n:183>7<590i>6i>7<>9b`9`5243tqj:l4?:181>77>l80o<9m4}zc5e?6=8381><7k7;f310=zshi6sta7c94?6=:38:5h=5d144?x}f>h0;6=4=:33:a<:0qvo9a;294?4=:83nj7j?789~d0f290;6?4=18d6>a6?:2wpm;o50;296?461oh1h=6m;|yb2d<729096??n008g4<250;0964g7?3n;5i5r{`4b>5<72;09=l>k:e2b2>{|i?k1<7>52;02e45=l9km7pun6`83>5<52;;j=44k0c:8y~g1i3:1<7<520c2b?b7k81vwl8l:183>7<59h8;6i>l3:xe3e=83:1>7<>a339`5e23tqj:n4?:181>77f:;0o>5d1f2?x}f>h0;6=4=:33b73a6bk2wpm;o50;296?46i=21h=h:;|yb2d<729096??n4d8g4cc50;0964g2<3n:<:5r{`4b>5<72;09=l;n:e324>{|i?k1<7>52;02e36=l8;27pun6`83>5<52;;j:;4k1308y~g1k3:1<7<520c53?b6:<1vwl8l:183>7<59h<36i?=3:xe3e=83:1>7<>a7;9`4433tqj:n4?:181>77f>h0o=?84}zc5e?6=8381>j0;6=4=:33b322d9~d0f290;6?4=1`:1>a74:2wpm;m50;296?46i191h<=;;|yb2f<729096??n858g56350;0964g?=3n:?>5r{`4`>5<72;09=l69:e302>{|i?k1<7>52;02e<6=l89j7pun6b83>5<52;;j5<4k12a8y~g1k3:1<7<520c:6?b6;m1vwl8l:183>7<59h386i?7<>a869`45b3tqj:l4?:181>77f1l0o=9<4}zc5g?6=8381>j0;6=4=:33be74`9~d0d290;6?4=1`cg>a73j2wpm;m50;296?46iho1h<:j;|yb2f<729096??nag8g51e50;0964ge83n:8i5r{`4`>5<72;09=ll>:e37b>{|i?k1<7>52;02egb=l8<:7pun6b83>5<52;;jnh4k1708y~g1k3:1<7<520cab?b6>:1vwl8l:183>7<59hi;6i?94:xe3e=83:1>7<>ab39`4023tqj:n4?:181>77fk;0o=;84}zc5e?6=8381>5d04`?x}f>j0;6=4=:33b`47j>6d9~d0d290;6?4=1`f0>a71n2wpm;o50;296?46iml1h<9<;|yb2f<729096??ne18g52250;0964gb93n:;85r{`4`>5<72;09=lk=:e342>{|i?i1<7>52;02e`5=l8=<7pun6b83>5<52;;ji94k16:8y~g1i3:1<7<520ce4?b6?j1vwl8l:183>7<59hl:6i?8f:xe3e=83:1>7<>ag09`41c3tqj:n4?:181>77fn:0o=:k4}zc5g?6=8381>j0;6=4=:33a45869~d0d290;6?4=1c21>a7?02wpm;m50;296?46j991h<66;|yb2d<729096??m0g8g5=b50;0964d6=3n:5;5r{`4`>5<72;09=o?9:e3:3>{|i?i1<7>52;02f41=l8337pun6b83>5<52;;i=54k18;8y~g1k3:1<7<520`2=?b61h1vwl8l:183>7<59k;j6i?6b:xe3g=83:1?7<>b349`4?a21o8>6sta7a94?6=:38:n?958d10?x}f>j0;6=4=:33a6=0qvo9c;294?4=:8h957j>a29~d0d290;6?4=1c0`>a7f<2wpm;m50;296?46j;k1h;|yb2f<729096??m2c8g5d450;0964d4m3n:m55r{`4b>5<72;09=o:;:e3a<>{|i?k1<7>52;02f1g=l8i:7pun6`83>5<52;;i9=4k1bc8y~g1i3:1<7<520`62?b6l:1vwl8n:183>7<59k?h6i?kc:xe3g=83:1>7<>b709`4c23tqj:l4?:181>77e>10o=hk4}zc5g?6=8381>j0;6=4=:33a2ff79~d0d290;6?4=1c54>a7a?2wpm;m50;296?46j>21h50;0964d0i3n:jl5r{`4`>5<72;09=o9m:e3ef>{|i?k1<7>52;02f=1=l8lm7pun6`83>5<52;;i4i4k21:8y~g1i3:1<7<520`:7?b5981vwl8n:183>7<59k336i<>9:xe3g=83:1>7<>b8g9`7453tqj:l4?:181>77ei:0o>?o4}zc5e?6=8381>h0;6=4=:33afda42m2wpm;o50;296?46jj31h?8:;|yb2f<729096??mc`8g63?50;0964ddj3n9::5r{`4`>5<72;09=oml:e052>{|i?i1<7>52;02ffb=l;<37pun6b83>5<52;;ioh4k27c8y~g1i3:1<7<520`ge?b5>l1vwl8n:183>7<59knm6i<86:xe3g=83:1>7<>bd19`71c3tqj:n4?:181>77em=0o>:k4}zc5g?6=8381>j0;6=4=:33aa=a4?m2wpm;o50;296?46jol1h?78;|yb2d<729096??l048g6d650;0964e7i3n9m55r{`4b>5<72;09=n??:e0a5>{|i?k1<7>52;02g43=l;h27pun6`83>5<52;;h=o4k2b08y~g1i3:1<7<520a14?b5kh1vwl8n:183>7<59j8=6i7<>c3`9`7be3tqj:l4?:181>77d;80o>h:4}zc5e?6=8381>5d3d`?x}f>h0;6=4=:33`000qvo9a;294?4=:8i?m7j<0b9~d0f290;6?4=1b6e>a56<2wpm;o50;296?46k<>1h>?l;|yb2d<729096??l588g77250;0964e2m3n8>n5r{`4b>5<72;09=n8<:e100>{|i?k1<7>52;02g3>=l:9h7pun6`83>5<52;;h:i4k3568y~g1i3:1<7<520a46?b47<59j=<6i=:4:xe3g=83:1>7<>c6f9`63c3tqj:l4?:181>77d0;0o?;;4}zc5e?6=8381>b2m9<;6sta7c94?6=:38:o4:5d2:3?x}f>j0;6=4=:33`=00qvo9c;294?4=:8i2:7j<809~d0d290;6?4=1b;4>a5?;2wpm;m50;296?46k021h>6=;|yb2f<729096??l988g7=350;0964ef=3n8445r{`4`>5<72;09=no9:e1;`>{|i?i1<7>52;02gd1=l:2j7pun6b83>5<52;;hm54k39a8y~g1k3:1<7<520ab=?b40k1vwl8l:183>7<59jkj6i=7e:xe3g=83:1>7<>cc49`6?53tqj:n4?:181>77dj>0o?4:4}zc5g?6=8381>2m9296sta7a94?6=:38:ooo5d2;5?x}f>h0;6=4=:33`g1a5>j2wpm;m50;296?46kj=1h>7l;|yb2f<729096??lc98g750;0964ed13n85k5r{`4b>5<72;09=nj::e1b7>{|i?i1<7>52;02ga0=l:k<7pun6b83>5<52;;hh:4k3`68y~g1k3:1<7<520ag7<59jn26i=n6:xe3e=83:1>7<>cec9`6g?3tqj:l4?:181>77dm?0o?lm4}zc5g?6=8381>j0;6=4=:33`ada5e=2wpm;m50;296?46ko21h>l6;|yb2f<729096??lf88g7g050;0964eai3n8n:5r{`4`>5<72;09=nhm:e1a<>{|i?i1<7>52;02gce=l:hj7pun6`83>5<52;;o<54k3cg8y~g1k3:1<7<520f3=?b4k81vwl8l:183>7<59m:j6i=mf:xe3e=83:1>7<>d1`9`6e73tqj:n4?:181>77c8j0o?n<4}zc5e?6=8381>6;f0g3=zshj0;6=4=:33g5<a5dj2wpm;o50;296?46l;=1h>mi;|yb2f<729096??k298g7a450;0964b513n8h>5r{`4`>5<72;09=i{|i?i1<7>52;02`7d=l:n:7pun6b83>5<52;;o>n4k3e68y~g1i3:1<7<520f07<59m926i=kb:xe3e=83:1>7<>d2c9`6bd3tqj:n4?:181>77c;k0o?i74}zc5g?6=8381>h0;6=4=:33g0`?7ja5a12wpm;o50;296?46l>;|yb2d<729096??k638g05?50;0964b1;3n?5<72;09=i8;:e63`>{|i?i1<7>52;02`33=l=:j7pun6b83>5<52;;o:;4k41a8y~g1k3:1<7<520f53?b38l1vwl8n:183>7<59m=86i:>2:xe3e=83:1>7<>d669`1743tqj:n4?:181>77c?<0o8<84}zc5g?6=8381>:96sta7a94?6=:38:h:65d534?x}f>h0;6=4=:33g<1a26k2wpm;m50;296?46l1=1h9?k;|yb2f<729096??k898g04`50;0964b>:3n?>>5r{`4`>5<72;09=i7<:e612>{|i?i1<7>52;02`<2=l=8?7pun6b83>5<52;;o584k4378y~g1k3:1<7<520f:2?b3:>1vwl8n:183>7<59mk;6i:=b:xe3e=83:1>7<>d`39`14b3tqj:n4?:181>77ci;0o8?m4}zc5g?6=8381>9j6sta7c94?6=:38:hlk5d510?x}f>j0;6=4=:33geca24=2wpm;m50;296?46lk81h9=8;|yb2d<729096??kbb8g06d50;0964bel3n??h5r{`4`>5<72;09=ilj:e60g>{|i?i1<7>52;02`g`=l=9o7pun6b83>5<52;;oo=4k42d8y~g1i3:1<7<520f`e?b3<:1vwl8l:183>7<59mii6i:;6:xe3e=83:1>7<>dba9`1233tqj:n4?:181>77ckm0o89;4}zc5g?6=8381>?n6sta7a94?6=:38:hi75d56`?x}f>j0;6=4=:33g`da23n2wpm;m50;296?46lmn1h9;?;|yb2d<729096??ke88g00250;0964bbn3n?9i5r{`4b>5<72;09=ih::e652>{|i?k1<7>52;02`cd=l=5<52;;n<<4k46:8y~g1i3:1<7<520g33?b3081vwl8n:183>7<59l:o6i:7a:xe3g=83:1>7<>e019`1?43tqj:l4?:181>77b900o84m4}zc5e?6=8381>f;f7e0=zshji6sta7c94?6=:38:i?l5d5`4?x}f>h0;6=4=:33f74a2c:2wpm;o50;296?46m=91h9jm;|yb2d<729096??j488g0`250;0964c3n3n?ii5r{`4b>5<72;09=h;::e6e2>{|i?k1<7>52;02a0d=l=lm7pun6`83>5<52;;n:<4k51:8y~g1i3:1<7<520g53?b2981vwl8n:183>7<59la:xe3g=83:1>7<>e619`0443tqj:l4?:181>77b?00o9?m4}zc5e?6=8381>22m?8i6sta7c94?6=:38:i5l5d464?x}f>h0;6=4=:33f=47j:509~d0d290;6?4=1d;0>a32:2wpm;m50;296?46m0>1h8;<;|yb2f<729096??j948g10250;0964c>n3n>:<5r{`4b>5<72;09=ho::e74f>{|i?i1<7>52;02ad0=l<=m7pun6b83>5<52;;nm:4k56g8y~g1k3:1<7<520gb7<59lk26i;8d:xe3e=83:1>7<>e`c9`0>73tqj:l4?:181>77bj?0o95:4}zc5e?6=8381>h0;6=4=:33fg`a3fj2wpm;m50;296?46mm;1h8o6;|yb2f<729096??jd38g1de50;0964cc;3n>mi5r{`4b>5<72;09=hji:e7a5>{|i?i1<7>52;02a`6=l7pun6b83>5<52;;ni<4k5c08y~g1k3:1<7<520gf6?b2j:1vwl8l:183>7<59lo86i;m4:xe3e=83:1>7<>ed69`0d13tqj:l4?:181>77bn90o9oo4}zc5g?6=8381>j0;6=4=:33fb1a3d;2wpm;m50;296?46n981h8m8;|yb2f<729096??i028g1f250;0964`7<3n>o85r{`4`>5<72;09=k>::e7`2>{|i?i1<7>52;02b50=l5<52;;m=?4k5ba8y~g1k3:1<7<520d27?b2l91vwl8l:183>7<59o;?6i;ld:xe3e=83:1>7<>f079`0eb3tqj:n4?:181>77a9?0o9nh4}zc5g?6=8381>7;f6`4=zshj0;6=4=:33e60a3c02wpm;m50;296?46n;21h8jn;|yb2d<729096??i358g1ac50;0964`4=3n>i?5r{`4`>5<72;09=k=9:e7gb>{|i?i1<7>52;02b61=l5<52;;m?54k5d38y~g1k3:1<7<520d0=?b2m:1vwl8n:183>7<59o>>6i;j7:xe3e=83:1>7<>f549`0ce3tqj:n4?:181>77a<>0o9h64}zc5g?6=8381>2m?nm6sta7a94?6=:38:j9o5d4g`?x}f>h0;6=4=:33e13;7j:f59~d0d290;6?4=1g7;>a3a92wpm;m50;296?46n<31h8h=;|yb2f<729096??i5`8g1c550;0964`2j3n>j85r{`4b>5<72;09=k88:e7e=>{|i?i1<7>52;02b3>=l5<52;;m:44k5gc8y~g1k3:1<7<520d5e?b2nk1vwl8l:183>7<59o7<>f7a9`0`b3tqj:l4?:181>77a?10o:=<4}zc5g?6=8381>j0;6=4=:33e3f9?0qvo9c;294?4=:8la07j2wpm;m50;296?46n1k1h;>i;|yb2f<729096??i8c8g25e50;0964`?k3n=5<72;09=k6k:e43a>{|i?i1<7>52;02b=c=l?;;7pun6`83>5<52;;m5l4k6068y~g1k3:1<7<520d:f?b1911vwl8l:183>7<59o3h6i8>5:xe3e=83:1>7<>f8f9`3713tqj:n4?:181>77a1l0o:<94}zc5g?6=8381>j0;6=4=:33eea8o0qvo9c;294?4=:8lji7j91g9~d0d290;6?4=1gce>a0582wpm;m50;296?46nk:1h;<=;|yb2d<729096??ibb8g27050;0964`el3n=>l5r{`4`>5<72;09=klj:e413>{|i?i1<7>52;02bg`=l?837pun6b83>5<52;;mo=4k63;8y~g1k3:1<7<520d`5?b1:k1vwl8n:183>7<59oio6i8=f:xe3e=83:1>7<>fbg9`3543tqj:n4?:181>77ako0o:>>4}zc5g?6=8381>6sta7a94?6=:38:ji<5d717?x}f>h0;6=4=:33e``:20qvo9c;294?4=:8loj7j93b9~d0d290;6?4=1gg3>a0412wpm;m50;296?46nl;1h;=n;|yb2f<729096??ie38g26d50;0964`b;3n=?i5r{`4b>5<72;09=kki:e475>{|i?i1<7>52;02bc6=l?>>7pun6b83>5<52;;mj<4k6508y~g1k3:1<7<520de6?b1<:1vwl8l:183>7<59ol86i8;4:xe3e=83:1>7<>fg69`3213tqj:l4?:181>747890o:9o4}zc5g?6=8381>?>?1;f50`=zshj0;6=4=:30341=n0qvo9c;294?4=:;:;97j94g9~d0f290;6?4=2132>a02;2wpm;m50;296?458881h;;8;|yb2f<729096?50;096766<3n=985r{`4`>5<72;09>=?::e462>{|i?i1<7>52;01440=l??37pun6`83>5<52;8;>?4k64a8y~g1k3:1<7<523217?b1>91vwl8l:183>7<5:98?6i8:d:xe3e=83:1>7<=0379`33b3tqj:n4?:181>747:?0o:8h4}zc5g?6=8381>?>=7;f524=zsh:5d74:?x}f>j0;6=4=:30370?<0qvo9c;294?4=:;:8:7j9669~d0d290;6?4=2114>a0102wpm;m50;296?458:21h;8n;|yb2d<729096?50;096763=3n=;?5r{`4`>5<72;09>=:9:e45b>{|i?i1<7>52;01411=l?=;7pun6b83>5<52;8;854k6638y~g1k3:1<7<52327=?b1?:1vwl8n:183>7<5:9?>6i887:xe3e=83:1>7<=0449`31?3tqj:n4?:181>747=>0o::74}zc5g?6=8381>?>:8;f53d=zsh2m<h0;6=4=:303231:0qvo9c;294?4=:;:=;7j9829~d0d290;6?4=214;>a0?92wpm;m50;296?458?31h;6=;|yb2f<729096?50;096760<3n=455r{`4b>5<72;09>=9n:e4:5>{|i?k1<7>52;014=6=l?3j7pun6b83>5<52;8;4<4k68g8y~g1k3:1<7<5232;6?b11j1vwl8l:183>7<5:9286i86b:xe3e=83:1>7<=0969`3?c3tqj:n4?:181>7470<0o:4h4}zc5e?6=8381>?>61;f5e6=zshj0;6=4=:303=1h<0qvo9c;294?4=:;:297j9a49~d0d290;6?4=21;5>a0f02wpm;o50;296?458h81h;ol;|yb2d<729096?50;09676fi3n=n95r{`4b>5<72;09>=ol:e4a2>{|i?k1<7>52;014dc=l?h37pun6`83>5<52;8;n=4k6cc8y~g1i3:1<7<5232a6?b1jj1vwl8n:183>7<5:9h?6i8me:xe3g=83:1>7<=0c49`3e73tqj:l4?:181>747j10o:n<4}zc5e?6=8381>?>ma;f5g1=zshh0;6=4=:303g5jk0qvo9a;294?4=:;:h>7j9cb9~d0f290;6?4=21a7>a0dm2wpm;o50;296?458j<1h;j?;|yb2d<729096?50;09676di3n=h95r{`4b>5<72;09>=ml:e4g2>{|i?k1<7>52;014fc=l?n37pun6`83>5<52;8;h=4k6ec8y~g1i3:1<7<5232g6?b1lj1vwl8n:183>7<5:9n?6i8ke:xe3g=83:1>7<=0e49`3c73tqj:l4?:181>747l10o:h<4}zc5e?6=8381>?>ka;f5a1=zshh0;6=4=:303a5lk0qvo9a;294?4=:;:n>7j9eb9~d0f290;6?4=21g7>a0bm2wpm;o50;296?458l<1h;h?;|yb2d<729096?50;09676bi3n=j95r{`4b>5<72;09>=kl:e4e2>{|i?k1<7>52;014`c=l?l37pun6`83>5<52;8;j=4k6gc8y~g1i3:1<7<5232e6?b1nj1vwl8n:183>7<5:9l?6i8ie:xe3g=83:1>7<=0g49`2673tqj:l4?:181>747n10o;=<4}zc5e?6=8381>?>ia;f441=zshh0;6=4=:302457j80b9~d0f290;6?4=2027>a17m2wpm;o50;296?4599<1h:??;|yb2d<729096?<>098g34450;096777i3n<=95r{`4b>5<72;09><>l:e522>{|i?k1<7>52;0155c=l>;37pun6`83>5<52;8:==4k70c8y~g1i3:1<7<523326?b09j1vwl8n:183>7<5:8;?6i9>e:xe3g=83:1>7<=10490a5b3tqj:n4?:181>7469>0?h>h4}zc5g?6=8381>??>8;6g05=zshj0;6=4=:30266<3l:k0qvo9a;294?4=:;;9o7:k349~d0d290;6?4=200g>1b4>2wpm;m50;296?459;o18i=8;|yb2d<72909o7<=125900<3?3ih:i4lc829gf2f2ji9;7ml058`f`7=kk=36nlme;aa=gfg4l3ij4>4la729gd7f2j3m;7m6d58`=g7=k02n6n79b;a:47f>2;3i3?=4l7b59g=6c2j=nm7m8a58`3=7=k>?n6n9746;10holj5cb`6>fed>3ihok4lce49gfba2jin;7mleg8`gc1=kjln6nj?6;ag4cfb2<3io9i4ld779ga0b2jn<;7mk7g8``=>=km3:6nj6a;age60hhi>5cef:>fbb:3ioio4ldg69ga`c2jo;:7mj0g8`a4>=kl8:6nk=a;af760hi;>5cd4:>fc0:3in;o4le969g`>c2jo2:7mj9g8`ad>=klh:6nkma;afg4fcak3im<>4lf1c9gc752jl:m7mi238`b7g=ko986nh>0hj;h5cg54>f`0n3im454lf839gc??2jlj=7mia`8`bg5=kohh6nhl5;aegf1okjk:bdf2?eamo0hjk65d122>a67i3n;=>4k00a9`5422m:9i7j?368g416=l9>26i>:2;f31g1h=8k:e242?b7?o0o<565d1;2>a6>i3n;m>4k0`a9`5d22m:ii7j?cd8g4a1=l9o;6i>j9;f3b7;:e33`?b69?0o=a75n3n:?:4k12d9`4202m;>i7j>668g526=l8=26i?71;f2a7c83n:h44k1d09`4ce2m;m?7j>fb8g653=l;:n6i<>6;f15c9o5d371>a42j3n9:?4k27`9`7142m80o>i>5d3f;>a4b93n9i44k2g39`7`>2m9;=7j<088g747=l:;26i==1;f06<=6:e175?b4<00o?8?5d27b>a51:3n8:o4k3669`61c2m93:7j<8g8g7<1=l:k;6i=n9;f0f7m<:e1`g?b4l<0o?ik5d2g5>a5bm3n8j;4k3gg9`1612m>;j7j;198g076=l=836i:<0;f77=a20=3n?;h4k4959`1?72m>257j;a38g0dd=l=h?6i:md;f7g3a37=3n>?6i;;d;f61`21h86>:e7;e?b21:0o94m5d4c6>a3fm3n>n:4k5b29`0e>2m?o>7j:dc8g1`2=l921h;?>:e42e?b1::0o:?m5d716>a04m3n=8:4k6429`33>2m<=>7j96c8g222=l?=o6i875;f5<`0=1h;o?:e4b=??6?o0:>i:590:0><43?33:4:4625`9=4>e208?j77>8g8:605=183864<73;;2=2<>:1=15<7m:83:b??6i:02=l9590ca><7a;33:mk461g59=4d420;mn77>b68:5gd=18hm64?l3;;2g2<>9jh1502=il590fe><7b;33:i:461d`9=4ca20;mj77=028:651=1;:i64:8=15??m:802b??5::02>?95930a><45n339?>462259=75e2088j77=428:601=1;?i64<:f;;126<>:?=15?8m:805b??5?:02>:95935a><40n3394o4629d9=7?42082;77=9c8:6<`=1;k864:hl15?l<:80a3??5jk02>oh593a0><4d?339oo462bd9=7b4208o;77=dc8:6a`=1;o864:ll15?h<:80e3??5nk02>kh59220><57?338;;l15>=<:8103??4;k02?>h59260><53?3388o4635d9=634209>;77<5c8:70`=1:<864=97;;02g<>;?l15>9<:8143??4?k02?:h592:0><5??3384o4639d9=6?42092;77<9c8:7<`=1:k864=n7;;0eg<>;hl15>l<:81a3??4jk02?oh592a0><5d?338oo463bd9=6b4209o;77;ll15>h<:81e3??4nk02?kh59520><27?33?:;77;1c8:04`=1=8864:=7;;76g<><;l159=<:8603??3;k028>h59560><23?33?8o4645d9=13420>>;77;5c8:00`=1=<864:97;;72g<><2??33?4o4649d9=1ga20>2?77;9g8:0<1=1=3i64:n3;;7e2<><2d?33?oo464bd9=1b420>o;77;dc8:0a`=1=o864:j7;;7ag<><37?33>=;l158=<:8703??2;k029>h59460><33?33>8o4655d9=03420?>;77:5c8:10`=j=no6o9;4;`402g12k3h<9k4m7709f2022k==47l86c8a33c=j>=:6o984;`432k1n:9k:c5;4?d00:0i;585b6::>g1?k3h<4k4m7809f2?22k=247l89c9~yEFWstJK \ No newline at end of file diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.psr b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.psr deleted file mode 100644 index a0fdb56a..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.psr +++ /dev/null @@ -1,35 +0,0 @@ -Release 13.2 Physical Synthesis Report O.61xd (nt64) -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. - -TABLE OF CONTENTS - 1) Physical Synthesis Options Summary - 2) Optimizations statistics and details - - -========================================================================= -* Physical Synthesis Options Summary * -========================================================================= ----- Options -Global Optimization : OFF - Retiming : OFF - Equivalent Register Removal : OFF -Timing-Driven Packing and Placement : ON - Logic Optimization : ON - Register Duplication : ON - ----- Intelligent clock gating : OFF - ----- Target Parameters -Target Device : 3s500evq100-4 - -========================================================================= - - -========================================================================= -* Optimizations * -========================================================================= ----- Statistics -No sequential optimizations have been performed. - - Flops added for Enable Generation -------------------------- diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.ucf b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.ucf deleted file mode 100644 index 9853e6b2..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one.ucf +++ /dev/null @@ -1,80 +0,0 @@ -################################################################################## -## papilio_one.ucf -## -## Author: Alvaro Lopes, Jack Gasset -## -## Contains assignment and iostandard information for -## all used pins as well as timing and area constraints for -## Papilio One Version 2.03 and greater that uses 32Mhz oscillator. -## -################################################################################## - -# Crystal Clock - use 32MHz onboard oscillator -NET "clk" LOC = "P89" | IOSTANDARD = LVCMOS25 | PERIOD = 31.25ns ; -#NET "clk" LOC = "P89" | IOSTANDARD = LVCMOS25 | PERIOD = 31.00ns ; - -# Wing1 Column A -NET "WING_A<0>" LOC = "P18" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A0 -NET "WING_A<1>" LOC = "P23" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A1 -NET "WING_A<2>" LOC = "P26" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A2 -NET "WING_A<3>" LOC = "P33" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A3 -NET "WING_A<4>" LOC = "P35" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A4 -NET "WING_A<5>" LOC = "P40" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A5 -NET "WING_A<6>" LOC = "P53" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A6 -NET "WING_A<7>" LOC = "P57" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A7 -NET "WING_A<8>" LOC = "P60" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A8 -NET "WING_A<9>" LOC = "P62" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A9 -NET "WING_A<10>" LOC = "P65" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A10 -NET "WING_A<11>" LOC = "P67" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A11 -NET "WING_A<12>" LOC = "P70" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A12 -NET "WING_A<13>" LOC = "P79" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A13 -NET "WING_A<14>" LOC = "P84" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A14 -NET "WING_A<15>" LOC = "P86" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #A15 - -# Wing1 Column B -NET "WING_B<0>" LOC = "P85" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B0 -NET "WING_B<1>" LOC = "P83" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B1 -NET "WING_B<2>" LOC = "P78" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B2 -NET "WING_B<3>" LOC = "P71" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B3 -NET "WING_B<4>" LOC = "P68" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B4 -NET "WING_B<5>" LOC = "P66" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B5 -NET "WING_B<6>" LOC = "P63" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B6 -NET "WING_B<7>" LOC = "P61" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B7 -NET "WING_B<8>" LOC = "P58" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B8 -NET "WING_B<9>" LOC = "P54" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B9 -NET "WING_B<10>" LOC = "P41" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B10 -NET "WING_B<11>" LOC = "P36" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B11 -NET "WING_B<12>" LOC = "P34" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B12 -NET "WING_B<13>" LOC = "P32" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B13 -NET "WING_B<14>" LOC = "P25" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B14 -NET "WING_B<15>" LOC = "P22" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #B15 - -# Wing2 Column A -NET "WING_C<0>" LOC = "P91" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C0 -NET "WING_C<1>" LOC = "P92" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C1 -NET "WING_C<2>" LOC = "P94" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C2 -NET "WING_C<3>" LOC = "P95" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C3 -NET "WING_C<4>" LOC = "P98" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C4 -NET "WING_C<5>" LOC = "P2" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C5 -NET "WING_C<6>" LOC = "P3" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C6 -NET "WING_C<7>" LOC = "P4" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C7 -NET "WING_C<8>" LOC = "P5" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C8 -NET "WING_C<9>" LOC = "P9" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C9 -NET "WING_C<10>" LOC = "P10" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C10 -NET "WING_C<11>" LOC = "P11" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C11 -NET "WING_C<12>" LOC = "P12" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C12 -NET "WING_C<13>" LOC = "P15" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C13 -NET "WING_C<14>" LOC = "P16" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C14 -NET "WING_C<15>" LOC = "P17" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8 ; #C15 - -## RS232 -NET "rxd" LOC = "P88" | IOSTANDARD = LVTTL ; -NET "txd" LOC = "P90" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = FAST ; - -## SPI flash -NET "SPI_CS" LOC = "P24" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; -NET "SPI_SCK" LOC = "P50" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8; -NET "SPI_MISO" LOC = "P44" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8; -NET "SPI_MOSI" LOC = "P27" | IOSTANDARD = LVCMOS33 ; - -#NET "vgaclk" TIG; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.bin b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.bin deleted file mode 100644 index 0a7324525981ea998ef5cd4ace00678facd199cb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 283776 zcmeFa4Rj^dbtby2Zb>SsZ>vi!L1ZkLBO%)t(sl}3_OwacIo-%c;I@73p|gk|b}rZ^ zOvW=$vB@*zN5biD#RzB{VUsuDg%cUad7TakO6+7Y56@7Ia1hWF@DR^XRVpqJk-jvj zF|4J52_!x5f2Khe1P_?crjn5^xm2|4#vHTxud&2o)c&pCf#M#|%#`U^(n?Vq!*rr3|^bSk?} z#s4vx-IeW2t51yQd_Xv#-f9s2xX1aa>^c?S7v?A6ytY2ib)#$T+Sf(uj(4$Nv1qp) zFE{>*8G4G0y6skR(~)R$bn>L}4(2NuIh9?f;=h8Xo;0g&J5~6fZ|Y83XRino_tqWI zJyyEo9m20*q}z^{8-E2&J!w|mcCRNK#Ptqxcf5o73Pyt1b>tSr*~#PmwuAqZ5()Yj zPi9Jq%vc^QWV+)8{gn>8?RdHISK8i<^6R#HBe{R3|8ouesA}M!?t_0ntH8DDp|>mE z;=HohNS7VmH5_-D)6MT<|Jb5h4twhCb=GPV>yEdvUQx8e4%?MA;yvv&;6JJD&}I3W zXwXGrxlI@C#}Vzei#DXEFyzO*z_O8fW+O%$y`#Ui(SMRq&OP1zd~=(DWN<9~;(vUD9})H9F6>#RuB(pCt73Qy5b`Fgtpt0BEl=Ll^saY#0M{ zUjWymsG!yJsZVZwk-*PD`8*W45eX^DeuOY`R#AUqyb4AE7Dy}ZJUQ3o@~9`C>TK7} zgD)$+*S}VYJz2(_NArHcLO z-$}jE`1l$bra9VxQVkp1i8r=C`6S~{KH1oQ-+hg}#M{Hh-ud~(#rb*CnKi?g0x(ix z)26#m9KYbiHAS3hiHEtaMgzsmX$-9u6UWVpAnAVzoH*2IJcn9pDbJ_hcv4BjCXIs0 zS$XyAfBiRKc>S+`zU$b$c>)*cE(j{A^l!UX7;Y7$N4OqPhQP2m+T#UYg#DEr6306j zMYz6BWp4SXGVzs zaS(p~%j4mhdc0=$=fC`#-Dm#s=G~uyR40^YaFs%uN{g&`KEY7m)}Z&aj|RPG^7z=e z4~w{l{hhonnYDSgvRZ>NZyv+v2--l<28eI7O*zJ2{rY#IkG%_htac_hs4B%y=WDSen2P)G>Sg&ei$(qk>`D*n0>6-u&jhcWl4o z!+@*yUbP*E&KZTef54Q|*#2TLG<5XN?|tvxoA=7}G(}?Z+F9`|d+=R7qM`)|_b%ANKPu z@&Yhzp`P>a>gwUWhxgubM{$tCS-M@WkpD-Afz&QuFd1jVGQv_cHQ9HkxKboyR4I@mck+=}o9!#&+KWs4c06JRTSm^sVq%e*Z5#$q`k6UsF z^?Ik((n>oUvz*FqDgL_Td#iOupWc7?ICz3u1AV zhvs$JW;_TfFUgJbDmV@mgz@5*Yv@Mi@8k+$h|2@&AMxbtCFBo!Ef1~U$02`eBb~uZ z0dN1rQ%;2|azOQ09?JDh--HQDWYX;?T`C9mGhehpX@upFZ)h@{T!D0jzFb|zmk|x- zAnaNRn0pgS?1w#>T(tYx-&_c4Xyfaiy%Z?Cz>Jg1*NARVh6)N zPV&U?iXaXRO4Rc)bb3&-i{fnA)+gdpmW8&SNfKo%c3D>XL>^tqL<~YIK^WTQ3@Wl^ zd3jKW`0{_zteG&*9u}!fmNCN9w@S-sXDiE3JUT3>frlOqN-`|6QhF3GvM)lyLq=&B zPg`UY`>BuP45c{zkWgnj>h-{CX#()Qii}tXa%e`?j##$t7XU(y_n6d59N2YU z2c+0Zft}UmM}qoBEWruJIm}2XC)Qf#BCE4g6j+oS&|H_)7$mhi1Qp?xaR51O#}Fm` z$3fhcTz!~mYKN7WzQJghixU`|l(O26;6Y$g5&7wNB|)_BUJ*>+IfRag{r9M-soCE~ zbvsG6R#iDJ3FNgP5~*n{@nOjYS5n@~JuafP4~e$28K^3uKcG=wE*wIFxBuDP&x@HT z!>*wzDhR|fkW7rW2hbfQM~bcvg{G3ciLq|*vId`Gr_K1WA8!7_tn;%f>A(ZqQo#kSS(_OHVT=&2ZMkz27K$Z z2q>nq(U1R8fWEK+1f`J({bCnt#@0n1>_z?J+Tk$er}gk4P_E=^g|syE$@JEKCsFC( zG^Vc|!}pjL55@y#aWD~e@>*o24{hq=8ZhzW0xi)FSu0Xn^mx5(4s3BZ!iLb#WcOcZ z)&BZBdTSzQwRIfP%z{PV22BlUpi!Ak+39nzK6Dnk#Egm!c@g;$KrGj|z|R9MZN8gG zZ~YR`J}~{XrJZBQa~Qp{HXYgEV^_`i$mn%Mf^1MiwQCSvjs0Y>CR-5TegyEc%D%{Pi!04j z|1Lau&U6x$Ty?Hp$s!|O?@S(oV5KfH6}Y@IFf=I!V2Jxg+&egtRZ;*IAN-K#q=Nar zD=mkk7(=oV%a&lymZ1rOM&STzY=&JY4lDBr{b-6E1nKOA^lmS2r9Va#lBQW2$6{C< zjtgVNBAIu*L^=oBGzd;}!!VMx0Q44)GRyGCQF#BdBsIf_Thb4&yA$l^Rd7fn0#Hj4}x7jQ#sZ8|d{ zyDjvEMr`b2ySoxPnMbmDP{kVN*aQa(3>5r3bUKH>*31#fG-Azh2D}~0?VNsJzn;H< zpoU`xwT`yHQ#30}G4yuCNy+c4M0y!T1N4FezZbb}(24_#*1Y-9Vr!ac$yX8Pvd#W+ zdm-0Yr4h`#he@g;3%H-79l3^7kQDzCor}`~)!<6g8<+bh<0{j6^TF%Y0sv`)E;BT* zf{ldz5}}llRzpEPMc4yA4%!Gv8SRE@lYr~5L*#B8>_(c%pf+u=2hrIjw68^5Cf0`2 zzyOJ@!cUhBMAhmPH30>@-{{^@BtgU6E{39Gr9$j%z(r^~2e8?LkHn91m7nc(%tM!KvTp3lp= zeeWMLXVkU?XQkE4m<1-8*)up^{qR5`&{4`e5VsI}59QMxl9)KTRDuLKkib)7un;^; z3(8`YXi^iQ7+Y#-N{I^$`$H29lOQ=*1~*Yi4OXmuQ=Gr8YRaAjDY`}x7NWU3wurX% z54Km>qysq^qB=nVa;S zK7^!?GwghHBBNCbTB8zq7%^pp6gV~nBv%bEQDyRoM2dJ5S^Sp*FIBEhSI3aS0M+H2 zHa;c@aoQ5Jr*i~zsCwY!3vSrFg5-29Lym{41#%F^gbnH-DE&CX4!!MlRb=4xGbt%r zy}4E&v?j?%6@&u|c#~H9}G|7j+ffRU~*pC|Jx;P;NFoY+ewVqA_;l*2T{l(m; zc|F{6w1Ga(Zt(P0`gU6zje|$w!-Vgl(RlL7?|qMH>C>dlV~-)SrO}w5?@UXX0t(%X zFRe1gakZnMGbDI1Cw1k@4-@ z;iAle3Jbh_Om^efWBzLYYZ{UxEgg3qCdo<0*-L@(M&m)cD4TK82qdf*Dl(+4s&LI>EO|$f4)*=+V7tvG#|@JTxFv#iv;PjE-JpL7{_ z2QHDF>8a2#2px(|MmM?$e66CTlwtdPs6&y&W=LNMPv=Xz09;bL!y(YR`D)?Gw3$ zK2A4vwj_4G`eXy>LQ0kW z=9Ve0!>E=(CkEqMfJ%U$$XaB9!uH~h5n1O@6{(e61p(mPq^kavLCP2R1^OJC%lGFY zY3tc>7U+YsXJpBaT4<+Ecfr<4rU8$%txmI4=`h%+c9 z=n~?Ux*ZR^CXry7EYvfmuNuu3tP(4nX?=Ju4(DQus@yPh-t(B>uS4 zT18^f&_`Ls8Uj`@CFU4u!Pu{Oa7s0Hnz|ctC$H!{B19e2R#D_Gi1$8+y#!!Lov5L>6JVL8O`k^^T zYyfRqAf2!v2;tLLCjd7fNb_*3;s$&@fJE@`VQs&riw+_hQLQP^5jjOmxBGd?N#+oqB7DuuE-KNTWdV>}Vjn>mBqdaCZ6}s-%MQJ4M-8(oI)ygb&?3GP>3k_`EOArG zK*j4ivrvh!RU24A_VhkgVv=e%zv0aOTfD~rlw^S6iPMq->;TmJ z@WAVoke|wuj|1?_?8~`b?K14+0sA!}scFrsFYB6Qi;J!V(cl1Z0ALO3;4gfBNxDsi6VyRpF5ezdQIBn>5I>}*ByP&^8Y%J*n38G;dEYyWP^$0& zF7|Wek4fp%_E%_82#Kq;u7|X8Dc6E#1GPwUC-5;e-B|RY0ZBHYmFqb-$Z#Lin@5^K zDyy2mUq1ucc^2jql-M$ov?t2*)SC$6V>?TZLT#`K*~5DlETWwU<*O*)Lcy&Ac}9oi zSmjuT7Dru?2C$;l0ecgTds2?p5tcGWc#ZrQL~^(sp)De=0&Z_!C82^78XdbRZ?*D`$QHo ztnkO>B_Ip(3%)DGI~LMGFQC^%=r7uv(^6}d5Z8NXVk>$h;3f2;UR<*T8+Lg@c>#O{ z#@X)qG>7ylVw-34!f1)$(5%YhZ!PRhy)EUXR9}tK%wRCo*Q8e#(qu-}^922~n2!DB zv)D`=OD&Rq-^2z&y-3^!%}2;4Ex%EWEn7)x<-xVa+Tuo!qJT9rUHo>7UfiqkKzUkMHwYz{?RD4SS znIbQM#UEb-AO_mO!q0+82iW#lK1G0p4uf9cRdpBg@q5V(Ok8}VF+Y^!qB73z65 z7h#UZ*z}kB0*3cAfB7WpGqb;PRwnbCSGdA<-*+U-MeB6k^ zpH_^9K@!S@7xZV>9%NM44dL*`81Rq6@0uimrNhji~jaV}~Mm%&> z)<(sTdYZz%x>c~HcdfE@aw>$hmYjd!Ytdc;R%G3>l2|L253pv5n#f6Yx2NZrXvRxj z$(-vX>@tkEGI4h~5?Zj^weU8qm7y2;Dudl&VuWuY)U5}3y_UZNLq!oUEdv5uTS;@ZB^hp#v zC(jQx?(g&`7CeT8lj-;T&h(AOiM4ZyY7#lV(vl_qV$f*(-tXOU$LdqC^aWbtf%`7= zB+W9=e^5ZdYlWQyw?!{7&);C5X0dM*w*oIsPocFHZk(>=2gSn=e5Z^TG#@hU+Vp{G zr?um)TnntwSH$U4-3Z$EQW7uxunf5$mHtZ}?Q(@Jq_GS|yd#fN(h)5pK}BS1G_&D& z3QQrnHar<`t@KX@<%hMI^+f158g!h__j@_HpR+iM{hf@X-{#Og+2-UE-^tvUzwj__ z`PM=se}|&raEui=L&op9<#5(c^Yr6YhEIOu>CDN0Q5zbK$1>8s-=%{z>S0L0uJy$t zUWBAS`Hi*tkp5DjT~9k+q{W*aC!JsQWe_H8eCt20=d0YgbNkV~hp)R+VWK=;ccL$3r2 zt(zF+uh7hF18q$AQh<7JW9TTG=kp=r8$qF$>CV=A2)_>06?EA5LEg1%98*kTr-rv{ z{cWVWgYH)d#1)+&u*^lK1FmW~xhVG`UR1=lV0e_%d7PjzXZK`I`ySGCtvsbP^CY&?;>5`M=ph2GxYV>%>QJ_u>2ML zlKgLX6D{-J`XX#%Lrz@~79KLepZ{N8Rv%?(3SLK; zF;>?zn(QQy(dU`#ABJ3p1C$K`EQmzw`t=ym`uc9?rMv%7DW= z++9n#m7K_oe4?Dx!eF2o^;#S~Hb0H4#Nyzf5P=LjZ$n*sSZni)X zUfb_JAaiijX$rjQUh1fcHc5|iSr9Lc_A)jn#xQg|B{L{g`w}sU3IVH={m6$JS@-0+ zsECIJshd~Oi?Ft;F3Lgq+s`>?%r;rwGK-SLTxZul96GZ^G{W0F`P4)=edN0bIU7Te z!FC--V>{d$G3}Mr28g^K^}{-l>+mGO42rq{x;_L} zEI9AQQS}l|gIH@trelAZ#P)5^HF{Ov?IU{LJc2&h1-^|kQWvoHm?9hv4Wl~CHjAzl zJ%NPD2T&0NIu1Nj^Mw})&aJ>2Ph0=Ij@CB8Tu&RN9o4Mc3C&^UAF7~x9@k$u$?SEg zusOUpzHcgD;c!UD#(*CZH%)rAW{sd);9B)H0q)5lLo@Adb& zH{?ugjHyBCZ7tdLv0VT9Oi+Efl-=hLH05VI#x^)Ku~mN@l)u9INaHPIQRzTf!g6og z>-d!+Qaeo32IOzq_S z`t;Y}aQRnmom9E4%Hj2EBN$XY{u-w;=zQ%^_H%nqsxve=Si@pcoI=(^I0%PD@@{yX zLn&ov`KqDaGB__Kdv!Qhg&t0&vJ;0zO|+VlVolIQjKOOs;}r9o?1~|YCj@y9s9XI# zShAZJ2Ea5=NNiDXHO@Iz#Zyd^G4UvX&;R`n&4s%xD-N@ngI`kb8Jyt421R_m40Y{X zG1IxIYS3?RJ$5+Z1_0?C?HIDqfxmgjTmZRONpWa^j6!6+T?@Hb;ICzN!P{kEltSnb zKu5$FN)qJ-L^mdd8a<4bEoB1JkDZp7S>nh=;^qw8x=|QSqfF6_4jYN!TY?_m%b~mu zo*@rKm`B@#G+FXd^Z!_F%Jdo}25?^M_q{0vdcj*stR<}*rUl7MhuxcqW$i>M#(q|7IK3j3GaypFb{soJQH%F_~`&dykAFF4U4OAG7psH@{eFzLGflUz#UeSUW+twoR-f! zQQn!xgW4jnyDBl#rMXt<*jJzkT^n9BbsMRLT18!pP+(en`HTCazYQW)zcs0pK`Re%^`&8LhS! zw2E@FWy6|wnT`~#44~UXOW0$rxaZ@nDsuTEGl)3~Ak4w#6h?t-uQUi8jy7<>c>p@< zw>cGf9=R;ME22f%nW&&{6t2_h+&Z!?S~1Z=2t|bjf!K8tw2`zUDvOfEyNdL*vJ%S2B3&?ssz9&pr1X*UOKp4q^q6@ccKP zwaUPW^a3wJ(w_Xrz4MQ|x;AJ%chn}l*pDKNo$5-IZ{6(*k ze$D&7eD8eWuVlKypGi6HC}Ud69R=R4w|m%oK1a1b==#=w*!1Ju9W0=9G zS3o=7NrT@n@ZWyrw_TgQ#N3C-bi?BXzm;F7K5q;2)x7hxnh)@%&OGBHZQ)DwKFHa^ ztY%o0qeCf$>jzTx_Q$a9kB`~bl8UOuVe0O)dKh9)`I^eh|Ly#Eh2H|{_2oyPso9{yMZ8@Tm*vu}QLd-&lGfAE7mcrM0rcUa`- zN3K6VEA>T;XpHRt_wg40=sfZ7W#7vR9gM@@x#;A@29-#D$Yxsdnk*XbWZ2|RLoK9sNay(eiI)rd>i(w6hx>4QmXR3a-iG>v1PAUkupbiX(plV6BOfjlc{q}gK2^IZ zPj^J+kOt2w^lfJPZQIHITu+s$+U-08-^OJ;xXsJ&y3<(f1Le)W0~JROkZDg>kc;qu zHJSINGQG$<@AUC^F8Gj|Jl~f~U@yxzh-b-C7?-%uwU^J8F9Vn!R@x#7e0H%bA_#Aa zC^5dT@ZIJ6CHrrr4EAIC4rUlr*gvNlZ}s~boBK0SzX0S@r1QQ(T{+Nno^H?vDk(mW z+GF!NEM96e8^4%Kdr?0CFAQWq_f=w}Tp@p>rn~y0P(>0)rh@7zB5T8AEU&|hqtuTu z|MqVFOLqOnp8AqvkY!I5Ml zUu!xYpTO{8l4Vd?+i*T+Sh+8fdzWyJlQKynvkj=@(-mJY8sXbvSc!9X z7iL-%lTXh$P#RPh6698~KqSNQS_K`Q%}HWGwJHU{lS8r?;l+*Lu=sxO&;_?`u@@iy z*W+T`{FP7jmhIIyORWU|!rAYT8r(sV*<`6LegT5}7lV*6`mFc9q532g6vRB&7e6Xg)Dc~1zw33iKIyow3t>9>I)V^ci0 zL3f-ie6w|+e2ss$SJ9~5=K%_;b4s>Y<*j$h@O?bAZf)^rWJevJ1+3?~7Nbq`oN|0m zK*~V(ge854@L{>~E|H(XXT(6h@CbSwTjC`^677+XnKGua56ru0FtWRn>B(hoN82;9 z$>36^<}!%Gz5@*LUOfi*B9Or0B&ekI!J)SV>B9#r_~;sCmi*fNHcrJb69vON^N-8e zq5q1;v=P1yYXg~FhChQ1UoDTIW60OI9QP%QHcMt6=*)?AkG8{9;CSwUNO}))V+WdE zb{uo?g?9TClMeYckrbbLExBHidNShNXbrC-rffLCy!`Z-^f>NkRdCWX6PGf(!$n(b zpx}GLwEtLh6ex$UFLCtao2Ir5;CE+;skHHz|b2a$loP-ne z6YTjB)&?OdsNharT``CFF8L`_s`b-7fF}V7n*|3G=HNxaJz+DioErIa28!+P?!Pn#1b=A_ni_q-w`UfC9ANWB;@drR;FLsHb0H~CB8j4ekwORxEsUf3JMJ5uN zs7(dez8Xu&m&tTC_vv5zS~3zOH@Ka>GP0w7kzA+cyg{zvD6At5*N}bx!?d>^^!+BN zr%PtTkvPQX9Ol`a&B@4O_)z6}t6RR31}+Sx4>60p|NCj&a+peX{<*B9p@sCe(tnVp z#BP=|9b{PUF387YPE9#JW<%V;t@c=!E~=HdF|PAgG^}CYN{`LctPSujbd2-frMTBF zU2zCY+F7}B09&1Um*+vhm;0?HIA9&V-8WM2L;YI`v<#&`MY*=IhJs*j?KoJQ6YeWw zarNDL9g!;@3ifZBKV9l)-*APIV5YPvwNPp+e}4-?H_mQV>yeS_80xDoQ}d+-YP6}> zi@x3tXE7ejqYGoPlr9H8BA20ewm{ztnW_&?ogXZ|HYfLDbPUDvjU?UQ7`Qqc`?S3owx;9Ua5kR7 zFt3=%LH9IVh4^qSO@MKLU>EMh9qry@;b?>zlb4DG7xuthLm2`s#L${x$$|-uVBe*d zYz}AqTL%v%6v+OF6Cp~V z4Q|*&6Lyb8&p6U8d5MmBdiLfiu==~P6@MBwl1zkOAgH5+T)U; zD~-u4q@{-W_g|I+)>xWuu6|&Q2AAcsgR-*FCaZ0N=+zKjE8XM#>jrm{jq$RI+3C4Yg!e-ZVD5bF%lZ&NE=A<#ecQpm3*Lg)9?b zYU-?%$KcdGtG5oB3*L8bG6+pT$xc#(Gp!(?oC5PMl|;F4=?c z(aJz|^txC!y)WK*6FlHCFSn4}JTRM#8Z(*3N%evhRfn>@nd*qCc7A8H*neoefy(+} zPhK%nn>G!$4WGo=Hh~hFvXnM$GMI7zoTu;w+8lC3uUBPj3o=6DmTcA^LaiO39x=q& z{gGQCga}cMGZbri_8o>8L9O#O5{XrkCQ{6wd@-7Yw(?-IX_8qqi$4eb2wRM%p@pb% zz#!=3nzses%%&{^FS7o*Dc{aEjO2Mc78U;h^xh6=MuRfdu-ZbUv2t_J(dlQ0f@E0x zlymyuGFinyWZt}dwUrrH9RTlzJQxPd)QO^uqBe49RhuhF3}au6b`qN|G6kim3@>Li zYTB%5<=|6rwg9vhSMg@Ote2lpDf{xUBhXgtp!f(C7QB{DUGRvS<%f`IOAEYJ4Zt`~ z+WDOkCWPh?E09fKt`BIOdB+!$5$rb%y20cGEqQx&bPF<*4$u^MO~w?|NGW`O2tcZj zBUGe_k-H8QwX_av9g_dn>I+yoe>Q7p>tZ@i16ZH9cjVA~ zebLF{eJ5mfk^HCm2T=U!C$Yj0hr!eL-s|M|H$C}{Z))7@;P)KA4S&LFhFw=j?|JMW zV$k{d*YyEj*?(Ad@QFNjQ?ZXLyzA(5^OC7uKR`<6iNx3Ep~j;JPn=-&K5>l+!k*EgPTe&dnyop92=kc(2L zI9jRIN7(L1%w3oQ3@O(P znGSM=e^b-7pGrRec2YMUR4}r)S^dpR{kH5m>-^qj(5v5=Wm=VI8XOu&+9E7;1(O!_ zgu_4%e1^m0SRc)AtSP5HP0$H}$q%F<1O}&dATXW8;7t>d4Hx3Vnyhw2aj6Ixn^~?(fHcI))V6eoG3kkwg$Nq zhB!B`?^+b;nD*sB;X}kF-!Yw2A0_$&o&(^!`=ypHW4ZhgkI=U_d_GqGY#@lJuQ8E* zQ>0hEHW+!|Vw{J#bU@ysig$bnagp37Y zh89ngPJM~Dt6frFVmzELe4n(FdZzVvKaKsQ=D+;_>D@p%0>j60AEqxy%ZEum@|7cw zIeTY+zH-LnBJ&#K;0>t56>>NDZkrIP&tyMVRW#*iCK-+o%};7{$mbKH{y=wFILPH5V(3u6v;!eiA=L6C ztmXSfZElz3>7TmM%dvYX{J#D!W@YcERqr@gQ92>gDAvq9*?(&n<<8R=KFmCSxzR$- ziO@$H!;T^!ne*xWH+Q+zp(#A^WuAwR^aLgOEXs~K8y{b#D=?7^=VeLi4^bOg_yV3m zkjWGEN0;%NnHl_=IPm`<4mrF2H(3e(ykjz4mu%R5FnB`Bkp1Nq2mAX8rCS=U2FDikwD|_tEz>S*6 zGSXxy(nU{e!W*Iq$rkBug7s&w1br+5yqJjPijnfvK>Z#v_O&o_^u!@wbWMbemk}nE z!=+UFB}XADCSC;HVG#gz6q1bt0H;u5hzAa{%lvr8Mhm%sIY-API8Gg$lvRjE6Ra8K zkG=W=canuL!@o}NZ^MxV{ugY?0?~|OOo9@CC<8KNLM!0l$r(J|TVPZlMXi69gCI<@ z>{taL6Ib9YI`IT0vIa;1h2vWV8?cq6C5Dkf=g%{(g@ptbA2D%651Fqc?W>TIP&nm~pjP)!Io-=jz zZ@l4(UU%9I5~Nurj^6XOUhL^Kknc^+YsESfhIehT=~EW_?p*v8iRFAOx*i;V_a_Cb zB2z=}PQr;AzE7B0Xl~}~=S8to?0Ht(8vW0lg}TOy(_oy;Nd=WDuIF)WLoVC`@R(~E zA{W4_j|7X;d(tyxXV~*e?=v-MY(s(n9m`7tyMb)NkGqk8CHen~z=TQ3vA)a>v^eim zHIoFs5nw+Q1lp+axnW4*1-`eZ7~EcnQdrUwEbx z%FVsAQY!D*tf6#fS`F=Af}Z%hVXayXTCU9s#9lJ zgjh|5y(s!9BME*3vJ_e;@q+5B!A!Fo3N_;qNc(X#iOy({Rpf>u7?|ue1`b#@lhqc3 zs#8JR^rE6RdZUIxCbS*@EEp<+|2>vCyU~>rq^bWbm~NK>N#ziB>@&B?hssl7_?g<7 z`{1CH&wN(wPTUO1pS|Yv_|gw$5cg%ePWl$$)0AIH;<1I{pFRtn>pZ**AohRGg)WO^ zwmNiZ4;m&|g5Sc==Byd5or-G7v~DE4B1q+?-@@-???j~~f6q<5*``X22e%;V)66Pp zLzuvx<^lPl&dQkoWM&jQg`RrIQ1t@eLC{YcLM*!QYP2Zm6h-0`gSYfW4fS)QCL;^t z7ba5rB7BE<*M$}JLjVeL5WgwTh30J>qct=q)gVhtlbJsuDlM9U(Z06>El4vvmBZ!z z7P#&E=Bo=6Gm)IJY4%^aN)7IaLr)*F_Njf>oU?F)MKOb`g4w``dC3>%_DEgcxHDLE$l;PA7xYQaCuPky+=BhmA-7x&>T1eGmg#e=3vj0r?tV&TGQKg=>G!-6`S9)VaL<$mEi3cs7#K@1hO{ut(gnUrNo; zE8$e%%v1HT;I$T1GlrWZ$dxu*gEl=GxMsak%DdaN0#v18;iXh7FAL0@__>YjWu{oO_YGbS9b$=FXUg zlVc>ZlP;b^!A!h$^v?b$Dep@v+tP~M7~l538T^1>I5`tV{ZrB8yqNdSPnxPR<8IQX zm3YG4XdR7Coxq5GR9%cXW)q9+3UZw@DEeH#Ib+8Nc1DgMa8o*s54fVn zletk$ws_+v0&d|8-4|+uaECVw8jg&|_zrJ)=}grn@SRE=jiB@+kukW#NNkjFDISSo zz}EAybv77_U_iVJ-;F1Aw|2|`*v6207PbK|5mSGp0kV+d>JO{lXJBDcWA~?*tz0%= zK{-QQhvIie<*#Bw#0`ykD-;aizGfksis6_%6W%bSjW3lu@`f^tI9hs zsh+l&R&QHcz|T3JnO?USVzW!t#WYz=c)_nCzE_e3giBva{{0f!v$Gg3z?2Z2Gsh4&FE|{L91f=J9c4NF3cg9^+a}RzaYyfqSPMP)e;C=8O8WQiy0Oul zMtcH$q&3|UUN(ygMl~hL8RgOPX_w*Z;G)YfxHFku;8>P-hC4pASul$^3DSWP*}2X9 zZv%1=-|LlwZ@knjNV0P&G8f?H_U9_8IbAAd3_C$TA%kdV7=hiCeZCIgm!i|*vhk`j zU@*3^UuUpua;Fna%>DR)YWf{j?hI8gosx5dUg?!2~aP!BO~+}Mxf z@@duhnh{B1~sKLSQp zIR=N&Z+PasM`%u_-as3b=XI(;&K7L=(df^25|u5@;8RmKz{VV4B8Mu>?)7Cez`X@p z35Y37Y7FWipPP*-h%rv`1Wly*70Jo9WZ}x;l{3-?rVZ~xk%E_9TaZnGFl=VfBAOQJ z1;Pk5L4Ys}?sppDNnfYnu(uvnhS76`9~}jPgv`QOph4aZdL1YL^1<@T10ei2f}b}f z9pG@FZ|kEl((4O2xRR6A0?PlQ_V~cvcR!td6T2$0JF9$r;6(Yki5Fk2*P-pBjc1-c95d(d zKJ$Fzg%{pa6qe~<*#2~eZ%DrFsKYmEzx~)F-ZBav?fWR3-;f2rA7w0{<)cKpHd{13b>w;r`88pQcIHr?!L5hYNdKXNC~~Ubi43;+ zG(m-J&^oALxFXS3{ICJ8Pf?R+$bsCX^@jnT9|8!>THdhngIjsv6PR9NMfJou9(^^R z7S&^c$$g`cp?_0QR)$-H@He@a@{j#~O5ImUoX7Ta!cPhOm<9Ah2aolj;4ut%ROF>Y zDHp|DRA0s97CfiF8Tm)=5}k|4<+rZ=??V!+q*?YI_-9Ep#IKO&y zm3z*cK%SF%eG%c%;FZXW(o_iPODJ=_T1I`MKb0-ep1^?%jt4Fc# z^V`o&JU4w9KMK0{Fn$a>->xvA;Xgg#I8XF50=n3FUl4ru4U zBs_>sI7y%4If4InYX1b&u_5+|$#6sLu)d4%ReAhXdOgCuP$|>jubkS%{fU8`_smhq zh0$=>pU{UFj63B|;x>@<33ueH-sktu+vwXA`EN?cO;hCQH|6^%_jupshi26GC}OtZ znH%z%7z?xH&u29KB5~3oVR>$bv8UrTP)#>Dranb;v-(;qziSibXLk8CC@XFx)EAj( z_}YiQO8v$ZeCVaUy8`@fX0N(EJO@2j_0wL~mxCMzs0;4S_1%_-Ek$cE9SZo}#!pA^ zu}I}W?h|~7`k-*y`!ZX<4_U->pI!gFJ_wk=|K8?Q=6#gow|YI{U+4V(!_bc_&%PU3 zUrzc9pWt0em%#6r6kGT>b06Zan;%3v$NE%@WFE;0{`V}Cdf_`P=sujpbD5V5Cw;oS zTs5C>TJR*OCeO2=4XCFKQR$m<_ z>B}Y_l==iVES4TcFMVRT1b^Q2O1+fz4QDG5$okL`G9ZuEqbKmoaMD*=E`{*@U3lzk z-wF;4o05sl*N(~4eGg!tXZGOshw-D8!51fvE&umpPcPR?rRC)-H$C>nZ>>BQJhAfF zBDyJ{^ua@fv|>XWSqYL@w`k)gSfwl;cpNZ`u}s1)J_@&)Kpvh;;pnpHtqHUk60ib>!U1)N zSQOI)hB%ff;vVa&ft!IyFsF1ASrf?!9O#}3oFOJ`o_ zrE~bfZ;Bh_3#I@99*!8P3Zb5{$cHuvd2}U*RI|KZ$kjzvfQ~&8J5`xd)5hTCP;ZWZ)|ZXYE^O zN?ViHUV%@sywO~U>ObSQyYY2p+|8AzjP%tqlk^7fF3Z`!mF)|5_xARG&bZm%E?2f_&MLiX zS+4v0UzVw=E#LaLi^vN##3>j6UxnXK84P&e>#nmlf2IB}EO`p6Thc>*sRhMqgJTYn zM6M3^T?z)eSTt4%NmEemH0soV)s`JeM1 zMZFaiG>Xd(BSQjSCKoG6h*9fOsb)XJxhBIcM*mP%`UiHQdd9=gj!3!{zg78_*j!;J zW#V>8E)4NY+3<$KYhJ=iWP}xv#WP@>g1cE7nR`QF5BJQBvg^O!AIBgPl zV^E4lhK=3JZJN)hXQ*Em^%ad+>J*pVQreGTA#jE(bDjsgh}|ClDJK;J9vIQ9y)C*3(*D`CYb~&L^c_D)l%=$xlY2*$OmQNafkbu0B*14 z!D%T?P1lfqrZ1hpdGYjx%JMbI+;5bJhTM7a@S%xXubdfu@a+Hg(IZC=&mx&i_{r4& zds}eY@UKO|fiZMgWFX)mzeKjyD#49uWYd{l`vxjk+S1I#MR=5Z+y1vNmi7+(75!Bf zqOmyNx$sTc5-xeAci|Jem&(TscpgF%oFgB6T`yvRUM~v+uY=%5e?-YHjL$kpe)^E~Wn(U!{C6!`bf>D~ z_)zfR)gPU>WNLn2=Ax%whwndMRDRReBza5y!f1fX+^%eTTs{QP(9`qtJ0-N~-`+GLAAUy|6?KoXNe2$xM*|pQee%Ff zn^M_gy)G2+fnM3!XW;@Tpxz5Wx)DB`_>Dzxl{)H^bCZejTX`msMYixsVW5qRdW!b@ zYq?Ts6(Fug$kOL(A_od;+uHqHJDkKeW4eq0w+C`Q_AbWww@G3*=M5}%mn3u zAh>kCQjWJ_UnRC#PTo2>eaYBd9Nrqr#jn~e^U0mt;EZxX|2}tq*_v?Q*!#+|Z7$qB zeeUjwXy-J({>2OFdnaUaM>ui&Ts&Z+_u`%^s`i_qIG7vVX3sZxG1A&#E|j^0@xZO{ zF&sqQppNe}GdJyq?_~;K*v7k%)ApRm4!EuG_gqr3qvbO0#lmtN8nnjf9%zZeFxt&g ziw=)vYhxTZ7%-@h2>VC3V#W#fpu}+XpsFP{Q<8?e9V-ED2}57T?&&z3XPhmJ`IKlA z+8R)4eh@U-)rF6E9BspCoge4CJVgW4cG3z@gLAH$R+my*hTe@#A$SLzX8j9k@8~BS z`h6sC!Bu5R`jgF(k@v&rlvZxXyc_@LUx3ase(t$;ZxZi$=iopsn)*cTS~zFN&Yb;2 zd^L2b_x6F=_YUqHyOus_ezfBKOZX6goVz1VqV$dL?=PRZdFSWvy-XG=<)xbR#Ixp_ zB*s?5finj_hq7*lUsU3+@?{Q8+neE=?Vpm%;8mzDOpPuqEd5*f9VH%E-28?(ehX?V zjmvNC?fs8;%KsHs|CB##iIV@REXkj~iI?C0+tW|J#h0a%FHVe(LRD(G%3-ZiuI*V|lDky|+I|&Z&K3FfoWdfZ(w3GyTcB1rM-+fMt)le_ zfjHDIky*4iT!OgMb08Ti05@I2k3*u>eN0ph=@Tvy((_T9&7X-=J&_@R^C`UVS!TZd) z@Oy5H`;r}U@7Ol1Q*Ilbjm)cl_K&U|T|lo~jgzS`K7Z!SA&YJr!;y0IwCZSTmf%`W zutO3rS&q%dV`p3n`?e;}lzT^#G##4_B&b#KL?L5I6c~Qu8TXBb?oV`QG#*PURn!-E zU6!|L_lhsYcX4sA8%xcqM0`QMCv%6>x?~zT12-J}kiY=ys_e$4e7T_G#VL7OhpHOc zZ2+uNKVotLGz9D4gTJBx*JlW_3W#w-U&L{p;$XAL5uM+t2nd|3_%aU~&I>35nIX?< zZF^qe2pIfDI*l`-T4CAVc{aOa#F}12j6N>~ZuoX|Cn&^!VG<=}KTkdt?!C#yVU4c~ z+^n>OLC3!yOat)lxV+lHV>Mbbd|M%8YG1jeZU{!ik(rAs&iEP#TIS^0dXca&>uqb2 z_!>|$el5L??G<3mIb!fF(ssi!C_CMyscmKA{bjpGwZD*UhPi3Yc*`i$ zMpJaHXj7u(F}15n6w^Z6ur?EEuHIIY4F{qhF#LgN%msv8p${tgNMqUYnebMEBAx*iZE3^Z z;2^FN)+gkdpz_E)Pv?p4B~gkg*oB>i+{wa5<9|H7SNIXx>+wm~kK+r78?S-$TeF2O z%C$q?*6lOT93KFUK7pghv5^0Zd-lZl-;WsJ$!{E8JaG@d-T25Oo>H&hk38=uZ}GeM zQsW~?^33#e!d;L@vqOvZ?4EnD?Z;32)fap%$Jrk|YW zcW0eE{tYCA?_u#i?_=Nwtrxv-vGM)FZ0uut=K1OJiS(X(t~o*C_1CXH-njqI)z`ou zz{F>Ek(ZTU{Z)ROu~putf*dJ$-v)BMe$8=X+3vJHj-J;9;+PF^4Wv&_!zQm2Y3C8w zgD>a+FMO9xn)>}9V{$pl16LnroYT8_^lR#Y)@Lr!Q7{d3x3b{i+)QeVpXcXGz&2zLESIdWm*=pdXM2V?`KL zXlpKjAou%y9=^W9@5higaq72Z7?@U?Vbk(07P<<3D!0wHD z)c85L#NvWYoJTtZ#?v&Nn7$sbSG=eyInD@${x<`DL1FJ59|U|Dz>xgC+qdjx_#$^^!l`%u z@jdbJ#&gf}-GuM!s}#>XgN@VA9bb7-oZN#qHJ)F*@5|5HRrjnMm+#|UgvVE%9K0o; zZyv;icrNpPuKpsv1o`~Y!Ehj3S$XEU@<4U|sH-n7V&UoN>e0iLAu#WY2MVN{&!Ct^}m7HXbenHz5|lh?-4hI`0DE6!`rvteK+2bI9lxx zZESDV2^sg(fENY5Xni=0S$TcV`qTioCGgqx<3_`UQMeEHFt%F~*Z8EM1sF<@|2M(y z^bMxJgmtSdj7ni(;ctlKT$lSY-=V(Ai3fu{l#~98G#Ntil-?zV>BsuK&yfq4 zj(M!isSi=GhGvnk+?08rpR`p8O@9`4BYj(I4uJ&)=~0#eT?J=T*yO zQy1!%e4Z8bw${lNxAKYGO3L_H;(&Ph4u^^^k5AItBaZ@o`3bhq+W7v(8@ zjEE`ZcE3#`A3dPyDs}I(tUR<|^RJ-(HNVWKT6@lYtj+v$eWkUMFM)!m#%NI_gJ@Ex zVJju6uOL))B*R;V!%*Zw#&YNbVOjdJP4HqqjrF}J>dSQP)i*trK?w%^G}io%nQS<~Nl>9Ml3y-RoOC+<+4ADuZ&|?$2VQa9Ul3xPIB6Xz1J+t%~M-PdeiXI&3_{AzT4uV zYD(M!)^4r9u@clj6kw4jb<#T{hD~TV}H2+?qoL`ddzkv3fV9cWMN#>ZZzvcAqxO+}BzKG)6jPE#rtML<$Bx1iMlmHt z0TBdrg2OYc0G*8IJ;P$&ZJ59_OhQVslC*=9G>MrNxFM_aGhC0K=OX@Q83=G3d9acg zVpiU~$y#r6)3GyiXBckKj3*CP2+{j?)j6$}E!%R)y<6(~*|lref1NtD&#oE|T@v*c zXE0vTEwV9$E$Ei$Eq>{by3}mEX-A7zLH%dn+);N{57VK^!v8)=^z#S1{~BsyE zZy?B&Zwp6C^|<;B&K%rT6@PvBu;Z8CUk!4YdTM6wUL+WJ?J~W46=AGP+l&@0|IL^OT{V#jmo#}j z_dy)(l_vYJTZMe~WQR*4tPl-5MZEc>P{nA5sDTTBVL@Kst}Y2y9#!9i4hU&wIEKY8 za~7E0X5d;H?o&Kl(E#R0uyG7gQ;TtPFBi&D-0eY^s#H@O2k9CVHsMFu`e!Ct?0OTf zN5jW-1=)g4@j5EJ#S91z)@;;K(cfZ+XBLRL66WPlOmz5}aw!vcVq($L;f>++iFH-9 zSmeouR*?^N)L`{X*+hw)5eC?ha`ZFVfFf!U?@vi2u7&|Eb2T zuQ-Dm6G(*hcRR)G<#rTbYONC_Yy{L6~l+pR{krfWr zFTRRMqL1YE?h_yLMJ@Zjh_;ugw{pMU_2n_Yo>hBxys6Oj4>}Ls-Gv@1p?pTVRTPm9 z<_^q#Lc3o?S7t#pS^yjNT2!x7aj3NmIdKZMg;F0m_?olq##E9xEn&_`RaAc?6b?tT z&lv1j0=UaDu#hZ5QDm#;HALP#UineVnbAzZ`~DGrA%vBF2L^3ez&b?-zBfp%SosJ~ zcOpGpoYRVxiq^Us;_DEB16lok9P_#u*t*~52DcH@Mcu}-XZpikM~ z(ckG;db^7q*IYe%-Bi`X-bm<-_l*X9lYJu-6U8uSm7DYgM4??G!pP@OV7i=c538A0 zA17Q+jpn~Zqdfx?YQ(#vV`|d#Cr8P-(2+djII0ij@bJBrh8z=GXd${RN`)Fl2~m2S z*MKS2Q|oiu|zM_wKYAu~9R zz4iNfGz8>^e4_OpjOc20=awh})h7CrAdfGAFUe=|yz`;@h-PdrhWRQ@`$O9uNfy6G-`y#5{z2!u zA4T_*{BR8=L8;n?aAOeWnQswWyhZ@2r;W_Aw?g z$D%D1@D`8-0KYI!(WH@|VXEMDKGuSJXlrx~7l%$2y(kEJ@l6&wd&Uplj!j@Q=~V{; zdXze={m%@&DJow_wS(LI!5hPUy9?X>6+T_~Dh2f@+>_hYwcQ(^j0Q@ZgTbNc?tEvl zoEHT1oX zA+P&J*&URJE~j8y`C<<<_D&tp&!aYnL`N7z+)FoO0mTI^VX1(Up*eigMLFbg*>*xQ ziL;xz2O|{-IQglA>bb*5&C60(0SpKSKg+?LRusbP*+JAglx8){-#G4y} zw#5JvwqW9(>RE(5jn3i6H)O>oAj>91IsD$li&+mNRsl0*Le1beuKO_QLVo6WTaDN8 z+9gVd*CS9%@3aKHoFc6yWTo#^TrYT>B2}jnd5`QFV-EPn-2m!A8i!EG0D6~$6BQVt z*x;8)NHsBG7#pS|ht_jQ3SiS}X&HmYU9h+k;TI^Y#d=!8kyf2D$fXRK)Xh?1!14HD z5Y}pPq=<9dT+?LutX`Q36%!eAbz6bAGuG;gsKAu z4|29mm6l<{&hHbYFnKEyJU45M|^e8Cx!Op$~#6Q=KD)8rW*1B?YEmh~j&B0AuVA9@{& zh|c6OJKzYs1&&i`?9G{r@gSS$M+Bq|kQm&8zxh|#jNY-5&wG7}!{>j?G3WNTzc}u@ zC*70LJ1)Dd;|G~kMW*9ld!%M>t#M?&UpN3+pL;Z2M@jkx#cgnvrf}fG4J5B zMicuPels{bd$Mxv{Orrh`sA#r_!fui1SIj;{sWa#}q$ zCDr6y7{;vc$N{O{L}^UnV;yxPXciYG~A298CnsZLh5Ea zb(^q6_SuYEb;K*83|Wloll#9BpZo!|KVSR}u^zcifB(@}uG_b7cJ{er zeD5ZtnvjX|S=#s}WB-20I+^a(dGkpGI}QAX>b10ptY^vYg9q{92gumXxYW&l^1puv zM@(^WzR2}5H^;J0mUzwqF1_@}W{SFHUhDZ=Jmf|rCk&}=JTQJ+w&|q)bJ?sAz_)K$ zdre{&bcnsr^g(7e;;QIlcmN7(7nv_)dQJuVY!05pZCysDsWfDd4xX#Z$TkNES|Kc> z+Vup|0exuki0tE$>tU^laPZwe5laO->VfPNx=EV(b2y&S3bIUJVDXOlIA4_cNIGI{ zu(2{u_op?+JK?dak)cYC&!FH3UrtW5KU>9XH0(nM3>~8+LK&w`dW-@5EKnuK=@Rd~ zpY<~b>8GJWzVLZuk4*j^&zc(W>0cft8BIS@SpGPM@-#wwGBtyWcLKS-iF`qgm0qvsL7@) zCZ;%@!u6>P)9PFH(A`!xN>+9X{m)UuC!S!w$S1R7NcfV&=>U3ddbE2K9*x?vc-@P2 z2fz}+Varw6mFRaKvH2=M+sC9sy;GJnyAXxrH~1OPat+qUWIarmo}Q5ZB4NA#uXBhf zro;G)F^%y|R&!&+Fow&_Y%L)I+#2Xsxh=JLmheyRyRi8Sxynrvx#Cdkh}=^eRMb;jBPgv-hzp9-Da> zh&mGPotfE1?o9mjI>)6Oo?N*rb{{{nOV2!k6rXlE+6?5UbOlILU?=C90|CemKp+`d zKxtb?;{|fExPqsj0D%=*QSI_De<~F;kxgBsm z?=rv#4Svb;SecvAk)L|pU6!uPuS4+rW{Pi;K$hk+A;>8^8n-(8-fby@a7y011-(A z9J9WJ@k$MEmQK`gm*VZ&C|rsCIo(}yG~%zrH9lVVfaC}pcvXiDB;8*q-93E4mHD6j zA-+D}iI7j<$YYf5i0;)+^xy+){hoIPpUO)cLS5`nUXuk0KQ#DA_<^oSb{dqLDw%a&?*(4rxN8v4#UErOTO@Nc|5=v;p& z9DKZ1p!)yv*2`or3~Lm8&~epB9E*bUUV?12TelGk)DkG{z-x5D*6q4LUJK%9 z6n|E5(A`BiUlY+4h`9^4z|Ar(fPkW@e82DPYk>m#mAl1vVm!$oanOb!^qAu8fUGva zkZbmOl1Jy7oMlL!ut^&V+aJUY0s({ z4RP-r$y>2^jgn!TqHmJ z2QW_LWl68>MXvmUep=mX=m&Ui_ccf?m`5S=KPv=zH#YUgUB^J3 zO~k9CCJn5x7EGudai)B)ZkjwxarXWp((==@vH%;Msy!IOgoKGQ56QoeQ%d_k{W&Ef zdQUO@Fl|#{;XkNodz~(TcrGaaQ#-BiI3dU4+{l)le?VnS0@dr^(?t*BD956!Xc+pk z;U8!x&SEYPgOYP=d+{T?CY&0iV1>}X-XYmp%WT7AzuPar0h!X(11^SROe>L3_QIW~{Z{nm3a!Y}gK@ZnNRh3AEVA9E>fFJM%o8nfTj!XI z9(CxpeRSfvkKtSbw88s_kv^6%;FyA=xl&c?UCKsR<1e0ISwI#Ttc9AClD(3o;>;+b z9xM{35U!A2zEgE-xPmVcrhKopmGU`%Aiu_2BSl}Q{~=WNR&OKhBY z94*{;Pk*r|*WpPPqegGTs>utA_uwqpkSgBRJ>*>D^$%>#d6m&Ag-nY+(md4^!w@oC zlr(7}M;B$|#SSVGLK%Lu2=IVo2>nrLo(jLWYm9xU5f2Q9C09u= zB5;La{RoHQN{mVXY@2*3b}1Wd@rnwVwxKK=1iNal9HVa?!b z(z43G6=UD|8LHM}{zq;OH|Kvy`u z?}z?ixMNL`E-!|c?^F-?g&kfvj!KBs^k8*x!Vf-3Z8z`Tf*tYcV*b9be3aSS{S9`Bbcpwt%>&ydN`oH!qO@s3we%>momA-k10|S%Bul zyZJ)RzA|_)Xf8WH9VAH~MVsQP+ruFZ&<&T@aGkH?l$d5f;e*kH&BFLHiziH&oLl@r zAdTA)@;$N%*bXrY2`xUf=c4E|gh-iq

    sn4FWF_niSViSxF)!Jj!MuVXHi8g3)E* z@UY`eqohor;(%VR7LEZr1&eu_oVSsZ5`H|aF{Q%5j{y?TicKNujf`y02>WeG*u~J? zu?rUUx|(U$z|`bvA-$#$E>_0QhInS7NE!%j^I@Lg%~{%rrzfW5Bp6(Lehx;q7QEfO zfMIE)LLa}cDIN$x3f-Tm-(a~SHGXJ&1HP$ZJ_oszq^V_t9`AvhtISa_Pzaq=cRLs= zAWRr+Tkmi{bDwcd7)xkyryE=Vi6u5s*mmuHM|z5%>%&T&5Up=-Z#?N_Y% zzg>&JOO1Ighov;^W?(;q^;z>7I!Mn}UM|i;U-Q|@i=%1SahldA;~zj)z-P?bI7-Fz zO7M(1j}e*)a6c8#&j;SG=AXNR6tYnOixq!mTrf`V-(Rt3H{#UBI1J~1_3T`| zeqiobO!nh>=tNlwByPA%Ak72I1=644UtgT}ly12;vtKUl0v(3_8NkX9iM}N^K?iW* zx5>KiG|~LU@lBjla5(J)U!pCXHJc{akZIz%nx@4|2&;RE-OL^vkL9FRR%+#}0`z9} z!L(;`L-xsGsa*3^JIzBXw@hW1shx(OuWj>lp0V7;b+}aQbIB~1io-aTQKldY@bgci zZWCE%YBLRor645NHJ+-_ly~riIN6i^+R63Vul<`azs9|sOfUMB`8C{#E7D&Q*6^qJ z#BPP1{wM+VpAVd&ZqoZQZ9hF1!^Q*X^m!$X$~Qiyw?Eo@AX#d8^k^EM+NXX;&^#`U z+ruXZm_PRKuT}Qr&{_9n@ne~niXY?nm=WmNzkQ)LiiPBJAfDTQbZ%PL<;nPDeDjZP z{?UK@|NiX1{@H(J^X4C=d~cbbhkO&~UC%#vjCt(Gnbt2=UdHHnb^e!7OXBY`G(Gff zyx=|cRPD#W{Q_zHQgVC-J})-?aeRhUzG;1&uUF?%_fmFxhp4?h)XH^j9dWJg-@jBG zPJ1c*k~oPKfk%yZ`_pKu=yOEdQ@jX`zsSob#B*YPqsDU$UJV-EZuB*IrNVeDF^p`} z^K*My28j3C6dC z{eF&*lfL#?+vbDlE+ZSo?pof;E?X0RX*%a?C*?oiXlJKKz5!-mWGOBVpacfWvF+aN$40UWCTS<9Ed; zjHm8`lUt)v_dgjw8xq9O7=_DqpPWIyKr^G)#r3D%K(zcF&8&FZo%!hsY<$kRPrA?3 zsu)^cvpA8K6Y*zaNJ)_&js`iav0)rBY#MS+U;w7It@G@r6C+=!he_DdbO7#q2|7*wpLmw^k{g|i& zpRUCIj1bduMSw~g59tFqA&$B;AAh81ON7n1+)-eha%18d9lrq5z0t~1x^c3e+>f7ly{s}QH~2k+Og+P?z>Iu>|T0hHGd z6CeLV?g<|o20S(wwY|kJGFuwH9f?afFt3Zl=w%9peH+MVsmImgdA;^eWotKinzs_p z1-z3*LbV@=M4dkKZ!4?$L$^EV1=vuYt#_2Xdwg*WTX7e1^+SJv3JRb3ZtSV)?b8%g@YND@!1{NTpzW+;RH&OR z{rn$ir&qs$f?5e*0JS(?Egt$#)z%qzePkk_ILG@DzK|#%_+t7%R#H%@<7~FJfmTE@ zfGwkvK`d zz~vtEzyV)mg_(|0tcP!q6vvL93?;VLoiCaZJ&l;AiUra^JB{Qps(OWl*$0G`v2aiW z%qC>Rx0FL7Rn8F^JEdU1NkpfZ-_IVSmb`|f7JYAsS|^Jnj^Tnl({C0rE-&&KPsDZQ z$`;zULUvbY(Rsg^3DAGrxk7zK6A^C>U6PAKN^7Mh3=V#zGoaG6|)=GuaVOS9Q$4>9m#j&qV)P z@MUy+60wacj$w+_G$3mI%i*P&sD`2lCEt1o_O+!U;KE8sYPjxqJJ&z_K2U@tj*@ck zzO1?vr=iwq{ZOVzrHAeaqT6-*r0^aX`JlVUcWUiUh?WC0#9;-xwPD>9T;%4cHx#$! zhS3q!@a^q`9~vd7{*6=dJ%4InM-3x3-7n(X6;imCjz@=pR9?*y z4Rg=}$h(?d|P!rZ!K=p^iSTLMey6 z?mlOCZ*H*P>vuMczdLtL&xWyFUvFQaCORu>xPtLJ>L}e66+Oj82B;99l1aQX=&RX_ zOhAYhBBwMNYSJj~Hn^d-X$sCFHI&@?+1U&x3>C!<3rH%2or^#%@-=&+1&?h54Fo?~ zs`L?<5x&nvZHMjDwwCj-1yZM?!9xJvh7S@VZ1T55XA$6qVU^0^=I@2mU9iDI2A)6I zzHR!4)#<2se}rR7yFPqT9_*(-ci{s&Rqn!_YyFGHb1J&|Z9z~ig_jI|r~FmOr1o8o z0)xw%&UU{#RFqr3pZ~&!w{%B*U!{LR`{kFHFEx$qaIa0zgFPPlt3tYpn{d5`TDzW1LhW z%QbhI@;R=2rYu?lC4uHCmk=YVY-}``mHDtB#o+$G0QFRpU*-Ar&F4-Tfr>Q$`lwmg{bKYRzjo|>Mc-$#lD)bM^ z8$H?>P**v+aBy?(l_>PLL=0O3 zfKF?|dSMnB%RYpdK#x63fLsE1Zj>Dui|Np}OcO1}!e_8NL9q*SjRi--_AocGodRc7 z8V^WE;oxk0+i80*o~fAWIkw~;px{I=Fr+nO@`O;D1_@{sVv`kwqGlwchdnki3v);1 zI<_4a@7YOmNZax>Z!PegQUJVX1GyNQ>bnu3jmwy!eLOUR{%1R|DqrY1&5836z{e!0 zlGDN$q=q&^Xf4p;f2xLR2Eq%ZDH;xZfluO>GO}(&a;O9AS93}L-7%p#9fToZ7^E#4 zEdbPT!ACX#4I^nKgEB}CT?R-?vqY2yj>};4I*>g7ow>OK2cC-A<~{IP{{TJl#4EwS z!S zr+=;IU;X9yEyx+ekM%Ekj4lf@r$1WG7_ZYG2?H^rDguc-B&hoo8zZ^jLv5g&b*k${20eF%%&gnO3%C{A7P-ekm_D?+7?nd zCiCKRYuV=bj5p`lCUlH%gh3CJbwXJmlWnHwFyl|?&t*C8LLSaVEBe$T5>Y_;@mmTy zu@%bntFSame@oG&I3c+%sR8V6{J@9Z%!hfJAE%w*7ugAp076Wg>6_n~$}XGjJDcgx=H}1zmkT_`nrYe3(2PsnbAJx4 zMF3=$`;YF2j<|P~;;>g=J$V{EV@-_U(a8u zu2Rnd|Bd(?vAyH>CCp2#lZhKP0kiRM$S81MItR%m!xK-uOfS56RR0E2%zd?Atvrr+ zdl2WL{hSw#^RRh7V)yL)GZo6bvX9*<`~srLPaeJW(s!JkpZ_yRO;bGEPwYQ{5Sq8L zOx8(d`L5MWwf5XxGyUu3)>g(S@H8aI1}}MxWQ;8|&HQ=8M}+ucnJfdmQsap|23aA? z5S1rm$GgnXG3}{`r(a~1MXxoZO zP6aFLq(rvK(l+qbM7ywmz_yivrnaPSdj>y|;_uyR>HaTEuXb~OG<_-w7u07`Y_KY>W7`n0>funlpu1*T}SRH z;WkV~v5CdUveKw=jv5Rbb=0HQG>h&K*Iih&$~7tg63(UJpIFoxQ(Z#g5)|Uz*rWKN zBTue65yvNHPt<1*vz906Lma)nijK^jkg+%OB+Z8E$jO=66DN*5tPdT3=aYy1T@)XS zTV^!qG_BH4wr~_~*;#6FV)~nyeRz#V=344B{WNlT9?A6M>SQZe<8mQaosV3rT3zm=RNF2DG2AN7f3A1cwym5EWq$_5kO_IeP%{D_e_n~HKdP|+A2~y)Fa_Tw+-+z?I@?npCgGH zLEjL%2M9+VDuQVg=UmGWI%^I%Gk7F4+n`B7Xi!-&uf$3y^QIU>$u12@ZH)@>6@1#% zhZ4ici2^qTMHF=!c^d8awsGIv7|~n6$5g3PUyG6y+o{H_V!&24WFgpkQ3S07Osz`w zRD!VQ)^QdxV!)NXB@dY<|$4jO`tQ9+$7`%woU{g*_pzw`QI1+_w7v?qMOEISH& z-L;2GU_7v+&leI8ANLW%=)fu+ln&Ke{bKmO@WE{0yBgK#=c22RhM#csMeAu~qy)X7 zLis(|hP)ViP86zDT7=s}Xqzb-z&KUY*z(!R?DE(uB5Z!#F;pP^D9tfWvSGp19RsHA z{sZ>DC!vqviCh_H6QWv*LYcSl2nT?sBs-3y8&>hPUDOv~$a_XcklHhA!cE$Y69{)a|gsj@04e`)G{b9Aho za(~zfUTgpIQ?~{sA&&YdbWnaX&V>|OJF(l(ogjl=eh2dME!pzvfmJzjp8v^pW0ZS~ zcX(#)-bt_1`{qA6^jX!7`W7I+7$YH290QXekB3ow-nV*2!fm>C7A5c}q~n_o_WbQh zA3~sr!vbL`hxyHrz85_a_h*(0ZaxqB6rrsVSm40dAF^Df=pYGa$;VJ@qEg~-Sh2}A ziEu;6xYe|6Xk4S*sxe?1r0i%djTKuZ=UGbfzOF$f1Wm3W=S%u4G`t)A(os~nJ})M< z#PzngYfgpPtK!3`J;n9qO@VbRAqE}B9HDwV9U7tXz-|68Ng>3o@^AXO`p5%2LXJ_p zfXQJ@Cb4k>6LQbSO33<)1c|r*Se2IsfrCFs+n1+%l9N^LTH0dR%lfB6&-A` z1duWrsfdS&{{x`bGJMdHcuGO*#M9*La}{xm^EfbloSi9{W^k(HDjj2lAJ5%Jo~`AM>U($K zl`V8J{$n$45ZI6K*BzV?$zdKsb-!?I@*bw%rN8+=0(3VpN5D4LZ|X@)%oUr1tLWpo6-8>?g_#|H4r{w~a^Ft34I9 zArLz*=ts48_=O5{YKyLpsnq)izJMNXm$P=o&`xy&y7idz^rWxffK_z){qD}VT}97d zh3Y5Lnp(?;NDgg>l+59LWfah%eI76cCMG=W$No6>%QJVeSFvHhQ5cym3Vngg^gf)z zjiFY@tJ3btG4i&MQ`IQv!raAl=K{?H#$d-8!DQZqqGzChoxHCp5z`^c;4a|+xxTYMVf zx@MFnM)F?1&zr)*8RHu%oS^a1ZQZ_uuQqsnhd&ukjG`Ge#6qY})S4VXaq#hi5~1RP zsVbIYKzJI;aE(Url&y#n7HINwght0;dyZlfW$Fgb|1n^bUf_+-AN;6VB>{OJw!`R$ z_u;!AuV@H3C&en34LJ&??~7>gyTJQ{JGb1|^|tDdewc6jYBV@Ku;Dx5bptZ$uHsSd zx8>x}bl~0B)_)HT1=Z*w52NVvXb;3;W1H4r_n~ap=G@j`=+Q0Iw+1ViVCN?WZ{FE< z&zA81HoAOmv9B}wMBBkk_}$t;@&?vNt;O3mhf%ewmDc8vHkJfIu{(+~?NQi9ZJ8Qs zJ^Va9nz@X;YNpIXU<*ZUk_STZ%UCP{c*a8ILJ$&}ZS*!QsTd3zIW`WKX?hW>KDEOI z$ehmzuu)Q;lH;EX(C7)|@G%^o13E;O#_-gQZlVbKmUoCXw5>?@D^Ew8#^$18RPk@3 ziTgAJxVyxpGl%GqHY1wOwNGD#dHfjm3@{lG4t;+cM$d(V^y%IRO;~x$b?rmm_k-@Y z6@EmUF}VH9(9nZF?+>~~;geMIGu;=48*hRzxPXrvJ^xGnQ}o!z{4|x{JV1N;r*SMT zJ~qn#?Vzu346#n^0^+TKhRhOUU^_pXs-*%{M7<4yzl#LS$!t}dI23-!wCv;UC%lAtg+BNpXvU)eS3yYCH;R`tTQsf#B_%=;t^=+h zl6mDYBEXlAVCIn8gmgl`7q4axR|RIsBz6RH$2=oV=D39cpoX9sKfKXdNNUyOn)7PG zyr!82Hz&_?mP!ImxojH3@<=(QanEXllU_`K3H@BqOd;@1gaJn|fQbbq#(UlMzv1`V#sND3;OP{z4*1)NI7eo0g_ zrObL;(UKm`x%p}{p=kD;hx>^qSZ8v6z81%|{YQ`PPouu@!m||z`bf{vf(#R6*tdTw z-q>`vTM%p1**na>JdR16i{qFM9AH^yvRCiVKZo;YuZ&6SCjg9pB|3hb?Otpv{BHi) zxr&t-FsiY?kMC2=2h6PwI_H|#KW%dtW;+VWVxe|3o^}=Fukj?Awx6) z5llSV3T&qUw~_y09D`3Pt4wt;nVuJ1smzpRm?llgBUvVCeu&3$*_}d~2IEs6j9ZXT zT1pN#a!Nyo)ox;QD2J_*klP0K%vnyC@u^(X@@9<1ar!AQwwuEZ(HRVWdlznmueHt( zgj`H73dl46famQ_(Wi`?aV*m$0K1z($ORv}`Dfy$yb^u{5ON`YnhwyYchyLj-3FJW zljgx7moS7}KytdB9%PmYt~E(}l?0w;nZT#Ng;>@l5S9X`nes?+%dv$^ne&~Cn#Uoh zVMn4hA-Kexvj9i`eE5@T)yz)Er{}>nUR>^kEi$7=4u=VGvD0@YH1pLLU!tAo5LIa zOG*Eqq$!Z(llBslS-&Zl-e2M!Zw_Fi)O`n#0fO#$1Fg1{}6T$N{DPb>y>9_Y8Kd{QizY{V`=EE1ZV3DeE zg$LsG?bh}T*y2>e-$Oiv(;>)IUDzwFY_g$u=_l!6(adyMjY`GzSpTzZ@nV7-Hh+<+ zksPn<*-yQez9CD^AwAB|U5P$tDoc%%Gif<0;_-4yvzwQK%ts*}+x(z6wh26kA)I55 zCbJOXXy>4A1aqY_a6UN~lg2fmQ+y&L?AV`Y3OSKA;f_w|6;_iR)0%ogKN4Hdz=nzb zX79vqCeoyi8_G~;O}I0$8?UmQu02B*vPMn^e#!?~U@UWyR}Kz#he%~TAHa-(E$v#b}= z*z=QRVlH}Z(1_;~&nXR1oNB9yA91f=j`2tKCC%^i1OmbJiJ2(Dh%xI5l zvJ1NaRcvd3rv?g23z)IUpK=7tI%QEgfmV2Ja20kQ6etq#kSD>@P)(>Qg_mwPCBIzA zl!9#_!406;U_c%;+mZx`9h^v4t!bnLS`EXHBQKaVP60&pC2;r&e#K$;vH_7zyDmFI zGvOS2G>N(==Sa0vi^k3)px&i+h&s-A^1?Ak@&1au1jl%V$lu3H4hmrbTavijk-s`8 zQMi$uX`E45*r5yLXiTvVO}>z)f$J4?pCAS9sAV;33)P7GdvM=ZbtQf3`6-&p?2#bt zt};tEmTZ5&NEhgjALAMc{f{G-8>=$mg)=4yijbQItmUa(4jk8LrWOhnwowpiC+=Uv z(*_Y&j7Md}`#0HM;5~Yrr)i?jH5gb2Sek`KXBT%d#G_?)?PPnKV<6&dgn`i0sB^rt zdU_Lgd;|3s)>m66ioyL+W|x^BIcGOv7j<=v3@^YiwQ3Fz_Lk_a5z47ab8fH=xRS*4 zd`yvS8?C!Vocuai#);|*=lc$|+&+d=)(aC4&hMc;{FY1?mAMe2hGbe4bg6tH5m$;% z0cj_~A!EcG0yw3pe7k`kdB?gTE6@lQ>;DL5CZ@p>_An%NeZ^nx;Q_So#D5+~$OV^4 z%VIFYt#bn)yM^JKv#9gBp z1$|7ZP%jwl+V0jc27vD8D-1a>i$yaEc9&NG6H*VQP z$0*hN!nj~)>LGNAEOTZl)3)?DiedvGhBF9^kPCyh$Yq%>GI+jVvf%9FEl(tk*TN_# zkynK+NlxC4VXTHy^NmE;zEgwbTXmw*Xa~_8gmDSDsFfi+cE>53k2GHA;;gwzojjFO zpcxIlp{iH)74T#5Bbm2s5IQ^U99~@r-EMJs^nP3_a%}y0d^5`AkS}9r%={ z&+ij=Zm0w8#O;uip=FrnG(dfpaB7;TIb66J1nL5AvoSjH_k-g0Zityiq7%1Egea<$ zM15giGT=ms4gGD)pYL=8AB4xv zed_(X9D-t>B6I69mecKPDSrigs7?ln<`5cpzKH>+h8^c)e=v5dDWBSku>q+uW|EG{ zwZN}r#U+onb|j&;TVFrOsj`IY-b1R2aSLkgiuc*Azwf!{lDUw?ofUU zxg4GXgi8#zQKC&+;Q(=sK0E*xw4+5M%+U(Zvq#IT+Rz914BU5?faPpajU5IM1yHB_ zKOr8?+{Mofh38J5^LuW{H<2hwN}e;4v@v*KqyDf%sbM?t-p4zd81R$GA*w)At1|(N zfF#UE|~n(WobTduS@?BB=?- z_u`W-Y->@t0SlBtTrG@C+xL3T)@#DyO`At1d-?}Di&}JV-0N%@?Zbt4p0jt<$&ZcT zp2I0D{jsY&SwvRRPzEHL9l54H6gR@joUk-s1crMrr4(33K(mCtX=%TH3Ni&GjBFO}Gf#hW15A)K#dDCiJMJ z!B$gA5I&jQv^m_raD?yCD40)I{c3Bqn%O^HuIA`-$Z4A_ zR--N7Wl?~Ru%;;0rdwF^6lXX`xhf={jiWRr zBQ2Ql2yfz<6mw2HvJ|LKRPDI{6pls%#DVOKZh{~ow1=JC56<+Xbg zB*L3l+#vnAX*_iEI9q=Jz0eE7e&5^qcC2qN$p;4pJkQ7Jui;+*MhUS`*tOF0(47a7 z&j{a-5$cfhjcO0fS8$P(a%B-n4vF$u$(GS39_4Tx$_2QobW8si@SV-j&Pe0a1B$vp z$Wl%&7F>Qea{{2Bv1zJ=T@nscnWRm#l^+!RH=V?wkIg7nY5AQ^5Qk9?3hbqbA_iM zZSg#rBG~SeWc`*hSK#=_1$)G(+pNxsI^fQrWSG7KE1761(VPiRT+=I0E) zreLhrY%)mpo5cp6E1ir4FA1zZjORi=O4e{>T9Mk5%fD8-+1(yXP(2o zaoAUX<|+l8$58l(j$8M33 zC`35`#yi&^1&G3~vZhDTy>9#8c*=bPQfkH6T= z9I6<^4hk0bkWaUAvyS5^_#+owEY@7+J_t^9ENyBzP?_yi`>RniW;o_}$ zmom1VG<N@wkj+Uh&9;av!N$oVhsejov@`3K( z!KFJ7fS~SaOJ0X1=+KOAA$m{~jo$kZ_O9Iz=tDoDd+Et_@gXR;#!t@ZRYZR`vuaqQ zr4hc$6*I6u;66X`By92Uy{lq!R=Fzvm&4fm!fxe^=@MA#AR;LEpN_~`m>g;##?9_0 zpQhgU_8G!WmGR-0r(>vo9*KL8pO`&9(lY9T;)sb!V9$JGa$zP=Hv&haYU#%73|_?R zP&DwML&)WE$Rx$=x*24bM2vE}uJZwB1jmr9a@NHunbbPx0{kc_ey&wLIEKvvI!hbTCyLn zAspf(hRHWJToqncl))D9BEh_nZ{a8}mNaarIO6LGy1{J0)j%zF2u0)e71b0Hr8cM9 zF_A4YTR`<6LZ4-vkP{9%l;v{b>wQ!*KVgWXf7OamV1$>T zQz*->hF+uvR4QZ-6cKILcp;XX%Gi>A5NFQP``eso{m4Ehtovq5h)E4SI}$)5CXLi@ z>^W>ViYi}})G_#}BN!~ml7aS)5RJMMZjFB};lTH?Y8`y`Qy|z)Aas-GJe;wm3TBkFGF5DO7?1K%A=G#9#KE0*3Baio)u zxuoipWqHqyRvv;-1K~bT!;!6Gb8^qWyY+A%bg2OSss+PEqMb0@0zanK=QZZQ89hoX zvn46QiWx*Wt24{}fx2&k(F7s#(E!0NlmqQFo(KC*6lIaX0&pR zf^i6t$dS}Wk%Kb-rY8pG%3L6p%jlfe7rVk2t`O%ep^y7$;EGpu-9El_}Z0*L^)uZ4lC-^X69wreuazdc; zX@bWeg=!WNYMDNoJOnuo;k-58z362=0K*Tq)aRyS^4(z!46*wkH6&T^+{!K_j;0n`^z2ROAoW0iym>3zBaSA!LMiEI|zh8ynQ<`Y?PQo|HGV+-B2(~%@vcleS0P71;=o?!gqiH z9U4G>t|_rR)IyHi#`y=GQ+O_4bf|vW1n^?h;bW28>x&w7Z^CDMV6qm;Fho<8U zxgE}b!s|db59#Kc5S~Z&hfRb!(b!|k|CG?E?7Msqg(^A9C*T1^V;+yM<4TI^=#kr6 zum^}~gWPea-d-wSuQA?Q@|((kr{+A#v&;+W#Rh`L7-fUe9n6G#cH%{$A>u&d6Y2t# zr1PjO?<%w;Ru5ySY|qn<)V|*bJf6p)>cdiM^d2evD*BlU^PfGVVU_>1f zxpyQ@Vb2x>oir{+aUf+V$GkDk^DVjn$8g|a(CjyW#(-xb#30XU;Kc=fa(Pg+Ls~}P z=VOlLG+*r*D$J}wSGkH@e6oEv(T6#A)7O0k>lRc(ghL>rTIq6)Y_>tt8MWig&~iXp z5-vR^ztzjM6ONI+aR5*3u4)+WE*EhtV{w|LhMRxXb)&cK+Rx{9MkLF$;el{%5M8K? zbZ`xY(-H1!4rTRQ6n?+09mmlI)wR*!59!lUgb$GhHD2pk*?w3X>pUkCuD@og?c=QG7)@T zp2k`dZN$TRusc+k?r>PH6J9`)ay!Fvbc}9#`>xF>rz%I7Wj)gOgz+QN>&9wIZUVR9 z{?*{&M}s#ODrMTFk>E$62i@fd7wy5fKNv{S-u}Kpv}pbS?Zs3bVWyKrHH{h$ z{ivP3>a)bqR80g2gSe2NNq82ZwM|LP*C>b^P6{S4 z_@)E2fn%yTQlx>xcZ?pQVVarXG$0(6zyhq0lUbe$>3g0@QJ)%mia)IvGO3*sxGb+o zo{i@wHsu0YV-L4&B8^l{95y>#*-`-o%LJe+qqpJ3tWjQ5sU{4|LsSaAQB9~;2?X%?&Vd8)1%?KKG5n}Ss0$}N9M6C!o~I`jmv?F4eRh^>^6vYyl^0&Xxs68ushJui zM_-(K5jvEt|MViH0=U)k2L~(9z62eo-@a=0+V>wlFi+7-*#GBSG+&)xX>P%M<{6yr z_}u*bzrKn$FCzokk2U#AwP!2z&~ql%|EbC-il<8%*&kOR-u#j?blnI`E zqt3<1bNhs}a!Ho^vHX!`jEq|hmIiYV(D*?n*>D>i%da5gH1Q&8*pMT#>~U2g@(lf+ zGBw|;$!S^cem0hOv>}NWGJeYH1;b+G41-q#3; zUgml4yR!U}f34CcEZFwOj7KEHXO(Q4qD%;Op4VgBNLW0F3yFH{Q>b&U&*TSpD#Ik7 zAh1~ohmVAW0T2=fAdTB_gI@!ZJhdiyYT%n3LN0h(;pVerTl?|jI7xh_;CwPL4X4=* zLN@!(-z}P-28!1d;W?T7_s)6x-LLmO#9G@-YzFMyE2d*$94^T5|x$W4|`K z-|U(zr)Se1#cKj%n9~owjq_p|;tyQQ7T@;E_ENx6QasN&uk3qe-;1LM0hn!G!EAK& zSHI+NreihVbbd28` z#cRe8%Rb#Xot#bkRLt?C?PRI@B^oJ}L>)Bzhk+azmn z9<5RkWXi`WzJk0rxDOM=p6DW;~kRrG+~a!*4*jN8EKIbb>uGDldiD@Mj-C3ZHs9#iI#phhm%i zb?(y+iZs*0VowkX3;`4i{+Qg@ttG{6L}jAa3e9s72HA4KbEhO;fKXlbQZOxe0x=+) zY_4{I-!K#&bnF4(+aBd8(gnD=fB8Mb%6G?{E_7xFydBlJ8&4LE*AyD*5zdZ9-*(u< zZJ9twXe5Iy17|LFeK&@~fZ}6jU7I?;Bd4(7G8krRL1Gg~vvUeuF)KlLL?UBMk10dK zia7t+CMQzxH9?Z;Cd`?gr`Gc#e|+Y6%^_9iwwqd!LFmzN(pemE*~#~t0k}c|s{5_H z7ZA#;3Zm#g;ixw6>i5&|n7C@}`dXX#2kc(cHOlFsI8W~vB}gFm-Fqc4GR~&IqcZh!uM5`MZ7L(AulqyZKQh!9neWf#bor z*4qA1Vvm|6p@p)HPQhe!Ze{8N&IBML(+y%FZ$JSMCjEZN2bff3J6|;ur&Lpum`R zhH0(^vL1~(rnReQGwSgA3E!PW2Onky5*Pirh4L+FT`B*@mjZt%3`4Pi|EjIMbnfC20U^9XXYr2J#Q!aXHB1$F``|;{Cs?^!R5zz zauo{N?jd_2Zj|HkawUdRKo_iq#4(yi3_zr?O$~Z;c`Gu5y;2RdfXsAB=p+{`QV6cz zTljBN_s@|S;%_uQ1ARrkOCuK?zXrD91?rfCrMIav_O} zvVtr}@E6j>(1kNKfaWS{V{gbe2wqut#Hx(7aqA^zX=SYZxH#_cR~^~=J8 zd;v4*>jr2}GMxm87(3;C#x{|fqY!EprNmq$P@Tv#^u44)A0baWQi9iTuLJ4Q8YLhN z)HKqwhbBN37Ax?nd!+jRiF+IPxT@=3bnP=|Mn~dEK9XfB+`-+F2Qs({J+=i;p@MD+ zktoDB8FEdon;#)V!sR)6x$Tf9t#3=pmaM?wC}bc`9?2uTDGm23DmN$z{r%iPl4I<| z3RQyp(%bsJgl53Je$YIll%(YfW4-^{`<$7PEaMMI-jZg2tiATy-}~&d*I9c*bwlg& zC7vMBDMa4s(*?C&weFE^qZ5uC!NIb$J}2|;cwfI44sY8#HgX+KyDWb`H_|UEx@|&E zYHzSy&gJgUxzy|SbxGRf4LYHlo7Ann%FWAMEAk;-iIZ!QvXF#)Dc*p>`1BCOE+Jiw zg#*tb=s&>Z`i32d!l2oCzr&Mh)|P5#p@Fu+%;(eJ zK;{cU!Okxgy0PMOvC?y7K*D&0e0 zUx%nr!u;bQOl~N7DUG`(QFjG`aMXZXAY^DIlvW~6>4*H&12+Q!%zkzOX=>D9R~Yv) z6-tBa>Cx6+`%Cb5P!aPpW@y5v6<_i31ypH6HaLPcrGJG-S-0{>Q;+uV$swUNnZT=( z9NZk@5RPzm!=nW}jng~J(5c)a!_lyu+v;ZbZQDfs*KH|yLtPdBKAbWVWXsuL@Jb)E zOpia5os{GaNi=jwRe4#D@I`hik58IBJQ;d@8VG%K^DoOhmiI#XSWZeb=xsP0R$>N& zlh>Z1CJ&Q<$I@=2g-KJ8Y@_!~4T1t+9r1mi3#eU2!SU7P;lM= z93yrbcamNmX{cV+F!{juMM(i(l{g86)YE7@w%1F@A-sClu<Q7R z^E$QV3d+Ri*~tdgc*A)rm#JlAte|KJ80|MREQ&-`WG{gc*9&O+X`{T;_9#o~XyY<}LIm9%d<9VVofPuV!MGt81M70K<$cDW%!VuB46F zjUQWS7XR~=nPE5I+%|@nmIGGSm1LI=Rdo! z@XY_UL{H+bw}j?p$PE@2tQ>)H-}>5P<(ZiyM_#yw@y|Z{!V6D6IY0mM%S(?zd2=3D zWukJQF0*Vi-qUY;ft>~x7vFpP?YBcbaAN89$AIBH*bsX^9p6)TtS>qJH4gu=r8qWf zZI06n78|F9fTd?4J@6AO?!u!z`pNkzU7nft%52ZisYkGEUOaYe@wtU^btSK6|Jk_H zIyB@tvsv@%F@?0UJ*F~uXSRlri-j)B_l!T*&rIZrT?pfFrsuece{HYDCuh(m_{2`) zvu)C>%Mjs@amQtBg~IKhc@AW7^_H9L{kQ8D-tZVF?Z%jtNa7>lAtZz!3DT zFPQ-NC;nE18<|8#R9a@%j@Kg@SED9=AjPt%iTp1U1+X#RT)Uoe;FXZ0&TS$b}MbV{!l|25&TEIBRQhvx@s zc1;DFp(Jux;}5D^z~9hm!!xjphB|-n;H4n1WuWINH0W&@ z+aYfCVSKJt+-LMD#d(i2e^4Z6yvDLiJarZHDpS@D)E=WJx_S5aiDi;&={vAf?mGG# z$UakUr^ERIEf`r4Q?@*bzvVug&d_*wCM3*&cKztV}RDi!~>#Y%$k3gOwR;Hez5t081x3(T5FaW9AdQ7`N$l zTA5{VmkHN2swbJSmuz_cI^GF^ENIl~X%5`A2kSkA>!WPzK7FUr-yFEB!qF&aOg2P6 z49zYJ8x0*5clUF=MmUzt#((Fi#ix90$I)#4gZ^9r+|C@(<5JXO=vfL#wL>qq9F0;f z=9@Rj8-GBfeJmh6U`RmCazRkK6v55@2BadmQ#CfRDHhph2%qd~{*efpH)6>l{-eJ{ z8W1F=4x|pIzRld7)U!{3-=S1HQ;=K5d5Se8l}gq2zl9)dT+20M{31fi@E_73L>&Al zP(x`BBp$ZKC^=$x%fY`q+OqcOL3;D&kP^@+75{14D4rdCKR&WVZgg|aHSug_cZO~= z2F{R{s|Ekas=|*QE@2HX*#(@#eaAr6n97*ez-(lrl0qB?$+kcdIR}o00boB@sw3IQ zZxoW>j6;u;RXtvh7kHB2>z!T)F9bd8P7@|Bgc?TZ`JDTOOuos@*~G-s{%yxM+kn{(DZr^J)%u7NA#h6Zoey-arK^Q^6WRIbov>Dt0lN3wyDg^B5;xe>dv&W6a-;LeX{J~E;)wM|g5Q(rk4JQQ3LoqxP^$B5nbsI&UzI1Zw7n6e?c z+i>5cQ2d@bhR%iRDQdzpGtdKECy@8T5CmDKuMtJHg{Yozvzu-6AX*duHLI~%H2PPE zvf)i%^Gn#ne-!is!!FVLQ<l2ah{Ekj9erPW^^)OfUL>WyyPH z94mwl5^o6hMqu!d=+@}=JWvMl;~`MNkDo=O_b|F-M9}0Rw|p@eL}e56YSrC`rf%n*%#jLC(I|qu z2p%0q2S#h&lh)W)67U#!qH{PRg~-=p!r)47C)^);4Kl&)(5#*V>}D zV^x22|KGlAdY2|WEQYvESuWEz;`1x2u`5>hbHdg1N=%~qz*jk zDBOR?XY#!jx@lB)yiM)mEZo~L?hyBb}f_HaPK)4NYoPd zuU_57{ZtHSvE9U!ThPWsDV1E4;i&EGj2C*B(A+3P>X34A>ec zMY;?p%7R(a_uHnlhaIR+>{<6B1~ye8BO<4Zat`&9x(kC$$T#u(1t!Xh1ck;Au)NMR zqqwGwgFUANRS)U5Qq0ioE&H*QqaJ#a0~!mZK=Ry``36h%X6e!rs%y5rMDN7ol|@eA z!TG{Ke+Ux5q4q*Z(5RdZhoG`o@gCka>pf24RT%L>&n1JN9AG`h)^IjmtzKFAg1dv0 z3})#ME2tiv>nbis)&{S~1qZW*@SEgU)RkeeI9CKVOOnL8yBbWZ*811U{yo0d$}s92k^d&#p1re5u;7;|561@KC!|2ADoj z`S+Env<{-#LAnxBsY!}{G?u2{&Z5%jTyW&E<| z`)+tGh4(=VBfBa0qn^Oi)ISvD?sH|XGkiiy>O>>snH=`#LI@mjK`B*HFMd$!rZ;k7 z3TYN~WBhO@MPa8GU6I9N`$IC1 zkG%_sC99wSeF8&_XY;~O`4sXD4|3IRl$v%2XoH^6IY~7PnVLqNK;xH@K#HJv= zm5YlV2)Cc7Ak+AM=SQ5hYZSG?yw^8XDiOwYDov>!(uiR*Ij#_-DKIk!+<4a>1%`^s zSJI=QZd*@!JU#|KuC{;2O#*<|G?L229TA9=YB4S-m4r>x6kEe&KkPFAbp|vENh)y| zTQ&O}l69}CF!(sTrlZFd99vAsulU?U2{mCV7cMyB0)j9PpKUbB76-z{DG0D!EZ;fu z6EIM_C@R1((%QIV_C%xL7&v&Y$4L)!c$cYH%RNre#$uBZ;^V{R#BDJtoi{weCXOM= zQS3$$7!WWH%Y*HhxH1lc-3)Q;W|VcWR(~f`ohyd-;U9S~j78QyYQVR?wS+TeS!TI! z-z%>i8=aXs_S`Gei}Oby<9uNw`=NLF^Jkx(nR(@vr5B$rTUjP_J6Wdr3iJ6FBX8h* zEiD~^#^#Z_|HzRSA;z4A#KJ;z-jCyySsUl29h&~^Jf>h7rp2+m;Stl<7+;GPG~c6{ z#IG*YB)gxcsJc+7n%cHHPm9N%oIk9O%F@95J$m6JI|D-A${P$+veVe9p;6 zBV(0wPElE=$TCc;Ke<`)xvc_zo}?0)WFoV)XTvt|NqAx>bS6GlhY4^REK5xM)@|jN z$vLq}815*Koi-25i{+9GNxqi58|frzByvlp&AshKj@aJkT|N8sPxbS#p%9sC_ zrKLak1HMleBl8&aD32XC=fS@6(Ie%Tm%cZ5d30TFjXI-E8a(O-~V;bCtIZ8zfQFZ>g!+NG~+FLqu;`_iKY5w+N6*gyw z!dxWu*+pS$fx|omcB{YNV`O`!ZPAB++Jqm=8WVU&!0C$`UX?WCR4ntvs$b*&N4#FycpWyMhKA|t z0A9>@|2(Hh^gj&06#av?SRCiuH@Ake*?+R(v+@-vtvucl$^gMKIT z(@rf;7Y7alH0qUQljMx^hAD%k3$*bx8=MZ{u1Of0Xt&`<`qLisB|183Z82)Y?b)@E zrNWEwB3tJIW|4r4#W;;~fM_G@E80t2r(Ii=4Bki*j4r@HbhHGvK>Pt!HJbNA-_yR z=otYY!B|Tzb4-H0)D*lCpK;4+t^lVGh(3q0eNQO1Xk+T1Q#?;&mp8TX8g=l&Q8WzG zrTWOBPsiCp9lQ>3E^`r*)tEs%Qs!_LQ!Sc(+C^Qs*Rg+4_t!wxmlN0pLgTfMF)HwY8TMQCOd;(xA)P%-i;ob@u{FXe&40NgBPd{jF&Zt zZboGYsV(y!Fi%ylV}SG)PRf9k<|S95g(?MLQV|U7k#n1HXK&S2Y-2lvhpE?lLzQfg z4-m9QYi?fwlL7C9p`+Mk0PTx^O(SD2bZrh&v5fzd?CkPP>oglke!WI$V?+4ic`H!N6?myj8*rZ3t z$8y72&nePZuKSHGxHZx1d8?bHkF&m6X2a$(1-rzdaBr&G;Z$32GEo%@0hAqe^|;9p znBkm7Y?`S?H@OmJM_O*X9vl1L^_Nj|sE0NXW;6^u4*nJ^cNg1-*o6BuFbt;NTlfv# z@G)-@5_mHVnE;?z-%a6ky-4NXUqM&_2f9IsEr&_hoDHMXnTUQ*Q|Xn?kx-9paK_y1 z-u?PD)V)d7rfjoSN1TO2auo@>x(HigPg0g3S4T0i#K9!c>38(!6xQN*=<-NPVdH4D z>`sVQ??J6whgEMbv%4R$Tzrs??UxafG_GI+g|FSsEMs??Jhw`wkCN>w-AF8h3pN83 zKX7132jPG;Iyv&;fD>4NgXrN9`0x*Ku?x#2k)1psC4xtrAU2A~3IGg$qSoOZ1~LOz z4LD_g0-`~PIJ^bQrCl{aW5He2eGg{fZY0Sdjrp34b7o;>fL=xn-h}%k#oRiS)9j+> zOFSR*R<tRhFP2Y){ ztJ0{cBD83ga15kE)!^$E7^L=(b7gg-p>O~)P=_zE-CJx9jbr$e*n}4AP{G4@a0GI~ zlw>>1V=oXkK4V3`Al$>cwE+=@11WgFNdD-v0fZpx+EOunhl&nScac83wO14U=R5t{ z7c=GQPTirS40j;ptC>lI-PyS~;d29)0S4%^d)?sbqNCwE56=dHX@IifNR*|8x2aMM zdIHs}u`KWK#Rsmb6u(H_`Fj*;N!?VGMpilKd;;BoiB+y3gHdl*Y<5qlS&Lc*n7UX>384r;Vo3kw;XxJ1#?W_Bwh$7wbUXR& z8o2iRC1TNR`;C6(%HTc?VI4kF3c^|RJ%9a2+H{Hst0lqydQW_7GEnDS2b$>|mQd=jvu#lJ7yesB$ zq2ZP71^Lw-xK5J3P;xW-HoONS#8wu&nZW?bZ2X9bd7Xh}s{{vMB?7IFrdt`q5cQcLOlCIo0y8VX zQ!u~-cPjeh?iP4_6J4MYBqYC^C36)nk5SaUc0n97g$ZUN`n+Zh4?1V6F?h|z| zcc4LaoF`_?8${>`rXGD|*}y~`#= z4VLN8wrDQVIERdJ`tVq+Hj66Hg^fEC&<2ta8p1FMV0FO2`bhe zc^dN7f;o#Z*h)+qGeJt@$iXxrF?~}sQVgHQ2{k;i(rOmt&oN+^& zD#Dqy)tLwN({zL$o`L*e{vdQSAv;)FJbvDJi&rdO@$hkugM2@o#9e9g>5waNukH^` z@R{gkGNF)`Uc~y0iJ#?_eBLbkSq91B%`!?8eu>q`>=M?tJV1*$EJsY@8F!3Jsup*hUT9t|4r=LsDqh*yy{a=Hm{+Lo`)3-fONaaf{9%5g-0DT#WLZF!x9J~blM z$j7OPY>1HD22=-jcP*bLQ2&<3gyCt5b-5X%9BHLYlW7@*ubZobd5 z?q68?H^zPIQ%^?oQy7s;FGln8$4&VB!VIPhNC9aPS7JhjNsx4IdhDCiRlfUjY3cCc zr=X9?=gI=!<-Ln}vh#Zr_mTQe-!&rW!=`NuZLFWc!JaE8~w~Ct>yCL?`LcIQJtg{i|N}h;pw_rMU#hn#J5sDG;OINva zSmL$VQ^U$E5_tOopd?MO4RA8h&yjk~|w(EN+xC&Qlt)9sB=ny$PF@U4r4dYFa5&<-)QZ;*}3)T-M!v#KS%?DqQR2sh}|VL z2R7J>W8m}k!zAdl1#E9tgHTj(+;LQ#LmMz?Hc(r<>ul|&*qfc@mUf7DFUJK`-_tkyf)M`FX@S3uwy4X=B-v*U5rB_eX%l z&-RP!)LX0fLX#5PMYCR@FY-r`<1`-Q9u-lWH>+0H*AGq($#KwE<*!hWCc5QeMZrB> zrJklvY?Y=Rv=zB-2tEwbCSlyhfYu3vWJ6;Zz~TWV@uPAC$p+5uPup$40^?Q#38Y>Y zz@HV6Bf4wuNI8GcT_jW)+voe;N!-RaL9TuvqdR-X5M^A^t5hNk=A#hW zmBw>XJU51&=qHMl`6y^JgAp3`Ce&=|Cmo~C8=zyZJjm)bnsLjcAaEoa%Yi)&b*u9v z_X6M6K5PRm5rQF;%#6TmK7O$H<>o=8>>Y04ir$*p{5qXg?sq7kx~4--L+|sM25cy+B)-p zqHzc49Vknsti&A;B__dTSNQG1-(w`sSg&?9Z15-|4cgJw{>>tLqTDz+SVTa;E4x8>T~k1BXA`GbW*?8 zyPC;$E*_C%p^JLy}ChrZXGe4@glU6zM%c4A0oMJjcWa4~PL zrZWa`HV~d^j6w+$izVi|gbc954EW$beFy=XhAI&8r6K6Ub8-4F0@?ntchk(SsmkEc zbYIP2lGSR~ysi;9C(4-=P_Vj zfK*v=sDOCu(FV2^n9Er~C80LMszWE#{e3tzJ_CA69&eh zch#4rKZwI#gA2}k0w3U2P_PZ=P)mJZh_7&ege6SwIyFLrhx^-xv<&oRA<;|Fi|hm|E6ttn&>x};+`#1>h z&YD#|?}XxChkVv7T90&A($E013~nq=KuictKSaSEzm3)8Zu^*@K>&s<`5Lvl+3j~T zJ%0O#aV_LmhV*46E*2O&(Z(%}2_u&{jBSTSy$vw8;8RBvBI|UZaqv`F0mJZjX)dyMIcq~ z{QRRQEI~a1gG>Ug-NRib!E>CXG7XN400L&pjU?GF7#rvPz)tux8N*7y#r}d#-ZkeW z?C$w1FV)@0U#QjH2%LF}S9Rx>(KSSS}A~=C#tz>954S z9{#h@%M>y+NhUH#_ORRPUBZoU{v|R@!c!uVH?n*$!6!D;+Hf0>d6^$SO%a#lB=Om1 zzxg2^1IIrZ5?oA^@M2o%ZgTvFeADWaCOlT>mxLlc!>i$c-CRzR=d2mkb-2Fr1~!>% zR-G5(c?Yx4)~&R(2C#MO_1CXigACK5r+7|UTs;2&9w|IB5B*MjvhnoN%$JfpCN?LX zxD(sxY!WW

      MyfIG7U-`1_G(I#E*`n{AC%P_Io6EUCG`&o7gev)OB;uCQ=dWlpV-hQ8vF>XXRHtBN@ z=5$s8o!~eguSkvEWAgWV+GG9$W=(JK2{Be>%r8QE35xA{`H)Y?#YbMSv16uTD+K>? z0WXFO$^DTg{~CTR;xihKQ`VdxSMq1{9R}DK&9DiMf!?^4dkqaCR+vxzX_K)<#$h* z^5(TRRG2hhBgBjLv*6N#OsD5?W+TUm$j)VRa6_vDaP$CCjW9x@qX>!&E=nPA4l$V6 zppHU8a&16|&@r{e9sFTxZA3>~Mk7c>DHSoh8fXu4IN%_DbE%^oNHv5ps~Yma_A=1c zXL1A8QI84mOI_`$(Gn98?cS|xB--b<3#LehP8-=doz!wgjfrO z%(ZKwS;~x?Y0k~9&pw(&6w|lNa$3jEn2!-*vD@L^UB(oI4Gb?1WKxISQc&8ud)bdB z!xRS#gjMdd%4H0(>BRgM+;~Z{wBP_QgEVH7VPo1HveZH&4DVd!2&DY(C7Bk6Gzucx zgfq&5jV<6Y`ApE(R?O9rLg6KxF-m~kxNP`2nyaMrYMk&0Fw^J&DvO_!l8M1X@_RhP zG#jHhC{B#=?t&f@n)i=QMv-Cvr#mkCFYqW|Xz0b<@yUTw%f)4)UkR_%6nXS(>SkV* zQY%^oif3N?Kr!X-BCj)3wC~W5GJgPQ4D`pgvDzeCzALIU2;o7AeK3ThVN}RhLH9uM z6a2<2^W8{6=-$iGE~f{Dk55T~4&}$#`~MZ9Ze`LJ{djRgA;{m${u<#nqKI8qq$m!C zdH8WpAaY%0be10#K>?c+2h!)G#j?2ct*a!S!j9yc3B=ES@-P=tWv|dop83LXk$GNs zqf=bFO_3Ph#BG3f4mtQ#AR-P4Shlb$Si|`vIFSc7khdP%>@7r4QH<#BBF>EzwJa)? z`_gG)0CNsnE2|R9TH^R++cHj&$Fu=cMC`RYs6T@+h9nmipzO4vxufL_KIpjs$98MT zC?)y2;?si2gAP(n4C)A_wDPH55G(vfckWIy?=csatoUsJ}iGUiI-a4}%z3=l^! zIWoIxZI`q1#j`cTs*&i2lie=01lUSm z2icj`YGp#u3l#HbsTc0TbGIX;%r>OaOCoX*n;}lkdg`V)#OVa1sr?$yAne0NHmC|q zYuX>AR6c?@f)^ssrEqrb7%!EkEYUL4G_z$G9(^&AY8zitsoFP z6dohA;qo5yyt$Tnl~Q+SAO6{z_kz^ZKVu99c}la*JWqy{wm4^naJCBmRAp7re)`oz zI0{{f%ZjdgDMRaqCY|Av8Lhy8Z@p1!^)O{zac8V!&&|P8^%+60R8o?}mk$!1GT=Cx zs}~As;}V@&2l)`X*$ zeJPNmxiTn%-t7`HYe>N%zeTAfxf-SRLiiyU#gGQZ;1MJxumD4Coo8YuO{~v^STGT% zjz^z;0OLceAcXJ_GO4Quu?l6W$QX_n9mL|MBb@q}#Iv~LDqNz811)gSFHW_%{^3jR z^Jmjw^Z0s!()q=p19wpl6=tt0Y!1H8>dc$~Jzm7Mz*OIonU%WKmZp=7bpl*!NWj3r!;HxmIR!k zT{;6g96Zmw*CToUwhe+>s<5>!T)_Db!9qZHOY*f>l7Ea5FspZIly<%7{>)|+1!u(G z_M3!HNxHqP^wx|?kd>A^e)YFs4 zS+QK9Zck?C?^7mp3Sk&Rd4;e9EKM$Eg&mC&u@7&BinAf%lt{E0SjMy(BsI@r3H#OPPK7f$SdooW(K7y|G`KF717~S8 zp_5HvyvCR0=>`g+=A;W$scTr9LZS*>GBp9pq}Zcen@H>$8{1D3&=~t*<7Z4E;6mks z0WjE%U`QCS8{fbsW#tw!&>Rj#9G;NHM6tCxRxKww3!lj-{R+ph&a;TyL~7%%x~r0Y{YoYuBK9~#w*zyUu=6SS}i=mEggqWW(^2*PviC*Sn8=o7yWX^+pnygH-T-N&7XmZP?joqw+$!Rc_ zZH6B=xhA%Qgke zC1cs2F!+XEV_lYsa3iDax3r18GSPoD@vsDBK8Z{-N!jvDe5;kAkr$R>8hI<*@tvMY zOn#d1y#EAmmES$^O|AE?E!rvqsi<*(acAp&{G6nb$(F%_at?sRC>^B#EzLW4)spja8Ac@tlr0 zeSw7)^gdto44(5ZBYe@QbK0bX2k-K7M(`t@QCbCPcpouk+l` zE={VANF1(lG-eRapV{Fs_Umi#>rK?cTZ9pxN45-124hT(NgzC&i)ueO%EHXlUq--~ z7`VHVsUU;=8okJL@YdF*px8()5gm*)uBH3-T(YG~T-LAdM4>=DnHz z!~2+36twj*LIj>7Nhv1dP)!YR8qQ{y zusP>#BHS|-O$?_eTqhbPM`=nSVeVTJnP790s0x>s8Y)_b`LKg|m@Men{-s+&-!LFi zU)Y-ps3{jI&8tn77ff;shCL(bykneZvfIs+o65c)*Aa;LRfm!(qYZ zMWZgE*Tdlw&w@LMeuKA;E~b}+f9tL9@7Q&1ndsI##Y-$0CR`b_#b>)S^$&Ni`#^OYP8TfaT}_i>_qJ<`*Z{=lBhn%z zRknM43Y}7TV_eA)pyxS#%Baxn7{SSquJG)jFdd-n)-wjD|7qkiA`85snZ`zL3)DK& zZ|)EWoVE|>4J0$so1PeWduf(B1K9%3bAcD6rJ{`R#3x!lQiD!dx^gl7G!;yX2>U8` zqIX(H1-i zv~vj`;p%Gy$2rSDDq^5JFa9eWnE})+=C`-B4_45JvAfKaQK;H0|MBBoqnE;qC1z3B z?Hi*W(`#A4G<^Hy^Xo-@`aJNR3iQAeWd-Gm;1bpjTLnD3e(WmiH?7~2(^ z5wDNCjIxGqN})UAvw}*4sS|aeJ5v%l)e~&GLLanLT$D!yhWjQea-U=(#Hod2!6xzDiD!?xFH?_2#>hE z0!{;G+w$`x2Jtt0;c|A?F7BoW|BSZf@V<<;P;J^DYT+GrzP`@ir5zf`7X!Qwb>P9E z+M%Hcy)?QfaPIJ~!Y(3YB~8cjCCp9Vse4XtlzPw^JFsR(xfr;A*?+AJg6=At_Y@Z= zhLgkr5)uyylvv|Witbj~J1IlpDS%%N2#Ffj;8P~u+KL$Jl5!|r-792XwYBaE#QL_N z3}IAwiRO>L+91)yW`gp9Ne{Dt0I5{Hh?fsGk%4T1^5G7o#()^eo&{m8OMoM|HK8E^ zBcV50)~-?$IDujW2q~SQkl!;o>0Z~ZD*53-ITq^cCik}Gb9=E!9gxnBigbh4+<13@ z5APf?hLb$69mlPxletm7qjlKSgd7;rE<}WFI?$sb*lNB3-Q&HdZ+I8J$?Lt6+9_Quya)R<8E*rZjykIS zICu7fEK=FWeq9OL(X@g-iM+tUos>SwHiO2mO+qRL`}6o2ijH+18!jUkz8>@zsKG*t zhFJzD+ahvD0ri;;A%2_lZ0`>5YdxBDFz(l_zl80djnM+Fp^ zwL%$;3J10ple$B0<9?z|_!%4Mi=1tMwP3O`qyeZ%_QV1BZjrJhA) zCLA1mlMHD%1`2V2bAhvz#cpe{uz>naX4y;;F;!$(Ps*ddDq9nvm!uw)BkD9z*!RUIDC zmYCVI&m;{4e$e7{d^Hv8$~PwpI?w{Nogs|dl_gs;*WvoX;XZMc#5Qlu3PA>6^g;|m z9!MtN+}i-yY6Vv;G}v~N60^sECADtNmdUsETT?fCPVH{QQ_F}Fyv@zBAY0UJG{IPv z5Ej{khXD}CoE14r_|qr`-iLT$N)jaj@P`lD1!vSRbx1~;r@C>VEqMI#pfSWS;=+2a z4D6ZOghb3q!2amz>#XUgVbJ%LQw%9BiDUMAU*V6B_!`N>}gBqn2j z9bI>TDnJZ;{fFXWe}j2Rn+OlyW;)Bz(A0UK&M~4-M`6NU?7}C6W=DPL`RD)O59StM zww`(z(?EMEW$a_ep8MWhOaN2^pva2_P&mV0K( z#eAGv+$YxKeki*t~}PU9e4{p`$3rKP25I#$~x5$20QFCK}^sIb?jMEJtRZ z{A1Z9p9{-BmJRYRkzpDf@C@u`;5e&6M~A$3?2YZYq7FSQwGj&ea&kL zenF;roUG0pB-*uLeK>u314b$)2*mRsKaZfg$> zz5Cs_+`?|gB=Okp>^$}YzPPYw%QAvt-W*kH-IzO)^w?%(;$PuT<{)E7n0I&{O5(g2 zP18@RT4ORfe*7nVuJsJ`#Ub&0WFDteANOB={^_NEgM5=En2>T_KXd*3*7>auJ@gYQ z>wWuNIvyT-{`kW2g=4kj3yX7ebbPv6U7VXoevVHs&K;+vr;a11$7)I5&eawb?h59e z*s#!e$At{B0la=`_9NT`+ISM5lF~AItZLvNO&D}wF9}&+-j318mI{7(?eDQmpwyuQZN}t8!WeMptz@8oJX~qCwBFI`(?m+PgBg+i& zwBm9gkrg1K)%mX=dtJRI-x`me81CYipC&&|CLz`?$KE*JsIc^PqS&tzgxRdrq%GfE zT`x1%&y4jYd&fVq9UOJz4BMDLmOkuq5Mm+5tHht!Hl08xek-$#+Xdy>jio1PS)4Ka za##s4^d{tWJLX^a|56;h$}uY)n_7$UMkXss`YqI#IE@`eup)|5ofIh(w}}B$)S^+g z49rEbV`D0!1F5xhkg!Kon_CO1Vhx;9?$M37g0p4rpCbcIy^JU1rC5zLAO(SDl3v=P zt8B5}Wdac#2dY2BU79iq4jed;I^fV+m_(BP^t<0a^v@}BBTMgrG#W8OLUD(SlEJ~j zSL6ACC_oaH)fyNJNY`Z-N45q#&Ka1EVHI;w{NB|mO(GIIUopDbax{F{U`Dh61kQ2> zOc{@H+2kg?H;FVbc!>*oIRFp{lu)6cOZLdX6-IB>?tAvp*+gIwPecENKfEA;sxHWn$m^_hAWgb*-a?i1$0B!@Elw>0*~bWd|UJ`ylm- zfs0heN!Oq-@>EACg~-dj;--L?>oq6ka^$!zXuPUb%fkJKswVU z#xL{={w<<+rZq3m+xAjkk%wngn0h4C8$acbjL{8kDeVQnt^-j>apRm~1&y4Y9W?bJ zSA@J(I!zxUSNmfky0kkowbkGVYOe;bb5X`~A&Z2Qt1oZrgEnl38})+CY3Bn3S#3A! z1}u3e>3l)(x6qddux|-f7lMrQ{+&cu@n-0jR23$JGX?|kn?5=*cs79L{E0j4?twcD zFY+g%eyAzp&7h^bzoG=~&s^S3Z{c$^{c8>=Uq|Y#-of3z`%Jl9x%q958gBJB<5j`? z$Cew!b4qspNi7?u)cM{swa;#$t5fb+kS%|70(ztoc<+9^qe}gi!)-0D*nfBa{c_wr zFLIoToGCYF=(U0A<4s+zMW93qMTPK##9e4-_*MZ#!H#Mgff}K_xmrl5itO_!EKYEk zv}nH%HkLW>Lr1rp48n$VN{lN~;KytbiuCahqE$g4MGkin%0FW$P@{1|8g)ex%pl&x z(UR1q@la%*~`l2t{bo6yn2JKnZ&C)L&g4aj5%nJ+$q2yxY4$f$@7 z(BUe}A*6*P4iN4Ipli+#TID2w?EL`OCDP4lVsPm)O=;RyYvJ~j)Ehw|MbWl(n!^8~ zGt@~V`J2z9n=ho+*=P>uZMqkx-=EiGyyva)Y#VCX?yYstZxdp!j6KtP{`An!Op3Y= z((kuDnnGWyVQp|Y9hQOvchhb?uBepFKc|rtt^{WDH9>}B%O(~ZP#-8a@L0g0Z2d!j z-4u#0Lyn>gGN0%l3KSJlsYA7t=7RG;D52MdRic`N-XOijQMOORqJE7tX{oF1p#Mnt zF(wRDH;Jk!NeZsrA%TFZ{__0roWBy#Tg}m)8xVl-H5-s)h@Cvl4LAUE5UH#R8Jm$%5)-+6m)DuY$dscpx5;($+Yv!>eel*hHt+?<+$=q_ zl{q;4=BkCt0U`}tiqOOxXeEbD z9}9vD5gVVAluB>hj7u}xv6}WTgZ5>G>ltkzsIZ~%o(^iq9pN0QdZFHoNw%NQkfp(n zek%S2x%U^`z`GS+rC=aoO$KpFdwUkCaVM|CH?(!4@GHUlZ^%N7MC92Wrdag(D=Xv% z72oG9hF{0p62icXGncwJ`Tg+?J4nq^H|t!JKhzq2EIl;z=trR}`}k!SRmtn1`!?;; zWjyYt(ZcD#ZTJ0j_ho4msl9bFXj|7oZBDD#hQ;9p={RY+61@)6sl);uq=1=)rxyoBDxl&5mKdJj{;uF%m-{<$9_$}}kSbg7M5=6SyRDz971|v3^t)4N zB39QtOnWp~;5b(*BIX77@#M32Nc-F~9{5!VhjF`ju%#q9!GbSA5l9ijgK;pJ;{ZXXCryDs!k4CTMje^F1?@AR^dxeY}^SrXs}`>8?2 z17KAOr;b&zQyIpH)aZ&jp#m0jg=sgKjZ{5OzbQj_1(QyAGt;2USin;S5c}9l_zyGQ z!GkvD3%n119TZ%;PHoVk#wQYRp+hPfVP+$a>8FnQ5De@Xhly5^Gl2QmB+C&*xEV4v zglk?GFsLjtK0w>}Mg#+ms+8>KSehNL2~amN`;*zQtZ@aHJn-DhvqlUFm4tsAe$3Bv z;@7X(-=OBGc$;z*LI7L}fNfhiOkEh1HTu~yGG0rG1~A_*n9zESpdxw5)`5j#CLiPe zI3w{LorbBHec-t52s6#(D~Wjcx{f^lBwLxHcsw(kk*|XhEKzZKHWqEnhCvxKu6o9D zCh8f917AOjOBRDEU;q#Qn(!z2VtOW#C?Q{shVQs0GF8>6MqA-bYJo#+ zsAGDz#Y$MhI&H2@&PNbO&bgSe z45&4B#^td-$pwr7?esdqvCjYFbIfYZ!n+NyRG=BKu|Cfd0{&Syw%cdsx4vSps9XBp z-29Wt-hN`QmNm!?80L?gTQ=Ew{P^PHuR%r9b(dz2b^I6z7ueO}o(fl^mzD(~I-~`x z5A_lqt3_JVJa+w{c}WYUX(Nl=x@GCaPqy8@w6yhDZ6U&I*y8bNmRG(P(f})iDC=5v zK|;zfeQX{yRO5Kn1;{uTs%1T|;g1jsS;FF$8t%PYdVyt}$G3Av7@t53?%-SE1d)&(`(Hq9&5AyBHuf?+E3&ZHR~}pxj&h35fak`crxv&KuCn+ z#~>tLOq!X+2mU;??m6jbLq80s=+|( z7q`y*)AF(Z-TN07ep*|2ZsEBT!Tgc=Cubg>dHBz!7oJ^s&dxV~^mo7eufO}RAN%IV zzG+Q%ee9cm^mhqYo(B?0aEZ+l%+5~F$OHMi38dTs)oYwJ``C*{Ydn^4CiKmAH2=yO z%~8yCac&xEocQU|!tG1nd*#^kzxU!ICY|RoH$C~}?U*zmi=2K0R7}foeEKKbmXvJfH`OHw^7y8y_!Qz+XWB4l+Oi8y_eeKOVP=njt_Rf?xDt zYXkf8=T)ri>PLn?dA2noh(`?_-DU2{L|4Qj%dQutVjGv)>y%r65H=#7!X@JLli)YR z>Em6*^3|N5lvcgtM$_d}=56=?U zV6Wz-0zjbtVL7|4EHJM>!Qna|>oevxveE>~#3qf1-9T$T{FCYN?XwAyKrRQt>1ar~ zSPv6+qQ9v*J|I3*RvXV57@S+?lR%t)(U~+Mlu{-g{b`dgr#55oIhru#?A7<0d=l+v z1{%LOAN6v^{x}^4iq{`7FCF;XAExNdQ4KdvI)oEf({da~yApkG_ffI?5dH0U4;=Vg z$P*8J_rSMz(?KVS3$2U|pPAZHZ%OshTUg9E$C(5UXS1#fPv~c`^K^jb)TsXhEI6tZ z%t>G<0{N4XJJ&})cyiU4r$TbXCP|6t%nG@zQ#3E~2>~;wH@>R`sX9d*_^! zn%J)nwoIg|-*Z!QReaPGMJcMWekkOeh!)Yt-IAh+qAIV9d4GlhX5dC?xV)FbW|iX z4P&}=BM0oYnv4{NtC0MH4_e8ai>gd;!RJxhb<)zS;^?J>5e6+nHGW(;0QO0&!*ouA5n`2Sw- zdD}IuU&wR~ASFk611MleSQGeSAD2psJ#Ab5NM}Qd?J#d6^t1MJ!Lvzb_)V4Ly&AYY z1JS+cv_m)&Ks+3beP&rw2n;pZ6Z{ZI9@H1Y?Kd-on`>f_X@Q{UCqb?Q{dy>x0@ zYzaC^Km8Yki#j%?Qib5s!0qy5-f*MbpStm3?F1-zSrNd)G6gd z5vR;!4x~HkZIo!kp?S!!F$K$zc#avh?HnXaw7{<=EjwL9E^VNYcNb+8*|ZG8KE$tS zL_}0$p2U!Y&ABg&_^O=MG!oasBYithhD{JEa<$l+sx7`*Cuh2K;6N3cLLJQ@5S~@Z zI9^gn%GL+%jS73&o|D06gN3TO+Rk7Alg=T>`xW`XQ=Mp}%gGL2v*J2xS7d?0^=!g4 zDWr^}Y@Q?0(MQm~2g?uAfEF2zjC;1(RpRxW#Ii$X;fO$J&e6L;ITliYnu0}`Yesph z_kG}N0=-Jlqap+YU2j-W=51ilD|UA+t`~OEj-g^o_4=DE8koYHANm#`nu9F%919xa z99I1<4nana663(a_HLdv8wuL&wdJukwR%PU*l6$%bALzlV?jWrX|AY&DU|rV6rN%= zui#nQN-q(qwwrLv&jo%rtxVlk5#7@9SFE^u3654=mXDSD)W9n>Z$V9rbYGlu_QxE* zE9l2!Y8=YUyNRV)i}u}*r-3XpjF;ifKkWbyTO&y*s+DxR@Yc?uQoX zF!UrVzq)s^|4QIm-W4prO!c+}OpaF!1KcT&1NG0XvIn~g_F8E{y=h?7Q=S+~+PMKdLV7JFOSWPqCnqVv zSt{O_mYzwZ3T9|rf2EM#DE20lUChaRrZ?w|?hr{UpT*szYX-48!YWIFg8;c?bv52w zW*nrPz=z;854~Vs&_r4i4dI8IV?jHFF69oU$W2{Z@N8QZr8AoM*LD?_Z74WJxBEV_ zb3JRU^hh?>UGR!}d8Sg#eK_?oTW1CZ*(p$Uz~p>-J%2Yo7aU`l45Ihj-p+C!ns4`KGVNlbOqA2V`ujxBLr}l443_=%2Uu=B4xg!Y-bz(ay&Pm&ByjL)}N9!Te_BbM8Grg3`!?D4` zrKLFOverk(!h)rbbf05yk`U0le!AcC)LYWEcYCy6q_^YV-z48HivxK4K|$0Y_@Oia z4HtuFSF^lwbNrw5O26JGv6JuH4$ni-1jViJzGfGT9E&=ZD;y!@A^^#1tqb zexU25Zn+H~QI(cn1?fNuM`fPbVD~zo!+evI<(_frZKxKcBryfG-cru;_StDaFY@zc zt&r~ba{U`qANzj3$GxR+q`%AYH>|)n5(+t4II~Y~>MuY>x@njAXXggzoPYe-sWm0-#d8YY|X#ZVxMS`N>BVm|}B0eqfh zCfs1c5od50g~KmwOPJ?y*?DJ;XwXw-_|E>#&ANN&!lO~fB5(%3J7Ey&P1q<5&h|QsRVgPVHjkzH%Qo(?}6hq2nX%!Y#G$N7F z8XkFBoh;&hbO81;O+H=SY){j|2teZCdmKdNJ1*coA`;4QM&=NK3(QA1P5@!hY=DH* z)DNRfi~tL1_Lu@2M`Xkz$B6=4!pvsjY=OLsbTh)dV|b=G>40OR>tpKU^dYmf9eW#G zIAked*?6YsVB;8-$zLyClO0bc2BCi&<{VTqp<$rrvn6n9-b;W;TpgYbGSeuGXa&Qc z5i>Q=8hT>)WEP)iEf#6=Z0sW!i`gt()7Vj-{LE)Ayzn!h!36D>N+16?MRZf8661B-MSC-d-cCj;Ew7)G0s z6X0sbr+GggXrtrwnfcSxb@-SGlNx3)Sn9Y2QpYAOLO##IkY`S5wlyRK_-f|1r@<`< z4o~3jM(q3_J$!`m_;}~xiLv^j#zghVu0TV_3An>=vECEQK&KlM!H*zEfMykB21h2x zCJ(;_9jM8p({Djm&}dXO%S@;0(2znt8V%NyiU7Q)BKKU|pYgu`%+D?SFK2%4)Yji; zJK$e-!Kt53UJ&BpZVhj7V*vp9Uv4=3$yvUUF62x?GmeM`Hp?yZ><`^+vreXH;bX#F zma~TOBHc=6H>;nC7X+5YHt9Ofc*}%wIOmQ1QJBEpwwy+k4yQGvhuV~*hUqu+Wzs#~ zJrn07;het^!hA=*89R179`CAVR5Hzsd@`B1AMV?mx|a7tXYu|gGNJAzaF8{Jzb8%~ zydOXIvz+htnRHI%upuLr2!I^{_K0R-yW(ZgOkP;tXnwO4bNoWK25?) z$BjQGjLZ~Lw=$QLuv;e;XI4~9xgwS)wQ=bl@-e$+J1OCN2;ekHLt&-Zw0X$}Ki|!& zH9a?jM;$SKq%xO2l3h0SIT09waA9j~tR4eReM#ENc#V2znI>5yWHSPKnK>AmjSw~y z!gaP0`J1xOtsD#SRnQC&9ADF=P5Pjj?`yt*W5>9|R)w-lDQ+vWKbMT<*d`s_X}d?6 z_$00mZJ6%}S=;2m81G2<-U4IU#w7trV_EOHwb;R@w&LDBtml}eHYi^z$_m&GW&C7i z_Zq#(gM1q+07voJ-n;J-oF!*O6M{B&v@SiH0ZSLe0<}+~=Pk{ywsd3uf(3XU@Cnc? ztuE3Y?2^Y0Hfarq5&i<|45tRZ>_x~PFsz|z925)aVkPZHK*0+^?1k{YS#wKn(9qt} z*gXg053SC`CJtox2CLQTy%Q7kLoah%u;AgCx=noK{R0nT)e4x0Wac%90=PZ=;`p)0 z2{_!4$Wb7G;`E&bbqe;5hh9d3vZu#^8e;1cEev=k(^9Abtb#36gFw1ATvmluyMTw+ zkw$UJv+BlAw(@bEETRlyiLB9=oNoBME>b3oGf8m~KxNEh3@sGcV`{MQn+a2J)v^4+ z#+zh!6XIM##jkJ?Gu5mca}gs`2@;xYiI=Mv)|5*+(mp#*k=anH-IR zPYMY+MAxD!dG|-t#s+o(5T@}n5a71H$lYSAZteD=3O4;pL*{;G=e1bntRUTHDewn( z^-=28{BUSO`T451vHZa_YSGn%e)+y1p>h_OP#x09Jln7RmE$b_$^3H%@IEgUdMTIb zPNHXMNuT)7RcC^h4B6Xh;39cWNsPKq<_xbkY;D+-RMdOJ^`x@D=k}FP>+f0`tf5bS zeDF_W6TP(H&P3NwN1VRl`>>hgX8);g)Gfkz_obp%i zypQAuRW&!id$|1ZK@aWp5pRB&!}n%-WmK&~ccK_76RJ&RRIa|}Yuh(s;KU0$mZLep6PVfgV6UH!zK{B^zog{kuS`4t_Rv35>}&1Lg3;~XD?_*#G-$1LUHfQUe1Fux@$s1b%5#Nh)gDr{K7;Z#idNU)BGnCpK^gNM4etLa zi^7~lR+-LgtPX0Vgb?@8WfSxvC2rGYu^+-FO&3ojhGMb*hWn0{IFIoCNwk*#yrs)= zsNw9njrkBwnTin@PsZD7_yMXdK~UBC!&5gN9NkaeqApRcdJf`{Xz<+W`|;amBCd2c z>il)F8tpTm=K{Wr&b^seT?~;;sndfs+N&=4HNMxGPwGYD$syOVPO)flqx*63P$zYD z{WEQSsz>*fJjm_5;iMS8^u~wL?yHBrXI5W+?L-lm(a(E;7H=2+OSgHnug7xAu_bEp zo^=%a(2{TFoJGTLbnLY910#Lq)f=7^_uS%sMwiN`wGHC=^!HBJ9bL6ozHq@lPi*Ks z_{amCIl)`Fb#}cMK)vwbp2CJd>McPrd9y=n+)WFW-n+%=^H{b?AUch_XSOI!3x&2c z`Uu^JDGQ1fMMckOF!+E1QHpK`H%SOLeBqe|uYomnETAF(1FrLE&7lT5$yD7bvk3H+ zfT&2RezG++p$zg*B~%{WY7NJuUv5zqI)w)VWU44;1P75mdiwAy-=O|Ks3j;n)V+}} zs0h?T95}hW?}wEQC5q>jeM%uFe&6vP1cUPYp^blQ(_@Rj&>ak2ME*V6(ea6UW2LVQ zDN#+*Xcc$OI%)c4H94HMw>qhEl5&*NQ}Z3QvMz^(PTH|5?zpAAI#^vh9#@W9pxZID zhN5g4Tc|nSV?8JvLpKlYylq>U9?VoDxe_70BdjQ}fj)$%yY#ASK;qb?f|a+^jtxPK z$k{!xo$O_j>^>9=vH42A95Zc#EW!~kRcwL^Dq#sDi$h=u^6fdx*21!+kJGIxulXrL z$W$gr8m$PIQ&GGmG43qkajiKG^a<=kqY*}EJHkeUsEp=xwlcUPO@%9m1g+g#&B|iM z&AB-*)hAc#Y++Sa^le9`sFT|VN=|mDC`vgmYiCLudfm$^Iz^c)E4U!IJJXk`?vSJSinsI?b!Cf$ zJeyVHrN|qWc_={>bmTbRMh&1~_?gQ>6%^dy?u3}H^PAkI@fkC-!h!-N%QuH=5H+Uo z(P%k5{Qce%ZBWCz%QS+8V5cVBPQg39!{4FK62He%(x(!v2s9i%`5Sj^gdn7h`YscV zm;Hp>!4#`{I2Xh18*1zuCu6a*v(ur@q?vu%adr!5pjcwaZ^~#107p}FKdvE-yZF?j zx4aMZUne{1et(fneb_obQAGWCUs@?W>mj?=j^*6rW9!IU=Tc97ak9hl`!@M>_5DNS zJupr?+mqu@J^Cl7JlcDoJm=ANJAHe>`?KpIUi_l_mvYyt!Wp}F_@7(o`-L<8g^v7e zzP?+2uk-$NozCBlmxFkc;&GP2;&>NwmW;i2^h2jo_k#Sf`hfTXJK)JU3xs!@Ni@R;wtj*3q2$_S7``A zNqZH^fr5Hdcmr{5U@$&#FYOc)f#Wi14h=DHSK@>p9wJypvX{=~sg7{I-!*XJ`f zqzHCjzP~W+$&WdDS^uBnDqMTFWA~g_>Mv~UTh$}gAEX~CEV+JXdj9^NQ~E2u|B-Yx z?)4NX{nRPt!#mG=lE!b@(Cg>D9}t9to9z>rWGlRqkeZ)L_}(zb%_1%E$Nj}NQg#*3=0g_T z1`i9Mj2Rg^bTq3h!X9rohm1NV1pE!4BOBo9ICK!QPa~o!0%TkmgpxYz~VH}wpr1^`2g!CZ*~Dtl_o6)>xk+EbjI4mJBIXW~?qsk?QTPaI&NlHG>bB17r{NAVyeDKI%kfG2r?FF9(JZf-qxCCPr9j zHBB>;h<@zxqjOV20PoW?L^N!Nm=oZRG(*OwpKhfKu`e4Q*oz-$ z*}>nvd3a*^7)vVa*zezkJ^DZ&p7;e#KmF!D)?^Cf9~ygm-RqL$zy3OOni{{Lqf=Ak zpPzo~tsiasjs9+xZ(oEmC_ZBKi(edSd}ez3GcUhaIHLf3hqA_dgP3 z2e1#L>>9WuoIQH|^_i+O{?PDS-0#g9>1{G~t2>G<W~|pW!*fkJDg??9gY-6UZ`KLQm)v5_O*sg9M&Q<_BCW2-)g8i5rjI6M>Lu z3;Yd3FnH;S-rq-U|EFv+?_uF!t?2Hu-a0 zl*9ZACO*)-`cnlL1P3PH|~5L1F$Y+s1X_3)3k0K-*~HubuM{+ z3G~y`Z@e-6@>5esULSjCYX086W9vfA$wwdEyLa;Jy?Y;hlvUcl^d**u9z8U+?eN5# z`wlf;e;pD{!W^@I8h3lMJ~*e#vd!uGk=Fy=2w2bbzx*?6o`yF2*~fpZmwLD~sSjn3 zj^W-~%#vff4jq~zO;Zh?e{i;=@fJ>DV16=unfJd3xaKZc$4b_)F2I8dOO>FNFW)5_ zYk5itgo19EY0QGHNgPBnPrNi3`kJAWoes+wv7i8th#vkHj)vYt(x5y04GsOum@OJ% zKEkn%^r&I-NwnGMlTuEa?|M>kdz9au94}9u1(t1M*9&j47GC~42~`MiwUOcKS2fE- ziGGamX1Pqbm<;nR;N$S0HThlyoBjVgq50j*yJDQOrs9~%!EB06eaabx$&W@6Q!^;z zh-99E@j5IA>~izY*$+kZW-$!eWE8vUzj8BHe~?Z7_zM-bt;QCUj~rHnA-`>m2OJFZ zshToNb$2trn^|_MGkTQyP@k^aOf7}g43AyU;iUIE#-@>d2-f^^!1Ty(1%`&LLObGn zut^W>|3=8h+Fr=A@PsdO-3&XnbU{;?!bter=B5rw<6eUa5H=GO3$W9_wAr;FBjo@N z4Hm@OjHj&upMh)X01ZmZw*z<`{Hz0{w77(VYeleE?>V3ka0oq2;s>Zl@ByP|Vheuy zeDG7w=-$|0%L$4-5vPZqkClG<@Cy?YFFqH%_*`4;;0xBo>X=YLKm*Rj*HZ8dmcbJf z3lK{$r)%pkUZR5lAN#cy5J*S`v|!?=Kb?5urwgni6|IZuVz9ReK|(LJf`|5qgFje0 zqJxKlj({`FppE(OWGF5s1J>(_%{ zH#2PG?FiK=NyP%Y5)Ky7Yg-99IsiJUydEpCv}K~(Bow$P!kZSw1)h%e7yV(|x2=ud}>SKaE!3I!_N*^42T%GCKjzMUT@(x_7}uzE9k_x(wOOxU{I2EiR$bJo#^#CHU6T=oasX z|4i1+I^n&)>bAdfCvD1PfZv?Ae=uJT(cqxhJ%362C-1}}a(=hneMJf1?+tJZdG7I4l{|5DuSb59m56q7BI=;QAcUjf<^nKZy`RuZDuaPL18@4Rge{6`U#=I z&7+rWRIn_vk_`vDF|;JsP)p*u*nl+v{Y^~Hj@X0U>~^pF04+*&Rg}i2;xIZVJq6v> zo66V*_R{|Kx=;)2KHu*MrPSCZ){vT_X^Diq0CkRUqSo z7Wjd0SP$&}Ujor!MxOwWwk0;27!GXa&jD+Aj@NjYj(;<-23w4t7n7J^xNkJ~xJ__p zo=~|f0v@|aOgwI7^aV(CJRi#~f`7+2#P806pKTD=Y#yeE+vEAEiB&(XJTUV8DKDbM*p zeBvT+WBQvtXq_c(9eR)M5nU@L?Sr@eDu+984ui$oE73pCF4gHtlp8XzYWCXX(ym59&NRdHXlTeraWOr`M)wIHSGP`gzWf z(A0b5zDu?B`?uTT)~$9!R3G0M8xjw4U%>&$Y}FkvEqBzvTuv)qDc+U}DE;BC%aTq^ zclBOVvZkJ0u6F(Ht(uVDa9hw(K3Gx9%a=LjJ*gV!%X<)m2|Ibi)*Y_>;N~F~?E)|* zya&)qgNo~yA#drdiHKz{1{XP5#k<1TJ=94DLMS0Ia$SwPCRjz%p%g|P(sm?A=9Y?J zF-haps7ZzoOCJ9aok~7S)r}v6hsu{wM)bhefKNGx1|VX=a8_8KLTi&;+s0|rye*3~ zWZNTIK0cCBSlA>*`)F~QTeO{G<;&ee#jSUB(Y9P|tJ{CKt#bv;_oJ7s%w4%%u1e>w zDz+Dst9pyY!YU`1E)H$ArOvr_R<1}bD?)Bsv+s73IFFI@l8*Meb9pV~DcMsl#TT|! zvGCp!nbCgEatCSX%U5k*U(wYHjmWK)fo0tz8C`HOSrv-w^HOOS#i}S_N~BD! zDC2%-LpFnPZlZ*$#SN|DT;gc)of;mRbTt(Bq+9!5`FnSuP24Y2C25bl`b-ng-(9;4 z-2-lZ78^*zobkZzmv1n5G$~5>k(K)R7ng5JLz-0{!j5!%VmJAxfN8qD_u9wn z!%p^(Z>W*|R|WrOdqw=}RqOxKA3k%{`pX-`nJ`-|3gXM*!8X; zLyB;0qOSe0VYsp7Sy628@y%NU5GKdC+<=+e+SbIKAGhiv{fcz~+zKMAZsbAXaE0UB z(qzN_OUFuV$0|y+6D~2eaH;$j$k;~*+qKS-@+^NPsbXGpD&;}Ezga_S4SH8bQE?%y zrB$QF`a;o5!n(9LfF%{)`!roNh)j$av0u2YA#%u!D44?7+Tt}SSF}UQ^x5WsHB}Y5 zxzf;6-#e&Q(m64?UYhkSnW!0vOc=HZRn z1_Gs62`Z$$kjKe(7%u!I`Gf_YfRZOR#gB4OTIUI;)2EE%x}rlA5ty*)3^!f?Q&g0E zL?N|qgu`2QaS=1!w&V z(4`#v!76Y#RflJV1O;yBp`>K~s}bE1Bbud`IKYD@MJky5K#43MGHCN~np%)-VvRD0 zf)omB1+$uL9d0+h7Aab(x$jih0EIv)S-`1IS5XudIVSd*rw z^`V(Z9#}%hlBJz2l{h^1o8LSQO-u&JFfV)?d;X1jpm){B3A&h5hYn3Ze{-sF6p{(( zaZVnk^KSUqr#|+nkAC^Q8~E?s``FEY>^}ECHbWQt*d2v_>{I97_t7t(dmm)6^+S!x z>gSOn>uVkl{_!YaSjNZ@*~Vjx7JA{QZW8C7dd%0E z{x#)?hK}7Wzhn;n83^f_&d4qyzhw7}zc5UK%iH9~yg7b^>u%n&dv^1F-6t}$_wGix zmCgHh+5fGOo^Ae_H6QcjdvguXnS3=JG0xvyNXohX$Y<~!L#f}MdHMav{ES>NlJmj7 zon?Pb|2wvcI7wPY9@tDcX~ut)i<8@|TPZ**WcWKda?3b$ibxCMrI}rtsWJoc`rl!JMR#~bzIXN{oIk|4# zQEz&B-5AUG!aHWyjm_RU8^u47%^V|qtNXjGscGPe@A5earol+YDU4sV(tiUg-Jdyv zvky%APt&hXPGa_Cy~$mKGZ4@qXQ=Cm0A)G)Pk;A(py~6UpZ@LZG(lQF{q$j+iFoU+ z>0J|5GwT@^b=Shipy5AhOf37c0& zQc)8Sj%ZClLI@{ZBhK++&MqU{)Kq6XBAT^%bppKASv0cN;z+~DCX=etluJNDou(v5jAB4PQZ%q3jbYN@eiW#w&i!CmCS_4pc(hvO_zP zS%Snsn+oZh-s3E@Le64Co-HkUlf~P*h~9f z+`C{kq?v$fozwzs7ri$yQCdk^ztYT(NCp3pNWvTJZ6UF;m|WPeNj(&IEc+p*<4)+{ z+SnM$EU3Z4iiOO1)Zl_;CTX(+yh=&C?cx_ne&i#xHwfyny?X;r;5kyXU?ld?we@>I z8Q8QJY#O+47sE~n{nG{78HqVRoY=cpybudUHj@s-Uf$B)8z6nTZz-pZggrZ$kZ@pd znAw4y4BXstK06p@#o*q>(EMg$X}m}xZ?k|tqLE~Uf{e1j7`_U6p=|{ew~;D9dSRW+ zM*3Y`tY0Y+fm2biEiLN=?jgjHyserZn@<&zCR>71jY`f}Ca5bb&?NBA#Det@@Mabk z1A8Ur_ylYcifX=X>FPi?z8MJuiK>r-8OmjOR?ySK@BJ~2pHRSQDuJ&ZuM4R~td0cm zyvQh#lFB4xWo)Dd!mt`l$}>4IzNRrdm9}D!b(iDY(1##5bg&yn^Jh3=-b*2cnRPc2 z_P(q(lt*i%t;pI^#ZBXMeS&14#DV*^0GfH0qTc~}V<2x=cvore$S9GdN4-Gw8 z8nkYF<$+rM_wMW%{_33z=!18k>J8YJLH0n_k3X=4sJN#02biU=`byi%)3-nncPB*c zeWy}el?E^Us+zD*yIlKtw3X4!?*Sa)r5&j8fOJShzaokPgwW+?eKaC0+dS-Jr0oBM z^YyIA;gOc4HNF*qG&KU>6Yx8JK8Cst0nr2QiTn^=CM1v|R%Dy+B|EnHi`K;7Z%pmc!hSfhX2^aa@9n|8m^*Zz{qx#}{GQQ1 z6}RynPsv$&SMbP^7zHRlZv5nXRGYxl8Tt<1#(AcK1NDFj3DuxXKh49Z5Oz0gDxnd0 zG}qbl9s%clP(k3O5J95%OWsg0X&DYq9;)34=M12KC^r{(VmQIzBNK}3o!*`#2Uy*5 zpd%`)!aR(fKyKghiWgGuT*DUBm$HR%i@ zFoPl_M!9wtqi8Pd_W<_#CHcUT8d|aF^Ivc~SGJL?1x{h@Gx!pAW+cEk zUG$mE2k`0MNvquWV@SIn?hgJBqH}T=jou`F#@l(Di#^_2Rf#QGL6>Os9$tmLE0nRb zh39}$Xl%1t+l*0W+nyH|i%78-^@MNdYA4metn(_RN;F>s=Z)|MkT|g5mocJF4##zh zjT{T}4~r)NxIXeUwhiD~gqic8ux*Lnp2NF}1=U}h{W%zThzK_)ZEXWZnj@empf=3BC9Rsl(5M0i9ao3m zrkUbq)%f9=!U-zGVz5WC1hTLztumSyLn9=G!sCW4J6m0D4Q}0m`<>lw&}{N;J0snS zT2si`?WfrOHq7wLzf2oGChp2vTSsx+!nT&@Km4WkffV+mb2$l_CBJUT%5q!wK+2F$ zR<*R&ikzLy6`Y-(7H*N$_KZdcugO&f?JQp`7I$Tw)bd=R3nlKQ5$R=%wZ+-Y_Aa}k zi}@XwiS~g^S7j)-)}i%!YkPIOW4k?+w6&+HPYj_%cwWK;pb#g7@g~-x1nR&g<41?Z zB-qKd(t&9jP18Q^b)Ye*eG`9R7cidup2sq@-MoPhXwEb3=kS|u*x`+=%>+i6rpH)V zTo|a~LPZl{+~zj>KKFxRU_3BMIFO`qj*zrJ2sFf&F0_t2@2gX1eEDUi4?!2y=WL|h z3m^=Z-cvm*dQ!FQ)#q$Vc2cKv{|%3~7wpGq_%D0LKj9Jtit+odPX5KsG%UY;{$sA! zSGs6jetftN5gPWX^{|uhc5ZBUYL36i&)K`&dj1^m%(B;kOI}x{{SNo*r*xiA-(Hob zZ}-PP_qmufIg|(zR;Key?K?%6psEuF1MXy~yg_Jv;l|s;yV`)f~64C+P3n(BJ=3 zU+*@mc94BRu76EBxqicxo1bU*bY3|0C!eLh+?wU3Q>ts)tKYh?^XAMS_-lXCm%lt) zB1b=U$7$*Q(_HVLmTT8P?T-Fis%|kE4E2An-@W$^T6oot<VF2$YdE4vvlHv)`;9$dOuVRLR>Js{s2fF853!)-^0!->ItpaDcE#^V+^B4+ob5EU zl`5iR#Knhp(M0NU4N~FYG4VVW zVlp%B@L4AWOBiXsufPTeLe=6~g$lHDxJQETvNbi#qE!PYsEDYPO^V|zmRG)v>tdgV zgKu?w87}r~()2RSlL4+voY~utXn0>ga<|$qp8)?`;10CtM7<0Rr;kHu0JPx&smAo% zZ%@Cm?$hh0pZG4mF;_fr;H8(KF&V*cC#v6lVNqsWPUe53+N z$kabor^XJKASC&lqhpPL^Dnwb*3j9TLU|}`j&H*F zURiW(4v%Qro{7&gPLog0laF_=mG?dYc_shOKK&*mn>@QI$6Oi7ESvam!5@XeeLvi{ zn|f;Ui})Iw^926<3-NF=#JtdMF}p=#;Y}g|Iq?a9w+q=q963)S~$QOn&j|CYE-)gl`|F|zVW1Y%B z_}RWcvd<22=B{1f?nfBYu-zeY%H&Z<@J2r7z_ES~HZ~7tykf zar}1=J%sZSkU<{43F*BI$z%KDk53;uypri(TaI%Ozj({!Yx);QcKxC;J^j}3Q&Suk zK%&St1HNtvn%7?+n|vdffQ;l#%ndBVWSQkl_z)=TZjPsZH=^*Zs1 zV&KEDD4cyvw|6hw?BZ`^ejZm_v;OCi*QX%IJWN&1I-V0WVHB!bOLY==t+^y|@SNhF zxYKM-Qly6%;LW);CKhZOe#p~g0I3rmTxeV8d31TMprSgw_@;@hIhuI4hqKKbuh#$3i;sHHG@~pa$Fha8}(KI8%@b?HPhWw zF@Cxy9j3R3Q_<$1U38lY@x}uq%jp2xn)oNebRnAy>4ZX{o~1L?@V_Hq&)Q5;Sgf_# zV07>Rc5Be@AXm(WvzG~G9swH~KYf>(FFDf0WvTtAZYVIOf2wX_HBFZ{eczK=^yqsCJunX zGcgImfS0fMJlw?I7a_rY5gDL5(0~^fw7&?U>jImCiP&|)foE>peDIk-kHq%sy~txs zN<@wqmyalJ7m5cQ=^X*}tsRXw3zDG2>x^e}MPoahZN@453@A1=ehO`%@XDhy$QnM@ zrGSAy&*3Kp%^8{n%ol{cx*5Pin`}MfMUdJ0!z#kk$XX9q0^SE4Nfx}wgS18|lSH~w zr-mwy(iB7QKvpuq;N~rsS@J*Ow4@k-jac|M%Mu}ay!Zf!Q5-vf-~u1}P&CT)nz}Us zG{?0qeE3R>k|h{rv%yR(d(`m3o3r%1idB`Vb{$tS-LCkx1Bo+TAPFxZ%@i4Na>!tR zPtE7CS`ve}Coe;nk&0RLLG-ZT$)&oedBx}5sOYVMdE{RC;>Be3;9&a) zudsgEj_tkwIz-kF7rOL_1erpeo#j)z=Os3rcJr@pJ=MSRPEz&WLa)4Tlb0E}!C@-)N-f=a>H2KQLJ+9ia)O-(8<}~z!ob4Z}BX4aT z@NZStmIW)kH1+(CJGu-1=}tPY?T}MwyK}Xdk>^l%Hw4{M;L&jMdPGJs1JOXB=8Hy* zQva$t%U&DWPIo37_(q$38=CRNzybM|Aolw${uU>{N4AohAjb*Fx<;d~wFE*h$^^nF zqj#n#mhP?3r(|Pu?EiiU$b&gIf!AjBK6OU7O57JeJH8CNt9LvZ+njb{4;NM}9qbYD z3d*~U%gln2PCIbCPhF=wYrlMM)Iv|*vibvgyJv^x#=hp{i{WyM+?R~0_GuGLuRR{7Kfa~u}35j&0*)&h{xUufC+fE;<`tl zHRg#8Q_aT(p(Eu22wV*xMc6NmmAeKp7@JVV7NjjO18r0?-Ja(y8>BS?1kF?JB;zBp zqy@-@EJ~OH-`A^=i>-%&s%)=-3{w};t($wqWr_w#4@g`XSj*$60tOOBea*A5`{Bjo zUP+^i2v3pq*Ho^LU}jPpY)EY766RyI(F9(?JbULLxboTx56V#})H(?w%vhU+H{?-# z!tv)rd5GwuE^HGGZ>Gw)DsXjbb(7BYQ@16MgB| zB=qpXxToc$==+m<>1ViqRij@I91Je-Kb`NO#5_EbHgBMx|JYqtzOBoNI{u26^ zi=1J*UGKKzqY5Fv_ewxrU%l0%_kAc!D;-E!PIJ_r$Ii54_gqfNPRQsXsi)4%!QI8a zTj!3brQQ+>DbYWcuBGl}yHdN#Dglk$H8)aeg#L}F z@DmcFbiFhD$=ero4g7t^ugAV$eyGy9qfUwLTtYfG-g}1Pncyc-48kDEKd2UaXK%s% zp%*1Q=(6K7gn7oHmQ<(9L>i z2xn0lGsG`<*#CDldlk14u7uVg$I}IIC9uvYwJS?v#~2=9Ff6x*Y2}rb+=f@5EOtYM z&SS<9c{{(xwU>)*aWsyqx!OI3!aV>Vni7Gq1#dfAB zm*uR*0@IZgquLs^9IY!{g@DjB&f^SJBx(yVjLO1xxN)1g!}Q;#7gnvalW&6!iShg` zcS;U)zHh3Yc4I%EIdG>p;NQUqV`eZVAYGgvp*YiMLsFyUEnbO}bvq$lsj&pw*#H%8 zJW(O6M)agJe$@>H5J28df93W&ou$rCU4@=IzWWoHDHRQ;{c6V!+;8hk_o9L((a=gA3?ceD;Rbug#zS?u^^{e&x&ivwqeyw!=rnINs$A|kDUev$->z?Z- zQ>PDok9J6$*jQp;o$B%1?XgGJ^>&`QFjac8^km2Re@f-A*S*fV>#t9LdE9e9=KR4O zf40b~kHrUfFFKcRn7zZU#nYbKv8&@zXKe>9?#CB2ap~;iai@+l;8lTp>G7ahh78%I znUpyg*QGY8xVrf_3&Utn39o140?H7LgFM{ru_DGhkX>q?J_-YU)ns5b?Vgh3-#p@C1-=Twi9nK(7Vjh27Ac6 zDt4x~|45&+Ire1b0_-!m{koKHEmbx&AKa)@3oP z9iO)B-M)P{t@)1ol;eIg?ReGp1?M}bTp+f)&hor7{AWYtPF35-zuiMwtFuq0hMWl} zwTasExKJ1K9^O$V`WB|zJ6E|*fxO;LcoCs9a}X}{*{jn15FDW%qJ$mYReAkIO} zlrgFqaOpM)gJO}TG&nY_?8Qt9dgw1BIxZx!5RBzG_9-|C$SNs*c@>}bwJgS1XVC^% z5iaTmp-s|d@nhoh1csfZbpZ@&PN#5JcH{vUpz zP1v?Xs+QXcjyGT=0=NiqzxfG5R0soG;keiAeNSL&DJ=YK{hZ*L+S)+OSVt|_Ol5X~ z(?l4n8LI>B6=qq?ESQ=Y6OU6yfuamtfEsg0GK`pMXRdQ9@X`-FaiR#O$+a@Q!#0yD z1Z|X94qn=}U5)x45hEP}CRY~T!bb%jS9Zc49l+TD!pR+1x3_oT5Y1?T?;*aO@`@+} z5-erUkjw^C98Ns(gpv?kWXr}Swg~yK8R%TPgc72e5%|!A__5$NYz@wtN0?htHGNj3&$XQb+j=?wx9Y;)T2g{dP z;HS_8Z-p>ujl0FeFpg3*yli`vT+P@#$UrEer)kujQ{c>#aT0Hdh=;7MwsGGQcL>D~ zCuvfME=N*aLnuJr>8HR{y1X*AAk8}NJw9LuJ3I}I7@^wA@04>fRKY$t0rnXhctr}qEmH%E3o-y#<^ z3}6J%!HnE&2byIGKl%~7SqtjuKTbc7OKjl|G{mT=0cdI#kG%f&TSwMH26<>wYe*ud z0^AHaRmFGfjzSk|ijMvoa@N;a&y&AkcX*-y*zWCh@Hc;bzRehVF{#vx9FB?!j@ zj|ptmdymRU1{caJL%E`W4&(8eVZ2$qK$%P)IW3dF zFf4L&c;ue7IZ?(R1F>0LQes&B&&V;sQXp;wLPjp&^T+f2bY_l>^!8cmS(lI@PqrC2 zZw9bbV)-D;A^FE{Ax(&(VK>Vo8E+u6;W6}#WLyj0(4EL=(g@iS_+H|HTu2yznKVQ1 ziOpzB0b}fCj2xC&vILXmma``7ezRl~L4Xr6V*K>kVb~-SHi6O1ZykU+vmSXUD?J;) zm=o)ibG!(c)meNJ;?3y`DR$xV!(*5~@SV-+3r{=k=?h<-d^0?I@e9@hXMi4LWBT)l z8XWKN*yMJ$8K6grx#iI0k*TqVpjWw{al5EdKl-b;-(ol4q0Mv0(eM1?_3u`XP98Z_ zt=6HNIW~C+`kGS#f75q@j?(kbA2@KR!Sl?~zrn5BuOD$IG53V?4^B?h`Mzw<$B(xC zXdCB(c{2ZZ0mw#@4Lpa843BBCV!$32qz|woKb}IG-HZ<(rR+n$3-4|XJuo%+um?wP zv}HqstrGHSet&c3dzz7-Xn<1Mmhk$ItQvgJ(mt5yG)|%2u2B=JzbMSPO&(zg%b^d$(+pe8xhDF!Wz& z+EKbo@v6gov>lR0_`53b1OJd6=I@?RmN_G145??$15r5?aC#OmoVos5GaYm}1BobJ zF{Bf@&<;aq%@`yEt$b%g-h+$hrGu9Q0c4q)(EFSKi03$B1N)WSA!f%^G>0J&PHegx zU@U&%5S|~1HPxgTYvaOQ=sSWU8w+^*9b(P^ACoW_K z{1;0HmvSezD1*>vke>bAGlXkk+boDFV+ucUi9jw`&^ZHUA!$y(iKVfa<`g18^#|y(^R09sekgP=gfi46DGuWZ;q~^v3zwLRrUw9Svtg&%cCy6~DDo=qOKjFbvvB{-B z2AH?s#Hp4re*MG(ddazh^(Rpl{FocX0^~GIk5R_}N?<@De!}`C?*0=>Uj|)(zStFN zcu!rDqt_^E3+UDP{n)3<59j91FN3jf>u%`!x%BHhuRsf-;9=RB+f#O81Xi2ks$z}1 zcwP?EeBuZ4u2)gRUgzWFU6%aS>5pKy>h`n}njCwcau$vZIX7OBSV>T4l2`Q8#R&g& z#iz!6&l$mYKI6K>&uqqa7S0jxx658;fru1-pe+vBqbJ>s581H>dpv&Ma6T|1eAUmAQbLqYX zs^C}wJw-MRAKcTmTh#N7dMR!1$5D9km2~Q!_>lig*{$u{5)}%T(C|9X9=NT;|2M0; z3DxW@)QL@GpQ+!!jc#t>Ja#vxr4TR^91EeqAH@`u&(hYVgzFx(r@{_os)D->L)n-o zHgpR*qGyzYpB-Ls^^8+PfedWpO+%}cho}+74{>u$+4M=ouVFI4_bHjM^iua)9B%&7 zz?Ef`1nD?A4ay!Gke@(1sT=C<) z?qMADpy1bzmU?!O#G9*&sk!1hDVU3YZ4d zidI9lwCeYgT84OC_O)$G(g{1jnEhyXu1NGpbq^}Aq^<|byKxT&Scy8|w!>#5b9O}F zV|t3M;d~I%PRw%)6kFn>>L26qI;vCbPZy~{&gMyX)5Y*t9qKutT%UJg>E9MEBm3%o zsf2qO;hyIA>$6b84{tn0b)X!3Ojm!4w%@1{-Klcf>+{5jEh-8pY0_ksAvY7;DNZCm zgVL-4wTXM32$Uu5KwIzv459> zu;T|#`TuY4Z2;sb%DnNXdwOPjCcTs1%_dpGu+%OCOyUwJVZni0uy(VAO^7hWfC)z2 zV1(##yaWH}1^?*PESs>23rrwr;35tXG$8UWh+g!Nn}h(1avB8gk5lmL;>rKy zIL^Gkr+Pm3GYJXk-+6YYKAx|tdaAm*yPodqET(izIj#sa^k4T&JuEEpNv#S&%9@I= z5cD7j#-T#2etlyp!xYBI6n0}{f z;zoZYIXpF%lFOxgLf<0gpW(!>{c>#elgg$4m}w_yE}fDjdww>!H-3Q%#vjDk-Ir-J z-8o6<$M~H=3SC{OPgz`W0JnHJtg2%?g}=Pi;2P#Nr|jLhLu%gkwfuoD`(Zc^P{3SMAG!lxLV%?s57HuaLF zXnB`X(e4(El-{U~Hzw^qGzeE~c*Rp$19Vi-=oH3L@Z5xYseiWB+z_N@%NA*hMq>`v zwaJtlL%pV{HYzLHB^+D~I-PY}3<+_&&#tqbW{VrFx?A|i+h?3cPM;sI2QF89P(^z1 zY}#Pto1v{CO5RHIGB^rsPzEI(nw`_COB3zut z@p#z>vYAh~7p!Y?8jqTl{7gKu;#SAMqJOaVBjN1Tn{J>>*I%qXvKHntFaf~Uwc(BgB@k<&;|Z%D0%<#ThWwn-bjb(Z<$GdFK!e zNt`WNL7cz}r7oY?AmnL4T6Yy9A4Huba#1kFy6FhB%u2;udFJg#I0bcG;>8Y&{VLxJE4np?mI zhH_L-hef-TwUnuOP=&-8@z@e$FlrQE=M@gFkvxnaP16{(p`4=2-)gzQ)3rS5FORNm1Fp>#NOID1f;Pll+ezX4fbae&ZS6fUps}t64-hVIShx7hWyoDJ533L^Bc{ADjepAX9@Z-f?kNx}k&7{J6NgNm6 zOXBq1cWXZ;UZEOG2TK?5zu*7w|6WLQ%sxrpx3OR8cQfzX(f+kXH&fGqDJ@@6*FnkU}@DIH8*6?rsX8)USzB#`i-c5Kt_wjC!*iZJ& zw{|EjKQhnQJ_K8FC-aQu0sH<8{0gA+(G;?tU$cPcy!YM75{6Ut);eoiERI0|9lRf` z29KBd%YBQSE`8%N&pJV*>xDgS=X?9M;{7|le=Em$FHfhh*X45AV}O<~;wyQWrv0H> z7PK<|q$gM4=h*uhdAl%O?{PZ;*`-F#rf&M2cmkHYU*NDD23ws(7p5uqU}5#{-5B7eM>v-rCk{^Iz>U&{ZE zLCn8G9{p2-pdjLRC@1>O$9tG#&@~P;J`q%aAu6&5mzm&fu>TLw?_*``dNy zzvaV`h8+qsegFkhnp1pMBn0lZ-?Ie;U?aFJDc6{R)Lq_ z&n%>MtT0CLD}W(!g4UxqkHLGDUf%O57Wg>kbAJhh#3_tABFyj7Kau9g>JxVat^}3F zFNF^>hi!w@iORc4L{SQtHI=HM6c?C~D*@iTP~}Xy;u3n1M{x?vaXF4fKJLxOo55c$ zuSUq@*1NLZtvLIlax7CW$GREVW}23dt*CAXUoDTx50Nne_R#{SDv=G8Ti*I~K7TE1 zF6Uzrh3kVn^y@fA8~ccC73;drJY#TsjGVXMEvfHo zM&F(s=p``8hvt`@oOq!U|0O!nCHk^eg2^f;WPt(ra>EGVF3mlLcID6r_=6DUa;QY^ zQNpSqxKISvXgO3sn+dxJYseCJtv*$6|5}4G&fntL#|yVD+4}|4J#%Hor0_c5rn>k| z@9j>%XZtbxns3~&=H=WrbZGk0#rqg;=U514{NIP zPDDQpOPN@G?N=%|i-XM@JtPpnc&+0e*PF3lYK|B}FWW)H2b;k7WSTt)doM%a0SqX4 z(5ifXqR8`Y4#UtSodnv)%!a6u-e5pwrW{YouS~uW0Kf#gR5Om4Q68GHr~{l`^imhs z%GsiPa>>)r+B>duX50Vuq+?GF+4YMk66^V}@2Xuj!8My7s!t6k9r<)0cD(ygySeN0 ziMV|>)=zwMX_%(RjclSP;Ml1GU`)%>pm#6 z4fjBa<m%$mxiE&gVQjcP8)_y zh#K70Jf2ISjBF{RQ&@M78WDBbqv3XZ7g7=op)Fp6OHDSpuZbs458Nc)9c(3IA7;s~ z7LXIgnAaF;I54;5!te(QPTLq(4!!tu8{LUjygGF%4qL)zc7x2z`d#dD$eiU+mort5 zpVk?<+BER4JH?@2Sy#Mr^yhm!`nt@2t4nXXXG%?A(Y^&QQ2+Z{Rz>&1NodJkFa7L6 zrRWB7A8)gF@%KAzd(9LDpNN|0^jsTgqm$e4{&bW2zzwzEF}@oAmTx%LDW*6b`{396 zSeDIzYryi+P+qBgdYEvml%OX^Sdwx{E_CT?-?iBi#aq-W&m*nOVR13}fA~=hLHHP_ z;02^}`O-T}zS||}-8~3&x9E#HB=MdiY9tvu0xJ5uoVErnaVgNCCnHZ*7?=gNKpV_W zt(PdQ{!>E?H00r*n#z6;_!LW8Qt5TDT*(jsv2HhNc4(7(6jpnJHD^q>G_#E z-Cp(O%jHX`+vctrJ-d|=Yrch6?Y_Ms{`=rCX@{54zH2hepg}~7N$dHA_ zXGY-1L{emRA!HonOsSOY>jpatGAtmJ5#qNxLz~k44ir*$$Oyp|#BYn)T%%67opC;d zdqKBJ83LtQb-0GJRCAQl9b~l_WOm{cn~o9cA~R-@xdcB+MyGVlplvT9baY4#;UPy3^lJaBHI|)l*wgRbv?S}LtFbwCzB^`xAfgNPmC@vZN%rXM> zy38PuE)&8wIFXi+UIjyL)Gu$6yc*@KWD5EKJ?PTfmDpYuNPalvbBw+LffG(`RPpd8 zqK_yXOsET-jPz}^6N)k@DTOy*A68HmN^V-&_nn=N9T~i4;1P%}-}s%{8@6q_ep6G^ z4UWC3I~2Yxys0*jpwKk~p^pS>CD-4o)y?)hDHXcdB4rOgNVS#&BO`5sk;n=1+6F~i@}yD$2dl0qEQ%>AjtbyVZ6p62fx#Sj z-LKHr^5*G|&>Hx?Oa>e(I@Vd;GmI+-v?Sjclwso)Obe3>W*c}gQBDBma*6mUPYREW zfeeTUF){I)|b(3*LJe^f{GG_^9mXejJ10A@9`WNC#Eydg<)q6k|D4u%`i2I#qQmV4dx0|ojhSSFg{Uhl|D*tYkd+_bS z9)`9o6FZQ6ZUX)?l1{R|k+^pv&*iFjqM)~11{O7Or$t=_J#RN(&{K#<>MP)nbo_3W zd!*Y{)nB#1|9>q|@;G>H)OX^l)ZUTHT{>SzhnrzS(6{b#LY5;!%&#aEb&F!^k3YfZ zPpiC|vOI${@*|gDN9IRJRO%Z^JW_eovkd;|?+@4`IT3g(1Z5l}__4J2M+s&1`eVO8 zD0(rDm?7US3lvxKj#$f%oZ=DVeA0p@Y2hnofoQEvzy)r-*9K^d4=#+r1!0G1@#8JVtOf4Fr7V`Sohar zRJa#1ZO~Y&D%KAVL15QF0p?!Ags%u97Hzeitw!4IgC2bEtdHz|1CCV3vir4@)xdk` zcuoQiO1zST5VgchSMZfsQHumV*T7y^F1~0Vi_-{DFW?z;)TfjAO6oM0uLkpp!o|ku zV})D5kmR6b(hO(e0(4UxWr~zcL6cWdNuaQh&+K_95epc^TA!DPDjTY?V4uw3t;#|C zL2E)U{^K=L+6G4_yG8lC&4L~tbm=?GGc@>Fi0O+XG{z2QvP2KSw<#3c=%m4nk;!J_ znREs()7`c;=Cv+rIno zVEaeX7bk-4CpjxF`jVe+M-4K`+f#U6B$-WNr^i$#If(B?4EpIxg&kYrA09-Ix;q>4 z6AJf$+x&1LqhnQ(8<8mvogyB?tJq080e?!KI8bDoFi{j|F2zA%P;kusq&WuTurz1% z>#!>CH!;{h?DW>6VsUIB;js4}-<3c^_hL6;a==#rbPP-m&sN+k!37b=f|(twuQ~v!G%w5xECf=hE@)&Y)8$Ec)!zcrylI50edf=rl^hA()G5zPC3^P z)S~aV40WJM5g_ytd-*?q|> z1WPFnv_rFGXyz|~DkP3T%oQCAij5nKcrZA{%oxDB`3My!3#G!$I?p)$7z}d|L@X!(rf|t?T=i+`}4ERJJ(`E z;9YI}%0Q{cC{8ha(0gDAJct$ZetA?idWS8*he|*8LEtYfzr#iU`4)${$Wo=|;}c^! zzQvFvWd5SOM69OXwgn8FfxY*=Jylra8g--4>)ko1e$9eOsS1bH0^A3sn@aQtYcG3d zAzxVGePK<`KO-LMZYZzbeAn4LXoUk3Gg%vj`U(!>9)b6RKvq!0aeimRM#0Wp~wCS{mRL6u&$z@MN60;;mo z)iZIWJ&trvpa5(iGYa+}|5n$dP4B~_QdKyd7Whrwf%|26&t(1`&ho3e*gxrAoQ|@E zQJQ^tf8@d*$jA2(vK^=e_|Zsws0u3-3L^X)1)IpwIQ|Z-QlrRK#M`w1`!exm z5pTO$SLxj7fIGow3{B&`j?K~JD&}ok0DerYOAoP@k=scZHA|%QPT*<`=R%RInmAMo zhyxHjgL#bIGwz5P=PWz`{wmACSYVi$#e_3V%E)2&KEUovBU`2%SA7gp8{;qr+IgU$ zuyj8#Qai4T1m$q@R%C&;cqF}=Kk^pn!pDepDR;iyW?AQKIPxX_8J2)Ig*+JlF(c(- zgWCtcMr+7-!k?jq-hn0;=OH<|FmKU9doz=xsa4F|w*dB+_dpbN6+0x4i5D1Z1%t&mPeSI29DEUf?~Hf~qp(Tj0z*NsP}@rMx2+;A_q*yt@{t zt^vKf_Mf!$lkwfy0u#R%RY+Ik!(#!qDMSAAykpw8{JXA(sGgV)kL62R418SN7nUnEW=?7;4Zzh^}jt^mn2>9Aa z;(M{4NA2LssB%wif$O)4oPIAeGyY2mCVz1eu#p4BK@|?C1wPOAReAd(9uI2h3GN7A zZaju6{?IMp8`?c>*w;m#apm}mET-x0MaJi;QVz)ig8KBkH{L4I*wekmpE{euULJ$r z1F6Dcw*Y@{RfEdMqayB^8gHARPmaqpN~(%D2n%?Rx8OY|Q;Z|U`xe`@6jWI~4#u(3 z+d?NMHLC$x}K zfkOZeO_ySm9ya5ssiMcTfDnAW6CaPv_#!c0+Zd-UVj|uu?GP;>$qnWGl|AFC2ytIw z-YWLUxSUnO!C4>$!vcg3#| zEuare%q<3(_z*f-t^(Q6nLedyXU~hbHy>^jZT8i>XuO5F?&7?I>1Jo z@l9l#vS>@=f;)~u8@fU7W4iL>(@2uwwstDk54vr&GSUO4^J57vb+SpwGM}CzPhyEY zaA#y`sWB7~jS6gLZVI)Q=q#k`?{ZZbl=sPHoj!;lgB@kj*~`tejV*90AYPI4GNT`* zFa*T$J5a!5!fF;Xn|*`_hU5I%bIY0v#8k_kzV|)cnnvnoj(QpGz>A^s>ov)llG2~+ zvYmbZi>*G3B-0Jo>S&{B%J#n=%ux;>?5^4VF(%Y|^3%wMwhnsM>_WXeo}#Dx@-&QN z=ojF!g80N?2J|FJKlGacr!n5+S(C|qB!%q5XN-3Saz~@qfwPB>ja~x^EYEaeR+pNk zqy`H~;ghvgctn&r#sml{qF8~3w8YyUJSy=(oh1tRgNhI2{lN-_{30Q?{WASo$_Caa zMECk12i9DSn#s$OKM_Y!ixG2$%^yWSI=i$lWnALFb4K@v6kVT)b`P9*4vFcuiEmYd zE}N6<-yqis2ZmO{Mi@=!e)TL^B|A+?9As3JZCu|dX7rgeW5=M!>g)Ok8@3^5vJo_KH#YA(~+?*zgh;Aco zY`~tALHBs6nkaaxHP|xm6FE8|Stn~q#IAX@o;IPCPhXPm^-*MsGY7}YT@vQ5&-CLX zK9S%q(eN8x&z~bo9lyJI*{v-r{`TX)3fxYHz4Ww(O`S=q zTO18GL?vB%`T3jc>l#~}ms+})Pa)%jfBo97W@lUQI!b)pxL#5y8MD55;buE|lkbZ2 z;!sCFNGIA~^&jP0xeFqa&U14q-&60MwHiD1QHJPM_tMQOux85CS<&wE6O!yWsS{|Y z`6YU(1!rZtdF`sYhD%+0o6~>(iBBmC*w0JhY#*!5ZL@ zAJw<(>@P_=xBV$I9v&{|J(%C;0&Gj4Rx@6XZd?ycLq&#Oty+p5f? zt|vNraLx4X7iP>kvzD!ycf6!nklZ;9_lT1&au@yNt7OPmRQ-%bX;8x}^KZFAQvK@K zODE2{J+eG@eztcrW-=@7v(9a(4at2cTQ@3l{GV8O_qH!gW`<9$IeqhQ7JM7>AHOT$ zT$C^pi!XTX!n-8}+^aI*tC?Mp_ZJce9K(hO2(#+`lI*S1$avcQr*rGiw5B$$%&wpI zQ%To6y@yQaQTN-oE?AhvoO$8;ivsCW25UD*)EzY|i9Yk(Gjfl!Yt0twOotlD_~&o* z?n$|+S>Ih`ZSGx`qlG_Nan+?AT|JkpJB_WM$6P1W4>l&`EHO8p``A`VACND&r{tE; znU}ecj`EYS>f~m>IJLnHb!@%(xvM3~H!NSh(>Wt2v(0@N=RcaZ$RBHq`#+CajOW{S zYEYkE&^`APEe*cp-9XVjy*SmT8#}j0 zb{Y<~H0EfLvWDyEiUTy`NH%9AVxs)M@c)x}W6uR--v90Uh6n!lO0j^9(#T04oUxq&fIv*#yX))`;OU{lX+(2(O0hh?UKO8?o*!cQi^uJ{LA~S;jg{_ z8@uLOahy7%iRHik{`xJ)-C=ya<6mbg`l4F!YM4IfI!B3%(mx{l<01ZG}zk(BGn{OI}D44rfAucmB0>4P-)tsJd? z^uN2`|G@0O{l#JB4D+>-Qc3ZjkKEANlWqDn`9AuA zc{?{=EsyVc@9&Iog5PI+>Y~}dj&A8lqRQKs)m?CP$J%J_{4f3X?(=f=;DmRa-F@Qi;mK?kTty2yrt^mGmw)B0%$uugUS2dky6|RLyRmcLnSFPa z8qc2PCjMXivi5{?%+#Z57jlTS2y)uS9>ZM=dL>T=-&6eUi|Js~Y`gy`b$2MzFR3?jA*q?G?rAvr z_<@@FxQV&t?rWOXEsy_X3SDD9MD(FdU9TKSOlGoU_Bh!GLq8zjBeX=l#~3>0y;;-0 zxB<5ZbJM=hp0=zcAGVQ}rTpPb-Ez(=R`2|O#MHleFxB?e=cm8C`merSJ0(Zcjv6@k zS5N$==8Ut0{)?|6v#ovQ-_HGY%o-Xzr>|)y#@W$-xODdwKi&}9wZ89ET-PKr_EV2t z8MSVf$K1K_Sw)HWN!m7T?HAv#sO>7;)HY`S^Y%ObbNTGf+R(?IyGv2aQQJE@KUI_M z5XR=E%ZZks8oi`@)js2^wjnksNl7<-(ffuzTXV+ZDFaQ%llk6{;(Wh0Dn8NX{`Rt6 zMAvQVkFAeI8aCQ1rY62bX8go}{cviZE8pn&&imIZ3N6muHsy@3Pi86KEq9~?O^syQ zHMJj@`I%>%?HOHk!Cy(*y=GROUDI5W=PsZ~DL-MVbwcV0;(hC!U-#AHID58ZS^bxS zs}oOO^_Sf@zO3jyx19OAQy1>K^1uE;E~KZ(Ozarwu4}a#&+a-Sci*K%$DV#ll6)N} zt@_3$-#7k+j9>5A)6wm$ZtqI3-|?>vu>U8oo|b*j=6n8qb#nFDIWkY#^TfUt*Y~vE zf5UM{zwj?a*{h~q7JF;Wpj~&<2S0&(wuK9inYQ)P)ak1(Ts3qz^!MacW-z?*<_G9o zfy~i3pS+q|SU1CJoO@Bn1=C+4`hT~s-u#=I+Ti-DeYs;ZWM1{f)kpp4)7^F7T5No8 z%MMA8HZ0m6wQd{H+)-Jv@q_BY4?R!xglf6AKOCFec-HaucmF9zLE}d|<^O!GBoN{x zChf_=Wfp!IN=XXKaG6p;AxrWhZDAZ=JrGe-v{{DtCsz> zw7PzL>hTNrT#5PitDlVw9esy5Bl$Zw_dp%dU7go2?VIb*Exc;c6MuIq`TTzIuQi`* zrDZ!`ee>_{&(W`j!h1iq@*j^|F+bfoa}F6<_1eqZ7jBCWMj~78!ujAzB_9~R@bjO@ zc7N@>hki_S$J#4C_5b~!S7saC4b$6hk#y}7tNzzn@0;|xs4gEu$IwceR)Rw z1UK`YA9b9QqvJP5fAEE-()EoJg1<@HqHxTUP!pM#ISvL=_TImHDV`+b@g)yD+W1(! zp(pg>vrB6fWgooiraK>`pNGC{c9=`Z*b|$6R&>ehQ<}|X9}@Q~`p@$ldvCbM-qm8s zHrj}5*9EtJWbtBq&ipC4&duja>bq<6$6BUd-!3}#)$BN*%ni?<{JzAdt&Lwu%1--2 zqT!u)O_$r|_3Y?f`rMLJ$hW%dGm-CodWTErue-H*$^DGq*i{(aSknwQSAT zS~hH&%ofRem+X4^xEIK08GEj4+}7RP(0uuer{A8V^w4R?^;|btkk^)X1qH)-3)dDX zoo@#}m4?LGeP3%|(M zC7GY))}1BWm;IcwC%lRI)}8mQExy_>(Drlb6HVlse#3|Et$XYm=gjLi+vWq3{_du) z{7BBd?Z3|d!!~u^J`^j8j9{(>te&mHy<#sE0YFCbqd+y%L zcHQ3c+CwYuIr%zFIdaQc=dJj_vi4Q>8>e6L?~2T)>z=vgOPJ&)hRz+EYi>Sg8Todb zFK!IaZLdpCowxH&%*8JbC9)^pwXGn%Hy#(9j>KJrI^gUCASa+zl9FO~&~59+irPjH zvSc-i1q=Fc>e+SILL<~F471O4u_ov13b`>#^Adry9E&Ko0P7dAl=SsEmag97h`TnE z5pj`TAHpGw1vJZUOm>()%Sx<4UIpT@97XMY9RUN^rgdgbZP;=bqKC`?HMq&) z9J#v|TpQM>z74h=>yZmKST^W}!m2vvOgo<2Z7^N=uC=X1+}N%Blt9&ufYq2Qhmt5E16kBsgCpiu_dp5X5@oX+etH=j~kr?i^>Ju?( zqAQ@vAgpA@;X+9E90y+vKwW!-+qjN8jF8KxCx6(bo~(4JdBKJ-7}AfXvAikNwdA;Q z^npPUH)-UEI(A>D`@m=2P@m{FWN)}J0eKZ_5Ojx(**)sI8&JPypJAb5Mn|8;eQ2yV zZLBQiNahw8JVsQD*&h)QoCJKmr27~xr=-d`V($Vh+oM4pKHGK=+>nzLGaU()ZrtGVoB9(^o897)^5px z_aYY&ncWM57>9Rs)L0QWMRyv#^@(-dgvPk+$XPuu?a~{|`D*Njc#1CZ4TR$fqF#3K zpnh_*`511|cvLtMOyHA2vo+AgiDA%3Zo-+3API zBos{y$~0|0T+$Xs)GE|J{ivP`a~K2KFrN5-zwyIO|MSM1LlY}2Q4Q3&3WwVQY}2vq z>W(VN5Evr(RpH&Uz(M;m-@U5-NtOJEAN+9B5DgPQTk(e<{ABM$m9J4EXH3LUr5$k# z+$hll>WCLpEnp%G;F1uFo)d9YX>Z#CqtC+?DkbTArV3m&b66~ZD`viVJ1n}YN)Fxv z(|3g(wPvbs9Y0Mt%=a%7!&q0b6H8R7lUX3wI&U;?&kmO$#4yOe+<8sp^L$AY&>ZRx5H0=TFihq*5EYxIE2xo&cNG~3H z()tXI>1o6{KzP(@c-Slemk2I)u&=wz|A5kcLMe6FN~j*8cr(?f5fp{vS!xaVj)VAB;hncY!H3E5 zPt}1xSMko*^iQ+I{rle>dTYo29M^vT{{H^4TJZyZz6qf6@mM@n+>y0F;eE_Pd}K?j zY9G@Aa9=7s?FJaijmc0&zD)~QTHXuCRfQ!bO~WIgRX73`z$(RLTD@ClGsk?2gDM<8 z3n)X`r#4?ZnL;C0(kyvluG7BEzU>&vlmao(Lep$t|p1Q_^N zg~MrqdiG-K@1K$lfJ5&ss*YRs9NCAEg%kEv?^J(K-B_O3sfyowLsMZRSQ%tP_;nS0#yrCEl{;U z)dE!uR4q`oK-B_O3sfyowLsMZRSQ%tP_;nS0#ysVyB6Sgp~`FU#Enhu>wf!kAsU!h zOjZ)|xhj2xeG0bx=?J?P@P0M=i5EkR#ufPWBk>bHLa)lV04RwSQ(69=-UOf~kw4P( z>=CTr*mgC2CA=X>1HYRYEIkD8A*Qq^mE3gYyGj^l#@#(DaC#-ryBsQ&i&`o~iYe1D$S+rSe(Ho+eU@Yp1v<>hh6*h`%$ZT~nP zy}wV>9~+PE>5q*o?^%BWx?;_-@%8KawT!F3KdR+l<8Umn6=U1R*RO@fub(ED1tU(c zIU@W|!^@fcccg(o7yZW!?@;~BN*gF5$ryp?0PUmsS!NuNgV=|2xAY<}O ziqr?)1YBx-nm%5}CwujufPM(1@*-m+Zx7U*;P zArC&z2jg6BMyHV_ALQ!sWs1m7@G$~(5p#=l6LcO}$FMLS|Btk@9-)u-7*O@l&GWI7 zfjqvpa}2-7+OL2FV60AqQb&OgG1rsJiMP2FK$hF(OCbPoJ6aLPKzG~>)oCmTq8Ml$_lLhu$r)eTZ1yV4xpPlcJ-!gs3P&#RTyevKZck>0Gn`q*dF|44s#G+ znoc3V1AOaHT%MO%ghT+H`ioWIpMf7O^J=d3Bg-&*tu_hO<`Bn-PGTg=(Q=3x43v?` zApryFqY1j274lq%HpBpQ4;cv9ek>o5h}QrQ9*zn1EB~OJ^APg`g_!G!B=os78xhw} zk0Iz`K5j41V@y13!)#6zJR*g$Pyi z5~zy?sShFVydQ)Nx0A(Pr4u@%8wFW`T)yHqNhWD9&?vT>E-(sViFCgv=|BjNq>JnV zN+J(_-D;%qxg03>cvuJi3-v$>W>p@p2QsK4>qh-Jkq1>sAkN}v;3x6RgR#Ry=`Y(C z>J=+cIaWkmuTfBW=8fB_YFVE|3_gZhl*9WhvOL&nD(L(bo(SX`&{ks@NSRUqW%x->lz|?1oJafl zHzS7_GA1I1S_yH?u}%tcLq3njX_esTIx_G)!SXY0%*ySq#_73ABB}Co0;+1F4aUQH zd61fzj>4L99u8YnYTc~gKt1%BRfvISF!FKnTZ>#&p3mS)=kxg{d&d>fffRy%$V={l z9%KNJbD6%@DXi1FhkYj-r6I2xa%4Sv2Pjzvp z&2EU2Xf$H@&RnnsV;42#qVfG&FoF}`d4jab2QSYpj_HEx*vJBDS2}58?H^&QZjxG)4&-QSxOdOtc20Z0apfS zM-s?XC=Ppxaw5_eA39k^78Im7Qvh7z2-EE0%Cw5&Oo)NUrgV5z^Qggx;xNx;(H0wD zyrh2JCP$h)z?>=IbAWLOUp~{#VL7l0v`$e!7v*5f^O%{o!-1Fs48)lAXtWh#7xmZZ z&nDuKfjTZ5!WhN7O+BultrfQn$hUPf_4 zQ4R!AbrcbSeQ~T?)Q?uPiV}c?>5UabP>&i{#dYq`0$oRl3Ks7!9dXF(0}L3BVIYLX ziU7+1eohHVhCs|m=18#fyEU{h!S?8q2a<+7zmYHBLxRj;O4H+)CB38d7wXUY^ZedK zj=U$QxNR7h8UdSmw)1jae=iRn>EU#YZwuygUQHd)j~E2eeg>t(qLDc#xbmnA$Jpc? zp>79PE?+^R`GvwPgCC$mUSom*Z-fktNoRhgdj#PK-~m5U-^e^>860>F3WehY;enNp zb(G=yHLE<%AfudEOoOK!tOA?Orvvn=4t0uy!wVJFEo-7%D5ipek&MVwJ$`{aeidNk zFpn`*h->~%1$0?S%mwgiyq6}UQzu4q0bR}q{eiqlv8YNR)Kq|jf8#)bzYtflRn)(d zbnv-?6SL~8)GpnF_SF_FzJLGlZ-!|9P$6H6y#v0KpDeWlD=8E4WGC?j9z6Z|isDAg zZ+)Be3UR(>!G+EE025C5*|Le`+16v&yKJqZP_7{gscQU=SiqZmD~e)YW(A^}Icyfd zF0=a%Oqq}q^9lF@r_CFWM>$MC<<;@%Qq%1Po@Ob1^$t-()80FABjJheE%fO-o;w; zqvNq?kvVy}&&w`%$mo_uWF z`j4l-pwDYx+a&Vi>o4e;JkCwda(Fljm*!(?YjMXwD;gf!^JuOmm)rBadh|}5MsqLc zo>7R0A63uf{IrXnct&61PV+yio*1PeM=$T9A)jA7lk??vO;EljVXdfh>A6c6hB~{J zUeeheke$fOOP0!ovU8SL9OxXSKaXe`MVRnpKZ?6_gEFx@y^SVDs0Q`choHg>rnqf202 z)HP8WR_DlEi*;rq(Vnw|x3{iAN$?r4KtF;lNONO>4W!Ucul^^nKce2IKyEl*PS3%E1{$nMelP3qw? ztaMP2vyK9tvjrHk0h-sW=o+$=(MyR&7?$Awp;=_2EE)(^Id~Snus3Lk?!>Dzr;(6g6N8(6SuI+Udpq8-p`! zm>4Xi@@YgKyv%pzzONUulf`NT19YOsx~}B+(jx6;nNx7Ur#$dzKs^Ne0)>|qXq(H1 zcJM=*@rDAO9V2;g^Ko2hGTHS&2oKpG!gD4*q#-Myhy61rFj>=kDBEBYo{F*rHfR+F z2wV??>J=iQ9NYndod~#KA0#f zYOgz-9EOAA(Yea(TjVa#zDgu&hk3YobfBpT@=Ol^uVe7bRv?VHp>XVAL&TaLXh2{P z)1ouXK2_U2ErrH+b!?mGqeug-auOEJSWBz>@2R1hl<}n6xd(K4nH%d7$E~GR_SJhR z07r|nvyY5~|B1miZ@mg8*1pwt3uP}sSEB;05T!OB43eT~kivru$3m$UD zw$s1^a1`p-hTlUd?sXubCjdPXaS_bNYjE#55)|%j{+`*WnA&eEFibI%<1Xo*2Z+ZHELQ4bCs=h+6zqE4H&u3GE7BM zmFE;Ur3_fP$mcl?-v^+dQ#1!Zmp?s7AQU0(eHZDfDV=!$SXY4RsQphl;gK1KmpWXiK^}^o` z;RGeZwd^a4IBsp+c7yObvS$q);aovsu~lnd0R(1<(04Ct{C0Q{CsZeXCJkoq4Sr`w zZEc(cE_rs|9-0--z){*r!SL+aen?=H#1VWKKFxkEp1db@cb4M!$FmfIk5m&!2HL4yi8SLnk8x?@r~m3P==3Cj5zMm+y9OEH zqf40Vq_SO&P&~P^gV3pG&ms!0u32LW_eOcUJ-r z1|VVK*#Y~7usyHc>>UWg9pBiB2{D6<;kkCqu~i(8Ts7Nxl&@X%lNH3ZY^?}iAMS_8 z-<}5_rgYA8ng(heyFVNsTq3u2C;FThc5D>R6370o_t0Q3S6RF|VX!1SR2nJwruOf()x{^UUt-J(S(-0cbbdmx7X!c1jSXybf@00TeC z3BpB+_<;nj5GfOPGo;(AOGO}bg0Iko zm(=;O0#s^Z!2Kz!>jT$-z-1oN8j5`oC}5h=SwR}0C43m*T$xv`O~oPxs>)t^uN9gJ zM*w@n8N+`Jh8A<;;PVt<+S1UP&c|^`m=WcX9Uhq;0TN3%Wn-A)i5JsDYjMe?8JO+B z)1B{Z6?3T*g-YD~IfPHCw^Mx@b9XwOriZdlFLlrdvW7V8@?dto(Gjq+s=3)vI9Wjl zLuVYeClw4sBR2qOI~`?8IdF4iIbw`78(>lpdJ@6)HaPiLF`7B=nGX-!uwe=+5tom8 zrt%-45I}4Q5Bo^Svj)jD53C%FC3V^tl3IO?#cb*oF-G@m8V7B<6Jc2X=7udqUKx|P zc<;)A=Sfo{quYSu6iBQHc#LHPf<$+`0}8-#PZ!ig7Btcv+-ZxpsC8wuVZH6N2>@90 z5{Mzl`Zc&*!Kjz!FyD5*s4O=_iJ_W$S1oY$l(;t|;GraCpejCrp!$1vZIJH^Kdz*> SPxwv+@kc+D$JdVRpZ^O$!iUrV diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.cfi b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.cfi deleted file mode 100644 index aac78763..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.cfi +++ /dev/null @@ -1,10 +0,0 @@ -# PROMGEN: Xilinx Prom Generator O.61xd -# Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. - -SOFTWARE_VERSION O.61xd -DATE 07/31/2012 - 00:53 -SOURCE papilio_one_routed.bin -DEVICE 1024K -DATA_WIDTH 1 -FILL_DATA 0xFF -START_ADDRESS 0x00000000 END_ADDRESS 0x0004547F DIRECTION_UP "papilio_one_routed.bit" 3s500evq100 diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.prm b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.prm deleted file mode 100644 index 29bc5a89..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_routed.prm +++ /dev/null @@ -1,14 +0,0 @@ -PROMGEN: Xilinx Prom Generator O.61xd -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. - -promgen -w -spi -p bin -o papilio_one_routed.bin -s 1024 -u 0 papilio_one_routed.bit - -PROM papilio_one_routed.prm map: Tue Jul 31 00:53:43 2012 - -Format Bin -Size 1024K -PROM start 00000000 -PROM end 000fffff - - Addr1 Addr2 Date File(s) - 00000000 0004547f Jul 31 00:53:39 2012 papilio_one_routed.bit diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_top.vhd b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_top.vhd deleted file mode 100644 index 74fa17e4..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/papilio_one_top.vhd +++ /dev/null @@ -1,899 +0,0 @@ --- --- ZPUINO implementation on Gadget Factory 'Papilio One' Board --- --- Copyright 2010 Alvaro Lopes --- --- Version: 1.0 --- --- The FreeBSD license --- --- Redistribution and use in source and binary forms, with or without --- modification, are permitted provided that the following conditions --- are met: --- --- 1. Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- 2. Redistributions in binary form must reproduce the above --- copyright notice, this list of conditions and the following --- disclaimer in the documentation and/or other materials --- provided with the distribution. --- --- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY --- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE --- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, --- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES --- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS --- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) --- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF --- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. --- --- - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -library work; -use work.zpupkg.all; -use work.zpuinopkg.all; -use work.zpuino_config.all; -use work.zpu_config.all; -use work.pad.all; - -library unisim; -use unisim.vcomponents.all; - -entity papilio_one_top is - port ( - CLK: in std_logic; - --RST: in std_logic; -- No reset on papilio - - SPI_SCK: out std_logic; - SPI_MISO: in std_logic; - SPI_MOSI: out std_logic; - SPI_CS: inout std_logic; - - WING_A: inout std_logic_vector(15 downto 0); - WING_B: inout std_logic_vector(15 downto 0); - WING_C: inout std_logic_vector(15 downto 0); - - TXD: out std_logic; - RXD: in std_logic - - ); -end entity papilio_one_top; - -architecture behave of papilio_one_top is - - component clkgen is - port ( - clkin: in std_logic; - rstin: in std_logic; - clkout: out std_logic; - vgaclkout: out std_logic; - rstout: out std_logic - ); - end component clkgen; - - component zpuino_serialreset is - generic ( - SYSTEM_CLOCK_MHZ: integer := 96 - ); - port ( - clk: in std_logic; - rx: in std_logic; - rstin: in std_logic; - rstout: out std_logic - ); - end component zpuino_serialreset; - - signal sysrst: std_logic; - signal sysclk: std_logic; - signal vgaclk: std_logic; - signal sysclk_1mhz: std_logic; - signal dbg_reset: std_logic; - signal clkgen_rst: std_logic; - signal gpio_o: std_logic_vector(zpuino_gpio_count-1 downto 0); - signal gpio_t: std_logic_vector(zpuino_gpio_count-1 downto 0); - signal gpio_i: std_logic_vector(zpuino_gpio_count-1 downto 0); - - signal rx: std_logic; - signal tx: std_logic; - - constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) := - "0" & - "1111111111111111" & - "1111111111111111" & - "1111111111111111"; - constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) := - "0" & - "1111111111111111" & - "1111111111111111" & - "1111111111111111"; - - -- I/O Signals - signal slot_cyc: slot_std_logic_type; - signal slot_we: slot_std_logic_type; - signal slot_stb: slot_std_logic_type; - signal slot_read: slot_cpuword_type; - signal slot_write: slot_cpuword_type; - signal slot_address: slot_address_type; - signal slot_ack: slot_std_logic_type; - signal slot_interrupt: slot_std_logic_type; - - signal spi_enabled: std_logic; - - signal spi2_enabled: std_logic; - signal spi2_mosi: std_logic; - signal spi2_miso: std_logic; - signal spi2_sck: std_logic; - - signal uart_enabled: std_logic; - - -- SPP signal is one more than GPIO count - signal gpio_spp_data: std_logic_vector(zpuino_gpio_count-1 downto 0); - signal gpio_spp_read: std_logic_vector(zpuino_gpio_count-1 downto 0); - - --signal gpio_spp_en: std_logic_vector(zpuino_gpio_count-1 downto 1); - - signal timers_interrupt: std_logic_vector(1 downto 0); - signal timers_pwm: std_logic_vector(1 downto 0); - - signal ivecs: std_logic_vector(17 downto 0); - - signal sigmadelta_spp_en: std_logic_vector(1 downto 0); - signal sigmadelta_spp_data: std_logic_vector(1 downto 0); - - -- For busy-implementation - signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0); - signal write_save_q: std_logic_vector(wordSize-1 downto 0); - --- signal io_address: std_logic_vector(maxAddrBitIncIO downto 0); --- signal io_write: std_logic_vector(wordSize-1 downto 0); --- signal io_cyc: std_logic; --- signal io_stb: std_logic; --- signal io_we: std_logic; - --- signal io_device_ack: std_logic; - - signal spi_pf_miso: std_logic; - signal spi_pf_mosi: std_logic; - signal spi_pf_sck: std_logic; - - signal adc_mosi: std_logic; - signal adc_miso: std_logic; - signal adc_sck: std_logic; - signal adc_seln: std_logic; - signal adc_enabled: std_logic; - - signal wb_clk_i: std_logic; - signal wb_rst_i: std_logic; - - signal uart2_tx, uart2_rx: std_logic; - - signal jtag_data_chain_out: std_logic_vector(98 downto 0); - signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0); - signal VGA_RED_COMBINED: std_logic; - signal VGA_GREEN_COMBINED: std_logic; - signal VGA_BLUE_COMBINED: std_logic; - --- signal TCK,TDI,CAPTUREIR,UPDATEIR,SHIFTIR,CAPTUREDR,UPDATEDR,SHIFTDR,TLR,TDO_IR,TDO_DR: std_logic; - - --- component zpuino_debug_jtag is --- port ( - -- Connections to JTAG stuff - --- TCK: in std_logic; --- TDI: in std_logic; --- CAPTUREIR: in std_logic; --- UPDATEIR: in std_logic; --- SHIFTIR: in std_logic; --- CAPTUREDR: in std_logic; --- UPDATEDR: in std_logic; --- SHIFTDR: in std_logic; --- TLR: in std_logic; - --- TDO_IR: out std_logic; --- TDO_DR: out std_logic; - - --- jtag_data_chain_in: in std_logic_vector(98 downto 0); - -- jtag_ctrl_chain_out: out std_logic_vector(11 downto 0) --- ); --- end component; - - component zpuino_debug_spartan3e is - port ( - TCK: out std_logic; - TDI: out std_logic; - CAPTUREIR: out std_logic; - UPDATEIR: out std_logic; - SHIFTIR: out std_logic; - CAPTUREDR: out std_logic; - UPDATEDR: out std_logic; - SHIFTDR: out std_logic; - TLR: out std_logic; - TDO_IR: in std_logic; - TDO_DR: in std_logic - ); - end component; - - component wb_sid6581 is - port ( - wb_clk_i: in std_logic; - wb_rst_i: in std_logic; - wb_dat_o: out std_logic_vector(wordSize-1 downto 0); - wb_dat_i: in std_logic_vector(wordSize-1 downto 0); - wb_adr_i: in std_logic_vector(maxIObit downto minIObit); - wb_we_i: in std_logic; - wb_cyc_i: in std_logic; - wb_stb_i: in std_logic; - wb_ack_o: out std_logic; - wb_inta_o:out std_logic; - - clk_1MHZ: in std_logic; - audio_data: out std_logic_vector(17 downto 0) - - ); - end component wb_sid6581; - - component zpuino_io_YM2149 is - port ( - wb_clk_i: in std_logic; - wb_rst_i: in std_logic; - wb_dat_i: in std_logic_vector(wordSize-1 downto 0); - wb_dat_o: out std_logic_vector(wordSize-1 downto 0); - wb_adr_i: in std_logic_vector(maxIOBit downto minIOBit); - wb_we_i: in std_logic; - wb_cyc_i: in std_logic; - wb_stb_i: in std_logic; - wb_ack_o: out std_logic; - wb_inta_o: out std_logic; - - data_out: out std_logic_vector(7 downto 0) - ); - end component; - - signal ym2149_data_out: std_logic_vector(7 downto 0); - signal ym_audio: std_logic; - - component zpuino_vga is - generic( - vgaclk_divider: integer := 2 - ); - port ( - wb_clk_i: in std_logic; - wb_rst_i: in std_logic; - wb_dat_o: out std_logic_vector(wordSize-1 downto 0); - wb_dat_i: in std_logic_vector(wordSize-1 downto 0); - wb_adr_i: in std_logic_vector(maxIObit downto minIObit); - wb_we_i: in std_logic; - wb_cyc_i: in std_logic; - wb_stb_i: in std_logic; - wb_ack_o: out std_logic; - wb_inta_o:out std_logic; - wb_intb_o:out std_logic; - - -- VGA interface - vgaclk: in std_logic; - - vga_hsync: out std_logic; - vga_vsync: out std_logic; - vga_r: out std_logic_vector(2 downto 0); - vga_g: out std_logic_vector(2 downto 0); - vga_b: out std_logic_vector(1 downto 0) - ); - end component; - - signal sid_audio_data: std_logic_vector(17 downto 0); - signal sid_audio: std_logic; - - component simple_sigmadelta is - generic ( - BITS: integer := 8 - ); - port ( - clk: in std_logic; - rst: in std_logic; - data_in: in std_logic_vector(BITS-1 downto 0); - data_out: out std_logic - ); - end component simple_sigmadelta; - - signal VGA_RED: std_logic_vector(3 downto 0); - signal VGA_GREEN: std_logic_vector(3 downto 0); - signal VGA_BLUE: std_logic_vector(3 downto 0); - signal VGA_HSYNC: std_logic; - signal VGA_VSYNC: std_logic; - -begin - - wb_clk_i <= sysclk; - wb_rst_i <= sysrst; - - rstgen: zpuino_serialreset - generic map ( - SYSTEM_CLOCK_MHZ => 96 - ) - port map ( - clk => sysclk, - rx => rx, - rstin => clkgen_rst, - rstout => sysrst - ); - --sysrst <= clkgen_rst; - - clkgen_inst: clkgen - port map ( - clkin => clk, - rstin => '0' , - vgaclkout => vgaclk, - clkout => sysclk, - rstout => clkgen_rst - ); - - zpuino:zpuino_top - port map ( - clk => sysclk, - rst => sysrst, - - slot_cyc => slot_cyc, - slot_we => slot_we, - slot_stb => slot_stb, - slot_read => slot_read, - slot_write => slot_write, - slot_address => slot_address, - slot_ack => slot_ack, - slot_interrupt=> slot_interrupt, - - m_wb_dat_o => open, - m_wb_dat_i => (others => 'X'), - m_wb_adr_i => (others => 'X'), - m_wb_we_i => '0', - m_wb_cyc_i => '0', - m_wb_stb_i => '0', - m_wb_ack_o => open, - - dbg_reset => dbg_reset, - jtag_data_chain_out => open,--jtag_data_chain_out, - jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in - - ); - --- dbgport: zpuino_debug_jtag --- port map ( --- jtag_data_chain_in => jtag_data_chain_out, --- jtag_ctrl_chain_out => jtag_ctrl_chain_in, - --- TCK => TCK, --- TDI => TDI, --- CAPTUREIR => CAPTUREIR, --- UPDATEIR => UPDATEIR, --- SHIFTIR => SHIFTIR, --- CAPTUREDR => CAPTUREDR, --- UPDATEDR => UPDATEDR, --- SHIFTDR => SHIFTDR, --- TLR => TLR, - --- TDO_IR => TDO_IR, --- TDO_DR => TDO_DR - -- ); - - --- dbgport_s3e: zpuino_debug_spartan3e --- port map ( --- --- TCK => TCK, --- TDI => TDI, --- CAPTUREIR => CAPTUREIR, --- UPDATEIR => UPDATEIR, --- SHIFTIR => SHIFTIR, - -- CAPTUREDR => CAPTUREDR, - -- UPDATEDR => UPDATEDR, - -- SHIFTDR => SHIFTDR, --- TLR => TLR, --- --- TDO_IR => TDO_IR, --- TDO_DR => TDO_DR --- - -- ); - - - - - -- - -- - -- ---------------- I/O connection to devices -------------------- - -- - -- - - -- - -- IO SLOT 0 - -- - - slot0: zpuino_spi - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(0), - wb_dat_i => slot_write(0), - wb_adr_i => slot_address(0), - wb_we_i => slot_we(0), - wb_cyc_i => slot_cyc(0), - wb_stb_i => slot_stb(0), - wb_ack_o => slot_ack(0), - wb_inta_o => slot_interrupt(0), - - mosi => spi_pf_mosi, - miso => spi_pf_miso, - sck => spi_pf_sck, - enabled => spi_enabled - ); - - -- - -- IO SLOT 1 - -- - - uart_inst: zpuino_uart - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(1), - wb_dat_i => slot_write(1), - wb_adr_i => slot_address(1), - wb_we_i => slot_we(1), - wb_cyc_i => slot_cyc(1), - wb_stb_i => slot_stb(1), - wb_ack_o => slot_ack(1), - - wb_inta_o => slot_interrupt(1), - - enabled => uart_enabled, - tx => tx, - rx => rx - ); - - -- - -- IO SLOT 2 - -- - - gpio_inst: zpuino_gpio - generic map ( - gpio_count => zpuino_gpio_count - ) - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(2), - wb_dat_i => slot_write(2), - wb_adr_i => slot_address(2), - wb_we_i => slot_we(2), - wb_cyc_i => slot_cyc(2), - wb_stb_i => slot_stb(2), - wb_ack_o => slot_ack(2), - wb_inta_o => slot_interrupt(2), - - spp_data => gpio_spp_data, - spp_read => gpio_spp_read, - - gpio_i => gpio_i, - gpio_t => gpio_t, - gpio_o => gpio_o, - spp_cap_in => spp_cap_in, - spp_cap_out => spp_cap_out - ); - - -- - -- IO SLOT 3 - -- - - timers_inst: zpuino_timers - generic map ( - A_TSCENABLED => true, - A_PWMCOUNT => 1, - A_WIDTH => 16, - A_PRESCALER_ENABLED => true, - A_BUFFERS => true, - B_TSCENABLED => false, - B_PWMCOUNT => 1, - B_WIDTH => 24, - B_PRESCALER_ENABLED => false, - B_BUFFERS => false - ) - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(3), - wb_dat_i => slot_write(3), - wb_adr_i => slot_address(3), - wb_we_i => slot_we(3), - wb_cyc_i => slot_cyc(3), - wb_stb_i => slot_stb(3), - wb_ack_o => slot_ack(3), - - wb_inta_o => slot_interrupt(3), -- We use two interrupt lines - wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4 - - pwm_a_out => timers_pwm(0 downto 0), - pwm_b_out => timers_pwm(1 downto 1) - ); - - -- - -- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller) - -- - - -- - -- IO SLOT 5 - -- - - sigmadelta_inst: zpuino_sigmadelta - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(5), - wb_dat_i => slot_write(5), - wb_adr_i => slot_address(5), - wb_we_i => slot_we(5), - wb_cyc_i => slot_cyc(5), - wb_stb_i => slot_stb(5), - wb_ack_o => slot_ack(5), - wb_inta_o => slot_interrupt(5), - - spp_data => sigmadelta_spp_data, - spp_en => sigmadelta_spp_en, - sync_in => '1' - ); - - -- - -- IO SLOT 6 - -- - - slot1: zpuino_spi - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(6), - wb_dat_i => slot_write(6), - wb_adr_i => slot_address(6), - wb_we_i => slot_we(6), - wb_cyc_i => slot_cyc(6), - wb_stb_i => slot_stb(6), - wb_ack_o => slot_ack(6), - wb_inta_o => slot_interrupt(6), - - mosi => spi2_mosi, - miso => spi2_miso, - sck => spi2_sck, - enabled => open - ); - - - - -- - -- IO SLOT 7 - -- - - crc16_inst: zpuino_crc16 - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(7), - wb_dat_i => slot_write(7), - wb_adr_i => slot_address(7), - wb_we_i => slot_we(7), - wb_cyc_i => slot_cyc(7), - wb_stb_i => slot_stb(7), - wb_ack_o => slot_ack(7), - wb_inta_o => slot_interrupt(7) - ); - - -- - -- IO SLOT 8 (optional) - -- - - adc_inst: zpuino_empty_device - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(8), - wb_dat_i => slot_write(8), - wb_adr_i => slot_address(8), - wb_we_i => slot_we(8), - wb_cyc_i => slot_cyc(8), - wb_stb_i => slot_stb(8), - wb_ack_o => slot_ack(8), - wb_inta_o => slot_interrupt(8) - ); - - -- - -- IO SLOT 9 - -- - - slot9: zpuino_vga - generic map ( - vgaclk_divider => 1 - ) - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(9), - wb_dat_i => slot_write(9), - wb_adr_i => slot_address(9), - wb_we_i => slot_we(9), - wb_cyc_i => slot_cyc(9), - wb_stb_i => slot_stb(9), - wb_ack_o => slot_ack(9), - wb_inta_o => slot_interrupt(9), - - vgaclk => vgaclk, - vga_hsync => vga_hsync, - vga_vsync => vga_vsync, - vga_r => vga_red(3 downto 1), - vga_g => vga_green(3 downto 1), - vga_b => vga_blue(3 downto 2) - ); - vga_blue(0) <= '0'; - vga_blue(1) <= '0'; - vga_red(0) <= '0'; - vga_green(0) <= '0'; - - -- - -- IO SLOT 10 - -- - - slot10: zpuino_empty_device - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(10), - wb_dat_i => slot_write(10), - wb_adr_i => slot_address(10), - wb_we_i => slot_we(10), - wb_cyc_i => slot_cyc(10), - wb_stb_i => slot_stb(10), - wb_ack_o => slot_ack(10), - wb_inta_o => slot_interrupt(10) - ); - - -- - -- IO SLOT 11 - -- - - slot11: zpuino_uart - generic map ( - bits => 4 - ) - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(11), - wb_dat_i => slot_write(11), - wb_adr_i => slot_address(11), - wb_we_i => slot_we(11), - wb_cyc_i => slot_cyc(11), - wb_stb_i => slot_stb(11), - wb_ack_o => slot_ack(11), - - wb_inta_o => slot_interrupt(11), - - tx => uart2_tx, - rx => uart2_rx - ); - - -- - -- IO SLOT 12 - -- - - slot12: zpuino_empty_device - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(12), - wb_dat_i => slot_write(12), - wb_adr_i => slot_address(12), - wb_we_i => slot_we(12), - wb_cyc_i => slot_cyc(12), - wb_stb_i => slot_stb(12), - wb_ack_o => slot_ack(12), - wb_inta_o => slot_interrupt(12) - ); - - -- - -- IO SLOT 13 - -- - - slot13: zpuino_io_YM2149 - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(13), - wb_dat_i => slot_write(13), - wb_adr_i => slot_address(13), - wb_we_i => slot_we(13), - wb_cyc_i => slot_cyc(13), - wb_stb_i => slot_stb(13), - wb_ack_o => slot_ack(13), - wb_inta_o => slot_interrupt(13), - - data_out => ym2149_data_out - ); - - -- - -- IO SLOT 14 - -- - - slot14: wb_sid6581 - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(14), - wb_dat_i => slot_write(14), - wb_adr_i => slot_address(14), - wb_we_i => slot_we(14), - wb_cyc_i => slot_cyc(14), - wb_stb_i => slot_stb(14), - wb_ack_o => slot_ack(14), - wb_inta_o => slot_interrupt(14), - - clk_1MHZ => sysclk_1mhz, - audio_data => sid_audio_data - - ); - - -- - -- IO SLOT 15 - -- - - slot15: zpuino_empty_device - port map ( - wb_clk_i => wb_clk_i, - wb_rst_i => wb_rst_i, - wb_dat_o => slot_read(15), - wb_dat_i => slot_write(15), - wb_adr_i => slot_address(15), - wb_we_i => slot_we(15), - wb_cyc_i => slot_cyc(15), - wb_stb_i => slot_stb(15), - wb_ack_o => slot_ack(15), - wb_inta_o => slot_interrupt(15) - ); - - - -- Audio for SID - ym_sd: simple_sigmadelta - generic map ( - BITS => 8 - ) - port map ( - clk => wb_clk_i, - rst => wb_rst_i, - data_in => ym2149_data_out, - data_out => ym_audio - ); - - pin00: IOPAD port map(I => gpio_o(0),O => gpio_i(0),T => gpio_t(0),C => sysclk,PAD => WING_A(0) ); - pin01: IOPAD port map(I => gpio_o(1),O => gpio_i(1),T => gpio_t(1),C => sysclk,PAD => WING_A(1) ); - pin02: IOPAD port map(I => gpio_o(2),O => gpio_i(2),T => gpio_t(2),C => sysclk,PAD => WING_A(2) ); - pin03: IOPAD port map(I => gpio_o(3),O => gpio_i(3),T => gpio_t(3),C => sysclk,PAD => WING_A(3) ); - pin04: IOPAD port map(I => gpio_o(4),O => gpio_i(4),T => gpio_t(4),C => sysclk,PAD => WING_A(4) ); - pin05: IOPAD port map(I => gpio_o(5),O => gpio_i(5),T => gpio_t(5),C => sysclk,PAD => WING_A(5) ); - pin06: IOPAD port map(I => gpio_o(6),O => gpio_i(6),T => gpio_t(6),C => sysclk,PAD => WING_A(6) ); - pin07: IOPAD port map(I => gpio_o(7),O => gpio_i(7),T => gpio_t(7),C => sysclk,PAD => WING_A(7) ); - pin08: IOPAD port map(I => gpio_o(8),O => gpio_i(8),T => gpio_t(8),C => sysclk,PAD => WING_A(8) ); - pin09: IOPAD port map(I => gpio_o(9),O => gpio_i(9),T => gpio_t(9),C => sysclk,PAD => WING_A(9) ); - pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) ); - pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) ); - pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) ); - pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) ); - pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) ); - pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) ); - - --pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) ); - pin16: OPAD port map (I => VGA_VSYNC, PAD => WING_B(0) ); - --pin16: OPAD port map (I => VGA_BLUE(0), PAD => WING_B(0) ); - - --pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) ); - pin17: OPAD port map (I => VGA_HSYNC, PAD => WING_B(1) ); - --pin17: OPAD port map (I => VGA_BLUE(1), PAD => WING_B(1) ); - - -- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) ); - --VGA_BLUE_COMBINED <= VGA_BLUE(2) or VGA_BLUE(1) or VGA_BLUE(0); - pin18: OPAD port map (I => VGA_BLUE(2), PAD => WING_B(2) ); - - -- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) ); - pin19: OPAD port map (I => VGA_BLUE(3), PAD => WING_B(3) ); - - -- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) ); - --VGA_GREEN_COMBINED <= VGA_GREEN(1) or VGA_GREEN(0); - pin20: OPAD port map (I => VGA_GREEN(1), PAD => WING_B(4) ); - - -- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(11),T => gpio_t(21),C => sysclk,PAD => WING_B(5) ); - pin21: OPAD port map (I => VGA_GREEN(2), PAD => WING_B(5) ); - - -- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) ); - pin22: OPAD port map (I => VGA_GREEN(3), PAD => WING_B(6) ); - - -- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) ); - --VGA_RED_COMBINED <= VGA_RED(1) or VGA_RED(0); - pin23: OPAD port map(I => VGA_RED(1), PAD=>WING_B(7)); - --pin23: OPAD port map (I => VGA_GREEN(3), PAD => WING_B(7) ); - - --pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) ); - pin24: OPAD port map(I => VGA_RED(2), PAD=>WING_B(8)); - --pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) ); - pin25: OPAD port map(I => VGA_RED(3), PAD=>WING_B(9)); - pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) ); - pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) ); - pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) ); - pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) ); - pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) ); - pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) ); - - pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) ); - pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) ); - - pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) ); - - - pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) ); - - - pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) ); - - - pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) ); - - - pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) ); - - - pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) ); - --pin39: OPAD port map(I => VGA_RED(3), PAD=>WING_C(7)); - - pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) ); - pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) ); - pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) ); - pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) ); - pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) ); - pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) ); - pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) ); - pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) ); - - - -- Other ports are special, we need to avoid outputs on input-only pins - - ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk ); - ibufmiso: IPAD port map ( PAD => SPI_MISO, O => spi_pf_miso, C => sysclk ); - obuftx: OPAD port map ( I => tx, PAD => TXD ); - ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_SCK ); - ospics: OPAD port map ( I => gpio_o(48), PAD => SPI_CS ); - ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_MOSI ); - - - process(gpio_spp_read, - sigmadelta_spp_data, - timers_pwm, - spi2_mosi,spi2_sck) - begin - - gpio_spp_data <= (others => DontCareValue); - - gpio_spp_data(0) <= sigmadelta_spp_data(0); -- PPS0 : SIGMADELTA DATA - gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0 - gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1 - gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI - gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK - gpio_spp_data(5) <= sigmadelta_spp_data(1); -- PPS5 : SIGMADELTA1 DATA - gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA - gpio_spp_data(8) <= ym_audio; - spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO - uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO - - end process; - - -end behave; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/prom-generic-dp-32.vhd b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/prom-generic-dp-32.vhd deleted file mode 100644 index f723d158..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/prom-generic-dp-32.vhd +++ /dev/null @@ -1,160 +0,0 @@ -library IEEE; -use IEEE.std_logic_1164.all; -use IEEE.std_logic_unsigned.all; -use ieee.numeric_std.all; - -entity prom_generic_dualport is - port ( - CLK: in std_logic; - WEA: in std_logic; - ENA: in std_logic; - MASKA: in std_logic_vector(3 downto 0); - ADDRA: in std_logic_vector(13 downto 2); - DIA: in std_logic_vector(31 downto 0); - DOA: out std_logic_vector(31 downto 0); - WEB: in std_logic; - ENB: in std_logic; - ADDRB: in std_logic_vector(13 downto 2); - DIB: in std_logic_vector(31 downto 0); - MASKB: in std_logic_vector(3 downto 0); - DOB: out std_logic_vector(31 downto 0) - ); -end entity prom_generic_dualport; - -architecture behave of prom_generic_dualport is - - subtype RAM_WORD is STD_LOGIC_VECTOR (7 downto 0); - type RAM_TABLE is array (0 to 4095) of RAM_WORD; - shared variable RAM0: RAM_TABLE := RAM_TABLE'( -x"99",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"98",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"08",x"09",x"05",x"83",x"52",x"00",x"00",x"00",x"08",x"73",x"81",x"83",x"06",x"ff",x"0b",x"00",x"05",x"73",x"06",x"06",x"06",x"00",x"00",x"00",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"06",x"10",x"10",x"0a",x"51",x"00",x"00",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"2b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"0b",x"a6",x"00",x"00",x"00",x"00",x"00",x"ff",x"2a",x"0a",x"05",x"51",x"00",x"00",x"00",x"51",x"06",x"09",x"05",x"2b",x"06",x"04",x"00",x"05",x"70",x"06",x"53",x"00",x"00",x"00",x"00",x"05",x"70",x"06",x"06",x"00",x"00",x"00",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"04",x"00",x"00",x"00",x"00",x"00",x"08",x"09",x"05",x"2a",x"52",x"00",x"00",x"00",x"08",x"9e",x"06",x"08",x"0b",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"88",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"0a",x"05",x"06",x"74",x"06",x"51",x"00",x"81",x"0a",x"ff",x"71",x"72",x"05",x"51",x"00",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"0c",x"00",x"00",x"00",x"00",x"00",x"00",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"96",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"51",x"ff",x"06",x"83",x"10",x"fc",x"51",x"72",x"81",x"09",x"71",x"0a",x"72",x"51",x"88",x"90",x"99",x"50",x"90",x"88",x"88",x"90",x"99",x"50",x"90",x"88",x"88",x"90",x"2d",x"0c",x"ff",x"0b",x"33",x"38",x"70",x"70",x"38",x"b4",x"9f",x"08",x"f0",x"0b",x"b8",x"0d",x"3d",x"0b",x"80",x"0b",x"80",x"09",x"38",x"04",x"9f",x"0b",x"3f",x"04",x"0d",x"80",x"08",x"70",x"51",x"38",x"04",x"80",x"84",x"70",x"81",x"51",x"73",x"0c",x"04",x"74",x"80",x"70",x"ff",x"51",x"26",x"fd",x"2d",x"51",x"84",x"72",x"2d",x"04",x"83",x"83",x"80",x"a0",x"0d",x"0d",x"08",x"52",x"2d",x"06",x"2d",x"8a",x"3d",x"3d",x"80",x"9f",x"53",x"55",x"54",x"81",x"70",x"f4",x"08",x"71",x"71",x"74",x"f8",x"72",x"06",x"80",x"82",x"88",x"0d",x"af",x"80",x"80",x"80",x"9f",x"0a",x"3d",x"08",x"c8",x"70",x"80",x"0c",x"3d",x"3d",x"80",x"08",x"ff",x"52",x"0d",x"0b",x"9f",x"84",x"ff",x"88",x"0d",x"71",x"52",x"72",x"0c",x"ff",x"0c",x"04",x"a0",x"c0",x"54",x"57",x"73",x"2d",x"10",x"05",x"80",x"74",x"83",x"59",x"df",x"db",x"ff",x"08",x"74",x"38",x"53",x"73",x"0c",x"72",x"84",x"72",x"57",x"ff",x"06",x"51",x"76",x"79",x"06",x"84",x"fa",x"81",x"80",x"a0",x"ff",x"51",x"ff",x"70",x"bf",x"53",x"2d",x"ff",x"0d",x"81",x"0c",x"0a",x"fe",x"0c",x"3d",x"3d",x"9f",x"fc",x"52",x"fc",x"88",x"0c",x"04",x"0c",x"04",x"8a",x"81",x"8a",x"89",x"0d",x"0d",x"80",x"ae",x"2d",x"2e",x"d7",x"73",x"70",x"74",x"8c",x"88",x"0d",x"0c",x"72",x"ff",x"51",x"2d",x"84",x"fc",x"81",x"12",x"80",x"84",x"05",x"70",x"12",x"52",x"80",x"85",x"11",x"53",x"55",x"2e",x"70",x"33",x"70",x"34",x"72",x"81",x"89",x"2d",x"04",x"79",x"80",x"8c",x"17",x"33",x"29",x"71",x"38",x"55",x"81",x"76",x"54",x"83",x"18",x"80",x"52",x"75",x"73",x"0c",x"08",x"73",x"54",x"ed",x"8c",x"f4",x"51",x"74",x"8a",x"51",x"80",x"27",x"17",x"52",x"81",x"74",x"8b",x"3d",x"3d",x"80",x"84",x"2d",x"74",x"2d",x"81",x"0c",x"82",x"82",x"83",x"0c",x"78",x"33",x"53",x"73",x"38",x"80",x"16",x"76",x"33",x"74",x"2d",x"88",x"52",x"82",x"74",x"8c",x"75",x"8c",x"f4",x"51",x"8b",x"3d",x"ae",x"0c",x"11",x"87",x"0c",x"8c",x"b8",x"0d",x"33",x"71",x"88",x"14",x"07",x"16",x"51",x"57",x"51",x"81",x"a0",x"80",x"72",x"2a",x"51",x"f3",x"80",x"c4",x"0c",x"04",x"8e",x"08",x"38",x"88",x"87",x"70",x"53",x"38",x"81",x"c0",x"8a",x"89",x"0d",x"2d",x"08",x"53",x"dc",x"2d",x"08",x"53",x"cc",x"0d",x"c0",x"2d",x"8a",x"98",x"72",x"54",x"c0",x"52",x"09",x"38",x"84",x"fe",x"0b",x"8a",x"82",x"2d",x"80",x"ae",x"0a",x"80",x"71",x"53",x"72",x"72",x"8a",x"c8",x"51",x"9f",x"8a",x"81",x"51",x"8b",x"3d",x"3d",x"9f",x"0b",x"0c",x"ec",x"0d",x"0d",x"c4",x"2d",x"ec",x"0d",x"0d",x"80",x"51",x"8c",x"51",x"88",x"91",x"9f",x"51",x"8a",x"c5",x"0d",x"3d",x"9f",x"0b",x"80",x"0b",x"57",x"0b",x"80",x"c8",x"53",x"73",x"06",x"54",x"80",x"70",x"0c",x"70",x"70",x"0c",x"0c",x"0b",x"9d",x"12",x"0b",x"80",x"0b",x"0c",x"82",x"80",x"84",x"0b",x"80",x"84",x"8c",x"ae",x"8c",x"ae",x"0c",x"92",x"8f",x"5a",x"5b",x"88",x"80",x"88",x"2e",x"88",x"2e",x"76",x"bf",x"2e",x"0b",x"32",x"d5",x"fd",x"72",x"17",x"2d",x"78",x"08",x"09",x"b0",x"83",x"0c",x"59",x"80",x"39",x"ff",x"7c",x"59",x"ff",x"ff",x"78",x"53",x"98",x"80",x"55",x"70",x"52",x"73",x"38",x"11",x"ff",x"74",x"88",x"08",x"51",x"2e",x"fe",x"33",x"26",x"72",x"ec",x"70",x"71",x"39",x"a4",x"0d",x"08",x"80",x"2d",x"0c",x"0b",x"0c",x"04",x"80",x"95",x"3d",x"3d",x"55",x"75",x"38",x"9d",x"73",x"80",x"08",x"2e",x"08",x"88",x"0d",x"76",x"54",x"30",x"73",x"38",x"3d",x"57",x"76",x"38",x"54",x"74",x"52",x"3f",x"76",x"38",x"54",x"88",x"74",x"57",x"3d",x"53",x"80",x"52",x"2e",x"80",x"80",x"38",x"10",x"53",x"ea",x"78",x"51",x"86",x"72",x"81",x"72",x"38",x"ef",x"31",x"74",x"81",x"56",x"fc",x"70",x"55",x"72",x"72",x"06",x"2e",x"12",x"2e",x"70",x"33",x"05",x"12",x"2e",x"ea",x"0c",x"04",x"70",x"08",x"05",x"70",x"08",x"05",x"70",x"08",x"05",x"70",x"08",x"05",x"12",x"26",x"72",x"72",x"54",x"84",x"fc",x"83",x"70",x"39",x"76",x"8c",x"33",x"55",x"8a",x"06",x"2e",x"12",x"2e",x"73",x"55",x"52",x"09",x"38",x"86",x"74",x"75",x"90",x"54",x"27",x"71",x"53",x"70",x"0c",x"84",x"72",x"05",x"12",x"26",x"72",x"72",x"05",x"12",x"26",x"53",x"fb",x"79",x"83",x"52",x"71",x"54",x"73",x"c4",x"54",x"70",x"52",x"2e",x"33",x"2e",x"95",x"81",x"70",x"54",x"70",x"33",x"ff",x"ff",x"31",x"52",x"04",x"f7",x"14",x"84",x"06",x"70",x"14",x"08",x"71",x"dc",x"54",x"39",x"0c",x"04",x"9f",x"05",x"52",x"91",x"fc",x"52",x"2e",x"f1",x"0d",x"c3",x"00",x"ff",x"ff",x"ff",x"00",x"09",x"3b",x"b4",x"28",x"92",x"a9",x"be",x"61",x"cb",x"bd",x"60",x"80",x"00",x"01",x"00",x"00",x"00",x"d8",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); - shared variable RAM1: RAM_TABLE := RAM_TABLE'( -x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"82",x"2a",x"06",x"00",x"00",x"00",x"06",x"ff",x"09",x"05",x"09",x"ff",x"0b",x"04",x"81",x"73",x"09",x"73",x"81",x"04",x"00",x"00",x"24",x"07",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"81",x"0a",x"0a",x"05",x"51",x"04",x"00",x"26",x"07",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"9f",x"05",x"88",x"00",x"00",x"00",x"00",x"00",x"2a",x"06",x"09",x"ff",x"53",x"00",x"00",x"00",x"53",x"04",x"06",x"82",x"0b",x"fc",x"51",x"00",x"81",x"09",x"09",x"06",x"00",x"00",x"00",x"00",x"81",x"09",x"09",x"81",x"04",x"00",x"00",x"00",x"81",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"09",x"51",x"00",x"00",x"00",x"00",x"00",x"06",x"06",x"83",x"10",x"06",x"00",x"00",x"00",x"06",x"0b",x"83",x"05",x"0b",x"04",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"70",x"06",x"ff",x"71",x"72",x"05",x"51",x"00",x"70",x"06",x"06",x"54",x"09",x"ff",x"51",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"a8",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"05",x"53",x"04",x"00",x"00",x"00",x"00",x"00",x"3f",x"04",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"53",x"81",x"83",x"05",x"10",x"72",x"51",x"04",x"72",x"05",x"05",x"72",x"53",x"51",x"04",x"08",x"75",x"50",x"56",x"0c",x"04",x"08",x"75",x"50",x"56",x"0c",x"04",x"08",x"a9",x"8c",x"04",x"0b",x"b8",x"a6",x"08",x"52",x"92",x"9f",x"2d",x"70",x"70",x"0b",x"9f",x"3d",x"80",x"0b",x"08",x"38",x"0b",x"2e",x"85",x"0d",x"0b",x"0b",x"81",x"0d",x"3d",x"80",x"71",x"2a",x"51",x"f3",x"0d",x"0d",x"80",x"08",x"70",x"51",x"38",x"0a",x"0d",x"0d",x"dc",x"0c",x"06",x"54",x"81",x"80",x"a0",x"32",x"2d",x"04",x"a0",x"0d",x"0d",x"0b",x"0c",x"8a",x"3d",x"3d",x"0a",x"2a",x"c0",x"ff",x"c0",x"51",x"83",x"fc",x"c4",x"0b",x"53",x"0a",x"0a",x"70",x"51",x"71",x"c0",x"e8",x"38",x"71",x"ff",x"f8",x"81",x"70",x"38",x"08",x"3d",x"e7",x"cc",x"0c",x"cc",x"0c",x"90",x"ff",x"70",x"80",x"84",x"84",x"72",x"83",x"ff",x"c8",x"70",x"ff",x"0c",x"3d",x"90",x"0c",x"a0",x"80",x"a0",x"3d",x"8b",x"11",x"80",x"72",x"83",x"88",x"0d",x"0d",x"80",x"84",x"0a",x"2d",x"d9",x"10",x"84",x"0c",x"0c",x"08",x"06",x"80",x"80",x"ff",x"88",x"55",x"a7",x"84",x"0c",x"18",x"53",x"75",x"08",x"17",x"74",x"81",x"73",x"2d",x"71",x"81",x"a0",x"71",x"9c",x"38",x"8a",x"39",x"c3",x"2d",x"0a",x"ff",x"0c",x"85",x"2d",x"3d",x"08",x"c0",x"90",x"70",x"72",x"83",x"ff",x"0b",x"87",x"06",x"80",x"2e",x"88",x"0d",x"88",x"0d",x"0d",x"72",x"51",x"8b",x"3d",x"3d",x"80",x"8c",x"c6",x"80",x"80",x"80",x"08",x"06",x"52",x"71",x"3d",x"73",x"0c",x"81",x"53",x"92",x"0c",x"04",x"76",x"82",x"81",x"71",x"29",x"33",x"29",x"33",x"a0",x"16",x"ff",x"52",x"57",x"ff",x"73",x"55",x"75",x"57",x"53",x"09",x"38",x"ec",x"0d",x"0d",x"c0",x"56",x"81",x"18",x"80",x"53",x"94",x"72",x"70",x"33",x"14",x"38",x"84",x"82",x"56",x"73",x"38",x"76",x"76",x"71",x"14",x"26",x"51",x"8a",x"84",x"2d",x"51",x"74",x"2d",x"75",x"73",x"52",x"2d",x"74",x"38",x"89",x"f9",x"56",x"80",x"ae",x"0c",x"92",x"2d",x"76",x"33",x"71",x"05",x"78",x"33",x"19",x"59",x"54",x"ac",x"73",x"73",x"33",x"11",x"52",x"92",x"2d",x"06",x"38",x"76",x"38",x"84",x"51",x"8a",x"87",x"2d",x"89",x"8c",x"75",x"86",x"0c",x"76",x"51",x"ff",x"3d",x"11",x"33",x"71",x"83",x"72",x"84",x"07",x"57",x"88",x"2d",x"8a",x"c4",x"53",x"81",x"06",x"71",x"84",x"80",x"84",x"0d",x"0d",x"88",x"aa",x"2d",x"08",x"32",x"51",x"ed",x"2d",x"8a",x"51",x"8b",x"3d",x"81",x"88",x"53",x"2e",x"81",x"88",x"53",x"38",x"3d",x"9f",x"f4",x"51",x"9f",x"52",x"05",x"8a",x"12",x"2e",x"ec",x"2d",x"04",x"80",x"0c",x"81",x"c0",x"80",x"8c",x"f9",x"c0",x"0c",x"52",x"2d",x"0c",x"51",x"9f",x"2a",x"2d",x"51",x"8f",x"08",x"2d",x"84",x"80",x"0b",x"80",x"0a",x"8e",x"3d",x"3d",x"9f",x"f9",x"8e",x"3d",x"3d",x"80",x"8a",x"2d",x"71",x"2d",x"10",x"05",x"71",x"2d",x"8c",x"3d",x"ad",x"0b",x"80",x"0c",x"a9",x"0c",x"b0",x"80",x"80",x"a4",x"ff",x"72",x"53",x"80",x"08",x"72",x"a8",x"71",x"53",x"71",x"90",x"0c",x"8c",x"88",x"80",x"81",x"0a",x"2d",x"0b",x"80",x"f2",x"0c",x"80",x"52",x"8c",x"51",x"8c",x"72",x"8c",x"77",x"5a",x"0a",x"2d",x"78",x"38",x"fe",x"38",x"fd",x"38",x"26",x"80",x"80",x"a0",x"80",x"05",x"52",x"81",x"aa",x"53",x"88",x"2e",x"ff",x"57",x"7b",x"5b",x"39",x"9d",x"2e",x"80",x"56",x"27",x"83",x"0c",x"53",x"27",x"dc",x"72",x"15",x"0c",x"53",x"f2",x"75",x"05",x"33",x"72",x"7e",x"55",x"73",x"06",x"74",x"8a",x"38",x"9e",x"52",x"52",x"a9",x"fe",x"3d",x"8c",x"a0",x"70",x"8c",x"81",x"0a",x"0d",x"0d",x"51",x"83",x"fb",x"79",x"56",x"ab",x"24",x"53",x"51",x"88",x"80",x"88",x"73",x"3d",x"30",x"57",x"74",x"56",x"d2",x"fa",x"7a",x"57",x"a4",x"2c",x"75",x"31",x"9b",x"54",x"85",x"30",x"0c",x"04",x"81",x"fc",x"78",x"53",x"26",x"80",x"70",x"38",x"a4",x"73",x"26",x"72",x"51",x"74",x"0c",x"04",x"72",x"53",x"e6",x"26",x"72",x"07",x"74",x"55",x"39",x"76",x"55",x"8f",x"38",x"83",x"80",x"ff",x"ff",x"72",x"54",x"81",x"ff",x"ff",x"06",x"88",x"0d",x"72",x"54",x"84",x"72",x"54",x"84",x"72",x"54",x"84",x"72",x"54",x"84",x"f0",x"8f",x"83",x"38",x"05",x"70",x"0c",x"71",x"38",x"83",x"0d",x"02",x"05",x"53",x"27",x"83",x"80",x"ff",x"ff",x"73",x"05",x"12",x"2e",x"ef",x"0c",x"04",x"2b",x"71",x"51",x"72",x"72",x"05",x"71",x"53",x"70",x"0c",x"84",x"f0",x"8f",x"83",x"38",x"84",x"fc",x"83",x"70",x"39",x"77",x"07",x"54",x"38",x"08",x"71",x"80",x"75",x"33",x"06",x"80",x"72",x"75",x"06",x"12",x"33",x"06",x"52",x"72",x"81",x"81",x"71",x"52",x"0d",x"70",x"ff",x"f8",x"80",x"51",x"84",x"71",x"54",x"2e",x"75",x"96",x"88",x"0d",x"0d",x"fc",x"52",x"2e",x"2d",x"08",x"ff",x"06",x"3d",x"ea",x"00",x"ff",x"ff",x"00",x"ff",x"0a",x"0a",x"09",x"08",x"0a",x"0a",x"08",x"09",x"07",x"0a",x"04",x"2f",x"cf",x"0e",x"00",x"00",x"00",x"0f",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); - shared variable RAM2: RAM_TABLE := RAM_TABLE'( -x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"fd",x"83",x"05",x"2b",x"ff",x"00",x"00",x"00",x"fd",x"ff",x"06",x"82",x"2b",x"83",x"0b",x"a7",x"09",x"05",x"06",x"09",x"0a",x"51",x"00",x"00",x"72",x"2e",x"04",x"00",x"00",x"00",x"00",x"00",x"73",x"06",x"72",x"72",x"31",x"06",x"51",x"00",x"72",x"2e",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0a",x"53",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"81",x"0b",x"04",x"00",x"00",x"00",x"00",x"72",x"9f",x"74",x"06",x"07",x"00",x"00",x"00",x"71",x"0d",x"83",x"05",x"2b",x"72",x"51",x"00",x"09",x"05",x"05",x"81",x"04",x"00",x"00",x"00",x"09",x"05",x"05",x"09",x"51",x"00",x"00",x"00",x"09",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"73",x"53",x"00",x"00",x"00",x"00",x"00",x"fc",x"83",x"05",x"10",x"ff",x"00",x"00",x"00",x"fc",x"0b",x"73",x"10",x"0b",x"a9",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"09",x"09",x"06",x"54",x"09",x"ff",x"51",x"00",x"09",x"09",x"81",x"70",x"73",x"05",x"07",x"04",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"9f",x"04",x"00",x"00",x"00",x"00",x"00",x"81",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"84",x"10",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"71",x"0d",x"00",x"00",x"00",x"00",x"00",x"d4",x"3f",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"73",x"73",x"81",x"10",x"07",x"0c",x"3c",x"80",x"ff",x"06",x"52",x"0a",x"38",x"51",x"8c",x"75",x"2d",x"08",x"8c",x"51",x"8c",x"75",x"2d",x"08",x"8c",x"51",x"8c",x"8e",x"0c",x"0c",x"0d",x"9f",x"70",x"b4",x"52",x"2e",x"12",x"70",x"08",x"52",x"81",x"0b",x"83",x"04",x"0b",x"dc",x"8e",x"0b",x"80",x"06",x"3d",x"0b",x"51",x"f6",x"3d",x"ff",x"c4",x"52",x"82",x"06",x"70",x"3d",x"3d",x"80",x"71",x"2a",x"51",x"f3",x"90",x"3d",x"3d",x"80",x"88",x"ff",x"11",x"71",x"38",x"8a",x"a0",x"a0",x"0d",x"8a",x"3d",x"3d",x"ff",x"0a",x"51",x"82",x"ff",x"d0",x"88",x"8a",x"81",x"8a",x"fe",x"2d",x"04",x"80",x"84",x"08",x"d0",x"90",x"08",x"51",x"38",x"9f",x"87",x"ea",x"0c",x"80",x"8c",x"70",x"51",x"dc",x"0a",x"86",x"82",x"80",x"88",x"80",x"84",x"83",x"04",x"73",x"51",x"80",x"70",x"07",x"52",x"04",x"80",x"84",x"fb",x"72",x"83",x"a0",x"80",x"0b",x"0b",x"0d",x"ff",x"51",x"90",x"c0",x"80",x"08",x"06",x"3d",x"3d",x"56",x"80",x"d0",x"ae",x"8c",x"08",x"c0",x"bc",x"75",x"73",x"ff",x"08",x"26",x"83",x"0c",x"05",x"2e",x"58",x"74",x"88",x"13",x"38",x"75",x"ff",x"53",x"09",x"38",x"92",x"52",x"09",x"38",x"52",x"84",x"93",x"51",x"ff",x"80",x"a0",x"90",x"70",x"72",x"8a",x"c5",x"ff",x"c0",x"9f",x"83",x"08",x"06",x"52",x"04",x"80",x"08",x"80",x"70",x"80",x"71",x"3d",x"0b",x"3d",x"3d",x"2d",x"07",x"2d",x"82",x"fe",x"c0",x"53",x"8e",x"08",x"38",x"0c",x"72",x"ff",x"51",x"2d",x"84",x"85",x"73",x"70",x"74",x"8c",x"88",x"0d",x"0d",x"33",x"71",x"29",x"80",x"14",x"80",x"16",x"05",x"86",x"33",x"57",x"55",x"72",x"38",x"05",x"71",x"05",x"13",x"2e",x"e8",x"8e",x"3d",x"3d",x"80",x"84",x"2d",x"82",x"82",x"53",x"2e",x"17",x"72",x"54",x"ff",x"f3",x"33",x"71",x"05",x"54",x"97",x"77",x"17",x"53",x"81",x"74",x"75",x"2d",x"81",x"c0",x"2a",x"2d",x"c0",x"73",x"38",x"33",x"c0",x"54",x"f0",x"2d",x"04",x"79",x"80",x"8c",x"75",x"8c",x"ae",x"70",x"17",x"33",x"29",x"33",x"19",x"85",x"0c",x"80",x"27",x"58",x"38",x"11",x"87",x"0c",x"8c",x"81",x"81",x"f6",x"54",x"d8",x"2d",x"74",x"2d",x"81",x"c0",x"2d",x"04",x"77",x"16",x"76",x"33",x"74",x"2d",x"fc",x"81",x"12",x"2b",x"07",x"70",x"2b",x"71",x"53",x"52",x"ec",x"51",x"80",x"84",x"70",x"81",x"52",x"73",x"07",x"80",x"3d",x"3d",x"2d",x"2e",x"81",x"88",x"81",x"06",x"71",x"f4",x"51",x"72",x"2d",x"84",x"8f",x"08",x"06",x"80",x"8f",x"08",x"06",x"e3",x"fe",x"0b",x"8a",x"81",x"2d",x"8f",x"81",x"51",x"ff",x"ff",x"06",x"89",x"0d",x"0d",x"c0",x"2d",x"8a",x"c0",x"52",x"81",x"80",x"9c",x"72",x"92",x"c8",x"2a",x"2d",x"88",x"c0",x"08",x"2d",x"88",x"c0",x"2d",x"04",x"81",x"0c",x"90",x"51",x"82",x"80",x"0b",x"8b",x"51",x"82",x"ff",x"c0",x"52",x"ec",x"2d",x"d9",x"10",x"84",x"0c",x"92",x"2d",x"83",x"ff",x"80",x"0c",x"c0",x"8e",x"80",x"80",x"c4",x"0c",x"80",x"ff",x"70",x"0c",x"c8",x"70",x"06",x"53",x"9a",x"05",x"f7",x"9c",x"12",x"0b",x"53",x"d0",x"0c",x"d0",x"f9",x"88",x"80",x"81",x"0a",x"80",x"52",x"2d",x"71",x"2d",x"84",x"51",x"76",x"5e",x"d0",x"aa",x"53",x"bc",x"80",x"d2",x"80",x"be",x"9f",x"79",x"38",x"08",x"5a",x"77",x"05",x"34",x"8b",x"08",x"38",x"fe",x"06",x"78",x"ff",x"77",x"a2",x"ff",x"80",x"38",x"58",x"77",x"38",x"7b",x"18",x"72",x"80",x"88",x"72",x"79",x"13",x"26",x"16",x"75",x"70",x"70",x"07",x"51",x"71",x"81",x"38",x"72",x"ba",x"10",x"75",x"51",x"fe",x"5a",x"80",x"08",x"08",x"51",x"0c",x"0c",x"d0",x"3d",x"3d",x"80",x"2d",x"04",x"77",x"80",x"24",x"74",x"80",x"74",x"3f",x"75",x"38",x"54",x"87",x"73",x"32",x"39",x"81",x"25",x"39",x"78",x"80",x"24",x"9f",x"53",x"74",x"51",x"08",x"2e",x"08",x"88",x"0d",x"55",x"39",x"76",x"81",x"73",x"72",x"38",x"a9",x"24",x"10",x"72",x"52",x"73",x"38",x"88",x"0d",x"2a",x"53",x"2e",x"74",x"73",x"74",x"2a",x"55",x"e5",x"0d",x"7b",x"55",x"8c",x"07",x"70",x"38",x"71",x"38",x"05",x"70",x"34",x"71",x"81",x"74",x"3d",x"51",x"05",x"70",x"0c",x"05",x"70",x"0c",x"05",x"70",x"0c",x"05",x"70",x"0c",x"71",x"38",x"95",x"84",x"71",x"53",x"52",x"ed",x"ff",x"3d",x"71",x"9f",x"55",x"72",x"74",x"70",x"38",x"71",x"38",x"81",x"ff",x"ff",x"06",x"88",x"0d",x"88",x"70",x"07",x"8f",x"38",x"84",x"72",x"05",x"71",x"53",x"70",x"0c",x"71",x"38",x"90",x"70",x"0c",x"71",x"38",x"90",x"0d",x"72",x"53",x"93",x"73",x"54",x"2e",x"73",x"71",x"ff",x"70",x"38",x"70",x"81",x"81",x"71",x"ff",x"54",x"38",x"73",x"75",x"71",x"0c",x"3d",x"09",x"fd",x"70",x"81",x"51",x"38",x"16",x"56",x"08",x"73",x"ff",x"0b",x"3d",x"3d",x"0b",x"08",x"ff",x"70",x"70",x"70",x"81",x"83",x"04",x"04",x"ff",x"00",x"ff",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"08",x"00",x"7b",x"01",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); - shared variable RAM3: RAM_TABLE := RAM_TABLE'( -x"0b",x"90",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"f1",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"72",x"81",x"83",x"ff",x"04",x"00",x"00",x"71",x"83",x"83",x"05",x"2b",x"73",x"0b",x"83",x"72",x"72",x"09",x"73",x"07",x"53",x"00",x"00",x"72",x"73",x"51",x"00",x"00",x"00",x"00",x"00",x"71",x"71",x"30",x"0a",x"0a",x"81",x"53",x"00",x"72",x"73",x"51",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"c3",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"0a",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"09",x"0b",x"05",x"00",x"00",x"00",x"00",x"72",x"73",x"09",x"81",x"06",x"04",x"00",x"00",x"71",x"02",x"73",x"81",x"83",x"07",x"0c",x"00",x"72",x"72",x"81",x"0a",x"51",x"00",x"00",x"00",x"72",x"72",x"81",x"0a",x"53",x"00",x"00",x"00",x"71",x"52",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"04",x"00",x"00",x"00",x"00",x"00",x"72",x"73",x"07",x"00",x"00",x"00",x"00",x"00",x"71",x"72",x"81",x"10",x"81",x"04",x"00",x"00",x"71",x"0b",x"e0",x"10",x"06",x"88",x"00",x"00",x"0b",x"f7",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"df",x"00",x"00",x"00",x"00",x"00",x"00",x"72",x"05",x"81",x"70",x"73",x"05",x"07",x"04",x"72",x"05",x"09",x"05",x"06",x"74",x"06",x"51",x"05",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"81",x"0b",x"51",x"00",x"00",x"00",x"00",x"00",x"71",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"02",x"10",x"04",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"71",x"05",x"02",x"00",x"00",x"00",x"00",x"00",x"81",x"af",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"10",x"04",x"06",x"09",x"05",x"2b",x"06",x"04",x"72",x"06",x"72",x"10",x"10",x"ed",x"53",x"08",x"08",x"e2",x"88",x"0c",x"0c",x"08",x"08",x"9e",x"88",x"0c",x"0c",x"08",x"08",x"90",x"88",x"3d",x"0b",x"51",x"9f",x"08",x"80",x"84",x"0c",x"b4",x"52",x"38",x"0b",x"34",x"04",x"0d",x"9f",x"2e",x"0b",x"0b",x"81",x"82",x"0b",x"dc",x"0b",x"82",x"04",x"80",x"84",x"70",x"81",x"51",x"83",x"ff",x"c4",x"52",x"81",x"06",x"70",x"82",x"83",x"fe",x"70",x"80",x"81",x"83",x"53",x"92",x"51",x"72",x"8a",x"3d",x"51",x"84",x"80",x"ff",x"d0",x"fe",x"2d",x"04",x"83",x"70",x"52",x"71",x"51",x"80",x"a0",x"0d",x"0d",x"80",x"c4",x"80",x"83",x"72",x"06",x"a6",x"38",x"51",x"27",x"75",x"0c",x"0d",x"08",x"51",x"2e",x"90",x"0c",x"04",x"0b",x"80",x"0b",x"80",x"0b",x"0c",x"0d",x"51",x"80",x"08",x"80",x"52",x"0d",x"0d",x"80",x"70",x"06",x"52",x"04",x"a0",x"bc",x"0c",x"f8",x"04",x"73",x"0c",x"91",x"0c",x"70",x"ff",x"83",x"f8",x"80",x"80",x"83",x"8c",x"51",x"88",x"91",x"9f",x"70",x"0c",x"ff",x"88",x"80",x"38",x"77",x"ff",x"ff",x"80",x"77",x"08",x"ff",x"f3",x"17",x"0c",x"57",x"2e",x"dd",x"8c",x"08",x"2e",x"98",x"08",x"a0",x"2e",x"c2",x"2d",x"39",x"8a",x"39",x"08",x"06",x"53",x"8c",x"39",x"9f",x"11",x"51",x"70",x"ff",x"52",x"0d",x"0d",x"c8",x"80",x"52",x"80",x"38",x"83",x"81",x"83",x"80",x"f4",x"80",x"c0",x"2d",x"04",x"80",x"84",x"2d",x"88",x"9c",x"73",x"0c",x"81",x"53",x"92",x"0c",x"04",x"80",x"08",x"06",x"52",x"71",x"3d",x"3d",x"11",x"33",x"0a",x"80",x"83",x"82",x"84",x"71",x"05",x"17",x"51",x"53",x"53",x"9a",x"81",x"52",x"81",x"ff",x"ff",x"06",x"51",x"86",x"f9",x"57",x"80",x"ae",x"33",x"71",x"05",x"80",x"85",x"53",x"05",x"0c",x"73",x"17",x"33",x"29",x"80",x"27",x"58",x"73",x"53",x"34",x"74",x"38",x"92",x"2d",x"8a",x"88",x"c0",x"8a",x"54",x"92",x"70",x"8a",x"14",x"26",x"89",x"0d",x"0d",x"c0",x"55",x"86",x"51",x"8c",x"ad",x"81",x"18",x"80",x"19",x"84",x"0c",x"78",x"53",x"77",x"72",x"c1",x"86",x"0c",x"76",x"51",x"8f",x"08",x"71",x"14",x"26",x"ae",x"0c",x"92",x"2d",x"8a",x"89",x"0d",x"2d",x"73",x"33",x"11",x"52",x"92",x"39",x"76",x"82",x"90",x"2b",x"33",x"88",x"33",x"52",x"54",x"8e",x"ff",x"2d",x"80",x"08",x"70",x"51",x"38",x"80",x"80",x"86",x"fe",x"c6",x"80",x"8f",x"08",x"2a",x"81",x"53",x"8a",x"83",x"2d",x"c0",x"2d",x"04",x"88",x"81",x"71",x"38",x"88",x"81",x"71",x"39",x"80",x"0c",x"81",x"c0",x"53",x"70",x"33",x"2d",x"71",x"81",x"8b",x"3d",x"3d",x"9f",x"f4",x"51",x"80",x"84",x"2d",x"0b",x"80",x"08",x"8c",x"9f",x"90",x"c0",x"08",x"8a",x"c8",x"c0",x"2d",x"8a",x"89",x"0d",x"0d",x"c4",x"83",x"85",x"2d",x"04",x"80",x"0c",x"86",x"2d",x"04",x"80",x"84",x"8e",x"ae",x"8c",x"08",x"c0",x"bc",x"8c",x"85",x"2d",x"04",x"0d",x"c4",x"9f",x"0b",x"a0",x"84",x"80",x"84",x"80",x"fb",x"08",x"75",x"80",x"94",x"76",x"53",x"9a",x"84",x"9a",x"53",x"88",x"9f",x"0c",x"80",x"84",x"80",x"8b",x"88",x"dc",x"0c",x"90",x"c0",x"70",x"92",x"2d",x"92",x"2d",x"71",x"2d",x"3d",x"83",x"8b",x"08",x"2e",x"08",x"80",x"08",x"81",x"82",x"38",x"89",x"88",x"54",x"3d",x"e0",x"72",x"57",x"88",x"c6",x"80",x"81",x"38",x"ff",x"81",x"ff",x"59",x"76",x"97",x"78",x"82",x"8b",x"ff",x"fe",x"78",x"38",x"80",x"58",x"33",x"81",x"73",x"ff",x"54",x"05",x"33",x"2b",x"53",x"52",x"09",x"c3",x"53",x"fe",x"10",x"05",x"08",x"2d",x"81",x"39",x"88",x"90",x"08",x"90",x"8a",x"80",x"82",x"ff",x"52",x"ed",x"0d",x"0d",x"55",x"75",x"80",x"38",x"52",x"e1",x"54",x"85",x"30",x"0c",x"04",x"81",x"dc",x"55",x"80",x"ec",x"0d",x"55",x"75",x"75",x"81",x"32",x"74",x"88",x"80",x"88",x"73",x"3d",x"30",x"d7",x"0d",x"54",x"74",x"55",x"98",x"2e",x"72",x"71",x"75",x"54",x"38",x"83",x"70",x"3d",x"81",x"2a",x"80",x"71",x"38",x"75",x"81",x"2a",x"54",x"3d",x"79",x"55",x"27",x"75",x"51",x"a7",x"52",x"98",x"81",x"74",x"56",x"52",x"09",x"38",x"86",x"74",x"84",x"71",x"53",x"84",x"71",x"53",x"84",x"71",x"53",x"84",x"71",x"53",x"52",x"c9",x"27",x"70",x"08",x"05",x"12",x"26",x"54",x"fc",x"79",x"05",x"57",x"83",x"38",x"51",x"a2",x"52",x"93",x"70",x"34",x"71",x"81",x"74",x"3d",x"74",x"07",x"2b",x"51",x"a5",x"70",x"0c",x"84",x"72",x"05",x"71",x"53",x"52",x"dd",x"27",x"71",x"53",x"52",x"f2",x"ff",x"3d",x"70",x"06",x"70",x"73",x"56",x"08",x"38",x"52",x"81",x"54",x"9d",x"55",x"09",x"38",x"14",x"81",x"56",x"e5",x"55",x"06",x"06",x"88",x"87",x"71",x"fb",x"06",x"82",x"51",x"97",x"84",x"54",x"75",x"38",x"52",x"80",x"87",x"ff",x"d0",x"70",x"70",x"38",x"12",x"52",x"09",x"38",x"04",x"3f",x"00",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"01",x"00",x"05",x"a4",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0b",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00"); -signal rwea: std_logic_vector(3 downto 0); -signal rweb: std_logic_vector(3 downto 0); -signal memaread0: std_logic_vector(7 downto 0); -signal membread0: std_logic_vector(7 downto 0); -signal memaread1: std_logic_vector(7 downto 0); -signal membread1: std_logic_vector(7 downto 0); -signal memaread2: std_logic_vector(7 downto 0); -signal membread2: std_logic_vector(7 downto 0); -signal memaread3: std_logic_vector(7 downto 0); -signal membread3: std_logic_vector(7 downto 0); - -begin - rwea(0) <= WEA and MASKA(0); - rweb(0) <= WEB and MASKB(0); - rwea(1) <= WEA and MASKA(1); - rweb(1) <= WEB and MASKB(1); - rwea(2) <= WEA and MASKA(2); - rweb(2) <= WEB and MASKB(2); - rwea(3) <= WEA and MASKA(3); - rweb(3) <= WEB and MASKB(3); -DOA(7 downto 0) <= memaread0; -DOB(7 downto 0) <= membread0; -DOA(15 downto 8) <= memaread1; -DOB(15 downto 8) <= membread1; -DOA(23 downto 16) <= memaread2; -DOB(23 downto 16) <= membread2; -DOA(31 downto 24) <= memaread3; -DOB(31 downto 24) <= membread3; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(0)='1' then - RAM0( conv_integer(ADDRA) ) := DIA(7 downto 0); - end if; - memaread0 <= RAM0(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(0)='1' then - RAM0( conv_integer(ADDRB) ) := DIB(7 downto 0); - end if; - membread0 <= RAM0(conv_integer(ADDRB)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(1)='1' then - RAM1( conv_integer(ADDRA) ) := DIA(15 downto 8); - end if; - memaread1 <= RAM1(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(1)='1' then - RAM1( conv_integer(ADDRB) ) := DIB(15 downto 8); - end if; - membread1 <= RAM1(conv_integer(ADDRB)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(2)='1' then - RAM2( conv_integer(ADDRA) ) := DIA(23 downto 16); - end if; - memaread2 <= RAM2(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(2)='1' then - RAM2( conv_integer(ADDRB) ) := DIB(23 downto 16); - end if; - membread2 <= RAM2(conv_integer(ADDRB)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENA='1' then - if rwea(3)='1' then - RAM3( conv_integer(ADDRA) ) := DIA(31 downto 24); - end if; - memaread3 <= RAM3(conv_integer(ADDRA)) ; - end if; - end if; - end process; - - process (clk) - begin - if rising_edge(clk) then - if ENB='1' then - if rweb(3)='1' then - RAM3( conv_integer(ADDRB) ) := DIB(31 downto 24); - end if; - membread3 <= RAM3(conv_integer(ADDRB)) ; - end if; - end if; - end process; -end behave; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/zpu_config.vhd b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/zpu_config.vhd deleted file mode 100644 index 2efbd851..00000000 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/logicstart-old/zpu_config.vhd +++ /dev/null @@ -1,65 +0,0 @@ --- ZPU --- --- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com --- --- The FreeBSD license --- --- Redistribution and use in source and binary forms, with or without --- modification, are permitted provided that the following conditions --- are met: --- --- 1. Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- 2. Redistributions in binary form must reproduce the above --- copyright notice, this list of conditions and the following --- disclaimer in the documentation and/or other materials --- provided with the distribution. --- --- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY --- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE --- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, --- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES --- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS --- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) --- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF --- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. --- --- The views and conclusions contained in the software and documentation --- are those of the authors and should not be interpreted as representing --- official policies, either expressed or implied, of the ZPU Project. - - -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_unsigned.all; -use ieee.std_logic_arith.all; - -package zpu_config is - -- generate trace output or not. - constant Generate_Trace : boolean := false; - constant wordPower : integer := 5; - -- during simulation, set this to '0' to get matching trace.txt - constant DontCareValue : std_logic := 'X'; - -- Clock frequency in MHz. - constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; - -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) - constant maxAddrBitIncIO : integer := 27; - constant maxAddrBitBRAM : integer := 13; - - constant maxIOBit: integer := maxAddrBitIncIO - 1; - constant minIOBit: integer := 2; - - constant stackSize_bits: integer := 9; - - -- start byte address of stack. - -- point to top of RAM - 2*words - constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := - conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); - - constant enable_fmul16: boolean := false; - -end zpu_config; diff --git a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/retrocade_lite/ise/iseconfig/ise.projectmgr b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/retrocade_lite/ise/iseconfig/ise.projectmgr index 096a72cd..53b4432c 100644 --- a/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/retrocade_lite/ise/iseconfig/ise.projectmgr +++ b/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/retrocade_lite/ise/iseconfig/ise.projectmgr @@ -129,7 +129,7 @@ 2 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000001f1000000020000000000000000000000000200000064ffffffff000000810000000300000002000001f10000000100000003000000000000000100000003 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000004c5000000020000000000000000000000000200000064ffffffff000000810000000300000002000004c50000000100000003000000000000000100000003 true papilio_one_top - behave (C:/Dropbox/GadgetFactory/GadgetFactory_Engineering/ZPUino-HDL/zpu/hdl/zpuino/boards/papilio_one/s3e500/variants/retrocade_lite/papilio_one_top.vhd) @@ -146,7 +146,7 @@ 0 0 - 000000ff00000000000000010000000100000000000000000000000000000000000000000000000231000000010000000100000000000000000000000064ffffffff000000810000000000000001000002310000000100000000 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001dd000000010000000100000000000000000000000064ffffffff000000810000000000000001000001dd0000000100000000 false Generate Programming File @@ -155,13 +155,13 @@ 1 - wb_rom_ram.vhd + C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\boards\papilio_one\s3e500\zpu_config.vhd - 2 + 0 0 000000ff0000000000000001000000000000000001000000000000000000000000000000000000052b000000040101000100000000000000000000000064ffffffff000000810000000000000004000003c400000001000000000000009d0000000100000000000000660000000100000000000000640000000100000000 false - wb_rom_ram.vhd + C:\Dropbox\GadgetFactory\GadgetFactory_Engineering\ZPUino-HDL\zpu\hdl\zpuino\boards\papilio_one\s3e500\zpu_config.vhd diff --git a/zpu/hdl/zpuino/bootloader/bootloader.hex b/zpu/hdl/zpuino/bootloader/bootloader.hex index de4dfec8..271411e3 100644 --- a/zpu/hdl/zpuino/bootloader/bootloader.hex +++ b/zpu/hdl/zpuino/bootloader/bootloader.hex @@ -1,6 +1,6 @@ -:100000000B0B0B99900400000000000000000000A2 +:100000000B0B0B98B604000000000000000000007D :1000100000000000000000000000000000000000E0 -:100020000B0B0B98F1040000000000000000000022 +:100020000B0B0B989704000000000000000000007C :1000300000000000000000000000000000000000C0 :1000400071FD06087283060981058205832B2A83C8 :10005000FFFF065204000000000000000000000046 @@ -38,7 +38,7 @@ :10025000000000000000000000000000000000009E :1002600071FC060872830609810583051010102AA7 :1002700081FF0652040000000000000000000000A2 -:1002800071FC06080B0B0B9EE0738306101005082B +:1002800071FC06080B0B0B9E947383061010050877 :10029000060B0B0B88A90400000000000000000002 :1002A0000B0B0B88F70400000000000000000000AA :1002B000000000000000000000000000000000003E @@ -50,7 +50,7 @@ :10031000067309727405FF05060751515104000068 :1003200005FF0504000000000000000000000000C0 :1003300000000000000000000000000000000000BD -:10034000810B0B0B0B9FA80C510400000000000058 +:10034000810B0B0B0B9EDC0C510400000000000025 :10035000000000000000000000000000000000009D :100360007181055204000000000000000000000040 :10037000000000000000000000000000000000007D @@ -62,198 +62,192 @@ :1003D000000000000000000000000000000000001D :1003E000717105FF05715351020D040000000000FA :1003F00000000000000000000000000000000000FD -:0704000081D43F96AF3F04D9 +:0704000081D43F95E33F04A6 :1004070010101010101010101010101010101010E5 :100417001010101010101010101010101010105392 :1004270051047381FF067383060981058305101044 :10043700102B0772FC060C5151043C047272807237 :100447008106FF0509720605711052720A100A53D8 :1004570072ED38515153510488088C08900875750E -:1004670099E22D5050880856900C8C0C880C51043A -:1004770088088C0890087575999E2D5050880856E5 -:10048700900C8C0C880C510488088C0890088EA955 -:100497002D900C8C0C880C04FF3D0D0B0B0B9FB89B -:1004A700335170A6389FB4087008525270802E924C -:1004B7003884129FB40C702D9FB408700852527084 -:1004C700F038810B0B0B0B9FB834833D0D04048070 -:1004D7003D0D0B0B0B9FDC08802E8E380B0B0B0B87 +:1004670099962D5050880856900C8C0C880C510486 +:1004770088088C089008757598D22D5050880856B2 +:10048700900C8C0C880C510488088C0890088DF50A +:100497002D900C8C0C880C04FF3D0D0B0B0B9EEC68 +:1004A700335170A6389EE8087008525270802E9219 +:1004B7003884129EE80C702D9EE80870085252701E +:1004C700F038810B0B0B0B9EEC34833D0D0404803D +:1004D7003D0D0B0B0B9F9808802E8E380B0B0B0BCB :1004E700800B802E0981068538823D0D040B0B0B8E -:1004F7009FDC510B0B0BF6813F823D0D0404FF3D42 +:1004F7009F98510B0B0BF6813F823D0D0404FF3D86 :100507000D80C480808452710870822A70810651E0 :10051700515170F338833D0D04FF3D0D80C4808039 :100527008452710870812A70810651515170F338D5 :100537007382900A0C833D0D04FE3D0D747080DCC0 :100547008080880C7081FF06FF831154515371819D -:1005570026923880FD518AA02D72A032518AA02D93 -:10056700843D0D0472518AA02D843D0D04803D0DFC -:1005770083FFFF0B83D00A0C80FE518AA02D823D9A -:100587000D04FF3D0D83D00A0870882A52528AC095 -:100597002D7181FF06518AC02D80FE518AA02D83BF -:1005A7003D0D04FC3D0D80C48080840B9FC408531F -:1005B7005380D00A5583900A5472087081065151AE -:1005C70070A63871F4389FC0085187E87127EA3858 -:1005D70071750C71740C80FFF80D8CF872087081BE -:1005E70006515170802EDC3882900A08880C863DAF -:1005F7000D0482E7AF0B80CC8080880C800B80CC09 -:100607008080840C9F0B83900A0C04FF3D0D737050 -:1006170008515180C8808084700870848080077278 -:100627000C5252833D0D04FF3D0D80C880808470BD -:100637000870FBFFFF06720C5252833D0D04A09019 -:100647000BA0800C9FBC0BA0840C0B80FFF80DA0A7 -:100657008804FF3D0D73518B710C90115291C0802E -:10066700720C80720C700883FFFF06880C833D0DA7 -:1006770004F83D0DA0805680C08080845483D00A42 -:10068700578CAE2D73518CD92D8808101091C084CA -:10069700059FBC0C8070750C740C730883FFFF06F4 -:1006A70059880880DF802680DB3883FFFF770C8836 -:1006B70008FF055574FF2EA7388058845377740CAC -:1006C700730888180CFF135372F33875841775086D -:1006D700720CFF1757575374FF2E098106DD3873C5 -:1006E700518C922D76085271792E0981069838A07F -:1006F70084085271FAA0849C812E933880C2518A53 -:10070700A02DFF39FF3980C3518AA02DFF39900AE8 -:10071700700870FFBF06720C53538A852D8CC52D48 -:10072700FF39FF3D0D9FC00881119FC00C51839079 -:100737000A700870FEFF06720C5252833D0D04FFCB -:100747003D0D800B9FC80887FC8080065252708041 -:10075700FC80802E883871880C833D0D04810B88BE -:100767000C833D0D04803D0D8AF42D728180075165 -:100777008AC02D8B892D823D0D04FE3D0D80C080E2 -:100787008084538CAE2D8EC62D8808802E9C388091 -:10079700D7730C80730C72087081FF067453515223 -:1007A7008C922D71880C843D0D0485730C80730C1D -:1007B70072087081FF06745351528C922D71880C08 -:1007C700843D0D04FC3D0D76811133821233718116 -:1007D700800A2971848080290583143370828029D7 -:1007E70012841633527105A080058616851733FFCC -:1007F7001151575253535557555372FF2E9A387309 -:1008070070810555335271757081055734FF135345 -:1008170072FF2E098106E83889518EEC2D863D0D31 -:1008270004F93D0D795780C0808084568CAE2D81A8 -:1008370017338218337182802905535371802E94A0 -:10084700388517725553727081055433760CFF142F -:100857005473F3388317338418337182802905560C -:100867005280547375279738735877760C731776B9 -:10087700085353717334811454747426ED387551C9 -:100887008C922D8AF42D8184518AC02D74882A5127 -:100897008AC02D74518AC02D80547375279238737E -:1008A70017703352528AC02D811454747426F0384D -:1008B7008B892D893D0D04F93D0D795680C08080C7 -:1008C70084558CAE2D86750C74518C922D8CAE2D63 -:1008D70081AD70760C8117338218337182802905B8 -:1008E700831933780C841933780C851933780C59AC -:1008F70053538054737727AC3872587380C1387359 -:1009070016861133760C871133760C5274518C92FC -:100917002D8F812D880881065271F63882145476FE -:100927007426D8388CAE2D84750C74518C922D8A10 -:10093700F42D8187518AC02D8B892D893D0D048C1B -:10094700AE2D77750C7316861133760C87113376B7 -:100957000C5274518C922DFFB839FC3D0D768111E4 -:100967003382123371902B71882B07831433707283 -:1009770007882B841633710751525357575452889F -:10098700518EEC2D81FF518AA02D80C48080845325 -:10099700720870812A70810651515271F33873843D -:1009A70080800780C48080840C863D0D04FE3D0D49 -:1009B7008EC62D8808802EAA388F812D8808880832 -:1009C700872A813270810651535371ED388AF42D8D -:1009D7008183518AC02D72518AC02D8B892D843D08 -:1009E7000D048F812D880888088106535371802E46 -:1009F700DC388F812D880888088106535371E338C6 -:100A0700CC39FE3D0D800B9FC00C8AF42D8181519E -:100A17008AC02D9F98538F52727081055433518A23 -:100A2700C02DFF125271FF2E098106EC388B892DDC -:100A3700843D0D04FE3D0D800B9FC00C8AF42D8173 -:100A470082518AC02D80C0808084528CAE2D81F95E -:100A57000A0B80C080809C0C71087252538C922DB7 -:100A6700729FC80C72902A518AC02D9FC808882A85 -:100A7700518AC02D9FC808518AC02D8F812D8808A3 -:100A8700518AC02D8B892D843D0D04803D0D810B2E -:100A97009FC40C800B83900A0C85518EEC2D823DF0 -:100AA7000D04803D0D800B9FC40C8BF92D86518E54 -:100AB700EC2D823D0D04FF3D0D80C0808084528A5D -:100AC700518EEC2D8CAE2D71518CD92D88081010BC -:100AD70091C084059FBC0C71518C922D8A852D8CF9 -:100AE700C52D833D0D04FFAD3D0D800B9FC40C80CC -:100AF7000B9FC00C800B8EA90BA0800C578480B075 -:100B07000B80C48080840C80C88080A453FBFFFFC7 -:100B17007308707206750C535480C88080947008EF -:100B2700707606720C5353A8709A9A7170840553A5 -:100B37000C9AF7710C539C900B88120C9D9F0B8C91 -:100B4700120C53880B80D08080840C810B80D00AD4 -:100B57000C8BF92D8288880B80DC8080840C81F2D5 -:100B67000B900A0C80C08080847052528C922D8C1E -:100B7700AE2D71518C922D8CAE2D84720C71518CCF -:100B8700922D76778F3D5E5A5A83D00A5B8BAA2DBA -:100B970088085378802EBC38880880FE2E80D2388B -:100BA700880880FD2E81BE3876829F26BF387980DF -:100BB7002E8938800B8808A032545A80D53D770596 -:100BC700FDE005527272348117578BAA2D8808539E -:100BD70078C638880880FE2E098106FFB038785716 -:100BE70083FFFF7B0C81775B59FFA2398059FF9DFB -:100BF7003976802EFF9738807C7858565982772728 -:100C0700FF8B3883FFFF7B0C78FE185353787227CE -:100C1700983880DC80808872555872157033790C4B -:100C270052811353737326F238FF167511547505E5 -:100C3700FF05703374337072882B077E0853515544 -:100C4700515271732E098106FEC338743353728A69 -:100C570026FEBA387210109EEC0575527008515274 -:100C6700712DFEA939815AFEA439803D0D88088C63 -:100C7700089008A0800851702D900C8C0C8A0C816C -:100C87000B80D00A0C823D0D04FF3D0D8052805130 -:100C970095ED2D833D0D04FB3D0D77795555805618 -:100CA700757524AB388074249D3880537352745102 -:100CB70080E13F88085475802E8538880830547342 -:100CC700880C873D0D0473307681325754DC3974B4 -:100CD70030558156738025D238EC39FA3D0D787A34 -:100CE70057558057767524A438759F2C54815375B2 -:100CF700743274315274519B3F88085476802E8524 -:100D0700388808305473880C883D0D047430558139 -:100D170057D739FC3D0D7678535481538074732629 -:100D2700525572802E983870802EA938807224A46C -:100D3700387110731075722653545272EA38735112 -:100D4700788338745170880C863D0D0472812A723D -:100D5700812A535372802EE638717426EF387372E6 -:100D67003175740774812A74812A55555654E539AB -:100D7700FC3D0D7670797B555555558F72278C380C -:100D870072750783065170802EA738FF125271FFC4 -:100D97002E9838727081055433747081055634FF6C -:100DA700125271FF2E098106EA3874880C863D0DB0 -:100DB70004745172708405540871708405530C7261 -:100DC700708405540871708405530C72708405543F -:100DD7000871708405530C7270840554087170840F -:100DE70005530CF01252718F26C938837227953834 -:100DF70072708405540871708405530CFC1252718B -:100E07008326ED387054FF8339FC3D0D76797102E6 -:100E17008C059F0533575553558372278A3874833A -:100E2700065170802EA238FF125271FF2E9338732D -:100E3700737081055534FF125271FF2E098106EF39 -:100E47003874880C863D0D047474882B750770718F -:100E5700902B075154518F7227A5387271708405F2 -:100E6700530C7271708405530C7271708405530CA6 -:100E77007271708405530CF01252718F26DD38831E -:100E8700722790387271708405530CFC125271836B -:100E970026F2387053FF9039FB3D0D777970720752 -:100EA70083065354527093387173730854565471B0 -:100EB70073082E80C4387375545271337081FF06DE -:100EC700525470802E9D3872335570752E098106E5 -:100ED70095388112811471337081FF06545654522C -:100EE70070E5387233557381FF067581FF0671719E -:100EF70031880C5252873D0D04710970F7FBFDFFD5 -:100F0700140670F884828180065151517097388495 -:100F170014841671085456547175082EDC3873758D -:100F27005452FF9639800B880C873D0D04FF3D0D09 -:100F37009FD00BFC057008525270FF2E9138702D10 -:100F4700FC127008525270FF2E098106F138833D5A -:030F57000D040482 -:040F5A00EAC33F04A3 -:100F600000FFFFFFFF00FFFFFFFF00FFFFFFFF008D -:100F700000000A0900000A3B000009B4000008282C -:100F800000000A9200000AA9000008BE00000961E2 -:100F9000000007CB00000ABD0108046000002F809C -:080FA000057BCF00A4010E0146 -:100FA80000000000000000000000000000000FD852 -:080FCC00FFFFFFFF0000000021 -:080FD400FFFFFFFF0000000019 -:040FDC000000000011 -:0C10000000000000000000000B000000D9 +:10055700268D3880FD518AA02D72A03251833972C1 +:10056700518AA02D843D0D04803D0D83FFFF0B8331 +:10057700D00A0C80FE518AA02D823D0D04FF3D0D4F +:1005870083D00A0870882A52528AC02D7181FF06CB +:10059700518AC02D80FE518AA02D833D0D0482E72C +:1005A700AF0B80CC8080880C800B80CC8080840C43 +:1005B7009F0B83900A0C04FF3D0D73700851518007 +:1005C700C880808470087084808007720C525283C0 +:1005D7003D0D04FF3D0D80C8808084700870FBFFCF +:1005E700FF06720C5252833D0D04A0900BA0800CA5 +:1005F7009EF00BA0840C98CB2DFF3D0D73518B7192 +:100607000C90115291C080720C80720C700883FF9D +:10061700FF06880C833D0D04FA3D0D787A7DFF1E99 +:100627005757585373FF2EA7388056845275730C4B +:10063700720888180CFF125271F338748416740804 +:10064700720CFF1656565273FF2E098106DD388845 +:100657003D0D04F83D0D80C08080845783D00A5932 +:100667008BDA2D76518C802D9EF0708808101091B2 +:10067700C0840571708405530C5656FB8084A1AD68 +:10068700750C9ECC0B88170C8070780C770C76084D +:1006970083FFFF065680DF800B8808278338FF39E2 +:1006A70083FFFF790CA08054880853785276518CC9 +:1006B7009F2D76518BBE2D78085574762E893880FC +:1006C700C3518AA02DFF39A084085574FAA0849CD1 +:1006D700812E893880C2518AA02DFF39900A70086F +:1006E70070FFBF06720C56568A852D8BF12DFF3D84 +:1006F7000D9EFC0881119EFC0C5183900A700870B6 +:10070700FEFF06720C5252833D0D04803D0D8AEFA9 +:100717002D72818007518AC02D8B842D823D0D0457 +:10072700FE3D0D80C0808084538BDA2D85730C804D +:10073700730C72087081FF06745351528BBE2D7172 +:10074700880C843D0D04FC3D0D76811133821233F4 +:100757007181800A2971848080290583143370820E +:10076700802912841633527105A0800586168517D5 +:10077700335752535355575553FF135372FF2E9107 +:10078700387370810555335271757081055734E997 +:100797003989518E922D863D0D04F93D0D7957808B +:1007A700C0808084568BDA2D81173382183371828B +:1007B700802905535371802E9438851772555372CB +:1007C7007081055433760CFF145473F33883173351 +:1007D7008418337182802905565280547375279780 +:1007E70038735877760C76147608535371733481BF +:1007F7001454747426ED3875518BBE2D8AEF2D81F4 +:1008070084518AC02D74882A518AC02D74518AC098 +:100817002D80547375278F387614703352528AC0DF +:100827002D811454EE398B842D893D0D04F93D0D2E +:10083700795680C0808084558BDA2D86750C74516B +:100847008BBE2D8BDA2D81AD70760C811733821814 +:10085700337182802905831933780C841933780C16 +:10086700851933780C5953538054737727B33872EB +:100877005873802E87388BDA2D77750C731686118F +:1008870033760C871133760C5274518BBE2D8EA79D +:100897002D880881065271F638821454767426D151 +:1008A700388BDA2D84750C74518BBE2D8AEF2D8110 +:1008B70087518AC02D8B842D893D0D04FC3D0D7613 +:1008C70081113382123371902B71882B0783143374 +:1008D700707207882B841633710751525357575438 +:1008E7005288518E922D81FF518AA02D80C480801D +:1008F7008453720870812A70810651515271F338FE +:10090700738480800780C48080840C863D0D04FE3C +:100917003D0D8EA72D880888088106535371F3383B +:100927008AEF2D8183518AC02D72518AC02D8B8405 +:100937002D843D0D04FE3D0D800B9EFC0C8AEF2D92 +:100947008181518AC02D9ECC538F5272708105547C +:1009570033518AC02DFF125271FF2E098106EC38E0 +:100967008B842D843D0D04FE3D0D800B9EFC0C8A6F +:10097700EF2D8182518AC02D80C0808084528BDA0E +:100987002D81F90A0B80C080809C0C71087252532C +:100997008BBE2D729F840C72902A518AC02D9F8422 +:1009A70008882A518AC02D9F8408518AC02D8EA796 +:1009B7002D8808518AC02D8B842D843D0D04803DE0 +:1009C7000D810B9F800C800B83900A0C85518E92B2 +:1009D7002D823D0D04803D0D800B9F800C8BA52D36 +:1009E70086518E922D823D0D04FD3D0D80C0808085 +:1009F70084548A518E922D8BDA2D9EF07452538C2B +:100A0700802D728808101091C08405717084055379 +:100A17000C52FB8084A1AD720C9ECC0B88140C7316 +:100A2700518BBE2D8A852D8BF12DFC3D0D80C0800D +:100A370080847052558BBE2D8BDA2D8B750C76808A +:100A4700C08080940C80750CA08054775383D00AA3 +:100A57005274518C9F2D74518BBE2D8A852D8BF12D +:100A67002DFFAB3D0D800B9F800C800B9EFC0C80F7 +:100A77000B8DF50BA0800C5780C480808455848033 +:100A8700B0750C80C88080A453FBFFFF7308707299 +:100A970006750C535480C88080947008707606726F +:100AA7000C5353A87099CE71708405530C9AAB718F +:100AB7000C539BC40B88120C9CD30B8C120C94B157 +:100AC7000B90120C53880B80D08080840C80D00A46 +:100AD7005381730C8BA52D8288880B80DC808084E2 +:100AE7000C81F20B900A0C80C08080847052528B6C +:100AF700BE2D8BDA2D71518BBE2D8BDA2D84720CA6 +:100B070071518BBE2D76777675933D41415B5B5B6B +:100B170083D00A5C78087081065152719D389F8096 +:100B2700085372F0389EFC085287E87227E638723D +:100B37007E0C7283900A0C98C42D82900A08537910 +:100B4700802E81B4387280FE2E09810680F43876B3 +:100B5700802EC138807D7858565A827727FFB5385E +:100B670083FFFF7C0C79FE185353797227983880DE +:100B7700DC80808872555874137033790C52811356 +:100B870053737326F238FF167016547505FF0570F8 +:100B97003374337072882B077F0853515551527144 +:100BA700732E098106FEED38743353728A26FEE4EC +:100BB700387210109EA005755270085152712DFEA3 +:100BC700D3397280FD2E0981068638815BFEC539CF +:100BD70076829F269E387A802E87388073A032547B +:100BE7005B80D73D7705FDE0055272723481175758 +:100BF700FEA239805AFE9D397280FE2E098106FEBB +:100C07009338795783FFFF7C0C81775C5AFE8539CF +:100C1700803D0D88088C089008A0800851702D90A1 +:100C27000C8C0C8A0C810B80D00A0C823D0D04FFC2 +:100C37003D0D8052805194E82D833D0D0480FFF8CF +:100C47000D8CDA0480FFF80DA08804FB3D0D777941 +:100C570055558056757524AB388074249D3880535C +:100C67007352745180E13F88085475802E85388807 +:100C770008305473880C873D0D04733076813257E2 +:100C870054DC397430558156738025D238EC39FAE3 +:100C97003D0D787A57558057767524A438759F2C63 +:100CA70054815375743274315274519B3F88085480 +:100CB70076802E85388808305473880C883D0D045B +:100CC7007430558157D739FC3D0D7678535481538D +:100CD70080747326525572802E983870802EA938EA +:100CE700807224A43871107310757226535452728F +:100CF700EA387351788338745170880C863D0D0437 +:100D070072812A72812A535372802EE638717426B3 +:100D1700EF3873723175740774812A74812A5555B7 +:100D27005654E539FC3D0D7670797B555555558FF1 +:100D370072278C3872750783065170802EA738FF8B +:100D4700125271FF2E983872708105543374708176 +:100D5700055634FF125271FF2E098106EA3874884E +:100D67000C863D0D047451727084055408717084AB +:100D770005530C72708405540871708405530C7206 +:100D8700708405540871708405530C72708405547F +:100D97000871708405530CF01252718F26C938837D +:100DA7007227953872708405540871708405530C46 +:100DB700FC1252718326ED387054FF8339FC3D0DC8 +:100DC700767971028C059F053357555355837227E2 +:100DD7008A387483065170802EA238FF125271FF31 +:100DE7002E933873737081055534FF125271FF2E9D +:100DF700098106EF3874880C863D0D047474882BBE +:100E070075077071902B075154518F7227A538724F +:100E170071708405530C7271708405530C72717074 +:100E27008405530C7271708405530CF01252718F44 +:100E370026DD3883722790387271708405530CFC55 +:100E47001252718326F2387053FF9039FB3D0D77AC +:100E5700797072078306535452709338717373080D +:100E67005456547173082E80C438737554527133B5 +:100E77007081FF06525470802E9D387233557075FD +:100E87002E09810695388112811471337081FF060E +:100E97005456545270E5387233557381FF06758185 +:100EA700FF06717131880C5252873D0D047109702C +:100EB700F7FBFDFF140670F88482818006515151BB +:100EC7007097388414841671085456547175082E17 +:100ED700DC3873755452FF9639800B880C873D0DAB +:100EE70004FF3D0D9F8C0BFC057008525270FF2EBE +:100EF7009138702DFC127008525270FF2E0981062E +:070F0700F138833D0D0404E5 +:040F0E00EB8F3F0422 +:100F140000FFFFFFFF00FFFFFFFF00FFFFFFFF00D9 +:100F24000000093C0000096E00000916000007A13A +:100F3400000009C5000009DC00000834000008C3F3 +:100F44000000074D000009F00109046000002F8033 +:080F5400057BCF00A4010E0192 +:100F5C0000000000000000000000000000000F94E2 +:080F8800FFFFFFFF0000000065 +:080F9000FFFFFFFF000000005D +:040F98000000000055 :00000001FF